From: Vito Macchia Date: Fri, 4 Dec 2020 18:48:56 +0000 (+0100) Subject: Built motion from commit f738f9592c388b9f9eb3948f400a5c9d717a3561. Version 3.0.0... X-Git-Url: http://repos.xcallymotion.com/base/%22javascript:void%28%27%7BtitleJs%7D%27%29//%22http:/momentjs.com/docs?a=commitdiff_plain;h=e0cb6850484be27bbb96651aa9cfc8e7691ac351;p=motion-next.git Built motion from commit f738f9592c388b9f9eb3948f400a5c9d717a3561. Version 3.0.0-alpha.2 --- diff --git a/apidoc/api_project.js b/apidoc/api_project.js index c70d75c..0ee9ae2 100644 --- a/apidoc/api_project.js +++ b/apidoc/api_project.js @@ -17,7 +17,7 @@ define({ "apidoc": "0.3.0", "generator": { "name": "apidoc", - "time": "2020-11-27T18:22:32.836Z", + "time": "2020-12-04T18:47:37.415Z", "url": "https://apidocjs.com", "version": "0.25.0" } diff --git a/apidoc/api_project.json b/apidoc/api_project.json index 40a6216..9d80843 100644 --- a/apidoc/api_project.json +++ b/apidoc/api_project.json @@ -17,7 +17,7 @@ "apidoc": "0.3.0", "generator": { "name": "apidoc", - "time": "2020-11-27T18:22:32.836Z", + "time": "2020-12-04T18:47:37.415Z", "url": "https://apidocjs.com", "version": "0.25.0" } diff --git a/package-lock.json b/package-lock.json index faee6aa..ef1aeae 100644 --- a/package-lock.json +++ b/package-lock.json @@ -1,9 +1,47 @@ { "name": "motion", - "version": "3.0.0-alpha.1", + "version": "3.0.0-alpha.2", "lockfileVersion": 1, "requires": true, "dependencies": { + "@alt3/sequelize-to-json-schemas": { + "version": "0.3.54", + "resolved": "https://registry.npmjs.org/@alt3/sequelize-to-json-schemas/-/sequelize-to-json-schemas-0.3.54.tgz", + "integrity": "sha512-pdXOaEMuPKgAKELpSTcqcxDRY0CmSzevLscLC8tCmO8myMmYk784ZTAtmgpmTQT2QGMbormm3JUiwZQZX4UDFw==" + }, + "@apidevtools/json-schema-ref-parser": { + "version": "9.0.6", + "resolved": "https://registry.npmjs.org/@apidevtools/json-schema-ref-parser/-/json-schema-ref-parser-9.0.6.tgz", + "integrity": "sha512-M3YgsLjI0lZxvrpeGVk9Ap032W6TPQkH6pRAZz81Ac3WUNF79VQooAFnp8umjvVzUmD93NkogxEwbSce7qMsUg==", + "requires": { + "@jsdevtools/ono": "^7.1.3", + "call-me-maybe": "^1.0.1", + "js-yaml": "^3.13.1" + } + }, + "@apidevtools/openapi-schemas": { + "version": "2.0.4", + "resolved": "https://registry.npmjs.org/@apidevtools/openapi-schemas/-/openapi-schemas-2.0.4.tgz", + "integrity": "sha512-ob5c4UiaMYkb24pNhvfSABShAwpREvUGCkqjiz/BX9gKZ32y/S22M+ALIHftTAuv9KsFVSpVdIDzi9ZzFh5TCA==" + }, + "@apidevtools/swagger-methods": { + "version": "3.0.2", + "resolved": "https://registry.npmjs.org/@apidevtools/swagger-methods/-/swagger-methods-3.0.2.tgz", + "integrity": "sha512-QAkD5kK2b1WfjDS/UQn/qQkbwF31uqRjPTrsCs5ZG9BQGAkjwvqGFjjPqAuzac/IYzpPtRzjCP1WrTuAIjMrXg==" + }, + "@apidevtools/swagger-parser": { + "version": "10.0.2", + "resolved": "https://registry.npmjs.org/@apidevtools/swagger-parser/-/swagger-parser-10.0.2.tgz", + "integrity": "sha512-JFxcEyp8RlNHgBCE98nwuTkZT6eNFPc1aosWV6wPcQph72TSEEu1k3baJD4/x1qznU+JiDdz8F5pTwabZh+Dhg==", + "requires": { + "@apidevtools/json-schema-ref-parser": "^9.0.6", + "@apidevtools/openapi-schemas": "^2.0.4", + "@apidevtools/swagger-methods": "^3.0.2", + "@jsdevtools/ono": "^7.1.3", + "call-me-maybe": "^1.0.1", + "z-schema": "^4.2.3" + } + }, "@dabh/diagnostics": { "version": "2.0.2", "resolved": "https://registry.npmjs.org/@dabh/diagnostics/-/diagnostics-2.0.2.tgz", @@ -98,6 +136,11 @@ "protobufjs": "^6.8.6" } }, + "@jsdevtools/ono": { + "version": "7.1.3", + "resolved": "https://registry.npmjs.org/@jsdevtools/ono/-/ono-7.1.3.tgz", + "integrity": "sha512-4JQNk+3mVzK3xh2rqd6RB4J46qUR19azEHBneZyTZM+c456qOrbbM/5xcR8huNCCcbVt7+UmizG6GuUvPvKUYg==" + }, "@kwsites/file-exists": { "version": "1.1.1", "resolved": "https://registry.npmjs.org/@kwsites/file-exists/-/file-exists-1.1.1.tgz", @@ -962,9 +1005,9 @@ "integrity": "sha1-x57Zf380y48robyXkLzDZkdLS3k=" }, "aws-sdk": { - "version": "2.799.0", - "resolved": "https://registry.npmjs.org/aws-sdk/-/aws-sdk-2.799.0.tgz", - "integrity": "sha512-NYAoiNU+bJXhlJsC0rFqrmD5t5ho7/VxldmziP6HLPYHfOCI9Uvk6UVjfPmhLWPm0mHnIxhsHqmsNGyjhHNYmw==", + "version": "2.803.0", + "resolved": "https://registry.npmjs.org/aws-sdk/-/aws-sdk-2.803.0.tgz", + "integrity": "sha512-rcjwbslKEtoOcxeskwaF6lVUgOxnEqVIeufzO+W8gnAzEaUamunqCAFHsqCFldF5efkHISCDbTKLhMHcEZ6yyg==", "requires": { "buffer": "4.9.2", "events": "1.1.1", @@ -1265,6 +1308,11 @@ "resolved": "https://registry.npmjs.org/bytes/-/bytes-3.1.0.tgz", "integrity": "sha512-zauLjrfCG+xvoyaqLoV8bLVXXNGC4JqlxFCutSDWA6fJrTo2ZuvLYTqZ7aHBLZSMOopbzwv8f+wZcVzfVTI2Dg==" }, + "call-me-maybe": { + "version": "1.0.1", + "resolved": "https://registry.npmjs.org/call-me-maybe/-/call-me-maybe-1.0.1.tgz", + "integrity": "sha1-JtII6onje1y95gJQoV8DHBak1ms=" + }, "camelcase": { "version": "5.3.1", "resolved": "https://registry.npmjs.org/camelcase/-/camelcase-5.3.1.tgz", @@ -1679,9 +1727,9 @@ } }, "cross-env": { - "version": "7.0.2", - "resolved": "https://registry.npmjs.org/cross-env/-/cross-env-7.0.2.tgz", - "integrity": "sha512-KZP/bMEOJEDCkDQAyRhu3RL2ZO/SUVrxQVI0G3YEQ+OLbRA3c6zgixe8Mq8a/z7+HKlNEjo8oiLUs8iRijY2Rw==", + "version": "7.0.3", + "resolved": "https://registry.npmjs.org/cross-env/-/cross-env-7.0.3.tgz", + "integrity": "sha512-+/HKd6EgcQCJGh2PSjZuUitQBQynKor4wrFbRg4DtAgS1aWO+gU52xpH7M9ScGgXSYmAVS9bIJ8EzuaGw0oNAw==", "requires": { "cross-spawn": "^7.0.1" } @@ -1892,6 +1940,14 @@ } } }, + "doctrine": { + "version": "3.0.0", + "resolved": "https://registry.npmjs.org/doctrine/-/doctrine-3.0.0.tgz", + "integrity": "sha512-yS+Q5i3hBf7GBkd4KG8a7eBNNWNGLTaEwwYWUijIYM7zrlYDM0BFXHjjPWlWZ1Rg7UaddZeIDmi9jF3HmqiQ2w==", + "requires": { + "esutils": "^2.0.2" + } + }, "dom-serializer": { "version": "1.1.0", "resolved": "https://registry.npmjs.org/dom-serializer/-/dom-serializer-1.1.0.tgz", @@ -3562,6 +3618,15 @@ } } }, + "js-yaml": { + "version": "3.14.0", + "resolved": "https://registry.npmjs.org/js-yaml/-/js-yaml-3.14.0.tgz", + "integrity": "sha512-/4IbIeHcD9VMHFqDR/gQ7EdZdLimOvW2DdcxFjdyyZ9NsbS+ccrXqVWDtab/lRl5AlUqmpBx8EhPaWR+OtY17A==", + "requires": { + "argparse": "^1.0.7", + "esprima": "^4.0.0" + } + }, "jsbn": { "version": "0.1.1", "resolved": "https://registry.npmjs.org/jsbn/-/jsbn-0.1.1.tgz", @@ -3953,6 +4018,11 @@ "resolved": "https://registry.npmjs.org/lodash.isboolean/-/lodash.isboolean-3.0.3.tgz", "integrity": "sha1-bC4XHbKiV82WgC/UOwGyDV9YcPY=" }, + "lodash.isequal": { + "version": "4.5.0", + "resolved": "https://registry.npmjs.org/lodash.isequal/-/lodash.isequal-4.5.0.tgz", + "integrity": "sha1-QVxEePK8wwEgwizhDtMib30+GOA=" + }, "lodash.isinteger": { "version": "4.0.4", "resolved": "https://registry.npmjs.org/lodash.isinteger/-/lodash.isinteger-4.0.4.tgz", @@ -4034,93 +4104,21 @@ } }, "mailparser": { - "version": "3.0.0", - "resolved": "https://registry.npmjs.org/mailparser/-/mailparser-3.0.0.tgz", - "integrity": "sha512-173gOV5y8kkCmvEZRgx0/XrOZ96F0Nm+tq382+/jIzPsBu9IYbn07l4t4DJqG4x5OSeKoBf5af/n1RRg+vbf8g==", + "version": "3.0.1", + "resolved": "https://registry.npmjs.org/mailparser/-/mailparser-3.0.1.tgz", + "integrity": "sha512-bI6qE0rkyy+O2ZszMijmC6XJ67yvVeCWcZjvWj+6VOHR3FqMjYFMMsExPbFmDVxu0R0PnziYL3ZRv2l0ahCXiw==", "requires": { "encoding-japanese": "1.0.30", "he": "1.2.0", - "html-to-text": "5.1.1", + "html-to-text": "6.0.0", "iconv-lite": "0.6.2", "libmime": "5.0.0", "linkify-it": "3.0.2", "mailsplit": "5.0.0", - "nodemailer": "6.4.11", - "tlds": "1.209.0" + "nodemailer": "6.4.16", + "tlds": "1.214.0" }, "dependencies": { - "dom-serializer": { - "version": "0.2.2", - "resolved": "https://registry.npmjs.org/dom-serializer/-/dom-serializer-0.2.2.tgz", - "integrity": "sha512-2/xPb3ORsQ42nHYiSunXkDjPLBaEj/xTwUO4B7XCZQTRk7EBtTOPaygh10YAAh2OI1Qrp6NWfpAhzswj0ydt9g==", - "requires": { - "domelementtype": "^2.0.1", - "entities": "^2.0.0" - }, - "dependencies": { - "domelementtype": { - "version": "2.0.2", - "resolved": "https://registry.npmjs.org/domelementtype/-/domelementtype-2.0.2.tgz", - "integrity": "sha512-wFwTwCVebUrMgGeAwRL/NhZtHAUyT9n9yg4IMDwf10+6iCMxSkVq9MGCVEH+QZWo1nNidy8kNvwmv4zWHDTqvA==" - }, - "entities": { - "version": "2.1.0", - "resolved": "https://registry.npmjs.org/entities/-/entities-2.1.0.tgz", - "integrity": "sha512-hCx1oky9PFrJ611mf0ifBLBRW8lUUVRlFolb5gWRfIELabBlbp9xZvrqZLZAs+NxFnbfQoeGd8wDkygjg7U85w==" - } - } - }, - "domelementtype": { - "version": "1.3.1", - "resolved": "https://registry.npmjs.org/domelementtype/-/domelementtype-1.3.1.tgz", - "integrity": "sha512-BSKB+TSpMpFI/HOxCNr1O8aMOTZ8hT3pM3GQ0w/mWRmkhEDSFJkkyzz4XQsBV44BChwGkrDfMyjVD0eA2aFV3w==" - }, - "domhandler": { - "version": "2.4.2", - "resolved": "https://registry.npmjs.org/domhandler/-/domhandler-2.4.2.tgz", - "integrity": "sha512-JiK04h0Ht5u/80fdLMCEmV4zkNh2BcoMFBmZ/91WtYZ8qVXSKjiw7fXMgFPnHcSZgOo3XdinHvmnDUeMf5R4wA==", - "requires": { - "domelementtype": "1" - } - }, - "domutils": { - "version": "1.7.0", - "resolved": "https://registry.npmjs.org/domutils/-/domutils-1.7.0.tgz", - "integrity": "sha512-Lgd2XcJ/NjEw+7tFvfKxOzCYKZsdct5lczQ2ZaQY8Djz7pfAD3Gbp8ySJWtreII/vDlMVmxwa6pHmdxIYgttDg==", - "requires": { - "dom-serializer": "0", - "domelementtype": "1" - } - }, - "entities": { - "version": "1.1.2", - "resolved": "https://registry.npmjs.org/entities/-/entities-1.1.2.tgz", - "integrity": "sha512-f2LZMYl1Fzu7YSBKg+RoROelpOaNrcGmE9AZubeDfrCEia483oW4MI4VyFd5VNHIgQ/7qm1I0wUHK1eJnn2y2w==" - }, - "html-to-text": { - "version": "5.1.1", - "resolved": "https://registry.npmjs.org/html-to-text/-/html-to-text-5.1.1.tgz", - "integrity": "sha512-Bci6bD/JIfZSvG4s0gW/9mMKwBRoe/1RWLxUME/d6WUSZCdY7T60bssf/jFf7EYXRyqU4P5xdClVqiYU0/ypdA==", - "requires": { - "he": "^1.2.0", - "htmlparser2": "^3.10.1", - "lodash": "^4.17.11", - "minimist": "^1.2.0" - } - }, - "htmlparser2": { - "version": "3.10.1", - "resolved": "https://registry.npmjs.org/htmlparser2/-/htmlparser2-3.10.1.tgz", - "integrity": "sha512-IgieNijUMbkDovyoKObU1DUhm1iwNYE/fuifEoEHfd1oZKZDaONBSkal7Y01shxsM49R4XaMdGez3WnF9UfiCQ==", - "requires": { - "domelementtype": "^1.3.1", - "domhandler": "^2.3.0", - "domutils": "^1.5.1", - "entities": "^1.1.1", - "inherits": "^2.0.1", - "readable-stream": "^3.1.1" - } - }, "iconv-lite": { "version": "0.6.2", "resolved": "https://registry.npmjs.org/iconv-lite/-/iconv-lite-0.6.2.tgz", @@ -4128,11 +4126,6 @@ "requires": { "safer-buffer": ">= 2.1.2 < 3.0.0" } - }, - "nodemailer": { - "version": "6.4.11", - "resolved": "https://registry.npmjs.org/nodemailer/-/nodemailer-6.4.11.tgz", - "integrity": "sha512-BVZBDi+aJV4O38rxsUh164Dk1NCqgh6Cm0rQSb9SK/DHGll/DrCMnycVDD7msJgZCnmVa8ASo8EZzR7jsgTukQ==" } } }, @@ -5566,6 +5559,11 @@ "redis-errors": "^1.0.0" } }, + "redoc-express": { + "version": "1.0.0", + "resolved": "https://registry.npmjs.org/redoc-express/-/redoc-express-1.0.0.tgz", + "integrity": "sha512-N/0/WrA6U2rJc9hzLy2Jh2CHrQttIcW02jE4xGCiKkU40uTlpFTcEfu9bpsJlTcpffPXVNhhaIbTwz8kxz+M5A==" + }, "reflect-metadata": { "version": "0.1.13", "resolved": "https://registry.npmjs.org/reflect-metadata/-/reflect-metadata-0.1.13.tgz", @@ -6480,10 +6478,55 @@ "pdfkit": ">=0.8.1" } }, + "swagger-jsdoc": { + "version": "6.0.0-rc.3", + "resolved": "https://registry.npmjs.org/swagger-jsdoc/-/swagger-jsdoc-6.0.0-rc.3.tgz", + "integrity": "sha512-hCcGVw9VW5xaxTY2LRpmKti/Kk59hHbepO5Nl1UlA/yPw5A8mWScaMJOJeC5Xu1dnj30LCI7iV8ie1YMFhu77Q==", + "requires": { + "commander": "6.2.0", + "doctrine": "3.0.0", + "glob": "7.1.6", + "swagger-parser": "10.0.2", + "yaml": "2.0.0-1" + }, + "dependencies": { + "commander": { + "version": "6.2.0", + "resolved": "https://registry.npmjs.org/commander/-/commander-6.2.0.tgz", + "integrity": "sha512-zP4jEKbe8SHzKJYQmq8Y9gYjtO/POJLgIdKgV7B9qNmABVFVc+ctqSX6iXh4mCpJfRBOabiZ2YKPg8ciDw6C+Q==" + }, + "yaml": { + "version": "2.0.0-1", + "resolved": "https://registry.npmjs.org/yaml/-/yaml-2.0.0-1.tgz", + "integrity": "sha512-W7h5dEhywMKenDJh2iX/LABkbFnBxasD27oyXWDS/feDsxiw0dD5ncXdYXgkvAsXIY2MpW/ZKkr9IU30DBdMNQ==" + } + } + }, + "swagger-parser": { + "version": "10.0.2", + "resolved": "https://registry.npmjs.org/swagger-parser/-/swagger-parser-10.0.2.tgz", + "integrity": "sha512-9jHkHM+QXyLGFLk1DkXBwV+4HyNm0Za3b8/zk/+mjr8jgOSiqm3FOTHBSDsBjtn9scdL+8eWcHdupp2NLM8tDw==", + "requires": { + "@apidevtools/swagger-parser": "10.0.2" + } + }, + "swagger-ui-dist": { + "version": "3.37.2", + "resolved": "https://registry.npmjs.org/swagger-ui-dist/-/swagger-ui-dist-3.37.2.tgz", + "integrity": "sha512-XIT4asxgeL4GUNPPsqpEqLt20M/u6OhFYqTh42IoEAvAyv5e9EGw5uhP9dLAD10opcMYqdkJ5qU+MpN2HZ5xyA==" + }, + "swagger-ui-express": { + "version": "4.1.5", + "resolved": "https://registry.npmjs.org/swagger-ui-express/-/swagger-ui-express-4.1.5.tgz", + "integrity": "sha512-hs9OqBu2jwmhYyFUhdTiwurvbZC+bq2XnWmmbYymVdwhgJCcGkLdnqymX24ZYUve2nkYSvKPEDCo20ZF+vyw9A==", + "requires": { + "swagger-ui-dist": "^3.18.1" + } + }, "systeminformation": { - "version": "4.30.5", - "resolved": "https://registry.npmjs.org/systeminformation/-/systeminformation-4.30.5.tgz", - "integrity": "sha512-aYWs8yttl8ePpr6VOQ/Ak8cznuc9L/NQODVhbOKhInX73ZMLvV2BS86Mzr7LLfmUteVFR36CTDNQgiJgRqq+SQ==" + "version": "4.30.11", + "resolved": "https://registry.npmjs.org/systeminformation/-/systeminformation-4.30.11.tgz", + "integrity": "sha512-dR2OJMvyBeF+VZ36851O7oQEUFdNtux3xFI+xAFbWg5J/mh5lQOBiceHTkYOyvGf++mMIKgpeAmYnKy+cyWIdw==" }, "tar": { "version": "4.4.13", @@ -6575,9 +6618,9 @@ "integrity": "sha512-pkY1fj1cKHb2seWDy0B16HeWyczlJA9/WW3u3c4z/NiWDsO3DOU5D7nhTLE9CF0yXv/QZFY7sEJmj24dK+Rrqw==" }, "tlds": { - "version": "1.209.0", - "resolved": "https://registry.npmjs.org/tlds/-/tlds-1.209.0.tgz", - "integrity": "sha512-KVsZ1NSpBodpo42/JIwTyau7SqUxV/qQMp2epSDPa99885LpHWLaVCCt8CWzGe4X5YIVNr+b6bUys9e9eEb5OA==" + "version": "1.214.0", + "resolved": "https://registry.npmjs.org/tlds/-/tlds-1.214.0.tgz", + "integrity": "sha512-+i48KYsrCkkIZnsj31cTIj9cu5NtFxKo7xlNIB7jg8kXi//b4Ertl5qaHgqFF+y+g0nFwt/k+eph2uUNQJgfwg==" }, "to-csv": { "version": "0.1.1", @@ -6685,9 +6728,9 @@ "integrity": "sha1-WuaBd/GS1EViadEIr6k/+HQ/T2Q=" }, "twilio": { - "version": "3.52.0", - "resolved": "https://registry.npmjs.org/twilio/-/twilio-3.52.0.tgz", - "integrity": "sha512-G/2J4iva5T8080Mei3e24bCBxAemVe766iYQP+OonAzP7EUx9sv/hnNoNsM5u1vKkqKn7ER2uJ+mRI6bJrdEMA==", + "version": "3.53.0", + "resolved": "https://registry.npmjs.org/twilio/-/twilio-3.53.0.tgz", + "integrity": "sha512-X+ycPHLnTkPLnJJWizG7xEPs+UOI02p4vhpjgdM4VERCLmkhyaXvgNSZkhu5Zj1EdYjG8phXwObO5k5vvIiILA==", "requires": { "axios": "^0.19.2", "dayjs": "^1.8.29", @@ -6876,9 +6919,9 @@ } }, "validator": { - "version": "13.1.17", - "resolved": "https://registry.npmjs.org/validator/-/validator-13.1.17.tgz", - "integrity": "sha512-zL5QBoemJ3jYFb2/j38y7ljhwYGXVLUp8H6W1nVxadnAOvUOytec+L7BHh1oBQ82/TzWXHd+GSaxUWp4lROkLg==" + "version": "13.5.1", + "resolved": "https://registry.npmjs.org/validator/-/validator-13.5.1.tgz", + "integrity": "sha512-s+7LW1Xi0OzPNfGN7Hb2vk0YB/epp9KFHHGC5JtqZOE1dUkN4ULPFZAQ1inCu7ceAsWmOJu6sn9cnwm3R+ghWQ==" }, "vary": { "version": "1.1.2", @@ -7141,6 +7184,11 @@ "resolved": "https://registry.npmjs.org/yallist/-/yallist-4.0.0.tgz", "integrity": "sha512-3wdGidZyq5PB084XLES5TpOSRA3wjXAlIWMhum2kRcv/41Sn2emQ0dycQW4uZXLejwKvg6EsvbdlVL+FYEct7A==" }, + "yaml": { + "version": "2.0.0-1", + "resolved": "https://registry.npmjs.org/yaml/-/yaml-2.0.0-1.tgz", + "integrity": "sha512-W7h5dEhywMKenDJh2iX/LABkbFnBxasD27oyXWDS/feDsxiw0dD5ncXdYXgkvAsXIY2MpW/ZKkr9IU30DBdMNQ==" + }, "yamljs": { "version": "0.3.0", "resolved": "https://registry.npmjs.org/yamljs/-/yamljs-0.3.0.tgz", @@ -7186,6 +7234,24 @@ "fd-slicer": "~1.1.0" } }, + "z-schema": { + "version": "4.2.3", + "resolved": "https://registry.npmjs.org/z-schema/-/z-schema-4.2.3.tgz", + "integrity": "sha512-zkvK/9TC6p38IwcrbnT3ul9in1UX4cm1y/VZSs4GHKIiDCrlafc+YQBgQBUdDXLAoZHf2qvQ7gJJOo6yT1LH6A==", + "requires": { + "commander": "^2.7.1", + "lodash.get": "^4.4.2", + "lodash.isequal": "^4.5.0", + "validator": "^12.0.0" + }, + "dependencies": { + "validator": { + "version": "12.2.0", + "resolved": "https://registry.npmjs.org/validator/-/validator-12.2.0.tgz", + "integrity": "sha512-jJfE/DW6tIK1Ek8nCfNFqt8Wb3nzMoAbocBF6/Icgg1ZFSBpObdnwVY2jQj6qUqzhx5jc71fpvBWyLGO7Xl+nQ==" + } + } + }, "zip-dir": { "version": "2.0.0", "resolved": "https://registry.npmjs.org/zip-dir/-/zip-dir-2.0.0.tgz", diff --git a/package.json b/package.json index d54ae69..5768e6c 100644 --- a/package.json +++ b/package.json @@ -1,6 +1,6 @@ { "name": "motion", - "version": "3.0.0-alpha.1", + "version": "3.0.0-alpha.2", "description": "xCALLY Motion is the new Realtime asynchronous solution for your multichannel contact center over Asterisk.", "main": "src/app.js", "author": "\"xCally Team\"", @@ -31,11 +31,12 @@ "node": ">=12" }, "dependencies": { + "@alt3/sequelize-to-json-schemas": "^0.3.54", "@google-cloud/dialogflow": "^3.3.0", "apiai-promise": "^1.0.0", "archiver": "^5.1.0", "asterisk-manager": "^0.1.16", - "aws-sdk": "^2.799.0", + "aws-sdk": "^2.803.0", "basic-auth": "^2.0.1", "body-parser": "^1.19.0", "compare-versions": "^3.6.0", @@ -44,7 +45,7 @@ "cookie-parser": "^1.4.5", "cors": "^2.8.5", "cron-job-manager": "^2.1.4", - "cross-env": "^7.0.2", + "cross-env": "^7.0.3", "dotenv": "^8.2.0", "ejs": "^3.1.5", "eml-format": "^0.6.1", @@ -68,7 +69,7 @@ "jsonwebtoken": "^8.5.1", "jstz": "^2.1.1", "lodash": "^4.17.20", - "mailparser": "^3.0.0", + "mailparser": "^3.0.1", "md5": "^2.3.0", "method-override": "^3.0.0", "moment": "^2.29.1", @@ -86,6 +87,7 @@ "pdfmake": "^0.1.68", "pm2": "^4.5.0", "randomstring": "^1.1.5", + "redoc-express": "^1.0.0", "request": "^2.88.2", "request-promise-native": "^1.0.9", "rimraf": "^3.0.2", @@ -102,26 +104,29 @@ "sox": "^0.1.0", "squel": "^5.13.0", "sticky-session": "^1.1.2", - "systeminformation": "^4.30.5", + "swagger-jsdoc": "^6.0.0-rc.3", + "swagger-ui-express": "^4.1.5", + "systeminformation": "^4.30.11", "tiff2pdf": "^1.0.2", "to-csv": "^0.1.1", "triple-beam": "^1.3.0", - "twilio": "^3.52.0", + "twilio": "^3.53.0", "umzug": "^2.3.0", "user-agent-parser": "^0.6.0", "uuid": "^8.3.1", "validate": "^5.1.0", - "validator": "^13.1.17", + "validator": "^13.5.1", "winston": "^3.3.3", "ws": "^7.4.0", "xml2json": "^0.12.0", + "yaml": "^2.0.0-1", "zip-dir": "^2.0.0" }, "xcally": { - "shortHash": "129fb4d", + "shortHash": "f738f95", "branch": "develop", - "tag": "", - "committedOn": "2020-11-27T18:17:09.000Z", - "buildDate": "2020-11-27T18:25:21.390Z" + "tag": "v3.0.0-alpha.2", + "committedOn": "2020-12-04T18:43:43.000Z", + "buildDate": "2020-12-04T18:47:43.066Z" } } diff --git a/public/769-2d4ddce803566107f221.js b/public/769-2d4ddce803566107f221.js new file mode 100644 index 0000000..23546b4 --- /dev/null +++ b/public/769-2d4ddce803566107f221.js @@ -0,0 +1,2 @@ +/*! For license information please see 769-2d4ddce803566107f221.js.LICENSE.txt */ +var a2_0x3f75=["animate","blob","%d minuta"," skewness_lognormal skewness_negative_binomial skewness_noncentral_chi2 skewness_noncentral_student_t","a8m.fuzzy","user_agent","matchingKeys","hourValues","tweetEmbed","_onClose","related","parentNode","parameters parent parse partial partition partitions pascal passing password password_grace_time ","hljs-","right","themeColor","isUTC",'',"URL","physics_apply_local_force physics_apply_local_impulse ","matchState","ExpansionPanelGroup '{0}' is not available! Did you use md-component-id='{0}'?","$md.swipeup","//www.","noDecrementSeconds","heart_decoration","moment.invalid(/* ","ReadOnlyMemoryError Real ReentrantLock Ref Regex RegexMatch RemoteChannel RemoteException RevString RoundingMode ","FILE_WRITE_UMASK DIR_READ_UMASK DIR_WRITE_UMASK","%d diogan","dseOnValidUpdate ","badInput",':\n',"%[qQwWx]?\\[","deb887","compress cond cons cons? continue count curry cut cycle dec ",' \n','
','"["',"click touchstart","dynamic dynamicType else enum extension fallthrough false fileprivate final for func ",'md-offset-size="',"[data-uib-accordion-header],","useHttpService","ctFolder ","$cookies","ftComponents ","7fffd4","has been deprecated. Please use a `","dragPointer","itemChange","uibModalBackdrop","space_invader","keys",'emit "unhold"',"#455a64","material.components.fabSpeedDial","invokeHook","отображениеуправленияобычнойгруппы отображениефигурыкнопки палитрацветовдиаграммы поведениеобычнойгруппы ","\\w+","?^svPart","md.data.table","matchPassword","nekoliko sekundi","bool_not bool_or bool_xor ifThen rel_eq rel_ge rel_gt rel_le rel_lt ","[;{}]","{-#","swap","ngCsv.directives","néhány másodperce","sound","CLICK_OUTSIDE","'handler' must be a string, function, TargetState, or have a state: 'newtarget' property","icegatheringstatechange","supercede","password","Анализ ","assignInputDevice assignViewportFactories attachCurve attachDeviceAttr attachSurface ","0 0","Kohi_Hui_Pou_Pae_Hara_Pipi_Hōngoi_Here_Mahu_Whi-nu_Whi-ra_Haki","EDMS_ACCESS_TABLE_NAME ","ISearchFactory ","Жк_Дй_Шй_Шр_Бй_Жм_Иш","SYSRES_CONST_WIZARD_FORM_LABEL_TEST_STRING ","YYYYY","Failed to sanitize html because the element is clobbered: {0}","material.components.radioButton"," ","out","erb","'iensas secunds","app/directives/label-item/label-view.html","%d日","एक महिना","New-WinEvent New-WSManInstance New-WSManSessionOption Out-Default Out-File Out-GridView Out-Host Out-Null Out-Printer Out-String Pop-Location ","for threadfor","ПроверитьПараметрЗнач ","maH","which","controller","IJobFactory ","capnproto","rgb","einem Monat","store","ári","State '",'role="button" ',"[minulú stredu o] LT","offsetX","okta diimmu","syringe","YYYY-MM-DDTHH:mm:ss.SSS","ds_priority_destroy ds_priority_clear ds_priority_copy ","<3","ITabSheet ","#66CC33","(\\n{2}|_)","ngCsv.config","camera_set_end_script camera_set_view_pos camera_set_view_size ","border-top-right-radius","getRegisteredParts","timezone","obj || (obj = {});\n","queryItemsPool queryMagazinePool queryWeaponPool rad radioChannelAdd radioChannelCreate ","clearRipples","jtRight ","$STORAGE_KEY","stubFalse"," rem remainder remarray rembox remcomps remcon remcoord remfun remfunction","%d сехет","receiveUpdate()","$mdInteraction","mdDateRangePicker","SUMMARY","srcList","gpu_set_colourwriteenable gpu_set_alphatestenable ","ЕПусто ","ctDBMultiEllipsis ","onHandshake",'',"%d సంవత్సరాలు","SYSRES_CONST_USER_CERTIFICATE_THUMBPRINT_REQUISITE_CODE "," jaj","offAny","expandPanel","rid:%s %s %s"," is connecting","INQ",'
',"Min_Sen_Sel_Rab_Kam_Jum_Sab","подключитьрасширениеработыскриптографией подключитьрасширениеработысфайлами подробноепредставлениеошибки ","calendar","note","Sul_Lun_Meu_Mer_Yao_Gwe_Sad","ժամ","wink","leden_únor_březen_duben_květen_červen_červenec_srpen_září_říjen_listopad_prosinec","_bottom","%d நாட்கள்","\\s*}","_isRTL","getTable","Windows NT 4.0","encodeDashes","LookUpRequisiteNames ","=?max","8fbc8f","un giorno","reconnect_attempt","ФайлЗанят ","scaled_inv_chi_square exponential inv_gamma weibull frechet ","uns segundos","defn-alias defnc defnr defreader defseq del delattr delete-route dict-comp dir ","first-letter","ایک گھنٹہ","$$watchExpr","_stateRegistry","pc lr sp ip sl sb fp ","NOTICE_BLOCK_AFTER_FINISH_EVENT ","хвіліну_хвіліны_хвілін","(:|=|;|,|//|/\\*|$)","flowCtrl","_confirmed","draw_surface_part_ext draw_surface_general surface_getpixel ","shaders_are_supported vertex_format_begin vertex_format_end ","differenceWith","rgb(0, 245, 0)","moveIKtoFK moveKeyCtx moveVertexAlongDirection multiProfileBirailSurface mute ","publicVariable publicVariableClient publicVariableServer pushBack pushBackUnique putWeaponPool ","mdContent","sortingInProgress","HEAD","ngMessage","main","isContentEditable","iso val tag trn box ref","mdExpansionPanel","[\\.#:&\\[>]","timer_M","deleteLocation deleteMarker deleteMarkerLocal deleteRange deleteResources deleteSite deleteStatus ","resetChipBuffer","run say summarize write ","dtan darcsin darccos darctan darctan2 degtorad radtodeg power logn ","දින %d","attaching ack callback to event","\\s*\\.end\\s[a-zA-Z0-9]*","strtof strtofcplx strtriml strtrimr strtrunc strtruncl strtruncpad strtruncr submat subscat substute subvec sumc sumr ","rgb(0, 255, 128)","retinaScale","rgb(0, 245, 245)","Get-NsxLogicalRouterInterface Get-NsxLogicalRouterOspf Get-NsxLogicalRouterOspfArea Get-NsxLogicalRouterOspfInterface Get-NsxLogicalRouterPrefix ","SYSRES_CONST_VIEWER_REQUISITE_CODE ","heavy_exclamation_mark","datepickerPopupTemplateUrl","[jana] LT","win8_share_image win8_share_screenshot win8_share_file ","multiTooltipTemplate","order","stretchTabs"," edge_connectivity edges eigens_by_jacobi eigenvalues eigenvectors eighth","_updateView","unu minuto","Set-PSMetaConfigDocInsProcessedBeforeMeta Set-PSMetaConfigVersionInfoV2 Set-PSReadLineKeyHandler Set-PSReadLineOption Set-PSRepository Set-PSTopConfigurationName ","gpu_set_tex_repeat_ext gpu_set_tex_mip_filter ","nrstr|put|qcmpres|qleft|qlowcase|qscan|","ìsẹjú %d","truncatewords_html upper length phone2numeric wordwrap time addslashes slugify first ","mdp-event","#afb42b","SYSRES_CONST_EDOC_TEMPLATE_REQUISITE_CODE ",'ng-if="$mdTabsCtrl.enableDisconnect || tab.shouldRender()">
','Invalid "target" value, use a valid Element',"gl_MaxGeometryAtomicCounters gl_MaxGeometryImageUniforms gl_MaxGeometryInputComponents ","Windows 7","TIMER_J","[object NodeList]","directionY","._md-nav-button","armasm","and include_once list abstract global private echo interface as static endswitch ","ensureScalesHaveIDs","Test-NodeResourceSource Test-NodeResources Test-ScriptFileInfo Test-VMHostProfileCompliance Test-VMHostSnmp Test-VsanClusterHealth Test-VsanNetworkPerformance "," disamni","една година","md-border-bottom","[Фардо соати] LT","New-ModuleManifest New-PSDrive New-PSSession New-PSSessionConfigurationFile New-PSSessionOption New-PSTransportOption ","loadBackpack loadFile loadGame loadIdentity loadMagazine loadOverlay loadStatus loadUniform ","SET_IDSPS_VALUE_RULE_ID ","mdpTimePicker","new return throw await else","مارچ","පෙර වරු","dgdirty dgeval dgtimer dimWhen directKeyCtx directionalLight dirmap dirname disable ","ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode ","Windows 98","grid","SYSREQ_FUNC_HELP ","wow","['’]","ustreamEmbed","#d500f9","gt-xs","setDimensions","$mdDateRangePicker",".md-color-picker-result","пӗр кун","extends","Set-PSSessionConfiguration Set-ScheduledJob Set-ScheduledJobOption Set-Service Set-StrictMode Set-TraceSource Set-Variable Set-WmiInstance ","activeClass","jan_feb_mar_apr_maí_jún_júl_ágú_sep_okt_nóv_des","createSubdivRegion cross crossProduct ctxAbort ctxCompletion ctxEditMode ctxTraverse ","box","einem Tag","$uiViewScroll","_onConnect","ICrypto ","Windows 95","itPNG ","\\b(clipboard info|the clipboard|info for|list (disks|folder)|","getBoundingBox","ps__scrollbar-x-rail","जन._फेब्रु._मार्च_अप्रि._मई_जुन_जुलाई._अग._सेप्ट._अक्टो._नोभे._डिसे.","rport","transient","lock rep repe repz repne repnz xaquire xrelease bnd nobnd ","ngMessagesInclude","يناير","ngInputElement","(min-width: 1920px)","iPhone","^(test|testing|success|successful|failure|error|skip|xfail|uxsuccess)(:?)\\s+(test)?","jsx","?^mdInputContainer",'.js" onload="retargetLinks()"><\/script>','
\n'," सॅकंडांनी","бір күн","ޖޫން","//[a-z]*","#03a9f4","which is an invalid value","uz-latn","StateQueueManager","typeaheadPopupTemplateUrl","rtcpMux","baby","%d মাস","polyExtrudeVertex polyFlipEdge polyFlipUV polyForceUV polyGeoSampler polyHelix ","formatters","_method","steam_is_user_logged_on steam_get_user_steam_id steam_user_owns_dlc ","\\s*{","jedne minute","ui.bootstrap.paging","SYSRES_CONST_BEGIN_DATE_REQUISITE_CODE ","confid:%s","fa_middle fa_bottom pr_pointlist pr_linelist pr_linestrip ","cancelMultiplier","lncdfbvn2 lncdfmvn lncdfn lncdfn2 lncdfnc lnfact lngammacplx lnpdfmvn lnpdfmvt lnpdfn lnpdft loadd loadstruct loadwind ","thumbsdown","chars"," \n","ПользовательИД ","abstract","FDW_INVALID_OPTION_NAME FDW_INVALID_STRING_LENGTH_OR_BUFFER_LENGTH ","zh-mo","IECertificate ","rowHeight","$mdMedia","#33CC66","category"," dkummer_m dkummer_u dlange dodecahedron_graph dotproduct dotsimp dpart","гадзіну","achievement_our_info achievement_friends_info ","коннедели лог лог10 максимальноеколичествосубконто названиеинтерфейса названиенабораправ назначитьвид ","'n maand","none","validateModel","\\b(t{1}|nil)\\b","%s առաջ","начатьзапускприложения начатькопированиефайла начатьперемещениефайла начатьподключениевнешнейкомпоненты ","dlog dlog10 dmax1 dmin1 dmod dnint dsign dsin dsinh dsqrt dtan dtanh float iabs idim idint idnint ifix isign max0 max1 min0 min1 sngl ","sandal","SYSRES_CONST_STRIKEOUT_LIFE_CYCLE_STAGE_DRAW_STYLE ","JsSIP:AckClientTransaction","setzcomp shadow sign sin smoothstep specular specularbrdf spline sqrt step tan ","draw_text_ext_colour draw_text_transformed_colour ","СтрТблСпр ",'height="',"ancestors",";reg-id=","narrow","D MMMM YYYY, A h:mm -നു","md-radio-button.md-checked","gamepad_button_check_pressed gamepad_button_check_released ","WebkitAppearance","SSSS","checkTransaction","scrollbarYHeight","callee","D'A","setViewValue","sort uc defined srand accept package seekdir getprotobyname semop our rename seek if q|0 ","new Resolvable(): resolveFn argument must be a function","tedEmbed","mewn %s","toilet","emAccept ","targetState","dotfle dotflemt dotflt dotfltmt dotfne dotfnemt draw drop dsCreate dstat dstatmt dstatmtControlCreate dtdate dtday ","Cannot use higher-order sections without the original template","वेर","canPageForward","attributes","toString toUpper triggerActivated triggerActivation triggerArea triggerAttachedVehicle ","INoticeInfo ","cdfMvn2e cdfMvnce cdfMvne cdfMvt2e cdfMvtce cdfMvte cdfN cdfN2 cdfNc cdfNegBinomial cdfNegBinomialInv cdfNi ","jan_feb_mrt_apr_mai_jun_jul_aug_sep_okt_nov_des","isCalendarOpen","fdtText ","rgb(178, 178, 178)","...","[0-9]","[ម្សិលមិញ ម៉ោង] LT","XML_SYNTAX ","HTML5 date input types do not support custom formats.","'n jaar"," \n","fullscreen","FOCUSED_DATE_CLASS","Transport is already connected","gpu_set_tex_mip_enable_ext gpu_get_blendenable gpu_get_ztestenable ","__uiNodeId",'
',"@[a-zA-Z_][a-zA-Z_\\d]*","mode","_openCountExceedsMaxOpen","BrowserLocationConfig","function","availableConfig","goToPrevPage","icDialogFormEventHandler ","legendItems","dates","Transport is connecting","a8m.search-field","parseZone","#o[0-7]+(/[0-7]+)?","КодСпр ",'
","physics_test_overlap physics_remove_fixture physics_set_friction ","_erasNameRegex","beforeDatasetsDraw","factor","monkeyPatchPathParameterType","http://www.w3.org/1999/xhtml","TimingFunction","отображениепанелиразделов проверказаполнения режимдиалогавопрос режимзапускаклиентскогоприложения режимокругления режимоткрытияформприложения ","?ngMessages","$scope","808000","%s મા",'\n',"Redirected","SYSREQ_USERS_DOMAIN ","soatUnknown ","synthetic","_local_uri","aria-invalid","gutterShare","There are no matches available.","{\\w+}",'date-start="model.dateStart" ',"$$hash","%r{","bool byte complex64 complex128 float32 float64 int8 int16 int32 int64 string uint8 ","watchResponsiveAttributes","sfcRed ","\\d+\\.(?!\\d)(?:i\\b)?","rtl",", requires: [","\\^rem{","each","SYSREF_REFERENCES ","Abort AddBrandingImage AddSize AllowRootDirInstall AllowSkipFiles AutoCloseWindow BGFont BGGradient BrandingText BringToFront Call CallInstDLL Caption ChangeUI CheckBitmap ClearErrors CompletedText ComponentText CopyFiles CRCCheck CreateDirectory CreateFont CreateShortCut Delete DeleteINISec DeleteINIStr DeleteRegKey DeleteRegValue DetailPrint DetailsButtonText DirText DirVar DirVerify EnableWindow EnumRegKey EnumRegValue Exch Exec ExecShell ExecShellWait ExecWait ExpandEnvStrings File FileBufSize FileClose FileErrorText FileOpen FileRead FileReadByte FileReadUTF16LE FileReadWord FileSeek FileWrite FileWriteByte FileWriteUTF16LE FileWriteWord FindClose FindFirst FindNext FindWindow FlushINI FunctionEnd GetCurInstType GetCurrentAddress GetDlgItem GetDLLVersion GetDLLVersionLocal GetErrorLevel GetFileTime GetFileTimeLocal GetFullPathName GetFunctionAddress GetInstDirError GetLabelAddress GetTempFileName Goto HideWindow Icon IfAbort IfErrors IfFileExists IfRebootFlag IfSilent InitPluginsDir InstallButtonText InstallColors InstallDir InstallDirRegKey InstProgressFlags InstType InstTypeGetText InstTypeSetText Int64Cmp Int64CmpU Int64Fmt IntCmp IntCmpU IntFmt IntOp IntPtrCmp IntPtrCmpU IntPtrOp IsWindow LangString LicenseBkColor LicenseData LicenseForceSelection LicenseLangString LicenseText LoadLanguageFile LockWindow LogSet LogText ManifestDPIAware ManifestSupportedOS MessageBox MiscButtonText Name Nop OutFile Page PageCallbacks PageExEnd Pop Push Quit ReadEnvStr ReadINIStr ReadRegDWORD ReadRegStr Reboot RegDLL Rename RequestExecutionLevel ReserveFile Return RMDir SearchPath SectionEnd SectionGetFlags SectionGetInstTypes SectionGetSize SectionGetText SectionGroupEnd SectionIn SectionSetFlags SectionSetInstTypes SectionSetSize SectionSetText SendMessage SetAutoClose SetBrandingImage SetCompress SetCompressor SetCompressorDictSize SetCtlColors SetCurInstType SetDatablockOptimize SetDateSave SetDetailsPrint SetDetailsView SetErrorLevel SetErrors SetFileAttributes SetFont SetOutPath SetOverwrite SetRebootFlag SetRegView SetShellVarContext SetSilent ShowInstDetails ShowUninstDetails ShowWindow SilentInstall SilentUnInstall Sleep SpaceTexts StrCmp StrCmpS StrCpy StrLen SubCaption Unicode UninstallButtonText UninstallCaption UninstallIcon UninstallSubCaption UninstallText UninstPage UnRegDLL Var VIAddVersionKey VIFileVersion VIProductVersion WindowIcon WriteINIStr WriteRegBin WriteRegDWORD WriteRegExpandStr WriteRegMultiStr WriteRegNone WriteRegStr WriteUninstaller XPStyle","clearOnCancel","buffer_async_group_end buffer_load_async buffer_save_async ","nsis","params","\\.md-","%d isgasn","CHECK_CODE_REQUISITE_RULE_ID ","spans","pointerdown","defaultIconSize","metal","__LINE__ begin_keywords celldefine default_nettype define ","EQ LT GT NE GE LE OR XOR","%d أيام","[το προηγούμενο] dddd [{}] LT","renderQualityNode renderSettings renderThumbnailUpdate renderWindowEditor ","menuitemcheckbox","a8m.repeat","updateMinutes","legendCallback","hylang","findPreviousWhere","ein månad"," matches available.","isang buwan","%d μήνες","set set3DENAttribute set3DENAttributes set3DENGrid set3DENIconsVisible set3DENLayer ","'n uur","день","ps--x","$parse","_shortWeekdaysParse","rgb(153, 153, 255)","\n","$mdContentLoaded","opening","hammer","SYSREQ_EDOC_TEXT_MODIFIED ","બપોર","ഞായർ_തിങ്കൾ_ചൊവ്വ_ബുധൻ_വ്യാഴം_വെള്ളി_ശനി","Якш_Душ_Сеш_Чор_Пай_Жум_Шан","endfilter debug block endblock else autoescape endautoescape csrf_token empty elif ",'

',"closeable","utDeveloper ","md-calendar-date-disabled","http error","md-dialog-backdrop md-opaque","e614","isOnlyOpen","(is|$)"," poly_s_polynomial poly_subtract polytocompanion pop postfix potential power_mod","stringify","min","tooltipActive","layer_sprite_get_y layer_tilemap_get_id layer_tilemap_exists ","ஞாயிற்றுக்கிழமை_திங்கட்கிழமை_செவ்வாய்கிழமை_புதன்கிழமை_வியாழக்கிழமை_வெள்ளிக்கிழமை_சனிக்கிழமை","camera_get_view_border_y camera_get_view_angle ","MatrixView.formItem.config.required","heavy_minus_sign","months","#00e676","applyTransitionDelay","WSP","areq","(?!%})(","receiveBuffer","Get-OrgVdcNetwork Get-OvfConfiguration Get-PSCurrentConfigurationNode Get-PSDefaultConfigurationDocument Get-PSMetaConfigDocumentInstVersionInfo Get-PSMetaConfigurationProcessed ","do_lu_ma_me_gi_ve_sa","получитьфункциональнуюопциюинтерфейса получитьчасовойпоясинформационнойбазы пользователиос поместитьвовременноехранилище ","[Järgmine] dddd LT","getOption"," defint defmatch defrule defstruct deftaylor degree_sequence del delete deleten","massage","postponed procedure process property protected pure range record register reject ","add8e6","[+\\-]","SCRIPT_BLOCK_EXECUTION_RESULTS_PROPERTY ","MONTH","stringArrayRemoveDuplicates stringArrayRemoveExact stringArrayToString ","md-table-progress.html","mdShrinkSpeedFactor","[Ọ̀la ni] LT","getSuppression getTerrainGrid getTerrainHeightASL getText getTotalDLCUsageTime getUnitLoadout "," poislim poisson poly_coefficient_ring poly_elimination_order polyfactor poly_grobner_algorithm","preventOnFilter","за %s","layer_background_alpha layer_background_index layer_background_speed ","#FF3366","step","sticky-prev-state","dddd [саат] LT","u[\\dA-Fa-f]{4}|","$$prepared","hitRadius","_onunhold","dddd [عند الساعة] LT","mdIndeterminate","dom._lun._mar._mié._jue._vie._sáb.","gpu_set_alphatestref gpu_set_alphatestfunc gpu_set_texfilter ","QNX","getOsName","titleBlock","%r\\|","extractElementByName"," மாலை","offsetGridLines","SYSREQ_REF_TYPE_REQ_DESCRIPTION ","Edge/",".mdp-calendar-monthyear","audio_emitter_get_y audio_emitter_get_z audio_emitter_get_vx ","tailrec where const inner suspend typealias external expect actual ","im8bGrayscale ","audio_falloff_linear_distance_clamped ","autoDetection","sort start subst time title tree type ver verify vol ","CANT_CHANGE_ID_REQUISITE_RULE_ID ","gdaReadStruct gdaReportVarInfo gdaSave gdaUpdate gdaUpdateAndPack gdaVars gdaWrite gdaWrite32 gdaWriteSome getarray ","getpath getPreviousTradingDay getPreviousWeekDay getRow getscalar3D getscalar4D getTrRow getwind glm gradcplx gradMT ","WriteFile ","toggleAll","heavy_division_sign","su_må_ty_on_to_fr_la","createOffer","Date range picker","md-menu-bar-menu","dttime dttodtv dttostr dttoutc dtvnormal dtvtodt dtvtoutc dummy dummybr dummydn eig eigh eighv eigv elapsedTradingDays ","plotAddHist plotAddHistF plotAddHistP plotAddPolar plotAddScatter plotAddShape plotAddTextbox plotAddTS plotAddXY plotArea ","lab",")\\.?","arrow_down","formatter","sad","Literal date support has been deprecated, please switch to date object usage","Illegal","rootElementKeyupCallback","books","lastRipple","ctEdit ","отключитьобработчикзапросанастроекклиенталицензирования отключитьобработчикожидания отключитьобработчикоповещения ","polyEditUV polyEditUVShell polyEvaluate polyExtrudeEdge polyExtrudeFacet ","[آج بوقت] LT","ngClick","Allow: ","SYSREQ_FUNC_PARAM_DEF_VALUE ","nextItem","dddd [à] LT","uri","://","flags","","chart","printf putchar puts scanf sinh sin snprintf sprintf sqrt sscanf strcat strchr strcmp ","uniq","min_interval","removeHoverStyle","pingIntervalTimer","SYSRES_CONST_REQ_MODE_EDIT_CODE ","_calendarEl","E_EDOC_VERSION_ALREADY_APPROVINGLY_SIGNED_BY_USER ","setMarkerBrushLocal setMarkerColor setMarkerColorLocal setMarkerDir setMarkerDirLocal ","\\[/noprocess\\]","не_по_ут_ср_че_пе_су","_failed","border-style","is_ptr is_vec3 is_vec4 is_matrix is_bool typeof ","%q{",'is-disabled-date="config.isDisabledDate ? config.isDisabledDate($date) : model.isDisabledDate({ $date: $date })" ',"bar_chart","ExtractFileDrive ","mdInkRipple","SYSRES_CONST_FILTER_REQUISITE_CODE ","combine constant convert create new alias folder directory decrypt delete variable word line folder ",'

\n',"abs accTime acos action actionIDs actionKeys actionKeysImages actionKeysNames ","rok","dddd [ag] LT","startsWith stride strideof strideofValue swap toString transcode ","%d heures","اربع","NONOPERATING_RECORD_FLAG_FEMININE ","getInnerHTML","receiveInviteResponse()","mindate","#-}","%d گھنٹے","surface_set_target_ext surface_reset_target surface_depth_disable ","mdChipRemove","mdNumeric","mdDelay","un nebeud segondennoù","_interceptors","[आज] LT","retry_after","[Утган] dddd [куни соат] LT [да]","$$topModalIndex","counter-reset","https://api.twitter.com/1/statuses/oembed.json?omit_script=true&&url=","ugc_query_CreatedByFriendsRankedByPublicationDate ","requestError","di_lu_ma_me_ĵa_ve_sa","alarm_set font_texture_page_size keyboard_set_map keyboard_get_map ","specialize strict unaligned varargs ","\\$|\\?|%|,|;$|~|#|@|{{ day.value }}',"%d meneti","SYSRES_CONST_USER_COMMON_CATEGORY ","max-forwards","selectedDate","%d dinten",' ',"\\[\\|",") +\n'","ФайлСуществует ","layoutDelegate","_isReadyToReOffer() | internal WebRTC status not ready",'',"md-autocomplete: Could not resolve display value to a string. ","CompilerElse CompilerElseIf CompilerEndIf CompilerEndSelect CompilerError ","alignstack atomic catch cleanup filter inteldialect ","\\s*>>","some","СпрТекПредст ","NG_EMBED_BASIC_EMOTICONS"," hónapja","_closeTo","uptime_days uptime_hours uptime_seconds uuid virtual vlans xendomains zfs_version zonenae zones zpool_version","normalizeUIViewTarget","
\n","actionByKey","dddd [diwethaf am] LT","أقل من يوم","siječnja_veljače_ožujka_travnja_svibnja_lipnja_srpnja_kolovoza_rujna_listopada_studenoga_prosinca","connection:%s","smirk",'q"\\{',"selectAll","nⓝnǹńñṅňṇņṋṉƞɲʼnꞑꞥ","mdComponentId",'aria-selected="{{tab.isActive()}}" ',"handleClickSelectThisMonth","useBothWheelAxes","rgb(0, 0, 255)","Κυριακή_Δευτέρα_Τρίτη_Τετάρτη_Πέμπτη_Παρασκευή_Σάββατο","SYSRES_CONST_DETAIL_PICK_REQUISITE_CODE ","sanga dama dama","Get-vRAPropertyDefinition Get-vRAPropertyGroup Get-vRARequest Get-vRARequestDetail Get-vRAReservation Get-vRAReservationComputeResource Get-vRAReservationComputeResourceMemory ","थोडया सॅकंडांनी","rgb(153, 255, 153)","cos cosh cvPower div div0 eDist entropy errorf execSeed exp fact ","stopImmediatePropagation","%sទៀត",'\n',"typeaheadMinLength"," substring subvar subvarp sum sumcontract summand_to_rec supcase supcontext"," with ViewConfig from context='","$isEmpty",'","vmView ","isState","%s temu"," cdf_rank_sum cdf_rayleigh cdf_signed_rank cdf_student_t cdf_weibull cdisplay","*/*","phy_joint_reaction_force_y phy_joint_reaction_torque ","physics_particle_delete_region_box ","[danas u] LT","samesite","toggle","setahun","AUTO_NUMERATION_RULE_ID ","[moarn om] LT","boolean byte cbool cbyte cchar cdate cdec cdbl char cint clng cobj csbyte cshort csng cstr ctype ","_appendGhost","ruleslanguage",'" at ',"dog2","січень_лютий_березень_квітень_травень_червень_липень_серпень_вересень_жовтень_листопад_грудень","COL_DESCRIPTION OBJ_DESCRIPTION SHOBJ_DESCRIPTION ","loadVest local localize locationPosition lock lockCameraTo lockCargo lockDriver locked ","gl_MaxTessEvaluationTextureImageUnits gl_MaxTessEvaluationUniformComponents ","emChangeWorkAccessType ","abort array attrib by call cards cards4 catname continue ","vsmSingle ","SYSRES_CONST_COMP_CODE_GRD ","ПоискСтр ","hoverRow","نومبر","openPanels","componentProvider","buildDateRow","bride_with_veil","stretch","$$Map","parseUrl","_ok","$translateLoadingError","physics_particle_delete_region_poly physics_particle_set_flags ","IArchiveInfo ","target in out common equivalence data","urt._ots._mar._api._mai._eka._uzt._abu._ira._urr._aza._abe.","md-sticky-clone"," mainvar manual_demo maperror mapprint matrix_element_add matrix_element_mult","deleteRipples","A h:mm সময়","column-count","SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_AUTH_TYPE_ACTION ",".md-label","unbindEvents","saa limoja","PⓅPṔṖƤⱣꝐꝒꝔ","sitofp fptoui fptosi inttoptr ptrtoint bitcast ","[morgen um] LT [Uhr]","minMode","عام واحد","to step next return module inline throw import","[Għada fil-]LT","willInsertAfter","alog alog10 amax0 amax1 amin0 amin1 amod cabs ccos cexp clog csin csqrt dabs dacos dasin datan datan2 dcos dcosh ddim dexp dint ","получитьскоростьклиентскогосоединения получитьсоединенияинформационнойбазы получитьсообщенияпользователю ","registerUIView","%d tháng","내일 LT","addAnimation","layer_background_xscale layer_background_blend ","relevance","IPickRequisiteItems ","SET_PIN_MODE INTERNAL2V56 SYSTEM_RESET LED_BUILTIN ","public private property continue exit extern new try catch ","configureInput","trident","ஒரு நிமிடம்","data:image/svg+xml;base64,PHN2ZyB2ZXJzaW9uPSIxLjEiIHg9IjBweCIgeT0iMHB4IiB2aWV3Qm94PSIwIDAgNDggNDgiPjxwYXRoIGQ9Ik0yNCAxNmwtMTIgMTIgMi44MyAyLjgzIDkuMTctOS4xNyA5LjE3IDkuMTcgMi44My0yLjgzeiIvPjxwYXRoIGQ9Ik0wIDBoNDh2NDhoLTQ4eiIgZmlsbD0ibm9uZSIvPjwvc3ZnPg==","all others subtype and for out synchronized array function overriding ","finishedReconstruction","%d秒","%d տարի","material.core.layout","#546e7a","invalid rule","اپریل","ColumnContainer","queryChildren","getMilliseconds","%s бұрын","_backdropRef","achievement_send_challenge achievement_load_progress ","DOCUMENT_FRAGMENT_NODE","until while with var","sa loob ng %s","isFirst","%s પહેલા","icAfterLookUpEventHandler ","minimizing model models ne negative no not option options or ord ","a8m.slugify","CERTIFICATE_TYPE_ENCRYPT ","gc dbg linker_private_weak attributes blockaddress ","утра","[jutri ob] LT","remove3DENLayer removeAction removeAll3DENEventHandlers removeAllActions removeAllAssignedItems ","mwForm.pageEvents.pageAdded","scream",'emit "requestFailed"',"(^)","sumBy","addColumn","onChange","resolved","authorization_jwt","trewind tselect tunmenu undo undojoin undolist unabbreviate unhide unlet unlockvar unmap unmenu unsilent update vglobal version verbose vertical vimgrep vimgrepadd visual viusage view vmap vmapclear vmenu vnew ","Get-HCXReplication Get-HCXReplicationSnapshot Get-HCXService Get-HCXSite Get-HCXSitePairing Get-HCXVM Get-HardDisk Get-IScsiHbaTarget ","envelope","lastPutMode","установитьпараметрыфункциональныхопцийинтерфейса установитьпривилегированныйрежим ","false nil true",'',"RegisteredHook","octopus","[Өнөөдөр] LT","D MMMM YYYY HH:mm",'"handling"',"rgb(214, 0, 214)","mapAnimClear mapAnimCommit mapAnimDone mapCenterOnCamera mapGridPosition markAsFinishedOnSteam ","sprite_get_bbox_top sprite_get_bbox_bottom sprite_save ","startSymbol","class family instance where","radioButtonView","SonyST|SonyLT|SonyEricsson|SonyEricssonLT15iv|LT18i|E10i|LT28h|LT26w|SonyEricssonMT27i|C5303|C6902|C6903|C6906|C6943|D2533","_fullWeekdaysParse","Register request in progress...","দুপুর","getChipContent","bho chionn %s","#available #colorLiteral #column #else #elseif #endif #file ","ui.bootstrap.datepicker","liveleak","SYSDLG_CURRENT_PERIOD ","destroyMessage",";ob","TRAILING UNION UNIQUE USING VARIADIC|10 VERBOSE WHEN WHERE WINDOW WITH ",'ng-model-options="{0}"',"car",'
\n',"emChangeVersionNote ","float","attach","ned._pon._uto._sri._čet._pet._sub.","UTCToLocalTime ","SYSTEM_SETTINGS_PSEUDOREFERENCE_CODE ","GML","CONNECTING","DOMParser","usePointStyle","_reconnection","a8m.xor","EDOCUMENT_LINK_KIND ","IReferenceFactory ","aria-readonly","[mañana a la","SYSRES_CONST_FOLDER_KIND_EDOCS ","mõne sekundi","%d يوم","dateFilter","trace this","Speaker ","preset before requesting one.","categoryWidth","1 ຊົ່ວໂມງ","s_v_m_g_d_b_L","#f5f5f5","toDegrees","button,[contenteditable]","ds_priority_change_priority ds_priority_find_priority ","sqf",'"+"',"shl","TEMPLATE","sips","EEE","_enabled","webkitAnimationEnd animationend","withParams","setTime","0'.\\|0[box][0-9a-fA-F]*","questionTypeChanged","xml","[\\x80-\\xBF]","zoneName","uib-position-body-scrollbar-measure","rgb(0,0,0)","^\\=end","transitionInClass","OBJECT_IN_USE CANT_CHANGE_RUNTIME_PARAM LOCK_NOT_AVAILABLE OPERATOR_INTERVENTION ","phy_particle_data_flag_typeflags phy_particle_data_flag_position "," tundi","skipReconnect","love_hotel","[A-màireach aig] LT","$chip","%d il",'',"SYSREF_REPORTS ","SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_LOGIN_ACTION ","stringular","UibAlertController","epxansionPanelGroupCtrl","vertex_usage_psize vertex_usage_tangent vertex_usage_binormal ","Do MMM YYYY","_addStyles",'
',"dseAfterInsert ","newDTMF","wdConfirmClick","memoize","md-nest-level","JsSIP:ERROR:DigestAuthentication","#9900CC","%d horoj","chrw regexp server response request cstr err","steam_ugc_get_item_update_progress steam_ugc_subscribe_item ","postProcess"," quantile_normal quantile_pareto quantile_poisson quantile_rayleigh quantile_student_t","sekundit","ctFunction ","STORAGE_TYPE_SAPERION ","mdMenuCtrl","INTERNAL1V1 SYSEX_START INTERNAL EXTERNAL ","lch","%d yıl","reverseSort","configMerge","imageattr:%s %s %s","createBackdrop","wsrGoFinal ","типгруппировкикомпоновкиданных типгруппыэлементовотборакомпоновкиданных типдополненияпериодакомпоновкиданных ","янв_фев_март_апр_май_июнь_июль_авг_сен_окт_ноя_дек"," expintegral_e expintegral_e1 expintegral_ei expintegral_e_simplify expintegral_li","(Procedure|Declare)(C|CDLL|DLL)?","диаграмма диаграммаганта диалогвыборафайла диалогвыборацвета диалогвыборашрифта диалограсписаниярегламентногозадания ","CompilerIf CompilerSelect CompilerWarning Continue Data DataSection Debug ","dddd[n je] LT","en-gb","isLeapYear",":(visited|valid|root|right|required|read-write|read-only|out-range|optional|only-of-type|only-child|nth-of-type|nth-last-of-type|nth-last-child|nth-child|not|link|left|last-of-type|last-child|lang|invalid|indeterminate|in-range|hover|focus|first-of-type|first-line|first-letter|first-child|first|enabled|empty|disabled|default|checked|before|after|active)","\\$(\\{)?(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*","rgb(102, 102, 255)","#1b5e20","APOS_STRING_MODE","setAperture setApertureNew setArmoryPoints setAttributes setAutonomous setBehaviour ","mizar","wsControlled ","siku moja",'',"@NSCopying|@NSManaged|@objc|@objcMembers|@convention|@required|","dayOfMonthValues","flushed","recovery_options","Scanner","So_Mé_Dë_Më_Do_Fr_Sa","autoWrap","viewMapper","toUpper","md-grid-list: Tile at position ",'"sips"',"separator","Chrome",'"CANCEL"',"D MMMM YYYY р., HH:mm","rokmi","sob","Domh","color.toRgbString()","[object String]","remoteUrlDataField","dragend","mdPanelProvider: The panel preset definition is ","curr","noCache","resource","Kari_Ntɛnɛn_Tarata_Araba_Alamisa_Juma_Sibiri","dialog ","set-error-mode! error-mode shutdown-agents quote var fn loop recur throw try monitor-enter ","nearEntities nearestBuilding nearestLocation nearestLocations nearestLocationWithDubbing ","find","md-input-container",'"auth"',"ctrlAutoScrollRewind ctrlAutoScrollSpeed ctrlChecked ctrlClassName ctrlCommit ctrlCommitted ","USERS_PSEUDOREFERENCE_CODE ","alert-dismissible","markerAlpha markerBrush markerColor markerDir markerPos markerShape markerSize markerText ","a8m.ltrim","#fff8e1","Get-NsxCliDfwFilter Get-NsxCliDfwRule Get-NsxClusterStatus Get-NsxController Get-NsxDynamicCriteria Get-NsxDynamicMemberSet Get-NsxEdge Get-NsxEdgeBgp ","raised","rtcOfferConstraints","contenteditable","SYSRES_CONST_REFERENCES_DISPLAY_REQUISITE_REQUISITE_CODE ","thought_balloon","touchstart","clip-path","%d seconds","unregisterFn","[minulý] dddd [v] LT","flowImg","matchInsensitive","disableOptions","md-optgroup","waypointAttachedVehicle waypointAttachObject waypointAttachVehicle waypointBehaviour ","weaponAccessories weaponAccessoriesCargo weaponCargo weaponDirection weaponInertia weaponLowered ","or primitive recover repeat return struct then trait try type until ","editorTemplate effector emit emitter enableDevice encodeString endString endsWith env ","no_pedestrians","SwipeRight","януари_февруари_март_април_май_юни_юли_август_септември_октомври_ноември_декември","CT695|CT888|CT[\\s]?910|CT7 Tab|CT9 Tab|CT3 Tab|CT2 Tab|CT1 Tab|C820|C720|\\bCT-1\\b","%d ore","md-panel-animate-fade","iePointerMap","updateErrorState","SYSRES_CONST_ACTION_TYPE_UNLOCK ","mdColorPickerSpectrum","JOB_BLOCK_AFTER_QUERY_PARAMETERS_EVENT ","beforeBuildLabels","pluginResourceUtil pointConstraint pointCurveConstraint pointLight pointMatrixMult ","signed typename this switch continue wchar_t inline readonly assign ","$ngControllerController","SYSREQ_EDOC_STORAGES_ARCHIVE_STORAGE ","üks aasta","REFTYPES_PSEUDOREFERENCE_CODE ","shortNameOf showHelp showHidden showManipCtx showSelectionInTitle ",'',"instanceable instancer intField intFieldGrp intScrollBar intSlider intSliderGrp ","=?mdBoundaryLinks","Arguments","getBody","frowning","бир ай",") that exceeds the column count ","miezi %d",'"reason"',"cfInternal cfDisplay ","itemsExpr","mdAutoExpand","mort|n|netpv|nmiss|normal|note|npv|open|ordinal|","_via_transport","double","focusFirst","(orientation: portrait)","data:image/svg+xml;base64,PHN2ZyB2ZXJzaW9uPSIxLjEiIHg9IjBweCIgeT0iMHB4IiB2aWV3Qm94PSIwIDAgMjQgMjQiPjxwYXRoIGQ9Ik0zLDZIMjFWOEgzVjZNMywxMUgyMVYxM0gzVjExTTMsMTZIMjFWMThIM1YxNloiIC8+PC9zdmc+","ugc_query_NotYetRated ugc_query_RankedByTotalVotesAsc ","[^a-zA-Z0-9_\\}\\$]","badcfg","BOOL dispatch_once_t dispatch_queue_t dispatch_sync dispatch_async dispatch_once","Behavior bool color coordinate date double enumeration font geocircle georectangle ","getAlpha","\\s+{","hsl(","vehicleCargoEnabled vehicleChat vehicleRadio vehicleReceiveRemoteTargets vehicleReportOwnPosition ","direction","camera_set_default camera_set_view_mat camera_set_proj_mat ","[tänään] [klo] LT","SCALE","charAt","_error","\\b(Procedure|Declare)(C|CDLL|DLL)?\\b"," with wrong or missing challenge, cannot authenticate","makeTargetState","хранилищевариантовотчетов хранилищенастроекданныхформ хранилищеобщихнастроек хранилищепользовательскихнастроекдинамическихсписков ","[prejšnji] dddd [ob] LT","setAttrMapping setAttrNiceNameResource setConstraintRestPosition ","ef_smoke ef_smokeup ef_star ef_spark ef_flare ef_cloud ef_rain ","0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz-_","clientTop","ctButton ",".**","DB_AFTER_LAST_ROW DB_ALL_TABLES DB_BATCH_OPERATIONS DB_BEFORE_FIRST_ROW DB_BLOB DB_EVENT_NOTIFICATIONS ","min max mean median clamp lerp dot_product dot_product_3d ","New-TagAssignment New-TagCategory New-Template New-TemporaryFile New-VAIOFilter New-VApp New-VDPortgroup New-VDSwitch New-VDSwitchPrivateVlan New-VDisk ","ввестистроку ввестичисло возможностьчтенияxml вопрос восстановитьзначение врег выгрузитьжурналрегистрации ","engine.io-client:polling-xhr","UC Browser","$rootElement","getLength","selectedDt","9acd32","_updatePosition","%d ثانیه","SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_AUTH_TYPE_ACTION_CODE ","Januari_Februari_Maret_April_Mei_Juni_Juli_Agustus_September_Oktober_November_Desember","[jučer u] LT","DocumentTouch","კვი_ორშ_სამ_ოთხ_ხუთ_პარ_შაბ","tiger","exclamation","SYSRES_CONST_ACCESS_RIGHTS_VIEW_CODE ","ariaTabIndex","stored class safecall var interface or private static exit index inherited to else stdcall ","Unknown OS","Ням_Даваа_Мягмар_Лхагва_Пүрэв_Баасан_Бямба","console","месяц","handleClickSelectThisYear",'
\n\t
\n\n\t
\n\n\t\t
\n\t\t\t\x3c!--{{value}}--\x3e\n\t\t\t
\n\t\t\t\t\n\t\t\t
\n\t\t\t
\n\t\t\t\t\n\t\t\t\t\t\n\t\t\t\t\t\n\t\t\t\t\t\n\t\t\t\t\t\x3c!--\n\t\t\t\t\t--\x3e\n\t\t\t\t\n\t\t\t
\n\t\t
\n\t
\n\n\t
\n\t\t\n\t\t\t\n\t\t\t\t\n\t\t\t\t\t\n\t\t\t\t\n\t\t\t\t\n\t\t\t\t\t
\n\t\t\t\t\t\t
\n\t\t\t\t\t\t
\n\t\t\t\t\t\t
\n\t\t\t\t\t
\n\t\t\t\t
\n\t\t\t
\n\t\t\t\n\t\t\t\t\n\t\t\t\t\t\n\t\t\t\t\n\t\t\t\t\n\t\t\t\t\t
\n\t\t\t\t\t\t
\n\t\t\t\t\t\t\t
\n\t\t\t\t\t\t\t\tR\n\t\t\t\t\t\t\t
\n\t\t\t\t\t\t\t\n\t\t\t\t\t\t\t\n\t\t\t\t\t\t\t
\n\t\t\t\t\t\t\t\t\n\t\t\t\t\t\t\t
\n\t\t\t\t\t\t
\n\t\t\t\t\t\t
\n\t\t\t\t\t\t\t
\n\t\t\t\t\t\t\t\tG\n\t\t\t\t\t\t\t
\n\t\t\t\t\t\t\t\n\t\t\t\t\t\t\t\n\t\t\t\t\t\t\t
\n\t\t\t\t\t\t\t\t\n\t\t\t\t\t\t\t
\n\t\t\t\t\t\t
\n\t\t\t\t\t\t
\n\t\t\t\t\t\t\t
\n\t\t\t\t\t\t\t\tB\n\t\t\t\t\t\t\t
\n\t\t\t\t\t\t\t\n\t\t\t\t\t\t\t\n\t\t\t\t\t\t\t
\n\t\t\t\t\t\t\t\t\n\t\t\t\t\t\t\t
\n\t\t\t\t\t\t
\n\t\t\t\t\t\t
\n\t\t\t\t\t\t\t
\n\t\t\t\t\t\t\t\tA\n\t\t\t\t\t\t\t
\n\t\t\t\t\t\t\t\n\t\t\t\t\t\t\t\n\t\t\t\t\t\t\t
\n\t\t\t\t\t\t\t\t\n\t\t\t\t\t\t\t
\n\t\t\t\t\t\t
\n\t\t\t\t\t
\n\t\t\t\t
\n\t\t\t
\n\t\t\t\n\t\t\t\t\n\t\t\t\t\t\n\t\t\t\t\n\t\t\t\t\n\t\t\t\t\t
\n\n\t\t\t\t\t
\n\t\t\t\t
\n\t\t\t
\n\t\t\t\n\t\t\t\t\n\t\t\t\t\t\n\t\t\t\t\n\t\t\t\t\n\t\t\t\t\t
\n\n\t\t\t\t\t
\n\t\t\t\t
\n\t\t\t
\n\t\t\t\n\t\t\t\t\n\t\t\t\t\t\n\t\t\t\t\n\t\t\t\t\n\t\t\t\t\t
\n\t\t\t\t\t\t
\n\t\t\t\t\t\t\t
\n\t\t\t\t\t\t\t\t
\n\t\t\t\t\t\t\t
\n\t\t\t\t\t\t
\n\n\n\t\t\t\t\t\t\n\t\t\t\t\t\t\t\n\t\t\t\t\t\t\n\t\t\t\t\t
\n\t\t\t\t
\n\t\t\t
\n\t\t
\n\t
\n\n
\n',"rgb(255, 179, 102)","%d λεπτά","wfe|wfi|yield","previousElementSibling","buildTicks","interface","=?mdRemovable"," ","getUTCFullYear","begin_provider &begin_provider end_provider begin_shell end_shell begin_template end_template subst assert touch ","RM-790|RM-997|RMD-878G|RMD-974R|RMT-705A|RMT-701|RME-601|RMT-501|RMT-711","%d segundos","shadowRoot","Function PageEx Section SectionGroup","CHECK_CHANGING_REFERENCE_RECORD_USE_RULE_ID ",'',"wen","arrow_right","icWizardEventHandler ","MSI \\b(Primo 73K|Primo 73L|Primo 81L|Primo 77|Primo 93|Primo 75|Primo 76|Primo 73|Primo 81|Primo 91|Primo 90|Enjoy 71|Enjoy 7|Enjoy 10)\\b","TypeError","SHORTDAY","\\b[A-Z][\\w]*(\\((\\.\\.|,|\\w+)\\))?","viewConfig","سەھەر","r0 r1 r2 r3 r4 r5 r6 r7 r0b r1b r2b r3b r4b r5b r6b r7b ","toUpperCase","SYSRES_CONST_PLUGINS_SETTINGS_DESCRIPTION_REQUISITE_CODE ","ap-reduce ap-reject apply as-> ascii assert assoc bin break butlast ","[Вчера в] LT","title subtitle fade_in fade_out fade_at clear_color color line_color ","setmetatable tonumber tostring type unpack xpcall arg self","minSelections","$mdIconProvider","heart","Timeout Transparent Type Typeclasses Types Undelimit Undo Unfocus Unfocused ","_credentials","data-ng-repeat","([eE][-+]?\\d+)?","[eilen] [klo] LT","icEDocLookUpEventHandler ","userAgent","УдалитьТаблицу ","md-no-float","part_system_clear part_system_draw_order part_system_depth ","dropdownMenuTemplateUrl","selectedAnswers","=?mdMode","io server disconnect","chipBuffer","assembly module package import alias class interface object given value ","ini","ev_gesture_rotate_start ev_gesture_rotating ev_gesture_rotate_end ","\\$/","%d मिनिटे",'
\n',"^time:","noinert","placementClassPrefix","indigo","skeleton_animation_clear skeleton_skin_set skeleton_skin_get ","shouldAddOnBlur","<","ЗначПоляСпр ","getMaximumWidth","|&[lg]t;","[azi la] LT","aemDisabledOnBrowseEmpty ","Expires","strong","getWeaponSway getWingsOrientationRTD getWingsPositionRTD getWPPos glanceAt globalChat globalRadio ","useLoader","dddd, D [de] MMMM [de] YYYY H:mm","flex-lt-md","servicesPlugin","afterTitle","if else elseif end region externalsource","setVectorUp setVehicleAmmo setVehicleAmmoDef setVehicleArmor setVehicleCargo setVehicleId ","MIN_SESSION_EXPIRES","otherAnswer","%d سائەت","draw_get_color draw_get_alpha merge_colour make_colour_rgb ","$coverage_get $coverage_save $set_coverage_db_name $rose $stable $past ","useFactory","getCursorPosition","deleteColumn","NG_DEFAULT_TEMPLATE_URL","dddd [tɛmɛnen lɛrɛ] LT","_reattemptTimer","handle","Job ",".md-expansion-panel-footer-container","onDone","SYSREQ_EDOC_SIGNED ","REQUEST_TIMEOUT",'"\\t"',"bath","associativity break case catch class continue convenience default defer deinit didSet do ","(VZOFX|VZOFY|VZOFZ)","lollipop","emChangeVersionHidden ","%s முன்","EⒺEÈÉÊỀẾỄỂẼĒḔḖĔĖËẺĚȄȆẸỆȨḜĘḘḚƐƎ"," poly_colon_ideal poly_content polydecomp poly_depends_p poly_elimination_ideal","removeProperty","MatrixView","jan._feb._mars_apr._mai_juni_juli_aug._sep._okt._nov._des.","proxy-authorization"," sinvertcase sixth skewness skewness_bernoulli skewness_beta skewness_binomial","#ab47bc","buildScales","(X) ","%d ਸਾਲ","--\x3e","[Muer um] LT","Resolvable","Trying","anonymous.invalid","PointerEvent","J2ME/|\\bMIDP\\b|\\bCLDC\\b","[i går kl.] LT","tooltips","%d شهر","autoKeyframe autoPlace bakeClip bakeFluidShading bakePartialHistory bakeResults ","AAAsmall","SSSSSSSSS",";\n__p += '","afterWhere","<=>","rgb(","gl_TexCoord gl_TextureEnvColor gl_TextureMatrix gl_TextureMatrixInverse gl_TextureMatrixInverseTranspose ","registeredParent","zⓩzźẑżžẓẕƶȥɀⱬꝣ","calendarFormat","isScrollbarYUsingRight","nc=","چۈشتىن بۇرۇن","New-NetworkAdapter New-NfsUser New-NsxAddressSpec New-NsxClusterVxlanConfig New-NsxController New-NsxDynamicCriteriaSpec New-NsxEdge New-NsxEdgeBgpNeighbour New-NsxEdgeCsr ","isNextDisabled","ng-class=\"{ 'md-disabled': !$mdTabsCtrl.canPageForward() }\" ","མཚན་མོ","интервалмеждуэлементамиформы использованиевывода использованиеполосыпрокрутки ","$md.swipeleft $md.swiperight $md.swipeup $md.swipedown","decrementMinutes","chunkBy",".md-thumb","_deferred",";samesite=","СпрТблСтрКол ","SYSRES_CONST_EDOC_SIZE_RESTRICTION_PRIORITY_REQUISITE_CODE ","weeks","#CC33FF","D MMMM YYYY р.","получитьпредставлениянавигационныхссылок получитьпроверкусложностипаролейпользователей получитьразделительпути ","запрос защищенноесоединениеopenssl значенияполейрасшифровкикомпоновкиданных извлечениетекста импортxs интернетпочта ","Ionawr_Chwefror_Mawrth_Ebrill_Mai_Mehefin_Gorffennaf_Awst_Medi_Hydref_Tachwedd_Rhagfyr","REGISTER","копироватьфайл краткоепредставлениеошибки лев макс местноевремя месяц мин минута монопольныйрежим найти ","INDEX_CORRUPTED ","attrEnumOptionMenuGrp attrFieldGrp attrFieldSliderGrp attrNavigationControlGrp ","Invalid status: ","228b22","confirmedAction","removeFromSortableElements","$window","directory URL dispatch divide do encrypt filter get include intersect kill libURLDownloadToFile ","Symbol(src)_1.","julia","[у] dddd [у] LT","минута_минуты_минут","mdTruncate","IList ","_user","saveInitialState saveMenu savePrefObjects savePrefs saveShelf saveToolSettings scale ",")(.*)","0x[0-9a-f]+","%d semaines","timestampParam","instanceof interface internal is namespace native new override package private ","M702pro","last_response","\\$[0-9][0-9A-Fa-f]*","firstDayOfWeek","emUnlockExportedWithLock ","animation-play-state","border-radius","^'{3,}[ \\t]*$","enter","[ನಿನ್ನೆ] LT","faf0e6","%d моҳ","which is not reliable across all browsers and versions. Non RFC2822/ISO date formats are ","highlightBlock","Lin_Lun_Mar_Miy_Huw_Biy_Sab","SYSREQ_EDOC_TYPE_REQ_SECTION ","Checkboxes","authenticate() | challenge without Digest realm, authentication aborted","registerHeader","matrix_build_identity matrix_build matrix_build_lookat ","steam_ugc_query_set_match_any_tag steam_ugc_query_set_search_text ","mdZIndex","material.components.colors","[-~]{1,2}>\\*?",'selected-template="model.selectedTemplate" ',"EDOC_TYPES_CODE_REQUISITE_FIELD_NAME ","osprei %s","mergeWith","smiley","Full deprecation is scheduled for the AngularJS Material 1.2 release. ","SYSRES_CONST_ACTION_TYPE_CHANGE_KIND ","almostEquals","matching","colors","Lúnasa","mdFabSpeedDial","MMMM D. [b.] YYYY [ti.] HH:mm","Rule RegExp must not be global or sticky","deferred defi defin define degrees delayed delegate delete delete_all delimited demand dense_rank ","clipEditor clipEditorCurrentTimeCtx clipSchedule clipSchedulerOutliner clipTrimBefore ","Меню ","setParam","ngSwitchDefault","limit","selectChip","reOnChange ","определенияxpathxs отборкомпоновкиданных пакетотображаемыхдокументов параметрвыбора параметркомпоновкиданных ","try try! try? Type typealias unowned var weak where while willSet","true false nil","setMarkerSizeLocal setMarkerText setMarkerTextLocal setMarkerType setMarkerTypeLocal setMass ","\\u200d","IJob ","sortedUniq","beta_binomial hypergeometric categorical categorical_logit ","հունվար_փետրվար_մարտ_ապրիլ_մայիս_հունիս_հուլիս_օգոստոս_սեպտեմբեր_հոկտեմբեր_նոյեմբեր_դեկտեմբեր","0?[1-9]|1[0-2]","oldColumn","#(x|X)[0-9a-fA-F]+(/[0-9a-fA-F]+)?","round scheme-report-environment set! set-car! set-cdr! sin sqrt string ","right self Self set static struct subscript super switch throw throws true ","iap_enumerate_products iap_restore_all iap_acquire iap_consume ","inputMask","MMM","SYSRES_CONST_CODE_COMPONENT_TYPE_EDOC_CARDS ","__core-js_shared__","blush","clientX","blur touchcancel mouseleave","типмаркерагеографическойсхемы типмаркерадиаграммы типобластиоформления ","python","handleOnSelect","gutter","ВыборSQL ","ISearchDescription ",'emit "peerconnection:createofferfailed" [error:%o]',"MoneyInWords ","statistics","SYSREQ_REF_TYPE_ADD_PARAMS ","-ші","normalizeTarget","ar-ly","af-to|10 binat-to|10 nat-to|10 rdr-to|10 bitmask least-stats random round-robin","format","background,cite,href,longdesc,src,xlink:href,xml:base","strokeStyle","startCase","בבוקר","_direction","_ha1","_animationClass","mdSvgSrc","oradata ord ordaudio orddicom orddoc order ordimage ordinality ordvideo organization orlany orlvary ","_reconnectionDelay","ea7e5d","3cb371","malformed. The name and preset object are required.","fit","ldctReferenceType ","whenExp","getFriend getFSMVariable getFuelCargo getGroupIcon getGroupIconParams getGroupIcons getHideFrom ","Faoi","retrieveCallingNumber printFirmwareVersion ","januari_februari_maart_april_mei_juni_juli_augustus_september_oktober_november_december","ένας μήνας","replace","IF DO WHILE ENDWHILE CALL ENDIF SUB ENDSUB GOTO REPEAT ENDREPEAT ","$locationProvider","execu execut execute exempt exists exit exp expire explain explode export export_set extended extent external ","อาทิตย์_จันทร์_อังคาร_พุธ_พฤหัส_ศุกร์_เสาร์","HH:mm:ss","D [de] MMMM [de] YYYY h:mm A","sat","ripples",'\n',"[കഴിഞ്ഞ] dddd, LT","ckFolder ","tcommit throw trollback try tstart use view while write w|0 xecute x|0 ","000000","$$lowercase","ġurnata","eka mhoinean","'[A-Za-z_](?!')[\\w']*","chartArea","ng-mouseenter","$stateRegistry","buffer_f16 buffer_f32 buffer_f64 buffer_bool buffer_text ","[a-zA-Z_][a-zA-Z_0-9]*('+[\\.']*|[\\.']+)","removeAllCuratorEditingAreas removeAllEventHandlers removeAllHandgunItems removeAllItems ","over","import qualified as hiding","1912-07-29","material.components.dialog","_qop","complex con cond conj cons ConScore contour conv convertsatostr convertstrtosa corrm corrms corrvc corrx corrxs ","এক দিন","rtrim rules safe salt sample save savepoint sb1 sb2 sb4 scan schema schemacheck scn scope scroll ","_opaque","ordered_logistic neg_binomial neg_binomial_2 ","BUTTON","opt-lambda override protect provide public rename require ","svElement",'',"sfcGreen ",'
{{getLocalizationVal("Ok")}}',"useOnAddExpression","audio_get_listener_mask audio_sound_set_listener_mask ","ui.bootstrap.tooltip","prefix private protocol Protocol public repeat required rethrows return ","snakeCase","%d თვე","program_directory browser_width browser_height os_type os_device "," sparse6_import specint spherical spherical_bessel_j spherical_bessel_y spherical_hankel1","mipsasm",'" specified for md-menu on X axis.',"matchPriority","forceJSONP",'"?"',"iso","activeClasses","50 100 200 300 A100","content","template","app/directives/label-item/label-item.html","xhr poll","\\+1","packUnorm2x16 packUnorm4x8 pow radians reflect refract round roundEven shadow1D shadow1DLod ","INTDLOADDATES|5 INTDLOADHIST|5 INTDLOADLIST|5 INTDLOADLISTDATES|5 INTDLOADLISTENERGY|5 ","menuEnable menuEnabled menuExpand menuHover menuPicture menuSetAction menuSetCheck menuSetData ","MVLOADLIST|5 MVLOADLISTDATES|5 MVLOADLISTHIST|5 IF FOR NEXT DONE SELECT END CALL ABORT CLEAR CHANNEL FACTOR LIST NUMBER ","readonly","&color=",'',"rgb(153, 153, 153)","irpf90","setActiveDescendant","setNgModelValue","Escape","Sync-SpbmReplicationGroup Test-ConflictingResources Test-HCXMigration Test-HCXReplication Test-Json Test-ModuleReloadRequired Test-MofInstanceText Test-NodeManager ","scrollWidth","draw_roundrect_colour_ext draw_triangle_colour draw_circle_colour ","classlist","parsers","attachInterrupt encryptionType readBytesUntil ","heading","[u] [nedjelju] [u] LT","ViewService","selectedItem","lnbAddRow lnbClear lnbColor lnbCurSelRow lnbData lnbDeleteColumn lnbDeleteRow ","[minulou sobotu v] LT","&align=",' \n',"chartClick","rgb(163, 163, 0)","containerEmptyHint","getGlobalConfig","fffafa","steam_ugc_create_query_all steam_ugc_create_query_all_ex ","uimage3D uimageBuffer uimageCube uimageCubeArray uint usampler1D usampler1DArray ","circus_tent","c_null_char c_alert c_backspace c_form_feed flush wait decimal round iomsg ","blockquote","No instance found for handle","#78909c","cache","IAccountSelectionRestrictions ","Busy Everywhere","keyValsToObjectR","ctDBEllipsis ","\\*(\\.[a-z\\-]+)+","shake","__data__","\r\n\r\n","gpu_get_blendmode_ext_sepalpha gpu_get_blendmode_src ","%d jorns","rdThisMonth ","container","inputHasFocus","SYSRES_CONST_EXPORT_LOCK_TYPE_WITHOUT_LOCK ","showReposts","Gone","navigate-before.svg","scala","Matrix","დღე","inform7","house","BINARY_NUMBER_RE","flex-offset","tx1","Tried to set ","_requestSucceeded","libname|libref|log|log10|log2|logpdf|logpmf|logsdf|","md-autocomplete","insert"," OPR/","deferIntercept","Hider Hyperboloid Identity Illuminate Imager Interior LightSource ","clientHeight","setMaxListeners","background-position","SYSRES_CONST_USER_TYPE_FIELD_VALUE_USER ","@\\d+","SYSREQ_REF_TYPE_NAME_IN_SINGULAR ","SYSRES_CONST_BLUE_LIFE_CYCLE_STAGE_FONT_COLOR ","locals","frequency","SYSREF_SERVER_EVENTS ","https","a8m.filter-watcher","%d سيڪنڊ","SYSRES_SBREFDSC ","selectedNodes selectionConnection separator setAttr setAttrEnumResource ","
',"traceResolvePath","angucomplete-alt","tangerine","ISignProvider ","tlh",' \n',"\\n|\\s|=","БлокСнять ","beforeWhere","can_pass_as_mercury_type stable will_not_throw_exception ","%s yn ôl","indexedDB","NonInviteClientTransaction","dragged","ތެރޭގައި %s","%d tuần","_nc","rgb(102, 255, 179)","SYSDLG_SETUP_USERS_LIST ","SYSRES_CONST_SECTION_REQUISITE_DETAIL_4_VALUE ","withUnsafePointer withUnsafePointers withVaList zip","SYSRES_CONST_AUTOTEXT_USAGE_SIGN_CODE ","nnoremenu noautocmd noremap nohlsearch noreabbrev noremenu normal number nunmap nunmenu oldfiles open omap omapclear omenu only onoremap onoremenu options ounmap ounmenu ownsyntax print profdel profile promptfind promptrepl pclose pedit perl perldo pop popup ppop preserve previous psearch ptag ptNext ","socket.io-client:manager","layoutChanged","SwipeUp","backpackSpaceFor behaviour benchmark binocular boundingBox boundingBoxReal boundingCenter ","calculateTransformValues","SYSRES_CONST_EDOC_VERSION_ACTIVE_STAGE_CODE ","argument15 argument_count x y xprevious yprevious xstart ystart ","do if then else end until while ","una settimana","Ai_Sm_Mo_Bu_Br_Su_Sn","Dih","door","setUTCHours","ev_joystick2_button2 ev_joystick2_button3 ev_joystick2_button4 ","low_brightness","pause",'
',"vsDefault vsDesign vsActive vsObsolete ","allocUnsafe","$$rAFScheduler","une heure","angular.password",'
',"d3d3d3","|dpi|dpcm|dppx","eka vorsan","string-fill! string-length string-ref string-set! string<=? string ","readTake rebuildCurve rebuildSurface recordAttr recordDevice redo reference ","gray","window_get_width window_get_height window_mouse_get_x ","tf_point tf_linear tf_anisotropic mip_off mip_on mip_markedonly ","\\W}","%d 天","(link|image:?):","bicyclist","uri_params","[prejšnjo] [sredo] [ob] LT","[Šiandien] LT","?^mdSliderContainer","setTransport","setSelectValueText","RegionDateSettings ","_md-opaque-enter","hexString","loadingIsVisible","ian._feb._mart._apr._mai_iun._iul._aug._sept._oct._nov._dec.","rgba(0, 0, 0, 0.000)","os_wiiu os_3ds os_psvita os_bb10 os_ps4 os_xboxone ","',"[tegnap] LT[-kor]","sparkler","force foreach forever fork forkjoin function generate|5 genvar global highz0 highz1 ","отображениевлегендедиаграммы отображениегруппыкнопок отображениезаголовкашкалыдиаграммы ","velocityX","char_length character_length characters characterset charindex charset charsetform charsetid check ","/\\*\\*","flex-order","showYear","eitt ár","getAsynchronously listenOnLocalhost getCurrentCarrier ","storagePrefix","emoji","transpose truewordOffset trunc uniDecode uniEncode upper URLDecode URLEncode URLStatus uuid value variableNames ","[下]dddd LT","builders","uib/template/tabs/tab.html","enero_febrero_marzo_abril_mayo_junio_julio_agosto_septiembre_octubre_noviembre_diciembre"," ","gesture_get_flick_speed gesture_get_double_tap_time ","playableSlotsNumber playableUnits playAction playActionNow player playerRespawnTime playerSide ","ஒரு சில விநாடிகள்"," induced_subgraph inferencep inference_result infix info_display init_atensor","hodinou","#ba68c8","thumbnail_medium","[ယနေ.] LT [မှာ]","D. M. YYYY",' \n',"mdpDisabled","operatingsystemrelease osfamily partitions path physicalprocessorcount processor processorcount productname ps puppetversion ","IEDocVersionState ","angularMaterialFormBuilder","da70d6","1 小時","Media Renegotiation Failed","backgroundPalette","abstract add and array as asc aspect assembly async begin break block by case class concat const copy constructor continue ","&callback=JSON_CALLBACK","scss","}}'","SYSREQ_NOTE ",'"<"',"hostRegex","_week","ugc_visibility_friends_only ugc_visibility_private ","listener","YAML","PalmOS|avantgo|blazer|elaine|hiptop|palm|plucker|xiino",'md-year-offset="$index" class="md-calendar-year" '," solveradcan solvetrigwarn space sparse sphere spring_embedding_depth sqrtdispflag"," poly_exact_divide poly_expand poly_expt poly_gcd polygon poly_grobner poly_grobner_equal","waxing_gibbous_moon","isPressed sendSysex pauseMode gatewayIP setCursor ","model.isDisabledDate({ $date: $date }) will be removed from model on next rlease, please use root config e.g. $mdDateRangePicker.show({isDisabledDate:($date)=>{}}) instead","nextNode","JQLite elements that are referenced in MdPanelRef.","(?:[\\s\\t]+(recv|send)[\\s\\t]+(\\*|\\[\\S+\\](?:[\\s\\t]+\\[\\S+\\])*))?","wstForm ","xquery","left top right bottom width height","D MMMM YYYY [kl.] HH:mm","geoshape int list matrix4x4 parent point quaternion real rect ","typeaheadAppendTo","shiftwidth max sinh isdirectory synID system inputrestore winline ",'{{ datepicker.date.format(datepicker.displayFormat) }} ',"fnToString","типколонкианализаданныхпоискпоследовательностей типколонкимоделипрогноза типмерырасстоянияанализаданных ","isScrollable","SYSRES_CONST_ACCES_RIGHT_TYPE_EDIT ","изxmlтипа импортмоделиxdto имякомпьютера имяпользователя инициализироватьпредопределенныеданные информацияобошибке ","sekúndum","_sendUpdate","MSPointerMove","Animation","ثوان","__covariant __contravariant __kindof "," applyb1 apropos args arit_amortization arithmetic arithsum array arrayapply","MdContactChipsCtrl",'"realm"',"HTTP/[0-9\\.]+","proc","nurbsUVSet nurbsViewDirectionVector objExists objectCenter objectLayer objectType ","INVALID_REGULAR_EXPRESSION INVALID_ROW_COUNT_IN_LIMIT_CLAUSE ","ng-model"," quantile_gamma quantile_general_finite_discrete quantile_geometric quantile_gumbel","genitive","toggleSelectedAnswer","ldctFunction ","dddd D MMMM [de] YYYY [a les] H:mm","step tone sqrt RSSI SSID ","unset","GMT","pow","endsas error file filename footnote format goto in infile ","pointHoverBorderColor","md-stick","emMarkAsReaded ","Bad Event","align-end"," closed","phy_particle_flag_wall phy_particle_flag_spring ","eval isFinite isNaN parseFloat parseInt decodeURI decodeURIComponent ","isNavigationKey","ngMessages","parsingFlags","flatMapDeep","ngBlur","xan._feb._mar._abr._mai._xuñ._xul._ago._set._out._nov._dec.","neděle_pondělí_úterý_středa_čtvrtek_pátek_sobota","[object Map]","$mdConstant"," addcol add_edge add_edges addmatrices addrow add_vertex add_vertices adjacency_matrix","simulcast:%s %s","DomainCode DomainName Equals Exp Extent Feature FeatureSet FeatureSetByAssociation FeatureSetById FeatureSetByPortalItem ","Path '","Smart[ ']?TAB[ ]+?[0-9]+|Family[ ']?TAB2","changing transport and sending upgrade packet","MSIE [VER];","cpSubjSimpleName ","Тран ","autoload bg bindkey bye cap chdir clone comparguments compcall compctl compdescribe compfiles ","NOTICE_BLOCK_SUBJECT_PROPERTY ","handleClick","Janerachea_Febrerachea_Marsachea_Abrilachea_Maiachea_Junachea_Julaiachea_Agostachea_Setembrachea_Otubrachea_Novembrachea_Dezembrachea",'emit "unmuted"',"iffJPEG ","pa-in","SYSRES_CONST_SUBSTITUTES_PSEUDOREFERENCE_CODE ","$md.drag","schema select self semi set show some start statistics string system then to transaction trigger ","ReferenceCodeByID ","handleBodyClick","SYSRES_CONST_STATUS_VALUE_YELLOW_SQUARE ","یه‌كشه‌ممه‌_دووشه‌ممه‌_سێشه‌ممه‌_چوارشه‌ممه‌_پێنجشه‌ممه‌_هه‌ینی_شه‌ممه‌","SYSRES_CONST_ALL_ACCEPT_CONDITION_RUS ","no modulate synproxy state|5 floating if-bound no-sync pflow|10 sloppy","வது","[oxhi à] LT","getViewValue","SYSRES_CONST_PRIORITY_REQUISITE_CODE ","reflexivity irreflexivity connectedness uniqueness commutativity "," voram","sekuntia","baidubrowser/[VER]","warnHues","sprite_get_tpe sprite_prefetch sprite_prefetch_multi sprite_flush ","SCRIPT_BLOCK_SCRIPT_PROPERTY ","obj","draw_skeleton_collision skeleton_animation_list skeleton_skin_list ","domain ec2_ ec2_userdata facterversion filesystems ldom fqdn gid hardwareisa hardwaremodel hostname id|0 interfaces ","year","session failed","یه‌ك كاتژمێر","_monthsNominativeEl","_weekdaysRegex","quad rect triangle bezier bezierDetail bezierPoint bezierTangent curve curveDetail curvePoint ","version_greater version_equal version_less_equal version_greater_equal in_list defined","material.components.progressCircular","::(after|before|choices|first-letter|first-line|repeat-index|repeat-item|selection|value)","cake","trimHashVal","onScroll","%d કલાક","after append apply array auto_execok auto_import auto_load auto_mkindex ","rtcp","awr","wfepSpinEdit ","SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_PARENT_GROUP_ACTION ","freeze","တစ်လ","dependencies","day day_to_second dayname dayofmonth dayofweek dayofyear days db_role_change dbtimezone ddl deallocate ","attrName","for format goto gosub graph if keyword let lib library line load loadarray loadexe ",": Resolving ","not an element","isIonicTap","[Eelmine] dddd LT","block match pass load anchor|5 antispoof|10 set table","angular-cron-jobs","inkBar","rdtAccount ","SYSRES_CONST_LINK_OBJECT_KIND_EDOC ","અમુક પળો","sendrecv","A_[a-zA-Z0-9]+","getErr getIn getOut getText grep immutable inject inspect intersect invokeMethods ","режимотображениянастроеккомпоновкиданных состояниеэлементанастройкикомпоновкиданных способвосстановлениянастроеккомпоновкиданных ","md-foot","","_definePathType","SYSRES_CONST_USER_CERTIFICATE_STATE_REQUISITE_CODE ","nocheck nocompress nocopy nocycle nodelay nodiscardfile noentityescaping noguarantee nokeep nologfile ","hasMethods","Int Float String Bool Dynamic Void Array ","SYSRES_CONST_FOLDER_KIND_COMPONENTS ","isEnded","_offUpEvents","dim._lun._mar._mer._jeu._ven._sam.","updateVirtualRepeat","Function","Get-NfsUser Get-NicTeamingPolicy Get-NsxApplicableMember Get-NsxApplicableSecurityAction Get-NsxBackingDVSwitch Get-NsxBackingPortGroup Get-NsxCliDfwAddrSet ","_onDragStart","cancelable","$translateLoadingSuccess",' id="{{::dt.uid}}"\n',"jtFull ",'aria-label="Clear Input" ',"[明天]LT","[Aban iha] LT","NewID ","busts_in_silhouette","أقل من ثانية","-import -include -include_lib -compile -define -else -endif -file -behaviour ","STATUS_NOT_READY","ignore","pred %s","hash","|#\\\\.)","onpacket","YEARSTR COMPSUM HISTCOUNT HISTMAX HISTMIN HISTMINNZ HISTVALUE MAXNRANGE MAXRANGE MINRANGE ","external implicit|10 none double precision assign intent optional pointer ","annotate","received","SYSRES_CONST_ACTION_TYPE_DELETE_VERSION ","dseBeforeCancel ","_rootContext","camera_get_view_speed_y camera_get_view_border_x ","timestampRequests","NULL_VALUE_NOT_ALLOWED NULL_VALUE_NO_INDICATOR_PARAMETER NUMERIC_VALUE_OUT_OF_RANGE ","getFocusedTab","$mdTabsCtrl","IRichEdit ","_getDTMFRTPSender","getFilename","rgb(255, 51, 255)","Delay","readyState","populationVariance popVariance processID random randomBytes replaceText result revCreateXMLTree revCreateXMLTreeFromFile ","रविवार_सोमवार_मंगळवार_बुधवार_गुरूवार_शुक्रवार_शनिवार","&?mdOnRemove","ng-href","TODAY_CLASS","closed","md-menu-origin"," read_list read_matrix read_nested_list readonly read_xpm real_imagpart_to_conjugate","sprite_replace sprite_create_from_surface sprite_add_from_surface ","html5Mode","sampleSize","md-locked-open","ffdab9","GROUPS_PSEUDOREFERENCE_CODE ","define undef ifdef ifndef else endif include","box-decoration-break","array_height_2d array_equals array_create array_copy random ","ی_د_س_چ_پ_ج_ش","layer_get_vspeed layer_script_begin layer_script_end layer_shader ","treeEvents","एक महीने","Subscription does not exist","isVideoSupportsStreamCapturing","user_url","ugc_match_Items_ReadyToUse ugc_match_Collections ugc_match_Artwork ","'iens míut","isTouchingGround isTurnedOut isTutHintsEnabled isUAVConnectable isUAVConnected isUIContext ","rgb(255, 153, 255)","Available handlers: ","hover","changeDate","dddd D MMMM YYYY HH:mm","move","mouseActive","registerExpanded","Package PackingMethod PaddedForm Padding PaddingLayer PaddingSize PadeApproximant PadLeft PadRight PageBreakAbove PageBreakBelow PageBreakWithin PageFooterLines PageFooters PageHeaderLines PageHeaders PageHeight PageRankCentrality PageTheme PageWidth Pagination PairedBarChart PairedHistogram PairedSmoothHistogram PairedTTest PairedZTest PaletteNotebook PalettePath PalindromeQ Pane PaneBox PaneBoxOptions Panel PanelBox PanelBoxOptions Paneled PaneSelector PaneSelectorBox PaneSelectorBoxOptions PaperWidth ParabolicCylinderD ParagraphIndent ParagraphSpacing ParallelArray ParallelCombine ParallelDo Parallelepiped ParallelEvaluate Parallelization Parallelize ParallelMap ParallelNeeds Parallelogram ParallelProduct ParallelSubmit ParallelSum ParallelTable ParallelTry Parameter ParameterEstimator ParameterMixtureDistribution ParameterVariables ParametricFunction ParametricNDSolve ParametricNDSolveValue ParametricPlot ParametricPlot3D ParametricRegion ParentBox ParentCell ParentConnect ParentDirectory ParentForm Parenthesize ParentList ParentNotebook ParetoDistribution ParetoPickandsDistribution ParkData Part PartBehavior PartialCorrelationFunction PartialD ParticleAcceleratorData ParticleData Partition PartitionGranularity PartitionsP PartitionsQ PartLayer PartOfSpeech PartProtection ParzenWindow PascalDistribution PassEventsDown PassEventsUp Paste PasteAutoQuoteCharacters PasteBoxFormInlineCells PasteButton Path PathGraph PathGraphQ Pattern PatternSequence PatternTest PauliMatrix PaulWavelet Pause PausedTime PDF PeakDetect PeanoCurve PearsonChiSquareTest PearsonCorrelationTest PearsonDistribution PercentForm PerfectNumber PerfectNumberQ PerformanceGoal Perimeter PeriodicBoundaryCondition PeriodicInterpolation Periodogram PeriodogramArray Permanent Permissions PermissionsGroup PermissionsGroupMemberQ PermissionsGroups PermissionsKey PermissionsKeys PermutationCycles PermutationCyclesQ PermutationGroup PermutationLength PermutationList PermutationListQ PermutationMax PermutationMin PermutationOrder PermutationPower PermutationProduct PermutationReplace Permutations PermutationSupport Permute PeronaMalikFilter Perpendicular PerpendicularBisector PersistenceLocation PersistenceTime PersistentObject PersistentObjects PersistentValue PersonData PERTDistribution PetersenGraph PhaseMargins PhaseRange PhysicalSystemData Pi Pick PIDData PIDDerivativeFilter PIDFeedforward PIDTune Piecewise PiecewiseExpand PieChart PieChart3D PillaiTrace PillaiTraceTest PingTime Pink PitchRecognize Pivoting PixelConstrained PixelValue PixelValuePositions Placed Placeholder PlaceholderReplace Plain PlanarAngle PlanarGraph PlanarGraphQ PlanckRadiationLaw PlaneCurveData PlanetaryMoonData PlanetData PlantData Play PlayRange Plot Plot3D Plot3Matrix PlotDivision PlotJoined PlotLabel PlotLabels PlotLayout PlotLegends PlotMarkers PlotPoints PlotRange PlotRangeClipping PlotRangeClipPlanesStyle PlotRangePadding PlotRegion PlotStyle PlotTheme Pluralize Plus PlusMinus Pochhammer PodStates PodWidth Point Point3DBox Point3DBoxOptions PointBox PointBoxOptions PointFigureChart PointLegend PointSize PoissonConsulDistribution PoissonDistribution PoissonProcess PoissonWindow PolarAxes PolarAxesOrigin PolarGridLines PolarPlot PolarTicks PoleZeroMarkers PolyaAeppliDistribution PolyGamma Polygon Polygon3DBox Polygon3DBoxOptions PolygonalNumber PolygonAngle PolygonBox PolygonBoxOptions PolygonCoordinates PolygonDecomposition PolygonHoleScale PolygonIntersections PolygonScale Polyhedron PolyhedronAngle PolyhedronCoordinates PolyhedronData PolyhedronDecomposition PolyhedronGenus PolyLog PolynomialExtendedGCD PolynomialForm PolynomialGCD PolynomialLCM PolynomialMod PolynomialQ PolynomialQuotient PolynomialQuotientRemainder PolynomialReduce PolynomialRemainder Polynomials PoolingLayer PopupMenu PopupMenuBox PopupMenuBoxOptions PopupView PopupWindow Position PositionIndex Positive PositiveDefiniteMatrixQ PositiveIntegers PositiveRationals PositiveReals PositiveSemidefiniteMatrixQ PossibleZeroQ Postfix PostScript Power PowerDistribution PowerExpand PowerMod PowerModList PowerRange PowerSpectralDensity PowersRepresentations PowerSymmetricPolynomial Precedence PrecedenceForm Precedes PrecedesEqual PrecedesSlantEqual PrecedesTilde Precision PrecisionGoal PreDecrement Predict PredictionRoot PredictorFunction PredictorInformation PredictorMeasurements PredictorMeasurementsObject PreemptProtect PreferencesPath Prefix PreIncrement Prepend PrependLayer PrependTo PreprocessingRules PreserveColor PreserveImageOptions Previous PreviousCell PreviousDate PriceGraphDistribution PrimaryPlaceholder Prime PrimeNu PrimeOmega PrimePi PrimePowerQ PrimeQ Primes PrimeZetaP PrimitivePolynomialQ PrimitiveRoot PrimitiveRootList PrincipalComponents PrincipalValue Print PrintableASCIIQ PrintAction PrintForm PrintingCopies PrintingOptions PrintingPageRange PrintingStartingPageNumber PrintingStyleEnvironment Printout3D Printout3DPreviewer PrintPrecision PrintTemporary Prism PrismBox PrismBoxOptions PrivateCellOptions PrivateEvaluationOptions PrivateFontOptions PrivateFrontEndOptions PrivateKey PrivateNotebookOptions PrivatePaths Probability ProbabilityDistribution ProbabilityPlot ProbabilityPr ProbabilityScalePlot ProbitModelFit ProcessConnection ProcessDirectory ProcessEnvironment Processes ProcessEstimator ProcessInformation ProcessObject ProcessParameterAssumptions ProcessParameterQ ProcessStateDomain ProcessStatus ProcessTimeDomain Product ProductDistribution ProductLog ProgressIndicator ProgressIndicatorBox ProgressIndicatorBoxOptions Projection Prolog PromptForm ProofObject Properties Property PropertyList PropertyValue Proportion Proportional Protect Protected ProteinData Pruning PseudoInverse PsychrometricPropertyData PublicKey PublisherID PulsarData PunctuationCharacter Purple Put PutAppend Pyramid PyramidBox PyramidBoxOptions","SYSRES_CONST_AUTOTEXT_NAME_REQUISITE_CODE "," \n","grey_question","Version/","selectingYear","%s ལ་","clbUnchecked clbChecked clbGrayed ","_md-animations-ready","updateHoverStyle","mdPositionMode","amdD","pointBorderWidth","poolBlock_","rgb(245, 0, 0)","inheritParams","ctrl.isOpen"," cdf_exp cdf_f cdf_gamma cdf_general_finite_discrete cdf_geometric cdf_gumbel","EditText ","gpu_get_alphatestref gpu_get_alphatestfunc gpu_get_texfilter ","uibDatepickerPopupConfig","bus","_realm","a8m.wrap","setCancelButtonLabel","received CANCEL request for a non existent session","bw nbw ew new cn ncn lt lte gt gte eq neq rx nrx ft","objc","[demà à] LT","polyMoveFacet polyMoveFacetUV polyMoveUV polyMoveVertex polyNormal polyNormalPerVertex ","g.m.","Get-NsxLoadBalancerApplicationProfile Get-NsxLoadBalancerApplicationRule Get-NsxLoadBalancerMonitor Get-NsxLoadBalancerPool Get-NsxLoadBalancerPoolMember Get-NsxLoadBalancerStats ","rss","Remove-MDTPersistentDrive Restore-MDTPersistentDrive Set-MDTMonitorData Test-MDTDeploymentShare Test-MDTMonitorData Update-MDTDatabaseSchema ","endhostent times chop length gethostent getnetent pack getprotoent getservbyname rand ","final sealed annotation suppressWarnings small","ocr1ch ocr1cl twcr twdr twar twsr twbr osccal xmcra xmcrb eicra spmcsr spmcr portg ","[\\0-\\xFF]","hcg",'It cannot also have "view properties" at the state level. ',"|cm|mm|in|pt|pc|px","ctest_build ctest_configure ctest_coverage ctest_empty_binary_directory ctest_memcheck ",'emit "failed"',"old","0px","small_blue_diamond"," oid_to_octets op opena opena_binary openr openr_binary openw openw_binary","SYSRES_CONST_HISTORY_ACTION_DELETE_CODE ","[އިއްޔެ] LT","flex-flow","#b388ff","СжПроб ","before","Move the following properties into a view (in the 'views' object): ","padEnd","[މިއަދު] LT","openClass","removed","#[0-9A-Fa-f]+","revertClone","elementTypes","jedna minuta","bookmark_tabs","NokiaBrowser/[VER]","rtpmap:%d %s/%s/%s","hoursFormat","dni",'
',"boneLattice boundary boxDollyCtx boxZoomCtx bufferCurve buildBookmarkMenu ","isOtherAnswer","collapsed","setInterval","short reinterpret_cast|10 default double register explicit signed typename try this ","layer_get_depth layer_create layer_destroy layer_destroy_instances ","displayColor displayCull displayLevelOfDetail displayPref displayRGBColor ","mdColorSpectrum","unionWith","codec","SYSRES_CONST_CHECK_PARAM_VALUE_DATE_PARAM_TYPE ","content-type","simulCloudOcclusion simulInClouds simulWeatherSync sin size sizeOf skill skillFinal skipTime ","authority","congratulations","runAllHooks","%d ure","[v] dddd [ob] LT","_getSelectedOptions","totalPages","_left","[ગઇકાલે] LT","mdTabsTemplate","ownerDocument","SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_CREATION ","audio_emitter_get_vy audio_emitter_get_vz ","evaluate","purse","textureWindow threadCount threePointArcCtx timeControl timePort timerX toNativePath ","removeAttributeNode","[Í morgin kl.] LT","glBorderDashOffset","SYSREQ_DESCRIPTION ","htmlbars","timeFormat","Rename-Computer Rename-Item Rename-ItemProperty Reset-ComputerMachinePassword Resolve-Path Restart-Computer Restart-Service Restore-Computer ","mdSvgIcon",'"invariant"',"InvalidStateException Irrational KeyError LabelNode LinSpace LineNumberNode LoadError LowerTriangular MIME Matrix ","lastRenderableDate","pill",'',"STATUS_COMPLETED","pooledBlocks","cancelLabel","pointermove","tickHeight","display_get_orientation display_get_gui_width display_get_gui_height ","dfft dffti diag diagrv digamma doswin DOSWinCloseall DOSWinOpen dotfeq dotfeqmt dotfge dotfgemt dotfgt dotfgtmt ","mwForm.pageFlow.goToPage","(?:\\W|$)","lock","defaultTheme","colorSliderGrp columnLayout commandEcho commandLine commandPort compactHairSystem ","Int16Array","SYSREQ_USER_GROUPS_GROUP_NAME ","Android.*Pixel C","mdExpansionPanelFooter","fdtString ","joinSilent joinString kbAddDatabase kbAddDatabaseTargets kbAddTopic kbHasTopic kbReact ",'
',"sizeScroller_","isSuperseded","magenta","reconnect_failed","audio_group_set_gain audio_create_buffer_sound ","%d aý","dny","[بۈگۈن سائەت] LT","datasets","flowDropEnabled","utctodtv utrisol vals varCovMS varCovXS varget vargetl varmall varmares varput varputl vartypef vcm vcms vcx vcxs ","staticinitialization withincode target within execution getWithinTypeName handler ","$touched","SCHEMA_AND_DATA_STATEMENT_MIXING_NOT_SUPPORTED NO_ACTIVE_SQL_TRANSACTION ",'md-date-filter="ctrl.dateFilter" ',"$assertfailon $assertnonvacuouson $assertoff $assertcontrol $assertpassoff ",' ',"Argument '{0}' is {1}","\n","%d လ","addQuestion","[Учора ў] LT","#efebe9","draw_surface_tiled draw_surface_part draw_surface_ext ","YYYY-يىلىM-ئاينىڭD-كۈنى","$view","каталогбиблиотекимобильногоустройства каталогвременныхфайлов каталогдокументов каталогпрограммы кодироватьстроку ","char? close-input-port close-output-port complex? cons cos ","//www.dailymotion.com/embed/video/","[ng-switch]","696969","rgb(0, 0, 0)","wstTaskCard ","जानेवारीच्या_फेब्रुवारीच्या_मार्चाच्या_एप्रीलाच्या_मेयाच्या_जूनाच्या_जुलयाच्या_ऑगस्टाच्या_सप्टेंबराच्या_ऑक्टोबराच्या_नोव्हेंबराच्या_डिसेंबराच्या","EDOC_VERSION_DESIGN_STAGE_CODE ","zipObjectDeep","expanding","SYSRES_CONST_ACTION_TYPE_COPY ","padString","onFocus","parent bandwidth default min max qlimit","shortMonths","Sat","фрагментxs хешированиеданных хранилищезначения цвет чтениеfastinfoset чтениеhtml чтениеjson чтениеxml чтениеzipфайла ","underline-thickness,unicode,unicode-range,units-per-em,values,version,viewBox,visibility,",'
\n',"There are ","48px","traceData.context.state.name","scale","crystal_ball","pink",'
\n',"Dis","расположениеполейгруппировкикомпоновкиданных расположениеполякомпоновкиданных расположениереквизитовкомпоновкиданных ","negativeScrollAdjustment","marquee","RIGHT","BACKSPACE","onAnimationComplete","mdPanel: The removeClass method is in the process of being deprecated. ","delete do handler insert load replace select truncate update set show pragma grant ","ИзвлечьИмяФайла ","СпрТблСтрМин ",'',"check","vtEqual ","dddd [às] LT","footer"," geo_amortization geo_annuity_fv geo_annuity_pv geomap geometric geometric_mean","physics_particle_group_get_vel_y physics_particle_group_get_ang_vel ","fitInputWidthToTextLength","userAgents","///+\\s*","DB_TRANSACTIONS DB_UNICODE DB_VIEWS __STDIN __STDOUT __STDERR __FILE_DIR","smLike ","500 600 700 800 900 A400 A700","Content-Length: 0\r\n\r\n","ночі","coffeescript","setDate","SYSRES_CONST_STORAGE_TYPE_SQL_SERVER ","TinyEmitter","mailbox","ভোর","mdp-chevron-left.svg","=?mdpAmpm","large_blue_circle","baiduboxapp/[VER]","ldctAnalyticReport ","mdChip","\\.\\d+(?:[eE][+\\-]?\\d*)?i?\\b","wsнаправлениепараметра вариантxpathxs вариантзаписидатыjson вариантпростоготипаxs видгруппымоделиxs видфасетаxdto ","ampm","FORCE_QUOTE FORCE_NOT_NULL FORCE_NULL COSTS BUFFERS TIMING SUMMARY DISABLE_PAGE_SKIPPING ","md-fab-toolbar","фасетмаксимальногоисключающегозначенияxs фасетмаксимальнойдлиныxs фасетминимальноговключающегозначенияxs "," from_adjacency_matrix frucht_graph full_listify fullmap fullmapl fullratsimp","resolveTokens","x-axis-","animateDoor animatePylon animateSource animationNames animationPhase animationSourcePhase ","sessionVersion","addSlide","autoit","Unable to place item!","and case default else elsif false if in import enherits node or true undef unless main settings $string ","min-height","SYSRES_CONST_TASK_STATE_WORKING ","چركه‌ %d","OFFSET_START","dropDown","msMatchesSelector","СоздатьМассив ","hltText ","enableRopeAttach enableSatNormalOnDetail enableSaving enableSentences enableSimulation ","hex8","show-lt-md","soatStringCollection ","wdtTask ","minIndex","wtmOnlyCurrent ","stm([id][ab])?|str(ex)?[bhd]?|(qd?)?sub|(sh?|q|u[qh]?)?sub(8|16)|","remoteExec remoteExecCall remoteExecutedOwner remove3DENConnection remove3DENEventHandler ","popupClass","vibration_mode","H:mm [Auer]","ParamTypes","_meta","property rsc_defaults op_defaults","onping","buffer_create_from_vertex_buffer ","buildList","accept-charset","stars","silentRejection","mdExpansionPanelIcon","%r\\(","viewBox","high_heel","trigamma trimr trunc type typecv typef union unionsa uniqindx uniqindxsa unique uniquesa upmat upmat1 upper utctodt ","%dవ","tsRefClocks","-webkit-","INTDGETERRORCODE|5 INTDGETERRORMESSAGE|5 INTDISEQUAL|5 INTDJOIN|5 INTDLOAD|5 INTDLOADACTUALCUT|5 ","rtp","briefcase","attributeName","tl-ph","\\bMicroMessenger\\b","card_index","Unsupported Certificate","_md-panel-position-adjusted","newDialog","name","endSymbol",'"ttl="',"checkPull","boxes","[object Uint8ClampedArray]","%d dagar","Remove-VMHostProfileVmPortGroupConfiguration Remove-VMHostRoute Remove-VTpm Remove-VasaProvider Remove-VirtualPortGroup Remove-VirtualSwitch ","دسمبر","zip_unzip load_csv base64_encode base64_decode md5_string_unicode ","steam_ugc_get_item_update_info steam_ugc_request_item_details ",'"icon"',"soatIntegerCollection "," poly_pseudo_divide poly_reduced_grobner poly_reduction poly_saturation_extension","animateOpen","SUBTASK_BLOCK_TEXT_PROPERTY ","last_quarter_moon_with_face",'"branch"',"fix","mínútur","Genius Tab G3|Genius Tab S2|Genius Tab Q3|Genius Tab G4|Genius Tab Q4|Genius Tab G-II|Genius TAB GII|Genius TAB GIII|Genius Tab S1","mínútum","updateBlock_","يېرىم كېچە","जाने._फेब्रु._मार्च_एप्री._मे_जून_जुल._ऑग._सप्टें._ऑक्टो._नोव्हें._डिसें.","bevel",' ng-click="select(dt.date)"\n',"registerInterceptor",'',"var __t, __p = ''"," pdf_discrete_uniform pdf_exp pdf_f pdf_gamma pdf_general_finite_discrete","splineCurve","major","remote","/%s","$MD_THEME_CSS","JSONB_OBJECT_AGG MAX MIN MODE STRING_AGG SUM XMLAGG ","eine Stunde","Yakshanba_Dushanba_Seshanba_Chorshanba_Payshanba_Juma_Shanba","Map"," poly_grobner_debug poly_monomial_order poly_primary_elimination_order poly_return_term_list","'\\w[\\w\\d_]*(?!')","UrlMatcherFactory","vnamex|vtype|vtypex|weekday|year|yyq|zipfips|zipname|","[այսօր] LT","a8m.math.radians","rdThisWeek ","baseFrequency","yAxisID","\\/\\+","toastClass","yyy","ФайлИскать ","STATUS_INIT","extmapAllowMixed","%r<","Default value (","fafad2","tzm-latn","آڪٽوبر","gradientType","vertex_type_float4 vertex_type_colour vertex_type_color ","path_index path_position path_positionprevious path_speed ","@@?","UibProgressController"," noeval nolabels nonegative_lp noninteger nonscalar noun noundisp nouns np","ގަޑިއިރު %d","_promise","then","REFER failed","gl_MaxTextureUnits gl_MaxVaryingComponents gl_MaxVaryingFloats gl_MaxVaryingVectors ","runSessionTimer() | sending session refresh request","chr lbound msgbox ucase getlocale cos cdate cbyte rtrim join hour oct typename trim ","reset",'"&"',"lineDash","mdLabel","üks päev","span","mobileGrade","SYSREF_VIEWERS ","SYSRES_CONST_SUBTASK_BLOCK_DESCRIPTION ","%d soniya","WAIT_BLOCK_NAME_PROPERTY ","Set-WSManInstance Set-WSManQuickConfig Show-Command Show-ControlPanelItem Show-EventLog Sort-Object Split-Path Start-Job Start-Process ","scale(1)","virtual","#dd2c00","#66bb6a","mans_shoe","data family type newtype deriving","SYSRES_CONST_FOLDER_KIND_ANY_OBJECTS ","Массив ","angucomplete-alt:changeInput","md-edit-dialog-backdrop","tooltipPosition","_dragStartTimer","$$isolateBindings","md-container-ignore","Transition #","$$animateCssDriver","SYSRES_CONST_REQUISITE_FORMAT_BY_UNIT ","ಸಂಜೆ","[v sobotu o] LT","lastSelectedIndex","y-axis-1","Wednesday","' has two type configurations.","cool","Markup '{0}' may not work as expected in IE Browsers. Consult '{1}' for details.","[u] [sredu] [u] LT","iPad|iPad.*Mobile","SetConstant ","module where","_compile","#F7464A","addOption","cmpfunc_greater cmpfunc_notequal cmpfunc_greaterequal cmpfunc_always ","indexOf","fortran","methods","This transition is invalid","SYSRES_CONST_MANUAL_ENUM_METHOD_FLAG ","replaceState","$digest","app/directives/checkboxes-item/checkboxes-view.html","isMobile","pageSelect","styl","autoPlay","md-input-has-value","camera","ldctRouteBlock ","^\\=\\w","[ഇന്നലെ] LT","questionResponse","expiresTimer","bubbleScroll","invalidFormat","_bodyFontFamily","itemsSortableConfig","tarAbortByWorkflowException ","scrollbarX","SYSRES_CONST_STRING_REQUISITE_CODE ","UrlRouterProvider","значениевданныеформы значениевстрокувнутр значениевфайл значениезаполнено значениеизстрокивнутр значениеизфайла ","userid:%s","minSizeY","bandwidth","durationIndeterminate","win8_settingscharm_add_html_entry win8_settingscharm_add_xaml_entry ",'new() [url:"%s"]',"D MMMM YYYY г.","Ògmh","preventDefault","configurable","\\.\\w+","call-id","D MMMM YYYY, A h:mm ਵਜੇ","text-rendering","md-chips-wrap","IForm ",'
',"mdExpansionPanelCollapsed","%d દિવસ","AmericanBSCall_ImpVol AmericanBSPut AmericanBSPut_Greeks AmericanBSPut_ImpVol amin amult annotationGetDefaults ","ctGroupBox ","tile_index_mask kbv_type_default kbv_type_ascii kbv_type_url "," másodperc","invalidHours","wptUserList ","pig_nose","Sonntag_Montag_Dienstag_Mittwoch_Donnerstag_Freitag_Samstag","TOUCHPAD.*[78910]|\\bTOUCHTAB\\b","$$rAF","[bugün saat] LT","aemDisabledAlways ","containerHeight","cloneElement","trait goto instanceof insteadof __DIR__ __NAMESPACE__ ","isInMenuBar","name count channels instance-data instance-state instance of","allSites allTurrets allUnits allUnitsUAV allVariables ammo ammoOnPylon and animate animateBay "," ps_file radexpand radius radsubstflag rassociative ratalgdenom ratchristof","100","<<\\s*","ФайлВремяУстановить ","selectLeader selectMax selectMin selectNoPlayer selectPlayer selectRandom selectRandomWeighted ","font-weight","autoShrink_",":(?!\\s)",'"*"',"uint16 uint32 uint64 float double bool struct enum string void ","SYSRES_CONST_ACCESS_RIGHTS_RATIFY_REQUISITE_CODE ","UNDERSCORE_IDENT_RE","qsubstr|qsysfunc|qtrim|quote|qupcase|scan|str|","SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_RESTRICTION_ACTION ","fa8072","ngHide","a8m.to-array","Hold Failed","md-left","MimeEncodeBinary ","uibTabset","wfetLabel ",'',"СоздатьИсключение ","task project allprojects subprojects artifacts buildscript configurations ","weapons weaponsItems weaponsItemsCargo weaponState weaponsTurret weightRTD WFSideText wind ","display_mouse_set display_set_ui_visibility ","[0-7]{1,3}|","ctReference ","mdPanel: The addClass method is in the process of being deprecated. ","part_alternation_mark","&show_comments=","čez %s","_nonce","SYSRES_CONST_ACTION_TYPE_ABORT ","[ve čtvrtek v] LT","max-height","buildOrUpdateControllers","-width","хвилина_хвилини_хвилин","#FF33FF","SYSREF_EDOCUMENT_SIGNATURES ","MAX_FORWARDS","Get-Datastore Get-DatastoreCluster Get-DrsClusterGroup Get-DrsRecommendation Get-DrsRule Get-DrsVMHostRule Get-DscResource Get-EdgeGateway ","gridTemplateColumns","[1-2]?[0-9]{1}|3[0-1]{1}","recover recovery recursive recycle redo reduced ref reference referenced references referencing refresh ","noSelectClick","room_get_viewport room_set_view_enabled room_add room_duplicate ","SYSRES_CONST_ATTACH_TYPE_REFERENCE ","fireAfterUpdate","ctrl.mdSelectedNavItem","$anchorScroll","lastPullMode","типотображенияточечногообъектагеографическойсхемы типотображенияшкалыэлементалегендыгеографическойсхемы ","/angucomplete-alt/index.html","SUBTASK_BLOCK_DEADLINE_PROPERTY ","sysmsg|sysprod|sysrc|system|tan|tanh|time|timepart|","_call_id","showDigital",' \n',"_staled","Microphone ","UC.*Browser/[VER]","Too many consecutive Transition redirects (20+)","минут","wdtUserCollection ","SYSREQ_CONTENTS ","Accept"," maplist matchdeclare matchfix mat_cond mat_fullunblocker mat_function mathml_display","_newMessage","no model provided","tickValues","SYSRES_CONST_GROUP_ACCOUNT_TYPE_VALUE_CODE ","sfsStrikeout ","$$domOperationFired","months accessor is deprecated. Use month instead","isNull","ps__scrollbar-y","SYSRES_CONST_TEST_EDMS_DATABASE_NAME ","unnestR","ctrlSetAutoScrollSpeed ctrlSetBackgroundColor ctrlSetChecked ctrlSetEventHandler ctrlSetFade ","new throw","expectWithoutText","flexOrderLtMd","emChangeLifeCycleStage ","titleAlign","বিকাল","_setTheming","complete_check add getwinposx getqflist getwinposy screencol ","tile %d","IGNORED","columnWidths","User Denied Media Access","анимациядиаграммы вариантвыравниванияэлементовизаголовков вариантуправлениявысотойтаблицы ","SYSRES_CONST_GROUP_SERVER_CODES_REQUISITE_CODE ","getClientStateNumber getCompatiblePylonMagazines getConnectedUAV getContainerMaxLoad ","browserLanguage","April","cancelAutoHide","месяц_месяца_месяцев","#ff9800","distanceX","%d giorni","jedan sat","class interface enum if else for while switch case break default continue ","$mdGridLayout","new_moon_with_face","scopeName score scoreSide screenshot screenToWorld scriptDone scriptName scudState ","AdjustLineBreaks ","%sមុន","SYSREF_COMPONENT_TOKENS ","cop","ar-tn","waypointFormation waypointHousePosition waypointLoiterRadius waypointLoiterType waypointName ","SYSRES_CONST_VIEW_DEFAULT_CODE ","'","part_system_automatic_draw part_system_update part_system_drawit ","getBeforeBody","=?mdMatchCaseInsensitive","mdpOpenOnClick","^\\[.+?\\]$","float128l float128h __FLOAT_DAZ__ __FLOAT_ROUND__ __FLOAT__","while for foreach times in case when match with break continue ","D [de] MMMM [de] YYYY H:mm","Pocketbook","_setLocalMediaStatus","__nwjs","0[bB][01_]+","ring","IEventList ","showLtLg","SYSRES_CONST_APP_VIEWER_TYPE_REQUISITE_CODE ","ИДТипСпр ","[gelecek] dddd [saat] LT",'
',"SUBTASK_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY ","IWorkflowBlockResult ","CoordinateSystem CoordSysTransform CropWindow Curves Cylinder DepthOfField Detail ","clksrcExt","chartHover","SYSRES_CONST_GROUP_COMMON_CATEGORY_FIELD_VALUE "," maxtayorder mesh_lines_color method mod_big_prime mode_check_errorp","md-chip-content","trollface","datatyp|display|do|else|end|eval|global|goto|","$id","SYSDLG_SETUP_TASK_OBSERVERS ","Missing event id parameter","[pasintan] dddd[n je] LT","abstract and as assert base begin class default delegate do done ","mⓜmḿṁṃɱɯ","material.components.menu","webkitAnimationEnd ","ary",'"-"',"md-resize-textarea","\\]|\\?>","floatItemsUp","$locationChangeSuccess","fdtUnknown ",'md-connected-if="tab.isActive()" ',"hintCadet hintSilent hmd hostMission htmlLoad HUDMovementLevels humidity image importAllGroups ","gl_MaxImageSamples gl_MaxImageUnits gl_MaxLights gl_MaxPatchVertices gl_MaxProgramTexelOffset ","UⓊUÙÚÛŨṸŪṺŬÜǛǗǕǙỦŮŰǓȔȖƯỪỨỮỬỰỤṲŲṶṴɄ","SYSRES_CONST_ADDITION_REQUISITE_CODE ",'
    ',"vertex_type_float1 vertex_type_float2 vertex_type_float3 ",'',"material.components.switch","[прошлог] [понедељка] [у] LT","expected","ValidateXML ","currentTarget","button, a, md-button","%d तास","an hour","%d دقيقة","SYSRES_CONST_ALL_USERS_GROUP ","plotSurface plotTS plotXY polar polychar polyeval polygamma polyint polymake polymat polymroot polymult polyroot ","له‌ %s","#37474f","f0fff0"," raddr %s rport %d","SimpleVector Slot SlotNumber SparseMatrixCSC SparseVector StackFrame StackOverflowError StackTrace StepRange ","wolf","cltInternal cltPrimary cltGUI ","rgb(0, 0, 245)","iPad","vectorNormalized vectorUp vectorUpVisual vectorWorldToModel vectorWorldToModelVisual vehicle ","app/directives/textarea-item/textarea-view.html","upgradeError","color: ","innerHTML","$$loadingAsyncDone",'" has already been registered',"autounfold before bottom btauto by case case_eq cbn cbv change ","#1976d2","wsbSubTask ","toAor",""," {{ toast.action }}","$state$","deg)","causes","getNearestParent","getOpenMenuIndex"," natural_unit nc_degree ncexpt ncharpoly negative_picture neighbors new newcontext","material.components.bottomSheet","SYSREQ_EDOC_TYPE_ACT_ON_EXECUTE_EXISTS ","lemon","border-top-style","induction injection instantiate intro intro_pattern intros intuition ","iPhone.*CPU[a-z ]+[VER]","border-top-left-radius","\\s*(?:=|:=)\\s*)?(\\(.*\\))?\\s*\\B!?[-~]{1,2}>\\*?","$mdTable.progress","nominative","isempty maths dateserial atn timer isobject filter weekday datevalue ccur isdate ","JsSIP:RTCSession:ReferNotifier",'; text="',"positionArrow","sum","сериикодовсправочника созданиепривводе способвыбора способпоискастрокипривводепостроке способредактирования ","UibYearpickerController","fullWidth","IDevelopmentComponentLock ","Enero_Pebrero_Marso_Abril_Mayo_Hunyo_Hulyo_Agosto_Setyembre_Oktubre_Nobyembre_Disyembre","useTransformChip","broken_heart","hcGroupParams hcLeader hcRemoveAllGroups hcRemoveGroup hcSelected hcSelectGroup hcSetGroup ","ds_grid_value_disk_y ds_grid_shuffle ds_grid_write ds_grid_read ","uncheckable",'ng-click="$mdTabsCtrl.nextPage()"> ','"""',"auto bottom-left","hasTheme",'" src="',"(\\bwith\\s+)?\\b(function|procedure)\\s+","dog","layout-fill","endIndex","sekúnd","version","\\b(0o[0-7]+)[lLjJ]?","$ctrl.helper","record-route","SYSRES_CONST_CODE_REQUISITE_COMPONENT ","$$rowSelect","PAUSE PREG PTH RT_LD RUN SELECT SKIP Skip TA TB TO TOOL_OFFSET ","rejectUnauthorized","treeData","$default:","gl_MaxFragmentUniformComponents gl_MaxFragmentUniformVectors gl_MaxGeometryAtomicCounterBuffers ","(?:D|LL|M|RE|S|T|VE))?","md-max","اتوار","SYSRES_CONST_SBDATASETDESC_NEGATIVE_VALUE ","elephant","sampler1DArrayShadow sampler1DShadow sampler2D sampler2DArray sampler2DArrayShadow ","revDatabaseColumnLengths revDatabaseColumnNames revDatabaseColumnNamed revDatabaseColumnNumbered ","WEND WIDTH WINDOW WRITE XOR","לפנות בוקר","$to","openFrom","SYSREQ_EDOC_TYPE_NUMERATION_METHOD ","REGEXP","%d minuten","Disathairne","worried","goto/16","ar-dz","miesiąc","drawTicks","getClientRects","firstBackpack flag flagAnimationPhase flagOwner flagSide flagTexture fleeing floor flyInHeight ","

    {{::dialog.mdTextContent}}

    ","%d horas","term","[minulou neděli v] LT","
    ","IAccountRepository ","hostport_params","steppedLine",'"/"',"Dialogs ","calculateTotalPages","target"," gnuplot_ps_term_command gnuplot_svg_term_command gnuplot_term gnuplot_view_args","uiStateOpts","FDW_INVALID_ATTRIBUTE_VALUE FDW_INVALID_COLUMN_NAME FDW_INVALID_COLUMN_NUMBER ","scrollXMarginOffset","async signal static abstract interface override virtual delegate ","getSpecialChar","put_litter_in_its_place","bool cdouble cent cfloat char creal dchar delegate double dstring float function ","mbesseli0 mbesseli1 meanc median mergeby mergevar minc minindc minv miss missex missrv moment momentd movingave ","star2","mdMonthBodyCtrl","Symbian/[VER]","IAction ","ALIAS ALIGN ARM AREA ASSERT ATTR CN CODE CODE16 CODE32 COMMON CP DATA DCB DCD DCDU DCDO DCFD DCFDU DCI DCQ DCQU DCW DCWU DN ELIF ELSE END ENDFUNC ENDIF ENDP ENTRY EQU EXPORT EXPORTAS EXTERN FIELD FILL FUNCTION GBLA GBLL GBLS GET GLOBAL IF IMPORT INCBIN INCLUDE INFO KEEP LCLA LCLL LCLS LTORG MACRO MAP MEND MEXIT NOFP OPT PRESERVE8 PROC QN READONLY RELOC REQUIRE REQUIRE8 RLIST FN ROUT SETA SETL SETS SN SPACE SUBT THUMB THUMBX TTL WHILE WEND ","vec vech vecr vector vget view viewxyz vlist vnamecv volume vput vread vtypecv wait waitc walkindex where window ","#ce93d8","ia_load_module members system host_aliases ip allowed_trunk_vlans description device_url duplex encapsulation etherchannel ","`[cwd]?","segundu balun","uibTab","onAuthenticated","dddd [tuần trước lúc] LT","cleanup"," मिणटां",'"%"',"_findTabReverse","सायंकाळी","pagi"," secunds","garbageCollect",'ng-blur="$mdTabsCtrl.hasFocus = false" ',"%s síðani","angular.filter","disableNVGEquipment disableRemoteSensors disableSerialization disableTIEquipment ","traceViewServiceUIViewEvent","focusToggleElement","
    ","%d.","animDisplay animView annotate appendStringArray applicationName applyAttrPreset ","pushing","MdTabsController","port","os_lock_orientation display_get_dpi_x display_get_dpi_y ","%d sni","; < <= = => > >= ` abs acos angle append apply asin assoc assq assv atan ","[autofocus]","while long throw finally protected final return void enum else ","{PC} {VAR} {TRUE} {FALSE} {OPT} {CONFIG} {ENDIAN} {CODESIZE} {CPU} {FPU} {ARCHITECTURE} {PCSTOREOFFSET} {ARMASM_VERSION} {INTER} {ROPI} {RWPI} {SWST} {NOSWST} . @","[\\$\\@]",'
    \n',"public return static super switch this throw trace try typedef untyped using var while ","webkitMatches","nedjelja_ponedjeljak_utorak_srijeda_četvrtak_petak_subota","kuukauden","exports register file shl array record property for mod while set ally label uses raise not ","respectPreAssignBindingsEnabled","clickable","[كېلەركى] dddd [سائەت] LT","a8m.math.byteFmt","scorpius","codepenEmbed","toPromise","appendChip","_receiveInviteResponse","winphone_tile_title winphone_tile_count winphone_tile_back_title "," modulus multiplicative multiplicities myoptions nary negdistrib negsumdispflag","A namespace for the date id has to be specified.","_enableWatchers","$mdToast","ctInnerPanel ","UibDropdownController","handleDirectionalChanges","_registerCoreTransitionHooks","dddd, Do MMMM YYYY H:mm","आइतबार_सोमबार_मङ्गलबार_बुधबार_बिहिबार_शुक्रबार_शनिबार","TO_ASCII TO_HEX TRANSLATE ","invalidEra","జనవరి_ఫిబ్రవరి_మార్చి_ఏప్రిల్_మే_జూన్_జులై_ఆగస్టు_సెప్టెంబర్_అక్టోబర్_నవంబర్_డిసెంబర్","osù kan","gpu_set_zwriteenable gpu_set_lightingenable gpu_set_fog ","SYSREQ_EDOC_TYPE_COMMENT ","SYSRES_CONST_REPORT_VIEWER_CODE_REQUISITE_CODE ","repeatName","autoSwitch","shortFmt","SYSRES_CONST_LIST_REFERENCE_MODE_NAME ","SYSRES_CONST_REQUISITE_SECTION_BUTTONS ","rgb(204, 255, 255)","draw_ellipse draw_set_circle_precision draw_arrow draw_button ","^\\*","removeFromGroup","switch synchronized throw throws transient try volatile while strictfp package ","md.table.templates","disableTemplates","#3300FF","createMarkerLocal createMenu createMine createMissionDisplay createMPCampaignDisplay ","totalItems"," Monate","withOffsetY","onElementReady","f0f8ff","DUPLICATE_PREPARED_STATEMENT DUPLICATE_SCHEMA DUPLICATE_TABLE DUPLICATE_ALIAS ","updateHeight","listEnter"," diagmetric diff dim dimensions dispflag display2d|10 display_format_internal","sput","getLanguage","getLocals","Thu","INFO","UibTypeaheadController","koala","e61a","ConfigurationError","transitionDuration","removeItem","flowPreventDrop","flower_playing_cards","ShowMessage ","_wrapTemplate","maxDate","Seconds","drawRoundedRectangle","Toasts with actions should define an actionKey for accessibility.","%d minuti","SUBTASK_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY ","$interpolate","missingif nullif ifinf ifnan ifnanorinf naninf neginfif posinfif clock_millis clock_str date_add_millis ","ILargeIntegerCriterion ","sushi","SYSRES_CONST_ACTION_TYPE_DELETE_ATTACHMENT ","modelToWorldVisualWorld modelToWorldWorld modParams moonIntensity moonPhase morale move ","steam_ugc_set_item_description steam_ugc_set_item_visibility ","taglist string getmatches bufnr strftime winwidth bufexists ","incoming_envelope","bir neçə saniyə","hasAnimations","lastDayOfMonth","A h:mm:ss [vazta]","%d buwan","800 900","$exceptionHandler","createComment","data-ps-id","ICustomWorkInfo ","SYSRES_CONST_TEST_EDMS_MAIN_DB_NAME ","getFocusedTabId","_injector","Obigo","a8m.omit","$urlRouter","codeServices","checkStickySupport","d8bfd8","New-vRNIDataSource Open-VMConsoleWindow Publish-Module Publish-NsxSpoofguardPolicy Publish-Script Register-PSRepository Register-PackageSource Remove-AdvancedSetting ","miTab \\b(DIAMOND|SPACE|BROOKLYN|NEO|FLY|MANHATTAN|FUNK|EVOLUTION|SKY|GOCAR|IRON|GENIUS|POP|MINT|EPSILON|BROADWAY|JUMP|HOP|LEGEND|NEW AGE|LINE|ADVANCE|FEEL|FOLLOW|LIKE|LINK|LIVE|THINK|FREEDOM|CHICAGO|CLEVELAND|BALTIMORE-GH|IOWA|BOSTON|SEATTLE|PHOENIX|DALLAS|IN 101|MasterChef)\\b"," mesen","nav-up","HWREna BadVAddr Count Compare SR IntCtl SRSCtl SRSMap Cause EPC PRId ","unhold()","vertex_submit vertex_freeze vertex_get_number vertex_get_buffer_size ","offeredAnswersSortableConfig","physics_particle_get_radius physics_particle_get_density ","getHoverColor",'emit "refer"',"IWizardStep ","false true null","new next conj set! to-array future future-call into-array aset gen-class reduce map filter find empty ","ugc_query_RankedByTrend ","SYSRES_CONST_CONST_POSITIVE_VALUE ","md-fling","#6200ea","isApplyConstraintsSupported","filter","ug-cn","critical cross cube cume_dist curdate current current_date current_time current_timestamp current_user ","SYSREQ_EDOC_VERSION_NOTE ","set? ifn? fn? associative? sequential? sorted? counted? reversible? number? decimal? ","alertdialog","graph","source-hash static-port","SYSREQ_ORIGINAL_RECORD ","INTEGRITY_CONSTRAINT_VIOLATION RESTRICT_VIOLATION NOT_NULL_VIOLATION FOREIGN_KEY_VIOLATION ","scaleSizeInUnits","星期日_星期一_星期二_星期三_星期四_星期五_星期六","ev_joystick2_button8 ev_outside ev_boundary ev_game_start ","modalInClass","mp_potential_path mp_linear_path_object mp_potential_path_object ","BYE Received","topIndex","isDST","A.T.M.","wfepUndefined ","ui.bootstrap.debounce","facebook_dialog facebook_logout facebook_launch_offerwall ","[:;]","def defonce cond apply if-not if-let if not not= = < > <= >= == + / * - rem ","Diciadain","set3DENModelsVisible set3DENObjectType set3DENSelected setAccTime setActualCollectiveRTD ","_receiveReplaces","#f9a825","%s كېيىن","allItemsOrdered","md-input-invalid","STATUS_DISCONNECTED","$uibTooltip","Il-Ħadd_It-Tnejn_It-Tlieta_L-Erbgħa_Il-Ħamis_Il-Ġimgħa_Is-Sibt","#9ccc65","disableScroll","dddd [në] LT","subs","ühe minuti","capnp","stripTrailingSlashes","draw_sprite_stretched_ext draw_sprite_tiled draw_sprite_tiled_ext "," random_noncentral_chi2 random_noncentral_student_t random_normal random_pareto","isUrlRule",'
    ',"chartLabels","@?mdpCancelLabel","preventMouseEvent","$$jqLite","false null true","isLockedOpen","isoWeeks","$broadcast","useLocalStorage","нядзеля_панядзелак_аўторак_серада_чацвер_пятніца_субота","ඉරි_සඳු_අඟ_බදා_බ්‍රහ_සිකු_සෙන","getOuterHTML","md-calendar-parent-action","fil","AppleScript false linefeed return pi quote result space tab true","%d hores","ctHyperLink ","pⓟpṕṗƥᵽꝑꝓꝕ","Symbol Symmetric SystemError TCPSocket Task Text TextDisplay Timer Tridiagonal Tuple Type TypeError TypeMapEntry ","#f57f17","layer_sprite_get_blend layer_sprite_get_alpha layer_sprite_get_x ","KITE 4G|HIGHWAY|GETAWAY|STAIRWAY|DARKSIDE|DARKFULL|DARKNIGHT|DARKMOON|SLIDE|WAX 4G|RAINBOW|BLOOM|SUNSET|GOA(?!nna)|LENNY|BARRY|IGGY|OZZY|CINK FIVE|CINK PEAX|CINK PEAX 2|CINK SLIM|CINK SLIM 2|CINK +|CINK KING|CINK PEAX|CINK SLIM|SUBLIM","unchecked-add-int unchecked-add unchecked-subtract-int unchecked-subtract chunk-next chunk-cons chunked-seq? prn vary-meta ","getPart","SYSRES_CONST_MESSAGE_ERROR_CAPTION ","New-AlarmActionTrigger New-CDDrive New-CIAccessControlRule New-CIVApp New-CIVAppNetwork New-CIVAppTemplate New-CIVM New-Cluster New-CustomAttribute New-Datacenter New-Datastore ","https://github.com/philipwalton/flexbugs#9-some-html-elements-cant-be-flex-containers","hoverOut","jan_fev_mar_abr_mai_jun_jul_ago_set_out_nov_dez","polyCacheMonitor polyCheck polyChipOff polyClipboard polyCloseBorder polyCollapseEdge ","размеркартинки расположениезаголовкашкалыдиаграммы растягиваниеповертикалидиаграммыганта "," napja","searchFields","dot_product_normalised dot_product_3d_normalised ","focusLastChipThenInput","isBefore","border-bottom-style","um minuto","vbs","काही सेकंदां","[कल] LT","%d ཟླ་བ","referer referrer repeating resultset rows search_args ","addHeader","minuteValues","animationend","SYSRES_CONST_CANCEL_MESSAGE_FUNCTION_RESULT ","pisces","ਸ਼ਾਮ","steam_is_cloud_enabled_for_app steam_is_cloud_enabled_for_account ","smali","D MMMM YYYY г., H:mm","menu_container_","-ти","gridster-resizable-changed","endpackage endprimitive endprogram endproperty endspecify endsequence endtable ","small_red_triangle","ant","setElementSizeX","point_right","січня_лютого_березня_квітня_травня_червня_липня_серпня_вересня_жовтня_листопада_грудня","IDataSigner ","\\b(0[oO][0-7]+)n?","Intersection Intersects IsEmpty IsNan IsSelfIntersecting Length LengthGeodetic Log Max Mean Millisecond Min Minute Month ","bodyFontStyle","translateLanguageChanged","\\)$","cancelAnimFrame","symbols","md-datepicker-is-showing","hasNext","animateClose","gdevAuth","New-NsxLogicalRouterInterfaceSpec New-NsxLogicalRouterOspfArea New-NsxLogicalRouterOspfInterface New-NsxLogicalRouterPrefix New-NsxLogicalRouterRedistributionRule ","@mdCurrentView","curatorWaypointCost current3DENOperation currentChannel currentCommand currentMagazine ","dow","vmNavigation ","[прошле] [среде] [у] LT","ArrayLowBound ","max-message-size:%s","onunload","dddd [seo chaidh] [aig] LT","_mangleOffer","AMPMS","ddd D MMM YYYY, H:mm","hxx","toasty-clear","utc","%d دن","getHooks","SUBTASK_BLOCK_NAME_PROPERTY ","D. MMMM YYYY H:mm",'probe transport "%s" failed because of error: %s',"$render","searching","a.m.","a bɛ %s bɔ","classList","browser_chrome browser_safari browser_safari_mobile browser_opera "," fullratsubst fullsetify funcsolve fundamental_dimensions fundamental_units","[object Arguments]","setPosATL setPosition setPosWorld setPylonLoadOut setPylonsPriority setRadioMsg setRain ","%d ай","refreshMethod","[کل بوقت] LT","inputContainer","files","a9a9a9","Replaces: ","G_vmlCanvasManager","TRACE","block-policy debug fingerprints hostid limit loginterface optimization","getElementSizeY","dddd [كاتژمێر] LT","probe error","#b9f6ca","moveInProgress","isindex","jan_feb_mrt_apr_mei_jun_jul_aug_sep_okt_nov_dec","ugc_query_FavoritedByFriendsRankedByPublicationDate ","видзаполнениярасшифровкипостроителяотчета типдобавленияпредставлений типизмеренияпостроителяотчета типразмещенияитогов ","ice","SYSREQ_EDOC_TYPE_VIEW_NAME ","miter","gpu_set_tex_max_mip gpu_set_tex_max_mip_ext gpu_set_tex_max_aniso ","_titleFontFamily","SYSRES_CONST_CONTENTS_REQUISITE_CODE ","focusToDate","SYSRES_CONST_SECTION_REQUISITE_DETAIL_6_VALUE ","sstAnsiSort ","ortho perspective printCamera printProjection cursor frameRate noCursor exit loop noLoop popStyle ","reply","","removePsClasses","00ff7f","md-cell","vertex_format_add_texcoord vertex_format_add_textcoord ","layer_tile_get_region layer_tile_get_visible ","date_date_string date_time_string date_days_in_month ","#f44336","SYSRES_CONST_DATE_OPEN_REQ_CODE ","ydd","\\.)+","COLLATE COLUMN CONCURRENTLY|10 CONSTRAINT CROSS ","waxing_crescent_moon","event-param","ctCheckListBox ","_remote_uri","inputAriaDescribedBy","deActivateKey debriefingText debugFSM debugLog deg delete3DENEntities deleteAt deleteCenter ","SYSRES_CONST_NOT_ALLOWED_ACCESS_TYPE_NAME ","SYSRES_CONST_USER_RIGHTS_T_REQUISITE_CODE ","#f50057","angular-translate/service: getFirstBrowserLanguage","ssrcGroups","
\n","mdDialog","userCallback","window_handle window_device matrix_get matrix_set ","onRequestTimeout","_bubble","point_up_2","[a-zA-Z][a-zA-Z0-9_-]*","stfips|stname|stnamel|substr|sum|symget|sysget|","angular-sortable-view","tooltipAnimationClass","RAW","cancelScheduledOpen","paddingRight","mdVisible","z9hG4bK",'',"mdChecked","SYSREF_RECORD_HISTORY ","^\\s*\\%\\{\\s*$","interpolate","SYSRES_CONST_ACTION_TYPE_REVISION ","data-clipboard-","background-color","начатьустановкурасширенияработысфайлами неделягода необходимостьзавершениясоединения номерсеансаинформационнойбазы ","[1-9]|1[0-2]","^mdSidenav","IProgress ","VIEWERS_PSEUDOREFERENCE_CODE ","buildHooks","sortedUniqBy","[\\w#]+\\(\\w+\\):\\d+:\\d+>","removePage","%d сағат","nogrow","$$cookieWriter","textAlign","SYSRES_CONST_NO ","msie","CreateObject ","See http://momentjs.com/guides/#/warnings/define-locale/ for more info.","","mdChips","ttSystem ","\\?.*=:","#d7ccc8","defimpl defmodule defprotocol defrecord","\\bL-06C|LG-V909|LG-V900|LG-V700|LG-V510|LG-V500|LG-V410|LG-V400|LG-VK810\\b","easeFn","emChangeEncodeTypeToPassword ","tickUnit","$ctrl.handle","isHovered","inversion inversion_clear is_evar is_var lapply lazy left lia lra move ","SYSRES_CONST_SEARCHES_EDOC_CONTENT ","atomicCounterIncrement atomicExchange atomicMax atomicMin atomicOr atomicXor barrier ","a8m.after-where","hideLtLg","SIP_FAILURE_CODE","einer Woche","createElementNS","sehari","РеестрСписокИменПарам ","ISignProvider2 ","اڱارو","ping","#69f0ae","ВедС ","[Sini lɛrɛ] LT","oss0","[Бүгін сағат] LT","$md-resize-enable","telescope","letom","loadingAsync","[В прошлое] dddd, [в] LT","SYSRES_CONST_JOB_KIND_CONTROL_JOB ","sql_small_result sql_variant_property sqlcode sqldata sqlerror sqlname sqlstate sqrt square standalone ","An Dùbhlachd","display (alert|dialog))\\b|^\\s*return\\b","fieldset"," ","message/sipfrag;version=2.0","data-ng-animate",'"early-only"',"\\b(?:PI|TWO_PI|PI_BY_TWO|DEG_TO_RAD|RAD_TO_DEG|SQRT2)\\b","tinan %d","scrollbarXRail",'
',"%d %d","overflow-wrap","font-style","$$nextSibling","D [ב]MMMM YYYY","_transport","order deny allow setenv rewriterule rewriteengine rewritecond documentroot ","physics_particle_set_max_count physics_particle_set_radius ","LLLL","YYYY-MM-DD","transition-delay","MoveFile ","catch","[a-zA-Z_\\-\\+\\*\\/\\<\\=\\>\\&\\#][a-zA-Z0-9_\\-\\+\\*\\/\\<\\=\\>\\&\\#!]*","%s بعد","སྐར་མ་གཅིག","ព្រឹក","_from_tag","a8m.is-null","%s %d %s %s","pointcut after before around throwing returning","escape","Precision ",' \n',"найтинедопустимыесимволыxml найтиокнопонавигационнойссылке найтипомеченныенаудаление найтипоссылкам найтифайлы ","part_type_step part_type_death part_type_speed part_type_direction ","%d दिन","determineDataLimits","verify version versions view virtual visible void wait wallet warning warnings week weekday weekofyear ","[dünən] LT","pollComplete","stagger-","resume","$md.pressup","Palm|Pre|Pixi","ssrc-group:%s %s","Unable to find component named '","achievement_show_ui achievement_show_profile "," realpart realroots rearray rectangle rectform rectform_log_if_constant recttopolar","MW_ELEMENT_TYPES","sessionId","_processInDialogSdpOffer","vertex_format_add_color vertex_format_add_normal ","tagName","startScrolling","local_seqnum","olsqr olsqr2 olsqrmt ones optn optnevn orth outtyp pacf packedToSp packr parse pause pdfCauchy pdfChi pdfExp pdfGenPareto ","NATURAL NOT NOTNULL NULL OFFSET ON ONLY OR ORDER OUTER OVERLAPS PLACING PRIMARY ","ptlined radians random reflect refract renderinfo round setcomp setxcomp setycomp ","LoadString ","injector","текстовыйдокумент тестируемоеприложение типданныхxml уникальныйидентификатор фабрикаxdto файл файловыйпоток ","установитьзаголовокклиентскогоприложения установитьзаголовоксистемы установитьиспользованиежурналарегистрации ","polyPlane polyPlatonicSolid polyPoke polyPrimitive polyPrism polyProjection ","$uiViewAnim","\\b\\d+[kKmMgGdshdwy]*\\b","dnd_","ਇੱਕ ਮਹੀਨਾ","wa’ rep","temp_gruu","audio_free_play_queue audio_queue_sound audio_get_recorder_count ","assignInWith","YYYY. [gada] D. MMMM","
","list-style","chroot sysread setpwent no crypt getc chown sqrt write setnetent setpriority foreach ","%d ຊົ່ວໂມງ","if then else on error option explicit new private property let get public randomize ","#b0bec5","foregroundPalette","dakika moja","[heute um] LT [Uhr]","-كۈنى","#FF00CC","symbolCheckBox sysFile system tabLayout tan tangentConstraint texLatticeDeformContext ","irb","^\\s*(!=#|=#|-#|/).*$","%d मिनिटां","invalid parameters to applyPairs","break continue discard do else for if return while switch case default ","á~ñ hó~úr","JsSIP:RTCSession","действиепостроителяdom завершенностьпростоготипаxs завершенностьсоставноготипаxs завершенностьсхемыxs запрещенныеподстановкиxs ","_items",'"_"',"%d segondas","isSelected","$stateful","uploaderPage",'"user="',"гадзіна","[\\w\\d]",".md-virtual-repeat-sizer","bank","aaa aad aam aas adc add and arpl bb0_reset bb1_reset bound bsf bsr bswap bt btc btr bts call cbw cdq cdqe clc cld cli clts cmc cmp cmpsb cmpsd cmpsq cmpsw cmpxchg cmpxchg486 cmpxchg8b cmpxchg16b cpuid cpu_read cpu_write cqo cwd cwde daa das dec div dmint emms enter equ f2xm1 fabs fadd faddp fbld fbstp fchs fclex fcmovb fcmovbe fcmove fcmovnb fcmovnbe fcmovne fcmovnu fcmovu fcom fcomi fcomip fcomp fcompp fcos fdecstp fdisi fdiv fdivp fdivr fdivrp femms feni ffree ffreep fiadd ficom ficomp fidiv fidivr fild fimul fincstp finit fist fistp fisttp fisub fisubr fld fld1 fldcw fldenv fldl2e fldl2t fldlg2 fldln2 fldpi fldz fmul fmulp fnclex fndisi fneni fninit fnop fnsave fnstcw fnstenv fnstsw fpatan fprem fprem1 fptan frndint frstor fsave fscale fsetpm fsin fsincos fsqrt fst fstcw fstenv fstp fstsw fsub fsubp fsubr fsubrp ftst fucom fucomi fucomip fucomp fucompp fxam fxch fxtract fyl2x fyl2xp1 hlt ibts icebp idiv imul in inc incbin insb insd insw int int01 int1 int03 int3 into invd invpcid invlpg invlpga iret iretd iretq iretw jcxz jecxz jrcxz jmp jmpe lahf lar lds lea leave les lfence lfs lgdt lgs lidt lldt lmsw loadall loadall286 lodsb lodsd lodsq lodsw loop loope loopne loopnz loopz lsl lss ltr mfence monitor mov movd movq movsb movsd movsq movsw movsx movsxd movzx mul mwait neg nop not or out outsb outsd outsw packssdw packsswb packuswb paddb paddd paddsb paddsiw paddsw paddusb paddusw paddw pand pandn pause paveb pavgusb pcmpeqb pcmpeqd pcmpeqw pcmpgtb pcmpgtd pcmpgtw pdistib pf2id pfacc pfadd pfcmpeq pfcmpge pfcmpgt pfmax pfmin pfmul pfrcp pfrcpit1 pfrcpit2 pfrsqit1 pfrsqrt pfsub pfsubr pi2fd pmachriw pmaddwd pmagw pmulhriw pmulhrwa pmulhrwc pmulhw pmullw pmvgezb pmvlzb pmvnzb pmvzb pop popa popad popaw popf popfd popfq popfw por prefetch prefetchw pslld psllq psllw psrad psraw psrld psrlq psrlw psubb psubd psubsb psubsiw psubsw psubusb psubusw psubw punpckhbw punpckhdq punpckhwd punpcklbw punpckldq punpcklwd push pusha pushad pushaw pushf pushfd pushfq pushfw pxor rcl rcr rdshr rdmsr rdpmc rdtsc rdtscp ret retf retn rol ror rdm rsdc rsldt rsm rsts sahf sal salc sar sbb scasb scasd scasq scasw sfence sgdt shl shld shr shrd sidt sldt skinit smi smint smintold smsw stc std sti stosb stosd stosq stosw str sub svdc svldt svts swapgs syscall sysenter sysexit sysret test ud0 ud1 ud2b ud2 ud2a umov verr verw fwait wbinvd wrshr wrmsr xadd xbts xchg xlatb xlat xor cmove cmovz cmovne cmovnz cmova cmovnbe cmovae cmovnb cmovb cmovnae cmovbe cmovna cmovg cmovnle cmovge cmovnl cmovl cmovnge cmovle cmovng cmovc cmovnc cmovo cmovno cmovs cmovns cmovp cmovpe cmovnp cmovpo je jz jne jnz ja jnbe jae jnb jb jnae jbe jna jg jnle jge jnl jl jnge jle jng jc jnc jo jno js jns jpo jnp jpe jp sete setz setne setnz seta setnbe setae setnb setnc setb setnae setcset setbe setna setg setnle setge setnl setl setnge setle setng sets setns seto setno setpe setp setpo setnp addps addss andnps andps cmpeqps cmpeqss cmpleps cmpless cmpltps cmpltss cmpneqps cmpneqss cmpnleps cmpnless cmpnltps cmpnltss cmpordps cmpordss cmpunordps cmpunordss cmpps cmpss comiss cvtpi2ps cvtps2pi cvtsi2ss cvtss2si cvttps2pi cvttss2si divps divss ldmxcsr maxps maxss minps minss movaps movhps movlhps movlps movhlps movmskps movntps movss movups mulps mulss orps rcpps rcpss rsqrtps rsqrtss shufps sqrtps sqrtss stmxcsr subps subss ucomiss unpckhps unpcklps xorps fxrstor fxrstor64 fxsave fxsave64 xgetbv xsetbv xsave xsave64 xsaveopt xsaveopt64 xrstor xrstor64 prefetchnta prefetcht0 prefetcht1 prefetcht2 maskmovq movntq pavgb pavgw pextrw pinsrw pmaxsw pmaxub pminsw pminub pmovmskb pmulhuw psadbw pshufw pf2iw pfnacc pfpnacc pi2fw pswapd maskmovdqu clflush movntdq movnti movntpd movdqa movdqu movdq2q movq2dq paddq pmuludq pshufd pshufhw pshuflw pslldq psrldq psubq punpckhqdq punpcklqdq addpd addsd andnpd andpd cmpeqpd cmpeqsd cmplepd cmplesd cmpltpd cmpltsd cmpneqpd cmpneqsd cmpnlepd cmpnlesd cmpnltpd cmpnltsd cmpordpd cmpordsd cmpunordpd cmpunordsd cmppd comisd cvtdq2pd cvtdq2ps cvtpd2dq cvtpd2pi cvtpd2ps cvtpi2pd cvtps2dq cvtps2pd cvtsd2si cvtsd2ss cvtsi2sd cvtss2sd cvttpd2pi cvttpd2dq cvttps2dq cvttsd2si divpd divsd maxpd maxsd minpd minsd movapd movhpd movlpd movmskpd movupd mulpd mulsd orpd shufpd sqrtpd sqrtsd subpd subsd ucomisd unpckhpd unpcklpd xorpd addsubpd addsubps haddpd haddps hsubpd hsubps lddqu movddup movshdup movsldup clgi stgi vmcall vmclear vmfunc vmlaunch vmload vmmcall vmptrld vmptrst vmread vmresume vmrun vmsave vmwrite vmxoff vmxon invept invvpid pabsb pabsw pabsd palignr phaddw phaddd phaddsw phsubw phsubd phsubsw pmaddubsw pmulhrsw pshufb psignb psignw psignd extrq insertq movntsd movntss lzcnt blendpd blendps blendvpd blendvps dppd dpps extractps insertps movntdqa mpsadbw packusdw pblendvb pblendw pcmpeqq pextrb pextrd pextrq phminposuw pinsrb pinsrd pinsrq pmaxsb pmaxsd pmaxud pmaxuw pminsb pminsd pminud pminuw pmovsxbw pmovsxbd pmovsxbq pmovsxwd pmovsxwq pmovsxdq pmovzxbw pmovzxbd pmovzxbq pmovzxwd pmovzxwq pmovzxdq pmuldq pmulld ptest roundpd roundps roundsd roundss crc32 pcmpestri pcmpestrm pcmpistri pcmpistrm pcmpgtq popcnt getsec pfrcpv pfrsqrtv movbe aesenc aesenclast aesdec aesdeclast aesimc aeskeygenassist vaesenc vaesenclast vaesdec vaesdeclast vaesimc vaeskeygenassist vaddpd vaddps vaddsd vaddss vaddsubpd vaddsubps vandpd vandps vandnpd vandnps vblendpd vblendps vblendvpd vblendvps vbroadcastss vbroadcastsd vbroadcastf128 vcmpeq_ospd vcmpeqpd vcmplt_ospd vcmpltpd vcmple_ospd vcmplepd vcmpunord_qpd vcmpunordpd vcmpneq_uqpd vcmpneqpd vcmpnlt_uspd vcmpnltpd vcmpnle_uspd vcmpnlepd vcmpord_qpd vcmpordpd vcmpeq_uqpd vcmpnge_uspd vcmpngepd vcmpngt_uspd vcmpngtpd vcmpfalse_oqpd vcmpfalsepd vcmpneq_oqpd vcmpge_ospd vcmpgepd vcmpgt_ospd vcmpgtpd vcmptrue_uqpd vcmptruepd vcmplt_oqpd vcmple_oqpd vcmpunord_spd vcmpneq_uspd vcmpnlt_uqpd vcmpnle_uqpd vcmpord_spd vcmpeq_uspd vcmpnge_uqpd vcmpngt_uqpd vcmpfalse_ospd vcmpneq_ospd vcmpge_oqpd vcmpgt_oqpd vcmptrue_uspd vcmppd vcmpeq_osps vcmpeqps vcmplt_osps vcmpltps vcmple_osps vcmpleps vcmpunord_qps vcmpunordps vcmpneq_uqps vcmpneqps vcmpnlt_usps vcmpnltps vcmpnle_usps vcmpnleps vcmpord_qps vcmpordps vcmpeq_uqps vcmpnge_usps vcmpngeps vcmpngt_usps vcmpngtps vcmpfalse_oqps vcmpfalseps vcmpneq_oqps vcmpge_osps vcmpgeps vcmpgt_osps vcmpgtps vcmptrue_uqps vcmptrueps vcmplt_oqps vcmple_oqps vcmpunord_sps vcmpneq_usps vcmpnlt_uqps vcmpnle_uqps vcmpord_sps vcmpeq_usps vcmpnge_uqps vcmpngt_uqps vcmpfalse_osps vcmpneq_osps vcmpge_oqps vcmpgt_oqps vcmptrue_usps vcmpps vcmpeq_ossd vcmpeqsd vcmplt_ossd vcmpltsd vcmple_ossd vcmplesd vcmpunord_qsd vcmpunordsd vcmpneq_uqsd vcmpneqsd vcmpnlt_ussd vcmpnltsd vcmpnle_ussd vcmpnlesd vcmpord_qsd vcmpordsd vcmpeq_uqsd vcmpnge_ussd vcmpngesd vcmpngt_ussd vcmpngtsd vcmpfalse_oqsd vcmpfalsesd vcmpneq_oqsd vcmpge_ossd vcmpgesd vcmpgt_ossd vcmpgtsd vcmptrue_uqsd vcmptruesd vcmplt_oqsd vcmple_oqsd vcmpunord_ssd vcmpneq_ussd vcmpnlt_uqsd vcmpnle_uqsd vcmpord_ssd vcmpeq_ussd vcmpnge_uqsd vcmpngt_uqsd vcmpfalse_ossd vcmpneq_ossd vcmpge_oqsd vcmpgt_oqsd vcmptrue_ussd vcmpsd vcmpeq_osss vcmpeqss vcmplt_osss vcmpltss vcmple_osss vcmpless vcmpunord_qss vcmpunordss vcmpneq_uqss vcmpneqss vcmpnlt_usss vcmpnltss vcmpnle_usss vcmpnless vcmpord_qss vcmpordss vcmpeq_uqss vcmpnge_usss vcmpngess vcmpngt_usss vcmpngtss vcmpfalse_oqss vcmpfalsess vcmpneq_oqss vcmpge_osss vcmpgess vcmpgt_osss vcmpgtss vcmptrue_uqss vcmptruess vcmplt_oqss vcmple_oqss vcmpunord_sss vcmpneq_usss vcmpnlt_uqss vcmpnle_uqss vcmpord_sss vcmpeq_usss vcmpnge_uqss vcmpngt_uqss vcmpfalse_osss vcmpneq_osss vcmpge_oqss vcmpgt_oqss vcmptrue_usss vcmpss vcomisd vcomiss vcvtdq2pd vcvtdq2ps vcvtpd2dq vcvtpd2ps vcvtps2dq vcvtps2pd vcvtsd2si vcvtsd2ss vcvtsi2sd vcvtsi2ss vcvtss2sd vcvtss2si vcvttpd2dq vcvttps2dq vcvttsd2si vcvttss2si vdivpd vdivps vdivsd vdivss vdppd vdpps vextractf128 vextractps vhaddpd vhaddps vhsubpd vhsubps vinsertf128 vinsertps vlddqu vldqqu vldmxcsr vmaskmovdqu vmaskmovps vmaskmovpd vmaxpd vmaxps vmaxsd vmaxss vminpd vminps vminsd vminss vmovapd vmovaps vmovd vmovq vmovddup vmovdqa vmovqqa vmovdqu vmovqqu vmovhlps vmovhpd vmovhps vmovlhps vmovlpd vmovlps vmovmskpd vmovmskps vmovntdq vmovntqq vmovntdqa vmovntpd vmovntps vmovsd vmovshdup vmovsldup vmovss vmovupd vmovups vmpsadbw vmulpd vmulps vmulsd vmulss vorpd vorps vpabsb vpabsw vpabsd vpacksswb vpackssdw vpackuswb vpackusdw vpaddb vpaddw vpaddd vpaddq vpaddsb vpaddsw vpaddusb vpaddusw vpalignr vpand vpandn vpavgb vpavgw vpblendvb vpblendw vpcmpestri vpcmpestrm vpcmpistri vpcmpistrm vpcmpeqb vpcmpeqw vpcmpeqd vpcmpeqq vpcmpgtb vpcmpgtw vpcmpgtd vpcmpgtq vpermilpd vpermilps vperm2f128 vpextrb vpextrw vpextrd vpextrq vphaddw vphaddd vphaddsw vphminposuw vphsubw vphsubd vphsubsw vpinsrb vpinsrw vpinsrd vpinsrq vpmaddwd vpmaddubsw vpmaxsb vpmaxsw vpmaxsd vpmaxub vpmaxuw vpmaxud vpminsb vpminsw vpminsd vpminub vpminuw vpminud vpmovmskb vpmovsxbw vpmovsxbd vpmovsxbq vpmovsxwd vpmovsxwq vpmovsxdq vpmovzxbw vpmovzxbd vpmovzxbq vpmovzxwd vpmovzxwq vpmovzxdq vpmulhuw vpmulhrsw vpmulhw vpmullw vpmulld vpmuludq vpmuldq vpor vpsadbw vpshufb vpshufd vpshufhw vpshuflw vpsignb vpsignw vpsignd vpslldq vpsrldq vpsllw vpslld vpsllq vpsraw vpsrad vpsrlw vpsrld vpsrlq vptest vpsubb vpsubw vpsubd vpsubq vpsubsb vpsubsw vpsubusb vpsubusw vpunpckhbw vpunpckhwd vpunpckhdq vpunpckhqdq vpunpcklbw vpunpcklwd vpunpckldq vpunpcklqdq vpxor vrcpps vrcpss vrsqrtps vrsqrtss vroundpd vroundps vroundsd vroundss vshufpd vshufps vsqrtpd vsqrtps vsqrtsd vsqrtss vstmxcsr vsubpd vsubps vsubsd vsubss vtestps vtestpd vucomisd vucomiss vunpckhpd vunpckhps vunpcklpd vunpcklps vxorpd vxorps vzeroall vzeroupper pclmullqlqdq pclmulhqlqdq pclmullqhqdq pclmulhqhqdq pclmulqdq vpclmullqlqdq vpclmulhqlqdq vpclmullqhqdq vpclmulhqhqdq vpclmulqdq vfmadd132ps vfmadd132pd vfmadd312ps vfmadd312pd vfmadd213ps vfmadd213pd vfmadd123ps vfmadd123pd vfmadd231ps vfmadd231pd vfmadd321ps vfmadd321pd vfmaddsub132ps vfmaddsub132pd vfmaddsub312ps vfmaddsub312pd vfmaddsub213ps vfmaddsub213pd vfmaddsub123ps vfmaddsub123pd vfmaddsub231ps vfmaddsub231pd vfmaddsub321ps vfmaddsub321pd vfmsub132ps vfmsub132pd vfmsub312ps vfmsub312pd vfmsub213ps vfmsub213pd vfmsub123ps vfmsub123pd vfmsub231ps vfmsub231pd vfmsub321ps vfmsub321pd vfmsubadd132ps vfmsubadd132pd vfmsubadd312ps vfmsubadd312pd vfmsubadd213ps vfmsubadd213pd vfmsubadd123ps vfmsubadd123pd vfmsubadd231ps vfmsubadd231pd vfmsubadd321ps vfmsubadd321pd vfnmadd132ps vfnmadd132pd vfnmadd312ps vfnmadd312pd vfnmadd213ps vfnmadd213pd vfnmadd123ps vfnmadd123pd vfnmadd231ps vfnmadd231pd vfnmadd321ps vfnmadd321pd vfnmsub132ps vfnmsub132pd vfnmsub312ps vfnmsub312pd vfnmsub213ps vfnmsub213pd vfnmsub123ps vfnmsub123pd vfnmsub231ps vfnmsub231pd vfnmsub321ps vfnmsub321pd vfmadd132ss vfmadd132sd vfmadd312ss vfmadd312sd vfmadd213ss vfmadd213sd vfmadd123ss vfmadd123sd vfmadd231ss vfmadd231sd vfmadd321ss vfmadd321sd vfmsub132ss vfmsub132sd vfmsub312ss vfmsub312sd vfmsub213ss vfmsub213sd vfmsub123ss vfmsub123sd vfmsub231ss vfmsub231sd vfmsub321ss vfmsub321sd vfnmadd132ss vfnmadd132sd vfnmadd312ss vfnmadd312sd vfnmadd213ss vfnmadd213sd vfnmadd123ss vfnmadd123sd vfnmadd231ss vfnmadd231sd vfnmadd321ss vfnmadd321sd vfnmsub132ss vfnmsub132sd vfnmsub312ss vfnmsub312sd vfnmsub213ss vfnmsub213sd vfnmsub123ss vfnmsub123sd vfnmsub231ss vfnmsub231sd vfnmsub321ss vfnmsub321sd rdfsbase rdgsbase rdrand wrfsbase wrgsbase vcvtph2ps vcvtps2ph adcx adox rdseed clac stac xstore xcryptecb xcryptcbc xcryptctr xcryptcfb xcryptofb montmul xsha1 xsha256 llwpcb slwpcb lwpval lwpins vfmaddpd vfmaddps vfmaddsd vfmaddss vfmaddsubpd vfmaddsubps vfmsubaddpd vfmsubaddps vfmsubpd vfmsubps vfmsubsd vfmsubss vfnmaddpd vfnmaddps vfnmaddsd vfnmaddss vfnmsubpd vfnmsubps vfnmsubsd vfnmsubss vfrczpd vfrczps vfrczsd vfrczss vpcmov vpcomb vpcomd vpcomq vpcomub vpcomud vpcomuq vpcomuw vpcomw vphaddbd vphaddbq vphaddbw vphadddq vphaddubd vphaddubq vphaddubw vphaddudq vphadduwd vphadduwq vphaddwd vphaddwq vphsubbw vphsubdq vphsubwd vpmacsdd vpmacsdqh vpmacsdql vpmacssdd vpmacssdqh vpmacssdql vpmacsswd vpmacssww vpmacswd vpmacsww vpmadcsswd vpmadcswd vpperm vprotb vprotd vprotq vprotw vpshab vpshad vpshaq vpshaw vpshlb vpshld vpshlq vpshlw vbroadcasti128 vpblendd vpbroadcastb vpbroadcastw vpbroadcastd vpbroadcastq vpermd vpermpd vpermps vpermq vperm2i128 vextracti128 vinserti128 vpmaskmovd vpmaskmovq vpsllvd vpsllvq vpsravd vpsrlvd vpsrlvq vgatherdpd vgatherqpd vgatherdps vgatherqps vpgatherdd vpgatherqd vpgatherdq vpgatherqq xabort xbegin xend xtest andn bextr blci blcic blsi blsic blcfill blsfill blcmsk blsmsk blsr blcs bzhi mulx pdep pext rorx sarx shlx shrx tzcnt tzmsk t1mskc valignd valignq vblendmpd vblendmps vbroadcastf32x4 vbroadcastf64x4 vbroadcasti32x4 vbroadcasti64x4 vcompresspd vcompressps vcvtpd2udq vcvtps2udq vcvtsd2usi vcvtss2usi vcvttpd2udq vcvttps2udq vcvttsd2usi vcvttss2usi vcvtudq2pd vcvtudq2ps vcvtusi2sd vcvtusi2ss vexpandpd vexpandps vextractf32x4 vextractf64x4 vextracti32x4 vextracti64x4 vfixupimmpd vfixupimmps vfixupimmsd vfixupimmss vgetexppd vgetexpps vgetexpsd vgetexpss vgetmantpd vgetmantps vgetmantsd vgetmantss vinsertf32x4 vinsertf64x4 vinserti32x4 vinserti64x4 vmovdqa32 vmovdqa64 vmovdqu32 vmovdqu64 vpabsq vpandd vpandnd vpandnq vpandq vpblendmd vpblendmq vpcmpltd vpcmpled vpcmpneqd vpcmpnltd vpcmpnled vpcmpd vpcmpltq vpcmpleq vpcmpneqq vpcmpnltq vpcmpnleq vpcmpq vpcmpequd vpcmpltud vpcmpleud vpcmpnequd vpcmpnltud vpcmpnleud vpcmpud vpcmpequq vpcmpltuq vpcmpleuq vpcmpnequq vpcmpnltuq vpcmpnleuq vpcmpuq vpcompressd vpcompressq vpermi2d vpermi2pd vpermi2ps vpermi2q vpermt2d vpermt2pd vpermt2ps vpermt2q vpexpandd vpexpandq vpmaxsq vpmaxuq vpminsq vpminuq vpmovdb vpmovdw vpmovqb vpmovqd vpmovqw vpmovsdb vpmovsdw vpmovsqb vpmovsqd vpmovsqw vpmovusdb vpmovusdw vpmovusqb vpmovusqd vpmovusqw vpord vporq vprold vprolq vprolvd vprolvq vprord vprorq vprorvd vprorvq vpscatterdd vpscatterdq vpscatterqd vpscatterqq vpsraq vpsravq vpternlogd vpternlogq vptestmd vptestmq vptestnmd vptestnmq vpxord vpxorq vrcp14pd vrcp14ps vrcp14sd vrcp14ss vrndscalepd vrndscaleps vrndscalesd vrndscaless vrsqrt14pd vrsqrt14ps vrsqrt14sd vrsqrt14ss vscalefpd vscalefps vscalefsd vscalefss vscatterdpd vscatterdps vscatterqpd vscatterqps vshuff32x4 vshuff64x2 vshufi32x4 vshufi64x2 kandnw kandw kmovw knotw kortestw korw kshiftlw kshiftrw kunpckbw kxnorw kxorw vpbroadcastmb2q vpbroadcastmw2d vpconflictd vpconflictq vplzcntd vplzcntq vexp2pd vexp2ps vrcp28pd vrcp28ps vrcp28sd vrcp28ss vrsqrt28pd vrsqrt28ps vrsqrt28sd vrsqrt28ss vgatherpf0dpd vgatherpf0dps vgatherpf0qpd vgatherpf0qps vgatherpf1dpd vgatherpf1dps vgatherpf1qpd vgatherpf1qps vscatterpf0dpd vscatterpf0dps vscatterpf0qpd vscatterpf0qps vscatterpf1dpd vscatterpf1dps vscatterpf1qpd vscatterpf1qps prefetchwt1 bndmk bndcl bndcu bndcn bndmov bndldx bndstx sha1rnds4 sha1nexte sha1msg1 sha1msg2 sha256rnds2 sha256msg1 sha256msg2 hint_nop0 hint_nop1 hint_nop2 hint_nop3 hint_nop4 hint_nop5 hint_nop6 hint_nop7 hint_nop8 hint_nop9 hint_nop10 hint_nop11 hint_nop12 hint_nop13 hint_nop14 hint_nop15 hint_nop16 hint_nop17 hint_nop18 hint_nop19 hint_nop20 hint_nop21 hint_nop22 hint_nop23 hint_nop24 hint_nop25 hint_nop26 hint_nop27 hint_nop28 hint_nop29 hint_nop30 hint_nop31 hint_nop32 hint_nop33 hint_nop34 hint_nop35 hint_nop36 hint_nop37 hint_nop38 hint_nop39 hint_nop40 hint_nop41 hint_nop42 hint_nop43 hint_nop44 hint_nop45 hint_nop46 hint_nop47 hint_nop48 hint_nop49 hint_nop50 hint_nop51 hint_nop52 hint_nop53 hint_nop54 hint_nop55 hint_nop56 hint_nop57 hint_nop58 hint_nop59 hint_nop60 hint_nop61 hint_nop62 hint_nop63","achievement_leaderboard_info achievement_achievement_info ","ng-animate-ref","یک دقیقه","SYSRES_CONST_ADMINISTRATION_HISTORY_IS_MAIN_SERVER_CHANGED_ACTION_CODE ","a8m.uri-component-encode","primaryWeaponItems primaryWeaponMagazine priority processDiaryLink productVersion profileName ","str char bool ","trying","updateOn","ddd, YYYY[ko] MMM D[a] HH:mm","$parent","_overflowWeekday","removeWith","deRegister","#eceff1","May","%d မိနစ်","'md-right': tab.isRight(), ","FLOAT2STRINGNC INSTR LEFT LEN LTRIM MID RIGHT RTRIM STRING STRINGNC TOLOWER TOUPPER TRIM ","SYSRES_CONST_AUTHENTICATING_SIGNATURE_NAME ","localDescription",'uib-title="',"%d segons","ВедСпр ","%d sekunddat","miniti %d",'"MD5-sess"',"porta ddra pina portb ddrb pinb portc ddrc pinc portd ddrd pind spdr spsr spcr udr0 ","bfcpConfId","Set-NsxEdgeOspf Set-NsxEdgeRouting Set-NsxFirewallGlobalConfiguration Set-NsxFirewallRule Set-NsxFirewallSavedConfiguration Set-NsxFirewallThreshold Set-NsxLoadBalancer ","running","_mdSelectIsOpen","ОтлИнфУдалить ","type endtype endmodule endselect endinterface end enddo endif if forall endforall only contains default return stop then ","matchCriteria","neutral","РегУстВрем ","alien","Remove-NsxMacSet Remove-NsxSecondaryManager Remove-NsxSecurityGroup Remove-NsxSecurityGroupMember Remove-NsxSecurityPolicy Remove-NsxSecurityPolicyAssignment ","query","win8_secondarytile_delete win8_livetile_notification_begin ","animation-direction","pre","fdtInteger ","#(b|B)[0-1]+(/[0-1]+)?","REPEAT_PROCESS_CURRENT_OBJECT_EXCEPTION_NAME ","SYSRES_CONST_FOLDER_TYPE_COMMON ","default delegate do double enum event explicit extern finally fixed float ","preAssignBindingsEnabled","mdCalendarYear","setMonth"," цаг","$md.hold","February"," \n","%d mìosan","СоздатьДиалогВыбораПапки ","lfm","SUPERUSER NOSUPERUSER CREATEDB NOCREATEDB CREATEROLE NOCREATEROLE INHERIT NOINHERIT ","a8m.ends-with","\\s=>","regexp_like register regr_avgx regr_avgy regr_count regr_intercept regr_r2 regr_slope regr_sxx regr_sxy ","EndStructure EndStructureUnion EndWith Enumeration EnumerationBinary Extends ","Via: ","","enableScrolling","heavy_plus_sign","a8m.before-where","phy_particle_data_flag_color phy_particle_data_flag_category ","$mdSidenavController","exception fn fun functor handle if in include infix infixr ","[0-9][0-9][0-9]",'" content="',"theme-color","text-shadow","millis begin print write ready flush width ","New-NsxLoadBalancerMonitor New-NsxLoadBalancerPool New-NsxLogicalRouter New-NsxLogicalRouterBgpNeighbour New-NsxLogicalRouterBridge New-NsxLogicalRouterInterface ","sdpOffer","Security Agreement Required","أقل من ساعة"," xy_file xyplane xy_scale yaxis yaxis_color yaxis_secondary yaxis_type yaxis_width","SubdivisionMesh Surface TextureCoordinates Torus Transform TransformBegin TransformEnd ","$uibUnscheduledDestruction","SYSREF_ROLES ","DECISION_BLOCK_RESULT_TYPE_PROPERTY DECISION_BLOCK_SECOND_OPERAND_PROPERTY ","[ui-view#"," zn_determinant zn_factor_generators zn_invert_by_lu zn_log zn_mult_table","SYSRES_CONST_STATE_REQUISITE_ACTIVE_VALUE ","%s بۇرۇن","scroll-shrink","mdPanel: Group does not exist yet. Call newPanelGroup().","$md.swipedown","startX","removeAttr","[a-zA-Z_][a-zA-Z0-9_.]*(\\!|\\?)?","getAfterBody","wdtAccountCollection ","resb resw resd resq rest resdq reso resy resz ",")\\s*=>","wheel touchmove","confirm","SYSRES_CONST_IS_PUBLIC_ROLE_REQUISITE_CODE ","audioServices","white-space","listen","rokov","ng-value","SYSRES_CONST_UNITS_SECTION_REQUISITE_CODE ","DetectRTC","forOwn","quelques secondes","addPreset","ngResource:\n","SYSRES_CONST_MINUTE_CHAR ","#0000CC","md-calendar-parent-changed","intersectionBy","paramSchema","handler","material.core.gestures","mrCancel ","callback","hasHeader","pages","define __FILE__ ","utSystemDeveloper ","_monthsShortStrictRegex","delay","smHidden smMaximized smMinimized smNormal wmNo wmYes ","tropical_fish","una minuta","SYSRES_CONST_ACCESS_RIGHTS_CHANGE_REQUISITE_YES_CODE ","[أمس على الساعة] LT","left_luggage","വൈകുന്നേരം","SCRIPT_BLOCK_NAME_PROPERTY ","SYSCOMP_NOTICES ","zmm0 zmm1 zmm2 zmm3 zmm4 zmm5 zmm6 zmm7 zmm8 zmm9 zmm10 zmm11 zmm12 zmm13 zmm14 zmm15 ","ariaValue","Sortable: `el` must be HTMLElement, not ","[včera v] LT","outgoing","clickable_change clickable_change_ext clickable_delete ","path_flip path_rotate path_rescale path_shift script_execute ","sekundi","حوزەیران","$type","setMax","openscad","ruri","Unknown type: ","findIndex","tHead","отображениевремениэлементовпланировщика ","CheckParam ","$templateCache","_$scope","SYSRES_CONST_REQUISITE_FORMAT_LEFT ","closing session ","app/directives/input-item/input-view.html","self other all noone global local undefined pointer_invalid ","izakaya_lantern","text/html","%d năm","bind() requires a function","\\[/no_square_brackets\\]","[ieiri à] LT","directionLinks",'
{{formItem.props.title}}
{{formItem.props.helpText}}
',"တစ်မိနစ်","Palette() instead.","mask","$watch","px,","दुपारी","dolls","DCTS_TEST_PROTOCOLS_FOLDER_PATH ","parseStyle","$$encodeUriSegment","beginTransmission getSignalStrength runAsynchronously ","расположениевложенныхэлементоврезультатакомпоновкиданных расположениеитоговкомпоновкиданных расположениегруппировкикомпоновкиданных ","$$animateQueue","b22222","uma semana","[В] dddd, [в] LT","getLabels","les","mdColorHistory","SYSRES_CONST_ROUTE_TYPE_PARALLEL ","rgb(255, 230, 204)","currentMagazineDetail currentMagazineDetailTurret currentMagazineTurret currentMuzzle ","dddd, D MMMM YYYY [i] HH:mm",'"application"',"$mdExpansionPanelGroup","DOMDOCSAVEFILE DOMDOCGETROOT DOMDOCADDPI DOMNODEGETNAME DOMNODEGETTYPE DOMNODEGETVALUE DOMNODEGETCHILDCT ","te haora","rgba(0, 0, 0, 1.000)","[\\+\\-]","`","%d ġranet","html.hbs","VIEW_MINUTES","settings","як сол","Future GlobalRef GotoNode HTML Hermitian IO IOBuffer IOContext IOStream IPAddr IPv4 IPv6 IndexCartesian IndexLinear ","mw-form-page-element-builder.html","physics_get_density physics_get_restitution ","count count_big counted covar_pop covar_samp cpu_per_call cpu_per_session crc32 create creation ","MSPointerCancel","spTScalar spZeros sqpSolve sqpSolveMT sqpSolveMTControlCreate sqpSolveMTlagrangeCreate sqpSolveMToutCreate sqpSolveSet ","uibTooltipHtml","एका दिसान","green_heart","thread_local zeroinitializer undef null to tail ","clearing existing transport %s","splice","tera’ jar wa’_tera’ jar cha’_tera’ jar wej_tera’ jar loS_tera’ jar vagh_tera’ jar jav_tera’ jar Soch_tera’ jar chorgh_tera’ jar Hut_tera’ jar wa’maH_tera’ jar wa’maH wa’_tera’ jar wa’maH cha’","setNumPages","%d saat","getPointAtEvent","jdate jnow jstart jtime errorLevel execError gamsRelease gamsVersion ","getter setter retain unsafe_unretained ","datepicker-options","setDisabled","segment","uibPopoverTemplate","","a8m.latinize","Ta_Ma_Tū_We_Tāi_Pa_Hā","SYSRES_CONST_SQL_SERVER_AUTHENTIFICATION_FLAG_VALUE_CODE ","[Circular]","arrow_lower_right","distinct drop foreign from group having index insert into in ","#536dfe","SUPPRESS_EMPTY_TEMPLATE_CREATION ","uibTabindexToggle","နွေ_လာ_ဂါ_ဟူး_ကြာ_သော_နေ","gggg","timeline_clear timeline_exists timeline_get_name ","six_pointed_star","md-slider-content","decrementSeconds","EXPIRES","leopard","Maemo","mdToolbar","[minulú nedeľu o] LT","list2","squash","value_list while abort case else fail_if fail_ifnot fail if_empty ","EEPROM Stream Mouse Audio Servo File Task ","variable","PRIVILEGE_MANAGE_ACCESS_RIGHTS ","mdCheckboxDirective","flush","_tones","subPath","vk_numpad1 vk_numpad2 vk_numpad3 vk_numpad4 vk_numpad5 vk_numpad6 ","Illegal attachments","[ⴰⵙⴷⵅ ⴴ] LT","[ieri la] LT"," ","$mdPanel","div","userInvalidated","lineTo","mdColorPickerAlpha","МаксСтрТблСпр ","00008b","BEFORE_NG_ARIA","typeaheadShowHint",'
',"получитьобщуюформу получитьокна получитьоперативнуюотметкувремени получитьотключениебезопасногорежима ","payloads","200ms","'\\w[\\w :\\/.-]*':(?=[ \t]|$)","TAB","arrow_upper_right","iOS","packet","uib/template/pager/pager.html","fed","jan_feb_mar_apr_maj_jun_jul_aug_sep_okt_nov_dec","px ","level","toPairs","#ffb300","uibDropdownService","two_women_holding_hands","physics_fixture_set_box_shape physics_fixture_set_edge_shape ","ctrlSetModelDirAndUp ctrlSetModelScale ctrlSetPixelPrecision ctrlSetPosition ctrlSetScale ","md-no-stick","wheelPropagation","%d år","sip_version","SYSRES_CONST_NUMERATION_AUTO_NOT_STRONG ","DⒹDḊĎḌḐḒḎĐƋƊƉꝹ","polarArea","_options","mp_grid_draw mp_grid_to_ds_grid collision_point collision_rectangle ","SYSRES_CONST_AUTOTEXT_USE_ON_SIGNING_CODE ","xorwrite goto near function end div overload object unit begin string on inline repeat until ","wptBoolean ","chunk-append chunk-first chunk-rest max min dec unchecked-inc-int unchecked-inc unchecked-dec-inc unchecked-dec unchecked-negate ","RadioButton","onRemoved","JsSIP:ERROR:RTCSession:Info","isFullWidth","=mdSelect","inputElement","[བདུན་ཕྲག་མཐའ་མ] dddd, LT","[0-9]|[1-5][0-9]","buildCalendarForYear","$dist_t $dist_uniform $q_initialize $q_remove $q_exam $async$and$array "," random_logistic random_lognormal random_negative_binomial random_network","cellInputType","Session Interval Too Small","ein paar Sekunden","87cefa",'\n',"Googlebot-Mobile|AdsBot-Google-Mobile|YahooSeeker/M1A1-R2D2","SliceConcatExt ToString ","pfx","JOB_BLOCK_CREATED_JOBS_PROPERTY ","dolphin","overrideParser","draw_light_get draw_get_lighting shop_leave_rating url_get_domain ","postbox",'לפנה"צ',"increase limit","pre-pause writing complete","ອີກ %s","segundo batzuk"," quad_qags quad_qawc quad_qawf quad_qawo quad_qaws quadrilateral quantile","So_Ma_Di_Wo_Do_Vr_Sa","%d месеци","acos atan exp expm1 log log2 log10 log1p pi at text_length text_range ","%d oⵙⵙⴰⵏ","राति","ಒಂದು ನಿಮಿಷ","capture","metai_metų_metus","material.components.gridList","Jan_Shk_Mar_Pri_Maj_Qer_Kor_Gus_Sht_Tet_Nën_Dhj","fade","$scroll","invalid 'what' in when()","application_get_position application_surface_enable "," listeners ","[=>'/<($\"]","mdOptgroup",'md-svg-src="',"repeat_one","ACCEPTED_BODY_TYPES","hospital","NOTICE_BLOCK_DEADLINE_PROPERTY ",'',"custard","documentMode","NG_EMBED_DEFAULT_OPTIONS","Remove-VIPermission Remove-VIProperty Remove-VIRole Remove-VM Remove-VMHost Remove-VMHostAccount Remove-VMHostNetworkAdapter Remove-VMHostNtpServer Remove-VMHostProfile ","SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_ACTION_CODE ","@?mdDropdownPosition","leftwards_arrow_with_hook","setAM","vertex_format_delete vertex_format_add_position ","Sv_P_O_T_C_Pk_S","ELS_FOLDER_KIND_CONTROL_NAME ","CALCULATED_ROLE_TYPE_CODE ","skeleton_animation_get_frame skeleton_animation_set_frame ","first","textChange","$dismiss($value)","arrow_right_hook","getXChange getYChange answerCall getResult ","md-whiteframe-z1","openFocusedMenu","%d orë","mdWhiteframe","six ten formfeed nine zero none space four false colon crlf pi comma endoffile eof eight five ","bindKeydown","parseInt","selinux_policyversion serialnumber sp_ sshdsakey sshecdsakey sshrsakey swapencrypted swapfree swapsize timezone type uniqueid uptime ","ev_global_gesture_tap ev_global_gesture_double_tap ",'
',"clearAnimate","wfepText27 ","nixos","%d daqiqa","clearmatches empty extend getcmdpos mzeval garbagecollect setreg ","","D MMM YYYY, H:mm","args","D MMMM [năm] YYYY HH:mm","جويلية","view_angle view_hborder view_vborder view_hspeed view_vspeed ","a8m.math.degrees","dtmf","Suspend-Service Tee-Object Test-ComputerSecureChannel Test-Connection Test-ModuleManifest Test-Path Test-PSSessionConfigurationFile ","handleKeyDown","month","require returnhome skip split_thread sum take thread to trait type ","splineCurveMonotone","(?=[\\.\\s\\n\\[\\:,])","adoc","một giờ","вертикальнаяпрокруткаформы вертикальноеположение вертикальноеположениеэлемента видгруппыформы ","[A-Z_][A-Z0-9_.]*","error while creating transport: %s","You supplied an invalid color palette for theme %1's %2 palette. Available palettes: %3","TEST_EDMS_MAIN_CODE ","\\s*:","vsmNoSelection ","_rules","session onhold",'content-exp="contentExp()" ',"unicode-bidi","SYSRES_CONST_AUTOTEXT_USE_ANYWHERE_CODE ","pushR","md-calendar-date-selection-indicator","lineWidth","\\b([1-9][0-9_]*[0-9]|[0-9])(\\.[0-9][0-9_]*)?([eE]_*[-+]?[0-9_]*)?","maxParam","DISCONNECT","ఆ_సో_మం_బు_గు_శు_శ","IE_PROTO","sekúnda","ngSortableConfig","СпрПарам ","soatDatetime ","pageNameChanged","triggerHandler","et minut","\\bDolfin\\b","CArrayElement ","dddd [tuần tới lúc] LT","selected",'error parsing header "',"%d sagat","ui.router.angular1","[明日] LT","prior priority private private_sga privileges procedural procedure procedure_analyze processlist ","$uibPosition","https://vimeo.com/api/v2/video/","uib/template/modal/window.html","$$animationRunner","%d 個月","cry","relativeTo","режимполученияданныхвыборапривводепостроке режимсовместимости режимсовместимостиинтерфейса ",' date-end="ngModel.dateEnd" ',"dseOnPrepareUpdate ","disableBackdrop","marker","Minutes","Busy Here","diamond_shape_with_a_dot_inside","[a-zA-Z](\\.?\\w)*"," get_vertex_label gfactor gfactorsum ggf girth global_variances gn gnuplot_close","%d d~áýs","addClass","-ھەپتە","hasModifierKey","outline-width","SYSRES_CONST_ACTION_TYPE_VERSION_STATE ","device_is_keypad_open device_mouse_check_button ","setDialogParentGetter","icWizardActionEnableEventHandler ","gpu_set_tex_filter gpu_set_tex_filter_ext gpu_set_tex_repeat ","UibRatingController","invokeMap","-module -record -undef -export -ifdef -ifndef -author -copyright -doc -vsn ","SYSREQ_GROUP_CATEGORY ","jstree","radius","ratio","$$parseAndValidate","@mdItems","documentElement","assignAsCargo assignAsCargoIndex assignAsCommander assignAsDriver assignAsGunner assignAsTurret ","_monthsParse","Мин ","^\\d{1,3}\\.\\d{1,3}\\.\\d{1,3}\\.\\d{1,3}(:\\d{1,5})?\\b","ff0","$datepickerPopupLiteralWarning","SYSRES_CONST_TASK_STATE_COMPLETE ","'(U|X|0|1|Z|W|L|H|-)'","distance distance2D distanceSqr distributionRegion do3DENAction doArtilleryFire doFire doFollow ","_titleAlign","Record-Route: ","SYSRES_CONST_TASK_ACCESS_TYPE_MANUAL ","STATUS_WAITING_FOR_ACK","isFormat","(\\s*/)?","dddd [dernier à] LT"," is reserved!","repeat exit and or xor not return mod div switch case default var ","sparse","mwForm.pageEvents.addPage","അൽപ നിമിഷങ്ങൾ",' AM',"конструкторнастроеккомпоновкиданных конструкторформатнойстроки линия макеткомпоновкиданных макетобластикомпоновкиданных ","SYSREQ_REF_TYPE_DISPLAY_REQUISITE_NAME ","(\\))?[^a-zA-Z0-9_\\}\\$]","lazy-seq spread list* str find-keyword keyword symbol gensym force rationalize","_request","$cacheFactory","YYYY [m.] MMMM D [d.], dddd, HH:mm [val.]",'
{{video.description}}
{{video.views}} {{video.likes}}
',"(none)","isMultiplayer isMultiplayerSolo isNil isNull isNumber isObjectHidden isObjectRTD isOnRoad ","inputFocus",'
',"prefixer","```","styleTabItemFocus","tilemap_y tilemap_set tilemap_set_at_pixel tilemap_get_tileset ","feqmt fflush fft ffti fftm fftmi fftn fge fgemt fgets fgetsa fgetsat fgetst fgt fgtmt fileinfo filesa fle flemt ","ամիս","ཟླ་1_ཟླ་2_ཟླ་3_ཟླ་4_ཟླ་5_ཟླ་6_ཟླ་7_ཟླ་8_ཟླ་9_ཟླ་10_ཟླ་11_ཟླ་12",'',"Get-VMHostProfileImageCacheConfiguration Get-VMHostProfileRequiredInput Get-VMHostProfileStorageDeviceConfiguration Get-VMHostProfileUserConfiguration ","orange_book","_weekdaysParse"," tanh taylor taylorinfo taylorp taylor_simplifier taytorat tcl_output tcontract","autoSkip","секунду_секунды_секунд","$stateChangeError","titles","animationIterationCount","Unknown unit ",";transport=ws","ariaLabel",'',"transportType","[ \\t]*=","%d urte","xdomain","moveDown","mousemove touchmove","VIEWCONFIG: ","%d sekunder",'',"self semi sequence sequential serializable server servererror session session_user sessions_per_user set ","item","DOWN_ARROW","ReportName ",'" height="',"SYSRES_CONST_NAME_SINGULAR_REQUISITE_CODE ","[prošlog] [utorka] [u] LT","SYSRES_CONST_CHECK_PARAM_VALUE_REEFRENCE_PARAM_TYPE ","JOB_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY ","Disconnect-CisServer Disconnect-HCXServer Disconnect-NsxLogicalSwitch Disconnect-NsxServer Disconnect-NsxtServer Disconnect-SrmServer ","REGR_SXX REGR_SXY REGR_SYY STDDEV STDDEV_POP STDDEV_SAMP VARIANCE VAR_POP VAR_SAMP ","kind do while private call intrinsic where elsewhere ","Quantize ReadArchive RelativeDetail ReverseOrientation Rotate Scale ScreenWindow ","twig",'Illegal lexeme "',"withStream withWriter withWriterAppend write writeLine","host_type","mahjong","matrix msym ndpclex new open output outwidth plot plotsym pop prcsn print ","ngMaterialDateRangePicker","SYSREQ_FUNC_GROUP ","ds_list_size ds_list_empty ds_list_add ds_list_insert ","functionsIn","\\B('[A-Za-z])\\b","stripTags","IWorkflowReferenceParam ","$translateRefreshEnd","hostname","maxRows","reverseCurve reverseSurface revolve rgb_to_hsv rigidBody rigidSolver roll rollCtx ","STORAGE_TYPE_NAS_CIFS ",'',"&lang=","nextWeek","reverseAnimate","setValue","පෙ.ව.","dddd D. MMMM YYYY H:mm","onKeydown","parameter","array bool bytes char exn|5 float int int32 int64 list lazy_t|5 nativeint|5 string unit ","quadraticCurveTo","SYSRES_CONST_IMAGE_MODE_COLOR ","%d ದಿನ","A h:mm","no ACK received, terminating the session","formatDay","calculateBarWidth","setLocalDescription","hotkeyCheck hsv_to_rgb hudButton hudSlider hudSliderButton hwReflectionMap hwRender ","expectWithText","SameAsPending","#eeff41","md-table-select","getPixelForTick","wdtFloat ","negotiateLocale","hex3","SYSRES_CONST_ADMINISTRATION_HISTORY_IS_MAIN_SERVER_CHANGED_ACTION ","%\\}",'Datepicker directive: "ng-model" value must be a Date object',"buffer_set_surface buffer_delete buffer_exists buffer_get_type ","#006064","dseAfterDeleteOutOfTransaction ","Couldn't add part, first arg has to be a string","contentWidth","achievement_load_friends achievement_load_leaderboard ","resampleFluid resetAE resetPfxToPolyCamera resetTool resolutionNode retarget ","Attrs","initSelection","00bfff","unwind unreachable indirectbr landingpad resume ","ownKeys",'
',"preparse","ev_keyrelease ev_trigger ev_left_button ev_right_button ","_defineEvent","service","md-grid-list: md-row-height attribute was not found","setTimeMultiplier setTitleEffect setTrafficDensity setTrafficDistance setTrafficGap ","एक बर्ष","mobileModeEnabled","colgroup,dd,dt,li,p,tbody,td,tfoot,th,thead,tr","pointBorderColor","@\\[","dseBeforeDetailUpdate ","maxSizeY","template-url","dc143c","BYE","tags","click","ФайлЗаписать ","Cwstring DataType Date DateFormat DateTime DenseArray DenseMatrix DenseVecOrMat DenseVector Diagonal Dict ","__stateObjectCache","dateLocale","এক ঘন্টা","([ui](8|16|32|64|128|size)|f(32|64))?","arrow_heading_down","Sẹ́r_Èrl_Ẹrn_Ìgb_Èbi_Òkù_Agẹ_Ògú_Owe_Ọ̀wà_Bél_Ọ̀pẹ̀̀","onclick","_uac_pending_reply","|deg|grad|rad|turn","maxPhoneWidth"," graph_center graph_charpoly graph_eigenvalues graph_flow graph_order graph_periphery","gl_MaxAtomicCounterBindings gl_MaxAtomicCounterBufferSize gl_MaxClipDistances gl_MaxClipPlanes ","СоздатьДиалог ","IDocRequisite ","FormImageBuilderId","animationObject","Beal","appendToBody","kuuden","padding-left","pascalprecht.translate.$translateSanitization: Error cannot interpolate parameter due recursive object","SYSRES_CONST_ACCESS_TYPE_EXISTS ","devicePixelRatio","процедура функция","addMagazine addMagazineAmmoCargo addMagazineCargo addMagazineCargoGlobal addMagazineGlobal ","invariant","copyRect","IRP_ALIGN irp_here","\\([^\\(]","rabbit","#d4e157","audio_falloff_inverse_distance_clamped audio_falloff_linear_distance ","' has a 'views' object. ","nedelja_ponedeljak_utorak_sreda_četvrtak_petak_subota","hwRenderLoad hyperGraph hyperPanel hyperShade hypot iconTextButton iconTextCheckBox ","vanilla.services","INVALID_OBJECT_DEFINITION WITH_CHECK_OPTION_VIOLATION INSUFFICIENT_RESOURCES DISK_FULL ","Iúil","labelY","iconEl","[v piatok o] LT","=autoConfirm","egy","filterBy","%d ஆண்டுகள்","cert","ng1","error","положениесостоянияпросмотра положениестрокипоиска положениетекстасоединительнойлинии положениеуправленияпоиском ","[0-9]|1[0-2]","Method '_options' in ","compileScope","php7",")","confirmed","dotsubEmbed","translateFilterFactory","en-in","SYSRES_CONST_VIEW_DEFAULT_NAME ","dddd [um] LT [Uhr]","=mdPage","дня","gridster-item-moving","%d دقائق","useOnAppendExpression","ILargeIntegerRequisite ","md-labeled-by-tooltip","tickWidth","-chip-","selectCustomRange","invalid",'
',"SYSREF_ALL_REPLICATING_COMPONENTS ","_getTabs","dddd [alle] LT","[आउँदो] dddd[,] LT","'n dag","drawBody","TRANSFORM","AltState ","_erasAbbrRegex","int int8 int16 int32 int64 uint uint8 uint16 uint32 uint64 float ","SYSREF_COMPONENTS ","NOTICE_BLOCK_CREATED_NOTICES_PROPERTY ","socket.io-client:socket","material.components.sticky","1월_2월_3월_4월_5월_6월_7월_8월_9월_10월_11월_12월","future",'aria-label="',"girl","[Vakar pulksten] LT","textNoResults",".md-button","xGoogleFlag","SYSTEM_USER_CODE ","GET_EXTENDED_DOCUMENT_EXTENSION_CREATION_MODE ","een paar seconden","viewportMargin","_applyModification","getName","a8m.math.radix","ctPanelGroup ","steam_user_installed_dlc steam_set_achievement steam_get_achievement ","hljs","[བདུན་ཕྲག་རྗེས་མ], LT","_ __COLUMN__ __FILE__ __FUNCTION__ __LINE__ Any as as! as? associatedtype ","SYSRES_CONST_USER_STATUS_DISABLED_CODE ","itemName","role","SYSRES_CONST_JOB_STATE_COMPLETE ","mdContactChips","toArray","D. MMMM YYYY.","maybeReconnectOnOpen","caseInsensitive","^?mdChips","ФайлПереименовать ","[A-Za-z_]\\w*(::\\w+)*(\\?|\\!)?","md-calendar-year-body ","received binary message:\n\n","text-decoration-color","nodesToArray","#00CC99","string_pos string_copy string_char_at string_ord_at string_byte_at ","HANDLE_RESULT"," quantile_hypergeometric quantile_laplace quantile_logistic quantile_lognormal","k0 k1 k2 k3 k4 k5 k6 k7 ","hodina","бир кун","_action","#00CCCC","Chips container. Enter the text area, then type text, and press enter to add a chip.","sedinten","mp_grid_create mp_grid_destroy mp_grid_clear_all mp_grid_clear_cell ","translate3d(0,0,0)","md-chip","%d semanas","Gecko/[VER]","mdColorGenericPalette","началогода началодня началоквартала началомесяца началоминуты началонедели началочаса начатьзапросразрешенияпользователя ","[Aujourd’hui à] LT","hodinami","priorWebsocketSuccess","recvonly","wfatCancel ","[object AsyncFunction]","useExisting","StateParams","selector-class","ctrlSetTooltipColorShade ctrlSetTooltipColorText ctrlShow ctrlShown ctrlText ctrlTextHeight ","md-fab-action-item"," ימים","gesture_double_tap_time gesture_double_tap_distance ","base64Encode baseConvert binaryDecode binaryEncode byteOffset byteToNum cachedURL cachedURLs charToNum ","ஒரு மாதம்","construction"," can only have *one* child ,
This field is required
',"Char ","iframe, object, embed, *[tabindex]:not([tabindex='-1']), *[contenteditable=true]","БлокУстановить ","method=","=autoConfirm","ds_list_replace ds_list_delete ds_list_find_index ds_list_find_value ","setVisibleIfTreeCollapsed setWantedRPMRTD setWaves setWaypointBehaviour setWaypointCombatMode ","isPlainObject","drawBorder","remote-candidates:%s","emChangeLifeCycleStage ","_days","_autoConnect","%d ⵉⵎⵉⴽ","degrees","text-decoration-style","applescript","rgb(0, 0, 92)","globals","($$$p)","triu fliplr flipud flipdim rot90 find sub2ind ind2sub bsxfun ndgrid permute ipermute ","ގަޑިއިރެއް","dialog ","matchingKeys","calculateColor",' {{heading}}\n',"a8m.angular","=?mdLimitOptions","surface displacement light volume imager","SYSRES_CONST_CARD_REFERENCE_MODE_NAME ","STATUS_PROCEEDING","SYSRES_CONST_SIGNATURE_AND_ENCODE_CERTIFICATE_TYPE_CODE ","moveItemDown","[গতকাল] LT","revDatabaseColumnLengths revDatabaseColumnNames revDatabaseColumnNamed revDatabaseColumnNumbered ","phy_joint_length_2 phy_joint_damping_ratio phy_joint_frequency ",'createLocalDescription() | invalid type "',"milliseconds","#b2dfdb","comment","msie","server disconnect (%s)","part","SYSREQ_REF_REQ_TOKENS ","event_perform_object event_inherited show_debug_message ","page-break-after","NaN","monkey","vk_add vk_decimal vk_lshift vk_lcontrol vk_lalt vk_rshift ","GetRefTypeByRefID ","de genièr_de febrièr_de març_d'abril_de mai_de junh_de julhet_d'agost_de setembre_d'octòbre_de novembre_de decembre","livecodeserver","=mdYearOffset","DD/MM/YYYY","fun macro","#ad1457","\\.?","deRegister","SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_RIGHTS_ACTION_CODE ","найтинедопустимыесимволыxml найтиокнопонавигационнойссылке найтипомеченныенаудаление найтипоссылкам найтифайлы ","@ @= ^ ^= abs accumulate all and any ap-compose ","typeaheadSelectOnBlur","onUpdate","BACKSPACE","debounceUpdate","htmlElements","layer_tile_get_xscale layer_tile_get_yscale layer_tile_get_blend ","paging","$$columns",'{{ day.value }}',"shared guarded stdin stdout stderr result true false","iconEl","Add-NsxDynamicCriteria Add-NsxDynamicMemberSet Add-NsxEdgeInterfaceAddress Add-NsxFirewallExclusionListMember Add-NsxFirewallRuleMember ","newVisibleEnd","getPolicy","mdHead","-tab","(.*)","mínútu","gpu_get_tex_max_mip gpu_get_tex_max_mip_ext gpu_get_tex_max_aniso ","SYSRES_CONST_CODE_COMPONENT_TYPE_ADMIN ","MediaStream","modal-backdrop","PUT RANDOMIZE READ REM RENUM RESET|0 RESTORE RESUME RETURN|0 RIGHT$ RMDIR RND RSET ","هڪ مهينو","%d बर्ष","phy_debug_render_obb phy_debug_render_shapes ","ALIGN_TOPS","Android.* (SKYPAD|PHOENIX|CYCLOPS)","IWizardStep ",".item","url_open url_open_ext url_open_full get_timer achievement_login ","%d 周","_lastX","TypeError","ng-cloak","dynamic","Press enter to add the selected option as a chip.","bathtub","destroyTransaction","reflexivity irreflexivity connectedness uniqueness commutativity ","$$mdLayout","$translatePartialLoader","toHsl",' date-end="ngModel.dateEnd" ',"SYSREF_LINKS ","SYSRES_CONST_COMPONENT_TYPE_ADMIN_COMPONENTS ","answer","Amoi",'"ip"',"nc=","ben","positioning","SYSRES_CONST_ACTION_TYPE_VERSION_VISIBILITY ","propertyIsEnumerable","dseOnOpenRecord ","got binary data when not reconstructing a packet","سيپٽمبر","ALL_AVAILABLE_PRIVILEGES_PSEUDOREFERENCE_CODE ",".md-ripple-container","simulcast_03","head","\\biPhone\\b|\\biPod\\b","toUpper","AT-B24D|AT-AS50HD|AT-AS40W|AT-AS55HD|AT-AS45q2|AT-B26D|AT-AS50Q","hideMedia","md-dragging","mdColorRgb","skeleton_animation_clear skeleton_skin_set skeleton_skin_get ","take text then throw to try unicode until using when where while widening with withevents writeonly xor yield","гадзіна_гадзіны_гадзін","getChipContent","shouldFocusLastChip"," חודשים","%d jam","начатьполучениекаталогавременныхфайлов начатьполучениекаталогадокументов начатьполучениерабочегокаталогаданныхпользователя ","#[a-zA-Z_]\\w*\\$?",'
',"mdBody","miesiące","clock8","false synchronized int abstract float private char boolean var static null if const ","[ayer a la","[A-Za-z$_](?:-[0-9A-Za-z$_]|[0-9A-Za-z$_])*","prepend","%d ڏينهن","Ta_Ma_Tū_We_Tāi_Pa_Hā","removeGroup"," %piargs piece pivot_count_sx pivot_max_sx plot_format plot_options plot_realpart","පස් වරු","mdCloseIcon","open_hands","instr interface interleaved intersect into invalidate invisible is is_free_lock is_ipv4 is_ipv4_compat ","_stateRegistry","alien",") * ","Before Christ","SYSRES_CONST_STANDART_ROUTE_REFERENCE_CODE ","Get-VApp Get-VDBlockedPolicy Get-VDPort Get-VDPortgroup Get-VDPortgroupOverridePolicy Get-VDSecurityPolicy Get-VDSwitch Get-VDSwitchPrivateVlan Get-VDTrafficShapingPolicy ","hmm","_appendGhost","SYSREF_ALL_REPLICATING_COMPONENTS ","\\bsignal\\b","rgb(163, 82, 0)","references reset restrict select set table unique update ","[Today at] LT","a8m.unique","%d달","bomb","dddd, D MMMM YYYY г., HH:mm","\\b(TB-1207)\\b","GIN_CLEAN_PENDING_LIST ","break|cache|d?eret|[de]i|ehb|mfc0|mtc0|pause|prefx?|rdhwr|","updateContent",'
',"dddd, Do MMMM YYYY H:mm","бир мүнөт","ngSwitchDefault","\\b(ACC|CNT|Skip|Offset|PSPD|RT_LD|AP_LD|Tool_Offset)","UTCToLocalTime ","namespace narrowing new next not notinheritable notoverridable ","ene._feb._mar._abr._may._jun._jul._ago._sep._oct._nov._dic.","available_externally linkonce linkonce_odr weak ","$mdTheming","$assertfailoff $assertvacuousoff $isunbounded $sampled $fell $changed $past_gclk ","border-image-slice","[^\\(\\)\\[\\]\\{\\}\",'`;#|\\\\\\s]+","getPointerStyle","SYSCOMP_FOLDERS ","syringe","([^\\\\:= \\t\\f\\n]|\\\\.)+",": <- Rejected ","يوم واحد","Invalid rule name: ","Expires","MW_ELEMENT_TYPES","یه‌ك ڕۆژ","latha","getPlateNumber getPlayerChannel getPlayerScores getPlayerUID getPos getPosASL getPosASLVisual ","createDocumentFragment","md-input","cond","хэдхэн секунд","structural","^(\\#,\\/\\/)","func","questionTypes","onInputFocus","Loading..","scream_cat","assignIn","%d ثوان","dateparser:","IFolderFactory ","drawFooter",'Press Control-"',"emOpenForModify ","checkered_flag","border-left","wa’ DIS","%c ","\\b(SP-80|XT-930|SX-340|XT-930|SX-310|SP-360|SP60|SPT-800|SP-120|SPT-800|SP-140|SPX-5|SPX-8|SP-100|SPX-8|SPX-12)\\b","PMP3170B|PMP3270B|PMP3470B|PMP7170B|PMP3370B|PMP3570C|PMP5870C|PMP3670B|PMP5570C|PMP5770D|PMP3970B|PMP3870C|PMP5580C|PMP5880D|PMP5780D|PMP5588C|PMP7280C|PMP7280C3G|PMP7280|PMP7880D|PMP5597D|PMP5597|PMP7100D|PER3464|PER3274|PER3574|PER3884|PER5274|PER5474|PMP5097CPRO|PMP5097|PMP7380D|PMP5297C|PMP5297C_QUAD|PMP812E|PMP812E3G|PMP812F|PMP810E|PMP880TD|PMT3017|PMT3037|PMT3047|PMT3057|PMT7008|PMT5887|PMT5001|PMT5002","iteratee"," ratdiff ratdisrep ratexpand ratinterpol rational rationalize ratnumer ratnump","=?mdConnectedIf","_rawDuration","a8m.match","\\s*:","دقيقتان","SYSREQ_REF_TYPE_NUMERATION_METHOD ","isMultiMonitorScreenCapturingSupported","noListenOnLocalhost readJoystickButton setFirmwareVersion ","_opaque","getElementsByName","%[qQwWx]?-","navigate-next.svg","uib/template/accordion/accordion.html","onReject","uib/template/tabs/tab.html","_weekdaysRegex","ಸಂಜೆ","[A-Za-z_]\\w*(::\\w+)*(\\?|\\!)?","view_angle view_hborder view_vborder view_hspeed view_vspeed ","ticksAsNumbers","tickMarkLength","not null constant access function procedure in out aliased exception","rgb(102, 255, 255)","muutama sekunti","we are currently polling - waiting to pause","кӑр_нар_пуш_ака_май_ҫӗр_утӑ_ҫур_авн_юпа_чӳк_раш","НПериод ","SYSRES_CONST_ACCESS_NO_ACCESS_VIEW ","moveObjectToEnd moveOut moveTime moveTo moveToCompleted moveToFailed musicVolume name nameSound ","Slider step value must be greater than zero when in discrete mode","^#!",'"/"',"dtkndLocal dtkndUTC ","_newRTCSession","itJPEG ","controlPointNextX","dir-paginate",'autoplay="false" ',"find_program foreach function get_cmake_property get_directory_property ","suggestedMax","orbitCtx orientConstraint outlinerEditor outlinerPanel overrideModifier ","SYSRES_CONST_GREEN_LIFE_CYCLE_STAGE_FONT_COLOR ","БлокЕстьРасш ","local_identity","Locale ","uibModalWindow","Length ","const continue debug default delete deprecated do else enum export extern final ","os_ps3 os_xbox360 os_uwp os_tvos os_switch ","LookUpRequisiteNames ","#0091ea",'"?"',"\\bimport\\b","assignInWith","IWizardFormElement ","%d दिन","exiting","","contrastDefaultColor","چند سیکنڈ","chartInstance","знач","diena_dienos_dieną","\\(\\.\\s","hatching_chick","HfDeleteAS HfEditAS HfPerformCreateAS HfRemoveAttractorFromAS HfSelectAttached ","[Il-bieraħ fil-]LT","block","වසර","izakaya_lantern","text/plain;charset=UTF-8","miniti %d","subst","Get-SpbmCapability Get-SpbmCompatibleStorage Get-SpbmEntityConfiguration Get-SpbmFaultDomain Get-SpbmPointInTimeReplica Get-SpbmReplicationGroup Get-SpbmReplicationPair ","positionMode","УстановкиКонстант ","SYSRES_CONST_ACCESS_MODE_REQUISITE_CODE ","mediaClk","Get-PSReadLineKeyHandler Get-PSReadLineOption Get-PSRepository Get-PSTopConfigurationName Get-PSVersion Get-Package Get-PackageProvider Get-PackageSource Get-PassthroughDevice ","prgb","zzz","' possibly not set or overflowed because it was too large (","setMax","stripLastPathElement","^^mdCalendarMonth","define __FILE__ ","removeProperty","astds asum atan atan2 atranspose axmargin balance band bandchol bandcholsol bandltsol bandrv bandsolpd bar ","SYSRES_CONST_YES_VALUE ","kbFmt","MD-MENU-ITEM","[วัน]dddd[ที่แล้ว เวลา] LT","<<<['\"]?\\w+['\"]?$","IRequisiteFactory ","%d дена","buildCSV","calendarButton","Fennec/[VER]","#ffea00","params","physics_world_draw_debug physics_pause_enable physics_fixture_create ","normalizeUIViewTarget","afterFit","^.+?\\n[=-]{2,}$","copyMode","character characters contents day frontmost id item length ","SYSREQ_NOTE ","tension","tablist","refer","handleClickSelectLastWeek","$translateLoadingSuccess",""","continue create debug declare delete disable dlibrary dllcall do dos ed edit else ","=?mdSelectOnMatch","easeFnIndeterminate","quot neg? pos? delay? symbol? keyword? true? false? integer? empty? coll? list? ","%d ದಿನ","mdNavBar","framerate:%s","toggleElement","%d ra","Set-VMHost Set-VMHostAccount Set-VMHostAdvancedConfiguration Set-VMHostAuthentication Set-VMHostDiagnosticPartition Set-VMHostFirewallDefaultPolicy Set-VMHostFirewallException ",' \n','\n',"localDescription","ISEMPTY LOWER_INC UPPER_INC LOWER_INF UPPER_INF RANGE_MERGE ","UibDaypickerController","MW0811|MW0812|MW0922|MTK8382|MW1031|MW0831|MW0821|MW0931|MW0712","NotSupportedError","useOnSelectExpression","_handleAutoScroll","uibDatepickerPopup must have a date format specified.","\\w+","SYSRES_CONST_EDOC_READONLY_ACCESS_CODE ","show-lt-lg","accept_on alias always always_comb always_ff always_latch and assert assign ","якшанбе_душанбе_сешанбе_чоршанбе_панҷшанбе_ҷумъа_шанбе","outerWidth","%d år","maxOpen","endIndeterminate","emExport ","vala","socket closed","ps-scroll-y","network_send_packet network_send_raw network_send_broadcast ","gruu","positiveValues","received WebSocket message","u6307","normal","getRangeAt","","Unsupported Certificate","$rootScope","@textDelimiter","STATEMENT_TOO_COMPLEX TOO_MANY_COLUMNS TOO_MANY_ARGUMENTS OBJECT_NOT_IN_PREREQUISITE_STATE ","string sub upper len gfind rep find match char dump gmatch reverse byte format gsub lower ","see_no_evil","run","ctSetHeaderTemplate ctSetRowTemplate ctSetValue ctValue curatorAddons curatorCamera ","Edge/","isDateEnabled"," raddr %s rport %d","containment","mesecema","case-lambda call/cc class define-class exit-handler field import ","87ceeb","$animateProvider","MEMORY_DATASET_DESRIPTIONS_FILENAME ","SYSREQ_EDOC_STORAGES_INITIALIZED ","c71585","mercury","ein time","rgb(255, 0, 0)","monthValues","Error creating dialog","allow-opts divert-packet divert-reply divert-to flags group icmp-type","\\$|\\?|%|,|;$|~|#|@|md-autocomplete","#FF3300","cubic-bezier(0.35, 0, 0.25, 1)","paddingRight","getFirstDateOfMonth","MAX_DURATION","ВнешПрогр ",' tabindex="-1"\n',"[object Arguments]","argOption","IComponentDescription ","itemName","eka mhoinean","setDisableHeaderCheck","repair repeat replace replicate replication required reset resetlogs resize resource respect restore ","compiled complete composite_limit compound compress compute concat concat_ws concurrent confirm conn ","%d awr","SYSRES_CONST_TASK_TITLE ","#6633CC","DB_TRANSACTIONS DB_UNICODE DB_VIEWS __STDIN __STDOUT __STDERR __FILE_DIR","जानेवारी_फेब्रुवारी_मार्च_एप्रिल_मे_जून_जुलै_ऑगस्ट_सप्टेंबर_ऑक्टोबर_नोव्हेंबर_डिसेंबर","[-]?(infinity|inf)",'
\n',"tooltips","source space sparse spfile split sql sql_big_result sql_buffer_result sql_cache sql_calc_found_rows ","panel-open","SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_GROUP_ACTION ","SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_GROUP_ACTION ","бір күн","_pushTo"," bashindices batch batchload bc2 bdvac belln benefit_cost bern bernpoly bernstein_approx","$md-resize",'emit "failed"',"DOMNODEGETCHILDELEMENTCT DOMNODEGETFIRSTCHILDELEMENT DOMNODEGETSIBLINGELEMENT DOMNODEGETATTRIBUTECT ","check","fieldSep","selectView","vsDefault vsDesign vsActive vsObsolete ","rgb(0, 123, 245)","imp","SYSRES_CONST_WORKFLOW_ROUTE_TYPR_SOFT ",'get() | cannot get "%s" parameter',"allSelected","getterSetter","defineProperty","toHsvString","%d منٽ","break-before","
","flowDrop","changeDisplayDate","mdColorClearButton","UNENCRYPTED|10 SYSID FORMAT DELIMITER HEADER QUOTE ENCODING FILTER OFF ","angular-toasty","cleanupBlocks_","[मागील] dddd, LT","iap_status_available iap_status_processing iap_status_restoring ","Moved Permanently","domingo_lunes_martes_miércoles_jueves_viernes_sábado","_datasetIndex","setCron","ratDelete ","asrtHideRemote ","gesture_get_pinch_angle_towards gesture_get_pinch_angle_away "," integrate_use_rootsof integration_constant integration_constant_counter interpolate_color","glsl","defaultFontFamily","InputDialogEx ","icWorkflowBlockActionHandler ","dddd [a] LT","%d شهرا","animation-play-state","filtered","obj-c","gamepad_button_check_pressed gamepad_button_check_released ","each equals else elseif end enum erase error event exit explicit finally for friend from function ","jsTreeCtrl","polyCollapseFacet polyColorBlindData polyColorDel polyColorPerVertex polyColorSet ","floatBitsToInt floatBitsToUint floor fma fract frexp ftransform fwidth greaterThan ","%d hores","camera_get_update_script camera_get_begin_script ","$$state","ugc_query_AcceptedForGameRankedByAcceptanceDate ","abort acronym acronyms alias all and assign binary card diag display ","char uchar unichar int uint long ulong short ushort int8 int16 int32 int64 uint8 ","sqrt statements stdc stdsc stocv stof strcombine strindx strlen strput strrindx strsect strsplit strsplitPad strtodt ","SYSRES_CONST_STORAGE_TYPE_SQL_SERVER ","[\\]-~]","'Helvetica Neue', 'Helvetica', 'Arial', sans-serif","sortindc sortmc sortr sortrc spBiconjGradSol spChol spConjGradSol spCreate spDenseSubmat spDiagRvMat spEigv spEye spLDL ","[ഇന്നലെ] LT","tangerine","md-grid-list: Tile at position ","orderNo","Meitheamh","defineLocaleOverride","build create index delete drop explain infer|10 insert merge prepare select update upsert|10","&theme_color=","(VC|VS|#)","dragon_face","СпрСохранить ","render","aliasPixel","SYSRES_CONST_REQUISITE_SECTION_TABLE5 ","Invalid type of a second argument, a boolean expected.","SYSDLG_SETUP_FILTER_CONDITION ","янв._февр._мар._апр._мая_июня_июля_авг._сент._окт._нояб._дек.","toggleEvent","EOF EQV ERASE ERDEV ERDEV$ ERL ERR ERROR EXP FIELD FILES FIX FOR|0 FRE GET GOSUB|10 GOTO ","_meta","Dis"," ","ugc_query_RankedByVote ugc_query_RankedByPublicationDate ","eine Woche","(\\bEnd\\b)","phy_particle_data_flag_velocity phy_particle_data_flag_colour ","dakika moja","mdVirtualRepeat","","СоздСпр ","SYSREQ_EDOC_TYPE_REQ_NUMBER ","chunk","Invalid tags: ","ste","invalid payload","[afgelopen] dddd [om] LT","useOnRemoveExpression","borderDashOffset","isFocused","AAA","\\b(Nintendo|Nintendo WiiU|Nintendo 3DS|Nintendo Switch|PLAYSTATION|Xbox)\\b","urlRouter","moveDown",'aria-labelledby="tab-item-{{::tab.id}}" ',"ఒక సంవత్సరం","pullAllWith","январь_февраль_март_апрель_май_июнь_июль_август_сентябрь_октябрь_ноябрь_декабрь","DD-MM-YYYY","JSON_OBJECT_KEYS JSONB_OBJECT_KEYS JSON_POPULATE_RECORD JSONB_POPULATE_RECORD JSON_POPULATE_RECORDSET ","[আগামীকাল] LT","array null if endwhile or const for endforeach self var let while isset public ","dddd [Ọsẹ̀ tón'bọ] [ni] LT","inputc|inputn|int|intck|intnx|intrr|irr|jbessel|","content:%s","aria-multiselectable","SYSRES_CONST_STATIC_ROLE_TYPE_CODE ","#9933CC","[prejšnjo] [soboto] [ob] LT","getResponsiveAttribute","[el] dddd [pasado a la","optNodeForKeyboardSearch","resolved","uib/template/accordion/accordion-group.html","preventDefault","hasBody","buffer_get_alignment buffer_poke buffer_peek buffer_save ","checkbox","closing session ","inputDirective","Android.*\\b(F-01D|F-02F|F-05E|F-10D|M532|Q572)\\b","SYSRES_CONST_USERS_USER_COMPONENT_REQUISITE_CODE ","PopupDelay","Get-NsxEdgeNat Get-NsxEdgeNatRule Get-NsxEdgeOspf Get-NsxEdgeOspfArea Get-NsxEdgeOspfInterface Get-NsxEdgePrefix Get-NsxEdgeRedistributionRule Get-NsxEdgeRouting ","orange_book","beetle","[കഴിഞ്ഞ] dddd, LT","drawTitle","fromPairs","ps-x-reach-start","ds_type_map ds_type_list ds_type_stack ds_type_queue ds_type_grid ","search_arguments select sort_args sort_arguments thread_atomic ","os_unknown os_winphone os_tizen os_win8native ",' \n',"%d yıl","_positions","wtmFull ","async signal static abstract interface override virtual delegate ","bdo","<","IRuleList ","_onDragOver","_radioButtonRenderFns","ariaDescribedBy","_registrationTimer","LOG_FOLDER_PATH ","footerFontSize","moveTo","$locale","move3DENCamera moveInAny moveInCargo moveInCommander moveInDriver moveInGunner moveInTurret ","rⓡrŕṙřȑȓṛṝŗṟɍɽꝛꞧꞃ","FORCE_QUOTE FORCE_NOT_NULL FORCE_NULL COSTS BUFFERS TIMING SUMMARY DISABLE_PAGE_SKIPPING ",'',"app/directives/radio-button-item/radio-button-view.html"," minuti","getNotCurrentRejection","en-sg","onStart","getAlpha","NOTICE_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY ","0.4.1","itemSort"," same_xyz savedef savefactors scalar scalarmatrixp scale scale_lp setcheck","Abs ACos AdlibRegister AdlibUnRegister Asc AscW ASin Assign ATan AutoItSetOption AutoItWinGetTitle AutoItWinSetTitle Beep Binary BinaryLen BinaryMid BinaryToString BitAND BitNOT BitOR BitRotate BitShift BitXOR BlockInput Break Call CDTray Ceiling Chr ChrW ClipGet ClipPut ConsoleRead ConsoleWrite ConsoleWriteError ControlClick ControlCommand ControlDisable ControlEnable ControlFocus ControlGetFocus ControlGetHandle ControlGetPos ControlGetText ControlHide ControlListView ControlMove ControlSend ControlSetText ControlShow ControlTreeView Cos Dec DirCopy DirCreate DirGetSize DirMove DirRemove DllCall DllCallAddress DllCallbackFree DllCallbackGetPtr DllCallbackRegister DllClose DllOpen DllStructCreate DllStructGetData DllStructGetPtr DllStructGetSize DllStructSetData DriveGetDrive DriveGetFileSystem DriveGetLabel DriveGetSerial DriveGetType DriveMapAdd DriveMapDel DriveMapGet DriveSetLabel DriveSpaceFree DriveSpaceTotal DriveStatus EnvGet EnvSet EnvUpdate Eval Execute Exp FileChangeDir FileClose FileCopy FileCreateNTFSLink FileCreateShortcut FileDelete FileExists FileFindFirstFile FileFindNextFile FileFlush FileGetAttrib FileGetEncoding FileGetLongName FileGetPos FileGetShortcut FileGetShortName FileGetSize FileGetTime FileGetVersion FileInstall FileMove FileOpen FileOpenDialog FileRead FileReadLine FileReadToArray FileRecycle FileRecycleEmpty FileSaveDialog FileSelectFolder FileSetAttrib FileSetEnd FileSetPos FileSetTime FileWrite FileWriteLine Floor FtpSetProxy FuncName GUICreate GUICtrlCreateAvi GUICtrlCreateButton GUICtrlCreateCheckbox GUICtrlCreateCombo GUICtrlCreateContextMenu GUICtrlCreateDate GUICtrlCreateDummy GUICtrlCreateEdit GUICtrlCreateGraphic GUICtrlCreateGroup GUICtrlCreateIcon GUICtrlCreateInput GUICtrlCreateLabel GUICtrlCreateList GUICtrlCreateListView GUICtrlCreateListViewItem GUICtrlCreateMenu GUICtrlCreateMenuItem GUICtrlCreateMonthCal GUICtrlCreateObj GUICtrlCreatePic GUICtrlCreateProgress GUICtrlCreateRadio GUICtrlCreateSlider GUICtrlCreateTab GUICtrlCreateTabItem GUICtrlCreateTreeView GUICtrlCreateTreeViewItem GUICtrlCreateUpdown GUICtrlDelete GUICtrlGetHandle GUICtrlGetState GUICtrlRead GUICtrlRecvMsg GUICtrlRegisterListViewSort GUICtrlSendMsg GUICtrlSendToDummy GUICtrlSetBkColor GUICtrlSetColor GUICtrlSetCursor GUICtrlSetData GUICtrlSetDefBkColor GUICtrlSetDefColor GUICtrlSetFont GUICtrlSetGraphic GUICtrlSetImage GUICtrlSetLimit GUICtrlSetOnEvent GUICtrlSetPos GUICtrlSetResizing GUICtrlSetState GUICtrlSetStyle GUICtrlSetTip GUIDelete GUIGetCursorInfo GUIGetMsg GUIGetStyle GUIRegisterMsg GUISetAccelerators GUISetBkColor GUISetCoord GUISetCursor GUISetFont GUISetHelp GUISetIcon GUISetOnEvent GUISetState GUISetStyle GUIStartGroup GUISwitch Hex HotKeySet HttpSetProxy HttpSetUserAgent HWnd InetClose InetGet InetGetInfo InetGetSize InetRead IniDelete IniRead IniReadSection IniReadSectionNames IniRenameSection IniWrite IniWriteSection InputBox Int IsAdmin IsArray IsBinary IsBool IsDeclared IsDllStruct IsFloat IsFunc IsHWnd IsInt IsKeyword IsNumber IsObj IsPtr IsString Log MemGetStats Mod MouseClick MouseClickDrag MouseDown MouseGetCursor MouseGetPos MouseMove MouseUp MouseWheel MsgBox Number ObjCreate ObjCreateInterface ObjEvent ObjGet ObjName OnAutoItExitRegister OnAutoItExitUnRegister Ping PixelChecksum PixelGetColor PixelSearch ProcessClose ProcessExists ProcessGetStats ProcessList ProcessSetPriority ProcessWait ProcessWaitClose ProgressOff ProgressOn ProgressSet Ptr Random RegDelete RegEnumKey RegEnumVal RegRead RegWrite Round Run RunAs RunAsWait RunWait Send SendKeepActive SetError SetExtended ShellExecute ShellExecuteWait Shutdown Sin Sleep SoundPlay SoundSetWaveVolume SplashImageOn SplashOff SplashTextOn Sqrt SRandom StatusbarGetText StderrRead StdinWrite StdioClose StdoutRead String StringAddCR StringCompare StringFormat StringFromASCIIArray StringInStr StringIsAlNum StringIsAlpha StringIsASCII StringIsDigit StringIsFloat StringIsInt StringIsLower StringIsSpace StringIsUpper StringIsXDigit StringLeft StringLen StringLower StringMid StringRegExp StringRegExpReplace StringReplace StringReverse StringRight StringSplit StringStripCR StringStripWS StringToASCIIArray StringToBinary StringTrimLeft StringTrimRight StringUpper Tan TCPAccept TCPCloseSocket TCPConnect TCPListen TCPNameToIP TCPRecv TCPSend TCPShutdown, UDPShutdown TCPStartup, UDPStartup TimerDiff TimerInit ToolTip TrayCreateItem TrayCreateMenu TrayGetMsg TrayItemDelete TrayItemGetHandle TrayItemGetState TrayItemGetText TrayItemSetOnEvent TrayItemSetState TrayItemSetText TraySetClick TraySetIcon TraySetOnEvent TraySetPauseIcon TraySetState TraySetToolTip TrayTip UBound UDPBind UDPCloseSocket UDPOpen UDPRecv UDPSend VarGetType WinActivate WinActive WinClose WinExists WinFlash WinGetCaretPos WinGetClassList WinGetClientSize WinGetHandle WinGetPos WinGetProcess WinGetState WinGetText WinGetTitle WinKill WinList WinMenuSelectItem WinMinimizeAll WinMinimizeAllUndo WinMove WinSetOnTop WinSetState WinSetTitle WinSetTrans WinWait","IDialogPickRequisiteItems ","module schema namespace boundary-space preserve no-preserve strip default collation base-uri ordering context decimal-format decimal-separator copy-namespaces empty-sequence except exponent-separator external grouping-separator inherit no-inherit lax minus-sign per-mille percent schema-attribute schema-element strict unordered zero-digit ","click touchstart touchend","ldctEDocumentType ","Aib","_start_time","lazyLoad","checkWebSocketsSupport","(?:","нд_пн_ат_ср_чц_пт_сб","ЕслиТо ","random_range irandom irandom_range random_set_seed random_get_seed ","scale(1)","$timeout","Invalid squash policy: '","data-timestamp",'
{{AgreementView.formItem.options[0].value}}
Must select {{AgreementView.formItem.maxSelections || 1}} items
',"dftDate dftShortDate dftDateTime dftTimeStamp ","peerconnection:createanswerfailed","UrlConfig","SYSRES_CONST_USER_SERVICE_CATEGORY_CODE ","{ParamType:","wheelPropagation","MdPanel: ","1 ຊົ່ວໂມງ","ddd, YYYY[ko] MMM D[a] HH:mm","[\\x0B-\\f]","jsbin","model.firstDayOfWeek will be removed from model on next rlease, please use root config e.g. $mdDateRangePicker.show({firstDayOfWeek}) instead","displayFormats","SYSRES_CONST_REPORT_VIEWER_CODE_REQUISITE_CODE ","uibDatepickerPopupConfig","a-zA-Z_\\-!.?+*=<>&#'",'"+"',"$$encodeUriQuery","MimeDecodeBinary ","paramFactory",";|{","a0522d","%d μήνες","modulo negative? newline not null-environment null? number->string ","active;expires=","Content-Type","_shortMonthsParse","angular-sortable-view","' not valid for state '","Anno Domini","hasXDR","chipKeyDown",'"25"',"heavy_check_mark","\\|\\]","camera_set_end_script camera_set_view_pos camera_set_view_size ","gl_DepthRange gl_EyePlaneQ gl_EyePlaneR gl_EyePlaneS gl_EyePlaneT gl_Fog gl_FogCoord ","%d tahun","wsbPause ","subtract symmetric union unload vectorDotProduct wait write","ọdún %d","Ene_Peb_Mar_Abr_May_Hun_Hul_Ago_Set_Okt_Nob_Dis","SearchRewrite Section Separate Set Setoid Show Solve Sorted Step Strategies ","fromNow","$mdTabsChanged","mod module mutable new object of open! open or private rec sig struct ","icBeforeLookUpEventHandler ","getMinutes","%d វិនាទី","handleKeyDown","pre %s","_closed","ஒரு வருடம்","translateAttr","border-right-color","February","$$uibDatepickerCss","constructors","longDateFormat","ޖުލައި","މަހެއް",".md-bar1","pending","[у] [среду] [у] LT","saturate","4.17.20","СоздатьЗапрос ","<%{1,2}={0,2}","large_orange_diamond","fakeHandler","ФайлСсылкаСоздать ","mdSwipeRight","heartbeat","#84ffff","dotsubEmbed","endsWithParent","ps--y","monthsParse","SYSDLG_SETUP_DEFAULT_RIGHTS ","mdDialog","path_get_length path_get_time path_get_kind path_get_closed ","calendarPaneOpenedFrom","soundcloudEmbed","fillRect","return ","tables","extmapAllowMixed","FADE","session connecting","_setTheming","IsOpen","parser","attachRoute","nedelja_ponedeljak_utorak_sreda_četvrtak_petak_subota","selinux_policyversion serialnumber sp_ sshdsakey sshecdsakey sshrsakey swapencrypted swapfree swapsize timezone type uniqueid uptime ","md-visually-hidden","заполнитьзначениясвойств запроситьразрешениепользователя запуститьприложение запуститьсистему зафиксироватьтранзакцию ","ctest_read_custom_files ctest_run_script ctest_sleep ctest_start ctest_submit ","resume","addTab","SYSRES_CONST_FOLDER_TYPE_USER ","[Última] dddd [às] LT","wheel touchmove","-option-","buffer_save_ext buffer_load buffer_load_ext buffer_load_partial ","한 달","localtime utc timezone","اپريل","ނޮވެމްބަރު","\\s*[:+?]?=","sub fsub mul fmul udiv sdiv fdiv urem srem ","md-tab-label","begin_doc","Select Row","виддвижениябухгалтерии виддвижениянакопления видпериодарегистрарасчета видсчета видточкимаршрутабизнеспроцесса ","app/directives/input-item/input-item.html","channelTitle","ngMax","texture_get_width texture_get_height texture_get_uvs ","SelectServerCode ","md-checked","MediaStreamTrack","[W środę o] LT","SUBTASK_BLOCK_WAIT_FOR_TASK_COMPLETE_PROPERTY ","end;","[v sobotu o] LT","doPoll","foregroundShadow","bolt","( '","isoWeeksInYear","contrastStrongLightColors","_deregistered","INVALID_ARGUMENT_FOR_WIDTH_BUCKET_FUNCTION INVALID_CHARACTER_VALUE_FOR_CAST ","md-required","prototype","require","КСтрТблСпр ","clearmatches empty extend getcmdpos mzeval garbagecollect setreg ","destroyRTCSession","[md-layouts-disabled]","unu minuto",", 1.000)","IEDocumentEditor ","PAGE_SIZE","windowEventHandler",' modal-in-class="in"\n',"_matchingNodes","footerSpacing","NOWAIT","#ffd180","useOnRemove","используемоезначениеточкибиржевойдиаграммы историявыборапривводе источникзначенийоситочекдиаграммы ","rgb(255,255,255)","[Ọ̀la ni] LT","%d 分鐘","UibMonthpickerController","supportPointer","जानेवारीच्या_फेब्रुवारीच्या_मार्चाच्या_एप्रीलाच्या_मेयाच्या_जूनाच्या_जुलयाच्या_ऑगस्टाच्या_सप्टेंबराच्या_ऑक्टोबराच्या_नोव्हेंबराच्या_डिसेंबराच्या","basicVideo","SYSRES_CONST_AUTOTEXT_USE_ANYWHERE_CODE ","&visual=","[Í dag kl.] LT","calendarPane","getResolvable","una minuta","^mwFormBuilder","SYSRES_CONST_OBSOLETE_VERSION_STATE_PICK_VALUE ","[Ӗнер] LT [сехетре]","bottom left","busts_in_silhouette","อีก %s","hodiny","connect_error","setMarkerPos setMarkerPosLocal setMarkerShape setMarkerShapeLocal setMarkerSize ","boat","Remove Require Reserved Reset Resolve Restart Rewrite Right Ring Rings Save ","mdChip","SYSRES_CONST_SECTION_REQUISITE_DETAIL_3_VALUE ","mdDelay","#1976d2","%d bliain",'ng-blur="$mdTabsCtrl.hasFocus = false" ',"themeWatch","click touchstart","SYSRES_CONST_ACTION_TYPE_LOCK ","D [a viz] MMMM YYYY HH:mm","SYSRES_CONST_REQUISITE_SECTION_TABLE4 ","[!-~]","BaseUrlRule","matchClass","implementation definition system module from import qualified as ","Funbook|Micromax.*\\b(P250|P560|P360|P362|P600|P300|P350|P500|P275)\\b","_$log","meseca","HTML","bm_add bm_max bm_subtract bm_zero bm_one bm_src_colour ","longNameOf lookThru ls lsThroughFilter lsType lsUI Mayatomr mag makeIdentity makeLive ","ddd D MMM YYYY, H:mm","#0288d1","netType","سومر","show_message show_message_async clickable_add clickable_add_ext ","remoteExec remoteExecCall remoteExecutedOwner remove3DENConnection remove3DENEventHandler ","एका म्हयन्यान","dragged","^#\\w","parseFmtpConfig","inkRipple","_getBasePrefix","minut","SYSREQ_EDOC_TYPE_REQ_DESCRIPTION ",'
\n',"traceResolvableResolved","SYSREQ_USERS_FULL_USER_NAME ","dotfle dotflemt dotflt dotfltmt dotfne dotfnemt draw drop dsCreate dstat dstatmt dstatmtControlCreate dtdate dtday ","hspeed vspeed direction speed friction gravity gravity_direction ","","datepickerOptions","filename|fileref|finfo|finv|fipname|fipnamel|","innerRadius","displayHeight displayWidth mouseY mouseX mousePressed pmouseX pmouseY key ","fa8072","[danes ob] LT","gl_ModelViewMatrixInverse gl_ModelViewMatrixInverseTranspose gl_ModelViewMatrixTranspose ","rgbString","cpSubjSimpleName ","500","ninf nsz arcp fast exact inbounds align ",'"true"',"één maand","_onConnect","varrayx|vartype|verify|vformat|vformatd|vformatdx|","[la scorsa] dddd [alle] LT","transitionOutClass","_ha1",'',"_status","NG_TRANSLATE_LANG_KEY","true false NOT AND OR","write","D MMMM YYYY HH:mm","source-filter: %s %s %s %s %s","getMarkerCenter",' ng-click="showPicker($event)" ',"\\b(abs|acos|asin|atan|atan2|atanh|ceil|cloglog|comb|cos|digamma|exp|floor|invcloglog|invlogit|ln|lnfact|lnfactorial|lngamma|log|log10|max|min|mod|reldif|round|sign|sin|sqrt|sum|tan|tanh|trigamma|trunc|betaden|Binomial|binorm|binormal|chi2|chi2tail|dgammapda|dgammapdada|dgammapdadx|dgammapdx|dgammapdxdx|F|Fden|Ftail|gammaden|gammap|ibeta|invbinomial|invchi2|invchi2tail|invF|invFtail|invgammap|invibeta|invnchi2|invnFtail|invnibeta|invnorm|invnormal|invttail|nbetaden|nchi2|nFden|nFtail|nibeta|norm|normal|normalden|normd|npnchi2|tden|ttail|uniform|abbrev|char|index|indexnot|length|lower|ltrim|match|plural|proper|real|regexm|regexr|regexs|reverse|rtrim|string|strlen|strlower|strltrim|strmatch|strofreal|strpos|strproper|strreverse|strrtrim|strtrim|strupper|subinstr|subinword|substr|trim|upper|word|wordcount|_caller|autocode|byteorder|chop|clip|cond|e|epsdouble|epsfloat|group|inlist|inrange|irecode|matrix|maxbyte|maxdouble|maxfloat|maxint|maxlong|mi|minbyte|mindouble|minfloat|minint|minlong|missing|r|recode|replay|return|s|scalar|d|date|day|dow|doy|halfyear|mdy|month|quarter|week|year|d|daily|dofd|dofh|dofm|dofq|dofw|dofy|h|halfyearly|hofd|m|mofd|monthly|q|qofd|quarterly|tin|twithin|w|weekly|wofd|y|yearly|yh|ym|yofd|yq|yw|cholesky|colnumb|colsof|corr|det|diag|diag0cnt|el|get|hadamard|I|inv|invsym|issym|issymmetric|J|matmissing|matuniform|mreldif|nullmat|rownumb|rowsof|sweep|syminv|trace|vec|vecdiag)(?=\\()","NG_EMBED_BASIC_EMOTICONS","SYSREQ_USERS_CATEGORY ","ctControlJob ","%d రోజులు"," nap","%[qQwWx]?\\|","ideoneEmbed","$$ngTemplate","LLLL","%s liuba","YYYY-MM-DD[T]HH:mm:ss.SSS[Z]","IEncodeProvider ","switch continue inline delete alignof constexpr consteval constinit decltype ","%d مىنۇت"," %s %s","treeEventsObj","win8_settingscharm_add_html_entry win8_settingscharm_add_xaml_entry ","чтениеданных чтениетекста чтениеузловdom шрифт элементрезультатакомпоновкиданных ","bool_not bool_or bool_xor ifThen rel_eq rel_ge rel_gt rel_le rel_lt ","_extraHeaders","sortedIndexBy","#f0f4c3","binding","режимотображениягеографическойсхемы режимотображениязначенийсерии режимотрисовкисеткиграфическойсхемы ",'',"yyyy-MM-dd","ui.router.router","moadde sekunddat","pointcut after before around throwing returning","pr_trianglelist pr_trianglestrip pr_trianglefan bm_complex bm_normal ","websocket closed before onclose event","gridsterDebounce","haxe","\\$[^01]|#[^0-9a-fA-F]"," linsolve listarray list_correlations listify list_matrix_entries list_nc_monomials","a8m.before-where","radians sin tan noise noiseDetail noiseSeed random randomGaussian randomSeed","D [de] MMMM [de] YYYY h:mm A","%s sitten","groupOwner groupRadio groupSelectedUnits groupSelectUnit gunner gusts halt handgunItems ","SYSRES_CONST_REMOTE_SERVER_STATUS_WORKING ","מספר שניות","$stateful","toFinite","H:mm [Auer]","[ma] LT[-kor]","styl","make-rectangular make-string make-vector max member memq memv min ","SYSRES_CONST_SBDATASETDESC_NEGATIVE_VALUE ","dddd, D MMMM YYYY г., H:mm","flow.events","\\b(V975i|Vi30|VX530|V701|Vi60|V701s|Vi50|V801s|V719|Vx610w|VX610W|V819i|Vi10|VX580W|Vi10|V711s|V813|V811|V820w|V820|Vi20|V711|VI30W|V712|V891w|V972|V819w|V820w|Vi60|V820w|V711|V813s|V801|V819|V975s|V801|V819|V819|V818|V811|V712|V975m|V101w|V961w|V812|V818|V971|V971s|V919|V989|V116w|V102w|V973|Vi40)\\b[\\s]+|V10 \\b4G\\b","(\\bend\\b)","SYSRES_CONST_BEGIN_DATE_REQUISITE_CODE ","rdNextWeek ","dddd [Ọsẹ̀ tólọ́] [ni] LT","isUndefined","fillText","SYSRES_CONST_CONDITION_BLOCK_DESCRIPTION ","SYSRES_CONST_COMPONENT_TYPE_SCRIPTS ","mdColorGradientCanvas","$$minErr","Окно ","[object Null]","__hasProp __extends __slice __bind __indexOf","onreadystatechange","svRoot","gesture_drag_time gesture_drag_distance gesture_flick_speed ","obj || (obj = {});\n","IObjectDescription ","ночи","parsingFlags","days","Android","blockquote",'
\n',"substr|superq|syscall|sysevalf|sysexec|sysfunc|","confid:%s","ctRichEdit ","show_question_async get_integer get_string get_integer_async ","md-chip-content","_referSubscribers","tumbling sliding window start when only end previous next stable ","opening %s","originalRight","answerChanged","_parameters","secondStep","ཟླ་བ་གཅིག","UC Browser","$$forceReflow","fdtDate ","texture_set_stage texture_get_texel_width texture_get_texel_height ","override shr asm far resourcestring finalization packed virtual out and protected library do ","calculateTotalPages","minDate","MersenneTwister Method MethodError MethodTable Module NTuple NewvarNode NullException Nullable Number ObjectIdDict ","if else elif endif define undef ifdef ifndef","flexOrderLtLg","removeAttribute","СписокСистем ","SYSREQ_REF_TYPE_MAIN_LEADING_REF ","dropDown","ui.bootstrap.buttons","_registrator","a=candidate:","beginResponse","mwQuestionOfferedAnswerListBuilder","$valid","randomSeed attachGPRS readString sendString "," tab taylordepth taylor_logexpand taylor_order_coefficients taylor_truncate_polynomials","एका मिनिटा","nl-be","процессорвыводарезультатакомпоновкиданныхвколлекциюзначений процессорвыводарезультатакомпоновкиданныхвтабличныйдокумент ","^\\s*strict\\b","capitalize","([^\\\\\\W:= \\t\\f\\n]|\\\\.)+","weaponAccessories weaponAccessoriesCargo weaponCargo weaponDirection weaponInertia weaponLowered ","snail","#69f0ae","\\b\\d+(\\.\\d+)?(e-?\\d+)?","hash-map hash-set sorted-map sorted-map-by sorted-set sorted-set-by vec vector seq flatten reverse assoc dissoc list ","[\\{]","}}'","lastInteractionTime","graph","\\^rem{","progress",'Invalid "target" attribute. You can\'t cut text from elements with "readonly" or "disabled" attributes',"transition-timing-function","btnCheckboxTrue","მე-","%d taun","mwFormUuid","_getDirection",":\\)","SYSRES_CONST_REQUISITE_SECTION_TABLE2 ",' ng-class="::dt.customClass">\n',"zo._ma._di._wo._do._vr._za.","mdColorPickerSpectrum","osprei %s","Hu’","Tablet (Spirit 7|Essentia|Galatea|Fusion|Onix 7|Landa|Titan|Scooby|Deox|Stella|Themis|Argon|Unique 7|Sygnus|Hexen|Finity 7|Cream|Cream X2|Jade|Neon 7|Neron 7|Kandy|Scape|Saphyr 7|Rebel|Biox|Rebel|Rebel 8GB|Myst|Draco 7|Myst|Tab7-004|Myst|Tadeo Jones|Tablet Boing|Arrow|Draco Dual Cam|Aurix|Mint|Amity|Revolution|Finity 9|Neon 9|T9w|Amity 4GB Dual Cam|Stone 4GB|Stone 8GB|Andromeda|Silken|X2|Andromeda II|Halley|Flame|Saphyr 9,7|Touch 8|Planet|Triton|Unique 10|Hexen 10|Memphis 4GB|Memphis 8GB|Onix 10)","$mdDialog","observe",'
',"SYSRES_CONST_FILTER_TYPE_USER_CODE ","unu monato","режимтранзакциизаписижурналарегистрации статустранзакциизаписижурналарегистрации уровеньжурналарегистрации ","конструкторнастроеккомпоновкиданных конструкторформатнойстроки линия макеткомпоновкиданных макетобластикомпоновкиданных ",'
',"වසර %d","small","","ensureSorted","SYSREF_UNITS ","camera_get_view_border_y camera_get_view_angle ","Week ","visible sprite_index sprite_width sprite_height sprite_xoffset ","ned._pon._uto._sre._čet._pet._sub.",'',"\\bmodule\\s+","tzm","HSTORE|10 LO LTREE|10 ",'md-tab-id="{{::tab.id}}" ',"del","dddd [tɛmɛnen lɛrɛ] LT","addItemCargoGlobal addItemPool addItemToBackpack addItemToUniform addItemToVest addLiveStats ","md-leave","ffebcd","(%)?","wdtFolderCollection ","[В] dddd, [в] LT","([a-z]+):/","{{!(--)?","infix infixl infixr","foregroundPalette","M702pro","transport error occurred for transaction ","dddd [e kaluar në] LT","updateTextContent","addGroup","_selected","(\\.\\./|/|\\s)((","unless","\\b[A-Z][\\wÀ-ʸ']*[!?]","effectAllowed","Remove-VIPermission Remove-VIProperty Remove-VIRole Remove-VM Remove-VMHost Remove-VMHostAccount Remove-VMHostNetworkAdapter Remove-VMHostNtpServer Remove-VMHostProfile ","Default value (","di_lu_ma_me_je_ve_sa","rgb(108, 0, 214)","outbound","SUBTASK_BLOCK_RELATIVE_ABORT_DEADLINE_TYPE_PROPERTY ","SYSRES_CONST_NONOPERATING_RECORD_FLAG_VALUE ","gl_MaxTessGenLevel gl_MaxTessPatchComponents gl_MaxTextureCoords gl_MaxTextureImageUnits ","^__END__$","Anonymous","rest","abstract|0 try catch protected explicit property","standby start starting startup statement static statistics stats_binomial_test stats_crosstab ","f8f8ff","[Demain à] LT","asc","$mdToast","scrollSize","$isRendered","zep"," aastat","isNextDisabled","soap_lastrequest soap_lastresponse tag_name ascending average by ","clean",".md-virtual-repeat-scroller, .md-standard-list-scroller","require yield alias while ensure elsif or include attr_reader attr_writer attr_accessor","$mdDateLocale","یه‌كشه‌ممه‌_دووشه‌ممه‌_سێشه‌ممه‌_چوارشه‌ممه‌_پێنجشه‌ممه‌_هه‌ینی_شه‌ممه‌"," make_polygon make_random_state make_rgb_picture makeset make_string_input_stream","kbv_type_email kbv_type_numbers kbv_type_phone kbv_type_phone_name ","E_EDOC_VERSION_ALREDY_SIGNED_BY_USER ","_relativeToEl","මාස %d","isFunction","сериикодовсправочника созданиепривводе способвыбора способпоискастрокипривводепостроке способредактирования ","soatAccountCollection ","md-input-message-animation","material.core.animate","_openDuration","dataReady","[inanahi i] LT","%d মিনিট"," aasta","INVALID_ROW_COUNT_IN_RESULT_OFFSET_CLAUSE INVALID_TABLESAMPLE_ARGUMENT INVALID_TABLESAMPLE_REPEAT ","subtype","紀元前","real_vector time_vector","listEnter","u7a7a","[ඊයේ] LT[ට]","RTCIceGatherer","max","scrollHeight","prompt","%d menit",'emit "hold"',"SYSRES_CONST_FLOAT_REQUISITE_TYPE ","matchPriority","borderTopWidth","ar-sa","УдСпр ","hoverRadius","SYSRES_CONST_COMPONENT_TYPE_REFERENCE_TYPES ","part_type_color_hsv part_type_alpha1 part_type_alpha2 ","inputHandler","ctrlParent ctrlParentControlsGroup ctrlPosition ctrlRemoveAllEventHandlers ctrlRemoveEventHandler ","noToggleMeridian","isContentEditable","shader_set shader_get_name shader_reset shader_current ","defaultTransOpts","soatEDocumentCollection ","%s முன்","btOnly ","stacked","addMethod","required","коннедели лог лог10 максимальноеколичествосубконто названиеинтерфейса названиенабораправ назначитьвид ","$model","repeat exit and or xor not return mod div switch case default var ","definition","$eval","MD-CONTENT","md-chips>*[","special code inline foreign export ccall stdcall generic derive ","swapping","UC.*Browser/[VER]","disableTheming","tx2","воскресенье_понедельник_вторник_среда_четверг_пятница_суббота",'}" ',"terminateSessions()","SYSRES_CONST_REQUISITE_SECTION_TABLE23 ","'n ziua","SYSRES_CONST_YES ","fqn","translateValue","rpc returns","\\b(","%d años","$invalid","$validate","\\.(",'\n',"audio_sound_get_track_position audio_create_stream ","getBlock_","MD-TOOLBAR","հունվարի_փետրվարի_մարտի_ապրիլի_մայիսի_հունիսի_հուլիսի_օգոստոսի_սեպտեմբերի_հոկտեմբերի_նոյեմբերի_դեկտեմբերի","hwbString","Unable to load data, a urlTemplate is not a non-empty string or not a function.","AppendToBody","acks","mdDisableBackdrop","keys","доступкфайлу режимдиалогавыборафайла режимоткрытияфайла ","HTML5_FMT","typeaheadInputFormatter","md-date-pane-","chart.js","translateZ(0)","ng-mouseenter","% - (","TITLE_MODE","createDateObject","terminate","velocityY","MouseEvent","temp-gruu","SYSRES_CONST_CHECK_PARAM_VALUE_FLOAT_PARAM_TYPE ","SYSREF_TASK_KIND_GROUPS ",'"] .md-chip-content',"exclamation","\\s*\\)","a8m.contains","isElementTypeEnabled","=?mdOpen","sort start subst time title tree type ver verify vol ","$dismiss","$setDirty","SYSRES_CONST_DATE_REQUISITE ","delete_node","' is already defined","ugc_filetype_community ugc_filetype_microtrans ugc_visibility_public ","include instance_sizeof is_a? lib macro module next nil? of out pointerof private protected rescue responds_to? ","uibPopoverPopup","afterInit","equals","invokeCount","_isDSTShifted",'authenticate() | using qop=null [a2:"%s"]',"-ლი","rgba(0, 255, 255, 1.000)","setHost","параметрызаписиjson параметрызаписиxml параметрычтенияxml переопределениеxs планировщик полеанализаданных ","moon","mjesec","fearful","webOS/[VER]","IObjectInfo ","leftMargin","plotSetXTicInterval plotSetXTicLabel plotSetYAxisShow plotSetYLabel plotSetYRange plotSetZAxisShow plotSetZLabel ","received text message:\n\n","warnHues","function constructor destructor procedure","prefix private protocol Protocol public repeat required rethrows return ","selectMatch","xlsWriteSA xpnd xtics xy xyz ylabel ytics zeros zeta zlabel ztics cdfEmpirical dot h5create h5open h5read h5readAttribute ","cascade","FDW_SCHEMA_NOT_FOUND FDW_TABLE_NOT_FOUND FDW_UNABLE_TO_CREATE_EXECUTION ","applyAnimationDelay","Do MMMM[ta] YYYY","Ah_Is_Sl_Rb_Km_Jm_Sb","handgunMagazine handgunWeapon handsHit hasInterface hasPilotCamera hasWeapon hcAllGroups ","jan._feb._mars_apr._mai_juni_juli_aug._sep._okt._nov._des.","asset_font asset_timeline asset_tiles asset_shader fa_readonly ","toggleSelectedAnswer","disableHoverListener","profiles project prompt protection public publishingservername purge quarter query quick quiesce quota ","$translateReady","$event","a8m.slugify","ps-scroll-x","scale({0},{1})"," random_permutation random_poisson random_rayleigh random_regular_graph random_student_t","save","removeAllItemsWithMagazines removeAllMissionEventHandlers removeAllMPEventHandlers ","asMonths","Contact"," gdet genindex gensumnum GGFCFMAX GGFINFINITY globalsolve gnuplot_command","setDefaults",' next\n',".md-nested-menu","activeElement",'
',"setParam","polySetToFaceNormal polySewEdge polyShortestPathCtx polySmooth polySoftEdge ","blue_book",'get() | cannot get "%s" parameter in runtime',"cellInputType","minuty","model.showTemplate will be removed from model on next rlease, please use root config e.g. $mdDateRangePicker.show({showTemplate}) instead","dddd [fil-]LT","SYSRES_CONST_FOLDER_TYPE_COMMON ","aput","SYSRES_CONST_ACTION_TYPE_PASSWORD_CHANGE ","or ord partition permutations pos? post-route postwalk pow prewalk print ","[a-zA-Z_]\\w*[!?=]?|[-+~]\\@|<<|>>|=~|===?|<=>|[<>]=?|\\*\\*|[-/+%^&*~`|]|\\[\\]=?","packet","SYSREQ_EDOC_VERSION_SIZE ","script","ds_grid_resize ds_grid_width ds_grid_height ds_grid_clear ","icBlockFormEventHandler ","\\#\\(","$MD_THEME_CSS","STATUS_INIT","BlackBerry|\\bBB10\\b|rim[0-9]+|\\b(BBA100|BBB100|BBD100|BBE100|BBF100|STH100)\\b-[0-9]+","ctrlCreate ctrlDelete ctrlEnable ctrlEnabled ctrlFade ctrlHTMLLoaded ctrlIDC ctrlIDD ","columnCount","md-tab","=?mdIsOpen","device fstype enable hasrestart directory present absent link atboot blockdevice device dump pass remounts poller_tag use ","Refer-To header field points to a non-SIP URI scheme","një vit","$filter","%s پیش",'emit "succeeded"',"(list|create|get|set|delete)-(\\w+)","positionDropdown","chips","?^svPart","heart_eyes_cat","Too Many Hops","' is not an instance of ParamType (","Uint8Array Uint8ClampedArray ArrayBuffer DataView JSON Intl arguments require ","^mdNavBar","first","Set","%d mesi","ngTrim"," שנה","iceOptions","noresource","#5d4037","min max mean median clamp lerp dot_product dot_product_3d ","hoverIn","relative positioning. Set relativeTo first.","border-left-style","taxi",'',"[I] dddd[s] LT","s16 s17 s18 s19 s20 s21 s22 s23 s24 s25 s26 s27 s28 s29 s30 s31 ","oras ida","+ += , --build-class-- --import-- -= . / // //= ","isEqual","userInfo","%d أيام","viewFit viewHeadOn viewLookAt viewManip viewPlace viewSet visor volumeAxis vortex ","á~ñ hó~úr","setupChipsAria","AAsmall","iap_enumerate_products iap_restore_all iap_acquire iap_consume ",'
',"Janar_Shkurt_Mars_Prill_Maj_Qershor_Korrik_Gusht_Shtator_Tetor_Nëntor_Dhjetor","New-NsxLoadBalancerMonitor New-NsxLoadBalancerPool New-NsxLogicalRouter New-NsxLogicalRouterBgpNeighbour New-NsxLogicalRouterBridge New-NsxLogicalRouterInterface ","500 600 700","_(?![_\\s])","klukkustund","algorithm",'ng-href="{{ctrl.mdNavHref}}"',"JsSIP:Message","wdtContents ","SYSREF_CONSTANTS ","\\)[a-z]*","backdropColor","md-left","runSessionTimer() | sending session refresh request","iced","move-left","MdChipsCtrl","dateFilter","SYSRES_CONST_YES_PICK_VALUE "," ratsimpexpons ratvarswitch ratweights ratweyl ratwtlvl real realonly redraw","sending message:\n\n","CreateTreeListSelectDialog ","align-start",'emit "muted"',"\\b_[a-z0-9\\-]+","cop","STATUS_DISCONNECTED","[%-]?%>","get_current_language_bidi get_language_info get_language_info_list localize ","[a-zA-Z_][\\da-zA-Z_]+\\.[\\da-zA-Z_]{1,3}","function if in break next repeat else for return switch while try tryCatch ","mapKeys","chrw regexp server response request cstr err","in if for while finally new do return else break catch instanceof throw try this ","md-fling","onAdd","звуковоеоповещение направлениепереходакстроке позициявпотоке порядокбайтов режимблокировкиданных режимуправленияблокировкойданных ","self super nil true false thisContext","probation","ICrypto ","reason_phrase","1 ນາທີ","break cmake_host_system_information cmake_minimum_required cmake_parse_arguments ","ui.bootstrap.datepicker","material.components.bottomSheet","\\b(0[bB][01]+)","$ngControllerController","disassemble dispatch-reader-macro distinct divmod do doto drop drop-last drop-while empty? "," report-uri sandbox script-src style-src","isIonicTap","lock rep repe repz repne repnz xaquire xrelease bnd nobnd ","సాయంత్రం","aria-owns","clock3","palette","md-tooltip","SUBSTR FINDSTR VOFFSET PROG ATTR MN POS","uib\\:accordion-header,","Disathairne","%d سیکنڈ","round sign sin sqrt tan trunc object_length object_names object_pairs object_inner_pairs object_values ","background-clip","mid:%s","INDEX PROCEDURE ASSERTION ","waxing_crescent_moon","',"THROW_ERROR","UNIQUE_VIOLATION CHECK_VIOLATION EXCLUSION_VIOLATION INVALID_CURSOR_STATE ","maxLength","_ws","curveCVCtx curveEPCtx curveEditorCtx curveIntersect curveMoveEPCtx curveOnSurface ","soundCloud","[Маргааш] LT","material.components.button","_ngModelCtrl","wfepText27 "," skewness_lognormal skewness_negative_binomial skewness_noncentral_chi2 skewness_noncentral_student_t","tcommit throw trollback try tstart use view while write w|0 xecute x|0 ","segments",'
\n',"iha %s","handleMousedown","emReEncode ","setDataMode parsePacket isListening setBitOrder ","$options","[היום ב־]LT"," Jahren","txtDelim","matchesProperty","correctness compatibility coherence symmetry assymetry ","listen",'',"ui.bootstrap.accordion","MainController"," 'active' for activeDt\n","defaultTheme","' in ","Ahd_Isn_Sel_Rab_Kha_Jum_Sab","'nci","wink","isEditingChip","radioMenuItemCollection rampColorPort rand randomizeFollicles randstate rangeControl "," gnuplot_ps_term_command gnuplot_svg_term_command gnuplot_term gnuplot_view_args","300 400 A200 A400 A700","fallbackFromIncorrectStorageValue","isArrayBuffer","Rectangle","pointLabels","let in if then else case of where module import exposing ","gpu_get_blendmode_ext_sepalpha gpu_get_blendmode_src "," maxnegex MAX_ORD maxposex maxpsifracdenom maxpsifracnum maxpsinegint maxpsiposint","%s өмнө","invalid transportType: ","@(import|media|charset|font-face|(-[a-z]+-)?keyframes|supports|document|namespace|page|viewport|host)\\b","checkboxesView","k0 k1 k2 k3 k4 k5 k6 k7 ","mdSelectedHtml","Endeavour 800NG|Endeavour 1010","ජනවාරි_පෙබරවාරි_මාර්තු_අප්‍රේල්_මැයි_ජූනි_ජූලි_අගෝස්තු_සැප්තැම්බර්_ඔක්තෝබර්_නොවැම්බර්_දෙසැම්බර්","ps-y-reach-start","route","سبتمبر","initialize","blufor civilian configNull controlNull displayNull east endl false grpNull independent lineBreak "," perce","oldElementSize","dddd [li għadda] [fil-]LT","\\w+\\s*=","get set args call","SYSRES_CONST_ACTION_TYPE_DELETE ","0 0 ","ERROR","removeHeadgear removeItem removeItemFromBackpack removeItemFromUniform removeItemFromVest ","@?mdpCancelLabel","pullAt","SYSREF_REMOTE_SERVERS ","nolla yksi kaksi kolme neljä viisi kuusi seitsemän kahdeksan yhdeksän",")|/)?","bc8f8f","SystemDialogs ","SYSRES_CONST_USER_COMMON_CATEGORY_CODE ","getDropdownElement","دقيقتين","xaneiro_febreiro_marzo_abril_maio_xuño_xullo_agosto_setembro_outubro_novembro_decembro","true false iota nil","sockets","contentElement","category",", 0.000)"," ","md-autocomplete: Could not resolve display value to a string. ","var","'auto' array mode is for query parameters only","md-table-progress.html","&maxwidth=","shadowRoot","wsbStart ","enger Stonn","hgroup","terminateSessions","SYSRES_CONST_USER_STATUS_DEVELOPER_NAME ","#\\s","md-ripple-remove","font_replace_sprite_ext font_delete path_set_kind path_set_closed ",'src="https://player.twitch.tv/?channel=',"[Täna,] LT","icEDocActionHandler ","ddd, D MMM YYYY, A h:mm [वाजतां]","mdPanel: The group ","Last Week","yearTopIndex","ctrlSetTooltipColorShade ctrlSetTooltipColorText ctrlShow ctrlShown ctrlText ctrlTextHeight ",'`"[^\r\n]*?"\'',"templateData","get3DENMissionAttribute get3DENMouseOver get3DENSelected getAimingCoef getAllEnvSoundControllers ","ev_global_gesture_rotate_start ev_global_gesture_rotating ","onDrain","dango","iterator","mdPanel: MdPanel Animations failed. ","clock530","cpSerial ","CSV","column-rule","mėnesiai_mėnesių_mėnesius","SwipeDown","dddd, LT","imageattr:%s %s %s","SYSREQ_EDOC_TYPE_NAME_IN_SINGULAR ","equivalent equivalentTol erf error eval evalDeferred evalEcho event ","lastLeft","uibBtnCheckbox","ASCII BTRIM CHR CONCAT CONCAT_WS CONVERT CONVERT_FROM CONVERT_TO DECODE ENCODE INITCAP","SYSRES_CONST_CONST_POSITIVE_VALUE ","(?:\\W|$)","resizable","golo","tooltipAnimationClass","count","日_月_火_水_木_金_土","handleClickSelectToday","onRetain",".arrow","&?:?:\\b(","ИмяОрг ","call-with-values car cdddar cddddr cdr ceiling char->integer ","tunti","getValues","SYSRES_CONST_DATA_TYPE_BOOLEAN ","physics_fixture_set_angular_damping physics_fixture_set_circle_shape ","mobile","aria-valuenow","{-#","destroyMessage"," lucas lu_factor %m macroexpand macroexpand1 make_array makebox makefact makegamma","runTranslate","material.core.meta","JsSIP:ERROR:RTCSession:DTMF","mdCell","काही सेकंदां","jtRight ","@NSCopying|@NSManaged|@objc|@objcMembers|@convention|@required|"," jacobi_sn JF jn join jordan julia julia_set julia_sin %k kdels kdelta kill","_milliseconds","-theme.md-","Invalid url '","ds_set_precision ds_exists ds_stack_create ds_stack_destroy ","SYSRES_CONST_ACCES_RIGHT_TYPE_FULL ","\nArguments: ","IReferenceCriterion ","_useUTC","character characterMap characterOutlineEditor characterize chdir checkBox checkBoxGrp ","onStatesChanged","then","to_tag","layerelementtype_oldtilemap layerelementtype_sprite ","$$uibCarouselCss","SYSREQ_REF_TYPE_VIEW_CARD ","E EarthImpactData EarthquakeData EccentricityCentrality Echo EchoFunction EclipseType EdgeAdd EdgeBetweennessCentrality EdgeCapacity EdgeCapForm EdgeColor EdgeConnectivity EdgeContract EdgeCost EdgeCount EdgeCoverQ EdgeCycleMatrix EdgeDashing EdgeDelete EdgeDetect EdgeForm EdgeIndex EdgeJoinForm EdgeLabeling EdgeLabels EdgeLabelStyle EdgeList EdgeOpacity EdgeQ EdgeRenderingFunction EdgeRules EdgeShapeFunction EdgeStyle EdgeThickness EdgeWeight EdgeWeightedGraphQ Editable EditButtonSettings EditCellTagsSettings EditDistance EffectiveInterest Eigensystem Eigenvalues EigenvectorCentrality Eigenvectors Element ElementData ElementwiseLayer ElidedForms Eliminate EliminationOrder Ellipsoid EllipticE EllipticExp EllipticExpPrime EllipticF EllipticFilterModel EllipticK EllipticLog EllipticNomeQ EllipticPi EllipticReducedHalfPeriods EllipticTheta EllipticThetaPrime EmbedCode EmbeddedHTML EmbeddedService EmbeddingLayer EmbeddingObject EmitSound EmphasizeSyntaxErrors EmpiricalDistribution Empty EmptyGraphQ EmptyRegion EnableConsolePrintPacket Enabled Encode Encrypt EncryptedObject EncryptFile End EndAdd EndDialogPacket EndFrontEndInteractionPacket EndOfBuffer EndOfFile EndOfLine EndOfString EndPackage EngineEnvironment EngineeringForm Enter EnterExpressionPacket EnterTextPacket Entity EntityClass EntityClassList EntityCopies EntityFunction EntityGroup EntityInstance EntityList EntityPrefetch EntityProperties EntityProperty EntityPropertyClass EntityRegister EntityStore EntityStores EntityTypeName EntityUnregister EntityValue Entropy EntropyFilter Environment Epilog EpilogFunction Equal EqualColumns EqualRows EqualTilde EqualTo EquatedTo Equilibrium EquirippleFilterKernel Equivalent Erf Erfc Erfi ErlangB ErlangC ErlangDistribution Erosion ErrorBox ErrorBoxOptions ErrorNorm ErrorPacket ErrorsDialogSettings EscapeRadius EstimatedBackground EstimatedDistribution EstimatedProcess EstimatorGains EstimatorRegulator EuclideanDistance EulerAngles EulerCharacteristic EulerE EulerGamma EulerianGraphQ EulerMatrix EulerPhi Evaluatable Evaluate Evaluated EvaluatePacket EvaluateScheduledTask EvaluationBox EvaluationCell EvaluationCompletionAction EvaluationData EvaluationElements EvaluationEnvironment EvaluationMode EvaluationMonitor EvaluationNotebook EvaluationObject EvaluationOrder Evaluator EvaluatorNames EvenQ EventData EventEvaluator EventHandler EventHandlerTag EventLabels EventSeries ExactBlackmanWindow ExactNumberQ ExactRootIsolation ExampleData Except ExcludedForms ExcludedLines ExcludedPhysicalQuantities ExcludePods Exclusions ExclusionsStyle Exists Exit ExitDialog ExoplanetData Exp Expand ExpandAll ExpandDenominator ExpandFileName ExpandNumerator Expectation ExpectationE ExpectedValue ExpGammaDistribution ExpIntegralE ExpIntegralEi ExpirationDate Exponent ExponentFunction ExponentialDistribution ExponentialFamily ExponentialGeneratingFunction ExponentialMovingAverage ExponentialPowerDistribution ExponentPosition ExponentStep Export ExportAutoReplacements ExportByteArray ExportForm ExportPacket ExportString Expression ExpressionCell ExpressionPacket ExpressionUUID ExpToTrig ExtendedEntityClass ExtendedGCD Extension ExtentElementFunction ExtentMarkers ExtentSize ExternalBundle ExternalCall ExternalDataCharacterEncoding ExternalEvaluate ExternalFunction ExternalFunctionName ExternalObject ExternalOptions ExternalSessionObject ExternalSessions ExternalTypeSignature ExternalValue Extract ExtractArchive ExtractLayer ExtremeValueDistribution","mozGetUserMedia","0[1-9]|1[0-2]","x-axis-1","=mdSelect","Get-PublicKeyFromStore Get-ResourcePool Get-Runspace Get-RunspaceDebug Get-ScsiController Get-ScsiLun Get-ScsiLunPath Get-SecurityInfo Get-SecurityPolicy Get-Snapshot ","urlRouterProvider","take","بىر ئاي",", 100%, 50%)","modalScope","val is not a non-empty string or a valid number. val=","showLines","#ffab40","SubdivisionMesh Surface TextureCoordinates Torus Transform TransformBegin TransformEnd ","nothing pi γ π φ ","hex","isFirst","land lazy let lor lsl lsr lxor match method mod module mutable new nonrec","WebkitAnimation","md-fab-actions","SYSRES_CONST ","ühe tunni","in_channel out_channel ref","aria-required","timeuntil urlizetrunc wordcount stringformat linenumbers slice date dictsort ","stripTags","Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec","noWrap","startIndex","createRange","jinja","emChangeLifeStage ",")","scaleSizeInUnits","font-language-override","normalizeUnits",'; text="',"stateContext","এক মাস","=?mdAutofocus","dddd, Do MMMM[ta] YYYY, [klo] HH.mm","Januari_Februari_Maret_April_Mei_Juni_Juli_Agustus_September_Oktober_Nopember_Desember","nist","setPart","fb_login_use_system_account fb_login_forcing_safari ","=mdDebounceInterval","__values__","\x3c!--|--\x3e","matching","lⓛlŀĺľḷḹļḽḻſłƚɫⱡꝉꞁꝇ","[Inné ag] LT","watchResponsiveAttributes","loudspeaker","win8_settingscharm_get_xaml_property win8_settingscharm_remove_entry ","CreateReport ","[Í morgin kl.] LT","dagur","offsetLtLg","wrtHard ",'"stale"',"([ ]+","@?mdDropdownPosition","congratulations","getRegistered","//# sourceURL=","_createLocalDescription","א׳_ב׳_ג׳_ד׳_ה׳_ו׳_ש׳","createTrigger createUnit createVehicle createVehicleCrew createVehicleLocal crew ctAddHeader ","%d सेकंद",'"-"',"enableAimPrecision enableAttack enableAudioFeature enableAutoStartUpRTD enableAutoTrimRTD ","onCompiling","ng-disabled","abs.[sd]|add.[sd]|alnv.ps|bc1[ft]l?|","endIdx","_md-button-wrap","getSuppression getTerrainGrid getTerrainHeightASL getText getTotalDLCUsageTime getUnitLoadout ","md-","else eq file files for free ge gt if integer le loop lt maximizing ","SYSREQ_REF_TYPE_VIEW_CODE ","SYSRES_CONST_COMPONENT_TYPE_EDOCS ","vertex_end vertex_position vertex_position_3d vertex_colour ","showLtMd","Jumapili_Jumatatu_Jumanne_Jumatano_Alhamisi_Ijumaa_Jumamosi","icDialogMethod ","writing close packet","labelX","[ວັນ]dddd[ໜ້າເວລາ] LT","$$watchExpr","$close","rgb(214, 0, 214)","(-?)(\\b0[xX][a-fA-F0-9]+|\\b0[bB][01]+|(\\b\\d+(_\\d+)?(\\.\\d*)?|\\.\\d+)([eE][-+]?\\d+)?)","createTransitionHookRegFns","JSONB_OBJECT_AGG MAX MIN MODE STRING_AGG SUM XMLAGG ","Assigned ","struct enum","tropical_fish","stringArrayRemoveDuplicates stringArrayRemoveExact stringArrayToString ","QUERYTREE SETWEIGHT STRIP TO_TSQUERY TO_TSVECTOR JSON_TO_TSVECTOR JSONB_TO_TSVECTOR TS_DELETE ","SYSREF_USER_GROUPS_REFERENCE ","python","[mañana a la","aria-setsize","isang araw","malloc alloca free load store getelementptr ","INTDSETATTRIBUTE|5 INTDSETDSTPARTICIPANT|5 INTDSETSTRING|5 INTDSETVALUE|5 INTDSETVALUESTATUS|5 ","rgba8_snorm rgba8i rgba8ui row_major sample shared smooth std140 std430 stream triangle_strip ","noRevert","ngResource:\n","rtcpRsize","SYSRES_CONST_STATUS_VALUE_YELLOW_SQUARE ","widgets","$fwriteh $fwriteo $fmonitor $fmonitorb $fmonitorh $fmonitoro $sformat ","rdYesterday ","selectedOption","_eraYearOrdinalRegex","JOB_BLOCK_CREATED_JOBS_PROPERTY ","_applyCombination","simulCloudOcclusion simulInClouds simulWeatherSync sin size sizeOf skill skillFinal skipTime ","dddd, D MMMM YYYY, Aको h:mm बजे","nth","e60e","handleTickRangeOptions","startsWith","awk","hoverBackgroundColor","[నేడు] LT","dmat3x4 dmat4 dmat4x2 dmat4x3 dmat4x4 double dvec2 dvec3 dvec4 float iimage1D iimage1DArray ","scalar scalars equation equations","SYSDLG_SETUP_TASK_ROUTE ","gpu_get_tex_max_aniso_ext gpu_get_tex_mip_enable ","_trigger","scrollbarXActive","CANT_CHANGE_OURFIRM_REQUISITE_RULE_ID ","dseBeforeUpdate ","box sphere sphereDetail createInput createReader loadBytes loadJSONArray loadJSONObject loadStrings ","gpu_get_tex_repeat_ext gpu_get_tex_mip_filter ","man","object_get_solid object_get_visible object_get_persistent ","GET_EXTENDED_DOCUMENT_EXTENSION_CREATION_MODE ","addClass","propagateContainerEvents","applyPairs","exists","md-secondary","tab-size","classNameFilter","emCreateVersion ","chipInputTemplate","You cannot override a type's .pattern at runtime.","INTERNAL1V1 SYSEX_START INTERNAL EXTERNAL ","bdb76b",'',"chartOptions","IPv6","[vo štvrtok o] LT","ltr","MdFabController","FormQuestionBuilderId","flatMapDeep","ugc_query_FavoritedByFriendsRankedByPublicationDate ","INVALID_COLUMN_REFERENCE INVALID_COLUMN_DEFINITION INVALID_CURSOR_DEFINITION ","part_system_clear part_system_draw_order part_system_depth ",'',"beforeSetClass","TⓉTṪŤṬȚŢṰṮŦƬƮȾꞆ","kebabCase","dfm","SYSRES_CONST_EDOC_KIND_REFERENCE_CODE ","en time","Invalid tones: ","NONOPERATING_RECORD_FLAG_FEMININE ","content-type","SYSDLG_SETUP_TASK_OBSERVERS ","FALLBACK_MOBILE","Multiple Choices","New-vRNIDataSource Open-VMConsoleWindow Publish-Module Publish-NsxSpoofguardPolicy Publish-Script Register-PSRepository Register-PackageSource Remove-AdvancedSetting ","https","args","[bugün saat] LT","closeReasons","encodePayload","^\\-","uib-position-body-scrollbar-measure","diumenge_dilluns_dimarts_dimecres_dijous_divendres_dissabte","setWindStr setWingForceScaleRTD setWPPos show3DIcons showChat showCinemaBorder showCommandingMenu ","dddd D MMMM [de] YYYY [a les] H:mm","Extension Required","#00bcd4","$pageSelect.total","σε %s","#00695c","is-not is-numeric is-odd is-pos is-string is-symbol is-zero isinstance islice issubclass ","padding-left","webkitMatches","ЕЧисло ","ರಾತ್ರಿ","[Ҫитес] dddd LT [сехетре]","min_interval","[A-Za-z_%][0-9A-Za-z_%]*","^```[ ]*$","Expected a function","doFSM doGetOut doMove doorPhase doStop doSuppressiveFire doTarget doWatch drawArrow drawEllipse ","borderBottomWidth","Invalid HTML for md-expansion-panel: ","numberOfMonthToDisplay","%d sekundoj","","DOWN_ARROW","targetCompatibility group flatDir doLast doFirst flatten todir fromdir ant ","D. MMMM YYYY","penguin","%s སྔན་ལ","popupTemplateUrl",'"@"',"autoSkipPadding","Meán Fómhair","yearCtrl","isStateDeclaration","@[a-zA-Z_][a-zA-Z_\\d]*","autoSwitch","[včeraj ob] LT"," leftjust legend letrat let_rule_packages lfg lg lhospitallim limsubst linear","functionToString","#6a1b9a","sum","registerAvailableLanguageKeys","map","currentActionIndex","en-ie","#e53935",'md-min-date="ctrl.minDate" ',"updateTabOrder","_bodyFontFamily","[hoy a la","removeListener","#99CC33","U[\\dA-Fa-f]{8}","New-vRARoutedNetworkProfile New-vRAService New-vRAStorageReservationPolicy New-vRATenant New-vRATenantDirectory New-vRAUserPrincipal New-vRNIApplication New-vRNIApplicationTier ","%d minute","setHeight","expanding","onmousemove","IFormTitle ","$$topModalIndex","isang buwan","[minulú nedeľu o] LT","Set-VMHostStartPolicy Set-VMHostStorage Set-VMHostSysLogServer Set-VMQuestion Set-VMResourceConfiguration Set-VMStartPolicy Set-VTpm Set-VirtualPortGroup Set-VirtualSwitch ","leopard","пред %s","contain an {1} but got an {2} (Request: {3} {4})","%d moannen","['’]","eye repmat rand randn linspace logspace freqspace meshgrid accumarray size length ","CreateHintDb Cut Declare Defined Definition Delimit Dependencies Dependent","tygodni","Cèit","@[\\w\\-]+\\[[\\w^;\\-]*\\](?:\\[[\\w^;\\-]*\\])?(?:.*)$"," ziuas","SYSRES_CONST_KINDS_EDOC_TYPE_REQUISITE_CODE ","apple","شهران","","%s таму","action"," सॅकंड","OFFSET_END","sekúnd","dynGlobals dynPaintEditor dynParticleCtx dynPref dynRelEdPanel dynRelEditor ","dseAfterClose ","_toggleMuteVideo","UCWEB[VER]",'
',"$$rAF"," can only have *one* child , ','Win','api/tags/describe','Agi','delete\x20subjects','GET_TRUNK','parentDisposition','queryChannels','motion','formats','/musiconholds','createOrEditDynamics365Account','newTelephone','deleteSelectedQueueCampaignHopperBlacks','createdAt,updatedAt,id,name,key,headerinfo,TrunkId,localstationid,ListId,waitForTheAssignedAgent,mandatoryDisposition,mandatoryDispositionPauseId,description,ecm,minrate,maxrate,modem,gateway,faxdetect,t38timeout,tech,notificationSound,notificationShake,notificationTemplate,queueTransfer,queueTransferTimeout,agentTransfer,agentTransferTimeout','getOpenchannelQueues','src/js/modules/core/directives/ms-stepper/templates/vertical/vertical.html/vertical.html','all','Messages','originateInProgress','customerIp','removeAgents','MotionDialerQueueId','addNewDynamics365Configuration','customFields','intDynamics365Configuration','selectedItemChange','api/attachments/:id','Process\x20stopped!','createObjectURL','google_cloud_tts_text_type','ZohoAccount\x20properly\x20tested','selectedFaxAccountInteractions','Unable\x20to\x20shutdown\x20Jabra\x20library','putOtherCallsOnHold\x20->\x20session','Cannot\x20upload\x20the\x20sound','\x27agentOnly\x27','app.chat.realtime.agents','icon-heart','scheduledat','newCannedAnswer','HMAC','selectAllZohoAccounts','rpcQueues','call\x20with\x20session\x20Id\x20','Italian','api.mailAccount.save','SYSTEM:UPDATECMHOPPERHISTORY','tables','src/js/modules/main/apps/voice/views/voiceQueues/edit/teamadd/teamadd.html/teamadd.html','$stateParams','api/chat/websites/:id/interactions','checkPause','api/mail/accounts/:id/users','ERROR','VtigerAccount\x20properly\x20tested','MailMessageId','deleteGlobalCustomField','bg-BG','Europe/Kiev','api/mail/queues/:id/teams','api/whatsapp/interactions/:id/tags','src/js/modules/main/apps/jscripty/views/projects/projects.html/projects.html','src/js/modules/main/apps/settings/views/generals/generals.html/generals.html','getConfig','ms-masonry-item','chatWebsite','app.mail.mailAccounts','Call\x20%s\x20not\x20found\x20or\x20already\x20completed','createdAt,updatedAt,id,name,type,remoteUri,authType,username,password,serverUrl,description','Africa/Nairobi','addNewCompany','SYSTEM:DESCRIBE_CM_CONTACT','src/js/modules/main/apps/whatsapp/views/whatsappAccounts/edit/apps/amazonlex/dialog.html/dialog.html','DropRate','LoadingIndicatorService','protocol','UserMailDashboardGeneralController','Mozilla','loading','ms-navigation','filterByTags','addNewVoiceRecording','mouseenter\x20touchstart','api/integrations/zoho/configurations/describe','gotoTelephones','src/js/modules/main/apps/sms/views/smsAccounts/edit/apps/queue/dialog.html/dialog.html','editMailAccountApp','nolimit','deleteSmsAccountSmsCannedAnswer','removeUsers','MOTIONDIALER.EDIT_HOPPER','src/js/modules/main/apps/tools/views/trunks/trunks.html/trunks.html','api/screen/recordings/:id','selectedColor','CreateOrEditOutboundRouteDialogController','icon-clock','\x20has\x20been\x20installed!','Subject','deleteSelectedGlobalCustomFields','mailAccounts','msModelType','user:agentconnect','platform','VtigerConfiguration\x20properly\x20saved!','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20vtigerConfiguration?','foreignKey','moveSelectedIvrCampaignHopperFinals','Africa/Accra','api/mail/queues/:id/users','Indian/Mahe','InternalRoutesController\x20as\x20vm','zendeskConfigurations','','#F44336','api.jscriptyProject.delete','addNewMailQueue','api.condition.update','DefaultReportId','selectedVtigerAccounts','Century\x20Gothic,Futura,Didact\x20Gothic,san-serif','getOutboundRouteApps','delete\x20openchannelAccount','EditInternalAppvoicemailDialogController','openchannelStatusTime','\x27unknown\x27','File\x20name','buttons','closed','MusicOnHolds','_blank','app.core','DASHBOARDS.MYCONTACTS','Networks','getMetricName','tag','dateRangeManager','addNewDynamics365Account','Delete\x20ChatProactiveAction','originatePreview','hasRole','api/sms/queues/:id/users','getQueueCampaignHoppers','api/mail/accounts/:id/dispositions','api.voiceMail.delete','Company\x20name','colors','onDispositionChange','Delete\x20CloudProvider','$document','src/js/modules/main/apps/dashboards/views/general/user/sms/user.general.sms.html/user.general.sms.html','src/js/modules/errors/404/error-404.html/error-404.html','api/openchannel/accounts/:id/users','Popup','login','selectAllSounds','Hotmail','pickerPosition','api.cloudProvider.delete','src/js/modules/main/apps/sms/views/smsAccounts/edit/apps/close/dialog.html/dialog.html','WhatsappAccountDispositionsController','selectAllOpenchannelAccounts','Mathieu\x20(French,\x20Male)','userForm','0.3','api/openchannel/queues/:id/clone','sipcalluniqueid','Delete\x20WhatsappQueue','dashboard:update','smsPause','Outbound\x20route\x20not\x20deleted!','cs-CZ','Status\x20properly\x20changed\x20to:\x20','The\x20inboundroute\x20will\x20be\x20deleted.','SmsQueueId','progress','CDR(routeid)=','spywhatsappInteraction','loggedIn','getLabelByMessage','src/js/modules/main/apps/integrations/views/zohoAccounts/create/dialog.html/dialog.html','starttime','Asia\x20Pacific\x20(Singapore)','app','JSCRIPTY.JSCRIPTY','sip_id','motion2.home.mycontacts:','selectedFreshdeskConfiguration','retry','es-MX','disconnect','msSplashScreen::remove','European\x20Dutch\x20Female','addNewCannedAnswer','FreshsalesAccountsController','\x27es-ES\x27','SMS.SMSACCOUNTS','volume','src/js/modules/main/apps/voice/views/voiceQueues/create/dialog.html/dialog.html','importReport','cmList','createdAt,updatedAt,id,name,strategy,timeout,description','Fax\x20Account','Process\x20updated!','fontSize_sizes','api/square/odbc/:id/clone','SYSTEM:UPDATECONFIGURATIONFIELD','onSearchFieldsInit','Would\x20you\x20like\x20to\x20update\x20your\x20system?','@id','getIntervals','addMinutes','src/js/modules/main/apps/motiondialer/views/ivrCampaigns/edit/resetlist/resetlist.html/resetlist.html','newVoiceRecording','newSalesforceAccount','Europe/Zurich','UserProfile\x20properly\x20deleted!','queue_id','\x20not\x20found','SETTINGS.SMTP','Plugin\x20properly\x20saved!','selectedUserProfiles','createdAt,updatedAt,id,name,description,table,parent,conditions,joins','app.voice.chanSpies','getMetrics','\x27linear\x27','ContextsController','api/chat/internal/messages/:id','saveInternalRoute','offsetHeight','actionOptions','api.smsAccount.save','newscreenRecording','api.cmCompany.delete','Finnish\x20(Finland)','originated','ico','intFreshdeskAccount','reports','addNewReportField','Spanish\x20(Honduras)','toggleSidenav','The\x20general\x20will\x20be\x20deleted.','exportSelectedContexts','getInstallInfo','jira','gotoMailAccounts','EditMailAccountAppgotopDialogController','toggle','api.intZendeskAccount.update','user:forcelogout','W:\x20Enable\x20private\x20whisper\x20mode,\x20so\x20the\x20spying\x20channel\x20can\x20talk\x20to\x20the\x20spied-on\x20channel\x20but\x20cannot\x20listen\x20to\x20that\x20channel.','hue-1','api/jscripty/projects/describe','account_id','\x27wav\x27','apps.settings.networks','deleteMailQueue','Integration_Tab','/custom/:id','.ogg','rpcWhatsappQueues','template','gsm','api/integrations/sugarcrm/accounts/:id/fields','WhatsappInteractionId','api/fax/queues/:id/users','toUpperCase','faxCurrentCapacity','createOrEditOpenchannelAccountOpenchannelCannedAnswer','smsMessage:update','app.integrations.vtigerAccounts','delete\x20freshsalesConfiguration','getSmsQueuesWaitingInteractions','MarketplacePluginsController','ChangePasswordController','intServicenowAccount@get','reportField','FaxAccountagentaddController','AgentHomeDashboardMultiChannelFaxController','Naja\x20(Danish,\x20Female)','localstationid','prompt','msDualMultiselect','api/sms/queues/describe','src/js/modules/main/apps/whatsapp/views/whatsappAccounts/create/dialog.html/dialog.html','getAgents','NOBEEP','factory','arraypriority','src/js/modules/main/apps/sms/views/smsAccounts/edit/apps/tag/dialog.html/dialog.html','CHAT.REALTIME','createOrEditTeam','isWebRTCSupported','STAFF.PERMISSIONS_SECTION_TITLE','icon-radiobox-marked','getVoiceQueuesChannelHangup','Custom','Arabic\x20(Egypt)','mon','onUpdateWhatsappInteraction','saveWhatsappAccountApp','api/voice/dials/reports/:id/clone','isExtra','selectedPlugins','findLast','inline','editstate','queueaddchannel','createdAt,updatedAt,id,role,fullname,name,email,password,description,autointernal,internal,voicemail,transport,nat,allow,callerid,context,callgroup,pickupgroup,videosupport,encryption,phone,mobile','src/js/modules/core/theme-options/theme-options.html/theme-options.html','api.template.delete','mycontacts','Scheduled\x20call\x20has\x20been\x20deleted!','selectAllCannedAnswers','CreateOrEditCustomFieldDialogController','newGeneral','apps.tools.triggers','outboundReCallsDay','src/js/modules/main/apps/openchannel/views/realtime/realtime.html/realtime.html','salesforce','SYSTEM:DELETEmailQueue','Delete\x20ZendeskAccount','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20chatWebsites?','SYSTEM:MERGE_CONTACT','statusList','clearSelection','substring','Invalid\x20list\x20name.','chat_queue_waiting_interaction:save','EditWhatsappAccountApptagDialogController','enableUncompleteSave','levels','api/integrations/dynamics365/accounts/:id/configurations','Trebuchet\x20MS','INTEGRATIONS.NEW_SALESFORCEACCOUNT','INTEGRATIONS.NEW_FRESHSALESCONFIGURATION','api.voiceExtension.update','Url','CloudProvidersController\x20as\x20vm','URL','order','\x27ringall\x27','Asia/Kuching','VoiceQueues','api/openchannel/queues/:id/users','The\x20mailQueue\x20will\x20be\x20deleted.','Indian/Cocos','+05:30','Email\x20Queues','subjects','isDateBeforeToday','Bulgarian\x20(Bulgaria)','properties','ChatQueue\x20properly\x20deleted!','whoami','newCustomization','ChatWebsitecustomerAvatarController','dialogflowV2_project_id','saveList','\x27years\x27','PrivateKey','SYSTEM:GETvoiceQueues','am-ET','api.voiceQueue.update','getDynamics365Accounts','deleteFieldConfirm','delete\x20Projects','selectedDeskConfiguration','VOICE.NEW_INTERNALROUTE','SYSTEM:squareProjects.ADDUSERS','TOOLS.EDIT_SOUND','deleteSelectedSugarcrmAccounts','className','Your\x20survey\x20session\x20has\x20been\x20properly\x20saved!','/openchannel','removeSections','api.condition.save','ChatQueues','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20hopperBlack?','Europe/Athens','ContextsController\x20as\x20vm','getAbandonedCalls','VIDEO.SCREENRECORDINGS','WhatsappQueue\x20properly\x20saved!','app.staff.agents','SugarcrmAccountController','openchannelAccountApp','code','Agent\x20logout','List','internalrouteApp','exists','days','NO\x20AUTH','newChatProactiveAction','Plugin\x20updated!','IS\x20NOT\x20EMPTY','TOOLBAR.NORWEGIAN','setAttribute','countnoanswerretry','zendeskConfiguration','icon-checkbox-blank-circle-outline','.result','api/mail/reports/queue/describe','IvrCampaignHopperBlacksController','src/js/modules/main/apps/dashboards/views/general/agent/interaction/composeMail/dialog.html/dialog.html','src/js/modules/main/apps/video/views/screenRecordings/screenRecordings.html/screenRecordings.html','src/js/modules/main/apps/help/views/about/about.html/about.html','applyFilter','getCustomDashboards','trunk_id','-03:30','newInboundRoute','saveOdbc','Pacific/Pitcairn','ExtractedReports','onFinish','version','\x27openchannel_interactions\x27','triggerAnyConditions','+05:45','api/analytics/default_reports/:id/query','voiceRecording','widgets','/telephones','messageStatuses','SYSTEM:DELETEvoiceContext','md-background-bg\x20md-hue-3','FAX:SEND','Hans','Vietnamese','currentXML','^msMasonry','step','newFaxAccount','appendChild','faxAccountApps','delete\x20servicenowConfiguration','\x27newTab\x27','InboundRoutes','MIN','CONTACTMANAGER.CONTACTS','EditInboundAppinternaldialDialogController','/outbound_calls','api.voiceMusicOnHold.update','api/chat/applications/describe','app/core/directives/ms-phonebar/sounds/incoming-call.ogg','getServicenowConfiguration','\x27report_openchannel_queue\x27','Project','loadShortcuts','onChangeList','CONTACTMANAGER.EDIT_GLOBALCUSTOMFIELD','apr','id,name,mapAgentsId,waiting','tech','Months','Start\x20Video\x20Call','InternalRoute\x20properly\x20created','en-AU','deleteSelectedChatWebsiteOfflineMessages','Europe/Minsk','src/js/modules/main/apps/integrations/views/servicenowAccounts/servicenowAccounts.html/servicenowAccounts.html','AllCondition\x20properly\x20saved!','src/js/modules/main/apps/voice/views/internalroutes/edit/apps/ringgroup/dialog.html/dialog.html','icon-calendar-clock','replyMessage','voiceCalls','DASHBOARDS.MAY','src/js/modules/main/apps/motiondialer/views/queueCampaigns/edit/teamadd/teamadd.html/teamadd.html','api/users/:id/openchannel_accounts','Asia/Novosibirsk','faxQueue:update','location','src/js/modules/main/apps/voice/views/outboundroutes/edit/apps/outbounddial/dialog.html/dialog.html','mouseenter','MOTIONDIALER.NEW_HOPPER','selectedChatWebsiteApps','speakerId','dateFilterLocalizationFactory','app.fax.faxAccounts.edit','ne-NP','whatsapp.whatsappAccounts','SYSTEM:DELETEdashboard','src/js/modules/core/directives/ms-info-bar/ms-info-bar.html/ms-info-bar.html','progresslogin','msNavigation::clearActive','More','api/openchannel/accounts/:id/canned_answers','selectedFaxQueues','spysmsInteraction','app.staff.users','PausesController\x20as\x20vm','Delete\x20Template','Pause\x20properly\x20created','-10:00','SYSTEM:SENDMESSAGE','OpenchannelCannedAnswer\x20properly\x20created','Asia/Jakarta','api/rpc/voice/queues/channels/:uniqueid/hangup','CALLYSQUARE.EDIT_PROJECT','smsTransferReport','api.action.update','CreateOrEditFreshsalesConfigurationDialogController','onUpdateChatQueue','textarea','api.chatQueue.update','app.mail','CreateOrEditZohoAccountDialogController','msShortcuts','TOOLS.UPLOAD_SOUND','api/chat/interactions/:id/vidaoo','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20zendeskAccounts?','createdAt,updatedAt,id,interactionid,channel,format,value,rating,UserId,duration,startedAt,closedAt','controls','ivr','selectedQueueCampaignHopperFinals','DASHBOARDS.DISABLED','/assets/images/theme-options/content-only.jpg','jscriptySessionReport@getQuestions','QueueCampaign','deleteFreshsalesAccount','Asia/Tbilisi','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20applications?','MusicOnHold\x20properly\x20saved!','questionId','YES','getSubjects','Africa/Cairo','mail_queue_waiting_interaction:save','APP.WHATSAPP','GRANTED','Japanese','Europe/Tirane','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20extractedReports?','apps.home.contacts','\x27invite\x27','createdAt,updatedAt,id,name,dialActive,TrunkId,TrunkBackupId,type,IntervalId,dialCheckDuplicateType,dialCutDigit,acw,acwTimeout,autopause,ringinuse,memberdelay,timeoutrestart,monitor_format,context,setinterfacevar,setqueuevar,setqueueentryvar,dialOrderByScheduledAt,dialRecallMeTimeout,dialRecallInQueue,dialGlobalInterval,dialTimezone,dialAMDActive,dialAMDInitialSilence,dialAMDGreeting,dialAMDAfterGreetingSilence,dialAMDTotalAnalysisTime,dialAMDMinWordLength,dialAMDBetweenWordsSilence,dialAMDMaximumNumberOfWords,dialAMDSilenceThreshold,dialAMDMaximumWordLength,dialMethod,dialPowerLevel,dialPredictiveOptimization,dialPredictiveOptimizationPercentage,dialPredictiveInterval,dialLimitQueue,dialOriginateCallerIdName,dialOriginateCallerIdNumber,dialRandomLastDigitCallerIdNumber,dialOriginateTimeout,dialPrefix,dialQueueOptions,dialQueueTimeout,strategy,timeout,retry,wrapuptime,weight,musiconhold,dialQueueProject,dialQueueProject2,dialAgiAfterHangupAgent,dialGlobalMaxRetry,dialCongestionMaxRetry,dialCongestionRetryFrequency,dialBusyMaxRetry,dialBusyRetryFrequency,dialNoAnswerMaxRetry,dialNoAnswerRetryFrequency,dialNoSuchNumberMaxRetry,dialNoSuchNumberRetryFrequency,dialDropMaxRetry,dialDropRetryFrequency,dialAbandonedMaxRetry,dialAbandonedRetryFrequency,dialMachineMaxRetry,dialMachineRetryFrequency,dialAgentRejectMaxRetry,dialAgentRejectRetryFrequency,description,mandatoryDisposition,mandatoryDispositionPauseId,dialPredictiveIntervalMaxThreshold,dialPredictiveIntervalMinThreshold','refreshDate','MailCannedAnswer\x20properly\x20created','Streets','English\x20(Nigeria)','deleteSelectedWhatsappAccountApps','apps.voice.voicemails','CHAT.NEW_CHATQUEUE','app.integrations.dynamics365Accounts.edit','Email\x20Messages','Kannada\x20(India)','selectedOpenchannelAccounts','outboundDropCallsDayTimeout','faxCapacity','Latvian\x20(Latvia)','whatsappStatus','en-PH','QUICKPANEL.NEW_CHATGROUP','xAxes','remote-audio','followuptime','SYSTEM:DELETE.customDashboard','api.screenRecording.update','getWhatsappAccountInteractions','SYSTEM:GETintDeskAccount','readAsDataURL','SETTINGS.UPDATE','Salli','OpenchannelQueue\x20updated!','saveTelephone','\x27/var/opt/motion2/server/files/sounds/converted/{{sound.save_name}}\x27','Arabic\x20(Lebanon)','user:save','MsShortcutsController\x20as\x20MsShortcuts','apps.tools.schedules','OpenchannelQueueteamaddController','app.chat.realtime','initialize','voiceQueuesSelected','src/js/modules/main/apps/contactmanager/views/contacts/edit/view.html/view.html','endcall','openChannel','api/userProfiles/:id/sections','Antarctica/Palmer','UserProfile','api/analytics/metrics/describe','smsCurrentCapacity','TOOLS.NOTIFICATIONS.CONDITION_DELETE_TITLE','api.trunk.save','api.chatQueue.save','whatsapp','SYSTEM:GETmusiconholds','voicePause','api/square/recordings/:id/clone','voiceAgentReport','api/integrations/zendesk/accounts/:id/clone','onSelectList','Asia\x20Pacific\x20(Seoul)','STAFF.PERMISSIONS_UNAUTHORIZED_CREATION_MESSAGE','TOOLS.EDIT_PAUSE','.ms-search-bar-results','deleteSelectedFaxAccountApps','Smtp','duration','SYSTEM:DELETEvoiceRecording','CreateOrEditReportDialogController','\x20ORDER\x20BY\x20','AgentHomeVoiceController','api.intFreshsalesConfiguration.save','apikeymanagerdialog','ms-widget','vm.voiceQueue.joinempty.length\x20===\x201\x20\x20&&\x20vm.voiceQueue.joinempty[0]\x20===\x20\x27no\x27','app.integrations.salesforceAccounts.edit','api.dashboardItem.update','$resolved','chatInternalMessage:save','Wide','groupId','You\x20must\x20have\x20at\x20least\x20one\x20theme\x20named\x20\x22default\x22','newCustomDashboard','chatWebsiteProactiveActions','grey','ja-JP','bar-container','createdAt,updatedAt,id,name,prefix,options,auth,password,record,recordingFormat,description','saveDynamics365Account','arrayjoinempty','exportSelectedSmsAccounts','api.squareOdbc.save','MotionDialer','\x27days\x27','{part}/i18n/{lang}.json','api/voice/queues/:id/hoppers','getFaxAccountLabel','lastLoginAt','FreshdeskAccount\x20properly\x20tested','intDynamics365Account','radio','src/js/modules/main/apps/callysquare/views/projects/edit/import/import.html/import.html','America/Kentucky/Louisville','+13:45','\x27o\x27','FaxAccount\x20properly\x20deleted!','getIconByChannel','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20whatsappQueues?','registerStep','VtigerConfiguration\x20properly\x20created','ServicenowConfiguration\x20properly\x20saved!','view','whatsappInteraction@get','api.smsAccount.delete','WHATSAPPACCOUNT:SEND','Fields\x20copied!','ceil','DASHBOARDS.SELECT_STATUS','isCompatibleBrowser','src/js/modules/main/apps/whatsapp/views/whatsappAccounts/edit/apps/agent/dialog.html/dialog.html','onStart','CANCEL','/schedules','selectedMailAccounts','Spanish\x20(Spain)','search','Queue\x20Report','Description\x20deleted!','getSalesforceAccounts','motion2.home.abandoned.voice.calls:','ar-MA','fax_queue:save','screenrecording','src/js/modules/core/dialogs/advanced-search/dialog.html/dialog.html','Inbound\x20Call','default','CreateOrEditFreshdeskConfigurationDialogController','teal-bg','createdAt','asc','intZendeskField','\x27gsm\x27','CreateOrEditScheduleDialogController','msAdvancedSearch','mwForm.pageEvents.changePage','MailQueueagentaddController','api/cm/hopper_black/describe','deleteOpenchannelAccountApp','answer','src/js/modules/core/directives/ms-material-color-picker/ms-material-color-picker.html/ms-material-color-picker.html','in-right-aligned','SalesforceConfiguration\x20properly\x20created','predictiveIntervalAvailable','CustomizationsController','+04:30','CHAT.EDIT_CHATWEBSITE','','phoneBarDnd','CreateOrEditAnyConditionDialogController','/api/chat/websites/:id/avatar','api/integrations/servicenow/configurations/describe','https://www.xcally.com/bar/phonebar/autoupdater.xml','internalroutes','offlineMessage','browserGroupId','Canadian\x20English\x20Female','api/chat/websites/describe','Unable\x20to\x20open\x20the\x20contact','msScrollConfig','onclick','FaxAccount\x20properly\x20saved!','getInboundRoutes','New\x20Item','rpcOpenchannelQueues','Marathi\x20(India)','globalCompanies','channels','api/integrations/desk/configurations/:id/descriptions','toggleOptionsSidenav','Asia/Thimphu','onSaveQueue','voicemailMessages','api.interval.save','saveLists','api/square/odbc/:id','\x27KHOMP\x27','src/js/modules/main/apps/dashboards/views/general/user/chat/user.general.chat.html/user.general.chat.html','thirdDisposition','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20zendeskConfiguration?','SYSTEM:PAUSEUSER','whatsappPause','out','iPod','saveReport','ChanSpiesController\x20as\x20vm','attributes','api.interval.update','saveIvrCampaign','Predictive','awsPollyVoice','callbackQueue','includes','TOOLS.NOTIFICATIONS.','import','grey-A700-bg','motionbar','\x27yahoo\x27','unit','AgentHomeDashboardController','#2d323e','SalesforceConfiguration\x20properly\x20saved!','src/js/modules/main/apps/integrations/views/zohoAccounts/edit/configurations/dialog.html/dialog.html','VtigerAccount\x20updated!','getFullYear','MailRealtimeController','api/fax/accounts/updateaccountapplications','getMailAccountMailCannedAnswers','CustomReportId','api/variables/describe','Delete\x20MohSound','target','id,name,internal,fullname','EditWhatsappAccountAppgotopDialogController','ChatWebsiteScriptController','0.26','European\x20German\x20Female','opt1','FreshsalesAccountController','IvrCampaigns','.resizable','\x27pai\x27','delete\x20mailSubstatus','src/js/modules/main/apps/fax/views/faxAccounts/edit/apps/system/dialog.html/dialog.html','selectedMusicOnHoldMohSounds','hopperFinal\x20#','verticalNavigationFullwidthToolbar','Canada\x20(Central)','Which\x20number\x20do\x20you\x20want\x20transfer\x20the\x20call\x20to?','createOrEditZendeskConfiguration','queryHistory','exportSelectedProjectSessions','api/chat/messages/:id/clone','Spanish\x20(Ecuador)','memberReport','arrayauthType','Agents','apps.mail.mailAccounts','intDynamics365Account@get','Delete\x20Contact','apps.callysquare.squareRecordings','api.campaign.clone','D\x20MMM\x20YYYY','api/users/:id/api_key','deselectChatQueues','The\x20agent\x20will\x20be\x20deleted.','newExtractedReport','src/js/modules/main/apps/dashboards/views/general/user/user.general.html/user.general.html','Disposition','deleteChatWebsiteOfflineMessage','api/users/:id/mail/interactions','scrollWidth','TOOLBAR.WEBRTC_MICROPHONE_NOT_AVAILABLE','pink','isDisabled','hkchinesefemale','isInProgress','displayName','src/js/modules/main/apps/mail/views/mailAccounts/edit/apps/tag/dialog.html/dialog.html','ContactSelectionController','ExtractedReport','$sce','phonenumber','src/js/modules/header/layouts/vertical-navigation/header.html/header.html','CreateOrEditCustomDashboardDialogController','On\x20{{\x20date\x20}},\x20at\x20{{\x20time\x20}},\x20{{\x20from\x20}}\x20wrote:','onUpdateWhatsappQueue','Lithuanian\x20(Lithuania)','app.motiondialer.queueCampaigns','onLogged','Salesforce','intDeskAccount','myQueues','delete\x20company','GET_SOUND','api/integrations/dynamics365/accounts/describe','salesforceAccounts','selectedChatWebsiteInteractions','TOOLBAR.RUSSIAN','data5','exportSelectedChatWebsites','Application_Name','onFieldChange','deselectTrunks','toggleChat','TOOLS.PAUSES','$evalAsync','phoneBarEnableScreenRecordingByAgent','exportSelectedMetrics','\x2717\x27','searchFields','STAFF.NEW_TELEPHONE','Australian\x20English','FaxQueueController\x20as\x20vm','PausesController','CALLYSQUARE.NEW_PROJECT','selectedIndex','The\x20mohSound\x20will\x20be\x20deleted.','CONTACTMANAGER.MERGE_CONTACT','+09:00','ZohoAccount\x20properly\x20saved!','Australia/Sydney','Import\x20has\x20been\x20stopped!','predictiveIntervalAvgTalktime','newVariable','Europe/Monaco','OutboundRoutes','onHold\x20->\x20session,\x20data','DASHBOARDS.TODAY','Report\x20Field\x20properly\x20deleted!','playback','Delete\x20MailQueue','The\x20trunk\x20will\x20be\x20deleted.','api/analytics/field_reports/destroy_many','turn','ml-IN','TOOLBAR.TURKISH','SYSTEM:queueCampaign.RESETLIST','closest','Openchannel','Delete\x20Trunk','\x27ru\x27','Africa/Windhoek','getOpenchannelQueuesWaitingInteractions','onIntegrationInit','delete\x20customFields','api/fax/accounts/:id/applications','microphoneMute','Announce_Overrides','AgentsMailRealtimeController\x20as\x20vm','Delete','deleteDynamics365Configuration','motion-blue','api/chat/interactions/:id/custom_update','addApplications','draggable','hopperIds','src/js/modules/main/apps/analytics/views/reports/run/web/dialog.html/dialog.html','output','Spanish\x20(Nicaragua)','deleteSubjectConfirm','openProject','/accounts','Irish','api.voiceRecording.save','api/cm/hopper_final/describe','exportSelectedVoiceQueues','smsInteractions','mailQueues','sender','PredictiveQueueCampaignDialogController','sugarcrmConfiguration','UserSmsQueue','isJabraInitialized','src/js/modules/main/apps/openchannel/views/realtime/queues/view.html/view.html','addItem','src/js/modules/main/apps/voice/views/voiceQueues/edit/view.html/view.html','Channel\x20','\x27text\x27','EditWhatsappAccountAppintervalDialogController','Close\x20Contact','Variable\x20properly\x20created','addNewVtigerConfiguration','QueueCampaignHopperStatisticsController','/variables','src/js/modules/main/apps/openchannel/views/openchannelAccounts/create/dialog.html/dialog.html','integrations/freshdesk/configurations/:id/descriptions','SmsQueue\x20updated!','dialogflow_language','both','selectedInternalRoutes','voiceMusicOnHold@get','delete\x20SmsQueues','api/fax/reports/queue/describe','/metrics','Pacific/Niue','green-300-fg\x20icon-phone-hangup','src/js/modules/main/apps/openchannel/views/openchannelAccounts/edit/view.html/view.html','exportSelectedIvrCampaignHopperBlacks','/queue_params','addNewNetwork','getVoicemailMessages','America/Glace_Bay','onSaveUserWhatsappQueue','src/js/modules/main/apps/whatsapp/views/whatsappAccounts/whatsappAccounts.html/whatsappAccounts.html','STAFF.PERMISSIONS_WARNING_ENABLE_ALL','instant','chatQueues','exportSelectedVoiceRecordings','moveQueueCampaignHopperFinal','Dynamics365Configuration\x20deleted!','SYSTEM:GET_SECTIONS_PERMISSIONS','InboundRouteController\x20as\x20vm','api/rpc/agents','ur-IN','separatorKeys','api.userProfile.clone','sugarcrmAccount','delete\x20hopperFinal','Member\x20Report','SmsQueuesController\x20as\x20vm','lastPauseAt','rpcOpenchannelQueuesWaitingInteractions','SYSTEM:GETtrunk','http://127.0.0.1:','GlobalCustomField\x20properly\x20saved!','DASHBOARDS.SEPTEMBER','queueCampaignHoppers','stateService','Javanese','IvrCampaign\x20properly\x20cloned','desk','api.faxQueue.save','api.voiceMail.save','vm.queryHopper.filter','Message\x20has\x20been\x20accepted!','SYSTEM:GET_OUTBOUNDROUTES','request','deselectDispositions','angucomplete-alt:changeInput','apps.tools.tags','getLogo','newHopper','ROUND\x20(','SYSTEM:GET_VOICEQUEUES','FreshsalesAccount\x20updated!','outboundDial','CONTACTMANAGER.EDIT_HOPPER','updateQueue','app.integrations.salesforceAccounts','Chinese,\x20Mandarin\x20(Simplified,\x20China)','CALLERID(all)=','eurgermanmale','api/version/restart','MailQueues','onSaveFaxQueue','TOOLS.NOTIFICATIONS.CONDITIONS_DELETED_SUCCESS_TITLE','pauseTypeFilter','$to','geEditor','ServicenowAccountsController','contact_import_error','/login?token&userId','api/chat/reports/queue/describe','addRecording','dashboardItems','apps.integrations.freshsalesAccounts','Miguel\x20(Spanish,\x20US,\x20Male)','paginatedAgents','MailAccountsController\x20as\x20vm','Serbo-Croatian','selectedOutboundRouteApps','READ_PERMISSION','UserOpenchannelDashboardGeneralController\x20as\x20vm','rundialog','deleteSmsAccount','api/automations/:id/actions','showFooter','api/voice/queues/:id/blacklists','selectAllInternalRoutes','\x27report_jscripty_sessions\x27','newContact','SYSTEM:DELETEdisposition','ANALYTICS.NO_METRIC_FOUND','\x27SIP\x27','deleteSelectedQueueCampaigns','src/js/modules/main/apps/voice/views/inboundroutes/edit/apps/goto/dialog.html/dialog.html','general','Chinese\x20(Cantonese)','selectedMusicOnHolds','\x27update\x27','ZohoAccount\x20properly\x20created','INTEGRATIONS.SALESFORCEACCOUNTS','TOOLBAR.FINNISH','api/auth/local','INTEGRATIONS.VTIGERACCOUNTS','WhatsappCannedAnswer\x20properly\x20deleted!','Filiz','exportSelectedNetworks','getUserMedia','api.sound.delete','createdAt,updatedAt,id,name,phone,vat,fax,companyId,type,website,emailDomain,email,description,sStreet,sPostalCode,sCity,sCountry,street,postalCode,city,country','aws_text_type','webrtc::call','SYSTEM:GETVARIABLES','/queueCampaigns','Widget\x20deleted!','keyBy','European\x20French\x20Female','SYSTEM:GETfaxAccount','Voicemail\x20updated!','Numbers\x20to\x2099','Asia/Khandyga','icon-fire','Pacific/Gambier','createdAt,updatedAt,id,name,key,headerinfo,TrunkId,localstationid,ListId,waitForTheAssignedAgent,description,ecm,minrate,maxrate,modem,gateway,faxdetect,t38timeout,tech,acceptMethod,acceptUrl,rejectMethod,rejectUrl,closeMethod,closeUrl,notificationSound,notificationShake,notificationTemplate','saveAllCondition','src/js/modules/main/apps/voice/views/voicePrefixes/voicePrefixes.html/voicePrefixes.html','schedule','api/variables/:id/clone','arrayannounce_position','api.intFreshsalesConfiguration.delete','src/js/modules/main/apps/settings/views/license/update/dialog.html/dialog.html','api.intZohoAccount.update','api/rpc/mail/queues/:id/notify','NotificationController','delete\x20VoiceRecordings','/ivrCampaigns','\x27us-west-2\x27','apps.marketplace','websocket','LocalNET','showSmsInteraction','api.intZendeskConfiguration.update','Queue\x20properly\x20updated!','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20hopperFinal?','runTranscribe','api/integrations/zendesk/configurations/describe','VOICE.RUNTRANSCRIBE_VOICERECORDING','mail','telegram','Users','CreateOrEditWhatsappQueueDialogController','delete\x20vtigerAccount','user:called','saveSchedule','\x27ASC\x27','Fields\x20saved!','newSmtp','gotop','onUpdateSmsInteraction','Answer','avgHoldTime','gotoUsers','api/whatsapp/reports/queue/:id/clone','AbandonedCallsMotionDialerRealtimeController\x20as\x20vm','TOOLS.NEW_CUSTOMDASHBOARD','\x20deleted!','-message','getText','WhatsappAccount\x20properly\x20deleted!','TOOLBAR.NOTIFICATION_TITLE_RECIPIENT','voiceChanSpy','deselectUserProfiles','api/mail/out_servers/:id','Chinese\x20(China)','api/voice/prefixes/:id','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20musiconholds?','src/js/modules/main/apps/mail/views/mailAccounts/edit/apps/agent/dialog.html/dialog.html','delete\x20Sounds','src/js/modules/auth/services/hotdesk/dialog.html/dialog.html','selectAllLists','Spanish','api/attachments/:id/clone','api/integrations/freshsales/configurations/:id/subjects','icon-cog','api/migrations/:id','src/js/modules/main/apps/tools/views/triggers/edit/anyconditions/create/dialog.html/dialog.html','deselectTemplates','Fewest\x20Calls','Cannot\x20upload\x20the\x20plugin','ReportController','\x27leastrecent\x27','DASHBOARDS.ENABLED','id,name,strategy,type','voice_outbound_channel:save','api.intZohoAccount.save','delete\x20servicenowAccount','whatsapp_queue:save','deleteUser','selectedContexts','','QueuesWhatsappRealtimeController','DASHBOARDS.READ','children','intZohoField','TCL:\x20vm.recording[vm.path]','scales','selectedChatWebsiteProactiveActions','values','SYSTEM:GETmailQueue','CompanyId','\x27power\x27','arrayrandom_periodic_announce','angularMaterialFormBuilder','getColumns','metrics','CreateOrEditTriggerDialogController','api/voice/mohs/describe','VariablesController','ivrCampaignHopperBlacks','SYSTEM:DELETEanalyticMetric','ANALYTICS.METRICS','isActive','api.chatAccounts.account','updatedAt','onChangeSection','selectAllInboundRoutes','ZendeskAccountController','SmsQueue\x20properly\x20saved!','id,firstName,lastName,phone,email','The\x20whatsappAccount\x20will\x20be\x20deleted.','audiooutput','uniqueIdentifier','\x27voice_recordings\x27','Malay\x20(Malaysia)','EditInternalAppqueueDialogController','src/js/modules/main/apps/integrations/views/deskAccounts/create/dialog.html/dialog.html','+14:00','createdAt,updatedAt,id,service,name,email,Smtp.service,Smtp.host,Smtp.port,Smtp.secure,Smtp.authentication,Smtp.user,Smtp.pass,description','newDynamics365Configuration','/dynamics365Accounts','outboundDropCallsDayCallersExit','api/fax/applications/:id/clone','getFinals','STAFF.EDIT_TELEPHONE','selectSession\x20->\x20session','api/whatsapp/reports/transfer/describe','AgentsMotionDialerRealtimeController\x20as\x20vm','getAttachments','createOrEditChatWebsiteChatCannedAnswer','ContactsController','deselectOpenchannelQueues','TOOLS.CANNEDANSWERS','TOOLS.NOTIFICATIONS.TRIGGERS_DELETE_MESSAGE','api.action.delete','Some\x20resources\x20enabled','emit','sound.name','api/sms/messages/:id/reject','api.pm2.save','voicePrefixagentaddController','Message','state','clickOutsideToClose','api/integrations/servicenow/configurations/:id/clone','EU\x20(London)','\x27yes\x27','app.voice.outboundroutes.edit','Plugins','terminate\x20->\x20session','Liv','api/chat/proactive_actions/describe','newMailCannedAnswer','exportSelectedQueueCampaignHoppers','editXML','paginate','STAFF.SELECTED_QUEUES_','motion2.home.','Asia/Pontianak','ChatWebsiteonlineFormController','noauth','Brazilian','onInteractionOpen','defaultPageTitle','countContactsIvrCampaignHopperFinal','delete\x20InternalRoutes','Dashboard','wechat','CustomDashboardController\x20as\x20vm','Twi','api.mailSubstatus.update','api/sms/accounts/:id/applications','deleteconfirm','app.contactmanager.contacts','class','Penelope','api/users/:id/password','available','api/openchannel/accounts/:id/interactions','Delete\x20Interval','forms','api/mail/interactions/:id/clone','app.fax.faxAccounts','ServicenowAccount\x20properly\x20tested','gotoPreviousStep','app.sms.smsAccounts.edit','Asia/Jerusalem','_options','addNewSmsAccount','api/migrations/:id/clone','Peer','app.staff.teams','api/fax/accounts/addaccountapplications','createdAt,updatedAt,id,customer_id,fullname,email,password,mailbox,context,tz,attach,envelope,delete,emailbody,emailsubject,maxsecs,maxmsg','answers','priorities','callerID','jscriptySessions','removeItems','selectAllSmsAccounts','api.network.save','WHATSAPP.NEW_WHATSAPPACCOUNT','enabled','PLUGINS.PLUGINS','apps.motiondialer.ivrCampaigns','Square\x20ODBC\x20properly\x20tested','CreateOrEditInternalRouteDialogController','customDashboard','api/users/:id','question','createdAt,updatedAt,id,name,description,username,accessKey,remoteUri,serverUrl','Persian','escapeToClose','SmsAccount\x20properly\x20saved!','tcp','hiddendigitsnum','cm_contacts','ZohoAccounts','id,name,dialActive','awsPollyRegion','/triggers','src/js/modules/main/apps/openchannel/views/openchannelAccounts/edit/apps/noop/dialog.html/dialog.html','api.trunk.clone','IvrCampaignController\x20as\x20vm','SIP','/chatQueues','refreshAbandonedCalls','SETTINGS.EDIT_CLOUDPROVIDER','TOOLS.EDIT_TEMPLATE','api/rpc/openchannel/queues','directive','deleteContact','onSaveVoiceQueue','INTEGRATIONS.SERVICENOWACCOUNTS','COUNT','The\x20mailCannedAnswer\x20will\x20be\x20deleted.','Arabic\x20(Iraq)','AgentBusyFactor','selectAllUsers','shortTime','onMessageSave','serverDateOffset','Verdana,Geneva,sans-serif','hasSectionsPermissions','newDashboardItem','apiRoute','src/js/modules/main/apps/fax/views/faxQueues/faxQueues.html/faxQueues.html','cloneTrunk','onSaveMailQueueChannel','Interaction\x20properly\x20closed!','fromJson','selectedZohoAccounts','America/Fort_Nelson','newMusicOnHold','Europe/Dublin','UserWhatsappQueue','UserProfile\x20properly\x20created','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20pauses?','projectId','ChatInternalMessageId','The\x20context\x20will\x20be\x20deleted.','smtps','squareMessage','treeCtrl:\x20error\x20from\x20js\x20tree\x20-\x20','settings','ms-form-wizard-form','.csv','msNavigation::collapse','ivrTotalCallsDays','whatsapp_queue_waiting_interaction:remove','hasIdAnswers','
\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20Queue:\x20','app/core/directives/ms-widget-engine/ms-widget-engine-','recentEmojis',',xX,,,300,,,,,','createOrEditTrunk','mailInteraction@get','osName','indigo-A100-fg','onRemoveUserWhatsappQueue','/projects','QuickFilterManager::setPlaceholder','Network\x20properly\x20saved!','deleteSmsQueue','createOrEditServicenowAccount','id,exten','getUserDeviceMediaExt','getSmsAccountLabel','results','EditFaxAccountAppgotoifDialogController','deselectMailQueues','src/js/modules/main/apps/dashboards/views/general/agent/interaction/composeWhatsapp/dialog.html/dialog.html','id,phone,scheduledat,priority,recallme,VoiceQueueId,CampaignId,UserId','createdAt,updatedAt,id,save_name,converted_format,name,audio,description','api.whatsappQueue.update','api.pause.update','saveOutboundRoute','TOOLS.NEW_INTERVAL','What\x20would\x20you\x20name\x20your\x20company?','getActiveItem','api/whatsapp/reports/queue/:id','queueLogin','addNewscreenRecording','getDeskAccounts','AgentsMailRealtimeController','icon-checkbox-multiple-marked-outline','QueueCampaignteamaddController','saveOpenchannelCannedAnswer','parser','api.intServicenowConfiguration.delete','TCL:\x20vm.path','api/integrations/zoho/fields/:id/clone','getVoicemails','+06:30','newCustomField','api/users/:id/teams','No\x20images','replace','EditWhatsappAccountAppgotoifDialogController','Re:','OpenchannelQueue','CreateOrEditMetricDialogController','scheduledCalls','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20zohoConfiguration?','api/chat/groups/:id/messages','pm2','propertiesDefault','getProcesses','Delete\x20CustomDashboard','deleteZohoAccount','deskConfiguration','QueuesMailRealtimeController','sep','api.chatProactiveAction.update','api/cm/lists/:id/contacts/csv','SMS.SMSQUEUES','Are\x20you\x20sure\x20want\x20to\x20stop\x20the\x20plugin\x20attached\x20process?','numbersto9','Asia/Irkutsk','rpcSmsQueues','dayOfWeekFrom','VoiceMusicOnHoldId','onSearchBarExpand','zohoAccount','changepassworddialog'];(function(_0x204061,_0x4ceba9){const _0x10c680=function(_0x5cbd5e){while(--_0x5cbd5e){_0x204061['push'](_0x204061['shift']());}};_0x10c680(++_0x4ceba9);}(a0_0x10c6,0x139));const a0_0x5cbd=function(_0x204061,_0x4ceba9){_0x204061=_0x204061-0x15e;let _0x10c680=a0_0x10c6[_0x204061];return _0x10c680;};const a0_0x1c5fe4=a0_0x5cbd;(self[a0_0x1c5fe4(0xeb1)]=self[a0_0x1c5fe4(0xeb1)]||[])[a0_0x1c5fe4(0x2785)]([[0x8f],{0x2069:(_0x3a5bc0,_0x53d47d,_0x5074a3)=>{const _0x5537c6=a0_0x1c5fe4;'use strict';var _0x1eff64=_0x5074a3(0x304);;_0x412fcf['$inject']=[_0x5537c6(0x26d0)];function _0x412fcf(_0x2a6bfe){const _0x27fed1=_0x5537c6;_0x2a6bfe['interceptors'][_0x27fed1(0x2785)](_0x27fed1(0xab6));}const _0x438af2=_0x412fcf;;_0x22f002[_0x5537c6(0x15b6)]=[_0x5537c6(0x108a)];function _0x22f002(_0x2ccdc7){const _0x5c30ba=_0x5537c6,_0x4dca1d={'to':function(_0x326139){const _0x57aab9=a0_0x5cbd;return _0x326139[_0x57aab9(0xc6b)]===!![];}},_0x25965b={'to':function(_0x4be23d){const _0x3443f1=a0_0x5cbd;return _0x4be23d[_0x3443f1(0x16b6)]!=='app.login';}},_0x176ef={'to':function(_0x3ec6cb){const _0x380841=a0_0x5cbd;return _0x3ec6cb[_0x380841(0xad0)];}},_0x642bcc={'to':function(_0x3b4c64){const _0xaad309=a0_0x5cbd;return _0x3b4c64['parent'][_0xaad309(0x16b6)]===_0xaad309(0x1647);}},_0x353656=function(_0x312742){const _0x34606d=a0_0x5cbd,_0x11344d=_0x312742[_0x34606d(0x1da7)]()['get'](_0x34606d(0x1774)),_0x27242b=_0x312742[_0x34606d(0x1fe4)][_0x34606d(0x25e2)];return!_0x11344d[_0x34606d(0x8c3)]()?_0x27242b[_0x34606d(0x251d)](_0x34606d(0xf2e),undefined,{'reload':!![]}):_0x11344d[_0x34606d(0x227)]()[_0x34606d(0x1c4)](function(){const _0x32c04a=_0x34606d;return _0x27242b[_0x32c04a(0x251d)](_0x32c04a(0xf2e),undefined,{'reload':!![]});});},_0x3b5dd0=function(_0x16924f){const _0x2e2e80=a0_0x5cbd,_0x5256c3=_0x16924f[_0x2e2e80(0x1da7)]()[_0x2e2e80(0xbf7)](_0x2e2e80(0x1774)),_0x7dc828=_0x16924f['router'][_0x2e2e80(0x25e2)],_0x557ea9=_0x16924f[_0x2e2e80(0x2600)]();if(_0x5256c3[_0x2e2e80(0x22b6)]('agent')&&_0x557ea9[_0x2e2e80(0x16b6)]!='app.dashboards.general')return _0x7dc828['target'](_0x2e2e80(0x1647),{'redirect':![]},{'reload':!![]});},_0x2f3009=function(_0xd2c1e){const _0x2d17d4=a0_0x5cbd,_0x1894df=_0xd2c1e[_0x2d17d4(0x1da7)]()[_0x2d17d4(0xbf7)]('Auth'),_0xc44730=_0xd2c1e['router'][_0x2d17d4(0x25e2)],_0x3ab114=_0xd2c1e[_0x2d17d4(0x2600)]();if(_0x1894df['hasRole'](_0x2d17d4(0xebe))){if(!_0x1894df[_0x2d17d4(0x39e)](_0x3ab114[_0x2d17d4(0xad0)]))return _0xc44730['target']('app.dashboards.general',{'redirect':![]},{'reload':!![]});else{const _0x3db2fb=_0xd2c1e[_0x2d17d4(0x1dfe)]()['id']?Number(_0xd2c1e[_0x2d17d4(0x1dfe)]()['id']):undefined;if(_0x3db2fb)return _0x1894df[_0x2d17d4(0x14fb)](_0x3ab114['permissionId'],_0x3db2fb)['catch'](function(){const _0x4af18f=_0x2d17d4;return _0xc44730['target'](_0x3ab114[_0x4af18f(0xbfb)]['name'],{'redirect':![]},{'reload':!![]});});}}},_0x431c41=function(_0x5d7661){const _0x3ac4c4=a0_0x5cbd,_0x55497a=_0x5d7661[_0x3ac4c4(0x1da7)]()[_0x3ac4c4(0xbf7)](_0x3ac4c4(0x1774)),_0x552bcd=_0x5d7661['router']['stateService'];if(_0x55497a['hasRole'](_0x3ac4c4(0xebe))&&!_0x55497a['hasPermission'](0x64))return _0x552bcd[_0x3ac4c4(0x251d)](_0x3ac4c4(0x1647),{'redirect':![]},{'reload':!![]});};_0x2ccdc7[_0x5c30ba(0x1b76)](_0x4dca1d,_0x353656),_0x2ccdc7[_0x5c30ba(0x24b9)](_0x25965b,_0x3b5dd0),_0x2ccdc7[_0x5c30ba(0x23cb)](_0x176ef,_0x2f3009),_0x2ccdc7[_0x5c30ba(0x23cb)](_0x642bcc,_0x431c41);}const _0x34bac1=_0x22f002;var _0x5d5d4a=_0x5074a3(0x1956),_0x39641b=_0x5074a3['n'](_0x5d5d4a),_0x1f49e9=_0x5074a3(0x17d),_0x543b5a=_0x5074a3['n'](_0x1f49e9);;const _0xa32ae9=_0x5074a3['p']+_0x5537c6(0x2670);;_0x54228d['$inject']=['$mdDialog',_0x5537c6(0x173),'$q',_0x5537c6(0x142b),_0x5537c6(0x1f10),_0x5537c6(0x22bf)];function _0x54228d(_0x1d01f9,_0x2e0030,_0x24b8eb,_0x47a19d,_0x544342,_0x56bdda){let _0x25a1ce;const _0x387a13={'getToken':_0x4c619b,'getCurrentUser':_0xf73c37,'getPermissions':_0x306b4e,'hasChildrenPermissions':_0x13bc8c,'hasModulePermissions':_0x4d3fcc,'hasModulesPermissions':_0x1f3e97,'hasRole':_0x5b332c,'hasPermission':_0x466534,'hasResourcePermission':_0x2442d1,'hasSectionPermissions':_0x22d55f,'hasSectionsPermissions':_0x8d4cb4,'isAgent':_0x24cd69,'isAdmin':_0xb384dd,'isLoggedIn':_0x52b3d6,'isTelephone':_0x4dab37,'isUser':_0x45cae2,'login':_0x4d5e2a,'logout':_0x1993f6,'parseCrudPermissions':_0x5476ca,'queueLogin':_0x3f164c,'reloadPermissions':_0x2fe419,'removeCookies':_0x10d00c,'retrieveUser':_0x2151f0,'setCurrentUser':_0xfa22dc,'getAuthenticationType':_0x1f49bf,'loginSSO':_0x3d87db};return _0x387a13;function _0x4c619b(){const _0x460608=a0_0x5cbd;return _0x2e0030['get'](_0x460608(0x1b26));}function _0xf73c37(){return _0x25a1ce;}function _0xfa22dc(_0x53f758){const _0x5b3f26=a0_0x5cbd;_0x25a1ce=_0x39641b()[_0x5b3f26(0x9c1)](_0x25a1ce,_0x53f758);}function _0x3f164c(_0x41fff9){return _0x24b8eb(function(_0x37f3f4,_0x2e40c4){const _0x35a41e=a0_0x5cbd;_0x47a19d['user'][_0x35a41e(0x22c4)]({'id':_0x25a1ce['id'],'device':_0x35a41e(0x152f),'intrf':_0x41fff9})[_0x35a41e(0x1d77)][_0x35a41e(0x1cb0)](function(_0x3a2a4d){_0x37f3f4(_0x3a2a4d);})[_0x35a41e(0x1c4)](function(_0x2db83b){_0x2e40c4(_0x2db83b);});});}function _0x532be2(){return _0x24b8eb(function(_0x2ed853,_0x47f4fd){const _0xcea1a8=a0_0x5cbd;_0x47a19d[_0xcea1a8(0xebe)][_0xcea1a8(0x1366)]({'id':_0x25a1ce['id'],'device':_0xcea1a8(0x152f)})['$promise'][_0xcea1a8(0x1cb0)](function(){_0x2ed853();})['catch'](function(_0x4a6ca1){_0x47f4fd(_0x4a6ca1);});});}function _0x357a20(_0x170c66){return _0x24b8eb(function(_0x1ca559,_0x1e1478){const _0x4c01b1=a0_0x5cbd,_0x4702f8={'online':_0x170c66,'lastLoginAt':_0x170c66?_0x543b5a()()[_0x4c01b1(0x1f31)](_0x4c01b1(0x2e8)):undefined};_0x47a19d['user'][_0x4c01b1(0x687)]({'id':_0x25a1ce['id']},_0x4702f8)[_0x4c01b1(0x1d77)][_0x4c01b1(0x1cb0)](function(_0x54b9bd){_0x1ca559(_0x54b9bd);})[_0x4c01b1(0x1c4)](function(_0x392c4b){_0x1e1478(_0x392c4b);});});}function _0x4d5e2a(_0x55badf){return _0x24b8eb(function(_0x2d399f,_0xb9ef9){const _0xae3eaf=a0_0x5cbd;_0x47a19d[_0xae3eaf(0x2759)][_0xae3eaf(0xf11)](_0x55badf)[_0xae3eaf(0x1d77)][_0xae3eaf(0x1cb0)](function(_0xe48353){const _0x1d015a=_0xae3eaf;return _0x2e0030[_0x1d015a(0x135f)](_0x1d015a(0x1b26),_0xe48353['token']),_0x47a19d['user'][_0x1d015a(0xbf7)]({'id':_0xe48353['id']})[_0x1d015a(0x1d77)];})[_0xae3eaf(0x1cb0)](function(_0x159018){const _0x197e9e=_0xae3eaf;_0x25a1ce=_0x159018,_0x25a1ce[_0x197e9e(0x24a2)]=_0x543b5a()()[_0x197e9e(0x1f31)](_0x197e9e(0x2e8)),_0x5e9266('LOCAL_LOGIN');})[_0xae3eaf(0x1cb0)](function(){const _0x51fdea=_0xae3eaf;if(_0x24cd69()&&_0x25a1ce[_0x51fdea(0xed9)]===0x1&&_0x25a1ce[_0x51fdea(0xc1b)])return _0x1d01f9[_0x51fdea(0xe27)]({'controller':_0x51fdea(0x1c9c),'controllerAs':'vm','templateUrl':_0xa32ae9,'parent':angular['element'](_0x56bdda[_0x51fdea(0x1ed9)]),'clickOutsideToClose':![],'resolve':{'telephones':[_0x51fdea(0x1e0b),function(_0x1f0419){const _0x4365a0=_0x51fdea;return _0x1f0419[_0x4365a0(0x19a3)](_0x4365a0(0x1c86),{'fields':'id,fullname,name,internal','role':_0x4365a0(0x2922),'nolimit':!![]});}]}});})[_0xae3eaf(0x1cb0)](function(_0x51bf0b){const _0x3f49cc=_0xae3eaf;if(_0x24cd69()&&_0x25a1ce[_0x3f49cc(0xed9)]!==0x0)return _0x3f164c(_0x51bf0b);else{if(_0xb384dd()||_0x45cae2())return _0x357a20(!![]);else return;}})[_0xae3eaf(0x1cb0)](function(_0x15e77d){_0x25a1ce=_0x39641b()['assign'](_0x25a1ce,_0x15e77d),_0x2d399f();})[_0xae3eaf(0x1c4)](function(_0x4acf84){_0xb9ef9(_0x4acf84);});});}function _0x10d00c(){const _0xb798aa=a0_0x5cbd;_0x2e0030[_0xb798aa(0x152a)](_0xb798aa(0x1b26)),_0x25a1ce&&(_0x544342[_0xb798aa(0x1b83)][_0xb798aa(0xc3e)](_0xb798aa(0xff8)+_0x25a1ce['id']),_0x544342[_0xb798aa(0x1b83)][_0xb798aa(0xc3e)](_0xb798aa(0x1071)),_0x25a1ce=null);}function _0x1993f6(_0x11ebc3){return _0x24b8eb(function(_0x3ba20f,_0x3d6e21){const _0xc7a75e=a0_0x5cbd;_0x11ebc3?(_0x10d00c(),_0x3ba20f()):_0x24b8eb[_0xc7a75e(0x19a3)]()[_0xc7a75e(0x1cb0)](function(){const _0x1d89f5=_0xc7a75e;if(_0x24cd69()&&_0x25a1ce[_0x1d89f5(0xed9)]!==0x0)return _0x532be2();else{if(_0xb384dd()||_0x45cae2())return _0x357a20(![]);else return;}})[_0xc7a75e(0x1cb0)](function(){_0x10d00c(),_0x3ba20f();})['catch'](function(_0x4e80af){_0x3d6e21(_0x4e80af);});});}function _0x52b3d6(){return _0x4c619b()?!![]:![];}function _0x24cd69(){const _0x2efa1d=a0_0x5cbd;return _0x25a1ce[_0x2efa1d(0x26e6)]===_0x2efa1d(0x1eff);}function _0xb384dd(){const _0x1be1df=a0_0x5cbd;return _0x25a1ce['role']===_0x1be1df(0x1c60);}function _0x45cae2(){const _0x5acafb=a0_0x5cbd;return _0x25a1ce[_0x5acafb(0x26e6)]===_0x5acafb(0xebe);}function _0x4dab37(){const _0x20ef4f=a0_0x5cbd;return _0x25a1ce[_0x20ef4f(0x26e6)]===_0x20ef4f(0x2922);}function _0x2151f0(){return _0x24b8eb(function(_0x3a1c11,_0x41805c){const _0x1713ff=a0_0x5cbd;_0x47a19d[_0x1713ff(0xebe)][_0x1713ff(0x238d)]()[_0x1713ff(0x1d77)][_0x1713ff(0x1cb0)](function(_0x3b165f){const _0x5b4eb0=_0x1713ff;return _0x3b165f[_0x5b4eb0(0x26e6)]==='user'?_0x306b4e(_0x3b165f['userProfileId'])[_0x5b4eb0(0x1cb0)](function(_0x5e9409){const _0x17c0e8=_0x5b4eb0;return _0x3b165f[_0x17c0e8(0x6ef)]=_0x5e9409,_0x3b165f;}):_0x3b165f;})['then'](function(_0x4ac8da){_0x25a1ce=_0x4ac8da,_0x3a1c11(_0x25a1ce);})[_0x1713ff(0x1c4)](function(_0x2dfe5f){_0x41805c(_0x2dfe5f);});});}function _0x5b332c(_0x186c90){const _0xd4158f=a0_0x5cbd;return _0x25a1ce?_0x25a1ce[_0xd4158f(0x26e6)]===_0x186c90:![];}function _0x466534(_0x56cb70){const _0x5ba7a2=a0_0x5cbd;return _0x25a1ce?_0x39641b()[_0x5ba7a2(0x250a)](_0x25a1ce[_0x5ba7a2(0x6ef)],_0x56cb70):![];}function _0x306b4e(_0x2bf5cb){let _0x26a5c2=[];return _0x24b8eb(function(_0x1bfa0e,_0x519f98){const _0x1c09e1=a0_0x5cbd;return!_0x2bf5cb&&_0x1bfa0e(_0x26a5c2),_0x47a19d[_0x1c09e1(0x44a)][_0x1c09e1(0x10b2)]({'id':_0x2bf5cb})[_0x1c09e1(0x1d77)][_0x1c09e1(0x1cb0)](function(_0x2bbb9f){const _0x58d9d7=_0x1c09e1;for(let _0x3b57d1=0x0;_0x3b57d1<_0x2bbb9f[_0x58d9d7(0xfd0)];_0x3b57d1++){const _0x1ef2f6=_0x2bbb9f[_0x3b57d1];_0x26a5c2[_0x58d9d7(0x2785)](_0x1ef2f6[_0x58d9d7(0xec6)]),_0x1ef2f6[_0x58d9d7(0x26fd)]&&(_0x26a5c2=_0x39641b()[_0x58d9d7(0x298a)](_0x26a5c2,_0x1ef2f6['subsections']));}return _0x26a5c2;})['then'](function(_0x500d71){_0x1bfa0e(_0x500d71);})['catch'](function(_0x51c14d){const _0x30b11a=_0x1c09e1;_0x519f98(_0x30b11a(0x1b71),_0x51c14d);});});}function _0x13bc8c(_0x2aac0a){const _0x1a867b=a0_0x5cbd;if(!_0x2aac0a)return![];return _0x39641b()[_0x1a867b(0x727)](_0x2aac0a,function(_0x16101b){return _0x466534(_0x16101b);});}function _0x2fe419(){return _0x24b8eb(function(_0x90c3be,_0x38c506){const _0x155985=a0_0x5cbd;_0x306b4e(_0x25a1ce[_0x155985(0x13c1)])[_0x155985(0x1cb0)](function(_0x1b1eac){const _0x116b3d=_0x155985;_0x25a1ce[_0x116b3d(0x6ef)]=_0x1b1eac,_0x90c3be();})[_0x155985(0x1c4)](function(_0x2bbb4b){_0x38c506(_0x2bbb4b);});});}function _0x5476ca(_0x4a9bf3){const _0x1ecec1=a0_0x5cbd;return _0xb384dd()?{'readOnly':![],'canEdit':!![],'canDelete':!![]}:!_0x4a9bf3?{'readOnly':!![],'canEdit':![],'canDelete':![]}:{'readOnly':_0x39641b()[_0x1ecec1(0x250a)](_0x4a9bf3,'r')&&_0x4a9bf3[_0x1ecec1(0xfd0)]===0x1?!![]:![],'canEdit':_0x39641b()[_0x1ecec1(0x250a)](_0x4a9bf3,'e')?!![]:![],'canDelete':_0x39641b()[_0x1ecec1(0x250a)](_0x4a9bf3,'d')?!![]:![]};}function _0x2442d1(_0x5eb1ed,_0x4037b5){return _0x24b8eb(function(_0x386964,_0x1efba4){const _0x43b94d=a0_0x5cbd;return _0x47a19d['userProfileSection'][_0x43b94d(0xbf7)]({'userProfileId':_0x25a1ce[_0x43b94d(0x13c1)],'sectionId':_0x5eb1ed})[_0x43b94d(0x1d77)][_0x43b94d(0x1cb0)](function(_0x142990){const _0x165172=_0x43b94d,_0x46f516=_0x142990[_0x165172(0x2214)][0x0];if(_0x46f516[_0x165172(0x12f4)])_0x386964();else return _0x47a19d[_0x165172(0x1198)][_0x165172(0xbf7)]({'sectionId':_0x46f516['id'],'nolimit':!![]})[_0x165172(0x1d77)]['then'](function(_0x127b86){const _0x3f8008=_0x165172,_0x3c1dd2=_0x127b86[_0x3f8008(0x2214)];_0x39641b()[_0x3f8008(0x727)](_0x3c1dd2,[_0x3f8008(0x2982),_0x4037b5])?_0x386964():_0x1efba4();});})[_0x43b94d(0x1c4)](function(_0x3d9402){const _0x201a0e=_0x43b94d;_0x1efba4(_0x201a0e(0x1b71),_0x3d9402);});});}function _0x22d55f(_0x2b8314){return _0x24b8eb(function(_0x326cb2,_0x15e1c7){const _0x16eda8=a0_0x5cbd,_0x2223f1={};return _0x2fe419()[_0x16eda8(0x1cb0)](function(){const _0xe3300c=_0x16eda8;_0x39641b()[_0xe3300c(0x250a)](_0x25a1ce[_0xe3300c(0x6ef)],_0x2b8314)&&(_0x2223f1[_0x2b8314]=!![]),_0x326cb2(_0x2223f1);})[_0x16eda8(0x1c4)](function(_0x1c2ff9){_0x15e1c7(_0x1c2ff9);});});}function _0x8d4cb4(_0x35f177){return _0x24b8eb(function(_0x475a58,_0x2f3f93){const _0x4f5ea7=a0_0x5cbd,_0x18a346={};if(_0xb384dd())_0x35f177[_0x4f5ea7(0xf90)](function(_0x1f257a){_0x18a346[_0x1f257a]=!![];}),_0x475a58(_0x18a346);else return _0x2fe419()[_0x4f5ea7(0x1cb0)](function(){const _0xb811a9=_0x4f5ea7;_0x35f177[_0xb811a9(0xf90)](function(_0x158833){const _0x232fd7=_0xb811a9;_0x39641b()[_0x232fd7(0x250a)](_0x25a1ce['permissions'],_0x158833)&&(_0x18a346[_0x158833]=!![]);}),_0x475a58(_0x18a346);})['catch'](function(_0x365254){_0x2f3f93(_0x365254);});});}function _0x4d3fcc(_0x38d8ed){return _0x24b8eb(function(_0x53f5b1,_0x5a8f9c){const _0x52e033=a0_0x5cbd;_0xb384dd()?_0x53f5b1(!![]):_0x47a19d[_0x52e033(0x2199)][_0x52e033(0xbf7)]({'userProfileId':_0x25a1ce[_0x52e033(0x13c1)]})[_0x52e033(0x1d77)][_0x52e033(0x1cb0)](function(_0x37e621){const _0x579665=_0x52e033,_0x272380=_0x37e621&&_0x37e621['rows']?_0x37e621['rows']:[];if(!_0x39641b()[_0x579665(0xce9)](_0x272380)){const _0x464256=_0x39641b()[_0x579665(0x1c99)](_0x272380,function(_0x1e0a55){const _0x3c96fd=_0x579665;return _0x1e0a55[_0x3c96fd(0x281c)];});_0x53f5b1(_0x39641b()[_0x579665(0x727)](_0x464256,['category',_0x38d8ed]));}else _0x53f5b1(![]);})[_0x52e033(0x1c4)](function(_0x3ff0e5){_0x5a8f9c(_0x3ff0e5);});});}function _0x1f3e97(_0x42e0a8){return _0x24b8eb(function(_0x92e7ef,_0x53c99a){const _0x3b065f=a0_0x5cbd,_0x24f11c={};_0xb384dd()?(_0x42e0a8[_0x3b065f(0xf90)](function(_0x1ec8de){_0x24f11c[_0x1ec8de]=!![];}),_0x92e7ef(_0x24f11c)):_0x47a19d[_0x3b065f(0x2199)]['get']({'userProfileId':_0x25a1ce[_0x3b065f(0x13c1)]})['$promise'][_0x3b065f(0x1cb0)](function(_0x562651){const _0x36be6e=_0x3b065f,_0xf1ac9d=_0x562651&&_0x562651[_0x36be6e(0x2214)]?_0x562651[_0x36be6e(0x2214)]:[];if(!_0x39641b()[_0x36be6e(0xce9)](_0xf1ac9d)){const _0x5725dd=_0x39641b()(_0xf1ac9d)[_0x36be6e(0x1c99)](function(_0x27ff36){const _0xc00b7=_0x36be6e;return _0x27ff36['enabled']&&_0x39641b()[_0xc00b7(0x250a)](_0x42e0a8,_0x27ff36[_0xc00b7(0x12aa)]);})['uniqBy'](function(_0x409815){const _0x22c11a=_0x36be6e;return _0x409815[_0x22c11a(0x12aa)];})['map'](function(_0x55bc61){const _0x8d9cd6=_0x36be6e;return _0x55bc61[_0x8d9cd6(0x12aa)];})[_0x36be6e(0x327)]();_0x5725dd[_0x36be6e(0xf90)](function(_0x5e4d0a){_0x24f11c[_0x5e4d0a]=!![];}),_0x92e7ef(_0x24f11c);}else _0x92e7ef({});})[_0x3b065f(0x1c4)](function(_0x1a7441){_0x53c99a(_0x1a7441);});});}function _0x1f49bf(){const _0x548b83=a0_0x5cbd;return _0x544342[_0x548b83(0x1b83)][_0x548b83(0x1071)]||_0x548b83(0xd1c);}function _0x5e9266(_0x357edd){const _0x7dedea=a0_0x5cbd;_0x544342[_0x7dedea(0x1b83)][_0x7dedea(0x1071)]=_0x357edd;}function _0x3d87db(_0xcdc8e9){const _0x7f871c=a0_0x5cbd;return _0x5e9266(_0x7f871c(0x1567)),_0x7f871c(0x1ff8)+_0xcdc8e9;}}const _0x6726b8=_0x54228d;;_0x4671d[_0x5537c6(0x15b6)]=['$mdDialog',_0x5537c6(0x5f8)];function _0x4671d(_0x5d606a,_0x3786ac){const _0x5d79a6=_0x5537c6,_0x1be790=this;function _0x2e1225(_0x32e9d0){const _0x271df7=a0_0x5cbd;_0x5d606a[_0x271df7(0x1426)](_0x32e9d0);}_0x1be790[_0x5d79a6(0x5f8)]=_0x3786ac,_0x1be790[_0x5d79a6(0xda0)]=_0x2e1225,_0x3786ac['count']&&(_0x1be790['name']=_0x3786ac[_0x5d79a6(0x2214)][0x0][_0x5d79a6(0x16b6)]);}const _0x43d701=_0x4671d;;_0x12fedf[_0x5537c6(0x15b6)]=['$rootScope','$q',_0x5537c6(0x173),_0x5537c6(0x1476),'Util'];function _0x12fedf(_0x50e0e0,_0x2c7f91,_0x500583,_0x4cf6a2,_0x139f46){let _0x1c7cf7;return{'request':function(_0x2e828a){const _0x588d7c=a0_0x5cbd,_0x584ba6=_0x500583['get'](_0x588d7c(0x1b26));return _0x2e828a[_0x588d7c(0x5e7)]=_0x2e828a['headers']||{},(![]||_0x139f46[_0x588d7c(0xb86)](_0x2e828a[_0x588d7c(0x135b)]))&&_0x584ba6&&(_0x2e828a[_0x588d7c(0x5e7)][_0x588d7c(0x18e0)]=_0x588d7c(0x1923)+_0x584ba6),_0x2e828a;},'responseError':function(_0x2aba5d){const _0x622b2e=a0_0x5cbd;switch(_0x2aba5d[_0x622b2e(0x291)]){case 0x191:_0x500583[_0x622b2e(0x152a)](_0x622b2e(0x1b26)),(_0x1c7cf7||(_0x1c7cf7=_0x4cf6a2['get'](_0x622b2e(0x406))))['go'](_0x622b2e(0xf2e));break;case 0x194:break;default:break;}return _0x2c7f91[_0x622b2e(0x988)](_0x2aba5d);}};}const _0x119b3d=_0x12fedf;;_0xf58513[_0x5537c6(0x15b6)]=['$window'];function _0xf58513(_0x127b91){const _0x1d5ae4={'safeCb':function(_0x55a6db){const _0xda321c=a0_0x5cbd;return angular[_0xda321c(0x1e2c)](_0x55a6db)?_0x55a6db:angular[_0xda321c(0x1234)];},'urlParse':function(_0x3042ef){const _0x4ff43f=a0_0x5cbd,_0x17b216=document['createElement']('a');return _0x17b216[_0x4ff43f(0x105b)]=_0x3042ef,_0x17b216[_0x4ff43f(0x17d8)]===''&&(_0x17b216['href']=_0x17b216[_0x4ff43f(0x105b)]),_0x17b216;},'isSameOrigin':function(_0x4a5cb6,_0x19e096){const _0x23fe3c=a0_0x5cbd;return _0x4a5cb6=_0x1d5ae4[_0x23fe3c(0x183c)](_0x4a5cb6),_0x19e096=_0x19e096&&[][_0x23fe3c(0x298a)](_0x19e096)||[],_0x19e096=_0x19e096[_0x23fe3c(0x1de2)](_0x1d5ae4[_0x23fe3c(0x183c)]),_0x19e096[_0x23fe3c(0x2785)](_0x127b91[_0x23fe3c(0x2404)]),_0x19e096=_0x19e096[_0x23fe3c(0x1c99)](function(_0x13acf1){const _0x3dc49a=_0x23fe3c,_0x2df95a=_0x4a5cb6[_0x3dc49a(0x172a)]===_0x13acf1[_0x3dc49a(0x172a)],_0x2c28dc=_0x4a5cb6[_0x3dc49a(0x2276)]===_0x13acf1[_0x3dc49a(0x2276)],_0x3f9459=_0x4a5cb6['port']===_0x13acf1[_0x3dc49a(0x477)]||_0x13acf1['port']===''&&(_0x4a5cb6['port']==='80'||_0x4a5cb6[_0x3dc49a(0x477)]===_0x3dc49a(0x2138));return _0x2df95a&&_0x2c28dc&&_0x3f9459;}),_0x19e096[_0x23fe3c(0xfd0)]>=0x1;}};return _0x1d5ae4;}const _0x3a7106=_0xf58513;;angular[_0x5537c6(0x9ab)]('app.auth',[])['config'](_0x438af2)[_0x5537c6(0x19fd)](_0x34bac1)[_0x5537c6(0x234a)](_0x5537c6(0x1774),_0x6726b8)[_0x5537c6(0x6e5)]('HotDeskDialogController',_0x43d701)['factory'](_0x5537c6(0xab6),_0x119b3d)[_0x5537c6(0x234a)](_0x5537c6(0xb64),_0x3a7106);var _0x466db3=_0x5074a3(0xca2),_0x5a67ab=_0x5074a3(0x1e94),_0x2f5c13=_0x5074a3(0x1e80),_0x3d253b=_0x5074a3(0x11ee),_0x5b7dab=_0x5074a3(0x36e),_0x2fa6fd=_0x5074a3(0x1bdb),_0x1704b8=_0x5074a3(0x1c17),_0x3e1c1a=_0x5074a3(0x813),_0x122f80=_0x5074a3(0xdff),_0x36dcea=_0x5074a3(0x251),_0x289af0=_0x5074a3(0xdf),_0x194361=_0x5074a3(0x1299),_0x1850b3=_0x5074a3(0x177),_0x1401c0=_0x5074a3(0x573);;function _0x26f349(){const _0x54fc45=_0x5537c6;let _0x300d8b={'disableCustomScrollbars':![],'disableMdInkRippleOnMobile':!![],'disableCustomScrollbarsOnMobile':!![]};function _0x232820(_0x4946d2){const _0x16cf37=a0_0x5cbd;_0x300d8b=angular[_0x16cf37(0x2765)]({},_0x300d8b,_0x4946d2);}function _0x2505cb(_0x5d036d){const _0x57b1ee=a0_0x5cbd;if(angular[_0x57b1ee(0x2051)](_0x300d8b[_0x5d036d]))return![];return _0x300d8b[_0x5d036d];}function _0x4f7073(_0x3fbf1f,_0x2897f1){_0x300d8b[_0x3fbf1f]=_0x2897f1;}this[_0x54fc45(0x1c22)]=function(){const _0x42e10f={'getConfig':_0x2505cb,'setConfig':_0x4f7073};return _0x42e10f;},this[_0x54fc45(0xa60)]=_0x232820;}const _0x1670b3=_0x26f349;;_0x538d1b[_0x5537c6(0x15b6)]=[_0x5537c6(0x40b),_0x5537c6(0x1880),_0x5537c6(0x6c4),_0x5537c6(0x2950)];function _0x538d1b(_0x3ef7f5,_0x596972,_0x2b27ed,_0x2646d7){const _0xfcad4f=_0x5537c6;_0x596972[_0xfcad4f(0x3cb)](!![]),_0x3ef7f5[_0xfcad4f(0xa60)]({'tabindex':![]}),_0x2646d7[_0xfcad4f(0xa60)]({'disableCustomScrollbars':![],'disableCustomScrollbarsOnMobile':!![],'disableMdInkRippleOnMobile':!![]}),_0x2b27ed[_0xfcad4f(0xa60)]({'wheelPropagation':!![]});}const _0x4fd259=_0x538d1b;;_0x10a6ba[_0x5537c6(0x15b6)]=['msUtils','motionGenerator','motionConfig'];function _0x10a6ba(_0x7dc062,_0x4b23f0,_0x24ddd1){const _0x4fb522=_0x5537c6;_0x4b23f0['generate']();if(_0x24ddd1[_0x4fb522(0x226a)](_0x4fb522(0xe7f))&&_0x7dc062[_0x4fb522(0xef3)]()){const _0x33087c=angular['element'](_0x4fb522(0x1ed9));_0x33087c[_0x4fb522(0x1fc9)](_0x4fb522(0xada),!![]);}_0x7dc062[_0x4fb522(0xef3)]()&&angular[_0x4fb522(0x1853)]('html')[_0x4fb522(0x1390)](_0x4fb522(0xe07));const _0xc29e9b=_0x7dc062[_0x4fb522(0x21b9)]();if(_0xc29e9b){const _0xa74d3e=_0xc29e9b[_0x4fb522(0x64f)]+'\x20'+_0xc29e9b[_0x4fb522(0x23cc)]+'\x20'+_0xc29e9b['os'];angular[_0x4fb522(0x1853)](_0x4fb522(0x1d35))[_0x4fb522(0x1390)](_0xa74d3e);}}const _0x5b3e40=_0x10a6ba;;_0x293f83[_0x5537c6(0x15b6)]=[_0x5537c6(0x1f10),_0x5537c6(0xcb9),_0x5537c6(0x1774),_0x5537c6(0x28b8),'fields',_0x5537c6(0x1fc2)];function _0x293f83(_0x575c45,_0x2b1353,_0x378852,_0x4ee6db,_0x3f8cc7,_0x1a79d1){const _0x41f28a=_0x5537c6,_0x2f715a=this;_0x2f715a[_0x41f28a(0xe76)]=_0x378852[_0x41f28a(0x21e8)](),_0x2f715a[_0x41f28a(0x1df4)]={'matchType':_0x41f28a(0x892),'conditions':[],'fields':_0x3f8cc7},_0x2f715a[_0x41f28a(0x902)]=_0x4ee6db,_0x2f715a[_0x41f28a(0x1a34)]=_0x171f5c,_0x2f715a[_0x41f28a(0x23c3)]=_0x35d0c4,_0x2f715a['clearConditions']=_0x44dc5c,_0x2f715a[_0x41f28a(0x1812)]=_0x1952d1,_0x2f715a[_0x41f28a(0xf3b)]=_0x4aeff5;function _0x171f5c(){const _0x5c3a09=_0x41f28a;if(_0x1a79d1){const _0x1c84a8=_0x23694d();typeof _0x1c84a8[_0x5c3a09(0x16ad)]!==_0x5c3a09(0x16b5)&&(_0x2f715a[_0x5c3a09(0x1df4)]=_0x39641b()[_0x5c3a09(0x9c1)](_0x2f715a[_0x5c3a09(0x1df4)],_0x1c84a8[_0x5c3a09(0x16ad)]));}}function _0x35d0c4(){const _0x1b9390=_0x41f28a,_0x2bb2fa=_0x39641b()[_0x1b9390(0x1c99)](_0x2f715a[_0x1b9390(0x1df4)][_0x1b9390(0x14dc)],[_0x1b9390(0x379),![]]);let _0x5742ba='['+_0x2f715a['searchOptions'][_0x1b9390(0x92b)]+']';const _0x26aa65=[];let _0xbfb10d='';_0x39641b()(_0x2bb2fa)[_0x1b9390(0x1374)]('name')[_0x1b9390(0xf90)](function(_0x3a9ccd){const _0x2502bb=_0x1b9390,_0x43c793=_0x3a9ccd[0x0],_0x2adcd5=_0x3a9ccd[_0x2502bb(0xfd0)]>0x1?!![]:![],_0x510894=_0x43c793[_0x2502bb(0xae2)][_0x2502bb(0xa9c)];if(_0x2adcd5){const _0x1ceed5=_0x39641b()(_0x3a9ccd)['groupBy'](function(_0x12eef8){const _0x171901=_0x2502bb;return _0x12eef8[_0x171901(0xae2)][_0x171901(0x1541)];})[_0x2502bb(0x1db)](function(_0x49346b){const _0x11c156=_0x2502bb;return _0x39641b()[_0x11c156(0x257)](_0x49346b)[_0x11c156(0x1de2)](function(_0x1a9c9b){const _0x4d7615=_0x11c156;return _0x1a9c9b[_0x4d7615(0xae2)]['value'];})['flattenDeep']()[_0x11c156(0x327)]();})[_0x2502bb(0x327)](),_0x8f7438=[];_0x39641b()[_0x2502bb(0x1be5)](_0x1ceed5)[_0x2502bb(0xf90)](function(_0x53c08a){const _0x3ccfb7=_0x2502bb,_0x38a326=_0x1ceed5[_0x53c08a];let _0xd4ad36=_0x53c08a;_0x39641b()['includes']([_0x3ccfb7(0x72d),'$in','$notIn'],_0x53c08a)?_0xd4ad36+='['+_0x38a326+']':_0x38a326[_0x3ccfb7(0xf90)](function(_0x3884dd){_0xd4ad36+='['+_0x3884dd+']';}),_0x8f7438['push'](_0xd4ad36);}),_0xbfb10d+=_0x510894+':='+_0x8f7438[_0x2502bb(0x1f66)](':=');}else _0xbfb10d=_0x510894+':='+_0x43c793[_0x2502bb(0xae2)]['operator']+'['+_0x43c793[_0x2502bb(0xae2)][_0x2502bb(0x327)]+']';_0x26aa65['push'](_0xbfb10d);}),_0x5742ba+=_0x26aa65[_0x1b9390(0x1f66)]('||'),_0x5b36c2(),_0x2b1353[_0x1b9390(0x1426)](_0x5742ba);}function _0x44dc5c(){const _0x2f317d=_0x41f28a;_0x2f715a[_0x2f317d(0x1df4)][_0x2f317d(0x14dc)]=[],_0x227a36();}function _0x1952d1(){_0x5b36c2(),_0x2b1353['hide']();}function _0x4aeff5(){const _0x4c9362=_0x41f28a;_0x2b1353[_0x4c9362(0x1426)](![]);}function _0x23694d(){const _0xea98=_0x41f28a,_0x4c63d7=_0x575c45[_0xea98(0x1b83)][_0xea98(0x2091)](_0xea98(0x1b80)+_0x1a79d1+':'+_0x2f715a['currentUser']['id']);return _0x4c63d7?JSON['parse'](_0x4c63d7):{};}function _0x48aaca(_0x4a6f04){const _0x3f131a=_0x41f28a;_0x575c45[_0x3f131a(0x1b83)][_0x3f131a(0x13a8)](_0x3f131a(0x1b80)+_0x1a79d1+':'+_0x2f715a[_0x3f131a(0xe76)]['id'],JSON[_0x3f131a(0x2701)](_0x4a6f04));}function _0x5b36c2(){const _0xe70be3=_0x41f28a;if(_0x1a79d1){const _0x2c36e7=_0x23694d();_0x2c36e7[_0xe70be3(0x16ad)]=_0x39641b()['omit'](_0x2f715a[_0xe70be3(0x1df4)],_0xe70be3(0x355)),_0x48aaca(_0x2c36e7);}}function _0x227a36(){const _0x56f874=_0x41f28a;if(_0x1a79d1){const _0x245bca=_0x23694d();delete _0x245bca['advancedSearch'];if(_0x245bca['query'])delete _0x245bca[_0x56f874(0xae2)]['search'];_0x48aaca(_0x245bca);}}}const _0x1d878c=_0x293f83;;_0x20c500['$inject']=[_0x5537c6(0x2168)];function _0x20c500(_0x2d003f){return{'restrict':'A','link':function(_0x1c8d29,_0x38263c,_0x4a073a){const _0x2de7ba=a0_0x5cbd;_0x1c8d29['$watch'](_0x4a073a[_0x2de7ba(0xd91)],function(_0x3bd1f4){_0x2d003f(function(){const _0x31691f=a0_0x5cbd;_0x3bd1f4?_0x38263c[0x0][_0x31691f(0xbfd)]():_0x38263c[0x0][_0x31691f(0x1b57)]();});});}};}const _0x4efee2=_0x20c500;var _0x86b41d=_0x5074a3(0x1433),_0xa64f92=_0x5074a3['n'](_0x86b41d);;_0x2be9e6[_0x5537c6(0x15b6)]=[_0x5537c6(0x2168),'$q',_0x5537c6(0x73d)];function _0x2be9e6(_0x21113f,_0x56b6b5,_0x38a016){return{'restrict':'E','compile':function(_0x234f67,_0x132dc0){const _0x5c0f4c=a0_0x5cbd;let _0x66add0;return!_0x132dc0[_0x5c0f4c(0x23ae)]&&(_0x66add0=_0x234f67[_0x5c0f4c(0x1d35)](),_0x234f67[_0x5c0f4c(0x999)]()),function(_0x147352,_0x279718,_0x2f139e){const _0x25a329=_0x5c0f4c;_0x2f139e[_0x25a329(0x23ae)]&&(_0x66add0=_0x147352[_0x25a329(0x674)](_0x2f139e[_0x25a329(0x23ae)]));const _0x4822b8=_0x147352[_0x25a329(0x674)](_0x2f139e['shouldInterpolate']);_0x56b6b5[_0x25a329(0xb20)](_0x66add0)[_0x25a329(0x1cb0)](function(_0x4f56dc){const _0x30fc37=_0x25a329;if(_0x4f56dc){_0x4822b8&&(_0x4f56dc=_0x38a016(_0x4f56dc)(_0x147352));const _0x3bda72=angular[_0x30fc37(0x1853)](_0x30fc37(0xb69));_0x279718[_0x30fc37(0x207)](_0x3bda72),_0x21113f(function(){_0x27e31b(_0x4f56dc,_0x3bda72);},0x22,![]);}});function _0x27e31b(_0x14beef,_0x22a78a){const _0x2c2e9e=_0x25a329,_0x4b1cc2=_0x22a78a[_0x2c2e9e(0x13b4)](_0x2c2e9e(0x23ae));let _0x1930a0=_0x14beef['split']('\x0a');_0x1930a0=_0x1930a0[_0x2c2e9e(0x1c99)](function(_0xc49ad9){const _0x3c260b=_0x2c2e9e;return _0xc49ad9[_0x3c260b(0x1730)]()[_0x3c260b(0xfd0)];});const _0x39f9ab=_0x1930a0[0x0][_0x2c2e9e(0x1b7f)](/^\s*/)[0x0],_0x1b990a=new RegExp('^'+_0x39f9ab);_0x1930a0=_0x1930a0['map'](function(_0x3f2302){const _0x2ae6c9=_0x2c2e9e;return _0x3f2302[_0x2ae6c9(0x288f)](_0x1b990a,'')[_0x2ae6c9(0x288f)](/\s+$/,'');});const _0x3b8d1d=_0xa64f92()[_0x2c2e9e(0x17fd)](_0x2f139e['language']||_0x2f139e['lang'],_0x1930a0[_0x2c2e9e(0x1f66)]('\x0a'),!![]);_0x3b8d1d[_0x2c2e9e(0x327)]=_0x3b8d1d[_0x2c2e9e(0x327)]['replace'](/=""<\/span>/gi,'')[_0x2c2e9e(0x288f)](_0x2c2e9e(0xe30),'')['replace'](_0x2c2e9e(0xf97),''),_0x4b1cc2['append'](_0x3b8d1d[_0x2c2e9e(0x327)])[_0x2c2e9e(0x1390)](_0x2c2e9e(0x17fd));}};}};}const _0x3ec407=_0x2be9e6;;const _0x16977e=_0x5074a3['p']+'src/js/modules/core/directives/ms-advanced-search/ms-advanced-search.html/ms-advanced-search.html';;_0x34db0e[_0x5537c6(0x15b6)]=[_0x5537c6(0x1ae),'$q',_0x5537c6(0x142b),'toasty'];function _0x49e4b1(){return{'restrict':'E','scope':{'options':'=','clearFilter':'&'},'controller':_0x34db0e,'controllerAs':'vm','bindToController':!![],'templateUrl':_0x16977e};}function _0x34db0e(_0x1b6ea8,_0x4409f4,_0x16fda4,_0x442409){const _0x360381=_0x5537c6,_0x4fba66=this;_0x4fba66[_0x360381(0x1698)]=[{'type':_0x360381(0x1c5e),'symbol':'=','translate':_0x360381(0x1322),'excludeFrom':[_0x360381(0x1d50)]},{'type':_0x360381(0x15ce),'symbol':'≠','translate':_0x360381(0x4c7),'excludeFrom':[_0x360381(0x1d50)]},{'type':_0x360381(0xca4),'symbol':'∈','translate':'IS_AMONG','applyTo':[_0x360381(0x1d50)]},{'type':_0x360381(0x62d),'symbol':'∉','translate':_0x360381(0x1d3a),'applyTo':[_0x360381(0x1d50)]},{'type':_0x360381(0x1fb1),'symbol':'⊃','translate':_0x360381(0x1228),'applyTo':[_0x360381(0x19d3)]},{'type':_0x360381(0x1b32),'symbol':_0x360381(0xe63),'translate':_0x360381(0x595),'applyTo':[_0x360381(0x19d3)]},{'type':'$endsWith','symbol':'..a','translate':_0x360381(0x2124),'applyTo':[_0x360381(0x19d3)]},{'type':_0x360381(0x264),'symbol':'<','translate':_0x360381(0x28f9),'applyTo':[_0x360381(0x18ec),_0x360381(0x83d)]},{'type':_0x360381(0x1792),'symbol':'>','translate':'IS_GREATER_THAN','applyTo':[_0x360381(0x18ec),'number']},{'type':'$lte','symbol':'≤','translate':_0x360381(0x1a1b),'applyTo':[_0x360381(0x18ec),_0x360381(0x83d)]},{'type':_0x360381(0x190f),'symbol':'≥','translate':_0x360381(0x6ea),'applyTo':[_0x360381(0x18ec),_0x360381(0x83d)]},{'type':_0x360381(0x72d),'symbol':'≬','translate':_0x360381(0x8c4),'applyTo':[_0x360381(0x18ec),_0x360381(0x83d)]}],_0x4fba66[_0x360381(0x1037)]=_0x4fba66[_0x360381(0x1698)],_0x4fba66[_0x360381(0x1dc7)]=_0xfd749b,_0x4fba66[_0x360381(0xcb2)]=_0x2ca777,_0x4fba66[_0x360381(0x1f6)]=_0x290160,_0x4fba66[_0x360381(0x20fd)]=_0x25c411,_0x4fba66[_0x360381(0x256)]=_0x2b654a,_0x4fba66[_0x360381(0xc95)]=_0x30f24e,_0x4fba66[_0x360381(0x1501)]=_0x4e694e,_0x4fba66[_0x360381(0x2564)]=_0x215100,_0x4fba66[_0x360381(0x11e0)]=_0x3401c1,_0x4fba66[_0x360381(0xcba)]=_0x4d50e1,_0x4fba66['checkRange']=_0x48c460,_0x4fba66['handleKeyboardAction']=_0x59aeb4;function _0x215100(){const _0x4760ef=_0x360381;_0x4fba66[_0x4760ef(0x327)]=undefined,_0x4fba66[_0x4760ef(0x270)]=undefined,_0x4fba66['searchText']=undefined,_0x4fba66[_0x4760ef(0x688)]=![],_0x4531a5(),_0x4fba66['autoSelectOperator']=_0x4fba66[_0x4760ef(0x1698)][_0x4760ef(0xfd0)]===0x1?!![]:![];if(!_0x4fba66[_0x4760ef(0x682)])_0x4fba66['selectedOperator']=undefined;}function _0x3401c1(_0x6c4f4){const _0x10efc9=_0x360381;_0x4fba66[_0x10efc9(0x16d8)]=!![],_0x4fba66['selectedOperator']&&(_0x6c4f4?_0x6c4f4[_0x10efc9(0xa34)]?_0x39641b()['difference'](_0x4fba66[_0x10efc9(0xed2)][_0x10efc9(0xa34)],_0x6c4f4['applyTo'])[_0x10efc9(0xfd0)]>0x0&&(_0x4fba66[_0x10efc9(0x327)]=null):_0x4fba66['selectedOperator']['applyTo']&&(_0x4fba66[_0x10efc9(0x327)]=null):_0x4fba66[_0x10efc9(0x327)]=null,_0x4fba66[_0x10efc9(0xed2)]['type']!=_0x10efc9(0x33c)&&(_0x4fba66[_0x10efc9(0x270)]=null),_0x4fba66[_0x10efc9(0x14db)]['options']&&!_0x39641b()[_0x10efc9(0xce9)](_0x4fba66[_0x10efc9(0x14db)][_0x10efc9(0x2224)][_0x10efc9(0x127c)])&&(_0x4fba66[_0x10efc9(0xed2)][_0x10efc9(0x2359)]=_0x39641b()['some'](_0x4fba66[_0x10efc9(0x14db)]['options'][_0x10efc9(0x127c)],function(_0x58c410){const _0x2c275c=_0x10efc9;return _0x58c410===_0x4fba66[_0x2c275c(0xed2)][_0x2c275c(0x66a)];}))),_0x5b6207();}function _0x4d50e1(){const _0x3dd7ff=_0x360381;if(_0x4fba66['selectedOperator']&&_0x4fba66[_0x3dd7ff(0xed2)][_0x3dd7ff(0x66a)]===_0x3dd7ff(0x72d)){if(_0x4fba66[_0x3dd7ff(0x14db)][_0x3dd7ff(0x66a)]===_0x3dd7ff(0x83d))_0x48c460();else _0x4fba66[_0x3dd7ff(0x14db)][_0x3dd7ff(0x66a)]===_0x3dd7ff(0x18ec)&&(_0x4fba66['minDateRange']=_0x543b5a()(_0x4fba66[_0x3dd7ff(0x327)])['add'](0x1,_0x3dd7ff(0x1a5)),_0x5b6207());}else _0x5b6207();}function _0x4531a5(){const _0x2537b4=_0x360381,_0x38b878=_0x4fba66[_0x2537b4(0x14db)]['options']&&!_0x39641b()[_0x2537b4(0xce9)](_0x4fba66[_0x2537b4(0x14db)][_0x2537b4(0x2224)]['extraOperators']),_0x487e0b=_0x4fba66[_0x2537b4(0x14db)][_0x2537b4(0x2224)]&&!_0x39641b()[_0x2537b4(0xce9)](_0x4fba66['selectedField']['options'][_0x2537b4(0x9db)]);_0x4fba66[_0x2537b4(0x1698)]=_0x39641b()['filter'](_0x4fba66[_0x2537b4(0x1037)],function(_0x3e18f8){const _0x23bfd5=_0x2537b4,_0x3f2478=!_0x3e18f8[_0x23bfd5(0xa34)]||_0x39641b()[_0x23bfd5(0x250a)](_0x3e18f8[_0x23bfd5(0xa34)],_0x4fba66[_0x23bfd5(0x14db)][_0x23bfd5(0x66a)]);_0x3e18f8[_0x23bfd5(0x2359)]=_0x38b878&&_0x39641b()[_0x23bfd5(0x727)](_0x4fba66[_0x23bfd5(0x14db)]['options']['extraOperators'],function(_0x194dd7){const _0x5e76c9=_0x23bfd5;return _0x194dd7===_0x3e18f8[_0x5e76c9(0x66a)];});const _0x36f191=_0x39641b()['includes'](_0x3e18f8[_0x23bfd5(0x1d5c)],_0x4fba66[_0x23bfd5(0x14db)]['type'])||_0x487e0b&&_0x39641b()[_0x23bfd5(0x250a)](_0x4fba66[_0x23bfd5(0x14db)][_0x23bfd5(0x2224)][_0x23bfd5(0x9db)],_0x3e18f8[_0x23bfd5(0x66a)]);if((_0x3f2478||_0x3e18f8[_0x23bfd5(0x2359)])&&!_0x36f191)return _0x3e18f8;});}function _0x4e694e(){const _0x4b5650=_0x360381,_0x1e67ef=angular['copy'](_0x4fba66['selectedField'][_0x4b5650(0x2224)][_0x4b5650(0x15d0)]);if(_0x4fba66[_0x4b5650(0x14db)][_0x4b5650(0x66a)]===_0x4b5650(0x19e0))for(let _0x610a2=0x0;_0x610a2Number(_0x4fba66[_0x360910(0x327)])?!![]:![]:_0x4fba66[_0x360910(0x16d8)]=!![];else _0x4fba66['selectedField'][_0x360910(0x66a)]===_0x360910(0x18ec)&&(_0x4fba66[_0x360910(0x8ac)]=_0x543b5a()(_0x4fba66['endRangeValue'])[_0x360910(0x1030)](0x1,_0x360910(0x1a5)),_0x4fba66[_0x360910(0x16d8)]=!![]);_0x5b6207();}function _0xfd749b(){const _0x1448a1=_0x360381,_0x511883=_0x4f65ca();_0x4fba66[_0x1448a1(0x2224)][_0x1448a1(0x14dc)][_0x1448a1(0x2785)](_0x511883),_0x47ee32();}function _0x2ca777(_0x84e2b1){const _0x133344=_0x360381;_0x4fba66[_0x133344(0x514)]=_0x84e2b1,_0x4fba66['pendingCondition'][_0x133344(0x613)]=_0x133344(0x1ad6),_0x4fba66['selectedField']=_0x39641b()[_0x133344(0x13b4)](_0x4fba66['options'][_0x133344(0x355)],[_0x133344(0x16b6),_0x4fba66['pendingCondition'][_0x133344(0x16b6)]]),_0x4531a5(),_0x4fba66['selectedOperator']=_0x39641b()[_0x133344(0x13b4)](_0x4fba66[_0x133344(0x1698)],['type',_0x4fba66['pendingCondition'][_0x133344(0xae2)][_0x133344(0x1541)]]),_0x4fba66[_0x133344(0x14db)][_0x133344(0x66a)]==='date'&&typeof _0x4fba66[_0x133344(0x514)][_0x133344(0x327)]===_0x133344(0x1518)?(_0x4fba66[_0x133344(0x327)]=new Date(_0x4fba66[_0x133344(0x514)][_0x133344(0x327)]),_0x4fba66[_0x133344(0xb8a)]=_0x543b5a()(_0x4fba66['value'])[_0x133344(0x17a1)](0x1,'day'),_0x4fba66[_0x133344(0x270)]=_0x4fba66[_0x133344(0x514)]['endValue']?new Date(_0x4fba66[_0x133344(0x514)][_0x133344(0x1791)]):undefined):(_0x4fba66[_0x133344(0x327)]=_0x4fba66[_0x133344(0x514)][_0x133344(0x327)],_0x4fba66['endRangeValue']=_0x4fba66[_0x133344(0x514)][_0x133344(0x1791)]),_0x4fba66[_0x133344(0x16d8)]=!![],_0x4fba66['isValidCondition']=!![];}function _0x290160(){const _0x3bcf89=_0x360381,_0x47fad0=_0x4f65ca(),_0x447bb6=_0x39641b()['findIndex'](_0x4fba66['options'][_0x3bcf89(0x14dc)],_0x3bcf89(0x613));_0x4fba66[_0x3bcf89(0x2224)][_0x3bcf89(0x14dc)]['splice'](_0x447bb6,0x1,_0x47fad0),_0x47ee32(),_0x4fba66[_0x3bcf89(0x514)][_0x3bcf89(0x613)]=null,_0x4fba66[_0x3bcf89(0x514)]=undefined;}function _0x25c411(){const _0x2a3b30=_0x360381;_0x4fba66[_0x2a3b30(0x514)][_0x2a3b30(0x613)]=null,_0x4fba66[_0x2a3b30(0x514)]=undefined;}function _0x2b654a(_0x2bb56b){const _0x303205=_0x360381;_0x2bb56b[_0x303205(0x613)]=_0x2bb56b[_0x303205(0x379)]?undefined:'chip-disabled',_0x2bb56b[_0x303205(0x379)]=!_0x2bb56b[_0x303205(0x379)];}function _0x30f24e(){const _0x4934b5=_0x360381;if(_0x39641b()[_0x4934b5(0xce9)](_0x4fba66[_0x4934b5(0x2224)][_0x4934b5(0x14dc)]))_0x4fba66[_0x4934b5(0x28c2)]();}function _0x59aeb4(){const _0x8ca29c=_0x360381;_0x4fba66[_0x8ca29c(0x514)]?_0x290160():_0xfd749b();}function _0x4f65ca(){const _0x446ec1=_0x360381;return{'name':_0x4fba66[_0x446ec1(0x14db)][_0x446ec1(0x16b6)],'operator':_0x1b6ea8[_0x446ec1(0x25cc)](_0x446ec1(0xe13)+_0x4fba66['selectedOperator'][_0x446ec1(0x9fe)]),'value':_0x4fba66[_0x446ec1(0x327)],'endValue':_0x4fba66[_0x446ec1(0x270)],'displayValue':_0x5b92b9(_0x4fba66[_0x446ec1(0x327)]),'displayEndValue':_0x5b92b9(_0x4fba66[_0x446ec1(0x270)]),'query':{'column':_0x4fba66['selectedField'][_0x446ec1(0xa9c)],'operator':_0x4fba66[_0x446ec1(0xed2)][_0x446ec1(0x66a)],'value':_0x29c59c()},'disabled':![]};}function _0x5b92b9(_0x7d24e7){const _0x157792=_0x360381;let _0x4ebc0b;if(!_0x7d24e7)return undefined;switch(_0x4fba66['selectedField']['type']){case _0x157792(0x18ec):_0x4ebc0b=_0x543b5a()(_0x7d24e7)['format'](_0x157792(0x17ac));break;case _0x157792(0x1d50):{const _0x5d08f4=_0x39641b()[_0x157792(0x1de2)](_0x7d24e7,function(_0x7336fb){return _0x7336fb['name'];});_0x4ebc0b=_0x4fba66['selectedOperator']['type']===_0x157792(0x1c5e)?_0x5d08f4[_0x157792(0x1f66)](_0x157792(0x7c5)):_0x5d08f4[_0x157792(0x1f66)]('\x20and\x20');}break;case'select':_0x4ebc0b=_0x7d24e7[_0x157792(0x16b6)];break;case _0x157792(0x19e0):_0x4ebc0b=_0x4fba66[_0x157792(0xed2)][_0x157792(0x2359)]?_0x7d24e7:_0x7d24e7['displayValue'];break;default:_0x4ebc0b=_0x7d24e7;break;}return _0x4ebc0b;}function _0x29c59c(){const _0x2aa2a7=_0x360381,_0x1c3ab8=_0x4fba66[_0x2aa2a7(0x327)],_0x524d46=_0x4fba66[_0x2aa2a7(0x270)];let _0x21dcee;switch(_0x4fba66[_0x2aa2a7(0x14db)]['type']){case'date':{const _0x12bdfd=_0x543b5a()(_0x1c3ab8)[_0x2aa2a7(0x17d9)](0x0,!![])[_0x2aa2a7(0x1f31)](_0x2aa2a7(0x17ac));if(_0x4fba66[_0x2aa2a7(0xed2)][_0x2aa2a7(0x66a)]===_0x2aa2a7(0x72d)){const _0x202964=_0x543b5a()(_0x524d46)[_0x2aa2a7(0x17d9)](0x0,!![])['format'](_0x2aa2a7(0x17ac));_0x21dcee=[_0x12bdfd,_0x202964];}else _0x21dcee=_0x12bdfd;}break;case _0x2aa2a7(0x83d):_0x21dcee=_0x4fba66['selectedOperator']['type']==='$between'?[_0x1c3ab8,_0x524d46]:_0x1c3ab8;break;case'multiselect':{const _0x1c17a0=_0x4fba66[_0x2aa2a7(0x14db)][_0x2aa2a7(0x2224)]['field']||'id';_0x21dcee=_0x39641b()[_0x2aa2a7(0x1de2)](_0x1c3ab8,_0x1c17a0);}break;case _0x2aa2a7(0x220f):_0x21dcee=_0x1c3ab8['id'];break;case _0x2aa2a7(0x19e0):_0x21dcee=_0x4fba66[_0x2aa2a7(0xed2)]['isExtra']?_0x1c3ab8:_0x1c3ab8['id'];break;default:_0x21dcee=_0x1c3ab8;break;}return _0x21dcee;}function _0x47ee32(){const _0x33ed55=_0x360381;_0x4fba66[_0x33ed55(0x327)]=null,_0x4fba66['endRangeValue']=null,_0x4fba66[_0x33ed55(0xb8a)]=null,_0x4fba66[_0x33ed55(0x8ac)]=null,_0x4fba66[_0x33ed55(0x688)]=![];}}const _0x456434=_0x49e4b1;;function _0x54a19f(){const _0x1808c9=_0x5537c6;return{'restrict':'E','scope':{'templatePath':_0x1808c9(0x1483),'card':_0x1808c9(0x1850),'vm':_0x1808c9(0x753)},'template':'','compile':function(_0xb54d60){return _0xb54d60['addClass']('ms-card'),function _0x4a2928(_0x524cf3,_0x4c5742){const _0xd46944=a0_0x5cbd;function _0x24a6b7(){const _0x154458=a0_0x5cbd;_0x524cf3[_0x154458(0x251)](_0x154458(0x10c0),_0x4c5742);}_0x524cf3[_0xd46944(0x16e2)]=_0x24a6b7;};}};}const _0x14d377=_0x54a19f;;function _0x77d0c4(){return{'restrict':'A','link':function(_0x50558d,_0x2d02cb,_0xc76f47){_0xc76f47['$observe']('msChipColor',function(_0x1c46fc){const _0x278c6e=a0_0x5cbd,_0x88da5a=_0x2d02cb[_0x278c6e(0xbfb)]()[_0x278c6e(0xbfb)]();!_0x88da5a[_0x278c6e(0x1886)](_0x278c6e(0x23d6))&&_0x88da5a[_0x278c6e(0x1390)](_0x278c6e(0x23d6));if(!_0x39641b()[_0x278c6e(0xce9)](_0x1c46fc))_0x88da5a['addClass'](_0x1c46fc);else{const _0x231538=_0x88da5a[0x0][_0x278c6e(0x239f)]['split']('\x20'),_0x63a5a6=_0x231538[_0x278c6e(0xd8a)](_0x278c6e(0xa41));_0x231538[_0x278c6e(0xfd0)]=_0x63a5a6+0x1,_0x88da5a[0x0][_0x278c6e(0x239f)]=_0x231538[_0x278c6e(0x1f66)]('\x20');}});}};}const _0x548aa5=_0x77d0c4;;const _0x1b7da3=_0x5074a3['p']+'src/js/modules/core/directives/ms-click-to-call/ms-click-to-call.html/ms-click-to-call.html';;const _0x53552d=_0x5074a3['p']+_0x5537c6(0x112d);;function _0x2aec4(){const _0xc4511f=_0x5537c6;return{'restrict':'E','scope':{'type':'=','disabled':'=','target':'=','prefix':'=','license':'='},'controller':[_0xc4511f(0x1463),_0xc4511f(0x1196),'$http',_0xc4511f(0x1ae),_0xc4511f(0x22bf),_0xc4511f(0xcb9),'Auth',_0xc4511f(0x9bf),_0xc4511f(0x142b),function(_0x2e513c,_0x14e51c,_0xa8c3e2,_0x39021a,_0x3160b8,_0x54b2ca,_0x5d4ebe,_0x15d996,_0xd6ca40){const _0x5d15da=_0xc4511f;_0x2e513c['currentUser']=_0x5d4ebe[_0x5d15da(0x21e8)](),_0x2e513c['showMenu']=function(){const _0x56b66a=_0x5d15da;return _0x2e513c[_0x56b66a(0x251d)]&&_0x2e513c[_0x56b66a(0x251d)][_0x56b66a(0xd8a)]('@')<0x0&&_0x2e513c[_0x56b66a(0x251d)]!=_0x2e513c[_0x56b66a(0xe76)]['internal']&&_0x2e513c[_0x56b66a(0x251d)]!=_0x2e513c[_0x56b66a(0xe76)]['name']&&(_0x2e513c[_0x56b66a(0xe76)][_0x56b66a(0xed9)]==0x0&&_0x2e513c[_0x56b66a(0xe76)][_0x56b66a(0x1dc1)]||_0x2e513c['currentUser'][_0x56b66a(0xed9)]==0x2&&_0x2e513c[_0x56b66a(0x8a5)][_0x56b66a(0x110c)])&&!_0x2e513c[_0x56b66a(0x379)];};function _0xebc68d(_0x58390d){const _0x5945c9=_0x5d15da;return _0xd6ca40['user'][_0x5945c9(0x26de)]({'id':_0x2e513c[_0x5945c9(0xe76)]['id']})['$promise'][_0x5945c9(0x1cb0)](function(_0x5f4969){const _0x55daba=_0x5945c9;if(_0x5f4969[_0x55daba(0x184d)])return _0x54b2ca['show']({'controller':_0x55daba(0x34e),'controllerAs':'vm','templateUrl':_0x53552d,'parent':angular[_0x55daba(0x1853)](_0x3160b8[_0x55daba(0x1ed9)]),'clickOutsideToClose':!![],'locals':{'prefixes':_0x5f4969,'required':_0x2e513c[_0x55daba(0xe76)][_0x55daba(0x1a1e)]}});})[_0x5945c9(0x1cb0)](function(_0x4711ff){const _0x1be738=_0x5945c9;if(!_0x2e513c[_0x1be738(0xe76)][_0x1be738(0x1a1e)]){if(_0x4711ff&&_0x4711ff!==-0x1)_0x555911(_0x4711ff+_0x58390d);else!_0x4711ff&&_0x555911(_0x58390d);}else _0x4711ff&&_0x4711ff!==-0x1&&_0x555911(_0x4711ff+_0x58390d);});}function _0x555911(_0x5af39c){const _0x48a358=_0x5d15da;switch(_0x2e513c[_0x48a358(0xe76)]['showWebBar']){case 0x0:return _0x24f6fe('http://127.0.0.1:'+(_0x2e513c[_0x48a358(0xe76)][_0x48a358(0xd3f)]||_0x48a358(0x13d0))+_0x48a358(0x1852)+_0xe7c733(_0x5af39c));case 0x2:return _0x14e51c['$broadcast']('webrtc::call',{'target':_0xe7c733(_0x5af39c)});default:break;}}_0x2e513c[_0x5d15da(0x205d)]=function(_0x1d5f97){const _0xb9c07=_0x5d15da;return _0x2e513c['currentUser'][_0xb9c07(0xed9)]!==0x2?_0xebc68d(_0x1d5f97):_0x555911(_0x1d5f97);},_0x2e513c[_0x5d15da(0x29b3)]=function(_0x54e726){const _0xdb49be=_0x5d15da;switch(_0x2e513c['currentUser']['showWebBar']){case 0x0:return _0x24f6fe(_0xdb49be(0x25de)+(_0x2e513c[_0xdb49be(0xe76)][_0xdb49be(0xd3f)]||_0xdb49be(0x13d0))+_0xdb49be(0xce2)+_0xe7c733(_0x54e726));case 0x2:_0x14e51c['$broadcast'](_0xdb49be(0x861),{'target':_0xe7c733(_0x54e726)});break;default:break;}};function _0xe7c733(_0x4398d5){const _0x30f31a=_0x5d15da;return!_0x39641b()[_0x30f31a(0x958)](_0x4398d5)?_0x4398d5['replace'](/[^\w.+#*-]+/g,''):'';}function _0x24f6fe(_0x43b265){const _0x117a3b=_0x5d15da;return _0xa8c3e2[_0x117a3b(0xbf7)](_0x43b265)[_0x117a3b(0x829)](function(){const _0x66e148=_0x117a3b;_0x15d996[_0x66e148(0x829)]({'title':_0x66e148(0x16a8),'msg':_0x66e148(0x17af)});})[_0x117a3b(0x218e)](function(){const _0x2d809e=_0x117a3b;_0x15d996[_0x2d809e(0x218e)]({'title':_0x2d809e(0x1100),'msg':_0x39021a[_0x2d809e(0x25cc)](_0x2d809e(0x1873))});});}}],'templateUrl':_0x1b7da3};}const _0x2fd365=_0x2aec4;;_0x57daa2[_0x5537c6(0x15b6)]=[_0x5537c6(0x1741)];function _0x409d80(){const _0x47732d=_0x5537c6;_0x2674c2['$inject']=['configuration'];const _0x568da2=this;let _0x146ed4={'formatter':function(_0xf70071){if(!_0xf70071)return'';return _0xf70071===''?_0xf70071:new Date(_0xf70071);},'parser':function(_0x9c6d05){const _0x437c83=a0_0x5cbd;if(!_0x9c6d05)return'';return _0x543b5a()(_0x9c6d05)[_0x437c83(0x17a1)](_0x543b5a()(_0x9c6d05)[_0x437c83(0x17d9)](),'m')[_0x437c83(0x1495)]();}};function _0x2674c2(_0x1ce423){_0x146ed4=angular['extend']({},_0x146ed4,_0x1ce423);}_0x568da2[_0x47732d(0xa60)]=_0x2674c2,_0x568da2[_0x47732d(0x1c22)]=function(){return _0x146ed4;};}function _0x57daa2(_0x1c9ffd){return{'require':'ngModel','priority':0x1,'link':function(_0x553d13,_0x1195f4,_0x1056e3,_0x23a385){const _0x49709=a0_0x5cbd;_0x23a385['$formatters']['push'](_0x1c9ffd['formatter']),_0x23a385[_0x49709(0x21e1)][_0x49709(0x2785)](_0x1c9ffd[_0x49709(0x2886)]);}};};_0x1b39a4['$inject']=[_0x5537c6(0x142b)];function _0x1b39a4(_0x28ca18){return{'restrict':'E','scope':{'id':'=','model':'=','element':'=','title':'=','path':'='},'replace':!![],'link':function(_0x759466,_0xc9d79e){const _0x57ee5d=a0_0x5cbd;let _0x2315a5=![];const _0x3a927d={};_0x3a927d['id']=_0x759466['id'];!_0x39641b()[_0x57ee5d(0x958)](_0x759466['path'])&&(_0x3a927d[_0x57ee5d(0x1fe)]=_0x759466[_0x57ee5d(0x1fe)]);const _0x47d613=document[_0x57ee5d(0x8c6)](_0x759466['element']);_0x47d613['setAttribute']('preload',_0x57ee5d(0x13b1)),_0x47d613['setAttribute'](_0x57ee5d(0x242d),_0x57ee5d(0x44d)),_0x759466[_0x57ee5d(0x1853)]===_0x57ee5d(0x297d)&&_0x47d613[_0x57ee5d(0x23b9)](_0x57ee5d(0x613),_0x57ee5d(0x11b0)),_0x759466[_0x57ee5d(0x1853)]===_0x57ee5d(0x121f)&&_0x47d613[_0x57ee5d(0x23b9)](_0x57ee5d(0x613),_0x57ee5d(0x1dd7)),_0x47d613['setAttribute'](_0x57ee5d(0x1386),_0x759466[_0x57ee5d(0x1386)]),_0x47d613[_0x57ee5d(0x23b9)]('src','\x20'),_0x47d613['onplay']=function(_0x4a791c){const _0x361151=_0x57ee5d;!_0x2315a5&&(_0x2315a5=!![],_0x4a791c[_0x361151(0x1fbd)](),_0x28ca18[_0x759466['model']][_0x361151(0x26ec)](_0x3a927d)['$promise'][_0x361151(0x1cb0)](function(_0x281e94){const _0x9a71f0=_0x361151,_0x4cdf72=[_0x281e94['buffer']],_0x12f76e=new Blob(_0x4cdf72,{'type':_0x281e94[_0x9a71f0(0x66a)]});_0x47d613['setAttribute']('type',_0x281e94[_0x9a71f0(0x66a)]),_0x47d613[_0x9a71f0(0x23b9)](_0x9a71f0(0x22f),URL[_0x9a71f0(0x2247)](_0x12f76e)),_0x47d613[_0x9a71f0(0x96e)]();})[_0x361151(0x1c4)](function(_0x5806c2){const _0x176035=_0x361151;console[_0x176035(0x218e)](_0x5806c2);}));},_0xc9d79e['append'](_0x47d613);}};}const _0x46b5e5=_0x1b39a4;;const _0x4d6bb2=_0x5074a3['p']+'src/js/modules/core/directives/ms-dual-multiselect/ms-dual-multiselect.html/ms-dual-multiselect.html';;function _0x2de16a(){const _0x2aa7d3=_0x5537c6;return{'restrict':'E','scope':{'options':'='},'controller':[_0x2aa7d3(0x1463),function(_0x2a870d){const _0x3b3d59=_0x2aa7d3;_0x2a870d[_0x3b3d59(0x1eef)]=function(_0x38ef42,_0x6cef6,_0x5bef12){const _0x2c081c=_0x3b3d59;if(_0x6cef6['readOnly'])return;else{if(typeof _0x38ef42[_0x2c081c(0x1a4f)]!==_0x2c081c(0x16b5)&&_0x38ef42[_0x2c081c(0x1a4f)])return _0x5bef12?_0x2a870d[_0x2c081c(0x29b3)](_0x6cef6[_0x2c081c(0x20bb)],_0x6cef6[_0x2c081c(0x122f)],_0x6cef6[_0x2c081c(0x20bb)]['indexOf'](_0x38ef42),_0x5bef12):_0x2a870d[_0x2c081c(0x29b3)](_0x6cef6[_0x2c081c(0x122f)],_0x6cef6[_0x2c081c(0x20bb)],_0x6cef6[_0x2c081c(0x122f)][_0x2c081c(0xd8a)](_0x38ef42),_0x5bef12);}},_0x2a870d['transfer']=function(_0x4e43d9,_0x2847ce,_0x270519,_0x2071c4){const _0x598558=_0x3b3d59;let _0x3aa7be=[];if(_0x270519>=0x0)_0x3aa7be[_0x598558(0x2785)](_0x4e43d9[_0x270519]),_0x2847ce['push'](_0x4e43d9[_0x270519]),_0x4e43d9[_0x598558(0x159c)](_0x270519,0x1);else{for(let _0x13af37=0x0;_0x13af37<_0x4e43d9['length'];_0x13af37++){typeof _0x4e43d9[_0x13af37][_0x598558(0x1a4f)]!==_0x598558(0x16b5)&&_0x4e43d9[_0x13af37]['isValid']&&_0x2847ce[_0x598558(0x2785)](_0x4e43d9[_0x13af37]);}for(let _0x5b7d35=_0x4e43d9[_0x598558(0xfd0)]-0x1;_0x5b7d35>=0x0;_0x5b7d35--){_0x4e43d9[_0x5b7d35][_0x598558(0x1a4f)]&&_0x4e43d9[_0x598558(0x159c)](_0x5b7d35,0x1);}_0x3aa7be=_0x2847ce;}_0x2a870d[_0x598558(0x2224)][_0x598558(0x2741)]&&_0x2a870d[_0x598558(0x2224)][_0x598558(0x2741)](_0x3aa7be,_0x2071c4);},_0x2a870d['getLine']=function(_0x4ace0a,_0xd6476b){const _0x2d5963=_0x3b3d59;let _0x5d9763='';if(_0x39641b()[_0x2d5963(0x958)](_0xd6476b))return _0x5d9763;if(_0x39641b()[_0x2d5963(0x216b)](_0xd6476b)){for(let _0x23637f=0x0;_0x23637f<_0xd6476b[_0x2d5963(0xfd0)];_0x23637f++){_0x5d9763+='\x20'+(_0x4ace0a[_0xd6476b[_0x23637f]]?_0x4ace0a[_0xd6476b[_0x23637f]]:'');}return _0x5d9763;}else return _0x4ace0a[_0xd6476b]?_0x4ace0a[_0xd6476b]:'';};}],'templateUrl':_0x4d6bb2};}const _0x13cb50=_0x2de16a;;_0x3c3d22[_0x5537c6(0x15b6)]=[_0x5537c6(0x1ae)];function _0x3c3d22(_0x32e875){const _0xa4f03=_0x5537c6;return{'restrict':'E','replace':!![],'scope':{'onReply':'&','ngModel':'=','ctrlMethods':'=','pickerPosition':'=','search':'=','recentEmojis':'=','placeholder':'='},'template':_0xa4f03(0x222a),'link':function(_0x5b0bb6,_0x29ab14){const _0x462185=_0xa4f03,_0x35a777=_0x29ab14[_0x462185(0x272)]({'pickerPosition':_0x5b0bb6[_0x462185(0x22c7)],'search':_0x5b0bb6[_0x462185(0x24be)],'recentEmojis':_0x5b0bb6[_0x462185(0x2863)],'placeholder':_0x32e875[_0x462185(0x25cc)](_0x5b0bb6[_0x462185(0x161f)]||'Type\x20a\x20message'),'attributes':{'spellcheck':!![]},'events':{'keypress':function(_0x53f9b3,_0x4b111e){const _0x1f80b6=_0x462185;_0x5b0bb6['ngModel']=this['getText'](),_0x5b0bb6[_0x1f80b6(0x19fc)]({'event':_0x4b111e,'body':this[_0x1f80b6(0x2665)]()});},'emojibtn_click':function(){_0x5b0bb6['ngModel']=this['getText']();}}});_0x5b0bb6[_0x462185(0x26c1)]&&_0x35a777[0x0]['emojioneArea']['setText'](_0x5b0bb6['ngModel']),_0x5b0bb6[_0x462185(0x1db5)]=_0x5b0bb6[_0x462185(0x7df)]||{},_0x5b0bb6['internalControl'][_0x462185(0x1e34)]=function(_0xe8a282){const _0x1aa1e2=_0x462185;_0x35a777[0x0][_0x1aa1e2(0x272)][_0x1aa1e2(0x1e34)](_0xe8a282);},_0x5b0bb6[_0x462185(0x1db5)]['getText']=function(){const _0x59761f=_0x462185;return _0x35a777[0x0][_0x59761f(0x272)]['getText']();},_0x5b0bb6[_0x462185(0x614)](_0x462185(0x26c1),function(_0x539262){const _0x162e6e=_0x462185;!_0x539262&&_0x35a777[0x0][_0x162e6e(0x272)][_0x162e6e(0x1e34)]('');});}};}const _0x16b45c=_0x3c3d22;;const _0x3f4d46=_0x5074a3['p']+'src/js/modules/core/directives/ms-font-family/ms-font-family.html/ms-font-family.html';;function _0x522a01(){const _0x1f1cea=_0x5537c6;return{'restrict':'E','scope':{'ngModel':'='},'controller':[_0x1f1cea(0x1463),function(_0x13a3e6){const _0x5135e4=_0x1f1cea;_0x13a3e6[_0x5135e4(0x1c87)]=[{'option':_0x5135e4(0xbf6),'value':_0x5135e4(0x1e41)},{'option':_0x5135e4(0x1087),'value':_0x5135e4(0x22a2)},{'option':_0x5135e4(0x1cfd),'value':_0x5135e4(0x3bc)},{'option':_0x5135e4(0xbf4),'value':_0x5135e4(0x1c3c)},{'option':'Courier\x20New','value':'Courier\x20New,Courier,monospace'},{'option':_0x5135e4(0x14a2),'value':_0x5135e4(0xdf9)},{'option':_0x5135e4(0x5e0),'value':'Lucida\x20Sans\x20Unicode,Lucida\x20Grande,sans-serif'},{'option':_0x5135e4(0x20f4),'value':'Tahoma,Geneva,sans-serif'},{'option':_0x5135e4(0x20d4),'value':_0x5135e4(0x1b3e)},{'option':_0x5135e4(0x2378),'value':_0x5135e4(0xd9d)},{'option':_0x5135e4(0x2194),'value':_0x5135e4(0x2844)}];}],'templateUrl':_0x3f4d46};}const _0x208d4f=_0x522a01;;function _0x4cfc03(){const _0x4bdf63=_0x5537c6,_0x1f9b75=this;_0x1f9b75[_0x4bdf63(0x2806)]=[],_0x1f9b75['selectedIndex']=0x0,_0x1f9b75[_0x4bdf63(0x17e8)]=_0xa1c6db,_0x1f9b75[_0x4bdf63(0x1af6)]=_0x495947,_0x1f9b75[_0x4bdf63(0x19eb)]=_0x4519ba,_0x1f9b75[_0x4bdf63(0x204)]=_0x1b83fa,_0x1f9b75['lastStep']=_0x62e056,_0x1f9b75[_0x4bdf63(0x821)]=_0x312a93,_0x1f9b75['isFirstStep']=_0x4e5473,_0x1f9b75[_0x4bdf63(0x2221)]=_0x178a2b,_0x1f9b75[_0x4bdf63(0x1de6)]=_0xe14a02,_0x1f9b75[_0x4bdf63(0x1759)]=_0x4b3d63,_0x1f9b75[_0x4bdf63(0x295)]=_0xf0b7a4,_0x1f9b75[_0x4bdf63(0x21b3)]=_0x3afead;function _0xa1c6db(_0x252d18){const _0x5a09cd=_0x4bdf63;_0x1f9b75[_0x5a09cd(0x2806)][_0x5a09cd(0x2785)](_0x252d18);}function _0x495947(){if(_0x4e5473())return;_0x1f9b75['selectedIndex']--;}function _0x4519ba(){const _0x2cbc8d=_0x4bdf63;if(_0x178a2b())return;_0x1f9b75[_0x2cbc8d(0x2572)]++;}function _0x1b83fa(){const _0x451f62=_0x4bdf63;_0x1f9b75[_0x451f62(0x2572)]=0x0;}function _0x62e056(){const _0x1c43c4=_0x4bdf63;_0x1f9b75[_0x1c43c4(0x2572)]=_0x312a93()-0x1;}function _0x312a93(){const _0x3b0e06=_0x4bdf63;return _0x1f9b75[_0x3b0e06(0x2806)][_0x3b0e06(0xfd0)];}function _0x4e5473(){return _0x1f9b75['selectedIndex']===0x0;}function _0x178a2b(){return _0x1f9b75['selectedIndex']===_0x312a93()-0x1;}function _0xe14a02(){const _0x3e537b=_0x4bdf63;return angular[_0x3e537b(0x13b8)](_0x1f9b75[_0x3e537b(0x2806)][_0x1f9b75['selectedIndex']])&&_0x1f9b75['forms'][_0x1f9b75[_0x3e537b(0x2572)]][_0x3e537b(0x10b9)];}function _0x4b3d63(){const _0x4487c2=_0x4bdf63;return _0x1f9b75['selectedIndex']>0x0&&angular[_0x4487c2(0x13b8)](_0x1f9b75[_0x4487c2(0x2806)][_0x1f9b75[_0x4487c2(0x2572)]-0x1])&&_0x1f9b75['forms'][_0x1f9b75[_0x4487c2(0x2572)]-0x1][_0x4487c2(0x10b9)];}function _0xf0b7a4(){const _0xbfaacc=_0x4bdf63;for(let _0x587953=0x0;_0x587953<_0x1f9b75['forms']['length'];_0x587953++){if(_0x1f9b75['forms'][_0x587953][_0xbfaacc(0x10b9)])return!![];}return![];}function _0x3afead(){const _0x27764d=_0x4bdf63;_0x1f9b75[_0x27764d(0x2572)]=0x0;for(let _0x35332b=0x0;_0x35332b<_0x1f9b75[_0x27764d(0x2806)][_0x27764d(0xfd0)];_0x35332b++){_0x1f9b75[_0x27764d(0x2806)][_0x35332b][_0x27764d(0x1187)](),_0x1f9b75['forms'][_0x35332b][_0x27764d(0x574)]();}}}function _0x175461(){const _0x16a2cd=_0x5537c6;return{'restrict':'E','scope':!![],'controller':_0x16a2cd(0x17e6),'compile':function(_0x2cd056){const _0x1cb0e4=_0x16a2cd;return _0x2cd056[_0x1cb0e4(0x1390)](_0x1cb0e4(0xe68)),function _0x44157c(){};}};}function _0x2502d5(){const _0x2ed194=_0x5537c6;return{'restrict':'A','require':[_0x2ed194(0x2699),_0x2ed194(0x5bb)],'compile':function(_0x23ab94){const _0x341454=_0x2ed194;return _0x23ab94[_0x341454(0x1390)](_0x341454(0x285b)),function _0xe377d0(_0x2f24cd,_0x825bb3,_0x4ec7c1,_0x266a7f){const _0x3c9a41=_0x341454,_0x1feb90=_0x266a7f[0x0],_0x18f8f7=_0x266a7f[0x1];_0x18f8f7[_0x3c9a41(0x17e8)](_0x1feb90);};}};};const _0x11776d=_0x5074a3['p']+_0x5537c6(0x240f);;_0x48f1f5['$inject']=[_0x5537c6(0x22bf)];function _0x48f1f5(_0x42b214){return{'restrict':'E','scope':{'onClose':'&'},'transclude':!![],'templateUrl':_0x11776d,'link':function(_0x44078e,_0x1a5d5d){const _0x46f33d=a0_0x5cbd,_0x2cfe92=_0x42b214[_0x46f33d(0x13b4)](_0x46f33d(0xc9e)),_0x1a8bef='ms-info-bar-active';_0x2cfe92[_0x46f33d(0x1390)](_0x1a8bef);function _0x495152(){const _0x2738f4=_0x46f33d;_0x2cfe92['removeClass'](_0x1a8bef),_0x1a5d5d['remove'](),_0x44078e[_0x2738f4(0x2964)]&&_0x44078e['onClose'](),_0x44078e['$destroy']();}_0x44078e['removeInfoBar']=_0x495152;}};}const _0xd73a71=_0x48f1f5;;_0x16844a[_0x5537c6(0x15b6)]=['$timeout'],_0x269382[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),'$mdMedia','$timeout'];function _0x269382(_0x1d28ba,_0x5da639,_0x57f6fd,_0x2a57c5){const _0x4068db=_0x5537c6,_0x4d3715=this,_0x108234={'columnCount':0x5,'respectItemOrder':![],'reLayoutDebounce':0x190,'responsive':{'md':0x3,'sm':0x2,'xs':0x1}};let _0x2ebcc9=!![];_0x4d3715[_0x4068db(0x2224)]=null,_0x4d3715[_0x4068db(0x1e7)]=[],_0x4d3715[_0x4068db(0x1a30)]='',_0x4d3715['columnWidth']='',_0x4d3715[_0x4068db(0x122f)]=[],_0x4d3715['reLayout']=_0x1f65ac,_0x4d3715['initialize']=_0x151eef,_0x4d3715['waitImagesLoaded']=_0x5171e8;function _0x151eef(){const _0x857d33=_0x4068db;_0x4d3715[_0x857d33(0x2224)]=!_0x4d3715[_0x857d33(0x2224)]?_0x108234:angular[_0x857d33(0x2765)](_0x108234,_0x4d3715[_0x857d33(0x2224)]),_0x12214a();}_0x1d28ba[_0x4068db(0x1d6)](_0x4068db(0x1c16),function(){_0x1f65ac();});function _0x5171e8(_0x86a6ac,_0x389c25){const _0x4736ee=_0x4068db;if(typeof imagesLoaded!==_0x4736ee(0x16b5)){const _0x523abe=_0x5da639['imagesLoaded'](_0x86a6ac);_0x523abe['on']('done',function(){_0x389c25();});}else _0x389c25();}function _0x12214a(){const _0x3aee7a=_0x4068db;_0x1d28ba[_0x3aee7a(0x614)](function(){const _0x1a146e=_0x3aee7a;return _0x4d3715[_0x1a146e(0x1e7)][_0x1a146e(0x1801)]();},function(_0x5a9dfe,_0x5b4a53){_0x5a9dfe!==_0x5b4a53&&_0x1f65ac();});}function _0x1f65ac(){const _0x2cd44b=_0x4068db;_0x2ebcc9&&_0x2a57c5[_0x2cd44b(0x696)](_0x2ebcc9);_0x2ebcc9=_0x2a57c5(function(){const _0x580c5c=_0x2cd44b;_0x35db32(),_0x1d28ba[_0x580c5c(0x692)]('msMasonry:relayoutFinished');},_0x4d3715[_0x2cd44b(0x2224)][_0x2cd44b(0xf1a)]);function _0x35db32(){const _0x23a079=_0x2cd44b;_0x4d3715[_0x23a079(0x1a30)]=_0x4d3715[_0x23a079(0x1e7)][0x0][_0x23a079(0x1b25)](),_0x2bdf75(),_0x1d28ba[_0x23a079(0x692)](_0x23a079(0x1da8)),_0x4d3715[_0x23a079(0x122f)]=_0x4d3715['container']['find'](_0x23a079(0x226b));const _0x36aa6e=Array['apply'](null,new Array(_0x4d3715['columnCount']))[_0x23a079(0x1de2)](function(){return 0x0;});for(let _0x5e2c66=0x0;_0x5e2c66<_0x4d3715['items'][_0x23a079(0xfd0)];_0x5e2c66++){let _0x88e974=_0x4d3715['items'][_0x5e2c66],_0x25362f,_0x1b930a;_0x88e974=angular[_0x23a079(0x1853)](_0x88e974);_0x88e974['scope']()&&_0x88e974[_0x23a079(0x1500)]()['$broadcast'](_0x23a079(0x87d));_0x88e974[_0x23a079(0x949)]({'width':_0x4d3715['columnWidth']});_0x4d3715[_0x23a079(0x2224)][_0x23a079(0x1895)]?(_0x25362f=_0x5e2c66%_0x4d3715[_0x23a079(0x1cb9)],_0x1b930a=_0x36aa6e[_0x25362f]):(_0x1b930a=Math['min'][_0x23a079(0x86b)](Math,_0x36aa6e),_0x25362f=_0x36aa6e[_0x23a079(0xd8a)](_0x1b930a));_0x36aa6e[_0x25362f]=_0x1b930a+_0x88e974[0x0][_0x23a079(0x1b25)]()[_0x23a079(0xaab)];const _0x161cdf=Math['round'](_0x25362f*_0x4d3715[_0x23a079(0xc75)]),_0x2bcc65=_0x1b930a;_0x88e974[_0x23a079(0x949)]({'transform':'translate3d('+_0x161cdf+'px,'+_0x2bcc65+'px,0px)'}),_0x88e974['addClass'](_0x23a079(0x150b)),_0x88e974[_0x23a079(0x1500)]()&&_0x88e974['scope']()[_0x23a079(0x692)](_0x23a079(0x17e7));}}}function _0x2bdf75(){const _0x53df10=_0x4068db;_0x4d3715['columnCount']=_0x4d3715['options'][_0x53df10(0x1cb9)];if(_0x57f6fd(_0x53df10(0x19b1)))_0x4d3715[_0x53df10(0x1cb9)]=_0x4d3715[_0x53df10(0x2224)]['columnCount'];else{if(_0x57f6fd('md'))_0x4d3715[_0x53df10(0x1cb9)]=_0x4d3715[_0x53df10(0x1cb9)]>_0x4d3715[_0x53df10(0x2224)][_0x53df10(0x4d6)]['md']?_0x4d3715[_0x53df10(0x2224)][_0x53df10(0x4d6)]['md']:_0x4d3715['columnCount'];else _0x57f6fd('sm')?_0x4d3715['columnCount']=_0x4d3715['columnCount']>_0x4d3715['options'][_0x53df10(0x4d6)]['sm']?_0x4d3715[_0x53df10(0x2224)][_0x53df10(0x4d6)]['sm']:_0x4d3715['columnCount']:_0x4d3715[_0x53df10(0x1cb9)]=_0x4d3715[_0x53df10(0x2224)][_0x53df10(0x4d6)]['xs'];}_0x4d3715[_0x53df10(0xc75)]=_0x4d3715['containerPos'][_0x53df10(0x1801)]/_0x4d3715[_0x53df10(0x1cb9)];}}function _0x16844a(_0x17c1cb){return{'restrict':'AEC','controller':'msMasonryController','compile':_0x34137c};function _0x34137c(_0x2dbe98,_0x2abaca){return{'pre':function _0x39400b(_0x5d8072,_0x1cbd49,_0x2e8c24,_0x331bf4){const _0x3cd46a=a0_0x5cbd;_0x331bf4[_0x3cd46a(0x2224)]=angular[_0x3cd46a(0x284c)](_0x2abaca[_0x3cd46a(0x2224)]||'{}'),_0x331bf4[_0x3cd46a(0x1e7)]=_0x2dbe98;},'post':function _0x3796b8(_0x504748,_0x3d2bba,_0x3b2aaa,_0x13dcdb){_0x17c1cb(function(){const _0x256273=a0_0x5cbd;_0x13dcdb[_0x256273(0x2469)]();});}};}}function _0x586a62(){const _0x5d3212=_0x5537c6;return{'restrict':_0x5d3212(0x1700),'require':_0x5d3212(0x23db),'priority':0x1,'link':_0x57fc70};function _0x57fc70(_0x543025,_0x2d571f,_0x2ab7bf,_0x49a3eb){const _0x62e537=_0x5d3212;_0x49a3eb[_0x62e537(0x27f)](_0x2d571f,function(){const _0x5cdb6a=_0x62e537;_0x49a3eb[_0x5cdb6a(0x10fb)]();}),_0x543025['$on'](_0x62e537(0x17e7),function(){_0x543025['$watch'](function(){const _0x1c9e44=a0_0x5cbd;return _0x2d571f[_0x1c9e44(0xaab)]();},function(_0x1836c7,_0x48273b){_0x1836c7!==_0x48273b&&_0x49a3eb['reLayout']();});}),_0x2d571f['on'](_0x62e537(0x291c),function(){_0x49a3eb['reLayout']();});}};const _0x4a5723=_0x5074a3['p']+_0x5537c6(0x24d6);;_0x507ef3[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x29a7),_0x5537c6(0x1af3),_0x5537c6(0x19c5)];function _0x507ef3(_0x301da8,_0x42d8b7,_0x42203c,_0x20158e){const _0x1a4612=_0x5537c6,_0x2dd4f0=this;_0x2dd4f0[_0x1a4612(0x993)]=_0x42d8b7,_0x2dd4f0['selectedPalette']=![],_0x2dd4f0['selectedHues']=![],_0x301da8['$selectedColor']={},_0x2dd4f0[_0x1a4612(0x61f)]=_0x1d353e,_0x2dd4f0[_0x1a4612(0xdbb)]=_0x3d745a,_0x2dd4f0[_0x1a4612(0x5dc)]=_0x50308e,_0x301da8[_0x1a4612(0x614)](_0x1a4612(0x26c1),_0x3921d2);function _0x1d353e(_0x2de279,_0x339198){const _0x20c573=_0x1a4612;_0x2dd4f0[_0x20c573(0x2707)]=_0x2de279,_0x2dd4f0[_0x20c573(0x1dd9)]=_0x339198;}function _0x3d745a(_0x13f1ca,_0x10df0b){_0x49a1ff(_0x13f1ca,_0x10df0b),_0x2203ec(),_0x42203c['hide']();}function _0x50308e(){const _0x515f00=_0x1a4612;_0x2dd4f0[_0x515f00(0x2288)]={'palette':'','hue':'','class':''},_0x1d353e(![],![]),_0x2203ec();}function _0x3921d2(){const _0x4f960c=_0x1a4612;if(!_0x2dd4f0[_0x4f960c(0x11a2)]['$viewValue']||_0x2dd4f0[_0x4f960c(0x11a2)]['$viewValue']===''){_0x50308e();return;}let _0x44ae6f,_0x386060;if(_0x2dd4f0[_0x4f960c(0x228f)]==='class'){const _0x2c0c49=_0x2dd4f0[_0x4f960c(0x11a2)][_0x4f960c(0x272c)][_0x4f960c(0xbe1)]('-');_0x2c0c49[_0x4f960c(0xfd0)]>=0x5?(_0x44ae6f=_0x2c0c49[0x1]+'-'+_0x2c0c49[0x2],_0x386060=_0x2c0c49[0x3]):(_0x44ae6f=_0x2c0c49[0x1],_0x386060=_0x2c0c49[0x2]);}else _0x2dd4f0['msModelType']==='obj'&&(_0x44ae6f=_0x2dd4f0[_0x4f960c(0x11a2)][_0x4f960c(0x272c)][_0x4f960c(0x80b)],_0x386060=_0x2dd4f0[_0x4f960c(0x11a2)][_0x4f960c(0x272c)][_0x4f960c(0x205)]||0x1f4);_0x49a1ff(_0x44ae6f,_0x386060);}function _0x49a1ff(_0x5607b9,_0x325b40){const _0x4a70e7=_0x1a4612;_0x2dd4f0[_0x4a70e7(0x2288)]={'palette':_0x5607b9,'hue':_0x325b40,'class':_0x4a70e7(0x1f0f)+_0x5607b9+'-'+_0x325b40+'-bg','bgColorValue':_0x20158e[_0x4a70e7(0x2967)](_0x2dd4f0['palettes'][_0x5607b9][_0x325b40][_0x4a70e7(0x327)]),'fgColorValue':_0x20158e[_0x4a70e7(0x2967)](_0x2dd4f0[_0x4a70e7(0x993)][_0x5607b9][_0x325b40][_0x4a70e7(0x664)])},_0x2dd4f0[_0x4a70e7(0x228f)]===_0x4a70e7(0x271f)&&!angular['equals'](_0x2dd4f0[_0x4a70e7(0x2288)],_0x2dd4f0['modelCtrl'][_0x4a70e7(0x272c)])&&_0x2203ec(),_0x1d353e(_0x5607b9,_0x2dd4f0[_0x4a70e7(0x993)][_0x5607b9]),_0x301da8[_0x4a70e7(0x328)]=_0x2dd4f0[_0x4a70e7(0x2288)];}function _0x2203ec(){const _0x50e404=_0x1a4612;if(_0x2dd4f0[_0x50e404(0x228f)]==='class')_0x2dd4f0[_0x50e404(0x11a2)][_0x50e404(0x3c5)](_0x2dd4f0[_0x50e404(0x2288)][_0x50e404(0x2800)]);else _0x2dd4f0[_0x50e404(0x228f)]===_0x50e404(0x271f)&&_0x2dd4f0['modelCtrl']['$setViewValue'](_0x2dd4f0[_0x50e404(0x2288)]);}}function _0xdfcbe(){const _0x550c8c=_0x5537c6;return{'require':[_0x550c8c(0xa24),_0x550c8c(0x26c1)],'restrict':'E','scope':{'ngModel':'=','msModelType':'@?'},'controller':_0x550c8c(0x93d),'transclude':!![],'templateUrl':_0x4a5723,'link':function(_0x2a77e4,_0xf25d4c,_0x2bd947,_0x5064af,_0x2fff62){const _0x44db7f=_0x550c8c,_0x25398b=_0x5064af[0x0];_0x25398b[_0x44db7f(0x11a2)]=_0x5064af[0x1],_0x25398b['msModelType']=_0x2a77e4[_0x44db7f(0x228f)]||_0x44db7f(0x2800),_0x2fff62(_0x2a77e4,function(_0x59c7de){const _0x367f81=_0x44db7f;_0x59c7de=_0x59c7de[_0x367f81(0x1c99)](function(_0x32f997,_0x21d3e5){const _0x150d2b=_0x367f81;return _0x21d3e5[_0x150d2b(0x165e)]===0x1?!![]:![];}),_0x59c7de[_0x367f81(0xfd0)]&&_0xf25d4c['find'](_0x367f81(0x1979))[_0x367f81(0x1572)](_0x59c7de);});}};};_0x1f475c[_0x5537c6(0x15b6)]=[_0x5537c6(0x1196),'$q','$animate',_0x5537c6(0x406)],_0x218766[_0x5537c6(0x15b6)]=[_0x5537c6(0x1196),'$mdComponentRegistry',_0x5537c6(0x19e3)],_0x106bf5[_0x5537c6(0x15b6)]=[_0x5537c6(0x22bf),_0x5537c6(0x1196),'msNavFoldService'];function _0xfb5a53(){let _0x2ed24e={};const _0x2273f2={'setFoldable':_0x33a3f2,'isNavFoldedOpen':_0x222776,'toggleFold':_0x17613a,'openFolded':_0x278694,'closeFolded':_0x1d5482};return _0x2273f2;function _0x33a3f2(_0x63e006,_0x31b8c4){_0x2ed24e={'scope':_0x63e006,'element':_0x31b8c4};}function _0x222776(){const _0xb789d9=a0_0x5cbd;return _0x2ed24e[_0xb789d9(0x1500)][_0xb789d9(0x1168)]();}function _0x17613a(){const _0x5213ef=a0_0x5cbd;_0x2ed24e[_0x5213ef(0x1500)]['toggleFold']();}function _0x278694(){const _0xfe6d3a=a0_0x5cbd;_0x2ed24e['scope'][_0xfe6d3a(0x13bd)]();}function _0x1d5482(){const _0x29ac9d=a0_0x5cbd;_0x2ed24e[_0x29ac9d(0x1500)][_0x29ac9d(0x1ff1)]();}}function _0x106bf5(_0x379bda,_0x55e392,_0x3dca2e){return{'restrict':'A','link':function(_0x363064,_0x4d22fe,_0x41f05e){const _0x494869=a0_0x5cbd;let _0x3b6c5a=_0x41f05e[_0x494869(0x1a4d)]===_0x494869(0x44d),_0x322c97=![];const _0x187542=angular[_0x494869(0x1853)](_0x379bda[0x0][_0x494869(0x1ed9)]),_0x1c9008=angular['element'](_0x494869(0x4f4)),_0x31f134=angular[_0x494869(0x1853)](_0x494869(0x161b)),_0x114265=_0x4d22fe[_0x494869(0xbfb)]();_0x3dca2e['setFoldable'](_0x363064,_0x4d22fe,_0x3b6c5a);_0x3b6c5a?_0xfee339():_0x301fed();function _0x53547d(){return _0x322c97;}function _0x1131c3(){_0x3b6c5a=!_0x3b6c5a,_0x3b6c5a?_0xfee339():_0x301fed();}function _0xfee339(){const _0x6b2226=_0x494869;_0x187542[_0x6b2226(0x1390)]('ms-nav-folded'),_0x55e392[_0x6b2226(0x692)](_0x6b2226(0x176a)),_0x4d22fe['scrollTop'](0x0),_0x114265[_0x6b2226(0x207)](_0x1c9008),_0x1c9008['on'](_0x6b2226(0x227d),function(_0x858d07){_0x54f2a4(_0x858d07),_0x322c97=!![];});}function _0x54f2a4(_0x5a3872){const _0x1a88b0=_0x494869;angular[_0x1a88b0(0x13b8)](_0x5a3872)&&_0x5a3872[_0x1a88b0(0x1fbd)](),_0x187542[_0x1a88b0(0x1390)](_0x1a88b0(0x1241)),_0x55e392[_0x1a88b0(0x692)](_0x1a88b0(0x17ed)),_0x114265[_0x1a88b0(0x13b4)](_0x1c9008)[_0x1a88b0(0x152a)](),_0x114265['parent']()[_0x1a88b0(0x207)](_0x31f134),_0x31f134['on'](_0x1a88b0(0x227d),function(_0x34d9b2){_0x384723(_0x34d9b2),_0x322c97=![];});}function _0x384723(_0x158e85){const _0x2b969a=_0x494869;angular[_0x2b969a(0x13b8)](_0x158e85)&&_0x158e85[_0x2b969a(0x1fbd)](),_0x55e392[_0x2b969a(0x692)](_0x2b969a(0x176a)),_0x4d22fe[_0x2b969a(0x20d1)](0x0),_0x187542['removeClass'](_0x2b969a(0x1241)),_0x114265[_0x2b969a(0xbfb)]()[_0x2b969a(0x13b4)](_0x31f134)[_0x2b969a(0x152a)](),_0x114265[_0x2b969a(0x207)](_0x1c9008),_0x1c9008['on']('mouseenter\x20touchstart',function(_0x43cf69){_0x54f2a4(_0x43cf69),_0x322c97=!![];});}function _0x301fed(){const _0x1e375d=_0x494869;_0x187542[_0x1e375d(0x1e55)](_0x1e375d(0xb1e)),_0x55e392[_0x1e375d(0x692)]('msNav::expandMatchingToggles'),_0x4d22fe[_0x1e375d(0x28b2)](_0x1e375d(0x339));}_0x363064[_0x494869(0x1d73)]=_0x1131c3,_0x363064[_0x494869(0x13bd)]=_0x54f2a4,_0x363064[_0x494869(0x1ff1)]=_0x384723,_0x363064[_0x494869(0x1168)]=_0x53547d,_0x363064[_0x494869(0x1d6)](_0x494869(0x291c),function(){const _0xcf1aae=_0x494869;_0x1c9008[_0xcf1aae(0x28b2)]('mouseenter\x20touchstart'),_0x31f134[_0xcf1aae(0x28b2)]('mouseenter\x20touchstart'),_0x4d22fe[_0xcf1aae(0x28b2)](_0xcf1aae(0x339));});}};}function _0x1ad03f(){const _0x3b36c1=_0x5537c6,_0x1b944c=this;let _0xd3cf35=![];const _0x2df3df=[];let _0x117c4c=[];_0x1b944c[_0x3b36c1(0x2548)]=_0x3af940,_0x1b944c[_0x3b36c1(0x1c2)]=_0x1ffcd6,_0x1b944c[_0x3b36c1(0xd79)]=_0x3551f3,_0x1b944c[_0x3b36c1(0x1f33)]=_0x1715e5,_0x1b944c[_0x3b36c1(0x1f9c)]=_0x25df3c,_0x1b944c['setLockedItem']=_0x52f255,_0x1b944c['clearLockedItems']=_0x47908a;function _0x3af940(){return _0xd3cf35;}function _0x3551f3(){_0xd3cf35=!![];}function _0x1ffcd6(){_0xd3cf35=![];}function _0x1715e5(_0x2bb1bb,_0x442ded){const _0x42beb2=_0x3b36c1;_0x2df3df[_0x42beb2(0x2785)]({'element':_0x2bb1bb,'scope':_0x442ded});}function _0x25df3c(){return _0x117c4c;}function _0x52f255(_0x17f726,_0x2886c6){const _0x50cf6a=_0x3b36c1;_0x117c4c[_0x50cf6a(0x2785)]({'element':_0x17f726,'scope':_0x2886c6});}function _0x47908a(){_0x117c4c=[];}}function _0x218766(_0x23dfcb,_0x2cba60,_0x4c2389){const _0x4d8ab0=_0x5537c6;return{'restrict':'E','scope':{},'controller':_0x4d8ab0(0x1a04),'compile':function(_0x7505a8){const _0x31edae=_0x4d8ab0;return _0x7505a8[_0x31edae(0x1390)](_0x31edae(0x14f2)),function _0x2ffaf7(_0x1326f8){const _0x282a22=_0x31edae;_0x23dfcb[_0x282a22(0x692)](_0x282a22(0x17ed));const _0x54fa94=_0x23dfcb[_0x282a22(0x1d6)](_0x282a22(0x19fa),function(){const _0x44c9f4=_0x282a22;_0x23dfcb[_0x44c9f4(0x692)](_0x44c9f4(0x17ed)),_0x2cba60[_0x44c9f4(0xb20)]('navigation')[_0x44c9f4(0x1cb0)](function(_0x4f5162){const _0x5eb64e=_0x44c9f4;_0x4f5162['close'](),_0x4c2389[_0x5eb64e(0x1168)]()&&_0x4c2389[_0x5eb64e(0x1ff1)]();});});_0x1326f8['$on'](_0x282a22(0x291c),function(){_0x54fa94();});};}};}function _0x54f830(){return{'restrict':'A','compile':function(_0x111218){const _0x12e2e4=a0_0x5cbd;return _0x111218[_0x12e2e4(0x1390)](_0x12e2e4(0x1e9a)),function _0x2de462(){};}};}function _0x5080b5(){return{'restrict':'AE','compile':function(_0x341e1f){return _0x341e1f['addClass']('ms-nav-button'),function _0x5cd784(){};}};}function _0x1f475c(_0x16980b,_0x45d549,_0xb3b5,_0x51a97d){return{'restrict':'A','require':'^msNav','scope':!![],'compile':function(_0x8e7a41,_0x3e3734){const _0x1131a9=a0_0x5cbd;return _0x8e7a41[_0x1131a9(0x1390)](_0x1131a9(0xe48)),angular[_0x1131a9(0x2051)](_0x3e3734[_0x1131a9(0xc7d)])&&(_0x3e3734[_0x1131a9(0xc7d)]=!![]),_0x8e7a41[_0x1131a9(0x1fc9)](_0x1131a9(0xc7d),_0x3e3734[_0x1131a9(0xc7d)]),function _0x164d42(_0x2a3238,_0x31b935,_0x53b9b9,_0x5d0323){const _0x40c5ad=_0x1131a9,_0x31f369={'expanded':_0x40c5ad(0x7bf),'expandAnimation':'expand-animation','collapseAnimation':_0x40c5ad(0x549)},_0x1d799d=_0x31b935[_0x40c5ad(0x13b4)]('a'),_0x33a6e3=[],_0x5c7fd6=/\(.*\)/g;angular[_0x40c5ad(0xf90)](_0x1d799d,function(_0x41f527){const _0x2e87db=_0x40c5ad;let _0x1f2b9f=angular[_0x2e87db(0x1853)](_0x41f527)[_0x2e87db(0x1fc9)](_0x2e87db(0x1928));if(angular[_0x2e87db(0x2051)](_0x1f2b9f))return;_0x1f2b9f=_0x1f2b9f[_0x2e87db(0x288f)](_0x5c7fd6,''),_0x33a6e3['push'](_0x1f2b9f);}),_0x5d0323[_0x40c5ad(0x1f33)](_0x31b935,_0x2a3238),_0x31b935[_0x40c5ad(0x27a5)](_0x40c5ad(0x192c))['on'](_0x40c5ad(0x20b8),_0xe1bcca);function _0xe1bcca(){const _0xc9549a=_0x40c5ad;if(_0x5d0323[_0xc9549a(0x2548)]())return;_0x5d0323[_0xc9549a(0xd79)](),_0x3f678e()?(_0x5d0323[_0xc9549a(0xc35)](),_0x2a3238[_0xc9549a(0x251)]('msNav::pushToLockedList'),_0x16980b[_0xc9549a(0x692)](_0xc9549a(0xa10)),_0x46f197()['then'](function(){const _0x26360b=_0xc9549a;_0x5d0323[_0x26360b(0x1c2)]();})):_0x2a3238[_0xc9549a(0x692)](_0xc9549a(0x176a));}_0x2a3238[_0x40c5ad(0x1d6)](_0x40c5ad(0x291c),function(){const _0xe953e8=_0x40c5ad;_0x31b935[_0xe953e8(0x27a5)](_0xe953e8(0x192c))[_0xe953e8(0x28b2)](_0xe953e8(0x20b8));}),_0x2a3238[_0x40c5ad(0x1d6)]('msNav::collapse',function(){const _0x514e74=_0x40c5ad,_0x10660f=_0x5d0323[_0x514e74(0x1f9c)]();let _0x103fbb=![];angular['forEach'](_0x10660f,function(_0x346f85){const _0xe01678=_0x514e74;angular[_0xe01678(0x1c53)](_0x346f85[_0xe01678(0x1500)],_0x2a3238)&&(_0x103fbb=!![]);});if(_0x103fbb)return;_0xf3c9ac()[_0x514e74(0x1cb0)](function(){const _0x18cbdf=_0x514e74;_0x5d0323[_0x18cbdf(0x1c2)]();});}),_0x2a3238[_0x40c5ad(0x1d6)](_0x40c5ad(0x176a),function(){const _0x35b4b9=_0x40c5ad;_0xf3c9ac()[_0x35b4b9(0x1cb0)](function(){_0x5d0323['enable']();});}),_0x2a3238['$on'](_0x40c5ad(0x17ed),function(){const _0x1418d7=_0x40c5ad,_0x19e9db=_0x51a97d[_0x1418d7(0x1970)][_0x1418d7(0x16b6)];let _0x515216=![];angular['forEach'](_0x33a6e3,function(_0x613c38){_0x19e9db===_0x613c38&&(_0x515216=!![]);}),_0x515216?_0x46f197():_0xf3c9ac();}),_0x2a3238[_0x40c5ad(0x1d6)]('msNav::pushToLockedList',function(){_0x5d0323['setLockedItem'](_0x31b935,_0x2a3238);});function _0x3f678e(){const _0x19cebe=_0x40c5ad;return _0x31b935[_0x19cebe(0x1fc9)](_0x19cebe(0xc7d))===_0x19cebe(0x44d);}function _0x2ce9a9(){return!_0x3f678e();}function _0x46f197(){const _0x29a88d=_0x40c5ad,_0x1240a0=_0x45d549[_0x29a88d(0x11f4)]();if(_0x2ce9a9())return _0x1240a0[_0x29a88d(0x988)]({'error':!![]}),_0x1240a0[_0x29a88d(0x2061)];_0x31b935[_0x29a88d(0x1fc9)]('collapsed',![]);const _0xdbd25a=angular[_0x29a88d(0x1853)](_0x31b935['find'](_0x29a88d(0x14e6))[0x0]);_0xdbd25a[_0x29a88d(0x949)]({'position':'absolute','visibility':_0x29a88d(0x3f8),'display':'block','height':_0x29a88d(0x968)});const _0x4b6f3b=_0xdbd25a[0x0]['offsetHeight'];return _0xdbd25a[_0x29a88d(0x949)]({'position':'','visibility':'','display':'','height':''}),_0x2a3238[_0x29a88d(0x2568)](function(){const _0x36be29=_0x29a88d;_0xb3b5[_0x36be29(0xb53)](_0xdbd25a,{'display':_0x36be29(0x1e0e),'height':'0px'},{'height':_0x4b6f3b+'px'},_0x31f369[_0x36be29(0x49b)])[_0x36be29(0x1cb0)](function(){const _0x29347e=_0x36be29;_0xdbd25a['addClass'](_0x31f369[_0x29347e(0x7bf)]),_0xdbd25a[_0x29347e(0x949)]({'height':''}),_0x1240a0[_0x29347e(0x19a3)]({'success':!![]});});}),_0x1240a0['promise'];}function _0xf3c9ac(){const _0x25fac2=_0x40c5ad,_0xfbe282=_0x45d549[_0x25fac2(0x11f4)]();if(_0x3f678e())return _0xfbe282[_0x25fac2(0x988)]({'error':!![]}),_0xfbe282[_0x25fac2(0x2061)];_0x31b935[_0x25fac2(0x1fc9)]('collapsed',!![]);const _0x17c363=angular[_0x25fac2(0x1853)](_0x31b935[_0x25fac2(0x13b4)](_0x25fac2(0x14e6))[0x0]),_0xb4aa26=_0x17c363[0x0]['offsetHeight'];return _0x2a3238[_0x25fac2(0x2568)](function(){const _0xbcac80=_0x25fac2;_0xb3b5[_0xbcac80(0xb53)](_0x17c363,{'height':_0xb4aa26+'px'},{'height':_0xbcac80(0x1127)},_0x31f369['collapseAnimation'])['then'](function(){const _0x56986d=_0xbcac80;_0x17c363[_0x56986d(0x1e55)](_0x31f369[_0x56986d(0x7bf)]),_0x17c363['css']({'display':'','height':''}),_0xfbe282['resolve']({'success':!![]});});}),_0xfbe282['promise'];}};}};};const _0x243d9f=_0x5074a3['p']+'src/js/modules/core/directives/ms-navigation/templates/horizontal.html/horizontal.html';;const _0x512bb2=_0x5074a3['p']+_0x5537c6(0x21c4);;_0x55043b['$inject']=[_0x5537c6(0xb51)],_0xd43ca7[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x2086),_0x5537c6(0x1196),_0x5537c6(0x406),'msNavigationService'],_0x2e8f96[_0x5537c6(0x15b6)]=['msNavigationService'],_0x4ecc2c[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x2086),_0x5537c6(0x1196),'$animate',_0x5537c6(0x406),_0x5537c6(0x1d5f)],_0x12da17['$inject']=[_0x5537c6(0x1196),_0x5537c6(0x2168),'$mdSidenav','msNavigationService'],_0x47987c['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x1d5f)];function _0x18cedb(){const _0x2b2f64=_0x5537c6,_0xba0431=angular['injector'](['ng'])[_0x2b2f64(0xbf7)](_0x2b2f64(0x1a21));let _0x4ee48d=[];const _0x1d2695=this;_0x1d2695[_0x2b2f64(0x1a78)]=_0x3c9156,_0x1d2695['deleteItem']=_0x5723a0,_0x1d2695[_0x2b2f64(0xea6)]=_0x10542f;function _0x3c9156(_0x27b256,_0x5e985c){const _0x318a28=_0x2b2f64;if(!angular['isString'](_0x27b256)){_0xba0431[_0x318a28(0x218e)]('path\x20must\x20be\x20a\x20string\x20(eg.\x20`dashboard.project`)');return;}const _0x352003=_0x27b256['split']('.'),_0x4b1328=_0x352003[_0x352003[_0x318a28(0xfd0)]-0x1],_0x33b85f=_0x19444a(_0x352003);let _0x198fc0=![];for(let _0x120158=0x0;_0x120158<_0x33b85f[_0x318a28(0xfd0)];_0x120158++){if(_0x33b85f[_0x120158][_0x318a28(0xa09)]===_0x4b1328){_0x198fc0=_0x33b85f[_0x120158];break;}}_0x198fc0?(angular[_0x318a28(0x2765)](_0x198fc0,_0x5e985c),_0x198fc0[_0x318a28(0x19c3)]=_0x3a19cb(_0x198fc0)):(_0x5e985c[_0x318a28(0x27a5)]=[],(angular[_0x318a28(0x2051)](_0x5e985c['weight'])||!angular[_0x318a28(0xcb3)](_0x5e985c[_0x318a28(0x212e)]))&&(_0x5e985c[_0x318a28(0x212e)]=0x1),_0x5e985c[_0x318a28(0xa09)]=_0x4b1328,_0x5e985c[_0x318a28(0x2d3)]=_0x27b256,_0x5e985c[_0x318a28(0x19c3)]=_0x3a19cb(_0x5e985c),_0x33b85f[_0x318a28(0x2785)](_0x5e985c));}function _0x5723a0(_0x2cece7){const _0x269fe1=_0x2b2f64;if(!angular[_0x269fe1(0x28e2)](_0x2cece7)){_0xba0431['error'](_0x269fe1(0x20aa));return;}let _0xc7b916=_0x4ee48d;const _0x507b5e=_0x2cece7[_0x269fe1(0xbe1)]('.');for(let _0x485a95=0x0;_0x485a95<_0x507b5e[_0x269fe1(0xfd0)];_0x485a95++){const _0x3aba43=_0x507b5e[_0x485a95];for(let _0x4ac53e=0x0;_0x4ac53e<_0xc7b916[_0x269fe1(0xfd0)];_0x4ac53e++){if(_0xc7b916[_0x4ac53e][_0x269fe1(0xa09)]===_0x3aba43){if(_0xc7b916[_0x4ac53e][_0x269fe1(0x2d3)]===_0x2cece7)return _0xc7b916[_0x269fe1(0x159c)](_0x4ac53e,0x1),!![];_0xc7b916=_0xc7b916[_0x4ac53e][_0x269fe1(0x27a5)];break;}}}return![];}function _0x10542f(_0x2848f0){const _0x5aa762=_0x2b2f64;!_0x2848f0&&(_0x2848f0=_0x4ee48d,_0x2848f0[_0x5aa762(0x1381)](_0x4f50c6));for(let _0x4e5bbb=0x0;_0x4e5bbb<_0x2848f0[_0x5aa762(0xfd0)];_0x4e5bbb++){const _0x2778be=_0x2848f0[_0x4e5bbb][_0x5aa762(0x27a5)];_0x2778be['length']>0x1&&_0x2778be['sort'](_0x4f50c6),_0x2778be['length']>0x0&&_0x10542f(_0x2778be);}}function _0x19444a(_0x47727d){const _0x23ef21=_0x2b2f64;let _0x527054=_0x4ee48d;if(_0x47727d[_0x23ef21(0xfd0)]===0x1)return _0x527054;_0x47727d['pop']();for(let _0x265beb=0x0;_0x265beb<_0x47727d['length'];_0x265beb++){const _0x4f96ed=_0x47727d[_0x265beb];let _0xbc91a7=!![];for(let _0x4f38d5=0x0;_0x4f38d5<_0x527054['length'];_0x4f38d5++){if(_0x527054[_0x4f38d5][_0x23ef21(0xa09)]===_0x4f96ed){_0x527054=_0x527054[_0x4f38d5][_0x23ef21(0x27a5)],_0xbc91a7=![];break;}}if(_0xbc91a7){const _0xf72f7e={'_id':_0x4f96ed,'_path':_0x47727d[_0x23ef21(0x1f66)]('.'),'title':_0x4f96ed,'weight':0x1,'children':[]};_0x527054['push'](_0xf72f7e),_0x527054=_0xf72f7e[_0x23ef21(0x27a5)];}}return _0x527054;}function _0x4f50c6(_0x4e01a5,_0x4b18bc){const _0x483817=_0x2b2f64;return parseInt(_0x4e01a5['weight'])-parseInt(_0x4b18bc[_0x483817(0x212e)]);}function _0x3a19cb(_0x4af88c){const _0x371fcd=_0x2b2f64;let _0xbe5956='';return angular[_0x371fcd(0x13b8)](_0x4af88c[_0x371fcd(0x27e0)])&&(_0xbe5956=_0x4af88c[_0x371fcd(0x27e0)],angular['isDefined'](_0x4af88c['stateParams'])&&angular[_0x371fcd(0x1b5c)](_0x4af88c[_0x371fcd(0x1349)])&&(_0xbe5956=_0xbe5956+'('+angular[_0x371fcd(0x393)](_0x4af88c[_0x371fcd(0x1349)])+')')),_0xbe5956;}this['$get']=function(){let _0xb11534=null,_0x14ffb6=null,_0x4adb62=null,_0xd93ff6=null;const _0x4de504={'saveItem':_0x3c9156,'deleteItem':_0x5723a0,'sort':_0x10542f,'clearNavigation':_0x4c1245,'setActiveItem':_0x601ed2,'getActiveItem':_0x44464b,'getNavigation':_0x242780,'getFlatNavigation':_0x3ed5a4,'setNavigationScope':_0x4dab82,'setFolded':_0x2abc92,'getFolded':_0xe78a11,'setFoldedOpen':_0x3b8adc,'getFoldedOpen':_0x1d1eb4,'toggleFolded':_0x526441};return _0x4de504;function _0x4c1245(){const _0x5bcdc7=a0_0x5cbd;_0x4ee48d=[],_0x14ffb6&&(_0x14ffb6['vm'][_0x5bcdc7(0x511)]=_0x4ee48d);}function _0x601ed2(_0x5c1d27,_0x2a1b00){_0xb11534={'node':_0x5c1d27,'scope':_0x2a1b00};}function _0x44464b(){return _0xb11534;}function _0x242780(_0x42bbf5){const _0x25fdcd=a0_0x5cbd;if(_0x42bbf5){for(let _0x705f29=0x0;_0x705f29<_0x4ee48d[_0x25fdcd(0xfd0)];_0x705f29++){if(_0x4ee48d[_0x705f29]['_id']===_0x42bbf5)return[_0x4ee48d[_0x705f29]];}return null;}return _0x4ee48d;}function _0x3ed5a4(_0x584097){const _0x32397b=_0x242780(_0x584097);return _0x3e6a6b(_0x32397b);}function _0x4dab82(_0x4e1509){_0x14ffb6=_0x4e1509;}function _0x2abc92(_0x1e7b1f){_0x4adb62=_0x1e7b1f;}function _0xe78a11(){return _0x4adb62;}function _0x3b8adc(_0x4b2a10){_0xd93ff6=_0x4b2a10;}function _0x1d1eb4(){return _0xd93ff6;}function _0x526441(){const _0x301113=a0_0x5cbd;_0x14ffb6[_0x301113(0x14bf)]();}function _0x3e6a6b(_0xe84298){const _0x303f56=a0_0x5cbd;let _0x385aa6=[];for(let _0x1505d4=0x0;_0x1505d4<_0xe84298[_0x303f56(0xfd0)];_0x1505d4++){const _0x3ea3fe=angular[_0x303f56(0x17fe)](_0xe84298[_0x1505d4]);_0x3ea3fe[_0x303f56(0x27a5)]=[],_0x385aa6[_0x303f56(0x2785)](_0x3ea3fe),_0xe84298[_0x1505d4][_0x303f56(0x27a5)][_0x303f56(0xfd0)]>0x0&&(_0x385aa6=_0x385aa6[_0x303f56(0x298a)](_0x3e6a6b(_0xe84298[_0x1505d4][_0x303f56(0x27a5)])));}return _0x385aa6;}};}function _0x47987c(_0x707061,_0x39508f){const _0x57389a=_0x5537c6,_0x475190=this;_0x707061[_0x57389a(0x13f8)]?_0x475190[_0x57389a(0x511)]=_0x39508f[_0x57389a(0x221)](_0x707061[_0x57389a(0x13f8)]):_0x475190['navigation']=_0x39508f['getNavigation']();_0x475190[_0x57389a(0x437)]=_0x225a31,_0x45ad1f();function _0x45ad1f(){const _0xf3e33b=_0x57389a;_0x39508f[_0xf3e33b(0x1381)]();}function _0x225a31(){const _0xd6797f=_0x57389a;angular[_0xd6797f(0x1853)](_0xd6797f(0x1ed9))[_0xd6797f(0x713)](_0xd6797f(0x1982));}}function _0x12da17(_0x44100c,_0x5796f8,_0x5badf6,_0x446af6){const _0x7c5e3a=_0x5537c6;return{'restrict':'E','scope':{'folded':'=','root':'@'},'controller':_0x7c5e3a(0xd6a),'templateUrl':_0x512bb2,'transclude':!![],'compile':function(_0x188055){const _0x9d7b0b=_0x7c5e3a;return _0x188055[_0x9d7b0b(0x1390)](_0x9d7b0b(0x227a)),function _0x2932be(_0x4abfdf,_0x53b2dc){const _0x370dbd=_0x9d7b0b,_0x50daa9=angular['element'](_0x370dbd(0x1ed9)),_0x14e0c7=angular['element'](''),_0x5a2daf=angular[_0x370dbd(0x1853)](_0x370dbd(0x1d8f)),_0x5b01bb=_0x5badf6(_0x370dbd(0x511));_0x446af6[_0x370dbd(0x47c)](_0x4abfdf),_0x437691();function _0x437691(){const _0x3ec3e7=_0x370dbd;_0x446af6[_0x3ec3e7(0x1c0c)]()===null&&_0x446af6[_0x3ec3e7(0xd2e)](_0x4abfdf[_0x3ec3e7(0x907)]),_0x446af6[_0x3ec3e7(0x1c0c)]()&&(_0x5796f8(function(){const _0x196de0=_0x3ec3e7;_0x44100c[_0x196de0(0x692)]('msNavigation::collapse');}),_0x50daa9['addClass'](_0x3ec3e7(0x1e79)),_0x4502f4());}_0x4abfdf[_0x370dbd(0x614)](function(){const _0x23fea0=_0x370dbd;return _0x5b01bb[_0x23fea0(0x948)]();},function(_0x5bbeeb,_0x5eb393){const _0x3d9e6f=_0x370dbd;if(angular[_0x3d9e6f(0x2051)](_0x5bbeeb)||angular[_0x3d9e6f(0x1c53)](_0x5bbeeb,_0x5eb393))return;const _0x59aa42=_0x446af6['getFolded']();if(_0x59aa42){if(_0x5bbeeb)_0x44100c[_0x3d9e6f(0x692)](_0x3d9e6f(0x285d));else{const _0x1ecd64=_0x446af6['getActiveItem']();_0x1ecd64&&_0x1ecd64[_0x3d9e6f(0x1500)]['$emit']('msNavigation::stateMatched');}}}),_0x4abfdf[_0x370dbd(0x614)]('folded',function(_0x4999a4,_0x4737c6){const _0x29384e=_0x370dbd;if(angular[_0x29384e(0x2051)](_0x4999a4)||angular[_0x29384e(0x1c53)](_0x4999a4,_0x4737c6))return;_0x4a77f9(_0x4999a4);});function _0x4a77f9(_0x300da4){const _0x46561b=_0x370dbd;_0x446af6['setFolded'](_0x300da4);if(_0x300da4)_0x44100c['$broadcast'](_0x46561b(0x285d)),_0x50daa9[_0x46561b(0x1390)](_0x46561b(0x1e79)),_0x4502f4();else{const _0x213f92=_0x446af6[_0x46561b(0x287d)]();_0x213f92&&_0x213f92[_0x46561b(0x1500)][_0x46561b(0x251)](_0x46561b(0x20a9)),_0x50daa9['removeClass'](_0x46561b(0x1a1f)),_0x1064b5();}}function _0x4502f4(){const _0x200d77=_0x370dbd;_0x53b2dc[_0x200d77(0xbfb)]()[_0x200d77(0x207)](_0x14e0c7),_0x5796f8(function(){_0x14e0c7['on']('mouseenter\x20touchstart',_0x456d7b);});}function _0xf0c1f0(){const _0x1491ff=_0x370dbd;_0x50daa9[_0x1491ff(0x13b4)](_0x1491ff(0x1580))[_0x1491ff(0x207)](_0x5a2daf),_0x5a2daf['on'](_0x1491ff(0x227d),_0x1020b4);}function _0x1064b5(){const _0x26dbee=_0x370dbd;_0x5a2daf[_0x26dbee(0x152a)]();}function _0x456d7b(_0x4ddb84){const _0xf3dfb4=_0x370dbd;_0x4ddb84&&_0x4ddb84[_0xf3dfb4(0x1fbd)]();_0x446af6[_0xf3dfb4(0x1081)](!![]);const _0x4569a5=_0x446af6[_0xf3dfb4(0x287d)]();_0x4569a5&&_0x4569a5[_0xf3dfb4(0x1500)][_0xf3dfb4(0x251)](_0xf3dfb4(0x20a9)),_0x50daa9[_0xf3dfb4(0x1390)](_0xf3dfb4(0x770)),_0x14e0c7[_0xf3dfb4(0x152a)](),_0xf0c1f0();}function _0x1020b4(_0x5bf83d){const _0x5a201c=_0x370dbd;_0x5bf83d&&_0x5bf83d[_0x5a201c(0x1fbd)](),_0x446af6[_0x5a201c(0x1081)](![]),_0x44100c[_0x5a201c(0x692)]('msNavigation::collapse'),_0x50daa9[_0x5a201c(0x1e55)](_0x5a201c(0x770)),_0x5a2daf[_0x5a201c(0x152a)](),_0x4502f4();}_0x4abfdf['toggleFolded']=function(){const _0x11aac2=_0x370dbd,_0x27afd6=_0x446af6[_0x11aac2(0x1c0c)]();_0x4a77f9(!_0x27afd6);},_0x4abfdf[_0x370dbd(0x1d6)]('$stateChangeStart',function(){const _0x57ab81=_0x370dbd;_0x5b01bb[_0x57ab81(0xf3b)]();}),_0x4abfdf[_0x370dbd(0x1d6)](_0x370dbd(0x291c),function(){const _0x121b1b=_0x370dbd;_0x5a2daf[_0x121b1b(0x28b2)](_0x121b1b(0x227d)),_0x14e0c7[_0x121b1b(0x28b2)]('mouseenter\x20touchstart');});};}};}function _0x4ecc2c(_0x485c9c,_0x49740f,_0x2522c9,_0x502d01,_0x1bc9f7,_0x5b2b69){const _0x26410c=_0x5537c6,_0x1950bb=this;_0x1950bb[_0x26410c(0x1853)]=_0x49740f,_0x1950bb[_0x26410c(0x2013)]=_0x485c9c[_0x26410c(0x2013)],_0x1950bb[_0x26410c(0x161c)]=undefined,_0x1950bb['collapsed']=undefined,_0x1950bb[_0x26410c(0x16c3)]=undefined,_0x1950bb['group']=undefined,_0x1950bb['animateHeightClass']=_0x26410c(0x1e8c),_0x1950bb[_0x26410c(0xe65)]=_0x51acec,_0x1950bb[_0x26410c(0x1a8d)]=_0x24cb25,_0x1950bb['expand']=_0x8e4fe1,_0x1950bb[_0x26410c(0x2aa)]=_0x3a482e,_0x1950bb[_0x26410c(0x1b7d)]=_0x351210,_0x5070b3();function _0x5070b3(){const _0x4dc2d9=_0x26410c;_0x1950bb[_0x4dc2d9(0x161c)]=_0x1950bb[_0x4dc2d9(0x2013)][_0x4dc2d9(0x27a5)][_0x4dc2d9(0xfd0)]>0x0,_0x1950bb[_0x4dc2d9(0x716)]=!!(angular[_0x4dc2d9(0x13b8)](_0x1950bb[_0x4dc2d9(0x2013)]['group'])&&_0x1950bb[_0x4dc2d9(0x2013)][_0x4dc2d9(0x716)]===!![]);!_0x1950bb[_0x4dc2d9(0x161c)]||_0x1950bb[_0x4dc2d9(0x716)]?_0x1950bb[_0x4dc2d9(0x16c3)]=![]:_0x1950bb[_0x4dc2d9(0x16c3)]=!!(angular['isUndefined'](_0x1950bb['node'][_0x4dc2d9(0x16c3)])||typeof _0x1950bb[_0x4dc2d9(0x2013)]['collapsable']!==_0x4dc2d9(0x1600)||_0x1950bb['node'][_0x4dc2d9(0x16c3)]===!![]);!_0x1950bb['collapsable']?_0x1950bb[_0x4dc2d9(0xc7d)]=![]:_0x1950bb[_0x4dc2d9(0xc7d)]=!!(angular[_0x4dc2d9(0x2051)](_0x1950bb[_0x4dc2d9(0x2013)][_0x4dc2d9(0xc7d)])||typeof _0x1950bb['node']['collapsed']!==_0x4dc2d9(0x1600)||_0x1950bb[_0x4dc2d9(0x2013)][_0x4dc2d9(0xc7d)]===!![]);if(_0x1950bb[_0x4dc2d9(0x2013)][_0x4dc2d9(0x27e0)]===_0x1bc9f7['current'][_0x4dc2d9(0x16b6)]||_0x1bc9f7[_0x4dc2d9(0x250a)](_0x1950bb[_0x4dc2d9(0x2013)][_0x4dc2d9(0x27e0)])){if(angular[_0x4dc2d9(0x13b8)](_0x1950bb[_0x4dc2d9(0x2013)]['stateParams'])&&angular[_0x4dc2d9(0x13b8)](_0x1bc9f7[_0x4dc2d9(0x1dfe)])&&!angular['equals'](_0x1950bb[_0x4dc2d9(0x2013)]['stateParams'],_0x1bc9f7['params']))return;_0x485c9c[_0x4dc2d9(0x251)](_0x4dc2d9(0x20a9)),_0x5b2b69[_0x4dc2d9(0x144b)](_0x1950bb[_0x4dc2d9(0x2013)],_0x485c9c);}_0x485c9c['$on'](_0x4dc2d9(0x20a9),function(){const _0x5e65fb=_0x4dc2d9;_0x1950bb['collapsable']&&_0x1950bb[_0x5e65fb(0xc7d)]&&_0x485c9c[_0x5e65fb(0x2568)](function(){const _0x29795d=_0x5e65fb;_0x1950bb[_0x29795d(0xc7d)]=![];});}),_0x485c9c[_0x4dc2d9(0x1d6)](_0x4dc2d9(0x285d),function(_0x39478a,_0x404386){const _0xef015c=_0x4dc2d9;if(_0x1950bb['collapsed']||!_0x1950bb[_0xef015c(0x16c3)])return;if(angular[_0xef015c(0x2051)](_0x404386))_0x1950bb[_0xef015c(0x1a8d)]();else{const _0x5ad7c4=_0x404386[_0xef015c(0xbe1)]('.');let _0xa5cf2=[];const _0x503318=_0x5b2b69[_0xef015c(0x287d)]();_0x503318&&(_0xa5cf2=_0x503318[_0xef015c(0x2013)]['_path']['split']('.'));if(_0x5ad7c4[_0xef015c(0xd8a)](_0x1950bb[_0xef015c(0x2013)]['_id'])>-0x1)return;if(_0xa5cf2[_0xef015c(0xd8a)](_0x1950bb[_0xef015c(0x2013)][_0xef015c(0xa09)])>-0x1)return;_0x1950bb[_0xef015c(0x1a8d)]();}}),_0x485c9c[_0x4dc2d9(0x1d6)](_0x4dc2d9(0x19fa),function(){const _0xc883d5=_0x4dc2d9;if(_0x1950bb[_0xc883d5(0x2013)]['state']===_0x1bc9f7['current'][_0xc883d5(0x16b6)]){if(angular[_0xc883d5(0x13b8)](_0x1950bb[_0xc883d5(0x2013)][_0xc883d5(0x1349)])&&angular[_0xc883d5(0x13b8)](_0x1bc9f7[_0xc883d5(0x1dfe)])&&!angular[_0xc883d5(0x1c53)](_0x1950bb[_0xc883d5(0x2013)][_0xc883d5(0x1349)],_0x1bc9f7['params']))return;_0x5b2b69['setActiveItem'](_0x1950bb[_0xc883d5(0x2013)],_0x485c9c),_0x2522c9[_0xc883d5(0x692)]('msNavigation::collapse',_0x1950bb[_0xc883d5(0x2013)][_0xc883d5(0x2d3)]);}if(_0x1bc9f7[_0xc883d5(0x250a)](_0x1950bb['node'][_0xc883d5(0x27e0)])){if(angular[_0xc883d5(0x13b8)](_0x1950bb[_0xc883d5(0x2013)][_0xc883d5(0x1349)])&&angular['isDefined'](_0x1bc9f7['params'])&&!angular[_0xc883d5(0x1c53)](_0x1950bb['node'][_0xc883d5(0x1349)],_0x1bc9f7[_0xc883d5(0x1dfe)]))return;_0x485c9c['$emit']('msNavigation::stateMatched');}});}function _0x51acec(){const _0x4c6ad2=_0x26410c;_0x1950bb['collapsed']?_0x1950bb[_0x4c6ad2(0x1c28)]():_0x1950bb[_0x4c6ad2(0x1a8d)]();}function _0x24cb25(){const _0xed2e3b=_0x26410c,_0x58b91a=_0x1950bb[_0xed2e3b(0x1853)][_0xed2e3b(0x27a5)]('ul'),_0x449be1=_0x58b91a[0x0]['offsetHeight'];_0x485c9c['$evalAsync'](function(){const _0x20e335=_0xed2e3b;_0x1950bb['collapsed']=!![],_0x1950bb[_0x20e335(0x1853)][_0x20e335(0x1390)](_0x20e335(0x1536)),_0x502d01[_0x20e335(0xb53)](_0x58b91a,{'display':_0x20e335(0x1e0e),'height':_0x449be1+'px'},{'height':_0x20e335(0x1127)},_0x1950bb[_0x20e335(0x1e02)])['then'](function(){const _0x2da99f=_0x20e335;_0x58b91a[_0x2da99f(0x949)]({'display':'','height':''}),_0x1950bb[_0x2da99f(0x1853)][_0x2da99f(0x1e55)](_0x2da99f(0x1536));}),_0x485c9c['$broadcast'](_0x20e335(0x285d));});}function _0x8e4fe1(){const _0x5af205=_0x26410c,_0x5dfee6=_0x1950bb[_0x5af205(0x1853)]['children']('ul');_0x5dfee6[_0x5af205(0x949)]({'position':_0x5af205(0x29c),'visibility':_0x5af205(0x3f8),'display':_0x5af205(0x1e0e),'height':_0x5af205(0x968)});const _0x4b0eab=_0x5dfee6[0x0][_0x5af205(0x230f)];_0x5dfee6[_0x5af205(0x949)]({'position':'','visibility':'','display':'','height':''}),_0x485c9c[_0x5af205(0x2568)](function(){const _0x43a701=_0x5af205;_0x1950bb[_0x43a701(0xc7d)]=![],_0x1950bb['element'][_0x43a701(0x1390)](_0x43a701(0xd8b)),_0x502d01[_0x43a701(0xb53)](_0x5dfee6,{'display':_0x43a701(0x1e0e),'height':_0x43a701(0x1127)},{'height':_0x4b0eab+'px'},_0x1950bb[_0x43a701(0x1e02)])['then'](function(){const _0x5dcccf=_0x43a701;_0x5dfee6[_0x5dcccf(0x949)]({'height':''}),_0x1950bb[_0x5dcccf(0x1853)][_0x5dcccf(0x1e55)](_0x5dcccf(0xd8b));}),_0x2522c9['$broadcast'](_0x43a701(0x285d),_0x1950bb['node'][_0x43a701(0x2d3)]);});}function _0x3a482e(){const _0x4df086=_0x26410c;return _0x1950bb[_0x4df086(0x2013)][_0x4df086(0x2800)];}function _0x351210(){const _0x911aa0=_0x26410c;if(angular[_0x911aa0(0x13b8)](_0x1950bb[_0x911aa0(0x2013)]['hidden'])&&angular[_0x911aa0(0x1e2c)](_0x1950bb['node'][_0x911aa0(0x3f8)]))return _0x1950bb[_0x911aa0(0x2013)][_0x911aa0(0x3f8)]();return![];}}function _0x1312ec(){return{'restrict':'A','bindToController':{'node':'=msNavigationNode'},'controller':'MsNavigationNodeController\x20as\x20vm','compile':function(_0x46abb0){const _0x4fdacf=a0_0x5cbd;return _0x46abb0[_0x4fdacf(0x1390)](_0x4fdacf(0x2740)),function _0x29bab3(_0x4fbc6c,_0x47690a,_0x1ed9f8,_0x159d72){const _0x117f08=_0x4fdacf;_0x47690a['addClass'](_0x159d72[_0x117f08(0x2aa)]()),_0x159d72[_0x117f08(0x716)]&&_0x47690a[_0x117f08(0x1390)](_0x117f08(0x716));};}};}function _0xefa6b9(){const _0xad14e6=_0x5537c6;return{'restrict':'A','require':_0xad14e6(0x1914),'compile':function(_0x4e3004){const _0x3ee8e1=_0xad14e6;return _0x4e3004[_0x3ee8e1(0x1390)](_0x3ee8e1(0xd77)),function _0x6646aa(_0x1cf4d3,_0x51d980,_0x1d39f1,_0x417297){const _0x1784e0=_0x3ee8e1;_0x417297[_0x1784e0(0x16c3)]&&_0x51d980['on']('click',_0x417297[_0x1784e0(0xe65)]),_0x1cf4d3[_0x1784e0(0x1d6)](_0x1784e0(0x291c),function(){const _0x8cf4cd=_0x1784e0;_0x51d980[_0x8cf4cd(0x28b2)](_0x8cf4cd(0x20b8));});};}};}function _0x2e8f96(_0x1797bd){const _0x3e5a53=_0x5537c6;return{'restrict':'E','scope':{'root':'@'},'controller':_0x3e5a53(0xd6a),'templateUrl':_0x243d9f,'transclude':!![],'compile':function(_0x3af97d){const _0x13c3b4=_0x3e5a53;return _0x3af97d[_0x13c3b4(0x1390)](_0x13c3b4(0x1eaa)),function _0x592f1f(_0x3a75df){_0x1797bd['setNavigationScope'](_0x3a75df);};}};}function _0xd43ca7(_0x4ce7b0,_0x42f615,_0x4c0b27,_0x391f65,_0x46f7ec){const _0x1eace6=_0x5537c6,_0x117f02=this;_0x117f02[_0x1eace6(0x1853)]=_0x42f615,_0x117f02[_0x1eace6(0x2013)]=_0x4ce7b0[_0x1eace6(0x2013)],_0x117f02[_0x1eace6(0x161c)]=undefined,_0x117f02['group']=undefined,_0x117f02[_0x1eace6(0x2aa)]=_0x5476d1,_0x9a39c2();function _0x9a39c2(){const _0x107e65=_0x1eace6;_0x117f02['isActive']=![],_0x117f02[_0x107e65(0x161c)]=_0x117f02[_0x107e65(0x2013)][_0x107e65(0x27a5)][_0x107e65(0xfd0)]>0x0,_0x117f02[_0x107e65(0x716)]=!!(angular[_0x107e65(0x13b8)](_0x117f02['node']['group'])&&_0x117f02['node'][_0x107e65(0x716)]===!![]);if(_0x117f02[_0x107e65(0x2013)]['state']===_0x391f65[_0x107e65(0x1970)][_0x107e65(0x16b6)]||_0x391f65['includes'](_0x117f02['node'][_0x107e65(0x27e0)])){if(angular[_0x107e65(0x13b8)](_0x117f02[_0x107e65(0x2013)][_0x107e65(0x1349)])&&angular[_0x107e65(0x13b8)](_0x391f65[_0x107e65(0x1dfe)])&&!angular[_0x107e65(0x1c53)](_0x117f02[_0x107e65(0x2013)]['stateParams'],_0x391f65[_0x107e65(0x1dfe)]))return;_0x4ce7b0[_0x107e65(0x251)]('msNavigation::stateMatched'),_0x46f7ec[_0x107e65(0x144b)](_0x117f02[_0x107e65(0x2013)],_0x4ce7b0);}_0x4ce7b0[_0x107e65(0x1d6)](_0x107e65(0x20a9),function(){const _0x265612=_0x107e65;_0x117f02['hasChildren']&&_0x4ce7b0[_0x265612(0x2568)](function(){const _0x5f37b6=_0x265612;_0x117f02[_0x5f37b6(0x27b8)]=!![];});}),_0x4ce7b0[_0x107e65(0x1d6)](_0x107e65(0x2411),function(){const _0x62b5b9=_0x107e65;if(!_0x117f02['hasChildren'])return;let _0x4f6b96=[];const _0x26d99b=_0x46f7ec['getActiveItem']();_0x26d99b&&(_0x4f6b96=_0x26d99b[_0x62b5b9(0x2013)][_0x62b5b9(0x2d3)]['split']('.')),_0x4f6b96[_0x62b5b9(0xd8a)](_0x117f02['node'][_0x62b5b9(0xa09)])>-0x1?_0x4ce7b0[_0x62b5b9(0x2568)](function(){const _0x116f8f=_0x62b5b9;_0x117f02[_0x116f8f(0x27b8)]=!![];}):_0x4ce7b0['$evalAsync'](function(){const _0x35ed75=_0x62b5b9;_0x117f02[_0x35ed75(0x27b8)]=![];});}),_0x4ce7b0['$on']('$stateChangeSuccess',function(){const _0x4c3f87=_0x107e65;if(_0x117f02[_0x4c3f87(0x2013)][_0x4c3f87(0x27e0)]===_0x391f65['current']['name']||_0x391f65[_0x4c3f87(0x250a)](_0x117f02['node'][_0x4c3f87(0x27e0)])){if(angular[_0x4c3f87(0x13b8)](_0x117f02[_0x4c3f87(0x2013)][_0x4c3f87(0x1349)])&&angular[_0x4c3f87(0x13b8)](_0x391f65['params'])&&!angular[_0x4c3f87(0x1c53)](_0x117f02[_0x4c3f87(0x2013)]['stateParams'],_0x391f65[_0x4c3f87(0x1dfe)]))return;_0x46f7ec[_0x4c3f87(0x144b)](_0x117f02[_0x4c3f87(0x2013)],_0x4ce7b0),_0x4c0b27[_0x4c3f87(0x692)](_0x4c3f87(0x2411));}});}function _0x5476d1(){const _0x1f11a8=_0x1eace6;return _0x117f02['node'][_0x1f11a8(0x2800)];}}function _0x52f023(){const _0xc4ff09=_0x5537c6;return{'restrict':'A','bindToController':{'node':'=msNavigationHorizontalNode'},'controller':_0xc4ff09(0x534),'compile':function(_0x31281f){const _0x151349=_0xc4ff09;return _0x31281f[_0x151349(0x1390)](_0x151349(0x159b)),function _0x5eda9d(_0x38d1d9,_0x1f6fc5,_0x336b38,_0x3acf0c){const _0x1205e2=_0x151349;_0x1f6fc5[_0x1205e2(0x1390)](_0x3acf0c[_0x1205e2(0x2aa)]()),_0x3acf0c[_0x1205e2(0x716)]&&_0x1f6fc5['addClass'](_0x1205e2(0x716));};}};}function _0x55043b(_0x37f9be){return{'restrict':'A','require':'^msNavigationHorizontalNode','compile':function(_0x7cd483){const _0x27eeb9=a0_0x5cbd;return _0x7cd483[_0x27eeb9(0x1390)]('ms-navigation-horizontal-item'),function _0x528608(_0x49fdb2,_0xb0109e,_0x459caf,_0x5dfbfc){const _0xc4ffc0=_0x27eeb9;_0xb0109e['on']('click',_0x29fef1);function _0x29fef1(){const _0x5918b5=a0_0x5cbd;if(!_0x5dfbfc[_0x5918b5(0x161c)]||_0x37f9be('gt-md'))return;_0xb0109e[_0x5918b5(0x713)](_0x5918b5(0x7bf));}_0x49fdb2[_0xc4ffc0(0x1d6)](_0xc4ffc0(0x291c),function(){const _0x367e97=_0xc4ffc0;_0xb0109e['off'](_0x367e97(0x20b8));});};}};};const _0x3650c2=_0x5074a3['p']+'src/js/modules/core/directives/ms-phonebar/record/dialog.html/dialog.html';;const _0x9edc83=_0x5074a3['p']+_0x5537c6(0x1ca9);;const _0x12560f=_0x5074a3['p']+_0x5537c6(0x346);;const _0x1bfba1=_0x5074a3['p']+'src/js/modules/core/directives/ms-phonebar/ms-phonebar.html/ms-phonebar.html';var _0x247e73=_0x5074a3(0x175b),_0x3d27e7=_0x5074a3['n'](_0x247e73),_0x397290=_0x5074a3(0x26ba),_0x30dee9=_0x5074a3['n'](_0x397290);;_0x5071e2[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x22bf),_0x5537c6(0x2168),_0x5537c6(0x1714),_0x5537c6(0xcb9),'$mdToast',_0x5537c6(0x1f10),_0x5537c6(0x1ae),_0x5537c6(0x2704),'toasty',_0x5537c6(0x142b),'motNotification',_0x5537c6(0x1774),'socket'];function _0x5071e2(_0x406458,_0x32cede,_0x2a6f9a,_0x5a6310,_0x56c7ab,_0x3024ad,_0x1fc706,_0x4f8f8b,_0x46f676,_0x297786,_0xfb0203,_0x2147f3,_0x6b352,_0x15f0c6){const _0x5e13a1=_0x5537c6,_0x10008f=this,_0x35e729=window[_0x5e13a1(0xd80)];_0x10008f['baseUrl']=_0xfb0203[_0x5e13a1(0x29ae)],_0x10008f[_0x5e13a1(0x147a)]=_0x406458['$parent']['vm'][_0x5e13a1(0x147a)];const _0x5a9ca3=[_0x5e13a1(0x1a5d),_0x5e13a1(0x2409),'microphoneId',_0x5e13a1(0x446),_0x5e13a1(0x107f),_0x5e13a1(0x50f),_0x5e13a1(0xe7c),'speakerMute','microphoneMute'],_0x428d08=_0x10008f[_0x5e13a1(0x147a)]&&JSON[_0x5e13a1(0xefe)](_0x1fc706[_0x5e13a1(0x1b83)][_0x5e13a1(0x2091)]('motion2.user:'+_0x10008f[_0x5e13a1(0x147a)]['id']))||{'webrtc':{'ringingVolume':0.5,'speakerVolume':0.5,'microphoneVolume':0x1,'ringingMute':![],'speakerMute':![],'microphoneMute':![]}};_0x5a6310(function(){},0x3e7),_0x10008f['direction']='right',_0x10008f[_0x5e13a1(0xfeb)]=_0x5e13a1(0xb6c),_0x10008f['target']='',_0x10008f[_0x5e13a1(0xdaa)]=![],_0x10008f[_0x5e13a1(0xbd9)]=document[_0x5e13a1(0x8c6)](_0x5e13a1(0x297d)),_0x10008f[_0x5e13a1(0x5ea)]=document[_0x5e13a1(0x26ee)](_0x10008f[_0x5e13a1(0x147a)][_0x5e13a1(0xf77)]),_0x10008f[_0x5e13a1(0xbd9)][_0x5e13a1(0x22ef)]=0x1,_0x10008f[_0x5e13a1(0x1cea)]={'mediaConstraints':{'audio':!![],'video':![]},'pcConfig':{'iceServers':[]}},_0x10008f['sessions']=[],_0x10008f['calls']=[],_0x10008f[_0x5e13a1(0x2509)]=[],_0x10008f[_0x5e13a1(0x191d)]=![],_0x10008f[_0x5e13a1(0x1594)]=![],_0x10008f[_0x5e13a1(0x4be)]=[],_0x10008f['ua']=new(_0x3d27e7())['UA']({'sockets':[new(_0x3d27e7())[(_0x5e13a1(0xbfe))](_0x5e13a1(0x273c)+_0x10008f[_0x5e13a1(0x147a)]['host']+_0x5e13a1(0x1d12))],'uri':new(_0x3d27e7())[(_0x5e13a1(0x1c4f))](_0x5e13a1(0x11f7),_0x10008f[_0x5e13a1(0x147a)]['name'],_0x10008f['conf'][_0x5e13a1(0x17d8)],0x13c4,null,null)[_0x5e13a1(0x147f)](),'authorization_user':_0x10008f[_0x5e13a1(0x147a)]['name'],'ha1':_0x10008f['conf']['ha1'],'realm':_0x10008f[_0x5e13a1(0x147a)][_0x5e13a1(0x51f)],'user_agent':_0x10008f[_0x5e13a1(0x147a)]['ua'],'session_timers_refresh_method':_0x5e13a1(0x9e9),'register_expires':_0x10008f[_0x5e13a1(0x147a)]['phoneBarExpires']||0x3c,'register':!![]}),_0x10008f[_0x5e13a1(0x147a)]=_0x39641b()[_0x5e13a1(0x9c1)](_0x428d08[_0x5e13a1(0x110c)],_0x10008f[_0x5e13a1(0x147a)]),_0x10008f[_0x5e13a1(0x4e6)]=![],_0x10008f[_0x5e13a1(0x6f4)]=![],_0x10008f['isJabraInitialized']=![],_0x10008f['jabraSession']=null,_0x10008f[_0x5e13a1(0x633)]=![],_0x10008f[_0x5e13a1(0x1c9f)]=![],_0x10008f[_0x5e13a1(0x223d)]=![];function _0x4d0dd1(){const _0x4e8c42=_0x5e13a1;_0x34c3da(![]),navigator[_0x4e8c42(0x7e3)][_0x4e8c42(0x19df)]=function(){const _0x109a9e=_0x4e8c42;!_0x10008f[_0x109a9e(0x4e6)]&&(_0x10008f[_0x109a9e(0x4e6)]=!![],_0x35e729&&_0x10008f[_0x109a9e(0x25ab)]?_0x35e729[_0x109a9e(0x188f)]()['then'](function(){const _0x1b5386=_0x109a9e;_0x10008f[_0x1b5386(0x25ab)]=![],_0x10008f[_0x1b5386(0x6f4)]=![],_0x34c3da(!![]);})[_0x109a9e(0x1c4)](function(_0x500dc4){const _0x56184b=_0x109a9e;console['error'](_0x56184b(0x224b),_0x500dc4);}):_0x34c3da(!![]));};const _0x5d5d0e=[_0xfb0203[_0x4e8c42(0x21cc)][_0x4e8c42(0xbf7)]({'type':_0x4e8c42(0x2584),'nolimit':!![]})['$promise'],_0xfb0203[_0x4e8c42(0x21cc)][_0x4e8c42(0xbf7)]({'type':'stun','nolimit':!![]})[_0x4e8c42(0x1d77)]];Promise[_0x4e8c42(0x223b)](_0x5d5d0e)[_0x4e8c42(0x1cb0)](function(_0x5174a0){const _0x5617e5=_0x4e8c42,_0x289674=_0x5174a0[0x0][_0x5617e5(0x2214)],_0x5acbb7=_0x5174a0[0x1]['rows'],_0x3c17c8=[],_0x222ad2=[];_0x5acbb7[_0x5617e5(0xf90)](function(_0x2cf0ff){_0x222ad2['push']('stun:'+_0x2cf0ff['value']);}),_0x3c17c8[_0x5617e5(0x2785)]({'urls':_0x222ad2}),_0x289674[_0x5617e5(0xf90)](function(_0x31657f){const _0x1aaaf7=_0x5617e5,_0x56833f={'urls':_0x1aaaf7(0x294b)+_0x31657f[_0x1aaaf7(0x327)]};_0x31657f[_0x1aaaf7(0x1ba7)]&&(_0x56833f[_0x1aaaf7(0x1ba7)]=_0x31657f[_0x1aaaf7(0x1ba7)]),_0x31657f[_0x1aaaf7(0x381)]&&(_0x56833f[_0x1aaaf7(0x35e)]=_0x31657f[_0x1aaaf7(0x381)]),_0x3c17c8['push'](_0x56833f);}),_0x10008f[_0x5617e5(0x1cea)][_0x5617e5(0x15a8)]={'iceServers':_0x3c17c8};}),this['ua']['on'](_0x4e8c42(0x1117),_0x1a1c67[_0x4e8c42(0x1dbd)](this)),this['ua']['on'](_0x4e8c42(0x1cb),_0x554005['bind'](this)),this['ua']['on'](_0x4e8c42(0x1cd4),_0x29f9d1[_0x4e8c42(0x1dbd)](this)),_0x15f0c6['on'](_0x4e8c42(0x1aa9),_0x1a40f5[_0x4e8c42(0x1dbd)](this)),_0x15f0c6['on'](_0x4e8c42(0x1d97),_0x32fd12['bind'](this)),_0x15f0c6['on'](_0x4e8c42(0x215e),_0x5d651d[_0x4e8c42(0x1dbd)](this)),_0x15f0c6['on']('webbar:hold',_0x69539c[_0x4e8c42(0x1dbd)](this)),_0x15f0c6['on'](_0x4e8c42(0x1b78),_0x3d466a[_0x4e8c42(0x1dbd)](this)),_0x15f0c6['on']('webbar:transfer',_0x5b1f5b[_0x4e8c42(0x1dbd)](this));}function _0x34c3da(_0x240e40){const _0x1c5e92=_0x5e13a1;let _0x3e7fc1,_0x2b2729,_0x5c8cb6;return _0x10008f['initDeviceInProgress']=!![],navigator[_0x1c5e92(0x7e3)][_0x1c5e92(0x2629)]({'audio':!![]})['then'](function(_0x39c5c1){const _0x6cf9da=_0x1c5e92;return _0x39c5c1[_0x6cf9da(0x9dc)]()[_0x6cf9da(0xf90)](function(_0x409140){_0x409140['stop']();}),navigator['mediaDevices'][_0x6cf9da(0x38c)]();})['then'](function(_0x33a238){const _0x4154fb=_0x1c5e92,_0x517dbf=_0x39641b()[_0x4154fb(0x1c99)](_0x33a238,function(_0x4319cc){const _0x35084f=_0x4154fb;return _0x4319cc['kind']===_0x35084f(0x1d2b)&&_0x4319cc['deviceId']!==_0x35084f(0x24c8)&&_0x4319cc[_0x35084f(0x5ec)]!==_0x35084f(0x1afd);});if(_0x517dbf['length']>0x0){const _0x39fd42=_0x39641b()['find'](_0x33a238,function(_0x431b9f){const _0x4194ed=_0x4154fb;return _0x431b9f[_0x4194ed(0x5ec)]===_0x4194ed(0x24c8)&&_0x431b9f['kind']==='audioinput';});_0x5c8cb6=_0x39641b()[_0x4154fb(0x13b4)](_0x517dbf,function(_0x1bbd41){const _0x4e8368=_0x4154fb;return _0x1bbd41['groupId']===_0x39fd42[_0x4e8368(0x2491)];});if(_0x10008f[_0x4154fb(0x147a)][_0x4154fb(0x1daa)]&&!_0x240e40){const _0x4de38f=_0x39641b()['find'](_0x517dbf,function(_0x5be0e7){const _0x3ee32e=_0x4154fb;return _0x5be0e7[_0x3ee32e(0x5ec)]===_0x10008f[_0x3ee32e(0x147a)][_0x3ee32e(0x1daa)];});_0x4de38f&&(_0x5c8cb6=_0x4de38f);}_0x10008f['conf']['microphoneId']=_0x5c8cb6[_0x4154fb(0x5ec)];}else _0x297786[_0x4154fb(0x1752)]({'title':_0x4f8f8b['instant']('TOOLBAR.WARNING'),'msg':_0x4f8f8b[_0x4154fb(0x25cc)](_0x4154fb(0x2546)),'clickToClose':!![],'showClose':!![],'shake':![],'timeout':![],'position':_0x4154fb(0x196d)});_0x10008f['conf'][_0x4154fb(0x1daa)]?_0x10008f[_0x4154fb(0x1cea)]['mediaConstraints'][_0x4154fb(0x297d)]={'deviceId':_0x10008f[_0x4154fb(0x147a)]['microphoneId']}:_0x10008f[_0x4154fb(0x1cea)][_0x4154fb(0x84f)][_0x4154fb(0x297d)]=!![];_0x104e2e(_0x4154fb(0xf44),![]);const _0x5ad82b=_0x39641b()[_0x4154fb(0x1c99)](_0x33a238,function(_0x113b57){const _0x3a3949=_0x4154fb;return _0x113b57[_0x3a3949(0xffc)]==='audiooutput'&&_0x113b57[_0x3a3949(0x5ec)]!==_0x3a3949(0x24c8)&&_0x113b57[_0x3a3949(0x5ec)]!==_0x3a3949(0x1afd);});if(_0x5ad82b[_0x4154fb(0xfd0)]>0x0){const _0x2b391e=_0x39641b()[_0x4154fb(0x13b4)](_0x33a238,function(_0x3cfa4a){const _0x280b06=_0x4154fb;return _0x3cfa4a[_0x280b06(0x5ec)]==='default'&&_0x3cfa4a[_0x280b06(0xffc)]===_0x280b06(0x27c1);});_0x3e7fc1=_0x39641b()[_0x4154fb(0x13b4)](_0x5ad82b,function(_0x32a152){const _0x54786c=_0x4154fb;return _0x32a152[_0x54786c(0x2491)]===_0x2b391e['groupId'];}),_0x2b2729=_0x3e7fc1;if(_0x10008f[_0x4154fb(0x147a)][_0x4154fb(0x2409)]&&!_0x240e40){const _0xbeba71=_0x39641b()[_0x4154fb(0x13b4)](_0x5ad82b,function(_0x5ad0c4){const _0x5102ed=_0x4154fb;return _0x5ad0c4[_0x5102ed(0x5ec)]===_0x10008f['conf'][_0x5102ed(0x2409)];});_0xbeba71&&(_0x2b2729=_0xbeba71);}_0x10008f['conf']['speakerId']=_0x2b2729['deviceId'];if(_0x10008f['conf'][_0x4154fb(0x1a5d)]&&!_0x240e40){const _0x38ea22=_0x39641b()[_0x4154fb(0x13b4)](_0x5ad82b,function(_0x3d9e9e){const _0xbfb996=_0x4154fb;return _0x3d9e9e['deviceId']===_0x10008f[_0xbfb996(0x147a)]['ringingId'];});_0x38ea22&&(_0x3e7fc1=_0x38ea22);}_0x10008f[_0x4154fb(0x147a)]['ringingId']=_0x3e7fc1['deviceId'];}else _0x297786[_0x4154fb(0x1752)]({'title':_0x4f8f8b['instant'](_0x4154fb(0x2ae)),'msg':_0x4f8f8b[_0x4154fb(0x25cc)]('TOOLBAR.WEBRTC_SPEAKER_NOT_AVAILABLE'),'clickToClose':!![],'showClose':!![],'shake':![],'timeout':![],'position':_0x4154fb(0x196d)});return _0x104e2e(_0x4154fb(0x214a),![]);})[_0x1c5e92(0x1cb0)](function(){const _0x1fd649=_0x1c5e92;return _0x104e2e(_0x1fd649(0x1c7e),![]);})[_0x1c5e92(0x1cb0)](function(){const _0x1fb9e0=_0x1c5e92;if(_0x35e729)return _0x35e729[_0x1fb9e0(0x1a8e)]()[_0x1fb9e0(0x1cb0)](function(){const _0x3d80e2=_0x1fb9e0;return _0x10008f[_0x3d80e2(0x25ab)]=!![],_0x35e729[_0x3d80e2(0x231e)]();})[_0x1fb9e0(0x1cb0)](function(){const _0x412723=_0x1fb9e0;return _0x35e729[_0x412723(0x2870)]();})[_0x1fb9e0(0x1cb0)](function(_0x244266){const _0x4e1922=_0x1fb9e0;_0x5c8cb6&&_0x2b2729&&_0x3e7fc1&&_0x244266&&(_0x39641b()[_0x4e1922(0x250a)]([_0x5c8cb6[_0x4e1922(0x2491)],_0x2b2729[_0x4e1922(0x2491)],_0x3e7fc1[_0x4e1922(0x2491)]],_0x244266[_0x4e1922(0x139b)][_0x4e1922(0x24e5)])&&(console['log'](_0x4e1922(0xd2d)),_0x10008f[_0x4e1922(0x6f4)]=!![],_0x35e729['onHook'](),_0x35e729['addEventListener'](_0x4e1922(0x109c),_0x5f04b3[_0x4e1922(0x1dbd)](this)),_0x35e729[_0x4e1922(0x1d92)](_0x4e1922(0xa5f),_0x540d7c['bind'](this)),_0x35e729[_0x4e1922(0x1d92)](_0x4e1922(0xb58),_0x8844fc[_0x4e1922(0x1dbd)](this)),_0x35e729[_0x4e1922(0x1d92)](_0x4e1922(0x2022),_0x9bc45c[_0x4e1922(0x1dbd)](this)),_0x35e729[_0x4e1922(0x1d92)](_0x4e1922(0x246c),_0x27a3d9['bind'](this))));})[_0x1fb9e0(0x1c4)](function(_0x6675ac){const _0x3d5449=_0x1fb9e0;console['warn'](_0x3d5449(0x1264),_0x6675ac);});})['catch'](function(_0x269434){const _0x493e79=_0x1c5e92;console[_0x493e79(0x218e)](_0x493e79(0x1546),_0x269434),_0x269434[_0x493e79(0x16b6)]===_0x493e79(0x467)&&_0x297786[_0x493e79(0x1752)]({'title':_0x4f8f8b[_0x493e79(0x25cc)](_0x493e79(0x2ae)),'msg':_0x4f8f8b[_0x493e79(0x25cc)](_0x493e79(0x3ed)),'clickToClose':!![],'showClose':!![],'shake':![],'timeout':![],'position':_0x493e79(0x196d)});})[_0x1c5e92(0x2e0)](function(){const _0x48d878=_0x1c5e92;_0x10008f['initDeviceInProgress']=![],_0x16173e(),_0x30dee9()[_0x48d878(0x997)](_0x4403d4['bind'](this));});}function _0x5f04b3(_0x53c497){const _0x2615da=_0x5e13a1,_0x52b649=_0x39641b()['last'](_0x10008f[_0x2615da(0x6d4)]);_0x52b649?_0x52b649[_0x2615da(0x979)]==='incoming'&&_0x52b649[_0x2615da(0x254a)]()?_0x10008f[_0x2615da(0x24d5)](_0x52b649):console[_0x2615da(0x218e)]('Unable\x20to\x20find\x20a\x20valid\x20call\x20to\x20answer',_0x53c497):console[_0x2615da(0x218e)](_0x2615da(0x1402),_0x53c497);}function _0x540d7c(){const _0x46c4f7=_0x5e13a1;_0x10008f[_0x46c4f7(0x147a)][_0x46c4f7(0x2591)]=!![],_0x104e2e('microphone',!![]);}function _0x8844fc(){const _0x391e50=_0x5e13a1;_0x10008f[_0x391e50(0x147a)][_0x391e50(0x2591)]=![],_0x104e2e(_0x391e50(0xf44),!![]);}function _0x9bc45c(){const _0x4e6e2d=_0x5e13a1;_0x10008f[_0x4e6e2d(0x1cca)][_0x4e6e2d(0x5f1)]?_0x10008f[_0x4e6e2d(0x12fb)](_0x10008f[_0x4e6e2d(0x1cca)]):_0x10008f['hold'](_0x10008f[_0x4e6e2d(0x1cca)]);}function _0x27a3d9(){const _0x2d8720=_0x5e13a1;_0xf5bca()[_0x2d8720(0x1cb0)](function(_0x39bcde){const _0x396102=_0x2d8720;_0x39bcde!==null&&_0x10008f[_0x396102(0x137d)](_0x39bcde);});}function _0x16173e(){const _0x309ed7=_0x5e13a1;_0x428d08['webrtc']=_0x39641b()[_0x309ed7(0x169b)](_0x10008f[_0x309ed7(0x147a)],_0x5a9ca3),_0x1fc706[_0x309ed7(0x1b83)]['setItem'](_0x309ed7(0x2743)+_0x10008f[_0x309ed7(0x147a)]['id'],JSON[_0x309ed7(0x2701)](_0x428d08));}function _0x1a1c67(){const _0x41170b=_0x5e13a1;this[_0x41170b(0x1117)]=!![];}function _0x554005(){const _0x597310=_0x5e13a1;this[_0x597310(0x1117)]=![];}function _0x4403d4(){const _0x1721e6=_0x5e13a1;_0x10008f[_0x1721e6(0x147a)][_0x1721e6(0x1309)]=_0x30dee9()[_0x1721e6(0x1309)],_0x10008f['conf'][_0x1721e6(0x1636)]=_0x30dee9()[_0x1721e6(0x64f)][_0x1721e6(0x16b6)]===_0x1721e6(0xdb6)||_0x30dee9()[_0x1721e6(0x64f)][_0x1721e6(0x16b6)]===_0x1721e6(0xd45)||_0x30dee9()[_0x1721e6(0x64f)][_0x1721e6(0x16b6)]==='Opera'?_0x30dee9()[_0x1721e6(0x1636)]:!![],_0x10008f[_0x1721e6(0x147a)][_0x1721e6(0x234f)]=_0x30dee9()[_0x1721e6(0x234f)],_0x10008f['conf'][_0x1721e6(0x2867)]=_0x30dee9()[_0x1721e6(0x2867)],_0x10008f[_0x1721e6(0x147a)][_0x1721e6(0x2165)]=_0x30dee9()[_0x1721e6(0x2165)],_0x10008f[_0x1721e6(0x147a)][_0x1721e6(0x2ed)]=_0x30dee9()[_0x1721e6(0x64f)]['name'],_0x10008f[_0x1721e6(0x147a)][_0x1721e6(0x9e6)]=_0x30dee9()[_0x1721e6(0x64f)][_0x1721e6(0x23cc)];if(_0x522dd7())!_0x10008f['register']&&_0x10008f['ua'][_0x1721e6(0xf51)]();else!_0x10008f[_0x1721e6(0x147a)][_0x1721e6(0x8a5)]&&_0x297786['warning']({'title':_0x4f8f8b['instant'](_0x1721e6(0x2ae)),'msg':_0x4f8f8b[_0x1721e6(0x25cc)](_0x1721e6(0xa7b)),'clickToClose':!![],'showClose':!![],'shake':![],'timeout':![],'position':_0x1721e6(0x196d)});}function _0x522dd7(){const _0x394847=_0x5e13a1;return _0x10008f[_0x394847(0x147a)][_0x394847(0x8a5)]&&_0x10008f['conf'][_0x394847(0x234f)]&&_0x10008f[_0x394847(0x147a)][_0x394847(0x1309)]&&_0x10008f['conf'][_0x394847(0x1636)]&&_0x10008f[_0x394847(0x147a)]['protocol']===_0x394847(0xad4)&&_0x4b700e();}function _0x4b700e(){const _0x4f75d4=_0x5e13a1;return _0x10008f[_0x4f75d4(0x147a)]['browserName'][_0x4f75d4(0x1680)]()==='chrome'||_0x10008f[_0x4f75d4(0x147a)][_0x4f75d4(0x2ed)][_0x4f75d4(0x1680)]()===_0x4f75d4(0xc24)||_0x10008f['conf'][_0x4f75d4(0x2ed)][_0x4f75d4(0x1680)]()==='safari';}function _0x29f9d1(_0x141417){const _0x36755c=_0x5e13a1;console[_0x36755c(0xbf5)](_0x36755c(0x15a1),_0x141417);const _0x32e230=_0x141417['session'];let _0x2e52ba=!![],_0x4341e8='',_0x24a61d=0x0,_0x41ed09;switch(_0x141417[_0x36755c(0x1db2)]){case _0x36755c(0xf11):{_0x32e230['outgoing']=!![],_0x32e230[_0x36755c(0x16b6)]=_0x141417[_0x36755c(0x25eb)][_0x36755c(0x1a84)][_0x36755c(0xebe)],_0x32e230[_0x36755c(0xebe)]=_0x141417['request']['ruri']['user'],_0x48a9df(_0x32e230[_0x36755c(0xebe)],![]);const _0x581a27='X-callback-url';_0x41ed09=_0x4080fa(_0x141417[_0x36755c(0x25eb)][_0x36755c(0x2029)],_0x581a27),_0x10008f[_0x36755c(0x6f4)]&&(_0x10008f['jabraSession']&&_0x35e729['resume'](),_0x10008f[_0x36755c(0x1cca)]=_0x32e230,_0x35e729['offHook']());}break;case'remote':{const _0x59ad51=_0x6b352[_0x36755c(0x21e8)]();if(_0x59ad51[_0x36755c(0x2478)]&&_0x59ad51[_0x36755c(0x24de)]||_0x10008f[_0x36755c(0x223d)])_0x2e52ba=![];else{_0x32e230[_0x36755c(0x985)]=!![],_0x32e230[_0x36755c(0x16b6)]=_0x141417['request']['from'][_0x36755c(0xd88)],_0x32e230[_0x36755c(0xebe)]=_0x141417[_0x36755c(0x25eb)][_0x36755c(0x174d)][_0x36755c(0xa0b)][_0x36755c(0xebe)],_0x4341e8=_0x141417[_0x36755c(0x25eb)][_0x36755c(0x1ab2)](_0x36755c(0x8a0))||'',_0x24a61d=_0x141417['request'][_0x36755c(0x1ab2)]('X-Answer-After')||0x0,_0x22cbe5(_0x141417[_0x36755c(0xb77)]),_0x48a9df(_0x141417[_0x36755c(0xb77)][_0x36755c(0xebe)],!![]);_0x10008f[_0x36755c(0x6f4)]&&_0x35e729['ring']();_0x10008f[_0x36755c(0x147a)][_0x36755c(0x1d39)]&&_0x2a6f9a(function(){const _0x13883d=_0x36755c;_0x32e230[_0x13883d(0x254a)]()&&(_0x32e230[_0x13883d(0x1d39)]=!![],_0x3abb73(_0x32e230));},_0x10008f[_0x36755c(0x147a)]['autoAnswerDelay']?_0x10008f[_0x36755c(0x147a)][_0x36755c(0x1a95)]*0x3e8:0x0);console['debug'](_0x36755c(0x1e0),_0x4341e8),console[_0x36755c(0xbf5)](_0x36755c(0x95b),_0x24a61d);if(_0x4341e8[_0x36755c(0x1680)]()==_0x36755c(0x968)){const _0x51ade0=_0x24a61d==0x0?0x1f4:_0x24a61d*0x3e8;console[_0x36755c(0xbf5)](_0x36755c(0x1093)+_0x51ade0+'\x20ms'),_0x2a6f9a(function(){const _0x371322=_0x36755c;_0x32e230['isInProgress']()&&(_0x32e230[_0x371322(0x1d39)]=!![],_0x3abb73(_0x32e230));},_0x51ade0);}}break;}default:}_0x2e52ba?(_0x32e230[_0x36755c(0x1ff5)]=_0x141417[_0x36755c(0x25eb)][_0x36755c(0x1ff5)],_0x41ed09&&(_0x10008f[_0x36755c(0x2509)][_0x32e230[_0x36755c(0x1ff5)]]=_0x41ed09,_0x831994(_0x41ed09,{'call_id':_0x32e230[_0x36755c(0x1ff5)]})[_0x36755c(0x1cb0)](function(){const _0xf12549=_0x36755c;console[_0xf12549(0x1b4f)](_0xf12549(0x2008)+_0x41ed09+_0xf12549(0x1cc9));})['catch'](function(_0x20e9d6){const _0x4badd3=_0x36755c;console['log'](_0x4badd3(0x1024)+_0x41ed09+'\x22\x20err:',_0x20e9d6);})),_0x32e230[_0x36755c(0x1949)]&&(_0x32e230[_0x36755c(0x1949)][_0x36755c(0x1ca7)]=_0x5f3f8c[_0x36755c(0x1dbd)](this)),_0x32e230['iceCandidateTimeout']=null,_0x32e230['on'](_0x36755c(0x22d9),_0x666aff[_0x36755c(0x1dbd)](this,_0x32e230)),_0x32e230['on'](_0x36755c(0x1dd3),_0x52d908[_0x36755c(0x1dbd)](this,_0x32e230)),_0x32e230['on'](_0x36755c(0xd1d),_0x4e6c97['bind'](this,_0x32e230)),_0x32e230['on']('unhold',_0x1b438c[_0x36755c(0x1dbd)](this,_0x32e230)),_0x32e230['on'](_0x36755c(0x1955),_0x4cc066[_0x36755c(0x1dbd)](this,_0x32e230)),_0x32e230['on'](_0x36755c(0x1460),_0x529566[_0x36755c(0x1dbd)](this,_0x32e230)),_0x32e230['on'](_0x36755c(0xd60),_0x3b1fa3['bind'](this,_0x32e230)),_0x10008f[_0x36755c(0x6d4)][_0x36755c(0x2785)](_0x32e230),_0x2a6f9a(function(){const _0x2e5765=_0x36755c;_0x406458[_0x2e5765(0x652)](),console['debug']('onNewRTCSession\x20->\x20vm_pb.sessions',_0x10008f['sessions']);})):_0x877b19(_0x32e230,0x1e6);}function _0x48a9df(_0xa0c6cc,_0x3831a6,_0xdc86b1){const _0x35b61b=_0x5e13a1;console[_0x35b61b(0xbf5)]('addCall\x20->\x20target,\x20inbound,\x20fullname',_0xa0c6cc,_0x3831a6,_0xdc86b1),_0x10008f['calls'][_0x35b61b(0xf63)]({'target':_0xa0c6cc,'fullname':_0xdc86b1||_0xa0c6cc,'inbound':_0x3831a6,'time':_0x543b5a()()[_0x35b61b(0x1f31)]('HH:mm')}),_0x10008f['calls'][_0x35b61b(0xfd0)]>0x32&&_0x10008f[_0x35b61b(0x13e2)][_0x35b61b(0xad3)]();}function _0x22cbe5(_0x51b885){const _0x5cb94d=_0x5e13a1;console[_0x5cb94d(0xbf5)](_0x5cb94d(0x8fd),_0x51b885);const _0x357b84=_0x51b885[_0x5cb94d(0x16b6)]?_0x51b885[_0x5cb94d(0x16b6)]+'\x20<'+_0x51b885[_0x5cb94d(0xebe)]+'>':_0x51b885['user'];_0x2147f3[_0x5cb94d(0x12e5)](_0x5cb94d(0x202c),_0x357b84,null,function(){_0x3abb73(_0x51b885);},function(){_0x877b19(_0x51b885);})[_0x5cb94d(0x1cb0)](function(_0x3c7399){const _0x3c9439=_0x5cb94d;_0x51b885[_0x3c9439(0x163c)]=_0x3c7399;})['catch'](function(_0xe1b9dd){const _0x123bb7=_0x5cb94d;console[_0x123bb7(0x218e)](_0x123bb7(0x1ce4),_0xe1b9dd);});}function _0x3abb73(_0x28330d){const _0x4c7c1d=_0x5e13a1;console[_0x4c7c1d(0xbf5)]('answer\x20->\x20session',_0x28330d),_0x10008f[_0x4c7c1d(0x147a)][_0x4c7c1d(0x1daa)]?_0x10008f[_0x4c7c1d(0x1cea)][_0x4c7c1d(0x84f)][_0x4c7c1d(0x297d)]={'deviceId':_0x10008f['conf'][_0x4c7c1d(0x1daa)]}:_0x10008f[_0x4c7c1d(0x1cea)][_0x4c7c1d(0x84f)][_0x4c7c1d(0x297d)]=!![],_0x28330d[_0x4c7c1d(0x24d5)](_0x10008f[_0x4c7c1d(0x1cea)]),_0x10008f['isJabraEnabled']&&(_0x35e729[_0x4c7c1d(0x1ca1)](),_0x10008f['jabraSession']=_0x28330d);}function _0x877b19(_0x8fe032,_0x5c7fed){const _0x4fae49=_0x5e13a1;console['debug'](_0x4fae49(0x27e7),_0x8fe032);const _0x5c5336={};if(_0x5c7fed)_0x5c5336[_0x4fae49(0x290e)]=_0x5c7fed;else!_0x8fe032[_0x4fae49(0x1125)]()&&(_0x5c5336['status_code']=0x25b);_0x8fe032[_0x4fae49(0x137d)](_0x5c5336);if(_0x10008f[_0x4fae49(0x6f4)]){if(_0x10008f[_0x4fae49(0x6d4)][_0x4fae49(0xfd0)]===0x0)_0x8fe032[_0x4fae49(0x5f1)]&&_0x35e729[_0x4fae49(0x162b)](),_0x35e729[_0x4fae49(0x9c0)](),_0x10008f[_0x4fae49(0x1cca)]=null;else{const _0x4134ca=_0x39641b()[_0x4fae49(0x1f9e)](_0x10008f[_0x4fae49(0x6d4)]);_0x4134ca[_0x4fae49(0x5f1)]?(_0x35e729[_0x4fae49(0x9c0)](),_0x35e729[_0x4fae49(0xd1d)](),_0x10008f[_0x4fae49(0x1cca)]=_0x4134ca):_0x35e729[_0x4fae49(0x1ca1)]();}}}function _0x666aff(_0x46a2b2,_0xd712a1){const _0xb1b990=_0x5e13a1;console[_0xb1b990(0xbf5)](_0xb1b990(0x2948),_0x46a2b2,_0xd712a1);let _0x53f692=![];switch(_0xd712a1[_0xb1b990(0x1db2)]){case'local':!this[_0xb1b990(0x147a)][_0xb1b990(0xe7c)]&&(this[_0xb1b990(0xbd9)]['setAttribute'](_0xb1b990(0x22f),_0xb1b990(0x23e9)),_0x53f692=!![]);break;case _0xb1b990(0x115f):this[_0xb1b990(0x1368)](_0x46a2b2),this[_0xb1b990(0xbd9)]['setAttribute'](_0xb1b990(0x22f),'app/core/directives/ms-phonebar/sounds/outgoing-call.ogg'),_0x53f692=!![];break;}_0x10008f[_0xb1b990(0x223d)]&&(_0x10008f['originateInProgress']=![]),_0x53f692&&(this[_0xb1b990(0xbd9)][_0xb1b990(0x7c2)]=_0xb1b990(0x7c2),this['soundPlayer'][_0xb1b990(0x96e)]()[_0xb1b990(0x1c4)](function(_0x25bdbf){console['log'](_0x25bdbf['message']);})),_0x4d881b(_0x46a2b2)[_0xb1b990(0x1cb0)](function(){const _0x1a18be=_0xb1b990,_0x1c8929=_0x10008f[_0x1a18be(0x2509)][_0x46a2b2[_0x1a18be(0x1ff5)]];_0x1c8929&&_0x831994(_0x1c8929,{'uniqueid':_0x46a2b2['uniqueid'],'call_id':_0x46a2b2['call_id'],'number_called':_0x46a2b2['user']})[_0x1a18be(0x1cb0)](function(){const _0x1a1722=_0x1a18be;console[_0x1a1722(0x1b4f)](_0x1a1722(0x2008)+_0x1c8929+_0x1a1722(0x1cc9));})[_0x1a18be(0x1c4)](function(_0x196177){const _0x5424e2=_0x1a18be;console[_0x5424e2(0x1b4f)](_0x5424e2(0x1024)+_0x1c8929+_0x5424e2(0x3d5),_0x196177);})[_0x1a18be(0x2e0)](function(){const _0x357b26=_0x1a18be;delete _0x10008f[_0x357b26(0x2509)][_0x46a2b2['call_id']];});})[_0xb1b990(0x1c4)](function(_0x2b1b87){const _0xf06de5=_0xb1b990;console[_0xf06de5(0x218e)]('Unable\x20to\x20retrieve\x20voice\x20channels',_0x2b1b87);});}function _0x4d881b(_0xbc2a98){const _0x486049=_0x5e13a1;return console[_0x486049(0xbf5)](_0x486049(0x20ac),_0xbc2a98),_0xfb0203['rpc'][_0x486049(0x1721)]()[_0x486049(0x1d77)][_0x486049(0x1cb0)](function(_0x5c2dad){const _0x3b7394=_0x486049,_0x568116=_0x39641b()[_0x3b7394(0x13b4)](_0x5c2dad['rows'],function(_0x23bbf4){const _0x8097a0=_0x3b7394;if(_0x23bbf4['sipcalllinkedid']===_0xbc2a98[_0x8097a0(0x1ff5)])return _0x23bbf4;if(_0x23bbf4[_0x8097a0(0x22d0)]===_0xbc2a98['call_id'])return _0x23bbf4;return null;});_0x568116&&(_0xbc2a98['monitor']=_0x568116[_0x3b7394(0x1f46)],_0xbc2a98['uniqueid']=_0x568116['uniqueid'],_0xbc2a98[_0x3b7394(0xfa2)]=_0x568116['monitors']);return;});}function _0x52d908(_0x32a18d,_0x5a4ce6){const _0x2a4764=_0x5e13a1;console[_0x2a4764(0xbf5)]('onConfirmed\x20->\x20session,\x20data',_0x32a18d,_0x5a4ce6);const _0x285611=document[_0x2a4764(0x26ee)](_0x2a4764(0x10ac)+_0x32a18d['id'][_0x2a4764(0x2028)](0x0,0x20));_0x285611&&$(_0x285611)[_0x2a4764(0x1500)]()[_0x2a4764(0x692)]('timer-start'),_0x32a18d[_0x2a4764(0x1dd3)]=!![],_0x5a4ce6[_0x2a4764(0x1db2)]===_0x2a4764(0x115f)&&_0x32a18d['connection']&&(_0x32a18d['connection'][_0x2a4764(0x1ca7)]=_0x5f3f8c[_0x2a4764(0x1dbd)](this),_0x39641b()[_0x2a4764(0x2083)](_0x32a18d[_0x2a4764(0x1949)][_0x2a4764(0x26f5)]())&&(this[_0x2a4764(0x5ea)]['srcObject']=_0x39641b()['head'](_0x32a18d[_0x2a4764(0x1949)]['getRemoteStreams']()),this[_0x2a4764(0x5ea)][_0x2a4764(0x96e)]())),this[_0x2a4764(0xbd9)][_0x2a4764(0x785)](),this['soundPlayer']['loop']=null,this[_0x2a4764(0x1368)](_0x32a18d),_0x32a18d[_0x2a4764(0x1d39)]&&(this['soundPlayer'][_0x2a4764(0x23b9)]('src','app/core/directives/ms-phonebar/sounds/beep.ogg'),this['soundPlayer'][_0x2a4764(0x96e)]()[_0x2a4764(0x1c4)](function(_0x28bd05){const _0x2fc931=_0x2a4764;console[_0x2fc931(0x1b4f)]('Unable\x20to\x20play\x20autoAnswer\x20notification',_0x28bd05);})),_0x10008f['canGoInConference']=_0x10008f[_0x2a4764(0x6d4)][_0x2a4764(0xfd0)]==0x2,_0x4d881b(_0x32a18d)[_0x2a4764(0x1c4)](function(_0x211762){const _0x32e833=_0x2a4764;console[_0x32e833(0x218e)](_0x32e833(0x16c2),_0x211762);});}function _0x5f3f8c(_0x316f97){const _0x593a43=_0x5e13a1;console[_0x593a43(0xbf5)](_0x593a43(0x88b),_0x316f97),this[_0x593a43(0x5ea)]['srcObject']=_0x316f97[_0x593a43(0x29c9)],this['remotePlayer'][_0x593a43(0x96e)]();}function _0x4e6c97(_0x2df431,_0x4855fa){const _0x5a53eb=_0x5e13a1;console[_0x5a53eb(0xbf5)](_0x5a53eb(0x257d),_0x2df431,_0x4855fa),_0x4855fa[_0x5a53eb(0x1db2)]===_0x5a53eb(0xf11)&&(_0x2df431[_0x5a53eb(0x5f1)]=!![]);}function _0x1b438c(_0xbf7d8c,_0x414640){const _0x1ca1d3=_0x5e13a1;console[_0x1ca1d3(0xbf5)](_0x1ca1d3(0x10ff),_0xbf7d8c,_0x414640),_0x414640[_0x1ca1d3(0x1db2)]===_0x1ca1d3(0xf11)&&(_0xbf7d8c[_0x1ca1d3(0x5f1)]=![]);}function _0x4cc066(_0x3ddb7e,_0x163ca1){const _0x2930f2=_0x5e13a1;console[_0x2930f2(0xbf5)](_0x2930f2(0xade),_0x3ddb7e,_0x163ca1);_0x10008f[_0x2930f2(0x1594)]&&(_0x39641b()['includes'](_0x10008f['currentConferenceSessions'],_0x3ddb7e['id'])&&(_0x3329b8(),_0x10008f[_0x2930f2(0x1c9f)]=!![]));_0x39641b()[_0x2930f2(0x152a)](this[_0x2930f2(0x6d4)],{'id':_0x3ddb7e['id']});_0x10008f[_0x2930f2(0x6d4)]['length']!==0x2&&(_0x10008f[_0x2930f2(0x191d)]=![]);if(_0x10008f[_0x2930f2(0x6f4)]){if(_0x10008f['sessions'][_0x2930f2(0xfd0)]===0x0)_0x3ddb7e['localHold']&&_0x35e729[_0x2930f2(0x162b)](),_0x35e729[_0x2930f2(0x9c0)](),_0x10008f[_0x2930f2(0x1cca)]=null;else{const _0x17c94b=_0x39641b()[_0x2930f2(0x1f9e)](_0x10008f[_0x2930f2(0x6d4)]);_0x17c94b['localHold']?(_0x35e729['onHook'](),_0x35e729[_0x2930f2(0xd1d)](),_0x10008f[_0x2930f2(0x1cca)]=_0x17c94b):_0x35e729[_0x2930f2(0x1ca1)]();}}}function _0x3329b8(){const _0x22d33c=_0x5e13a1;_0x10008f[_0x22d33c(0x191d)]=![],_0x10008f['isInConference']=![],_0x10008f[_0x22d33c(0x119f)]=[];}function _0x529566(_0x358ba8,_0x1945de){const _0x3c2b8e=_0x5e13a1;console[_0x3c2b8e(0xbf5)](_0x3c2b8e(0x15f8),_0x358ba8,_0x1945de),this['soundPlayer'][_0x3c2b8e(0x785)](),this['soundPlayer'][_0x3c2b8e(0x7c2)]=null;_0x358ba8[_0x3c2b8e(0x163c)]&&_0x358ba8[_0x3c2b8e(0x163c)][_0x3c2b8e(0xf3b)]();_0x10008f['isInConference']&&(_0x39641b()['includes'](_0x10008f[_0x3c2b8e(0x4be)],_0x358ba8['id'])&&_0x3329b8());_0x10008f[_0x3c2b8e(0x223d)]&&(_0x10008f[_0x3c2b8e(0x223d)]=![]);_0x39641b()[_0x3c2b8e(0x152a)](this[_0x3c2b8e(0x6d4)],{'id':_0x358ba8['id']});_0x10008f[_0x3c2b8e(0x6d4)][_0x3c2b8e(0xfd0)]!==0x2&&(_0x10008f[_0x3c2b8e(0x191d)]=![]);if(_0x10008f[_0x3c2b8e(0x6f4)]){if(_0x10008f[_0x3c2b8e(0x6d4)][_0x3c2b8e(0xfd0)]===0x0)_0x358ba8['localHold']&&_0x35e729[_0x3c2b8e(0x162b)](),_0x35e729[_0x3c2b8e(0x9c0)](),_0x10008f[_0x3c2b8e(0x1cca)]=null;else{const _0x4d1939=_0x39641b()['last'](_0x10008f[_0x3c2b8e(0x6d4)]);_0x4d1939[_0x3c2b8e(0x5f1)]?(_0x35e729[_0x3c2b8e(0x9c0)](),_0x35e729[_0x3c2b8e(0xd1d)](),_0x10008f['jabraSession']=_0x4d1939):_0x35e729['offHook']();}}}function _0x3b1fa3(_0x24cd48,_0x3c683d){const _0x56848d=_0x5e13a1;console[_0x56848d(0xbf5)](_0x56848d(0x14bd),_0x24cd48,_0x3c683d),_0x24cd48['iceCandidateTimeout']!==null&&clearTimeout(_0x24cd48[_0x56848d(0x1f11)]),_0x24cd48[_0x56848d(0x1f11)]=setTimeout(_0x3c683d[_0x56848d(0x26cf)],0x1*0x3e8);}function _0x999fb2(){const _0xdfb368=_0x5e13a1;_0x10008f[_0xdfb368(0xdaa)]=![];}function _0x52713d(_0xc15f52,_0x372796){const _0x21c614=_0x5e13a1;let _0x2070f9=_0xc15f52;!_0x10008f[_0x21c614(0x251d)]&&(_0x10008f[_0x21c614(0x251d)]='');_0x372796&&(_0x10008f[_0x21c614(0x251d)]+=_0xc15f52,_0x406458['$broadcast'](_0x21c614(0x25ed),_0x21c614(0x54a),_0x10008f[_0x21c614(0x251d)]));switch(_0xc15f52){case'*':_0x2070f9=_0x21c614(0xfe2);break;case'#':_0x2070f9=_0x21c614(0x1a46);break;default:}_0x10008f['conf'][_0x21c614(0x15d8)]&&(_0x10008f[_0x21c614(0xbd9)][_0x21c614(0x23b9)](_0x21c614(0x22f),_0x21c614(0x28d0)+_0x2070f9+_0x21c614(0x232e)),_0x10008f[_0x21c614(0xbd9)][_0x21c614(0x96e)]()[_0x21c614(0x1c4)](function(_0x41df98){const _0x17424c=_0x21c614;console['log'](_0x41df98[_0x17424c(0x155e)]);}));for(let _0x55e5e3=0x0;_0x55e5e3<_0x10008f[_0x21c614(0x6d4)][_0x21c614(0xfd0)];_0x55e5e3+=0x1){_0x10008f['sessions'][_0x55e5e3][_0x21c614(0x1125)]()&&!_0x10008f[_0x21c614(0x6d4)][_0x55e5e3]['isOnHold']()['local']&&_0x10008f[_0x21c614(0x6d4)][_0x55e5e3][_0x21c614(0x515)](_0xc15f52);}}function _0x104e2e(_0x4017d0,_0x3ca4bd){const _0x1bc5c7=_0x5e13a1;switch(_0x4017d0){case _0x1bc5c7(0x1c7e):_0x10008f['soundPlayer'][_0x1bc5c7(0xa67)]=_0x10008f[_0x1bc5c7(0x147a)][_0x1bc5c7(0xe7c)];_0x10008f['conf']['ringingId']&&_0x10008f[_0x1bc5c7(0xbd9)][_0x1bc5c7(0xaef)](_0x10008f[_0x1bc5c7(0x147a)][_0x1bc5c7(0x1a5d)])[_0x1bc5c7(0x1cb0)](function(){const _0x5cad0d=_0x1bc5c7;_0x10008f[_0x5cad0d(0xbd9)][_0x5cad0d(0x22ef)]=_0x10008f[_0x5cad0d(0x147a)][_0x5cad0d(0x446)];})[_0x1bc5c7(0x1cb0)](function(){_0x3ca4bd&&_0x16173e();})[_0x1bc5c7(0x1c4)](function(_0x55bfbd){const _0x33f55b=_0x1bc5c7;console[_0x33f55b(0x28c7)](_0x55bfbd[_0x33f55b(0x155e)],_0x10008f[_0x33f55b(0x147a)][_0x33f55b(0x1a5d)]);});break;case _0x1bc5c7(0x214a):_0x10008f['remotePlayer'][_0x1bc5c7(0xa67)]=_0x10008f['conf'][_0x1bc5c7(0x6b6)];_0x10008f[_0x1bc5c7(0x147a)][_0x1bc5c7(0x2409)]&&_0x10008f[_0x1bc5c7(0x5ea)][_0x1bc5c7(0xaef)](_0x10008f[_0x1bc5c7(0x147a)][_0x1bc5c7(0x2409)])[_0x1bc5c7(0x1cb0)](function(){const _0x23ae5d=_0x1bc5c7;_0x10008f['remotePlayer']['volume']=_0x10008f[_0x23ae5d(0x147a)][_0x23ae5d(0x107f)];})[_0x1bc5c7(0x1cb0)](function(){_0x3ca4bd&&_0x16173e();})[_0x1bc5c7(0x1c4)](function(_0x270fce){const _0x2e0e2c=_0x1bc5c7;console[_0x2e0e2c(0x28c7)](_0x270fce[_0x2e0e2c(0x155e)],_0x10008f[_0x2e0e2c(0x147a)][_0x2e0e2c(0x2409)]);});break;case _0x1bc5c7(0xf44):for(let _0x5eab5e=0x0;_0x5eab5e<_0x10008f[_0x1bc5c7(0x6d4)]['length'];_0x5eab5e+=0x1){_0x10008f[_0x1bc5c7(0x147a)][_0x1bc5c7(0x2591)]?_0x10008f[_0x1bc5c7(0x6d4)][_0x5eab5e][_0x1bc5c7(0xa5f)]():_0x10008f['sessions'][_0x5eab5e][_0x1bc5c7(0xb58)]();}_0x35e729&&_0x10008f[_0x1bc5c7(0x6f4)]&&(_0x10008f['conf'][_0x1bc5c7(0x2591)]?_0x35e729[_0x1bc5c7(0xa5f)]():_0x35e729[_0x1bc5c7(0xb58)]());_0x3ca4bd&&_0x16173e();break;default:console[_0x1bc5c7(0x1b4f)](_0x1bc5c7(0x46d));}}function _0x54b893(_0x5e29e6){const _0x34aa27=_0x5e13a1;if(!_0x5e29e6)_0x85fa45();else/^(\w|\.|\+|#|\*|\(|\)|\s|-)*$/[_0x34aa27(0x26a1)](_0x5e29e6)?(_0x10008f[_0x34aa27(0x251d)]=_0x5e29e6,_0x406458[_0x34aa27(0x692)](_0x34aa27(0x25ed),_0x34aa27(0x54a),_0x10008f['target'])):_0x10008f[_0x34aa27(0x251d)]?_0x406458[_0x34aa27(0x692)](_0x34aa27(0x25ed),_0x34aa27(0x54a),_0x10008f[_0x34aa27(0x251d)]):_0x85fa45();}function _0x85fa45(){const _0x4861ea=_0x5e13a1;_0x10008f['target']='',document[_0x4861ea(0x26ee)]('ms-target')[_0x4861ea(0x1b57)](),document[_0x4861ea(0x26ee)](_0x4861ea(0x19ee))['value']='',_0x406458[_0x4861ea(0x692)](_0x4861ea(0x196e),_0x4861ea(0x54a));}function _0x346ab1(_0x4ccbd9){const _0x5cd783=_0x5e13a1;_0x4ccbd9&&(_0x10008f['target']=_0x4ccbd9[_0x5cd783(0xfc0)][_0x5cd783(0x251d)],_0x10008f[_0x5cd783(0x205d)](),_0x85fa45());}function _0x108364(){const _0x43274f=_0x5e13a1;if(_0x10008f[_0x43274f(0x251d)]&&_0x10008f[_0x43274f(0x251d)]!==_0x10008f['conf'][_0x43274f(0x16b6)]&&_0x10008f[_0x43274f(0x251d)]!==_0x10008f[_0x43274f(0x147a)][_0x43274f(0xdbd)]){_0x10008f[_0x43274f(0x147a)][_0x43274f(0x1daa)]?_0x10008f[_0x43274f(0x1cea)][_0x43274f(0x84f)]['audio']={'deviceId':_0x10008f[_0x43274f(0x147a)][_0x43274f(0x1daa)]}:_0x10008f['sessionConf'][_0x43274f(0x84f)][_0x43274f(0x297d)]=!![];let _0x39a6cf=_0x10008f[_0x43274f(0x251d)]['replace'](/ /g,'');return _0x39a6cf=_0x39a6cf[_0x43274f(0x288f)](/\(/g,''),_0x39a6cf=_0x39a6cf[_0x43274f(0x288f)](/\)/g,''),_0x4993c6(_0x39a6cf)[_0x43274f(0x1cb0)](function(_0x4c6d06){const _0x29b4a4=_0x43274f;_0x4c6d06&&_0x10008f['ua']['call'](_0x4c6d06,_0x10008f[_0x29b4a4(0x1cea)]);})['then'](function(){_0x85fa45();});}}function _0x4993c6(_0x32ad51){const _0x56ceeb=_0x5e13a1;return _0xfb0203['user'][_0x56ceeb(0x26de)]({'id':_0x10008f[_0x56ceeb(0x147a)]['id']})[_0x56ceeb(0x1d77)][_0x56ceeb(0x1cb0)](function(_0x1862a7){const _0x28ecbe=_0x56ceeb;if(_0x1862a7['count'])return _0x56c7ab[_0x28ecbe(0xe27)]({'controller':_0x28ecbe(0x34e),'controllerAs':'vm','templateUrl':_0x53552d,'parent':angular[_0x28ecbe(0x1853)](_0x32cede[_0x28ecbe(0x1ed9)]),'clickOutsideToClose':!![],'locals':{'prefixes':_0x1862a7,'required':_0x10008f[_0x28ecbe(0x147a)]['phoneBarPrefixRequired']}});})[_0x56ceeb(0x1cb0)](function(_0x4bc00d){const _0x342491=_0x56ceeb;if(!_0x10008f[_0x342491(0x147a)][_0x342491(0x1a1e)]){if(_0x4bc00d&&_0x4bc00d!==-0x1)return _0x4bc00d+_0x32ad51;else{if(!_0x4bc00d)return _0x32ad51;}}else{if(_0x4bc00d&&_0x4bc00d!==-0x1)return _0x4bc00d+_0x32ad51;}});}function _0x19f1ea(_0xba2434){const _0x4478c0=_0x5e13a1;switch(_0xba2434['key'][_0x4478c0(0x1680)]()){case'0':case'1':case'2':case'3':case'4':case'5':case'6':case'7':case'8':case'9':case'*':case'#':_0x10008f['type'](_0xba2434[_0x4478c0(0xee8)]);break;case _0x4478c0(0x495):_0x10008f[_0x4478c0(0x205d)]();break;default:}}function _0x2df29c(){const _0x593b5e=_0x5e13a1;_0x10008f['showDialpad']=!_0x10008f[_0x593b5e(0xdaa)];}_0x32cede[_0x5e13a1(0x1dbd)]('keyup',function(_0x5db842){switch(_0x5db842['keyCode']){case 0x1b:_0x2a6f9a(function(){const _0x6670ee=a0_0x5cbd;_0x10008f[_0x6670ee(0xdaa)]=![];});break;default:}});function _0x4cd0ee(){const _0x4514e0=_0x5e13a1;_0x10008f['isInConference']=!![],_0x10008f[_0x4514e0(0x191d)]=![],_0x10008f[_0x4514e0(0x4be)]['push'](_0x10008f[_0x4514e0(0x6d4)][0x0]['id']),_0x10008f[_0x4514e0(0x4be)][_0x4514e0(0x2785)](_0x10008f[_0x4514e0(0x6d4)][0x1]['id']);const _0xf41d5=_0x10008f[_0x4514e0(0x6d4)][0x0][_0x4514e0(0x1949)]['getSenders']()[0x0],_0x3519aa=_0x10008f['sessions'][0x0][_0x4514e0(0x1949)]['getReceivers']()[0x0];_0x3519aa[_0x4514e0(0x2782)][_0x4514e0(0x1d92)](_0x4514e0(0xb58),function(){setTimeout(function(){_0x33b8cb();},0x3e8);},![]);const _0x441168=_0x10008f[_0x4514e0(0x6d4)][0x1][_0x4514e0(0x1949)][_0x4514e0(0x29b0)]()[0x0],_0x306dda=_0x10008f[_0x4514e0(0x6d4)][0x1][_0x4514e0(0x1949)][_0x4514e0(0x69f)]()[0x0];_0x306dda[_0x4514e0(0x2782)][_0x4514e0(0x1d92)](_0x4514e0(0xb58),function(){setTimeout(function(){_0x33b8cb();},0x3e8);},![]),_0x10008f[_0x4514e0(0x6d4)][0x0]['unhold']({'useUpdate':![]}),_0x10008f[_0x4514e0(0x6d4)][0x1]['unhold']({'useUpdate':![]}),_0x3519aa[_0x4514e0(0x2782)]['enabled']=!![],_0x306dda[_0x4514e0(0x2782)][_0x4514e0(0x281c)]=!![];const _0x238f45=new MediaStream(),_0x18c9a4=new AudioContext();let _0x517b72=_0x18c9a4[_0x4514e0(0x1e74)](),_0x17721a=_0x18c9a4[_0x4514e0(0x1a42)](new MediaStream([_0x306dda['track']]));_0x17721a[_0x4514e0(0x8b0)](_0x517b72),_0x17721a=_0x18c9a4['createMediaStreamSource'](new MediaStream([_0xf41d5[_0x4514e0(0x2782)]])),_0x17721a[_0x4514e0(0x8b0)](_0x517b72),_0xf41d5[_0x4514e0(0x1bb3)](_0x517b72['stream'][_0x4514e0(0x9dc)]()[0x0])[_0x4514e0(0x1cb0)](function(){}),_0x517b72=_0x18c9a4['createMediaStreamDestination'](),_0x17721a=_0x18c9a4[_0x4514e0(0x1a42)](new MediaStream([_0x3519aa[_0x4514e0(0x2782)]])),_0x17721a[_0x4514e0(0x8b0)](_0x517b72),_0x17721a=_0x18c9a4[_0x4514e0(0x1a42)](new MediaStream([_0x441168['track']])),_0x17721a[_0x4514e0(0x8b0)](_0x517b72),_0x441168[_0x4514e0(0x1bb3)](_0x517b72[_0x4514e0(0x29c9)][_0x4514e0(0x9dc)]()[0x0])[_0x4514e0(0x1cb0)](function(){const _0x410d29=_0x4514e0;_0x238f45[_0x410d29(0x53d)](_0x3519aa[_0x410d29(0x2782)]),_0x238f45[_0x410d29(0x53d)](_0x306dda[_0x410d29(0x2782)]);const _0x1ad413=document[_0x410d29(0x26ee)](_0x410d29(0x2457));_0x1ad413['srcObject']=_0x238f45;const _0x40ffe3=_0x1ad413['play']();_0x40ffe3!==undefined&&_0x40ffe3[_0x410d29(0x1cb0)](function(){})[_0x410d29(0x1c4)](function(_0x586a25){const _0x2303d3=_0x410d29;console[_0x2303d3(0x1b4f)](_0x586a25);});});}function _0x33b8cb(){const _0x1d8253=_0x5e13a1;if(_0x10008f[_0x1d8253(0x1594)]){const _0x34ad16=_0x10008f['sessions'][0x0]['connection'][_0x1d8253(0x69f)]()[0x0],_0x1f8dc0=_0x10008f[_0x1d8253(0x6d4)][0x1]['connection']['getReceivers']()[0x0],_0x173138=new MediaStream();_0x173138['addTrack'](_0x34ad16[_0x1d8253(0x2782)]),_0x173138[_0x1d8253(0x53d)](_0x1f8dc0[_0x1d8253(0x2782)]);const _0x5162c6=document[_0x1d8253(0x26ee)](_0x1d8253(0x2457));_0x5162c6[_0x1d8253(0x1dbb)]=_0x173138;const _0xcd920b=_0x5162c6['play']();_0xcd920b!==undefined&&_0xcd920b[_0x1d8253(0x1cb0)](function(){})['catch'](function(_0x2b824f){const _0x495d33=_0x1d8253;console[_0x495d33(0x1b4f)](_0x2b824f);});}}function _0x50ae7a(_0xe0ea8c){const _0x28df70=_0x5e13a1;console[_0x28df70(0xbf5)](_0x28df70(0x27cf),_0xe0ea8c),_0x10008f[_0x28df70(0x12fb)](_0xe0ea8c);}function _0x4b4202(_0x56a0bf){const _0x3d82ad=_0x5e13a1;console['debug'](_0x3d82ad(0x989),_0x56a0bf),_0x56a0bf['unhold']({'useUpdate':![]}),_0x10008f['putOtherCallsOnHold'](_0x56a0bf),_0x10008f[_0x3d82ad(0x6f4)]&&(_0x35e729['offHook'](),_0x35e729[_0x3d82ad(0x162b)]());}function _0x4fc1ff(_0x4ff7ff){const _0x4ed363=_0x5e13a1;console[_0x4ed363(0xbf5)](_0x4ed363(0x1fbf),_0x4ff7ff),_0x56c7ab['show']({'controller':_0x4ed363(0x141e),'controllerAs':'vm','templateUrl':_0x3650c2,'parent':angular['element'](_0x32cede['body']),'clickOutsideToClose':!![],'locals':{'session':_0x4ff7ff,'sessions':_0x10008f[_0x4ed363(0x6d4)]}});}function _0x5a0e90(_0x24059e){const _0x3e3bc9=_0x5e13a1;console['debug'](_0x3e3bc9(0x28f6),_0x24059e),_0x24059e['hold']({'useUpdate':![]}),_0x10008f[_0x3e3bc9(0x6f4)]&&(_0x35e729[_0x3e3bc9(0xd1d)](),_0x35e729[_0x3e3bc9(0x9c0)]());}function _0xa6d3c4(_0x550ebc){const _0x380429=_0x5e13a1;console[_0x380429(0xbf5)]('refer\x20->\x20session',_0x550ebc);const _0x382047=_0x56c7ab[_0x380429(0x2344)]()['title'](_0x380429(0x1b77))['textContent']('Type\x20the\x20target')['placeholder'](_0x380429(0xb07))['ariaLabel'](_0x380429(0xb07))['ok'](_0x380429(0x1b77))[_0x380429(0x696)]('Cancel');_0x56c7ab['show'](_0x382047)[_0x380429(0x1cb0)](function(_0x5e98c6){return _0x4993c6(_0x5e98c6);})[_0x380429(0x1cb0)](function(_0x96eb0d){const _0x5320d8=_0x380429;_0x550ebc[_0x5320d8(0x1f58)](_0x96eb0d,{'eventHandlers':{'requestSucceeded':_0x16b117(_0x550ebc,'requestSucceeded','top\x20right',0xbb8),'requestFailed':_0x16b117(_0x550ebc,_0x5320d8(0x1612),_0x5320d8(0x2730),0xbb8)}});});}function _0x16b117(_0x45e7cf,_0x2f99d5,_0x2caa97,_0x22f717){const _0x47d92b=_0x5e13a1;return console['debug'](_0x47d92b(0x2185),_0x45e7cf,_0x2f99d5,_0x2caa97,_0x22f717),function(){const _0xc5c96c=_0x47d92b;_0x3024ad['show'](_0x3024ad[_0xc5c96c(0x157c)]()[_0xc5c96c(0x1152)](_0x2f99d5)['position'](_0x2caa97)[_0xc5c96c(0x10fa)](_0x22f717)),_0x877b19(_0x45e7cf);};}function _0x1b53c4(_0x406e50){const _0x54a437=_0x5e13a1;console[_0x54a437(0xbf5)]('referAttended\x20->\x20session',_0x406e50),_0x56c7ab['show']({'controller':'ReferAttendedDialogController','controllerAs':'vm','templateUrl':_0x9edc83,'parent':angular['element'](_0x32cede['body']),'clickOutsideToClose':!![],'locals':{'session':_0x406e50,'sessions':_0x39641b()[_0x54a437(0x988)](_0x10008f[_0x54a437(0x6d4)],{'id':_0x406e50['id']}),'isJabraEnabled':_0x10008f[_0x54a437(0x6f4)]}});}function _0x570eab(_0x1d4111){const _0x58be0e=_0x5e13a1;console[_0x58be0e(0xbf5)](_0x58be0e(0x224c),_0x1d4111);if(_0x10008f[_0x58be0e(0x6d4)][_0x58be0e(0xfd0)]>0x1)for(let _0x3cb197=0x0;_0x3cb197<_0x10008f['sessions'][_0x58be0e(0xfd0)];_0x3cb197+=0x1){_0x10008f[_0x58be0e(0x6d4)][_0x3cb197]['id']!==_0x1d4111['id']&&!_0x10008f[_0x58be0e(0x6d4)][_0x3cb197][_0x58be0e(0x1e4f)]()[_0x58be0e(0x115f)]&&_0x10008f[_0x58be0e(0x6d4)][_0x3cb197]['hold']({'useUpdate':![]});}}function _0x5915ae(_0x5b38bf){const _0x48f930=_0x5e13a1;_0x56c7ab[_0x48f930(0xe27)]({'controller':_0x48f930(0x2726),'controllerAs':'vm','templateUrl':_0x12560f,'parent':angular[_0x48f930(0x1853)](_0x32cede[_0x48f930(0x1ed9)]),'targetEvent':_0x5b38bf,'clickOutsideToClose':!![],'resolve':{'devices':function(){const _0x4fe9b1=_0x48f930;return navigator[_0x4fe9b1(0x7e3)][_0x4fe9b1(0x38c)]();}},'locals':{'conf':_0x10008f[_0x48f930(0x147a)]}})[_0x48f930(0x1cb0)](function(_0x5b5e65){const _0xe6190d=_0x48f930;_0x5b5e65&&(_0x10008f[_0xe6190d(0x147a)]=_0x5b5e65,!_0x10008f['initDeviceInProgress']&&(_0x10008f[_0xe6190d(0x4e6)]=!![],_0x35e729&&_0x10008f[_0xe6190d(0x25ab)]?_0x35e729['shutdown']()[_0xe6190d(0x1cb0)](function(){const _0x266e9c=_0xe6190d;_0x10008f[_0x266e9c(0x25ab)]=![],_0x10008f[_0x266e9c(0x6f4)]=![],_0x34c3da(![]);})['catch'](function(_0x437b3c){const _0xcdb3c2=_0xe6190d;console[_0xcdb3c2(0x218e)]('Unable\x20to\x20shutdown\x20Jabra\x20library',_0x437b3c);}):_0x34c3da(![])));});}function _0x4b1f98(){const _0x4c302f=_0x5e13a1;_0x10008f['ua'][_0x4c302f(0x1885)](),_0x35e729&&_0x10008f[_0x4c302f(0x25ab)]&&_0x35e729[_0x4c302f(0x188f)](),navigator['mediaDevices'][_0x4c302f(0x19df)]=function(){};}function _0x1990f2(_0x428e09,_0x2ee92e){const _0x25e040=_0x5e13a1;console[_0x25e040(0xbf5)]('onRemoteCall\x20->\x20evt,\x20data',_0x428e09,_0x2ee92e),_0x2ee92e[_0x25e040(0x251d)]&&(_0x10008f[_0x25e040(0x251d)]=_0x2ee92e[_0x25e040(0x251d)],_0x10008f[_0x25e040(0x205d)]());}function _0x131095(_0x5ddb75,_0x364eef){const _0x465336=_0x5e13a1;console['debug']('onRemoteTransfer\x20->\x20evt,\x20data',_0x5ddb75,_0x364eef);if(_0x364eef[_0x465336(0x251d)])for(let _0x253047=0x0;_0x253047<_0x10008f[_0x465336(0x6d4)][_0x465336(0xfd0)];_0x253047+=0x1){if(_0x10008f[_0x465336(0x6d4)][_0x253047][_0x465336(0x1125)]()&&!_0x10008f[_0x465336(0x6d4)][_0x253047][_0x465336(0x1e4f)]()['local'])return _0x4993c6(_0x364eef['target'])['then'](function(_0x41d9fc){const _0x5d72eb=_0x465336;_0x41d9fc&&_0x10008f['sessions'][_0x253047][_0x5d72eb(0x1f58)](_0x41d9fc,{'eventHandlers':{'requestSucceeded':_0x16b117(_0x10008f['sessions'][_0x253047],_0x5d72eb(0x1ceb),_0x5d72eb(0x2730),0xbb8),'requestFailed':_0x16b117(_0x10008f[_0x5d72eb(0x6d4)][_0x253047],_0x5d72eb(0x1612),_0x5d72eb(0x2730),0xbb8)}});});}}function _0xf5bca(){return new Promise(function(_0x2e3c6a){const _0x55ec7a=a0_0x5cbd;let _0x4a8b0e=null;for(let _0x23826d=0x0;_0x23826d<_0x10008f[_0x55ec7a(0x6d4)][_0x55ec7a(0xfd0)];_0x23826d++){!_0x39641b()[_0x55ec7a(0xfb4)](_0x10008f[_0x55ec7a(0x6d4)][_0x23826d],_0x55ec7a(0x5f1))?_0x4a8b0e=_0x10008f['sessions'][_0x23826d]:!_0x10008f[_0x55ec7a(0x6d4)][_0x23826d]['localHold']&&(_0x4a8b0e=_0x10008f[_0x55ec7a(0x6d4)][_0x23826d]);}_0x2e3c6a(_0x4a8b0e);});}function _0x1a40f5(_0x45b7b2){const _0x3ab307=_0x5e13a1;console['debug'](_0x3ab307(0x1e7e),_0x45b7b2);const _0x1d0951=_0x45b7b2[_0x3ab307(0xddf)],_0x1edb45=_0x45b7b2['callerId'],_0x2610c1=_0x45b7b2['callbackUrl'];_0x10008f[_0x3ab307(0x147a)][_0x3ab307(0x1daa)]?_0x10008f['sessionConf'][_0x3ab307(0x84f)][_0x3ab307(0x297d)]={'deviceId':_0x10008f['conf'][_0x3ab307(0x1daa)]}:_0x10008f[_0x3ab307(0x1cea)][_0x3ab307(0x84f)][_0x3ab307(0x297d)]=!![];let _0x11e38d=_0x1d0951['replace'](/ /g,'');_0x11e38d=_0x11e38d[_0x3ab307(0x288f)](/\(/g,''),_0x11e38d=_0x11e38d['replace'](/\)/g,'');if(_0x11e38d){const _0x93852b=angular[_0x3ab307(0x17fe)](_0x10008f[_0x3ab307(0x1cea)]);_0x93852b[_0x3ab307(0x2029)]=[],_0x1edb45&&_0x93852b[_0x3ab307(0x2029)]['push'](_0x3ab307(0xa3c)+_0x1edb45),_0x2610c1&&_0x93852b[_0x3ab307(0x2029)]['push'](_0x3ab307(0x21c0)+_0x2610c1),_0x10008f['originateInProgress']=!![],_0x10008f['ua'][_0x3ab307(0x205d)](_0x11e38d,_0x93852b);}}function _0x32fd12(_0x233741){const _0x3c36f1=_0x5e13a1;console[_0x3c36f1(0xbf5)](_0x3c36f1(0x1d07),_0x233741);let _0x245902=_0x10008f[_0x3c36f1(0x6d4)];if(_0x233741[_0x3c36f1(0x84b)]){_0x245902=[];const _0x4215f4=_0x233741['sessionId'];_0x245902['push'](_0x5b511c(_0x233741['sessionId']));if(_0x245902[_0x3c36f1(0xfd0)]==0x0){console[_0x3c36f1(0xf1b)]('call\x20with\x20session\x20Id\x20'+_0x4215f4+_0x3c36f1(0x2304));return;}}for(let _0x18a98c=0x0;_0x18a98c<_0x245902[_0x3c36f1(0xfd0)];_0x18a98c++){const _0x11667a=_0x245902[_0x18a98c];console[_0x3c36f1(0x1b4f)](_0x3c36f1(0x1f34),_0x11667a),_0x10008f['terminate'](_0x11667a);}}function _0x5d651d(_0x5bc733){const _0x1e0a12=_0x5e13a1;console[_0x1e0a12(0xbf5)]('answerHook\x20->\x20payload',_0x5bc733);let _0x30a244;_0x5bc733[_0x1e0a12(0x84b)]?_0x30a244=_0x5b511c(_0x5bc733[_0x1e0a12(0x84b)]):_0x30a244=_0x3f9e12(),_0x5bc733[_0x1e0a12(0x84b)]&&!_0x30a244&&console['warn']('call\x20with\x20session\x20Id\x20'+_0x5bc733[_0x1e0a12(0x84b)]+_0x1e0a12(0x2304)),_0x30a244&&_0x10008f['answer'](_0x30a244);}function _0x3f9e12(){const _0x3025f2=_0x5e13a1,_0x3c504e=_0x39641b()['find'](_0x10008f[_0x3025f2(0x6d4)],function(_0x42aecf){const _0x3f5889=_0x3025f2;return _0x42aecf[_0x3f5889(0x985)]&&!_0x42aecf[_0x3f5889(0x1dd3)];});return _0x3c504e;}function _0x69539c(_0x5d1d26){const _0x4c042=_0x5e13a1;console['debug'](_0x4c042(0x14d5),_0x5d1d26);let _0xa0501c=_0x10008f[_0x4c042(0x6d4)];if(_0x5d1d26[_0x4c042(0x84b)]){_0xa0501c=[],_0xa0501c[_0x4c042(0x2785)](_0x5b511c(_0x5d1d26[_0x4c042(0x84b)]));const _0x17a3fb=_0x5d1d26[_0x4c042(0x84b)];if(_0xa0501c[_0x4c042(0xfd0)]==0x0){console[_0x4c042(0xf1b)](_0x4c042(0x2256)+_0x17a3fb+_0x4c042(0x2304));return;}}for(let _0x1be5c8=0x0;_0x1be5c8<_0xa0501c[_0x4c042(0xfd0)];_0x1be5c8++){const _0xb259d=_0xa0501c[_0x1be5c8];_0x10008f[_0x4c042(0xd1d)](_0xb259d);}}function _0x3d466a(_0x3c4af7){const _0x111fd6=_0x5e13a1;console['debug']('holdHook\x20->\x20payload',_0x3c4af7);if(!_0x3c4af7['sessionId']){console[_0x111fd6(0x218e)](_0x111fd6(0x9e1));return;}const _0x42a180=_0x5b511c(_0x3c4af7[_0x111fd6(0x84b)]);if(!_0x42a180){const _0x230d04=_0x3c4af7[_0x111fd6(0x84b)];console[_0x111fd6(0xf1b)](_0x111fd6(0x2256)+_0x230d04+'\x20not\x20found');return;}_0x10008f[_0x111fd6(0x12fb)](_0x42a180);}function _0x5b1f5b(_0xad97f7){const _0x221517=_0x5e13a1;console[_0x221517(0xbf5)](_0x221517(0x1e3e),_0xad97f7);if(!_0xad97f7[_0x221517(0x84b)]){console[_0x221517(0x218e)](_0x221517(0x9e1));return;}if(!_0xad97f7[_0x221517(0x12a9)]){console[_0x221517(0x218e)](_0x221517(0xd2f));return;}const _0x48402f=_0x5b511c(_0xad97f7['sessionId']);if(!_0x48402f){const _0x37244a=_0xad97f7[_0x221517(0x84b)];console['warn'](_0x221517(0x2256)+_0x37244a+_0x221517(0x2304));return;}_0x48402f[_0x221517(0x1f58)](_0xad97f7['transferNumber'],{'eventHandlers':{'requestSucceeded':_0x16b117(_0x48402f,'requestSucceeded',_0x221517(0x2730),0xbb8),'requestFailed':_0x16b117(_0x48402f,_0x221517(0x1612),_0x221517(0x2730),0xbb8)}});}function _0x5b511c(_0x190b22){const _0x161288=_0x5e13a1;console[_0x161288(0xbf5)](_0x161288(0x1189),_0x190b22,_0x10008f['sessions']);const _0x256a44=_0x39641b()[_0x161288(0x13b4)](_0x10008f['sessions'],function(_0x1aaa2b){const _0x43797b=_0x161288;return _0x1aaa2b[_0x43797b(0x1ff5)]==_0x190b22;});return _0x256a44;}function _0x831994(_0x490a9a,_0x57f389){const _0x550295=_0x5e13a1;return console['debug']('callCallback\x20->\x20url,\x20params',_0x490a9a,_0x57f389),_0x46f676({'url':_0x490a9a,'method':_0x550295(0x163a),'params':_0x57f389});}function _0x4080fa(_0x2ad63d,_0x21a3fe,_0x47f256){const _0xaef810=_0x5e13a1,_0x4d82ae=_0x39641b()['find'](_0x2ad63d,function(_0x1445ed){return _0x39641b()['startsWith'](_0x1445ed,_0x21a3fe);});if(_0x4d82ae)return _0x39641b()[_0xaef810(0x1730)](_0x4d82ae[_0xaef810(0x288f)](_0x21a3fe,'')[_0xaef810(0x288f)](_0x47f256||':',''));return![];}_0x10008f[_0x5e13a1(0x129c)]=_0x4d0dd1[_0x5e13a1(0x1dbd)](this),_0x10008f['type']=_0x52713d,_0x10008f['call']=_0x108364,_0x10008f[_0x5e13a1(0xd1d)]=_0x5a0e90,_0x10008f[_0x5e13a1(0x1f58)]=_0xa6d3c4,_0x10008f['record']=_0x4fc1ff,_0x10008f['unhold']=_0x4b4202,_0x10008f[_0x5e13a1(0x24d5)]=_0x3abb73,_0x10008f[_0x5e13a1(0x137d)]=_0x877b19,_0x10008f['selectSession']=_0x50ae7a,_0x10008f['typeWrapper']=_0x19f1ea,_0x10008f[_0x5e13a1(0x1dab)]=_0x2df29c,_0x10008f[_0x5e13a1(0x1942)]=_0x1b53c4,_0x10008f[_0x5e13a1(0x1c84)]=_0x999fb2,_0x10008f[_0x5e13a1(0x1836)]=_0x104e2e,_0x10008f[_0x5e13a1(0x1368)]=_0x570eab,_0x10008f[_0x5e13a1(0x2000)]=_0x54b893,_0x10008f[_0x5e13a1(0x1f94)]=_0x346ab1,_0x10008f['start3WayConf']=_0x4cd0ee,_0x10008f[_0x5e13a1(0x1b1c)]=_0x33b8cb,_0x406458[_0x5e13a1(0x1d6)]('webrtc::settings',_0x5915ae),_0x406458['$on']('webrtc::logout',_0x4b1f98),_0x406458['$on'](_0x5e13a1(0x262d),_0x1990f2),_0x406458[_0x5e13a1(0x1d6)](_0x5e13a1(0x861),_0x131095),_0x406458[_0x5e13a1(0x1d6)](_0x5e13a1(0x291c),function(){const _0x8edbb4=_0x5e13a1;console[_0x8edbb4(0xbf5)](_0x8edbb4(0x1428)),_0x15f0c6[_0x8edbb4(0xfb8)]('webbar:originate'),_0x15f0c6[_0x8edbb4(0xfb8)](_0x8edbb4(0x1d97)),_0x15f0c6[_0x8edbb4(0xfb8)](_0x8edbb4(0x215e)),_0x15f0c6[_0x8edbb4(0xfb8)](_0x8edbb4(0xb2c)),_0x15f0c6['removeAllListeners'](_0x8edbb4(0x1b78)),_0x15f0c6['removeAllListeners'](_0x8edbb4(0xcfb));});}function _0x1281b0(){const _0x1adf45=_0x5537c6;return{'restrict':'E','scope':{'conf':'='},'require':_0x1adf45(0xc61),'controller':'MsPhonebarController','controllerAs':_0x1adf45(0x4c2),'bindToController':!![],'templateUrl':_0x1bfba1};};_0xc54bf5[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),_0x5537c6(0x904),'required'];function _0xc54bf5(_0x18c5fd,_0x415838,_0x55177f){const _0x2ddb1d=_0x5537c6,_0x1cb276=this;function _0x59786e(){const _0x314372=a0_0x5cbd;_0x18c5fd[_0x314372(0x1426)](_0x1cb276[_0x314372(0x586)]);}function _0x1f3d13(_0x321025){const _0x588989=a0_0x5cbd;_0x18c5fd[_0x588989(0x1426)](_0x321025);}_0x1cb276[_0x2ddb1d(0x904)]=_0x415838,_0x1cb276[_0x2ddb1d(0x2949)]=_0x55177f,_0x1cb276['closeDialog']=_0x1f3d13,_0x1cb276[_0x2ddb1d(0x205d)]=_0x59786e;}const _0x16c60d=_0xc54bf5;;_0x48a7ac['$inject']=['$mdDialog','$q',_0x5537c6(0x142b),_0x5537c6(0x6d4),_0x5537c6(0xb77)],_0x46e2f1[_0x5537c6(0x15b6)]=[_0x5537c6(0x2168)];function _0x46e2f1(_0xdb7c6){const _0x2fdeb4=_0x5537c6;return{'restrict':'C','controller':['$scope',_0x2fdeb4(0x2086),function(_0x256978,_0x23b3b7){function _0x84dca(){const _0x2ed991=a0_0x5cbd;_0x23b3b7['css'](_0x2ed991(0x1ac1),_0x2ed991(0x235c)),_0xdb7c6(_0x216343,0x3e8);}function _0x216343(){const _0x3d3390=a0_0x5cbd;_0x23b3b7[_0x3d3390(0x949)](_0x3d3390(0x1ac1),_0x3d3390(0x13b1)),_0xdb7c6(_0x84dca,0x3e8);}_0x84dca();}],'replace':!![]};}function _0x48a7ac(_0x5497f1,_0x50ce30,_0x538199,_0x4f5efa,_0x3108a9){const _0x4d3f0a=_0x5537c6,_0x360537=this;_0x360537[_0x4d3f0a(0x1386)]=_0x4d3f0a(0xd5a),_0x360537[_0x4d3f0a(0x6d4)]=_0x4f5efa,_0x360537['session']=_0x3108a9,_0x360537[_0x4d3f0a(0xda0)]=_0x3237b4,_0x360537[_0x4d3f0a(0x2606)]=_0x4f3a04,_0x360537[_0x4d3f0a(0x1885)]=_0x187052,_0x360537['start']=_0x8e25f0;function _0x3c358c(){function _0x257dbc(){const _0x1c0b19=a0_0x5cbd;return Math[_0x1c0b19(0x3aa)]((0x1+Math['random']())*0x10000)[_0x1c0b19(0x147f)](0x10)['substring'](0x1);}return _0x257dbc()+_0x257dbc()+'-'+_0x257dbc()+'-'+_0x257dbc()+'-'+_0x257dbc()+'-'+_0x257dbc()+_0x257dbc()+_0x257dbc();}function _0x8e25f0(_0x321551,_0x208ce8){const _0x59c7d2=_0x4d3f0a;console[_0x59c7d2(0x1b4f)](_0x59c7d2(0x17f1));const _0x2ea3a0=_0x50ce30[_0x59c7d2(0x11f4)](),_0x4b8c28=_0x208ce8||_0x321551[_0x59c7d2(0x179a)][_0x59c7d2(0x288f)](/^.*[\\/]/,''),_0x120062=_0x4b8c28[_0x59c7d2(0xbe1)]('.')[0x0];return _0x538199[_0x59c7d2(0x1a00)][_0x59c7d2(0x17f1)]({'uniqueid':_0x360537[_0x59c7d2(0xb77)]['uniqueid'],'filename':_0x120062,'format':_0x59c7d2(0xcab)})['$promise'][_0x59c7d2(0x1cb0)](function(_0x28e249){const _0x4e57dd=_0x59c7d2;_0x321551['filename']=_0x120062+_0x4e57dd(0x211c),_0x321551[_0x4e57dd(0xe19)]=_0x28e249[_0x4e57dd(0xe19)],_0x321551['status']=_0x4e57dd(0xf22),_0x360537['session'][_0x4e57dd(0x1f46)]=!![],_0x2ea3a0[_0x4e57dd(0x19a3)](_0x321551);})['catch'](function(_0x4aed02){const _0x457b0f=_0x59c7d2;_0x2ea3a0[_0x457b0f(0x988)](_0x4aed02);}),_0x2ea3a0[_0x59c7d2(0x2061)];}function _0x187052(_0x15bc72){const _0x22926=_0x4d3f0a;return console[_0x22926(0x1b4f)]('stopMonitor'),_0x538199[_0x22926(0x1a00)][_0x22926(0x1019)]({'uniqueid':_0x360537[_0x22926(0xb77)][_0x22926(0x1425)],'mixmonitorid':_0x15bc72[_0x22926(0xe19)]})[_0x22926(0x1d77)][_0x22926(0x1cb0)](function(){const _0x28ac51=_0x22926;_0x15bc72[_0x28ac51(0x291)]=_0x28ac51(0x785),_0x360537[_0x28ac51(0xb77)]['monitor']=_0x39641b()[_0x28ac51(0x250a)](_0x360537[_0x28ac51(0xb77)][_0x28ac51(0xfa2)],{'status':_0x28ac51(0xf22)});})[_0x22926(0x1c4)](function(_0x469fc3){const _0x512f82=_0x22926;console[_0x512f82(0x218e)](_0x469fc3);});}function _0x4f3a04(){const _0x40c37f=_0x4d3f0a,_0x1d0d8c=_0x5497f1[_0x40c37f(0x2344)]({'skipHide':!![]})[_0x40c37f(0x1386)](_0x40c37f(0x11d0))['textContent'](_0x40c37f(0xc9d))[_0x40c37f(0x161f)](_0x40c37f(0x22a8))[_0x40c37f(0x15ad)](_0x40c37f(0x22a8))[_0x40c37f(0x18ae)](_0x3c358c())['ok'](_0x40c37f(0x1c9a))[_0x40c37f(0x696)]('Cancel');_0x5497f1[_0x40c37f(0xe27)](_0x1d0d8c)[_0x40c37f(0x1cb0)](function(_0x2dd118){return _0x8e25f0({},_0x2dd118);})[_0x40c37f(0x1cb0)](function(_0x1356ad){const _0x203ba3=_0x40c37f;_0x360537[_0x203ba3(0xb77)][_0x203ba3(0xfa2)]['push'](_0x1356ad);});}function _0x3237b4(){_0x5497f1['hide']();}};_0x11b59b[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),_0x5537c6(0x200d),_0x5537c6(0x6d4),'session',_0x5537c6(0x6f4)];function _0x11b59b(_0x28c845,_0x506ee7,_0x133de8,_0xc2bc04,_0x3a8f30){const _0x3b3a38=_0x5537c6,_0x1502e5=this,_0x282572=window[_0x3b3a38(0xd80)];_0x1502e5[_0x3b3a38(0x1386)]=_0x3b3a38(0x14d9),_0x1502e5[_0x3b3a38(0x6d4)]=_0x133de8,_0x1502e5[_0x3b3a38(0xb77)]=_0xc2bc04,_0x1502e5[_0x3b3a38(0x6f4)]=_0x3a8f30,_0x1502e5[_0x3b3a38(0x16ce)]=null;_0x133de8['length']&&(_0x1502e5['target']=_0x133de8[0x0][_0x3b3a38(0xebe)]);_0x1502e5[_0x3b3a38(0xda0)]=_0x2d3562,_0x1502e5['transfer']=_0x33803e;function _0x33803e(){const _0x2e5fa0=_0x3b3a38;let _0x19f1ee,_0x1f0412;const _0x2a1cbc=_0x39641b()['find'](_0x133de8,function(_0x147929){const _0x4389d6=a0_0x5cbd;if(_0x147929['user']===_0x1502e5[_0x4389d6(0x251d)])return _0x147929;});_0x2a1cbc['outgoing']?(_0x19f1ee=_0x2a1cbc,_0x1f0412=_0x1502e5[_0x2e5fa0(0xb77)]):(_0x19f1ee=_0x1502e5[_0x2e5fa0(0xb77)],_0x1f0412=_0x2a1cbc),_0x1f0412[_0x2e5fa0(0x1f58)](_0x19f1ee[_0x2e5fa0(0xebe)],{'replaces':_0x19f1ee,'eventHandlers':{'requestSucceeded':_0x235043(_0xc2bc04,_0x2e5fa0(0x1ceb),_0x2e5fa0(0x2730),0xbb8,_0x1502e5[_0x2e5fa0(0x6f4)]),'requestFailed':_0x235043(_0xc2bc04,_0x2e5fa0(0x1612),_0x2e5fa0(0x2730),0xbb8,_0x1502e5['isJabraEnabled'])}});}function _0x235043(_0x586148,_0x575998,_0x15c664,_0x1103bb,_0x150d07){const _0x9fd910=_0x3b3a38;return console[_0x9fd910(0xbf5)](_0x9fd910(0x28cb),_0x586148,_0x575998,_0x15c664,_0x1103bb),function(){const _0x3ebe78=_0x9fd910;_0x506ee7[_0x3ebe78(0xe27)](_0x506ee7[_0x3ebe78(0x157c)]()[_0x3ebe78(0x1152)](_0x575998)[_0x3ebe78(0xe9e)](_0x15c664)[_0x3ebe78(0x10fa)](_0x1103bb)),_0x586148[_0x3ebe78(0x137d)](),_0x282572&&_0x150d07&&_0x282572['onHook'](),_0x2d3562();};}function _0x2d3562(_0x22fe5a){const _0x47aba4=_0x3b3a38;_0x28c845[_0x47aba4(0x1426)](_0x22fe5a);}}const _0x4f9b81=_0x11b59b;;_0x2efb8d[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),_0x5537c6(0x147a),_0x5537c6(0x2100)];function _0x2efb8d(_0x5944c0,_0x5d720b,_0x4f4532){const _0x16b0a2=_0x5537c6,_0x1299f4=this;_0x39641b()['remove'](_0x4f4532,function(_0x5c3632){const _0xb660d2=a0_0x5cbd;return _0x5c3632[_0xb660d2(0xffc)]===_0xb660d2(0x1d2b)&&(_0x5c3632[_0xb660d2(0x5ec)]==='default'||_0x5c3632['deviceId']==='communications')||_0x5c3632['kind']===_0xb660d2(0x27c1)&&(_0x5c3632[_0xb660d2(0x5ec)]===_0xb660d2(0x24c8)||_0x5c3632['deviceId']==='communications');}),_0x5d720b[_0x16b0a2(0x1a5d)]=_0x52d341(_0x4f4532,'audiooutput','ringingId'),_0x5d720b[_0x16b0a2(0x2409)]=_0x52d341(_0x4f4532,_0x16b0a2(0x27c1),_0x16b0a2(0x2409)),_0x5d720b[_0x16b0a2(0x1daa)]=_0x52d341(_0x4f4532,'audioinput',_0x16b0a2(0x1daa)),_0x5d720b[_0x16b0a2(0x446)]=_0x5d720b[_0x16b0a2(0x446)]||0.5,_0x5d720b[_0x16b0a2(0x107f)]=_0x5d720b[_0x16b0a2(0x107f)]||0.5,_0x5d720b['microphoneVolume']=_0x5d720b['microphoneVolume']||0x1,_0x5d720b[_0x16b0a2(0xe7c)]=_0x5d720b['ringingMute']||![],_0x5d720b['speakerMute']=_0x5d720b[_0x16b0a2(0x6b6)]||![],_0x5d720b['microphoneMute']=_0x5d720b['microphoneMute']||![],_0x1299f4[_0x16b0a2(0x147a)]=angular[_0x16b0a2(0x17fe)](_0x5d720b),_0x1299f4[_0x16b0a2(0x2100)]=_0x4f4532,_0x1299f4[_0x16b0a2(0x11ff)]=_0xffb0f3,_0x1299f4[_0x16b0a2(0xda0)]=_0x28c81b,_0x1299f4[_0x16b0a2(0x24b7)]=_0x595afc;function _0x595afc(){const _0x1ee019=_0x16b0a2;return _0x1299f4[_0x1ee019(0x147a)]['browserName']['toLowerCase']()===_0x1ee019(0x17b0)||_0x1299f4[_0x1ee019(0x147a)][_0x1ee019(0x2ed)]['toLowerCase']()==='opera'||_0x1299f4['conf'][_0x1ee019(0x2ed)][_0x1ee019(0x1680)]()===_0x1ee019(0x29b2);}function _0x52d341(_0x49531b,_0x5aaebf,_0x506f73){const _0x20419d=_0x16b0a2;let _0x16369f;if(!_0x5d720b[_0x506f73])_0x16369f=_0x39641b()[_0x20419d(0x13b4)](_0x49531b,function(_0x91ae1c){const _0x22cede=_0x20419d;return _0x91ae1c[_0x22cede(0xffc)]===_0x5aaebf;}),_0x16369f&&(_0x5d720b[_0x506f73]=_0x16369f[_0x20419d(0x5ec)]);else{_0x16369f=_0x39641b()['find'](_0x49531b,function(_0x3ad78e){const _0x596ce2=_0x20419d;return _0x3ad78e[_0x596ce2(0x5ec)]===_0x5d720b[_0x506f73];});if(_0x16369f)return _0x16369f['deviceId'];const _0x565f4e=_0x39641b()[_0x20419d(0x3c2)](_0x49531b,function(_0x2e70f5){return _0x2e70f5['kind']===_0x5aaebf;});if(_0x565f4e>=0x0)return _0x49531b[_0x565f4e]['deviceId'];}return _0x5d720b[_0x506f73]||null;}function _0xffb0f3(){const _0x30981a=_0x16b0a2;_0x5944c0[_0x30981a(0x1426)](_0x1299f4['conf']);}function _0x28c81b(){const _0x458521=_0x16b0a2;_0x5944c0[_0x458521(0x1426)]();}}const _0x1f55eb=_0x2efb8d;;const _0x4a65c9=_0x5074a3['p']+'src/js/modules/core/directives/ms-quick-filter/ms-quick-filter.html/ms-quick-filter.html';;_0x2e61e6[_0x5537c6(0x15b6)]=[_0x5537c6(0xc34),_0x5537c6(0x240a)],_0x430ec0[_0x5537c6(0x15b6)]=[_0x5537c6(0x22b2),_0x5537c6(0x780)];function _0x865f24(){return{'restrict':'E','scope':{'query':'=','filters':'=','search':'&'},'controller':_0x430ec0,'controllerAs':'vm','bindToController':!![],'templateUrl':_0x4a65c9};}function _0x430ec0(_0x13a9bd,_0x32b12e){const _0x1ca510=_0x5537c6,_0x134df0=this;_0x134df0['pickerModels']={},_0x134df0[_0x1ca510(0x191e)]={},_0x134df0['localizationMap']=_0x13a9bd[_0x1ca510(0xd31)],_0x134df0[_0x1ca510(0x1430)]=_0x120b3c,_0x134df0[_0x1ca510(0x132a)]=_0xe74d04,_0x134df0[_0x1ca510(0x546)]=_0xc0d1ec,_0x134df0[_0x1ca510(0x648)]=_0x4a6b22,_0x134df0[_0x1ca510(0xc19)]=_0x50d519,_0x134df0[_0x1ca510(0x2370)]=_0x4b8503,_0x134df0['selectAll']=_0x47b8f2;function _0x120b3c(_0xe79b27){_0x259493(_0xe79b27);}function _0xe74d04(_0x325875){const _0x2e673d=_0x1ca510,_0x582332=_0x325875['key'];_0x134df0[_0x2e673d(0xae2)][_0x582332]?_0x134df0[_0x2e673d(0x190e)][_0x582332]=_0x13a9bd[_0x2e673d(0x1b73)](_0x134df0[_0x2e673d(0xae2)][_0x582332]):_0x134df0[_0x2e673d(0x190e)][_0x582332]={'dateStart':null};}function _0xc0d1ec(_0x3cc281,_0x39ab8f){const _0x4ad01a=_0x1ca510;_0x39ab8f&&(_0x259493(_0x3cc281),_0x134df0[_0x4ad01a(0x24be)]());}function _0x4a6b22(_0x300cf4){const _0x22b82a=_0x1ca510,_0x10854f=_0x300cf4['key'];_0x134df0['pickerModels'][_0x10854f][_0x22b82a(0x5fc)]?_0x134df0[_0x22b82a(0xae2)][_0x10854f]={'$gte':_0x543b5a()(_0x134df0[_0x22b82a(0x190e)][_0x10854f][_0x22b82a(0x5fc)])[_0x22b82a(0x17d9)](0x0,!![])[_0x22b82a(0x1f31)](),'$lte':_0x543b5a()(_0x134df0[_0x22b82a(0x190e)][_0x10854f]['dateEnd'])[_0x22b82a(0x17d9)](0x0,!![])[_0x22b82a(0x17a1)](0x17,_0x22b82a(0x1de0))['add'](0x3b,_0x22b82a(0x22d))[_0x22b82a(0x17a1)](0x3b,_0x22b82a(0x479))[_0x22b82a(0x1f31)]()}:_0x134df0['query'][_0x10854f]=undefined,_0x134df0[_0x22b82a(0x24be)]();}function _0x50d519(_0x197467){const _0x34f3b6=_0x1ca510,_0x1c9ead=_0x197467[_0x34f3b6(0xee8)];_0x134df0['pickerModels'][_0x1c9ead]={'dateStart':null},delete _0x134df0['query'][_0x1c9ead],_0x134df0[_0x34f3b6(0x24be)]();}function _0x47b8f2(_0x8c5e8d){const _0x353868=_0x1ca510,_0x550c28=_0x8c5e8d[_0x353868(0xee8)],_0x3ab056=_0x8c5e8d[_0x353868(0x1581)]||'id';_0x134df0[_0x353868(0xae2)][_0x550c28]=_0x39641b()[_0x353868(0x1de2)](_0x8c5e8d[_0x353868(0x2224)],_0x3ab056),_0x259493(_0x8c5e8d),_0x134df0[_0x353868(0x24be)]();}function _0x4b8503(_0x444c91){const _0x38a920=_0x1ca510,_0x5f48ea=_0x444c91['key'],_0x4f4f61=_0x444c91[_0x38a920(0x1581)]||'id';_0x134df0[_0x38a920(0xae2)][_0x5f48ea]=_0x444c91[_0x38a920(0x1ed0)]===![]?[_0x39641b()['head'](_0x444c91[_0x38a920(0x2224)])[_0x4f4f61]]:[],_0x259493(_0x444c91),_0x134df0[_0x38a920(0x24be)]();}function _0x259493(_0x485f72){const _0x3810fc=_0x1ca510,_0x4841a7=_0x485f72['key'],_0x3f6a57={'param':_0x134df0[_0x3810fc(0xae2)][_0x4841a7],'resources':_0x485f72[_0x3810fc(0x2224)],'placeholder':_0x485f72['placeholder'],'ngValue':_0x485f72['ngValue']};_0x32b12e['setPlaceholder'](_0x3f6a57)[_0x3810fc(0x1cb0)](function(_0x204353){const _0x32283d=_0x3810fc;_0x134df0[_0x32283d(0x191e)][_0x4841a7]=_0x204353;});}}function _0x2e61e6(_0xfda46c,_0x428586){const _0x21b9ec={'localizationMap':_0x428586,'setSelectedDate':_0x344055};function _0x344055(_0x218b7c){const _0x538711=a0_0x5cbd,_0x38e3a0=new Date(_0x543b5a()[_0x538711(0x18b9)](_0x218b7c['$gte'])[_0x538711(0x1f31)]('YYYY-MM-DD')),_0x2ee9fc=new Date(_0x543b5a()[_0x538711(0x18b9)](_0x218b7c[_0x538711(0x1d69)])[_0x538711(0x1f31)](_0x538711(0x17ac))),_0x41877b={'dateStart':new Date(_0x38e3a0[_0x538711(0x2516)](),_0x38e3a0[_0x538711(0x1c4c)](),_0x38e3a0['getDate']()),'dateEnd':new Date(_0x2ee9fc[_0x538711(0x2516)](),_0x2ee9fc['getMonth'](),_0x2ee9fc[_0x538711(0x1ccc)]())};return _0x41877b['selectedTemplateName']=_0x5b149d(_0x41877b),_0x41877b;}function _0x5b149d(_0x57bf8e){const _0x45d9fe=a0_0x5cbd;if(_0x543b5a()(_0x57bf8e[_0x45d9fe(0x5fc)])[_0x45d9fe(0x1f60)](_0x57bf8e[_0x45d9fe(0x5a8)])){if(_0x425edc(_0x57bf8e))return _0x21b9ec['localizationMap'][_0x45d9fe(0x1e95)];else return _0x37e7f8(_0x57bf8e)?_0x21b9ec[_0x45d9fe(0xd31)][_0x45d9fe(0x1593)]:_0xfda46c(_0x45d9fe(0x18ec))(_0x57bf8e[_0x45d9fe(0x5fc)],_0x45d9fe(0x14ce));}else{if(_0x4efc60(_0x57bf8e))return _0x21b9ec[_0x45d9fe(0xd31)][_0x45d9fe(0x45e)];else{if(_0x467043(_0x57bf8e))return _0x21b9ec[_0x45d9fe(0xd31)][_0x45d9fe(0x464)];else{if(_0x2ffa56(_0x57bf8e))return _0x21b9ec['localizationMap']['This\x20Month'];else{if(_0x2ab588(_0x57bf8e))return _0x21b9ec[_0x45d9fe(0xd31)][_0x45d9fe(0x28f2)];else{if(_0x2e028b(_0x57bf8e))return _0x21b9ec[_0x45d9fe(0xd31)]['This\x20Year'];else return _0x2a9d7b(_0x57bf8e)?_0x21b9ec[_0x45d9fe(0xd31)][_0x45d9fe(0x29d0)]:_0xfda46c('date')(_0x57bf8e[_0x45d9fe(0x5fc)],'dd'+(_0x57bf8e['dateStart'][_0x45d9fe(0x1c4c)]()!==_0x57bf8e['dateEnd'][_0x45d9fe(0x1c4c)]()||_0x57bf8e['dateStart']['getFullYear']()!==_0x57bf8e[_0x45d9fe(0x5a8)][_0x45d9fe(0x2516)]()?_0x45d9fe(0xc5b):'')+(_0x57bf8e[_0x45d9fe(0x5fc)][_0x45d9fe(0x2516)]()!==_0x57bf8e['dateEnd'][_0x45d9fe(0x2516)]()?'\x20yyyy':''))+_0x45d9fe(0x1657)+_0xfda46c(_0x45d9fe(0x18ec))(_0x57bf8e[_0x45d9fe(0x5a8)],_0x45d9fe(0x14ce));}}}}}}function _0x425edc(_0xe78206){const _0x1c5012=a0_0x5cbd;return _0x543b5a()()['startOf'](_0x1c5012(0x1a5))[_0x1c5012(0x1f60)](_0xe78206[_0x1c5012(0x5fc)]);}function _0x37e7f8(_0x35cb02){const _0x16ad51=a0_0x5cbd;return _0x543b5a()()[_0x16ad51(0x1030)](0x1,_0x16ad51(0x23b3))['startOf'](_0x16ad51(0x1a5))[_0x16ad51(0x1f60)](_0x35cb02[_0x16ad51(0x5fc)]);}function _0x4efc60(_0x104b4c){const _0x64f8=a0_0x5cbd;return _0x543b5a()()[_0x64f8(0x1be0)](_0x64f8(0x1ecd))[_0x64f8(0x1f60)](_0x104b4c[_0x64f8(0x5fc)],_0x64f8(0x1a5))&&_0x543b5a()()[_0x64f8(0x1b4)]('isoWeek')['isSame'](_0x104b4c['dateEnd'],_0x64f8(0x1a5));}function _0x467043(_0x3eb743){const _0x366914=a0_0x5cbd;return _0x543b5a()()[_0x366914(0x1030)](0x1,_0x366914(0xc20))[_0x366914(0x1be0)](_0x366914(0x1ecd))['isSame'](_0x3eb743[_0x366914(0x5fc)],_0x366914(0x1a5))&&_0x543b5a()()['subtract'](0x1,_0x366914(0xc20))[_0x366914(0x1b4)](_0x366914(0x1ecd))[_0x366914(0x1f60)](_0x3eb743[_0x366914(0x5a8)],'day');}function _0x2ffa56(_0x226da2){const _0x30d5f4=a0_0x5cbd;return _0x543b5a()()['startOf'](_0x30d5f4(0x26ae))[_0x30d5f4(0x1f60)](_0x226da2[_0x30d5f4(0x5fc)],'day')&&_0x543b5a()()[_0x30d5f4(0x1b4)]('month')[_0x30d5f4(0x1f60)](_0x226da2[_0x30d5f4(0x5a8)],_0x30d5f4(0x1a5));}function _0x2ab588(_0x40591e){const _0x1b76bd=a0_0x5cbd;return _0x543b5a()()[_0x1b76bd(0x1030)](0x1,_0x1b76bd(0x3ae))['startOf']('month')[_0x1b76bd(0x1f60)](_0x40591e[_0x1b76bd(0x5fc)],_0x1b76bd(0x1a5))&&_0x543b5a()()['subtract'](0x1,_0x1b76bd(0x3ae))[_0x1b76bd(0x1b4)](_0x1b76bd(0x26ae))['isSame'](_0x40591e[_0x1b76bd(0x5a8)],_0x1b76bd(0x1a5));}function _0x2e028b(_0x707946){const _0x1aad91=a0_0x5cbd;return _0x543b5a()()[_0x1aad91(0x1be0)](_0x1aad91(0x17d6))['isSame'](_0x707946['dateStart'],_0x1aad91(0x1a5))&&_0x543b5a()()[_0x1aad91(0x1b4)](_0x1aad91(0x17d6))[_0x1aad91(0x1f60)](_0x707946[_0x1aad91(0x5a8)],'day');}function _0x2a9d7b(_0x1dc22f){const _0x2a60e8=a0_0x5cbd;return _0x543b5a()()[_0x2a60e8(0x1030)](0x1,_0x2a60e8(0xa1c))['startOf']('year')[_0x2a60e8(0x1f60)](_0x1dc22f['dateStart'],_0x2a60e8(0x1a5))&&_0x543b5a()()[_0x2a60e8(0x1030)](0x1,_0x2a60e8(0xa1c))[_0x2a60e8(0x1b4)](_0x2a60e8(0x17d6))[_0x2a60e8(0x1f60)](_0x1dc22f['dateEnd'],_0x2a60e8(0x1a5));}return _0x21b9ec;};function _0x28a108(){return{'restrict':'A','scope':{'msRandomClass':'='},'link':function(_0x55fe88,_0x3aac24){const _0x3adbe4=a0_0x5cbd,_0x1a63dc=_0x55fe88['msRandomClass'][Math[_0x3adbe4(0x3aa)](Math[_0x3adbe4(0x47f)]()*_0x55fe88[_0x3adbe4(0xd67)][_0x3adbe4(0xfd0)])];_0x3aac24[_0x3adbe4(0x1390)](_0x1a63dc);}};}const _0x2005a5=_0x28a108;;_0xb9cc5d[_0x5537c6(0x15b6)]=['api'];function _0xb9cc5d(_0x1bd898){return{'restrict':'E','scope':{'id':'=','model':'=','download':'='},'replace':!![],'link':function(_0x3f2aab,_0x1dd4ba){const _0x142f85=a0_0x5cbd;let _0x1d0443=![];const _0x169575=document[_0x142f85(0x8c6)]('audio');!_0x3f2aab[_0x142f85(0x26ec)]&&_0x169575[_0x142f85(0x23b9)](_0x142f85(0x1af4),_0x142f85(0x1e8a)),_0x169575['setAttribute'](_0x142f85(0x13f5),'none'),_0x169575['setAttribute']('controls',''),_0x169575['setAttribute'](_0x142f85(0x613),_0x142f85(0x11b0)),_0x169575[_0x142f85(0x23b9)](_0x142f85(0x22f),'\x20'),_0x169575['onplay']=function(_0x100ab7){const _0x17c05b=_0x142f85;!_0x1d0443&&(_0x1d0443=!![],_0x100ab7[_0x17c05b(0x1fbd)](),_0x1bd898[_0x3f2aab['model']||_0x17c05b(0x23d1)]['download']({'id':_0x3f2aab['id']})[_0x17c05b(0x1d77)][_0x17c05b(0x1cb0)](function(_0x5dc8f5){const _0x3b1898=_0x17c05b,_0x3b8740=[_0x5dc8f5['buffer']],_0x3b9b5c=new Blob(_0x3b8740,{'type':_0x5dc8f5[_0x3b1898(0x66a)]});_0x169575['setAttribute'](_0x3b1898(0x66a),_0x5dc8f5[_0x3b1898(0x66a)]),_0x169575[_0x3b1898(0x23b9)]('src',URL[_0x3b1898(0x2247)](_0x3b9b5c)),_0x169575[_0x3b1898(0x96e)]();})[_0x17c05b(0x1c4)](function(_0x335b49){const _0x2fca7e=_0x17c05b;console[_0x2fca7e(0x218e)](_0x335b49);}));},_0x1dd4ba['append'](_0x169575);}};}const _0x4edd0e=_0xb9cc5d;;function _0xfc9e54(){return{'restrict':'A','link':function(_0x5e4049,_0x450d11){const _0x34443a=a0_0x5cbd,_0x3ca012=angular[_0x34443a(0x1853)](_0x34443a(0x229b));_0x450d11['after'](_0x3ca012),_0x3ca012[_0x34443a(0x207)](_0x450d11);}};}const _0xee8a18=_0xfc9e54;var _0x126fc5=_0x5074a3(0x1703),_0x278ac4=_0x5074a3['n'](_0x126fc5);;_0x4da3b8[_0x5537c6(0x15b6)]=['$timeout',_0x5537c6(0x24e9),_0x5537c6(0xde8),_0x5537c6(0x488)];function _0x9ea280(){const _0x42eb13=_0x5537c6;let _0x21f73c={'wheelSpeed':0x1,'wheelPropagation':![],'swipePropagation':!![],'minScrollbarLength':null,'maxScrollbarLength':null,'useBothWheelAxes':![],'useKeyboard':!![],'suppressScrollX':![],'suppressScrollY':![],'scrollXMarginOffset':0x0,'scrollYMarginOffset':0x0,'stopPropagationOnClick':!![]};this[_0x42eb13(0x1c22)]=function(){function _0x596308(){return _0x21f73c;}const _0x476b78={'getConfig':_0x596308};return _0x476b78;};function _0x268a4d(_0x1bdad9){const _0x18d4fa=_0x42eb13;_0x21f73c=angular[_0x18d4fa(0x2765)]({},_0x21f73c,_0x1bdad9);}this[_0x42eb13(0xa60)]=_0x268a4d;}function _0x4da3b8(_0x4ce263,_0x2a97f8,_0x1504b9,_0x3b4f58){return{'restrict':'AE','compile':function(_0x417cc5){const _0x21aafb=a0_0x5cbd;if(_0x3b4f58[_0x21aafb(0x226a)](_0x21aafb(0x1ef0)))return;if(_0x3b4f58[_0x21aafb(0x226a)](_0x21aafb(0xf0d))&&_0x1504b9[_0x21aafb(0xef3)]())return;return _0x417cc5[_0x21aafb(0x1390)](_0x21aafb(0xaac)),function _0xaa6e36(_0x526887,_0x2f17e1,_0x72a1b4){const _0x174992=_0x21aafb;let _0x3836ae={};_0x72a1b4[_0x174992(0x1459)]&&(_0x3836ae=_0x526887[_0x174992(0x674)](_0x72a1b4[_0x174992(0x1459)]));_0x3836ae=angular['extend']({},_0x2a97f8['getConfig'](),_0x3836ae),_0x278ac4()[_0x174992(0x2469)](_0x2f17e1[0x0],_0x3836ae);function _0x3a0792(){const _0x35f45a=_0x174992;_0x278ac4()[_0x35f45a(0x687)](_0x2f17e1[0x0]);}_0x2f17e1['on'](_0x174992(0x2406),_0x3a0792),_0x526887[_0x174992(0x614)](function(){const _0x1d348c=_0x174992;return _0x2f17e1[_0x1d348c(0x12e3)]('scrollHeight');},function(_0x256fdb,_0x455804){const _0x30a82b=_0x174992;if(angular[_0x30a82b(0x2051)](_0x256fdb)||angular[_0x30a82b(0x1c53)](_0x256fdb,_0x455804))return;_0x3a0792();}),_0x526887[_0x174992(0x614)](function(){const _0x784427=_0x174992;return _0x2f17e1[_0x784427(0x12e3)](_0x784427(0x2545));},function(_0x4b89f0,_0x1aba5f){const _0x5d448f=_0x174992;if(angular[_0x5d448f(0x2051)](_0x4b89f0)||angular[_0x5d448f(0x1c53)](_0x4b89f0,_0x1aba5f))return;_0x3a0792();}),_0x526887['$on'](_0x174992(0x291c),function(){const _0x4dc7b7=_0x174992;_0x2f17e1[_0x4dc7b7(0x28b2)](_0x4dc7b7(0x2406)),_0x278ac4()[_0x4dc7b7(0x203e)](_0x2f17e1[0x0]);});};}};};const _0x5cae3c=_0x5074a3['p']+'src/js/modules/core/directives/ms-search-bar/ms-search-bar.html/ms-search-bar.html';;_0x42bda6[_0x5537c6(0x15b6)]=['$document'],_0x40f09c[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x2086),_0x5537c6(0x2168)];function _0x40f09c(_0x4465ba,_0x48c2da,_0x5352a9){const _0x1a1cb9=_0x5537c6,_0x4ce4a7=this;_0x4ce4a7[_0x1a1cb9(0xc7d)]=!![],_0x4ce4a7[_0x1a1cb9(0xae2)]=undefined,_0x4ce4a7[_0x1a1cb9(0xa80)]={'debounce':_0x4ce4a7[_0x1a1cb9(0x366)]||0x0};const _0xbf398=_0x4ce4a7[_0x1a1cb9(0x1f9b)]&&_0x4ce4a7['collapseOnBlur']==_0x1a1cb9(0x44d)?!![]:![];_0x4ce4a7[_0x1a1cb9(0xbd1)]=![],_0x4ce4a7[_0x1a1cb9(0x2872)]=null,_0x4ce4a7[_0x1a1cb9(0x26e0)]=0x0,_0x4ce4a7[_0x1a1cb9(0x1b9c)]=![],_0x4ce4a7[_0x1a1cb9(0x1a34)]=_0x5a1bda,_0x4ce4a7[_0x1a1cb9(0x1473)]=_0x51e81d,_0x4ce4a7[_0x1a1cb9(0x1c28)]=_0x569ee9,_0x4ce4a7['collapse']=_0x24180c,_0x4ce4a7[_0x1a1cb9(0x10cf)]=_0xb6052a,_0x4ce4a7[_0x1a1cb9(0x5b1)]=_0x10a24d,_0x4ce4a7[_0x1a1cb9(0x299d)]=_0x5d1478,_0x4ce4a7[_0x1a1cb9(0x1ea8)]=_0x10f633,_0x4ce4a7[_0x1a1cb9(0x184)]=_0x162376,_0x4ce4a7['handleResultClick']=_0xf095d6,_0x4ce4a7['ensureSelectedResultIsVisible']=_0x498b49;function _0x5a1bda(){const _0x2d5218=_0x1a1cb9;_0x4465ba[_0x2d5218(0x614)](_0x2d5218(0x803),function(_0x44a4e8,_0x4173e0){const _0x108985=_0x2d5218;if(angular[_0x108985(0x2051)](_0x44a4e8))return;if(angular[_0x108985(0x1c53)](_0x44a4e8,_0x4173e0))return;if(_0x4ce4a7['collapsed']){if(_0x44a4e8)_0x4465ba[_0x108985(0x1c28)]();else return;}const _0x18adbc=_0x4465ba[_0x108985(0x17bc)]['$eval'](_0x4ce4a7['onSearch'],{'query':_0x44a4e8}),_0x12d28e=angular['isArray'](_0x18adbc),_0x45e8a1=_0x18adbc&&!!_0x18adbc[_0x108985(0x1cb0)];_0x12d28e&&_0x4ce4a7[_0x108985(0x1473)](_0x18adbc),_0x45e8a1&&(_0x4ce4a7['resultsLoading']=!![],_0x18adbc[_0x108985(0x1cb0)](function(_0x1c9621){const _0x2bc5db=_0x108985;_0x4ce4a7[_0x2bc5db(0x1473)](_0x1c9621);},function(){_0x4ce4a7['populateResults']([]);})['finally'](function(){_0x4ce4a7['resultsLoading']=![];}));});}function _0x51e81d(_0x475622){const _0x26d3ec=_0x1a1cb9;if(_0x4ce4a7[_0x26d3ec(0xc7d)])return;const _0x413273=angular[_0x26d3ec(0x216b)](_0x475622),_0x4c9368=_0x475622===null;if(!_0x413273&&!_0x4c9368)return;_0x4ce4a7[_0x26d3ec(0x26e0)]=0x0,_0x4ce4a7[_0x26d3ec(0x2872)]=_0x475622;}function _0x569ee9(){const _0x4fd84e=_0x1a1cb9;_0x4ce4a7[_0x4fd84e(0xc7d)]=![],_0x4465ba[_0x4fd84e(0x1c28)](),_0x4ce4a7[_0x4fd84e(0x3f4)]&&angular['isFunction'](_0x4ce4a7['onExpand'])&&_0x4ce4a7['onExpand']();}function _0x24180c(){const _0x404789=_0x1a1cb9;_0x4ce4a7['query']='',_0x4ce4a7[_0x404789(0x1473)](null),_0x4ce4a7['collapsed']=!![],_0x4465ba[_0x404789(0x1a8d)](),_0x4ce4a7[_0x404789(0x697)]&&angular[_0x404789(0x1e2c)](_0x4ce4a7[_0x404789(0x697)])&&_0x4ce4a7['onCollapse']();}function _0xb6052a(){const _0x5676e3=_0x1a1cb9;if(!_0xbf398)return;_0x4ce4a7[_0x5676e3(0x1a8d)]();}function _0x10a24d(_0x4725f4){const _0x2cb956=_0x1a1cb9;_0x4725f4[_0x2cb956(0x1fbd)]();}function _0x5d1478(_0x538cf7){const _0x5d52a0=_0x1a1cb9,_0x235311=_0x538cf7[_0x5d52a0(0x28e)],_0x126811=[0x1b,0x26,0x28];_0x126811[_0x5d52a0(0xd8a)](_0x235311)>-0x1&&_0x538cf7['preventDefault']();switch(_0x235311){case 0xd:if(!_0x4ce4a7['results'])return;_0x4ce4a7[_0x5d52a0(0x134d)](_0x4ce4a7[_0x5d52a0(0x2872)][_0x4ce4a7[_0x5d52a0(0x26e0)]]);break;case 0x1b:_0x4ce4a7[_0x5d52a0(0x1a8d)]();break;case 0x26:_0x4ce4a7['selectedResultIndex']-0x1>=0x0&&(_0x4ce4a7['selectedResultIndex']--,_0x4ce4a7[_0x5d52a0(0xe02)]());break;case 0x28:if(!_0x4ce4a7[_0x5d52a0(0x2872)])return;_0x4ce4a7[_0x5d52a0(0x26e0)]+0x1<_0x4ce4a7[_0x5d52a0(0x2872)]['length']&&(_0x4ce4a7[_0x5d52a0(0x26e0)]++,_0x4ce4a7['ensureSelectedResultIsVisible']());break;default:break;}}function _0x10f633(_0x4dfd5f){if(_0x4ce4a7['ignoreMouseEvents'])return;_0x4ce4a7['selectedResultIndex']=_0x4dfd5f;}function _0x162376(){const _0x2f80bc=_0x1a1cb9;_0x4ce4a7[_0x2f80bc(0x1b9c)]=!![],_0x5352a9[_0x2f80bc(0x696)](_0x4ce4a7[_0x2f80bc(0x484)]),_0x4ce4a7[_0x2f80bc(0x484)]=_0x5352a9(function(){const _0x3d86c9=_0x2f80bc;_0x4ce4a7[_0x3d86c9(0x1b9c)]=![];},0xfa);}function _0xf095d6(_0x163b07){const _0xc9900=_0x1a1cb9;_0x4ce4a7[_0xc9900(0x1723)]&&_0x4ce4a7[_0xc9900(0x1723)]({'item':_0x163b07}),_0x4ce4a7['collapse']();}function _0x498b49(){const _0x5aa05c=_0x1a1cb9,_0x5ac68d=_0x48c2da['find'](_0x5aa05c(0x2480)),_0x3d578e=angular['element'](_0x5ac68d['find'](_0x5aa05c(0x23bd))[_0x4ce4a7[_0x5aa05c(0x26e0)]]);if(_0x5ac68d&&_0x3d578e){const _0x2ad7db=_0x3d578e['position']()[_0x5aa05c(0x20d)]-0x8,_0x20e57d=_0x3d578e[_0x5aa05c(0xe9e)]()[_0x5aa05c(0x20d)]+_0x3d578e[_0x5aa05c(0x1d24)]()+0x8;_0x4ce4a7[_0x5aa05c(0x184)](),_0x5ac68d[_0x5aa05c(0x20d1)]()>_0x2ad7db&&_0x5ac68d[_0x5aa05c(0x20d1)](_0x2ad7db),_0x20e57d>_0x5ac68d[_0x5aa05c(0xaab)]()+_0x5ac68d[_0x5aa05c(0x20d1)]()&&_0x5ac68d[_0x5aa05c(0x20d1)](_0x20e57d-_0x5ac68d[_0x5aa05c(0xaab)]());}}}function _0x42bda6(_0x2f3d2a){const _0x302a2f=_0x5537c6;return{'restrict':'E','scope':{},'require':_0x302a2f(0x2d2),'controller':'MsSearchBarController\x20as\x20vm','bindToController':{'query':'=?','debounce':'=?','direction':'@','iconColor':'@','onSearch':'@','onResultClick':'&?','onExpand':'&?','onCollapse':'&?','collapseOnBlur':'@'},'templateUrl':_0x5cae3c,'compile':function(_0x35d5a2){const _0x3d2fd0=_0x302a2f;return _0x35d5a2[_0x3d2fd0(0x1390)](_0x3d2fd0(0x46f)),function _0x2538b6(_0x1adb6d,_0x3196b4){const _0x23db3a=_0x3d2fd0,_0x485f7a=_0x2f3d2a[_0x23db3a(0x13b4)](_0x23db3a(0x1ed9));function _0x2acbc0(){const _0x39dff5=_0x23db3a;_0x3196b4['addClass']('expanded');if(_0x1adb6d['vm'][_0x39dff5(0x979)]===_0x39dff5(0x1fcf)){_0x3196b4[_0x39dff5(0x1390)](_0x39dff5(0x1fcf));const _0x250115=_0x3196b4[_0x39dff5(0x2588)](_0x39dff5(0xa12));if(_0x250115)_0x250115[_0x39dff5(0x1390)](_0x39dff5(0x106c));}_0x485f7a[_0x39dff5(0x1390)]('ms-search-bar-expanded');}function _0xac57b5(){const _0x32396d=_0x23db3a;_0x3196b4[_0x32396d(0x1e55)](_0x32396d(0x7bf));if(_0x1adb6d['vm']['direction']===_0x32396d(0x1fcf)){_0x3196b4[_0x32396d(0x1e55)](_0x32396d(0x1fcf));const _0x36e26a=_0x3196b4[_0x32396d(0x2588)]('md-toolbar');if(_0x36e26a)_0x36e26a[_0x32396d(0x1e55)](_0x32396d(0x106c));}_0x485f7a['removeClass'](_0x32396d(0x1ae5));}_0x1adb6d[_0x23db3a(0x1a8d)]=_0xac57b5,_0x1adb6d[_0x23db3a(0x1c28)]=_0x2acbc0;};}};};const _0x56f5c0=_0x5074a3['p']+_0x5537c6(0x1ebc);;_0x1d41f6[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x173),_0x5537c6(0x22bf),_0x5537c6(0x2168),'$q','msNavigationService'];function _0x1d41f6(_0xffb09a,_0xbe78e0,_0x578868,_0x17b6fe,_0x5926f2,_0x5d6493){const _0x3ab2b5=_0x5537c6,_0x52dc90=this;_0x52dc90[_0x3ab2b5(0xae2)]='',_0x52dc90[_0x3ab2b5(0xa80)]={'debounce':0x12c},_0x52dc90[_0x3ab2b5(0xbd1)]=![],_0x52dc90['selectedResultIndex']=0x0,_0x52dc90[_0x3ab2b5(0x1b9c)]=![],_0x52dc90[_0x3ab2b5(0xfba)]=![],_0x52dc90[_0x3ab2b5(0x2872)]=null,_0x52dc90[_0x3ab2b5(0x1056)]=[],_0x52dc90[_0x3ab2b5(0x10d7)]={'ghostClass':_0x3ab2b5(0x1ecf),'forceFallback':!![],'fallbackClass':_0x3ab2b5(0x1ba1),'onSort':function(){const _0x5ae1ee=_0x3ab2b5;_0x52dc90[_0x5ae1ee(0x192f)]();}};function _0x269a59(){const _0x3235e7=_0x3ab2b5;_0x52dc90[_0x3235e7(0x23ed)]()[_0x3235e7(0x1cb0)](function(_0x398e4a){const _0x12aba4=_0x3235e7;_0x52dc90[_0x12aba4(0x1056)]=_0x398e4a,_0x52dc90[_0x12aba4(0x1056)][_0x12aba4(0xfd0)]>0x0&&(_0x52dc90[_0x12aba4(0x2872)]=_0x398e4a);}),_0xffb09a[_0x3235e7(0x614)](_0x3235e7(0x287),function(_0x40a554,_0x5c19ca){const _0x3c2b28=_0x3235e7;if(angular[_0x3c2b28(0x2051)](_0x40a554))return;if(angular['equals'](_0x40a554,_0x5c19ca))return;_0x52dc90[_0x3c2b28(0xbd1)]=!![],_0x52dc90[_0x3c2b28(0x1473)]()['then'](function(_0x14caa1){_0x52dc90['results']=_0x14caa1;},function(){const _0x18c77e=_0x3c2b28;_0x52dc90[_0x18c77e(0x2872)]=[];})[_0x3c2b28(0x2e0)](function(){_0x52dc90['resultsLoading']=![];});});}function _0x30eda8(){const _0x2dd492=_0x3ab2b5;let _0x48bbdc=[];const _0x3662f5=_0x5d6493['getFlatNavigation'](),_0x440e48=_0x5926f2[_0x2dd492(0x11f4)]();for(let _0x6b65e=0x0;_0x6b65e<_0x3662f5['length'];_0x6b65e++){_0x3662f5[_0x6b65e]['uisref']&&_0x48bbdc[_0x2dd492(0x2785)](_0x3662f5[_0x6b65e]);}if(_0x52dc90['query']){_0x48bbdc=_0x48bbdc[_0x2dd492(0x1c99)](function(_0x387a0e){const _0x6f1fee=_0x2dd492;if(_0x387a0e[_0x6f1fee(0x1386)][_0x6f1fee(0x1680)]()[_0x6f1fee(0x250a)](_0x52dc90[_0x6f1fee(0xae2)][_0x6f1fee(0x1680)]()))return!![];});for(let _0xd5b6c6=0x0;_0xd5b6c6<_0x48bbdc[_0x2dd492(0xfd0)];_0xd5b6c6++){_0x48bbdc[_0xd5b6c6][_0x2dd492(0x4fd)]=![];for(let _0x5d2ab7=0x0;_0x5d2ab7<_0x52dc90[_0x2dd492(0x1056)][_0x2dd492(0xfd0)];_0x5d2ab7++){if(_0x52dc90[_0x2dd492(0x1056)][_0x5d2ab7][_0x2dd492(0xa09)]===_0x48bbdc[_0xd5b6c6][_0x2dd492(0xa09)]){_0x48bbdc[_0xd5b6c6]['hasShortcut']=!![];break;}}}}else _0x52dc90[_0x2dd492(0x1056)][_0x2dd492(0xfd0)]>0x0&&(_0x48bbdc=_0x52dc90[_0x2dd492(0x1056)]);return _0x52dc90[_0x2dd492(0x26e0)]=0x0,_0x17b6fe(function(){const _0x29ba51=_0x2dd492;_0x440e48[_0x29ba51(0x19a3)](_0x48bbdc);},0xfa),_0x440e48[_0x2dd492(0x2061)];}function _0x3a1b7c(){const _0x5078c5=_0x3ab2b5,_0x12dcae=_0x5926f2[_0x5078c5(0x11f4)]();let _0x54164a=angular[_0x5078c5(0x284c)](_0xbe78e0[_0x5078c5(0xbf7)](_0x5078c5(0x1b8)));return angular[_0x5078c5(0x2051)](_0x54164a)&&(_0x54164a=[{'title':_0x5078c5(0x2536),'icon':_0x5078c5(0x22b),'state':_0x5078c5(0x23ab),'weight':0x5,'children':[],'uisref':'app.staff.agents','hasShortcut':!![]},{'title':_0x5078c5(0x1ace),'icon':_0x5078c5(0x2675),'state':_0x5078c5(0x120c),'weight':0xa,'children':[],'uisref':'app.settings.license','hasShortcut':!![]}],_0xbe78e0['put'](_0x5078c5(0x1b8),angular['toJson'](_0x54164a))),_0x12dcae[_0x5078c5(0x19a3)](_0x54164a),_0x12dcae[_0x5078c5(0x2061)];}function _0x1a07b8(){const _0x54a878=_0x3ab2b5,_0x3ba99c=_0x5926f2[_0x54a878(0x11f4)]();return _0xbe78e0[_0x54a878(0x135f)]('motion.shortcuts',angular['toJson'](_0x52dc90[_0x54a878(0x1056)])),_0x17b6fe(function(){const _0x3bee0e=_0x54a878;_0x3ba99c[_0x3bee0e(0x19a3)]({'success':!![]});},0xfa),_0x3ba99c[_0x54a878(0x2061)];}function _0x2600ba(_0x352c0d){const _0x5d62cf=_0x3ab2b5;_0x352c0d[_0x5d62cf(0x4fd)]=!![],_0x52dc90[_0x5d62cf(0x1056)][_0x5d62cf(0x2785)](_0x352c0d),_0x52dc90[_0x5d62cf(0x192f)]();}function _0x22fe13(_0x30c99d){const _0xaf8ad7=_0x3ab2b5;_0x30c99d[_0xaf8ad7(0x4fd)]=![];for(let _0x58a4be=0x0;_0x58a4be<_0x52dc90['shortcuts']['length'];_0x58a4be++){_0x52dc90[_0xaf8ad7(0x1056)][_0x58a4be][_0xaf8ad7(0xa09)]===_0x30c99d[_0xaf8ad7(0xa09)]&&(_0x52dc90[_0xaf8ad7(0x1056)]['splice'](_0x58a4be,0x1),!_0x52dc90[_0xaf8ad7(0xae2)]&&(_0x52dc90['shortcuts'][_0xaf8ad7(0xfd0)]===0x0?_0x52dc90[_0xaf8ad7(0x2872)]=null:_0x58a4be>=_0x52dc90['shortcuts'][_0xaf8ad7(0xfd0)]&&(_0x52dc90[_0xaf8ad7(0x26e0)]=_0x52dc90['shortcuts'][_0xaf8ad7(0xfd0)]-0x1)));}_0x52dc90['saveShortcuts']();}function _0x31b8ff(_0x2cffd5){const _0x320874=_0x3ab2b5;_0x2cffd5[_0x320874(0x4fd)]?_0x52dc90['removeShortcut'](_0x2cffd5):_0x52dc90[_0x320874(0x15e4)](_0x2cffd5);}function _0x19dff3(_0x4742a5){const _0x4fb73a=_0x3ab2b5;_0x4742a5[_0x4fb73a(0x1fbd)]();}function _0x4933a3(_0x47e89f){const _0x429897=_0x3ab2b5,_0x4baddb=_0x47e89f['keyCode'],_0x47ddc3=[0x26,0x28];_0x47ddc3['indexOf'](_0x4baddb)>-0x1&&_0x47e89f['preventDefault']();switch(_0x4baddb){case 0xd:_0x52dc90[_0x429897(0x134d)](_0x52dc90[_0x429897(0x2872)][_0x52dc90[_0x429897(0x26e0)]]);break;case 0x26:_0x52dc90['selectedResultIndex']-0x1>=0x0&&(_0x52dc90[_0x429897(0x26e0)]--,_0x52dc90[_0x429897(0xe02)]());break;case 0x28:_0x52dc90['selectedResultIndex']+0x1<_0x52dc90[_0x429897(0x2872)][_0x429897(0xfd0)]&&(_0x52dc90[_0x429897(0x26e0)]++,_0x52dc90['ensureSelectedResultIsVisible']());break;default:break;}}function _0x1c3c0f(_0x1857fa){const _0x268bd2=_0x3ab2b5;if(_0x52dc90['ignoreMouseEvents'])return;_0x52dc90[_0x268bd2(0x26e0)]=_0x1857fa;}function _0xccacfb(){const _0x3625de=_0x3ab2b5;_0x52dc90['ignoreMouseEvents']=!![],_0x17b6fe[_0x3625de(0x696)](_0x52dc90[_0x3625de(0x484)]),_0x52dc90[_0x3625de(0x484)]=_0x17b6fe(function(){_0x52dc90['ignoreMouseEvents']=![];},0xfa);}function _0x4e4ff8(){const _0x3daaf6=_0x3ab2b5,_0x37140e=_0x578868['find'](_0x3daaf6(0x1e88))[_0x3daaf6(0x13b4)](_0x3daaf6(0x3a1)),_0x2d875e=angular[_0x3daaf6(0x1853)](_0x37140e[_0x3daaf6(0x13b4)]('.result')[_0x52dc90[_0x3daaf6(0x26e0)]]);if(_0x37140e&&_0x2d875e){const _0x1b6918=_0x2d875e[_0x3daaf6(0xe9e)]()[_0x3daaf6(0x20d)]-0x8,_0x225f2c=_0x2d875e['position']()[_0x3daaf6(0x20d)]+_0x2d875e[_0x3daaf6(0x1d24)]()+0x8;_0x52dc90[_0x3daaf6(0x184)](),_0x37140e[_0x3daaf6(0x20d1)]()>_0x1b6918&&_0x37140e[_0x3daaf6(0x20d1)](_0x1b6918),_0x225f2c>_0x37140e['height']()+_0x37140e[_0x3daaf6(0x20d1)]()&&_0x37140e[_0x3daaf6(0x20d1)](_0x225f2c-_0x37140e[_0x3daaf6(0xaab)]());}}function _0x64695f(){const _0x540d5c=_0x3ab2b5;_0x52dc90[_0x540d5c(0xfba)]=!_0x52dc90['mobileBarActive'];}_0x52dc90['populateResults']=_0x30eda8,_0x52dc90[_0x3ab2b5(0x23ed)]=_0x3a1b7c,_0x52dc90['saveShortcuts']=_0x1a07b8,_0x52dc90['addShortcut']=_0x2600ba,_0x52dc90[_0x3ab2b5(0xbc9)]=_0x22fe13,_0x52dc90[_0x3ab2b5(0x134d)]=_0x31b8ff,_0x52dc90[_0x3ab2b5(0x5b1)]=_0x19dff3,_0x52dc90['handleKeydown']=_0x4933a3,_0x52dc90[_0x3ab2b5(0x1ea8)]=_0x1c3c0f,_0x52dc90[_0x3ab2b5(0x184)]=_0xccacfb,_0x52dc90[_0x3ab2b5(0xe02)]=_0x4e4ff8,_0x52dc90[_0x3ab2b5(0xb44)]=_0x64695f,_0x269a59();}function _0x381089(){const _0x308b45=_0x5537c6;return{'restrict':'E','scope':{},'require':'msShortcuts','controller':_0x308b45(0x2465),'bindToController':{},'templateUrl':_0x56f5c0,'compile':function(_0x3822b6){const _0x17595f=_0x308b45;return _0x3822b6[_0x17595f(0x1390)](_0x17595f(0x3a7)),function _0x47d83f(){};}};};function _0x4675ea(){return{'restrict':'A','require':'^mdSidenav','link':function(_0xd8de51,_0x56dcd9,_0x4668ed,_0x10d608){const _0x2488f9=a0_0x5cbd;_0xd8de51[_0x2488f9(0x614)](function(){const _0x2d08c6=_0x2488f9;return _0x10d608[_0x2d08c6(0x1666)]()&&!_0x10d608[_0x2d08c6(0x948)]();},function(_0x6b4bce){const _0x168dc2=_0x2488f9;if(angular[_0x168dc2(0x2051)](_0x6b4bce))return;_0x56dcd9[_0x168dc2(0xbfb)]()[_0x168dc2(0x713)](_0x168dc2(0x134c),_0x6b4bce),angular[_0x168dc2(0x1853)](_0x168dc2(0x1d35))['toggleClass'](_0x168dc2(0xfab),_0x6b4bce);});}};}const _0xfa7d65=_0x4675ea;;_0x49a550[_0x5537c6(0x15b6)]=[_0x5537c6(0x121a)];function _0x49a550(_0x479e4f){return{'restrict':'E','link':function(_0x3d7aa9,_0x268044){const _0x37ac7b=a0_0x5cbd,_0x51c995=_0x3d7aa9[_0x37ac7b(0x1d6)]('msSplashScreen::remove',function(){const _0x32111e=_0x37ac7b;_0x479e4f[_0x32111e(0xf03)](_0x268044)[_0x32111e(0x1cb0)](function(){_0x51c995(),_0x3d7aa9=null,_0x268044=null;});});}};}const _0x5bd385=_0x49a550;;const _0x2b57f6=_0x5074a3['p']+_0x5537c6(0xaaf);;const _0x1fcd3a=_0x5074a3['p']+_0x5537c6(0x223a);;const _0x1b2b16=_0x5074a3['p']+_0x5537c6(0x1d18);;_0x534b53[_0x5537c6(0x15b6)]=[_0x5537c6(0x2168)],_0x4f81b6[_0x5537c6(0x15b6)]=[_0x5537c6(0x2168)];function _0x4f81b6(_0x5e2ef3){const _0x216aca=_0x5537c6,_0x558093=this;_0x558093[_0x216aca(0x859)]=undefined,_0x558093['orientation']='horizontal',_0x558093[_0x216aca(0xeae)]=[],_0x558093['currentStep']=undefined,_0x558093[_0x216aca(0x5ce)]=0x1;function _0x1cca91(_0x2419b4){return!(angular['isUndefined'](_0x2419b4)||_0x2419b4<0x1||_0x2419b4>_0x558093['steps']['length']);}function _0x237398(_0x4900ea){const _0x38fed1=_0x216aca;_0x558093[_0x38fed1(0xa93)]=_0x4900ea||_0x38fed1(0x203f);}function _0x2188fd(_0xcc5dfe){const _0xc1ef9f=_0x216aca;_0x558093[_0xc1ef9f(0x859)]=_0xcc5dfe;}function _0x11be3e(){const _0x36740e=_0x216aca;_0x558093[_0x36740e(0x154c)](0x1);}function _0x13b42a(_0x20bca2){const _0x36e747=_0x216aca;if(!_0x1cca91(_0x20bca2))return null;return!!_0x558093[_0x36e747(0xeae)][_0x20bca2-0x1][_0x36e747(0x1500)][_0x36e747(0x1a09)];}function _0x5526c5(_0x1bc45a,_0x4b82fb,_0x671197){const _0x496403=_0x216aca,_0x48ac28={'element':_0x1bc45a,'scope':_0x4b82fb,'form':_0x671197,'stepNumber':_0x4b82fb[_0x496403(0x23dc)]||_0x558093[_0x496403(0xeae)]['length']+0x1,'stepTitle':_0x4b82fb['stepTitle'],'stepTitleTranslate':_0x4b82fb[_0x496403(0x1769)]};return _0x558093[_0x496403(0xeae)][_0x496403(0x2785)](_0x48ac28),_0x558093[_0x496403(0xeae)][_0x496403(0x1381)](function(_0x1ef466,_0x35b522){const _0x17f6ec=_0x496403;return _0x1ef466[_0x17f6ec(0x952)]-_0x35b522[_0x17f6ec(0x952)];}),_0x48ac28;}function _0x7f71d8(){const _0x121530=_0x216aca;_0x558093['setCurrentStep'](_0x558093[_0x121530(0x5ce)]);}function _0xa8b332(){_0x5e2ef3(function(){const _0x2af257=a0_0x5cbd;for(let _0x13044e=0x0;_0x13044e<_0x558093[_0x2af257(0xeae)][_0x2af257(0xfd0)];_0x13044e++){_0x558093['steps'][_0x13044e][_0x2af257(0x2699)][_0x2af257(0x1187)](),_0x558093[_0x2af257(0xeae)][_0x13044e]['form'][_0x2af257(0x574)]();}_0x558093[_0x2af257(0x859)][_0x2af257(0x1187)](),_0x558093[_0x2af257(0x859)]['$setUntouched'](),_0x11be3e();});}function _0x399d6c(_0xf7b761){const _0x46656=_0x216aca;if(!_0x1cca91(_0xf7b761))return;_0x558093[_0x46656(0x5ce)]=_0xf7b761;if(_0x558093[_0x46656(0xa93)]===_0x46656(0x203f)){for(let _0x4a382e=0x0;_0x4a382e<_0x558093[_0x46656(0xeae)][_0x46656(0xfd0)];_0x4a382e++){_0x558093[_0x46656(0xeae)][_0x4a382e][_0x46656(0x1853)]['hide']();}_0x558093[_0x46656(0xeae)][_0x558093['currentStepNumber']-0x1][_0x46656(0x1853)][_0x46656(0xe27)]();}else{if(_0x558093['orientation']===_0x46656(0x1fdf)){for(let _0x3acf40=0x0;_0x3acf40<_0x558093[_0x46656(0xeae)][_0x46656(0xfd0)];_0x3acf40++){_0x558093[_0x46656(0xeae)][_0x3acf40]['element']['find']('.ms-stepper-step-content')['hide']();}_0x558093[_0x46656(0xeae)][_0x558093['currentStepNumber']-0x1][_0x46656(0x1853)]['find'](_0x46656(0x292))[_0x46656(0xe27)]();}}}function _0x406b0a(_0x32978e){const _0x397ef5=_0x216aca;if(_0x13b42a(_0x32978e))return;_0x558093[_0x397ef5(0x154c)](_0x32978e);}function _0x1421d8(){const _0x4ef5d7=_0x216aca;let _0x14e1d6=_0x558093[_0x4ef5d7(0x5ce)]-0x1;for(let _0x4c5393=_0x14e1d6;_0x4c5393>=0x1;_0x4c5393--){if(!_0x13b42a(_0x4c5393)){_0x14e1d6=_0x4c5393;break;}}_0x558093[_0x4ef5d7(0x154c)](_0x14e1d6);}function _0x372a01(){const _0x3e924c=_0x216aca;let _0x49277d=_0x558093[_0x3e924c(0x5ce)]+0x1;for(let _0x2409ef=_0x49277d;_0x2409ef<=_0x558093[_0x3e924c(0xeae)][_0x3e924c(0xfd0)];_0x2409ef++){if(!_0x13b42a(_0x2409ef)){_0x49277d=_0x2409ef;break;}}_0x558093['setCurrentStep'](_0x49277d);}function _0x4b088d(){const _0x52aaaf=_0x216aca;_0x558093[_0x52aaaf(0x154c)](_0x558093[_0x52aaaf(0xeae)][_0x52aaaf(0xfd0)]);}function _0x39bf73(){return _0x558093['currentStepNumber']===0x1;}function _0x27b192(){const _0x4c8c8c=_0x216aca;return _0x558093[_0x4c8c8c(0x5ce)]===_0x558093['steps'][_0x4c8c8c(0xfd0)];}function _0x50b118(_0x5ad60c){const _0x340abc=_0x216aca;if(!_0x1cca91(_0x5ad60c))return null;return _0x558093[_0x340abc(0x5ce)]===_0x5ad60c;}function _0x54ca5a(_0x32de74){const _0x5f3237=_0x216aca;if(!_0x1cca91(_0x32de74))return null;return _0x558093[_0x5f3237(0xeae)][_0x32de74-0x1][_0x5f3237(0x1500)][_0x5f3237(0x18fd)];}function _0x132a6e(_0x19253f){const _0x177fd0=_0x216aca;if(!_0x1cca91(_0x19253f))return null;if(_0x54ca5a(_0x19253f))return!![];return _0x558093['steps'][_0x19253f-0x1][_0x177fd0(0x2699)][_0x177fd0(0x2736)];}function _0x303fa9(_0x499f9a){if(!_0x1cca91(_0x499f9a))return null;let _0x59fc13=![];for(let _0x55966f=0x1;_0x55966f<_0x499f9a;_0x55966f++){if(!_0x132a6e(_0x55966f)){_0x59fc13=!![];break;}}return _0x59fc13;}function _0xeda047(_0x3afc8a){const _0x5d85a3=_0x216aca;return!_0x13b42a(_0x3afc8a[_0x5d85a3(0x952)]);}function _0x4eab20(){const _0xfc7f55=_0x216aca;return _0x558093[_0xfc7f55(0x859)]['$valid'];}_0x558093[_0x216aca(0x1669)]=_0x237398,_0x558093[_0x216aca(0x17c2)]=_0x2188fd,_0x558093[_0x216aca(0x24ad)]=_0x5526c5,_0x558093['setupSteps']=_0x7f71d8,_0x558093[_0x216aca(0x21b3)]=_0xa8b332,_0x558093[_0x216aca(0x154c)]=_0x399d6c,_0x558093['gotoStep']=_0x406b0a,_0x558093[_0x216aca(0x280a)]=_0x1421d8,_0x558093['gotoNextStep']=_0x372a01,_0x558093[_0x216aca(0x1633)]=_0x11be3e,_0x558093[_0x216aca(0xb37)]=_0x4b088d,_0x558093[_0x216aca(0x2933)]=_0x39bf73,_0x558093[_0x216aca(0x2221)]=_0x27b192,_0x558093[_0x216aca(0x17ae)]=_0x50b118,_0x558093[_0x216aca(0x1d7)]=_0x303fa9,_0x558093[_0x216aca(0x2944)]=_0x54ca5a,_0x558093[_0x216aca(0xcf5)]=_0x13b42a,_0x558093['filterHiddenStep']=_0xeda047,_0x558093[_0x216aca(0x3f1)]=_0x132a6e,_0x558093['isStepNumberValid']=_0x1cca91,_0x558093[_0x216aca(0x65c)]=_0x4eab20;}function _0x140e79(){const _0x2814bb=_0x5537c6;return{'restrict':'A','scope':{},'require':[_0x2814bb(0x2699),_0x2814bb(0x1b97)],'priority':0x3e9,'controller':_0x2814bb(0x1293),'bindToController':{'model':_0x2814bb(0x1850)},'transclude':!![],'templateUrl':_0x2b57f6,'compile':function(_0x82a51a){const _0x5bebf9=_0x2814bb;return _0x82a51a['addClass'](_0x5bebf9(0x28e0)),function _0x5a85ad(_0x2ac1eb,_0xcdffbd,_0x1a74d9,_0x2562f0){const _0x53d21e=_0x5bebf9,_0x166528=_0x2562f0[0x0],_0x30fd1a=_0x2562f0[0x1];_0x30fd1a[_0x53d21e(0x1669)](_0x53d21e(0x203f)),_0x30fd1a[_0x53d21e(0x17c2)](_0x166528),_0x30fd1a[_0x53d21e(0x259)]();};}};}function _0x5f03da(){const _0x3c4f61=_0x5537c6;return{'restrict':'E','require':[_0x3c4f61(0x2699),_0x3c4f61(0x1ec9)],'priority':0x3e8,'scope':{'step':'=?','stepTitle':'=?','stepTitleTranslate':'=?','optionalStep':'=?','hideStep':'=?'},'compile':function(_0x28ce01){const _0x4b5394=_0x3c4f61;return _0x28ce01[_0x4b5394(0x1390)]('ms-stepper-step'),function _0xc8347a(_0x1fd610,_0x113ed5,_0x41eca9,_0x5d0c09){const _0x18c993=_0x4b5394,_0x4f30ca=_0x5d0c09[0x0],_0x474c66=_0x5d0c09[0x1];_0x1fd610[_0x18c993(0x18fd)]=angular['isDefined'](_0x41eca9[_0x18c993(0x18fd)]),_0x474c66['registerStep'](_0x113ed5,_0x1fd610,_0x4f30ca),_0x113ed5['hide']();};}};}function _0x534b53(_0x41337){const _0x41b590=_0x5537c6;return{'restrict':'A','scope':{},'require':[_0x41b590(0x2699),'msVerticalStepper'],'priority':0x3e9,'controller':'MsStepperController\x20as\x20MsStepper','bindToController':{'model':'=ngModel'},'transclude':!![],'templateUrl':_0x1fcd3a,'compile':function(_0x302444){const _0x191641=_0x41b590;return _0x302444['addClass'](_0x191641(0x28e0)),function _0x62a560(_0x4bc8cb,_0x1b2a89,_0x382543,_0x963b0f){const _0x48910a=_0x963b0f[0x0],_0x34927a=_0x963b0f[0x1];_0x41337(function(){const _0x19993a=a0_0x5cbd;_0x34927a[_0x19993a(0x1669)](_0x19993a(0x1fdf)),_0x34927a[_0x19993a(0x17c2)](_0x48910a),_0x34927a['setupSteps']();});};}};}function _0x2acdd8(){const _0x25c535=_0x5537c6;return{'restrict':'E','require':[_0x25c535(0x2699),_0x25c535(0x219a)],'priority':0x3e8,'scope':{'step':'=?','stepTitle':'=?','stepTitleTranslate':'=?','optionalStep':'=?','hideStep':'=?'},'transclude':!![],'templateUrl':-_0x1b2b16,'compile':function(_0x3f0ed5){const _0x475280=_0x25c535;return _0x3f0ed5[_0x475280(0x1390)](_0x475280(0x602)),function _0x40635b(_0x520329,_0x338037,_0x401f1e,_0x50f0aa){const _0x2d41e9=_0x475280,_0xc14af9=_0x50f0aa[0x0],_0x2e950d=_0x50f0aa[0x1];_0x520329[_0x2d41e9(0x18fd)]=angular[_0x2d41e9(0x13b8)](_0x401f1e[_0x2d41e9(0x18fd)]),_0x520329[_0x2d41e9(0x12ac)]=_0x2e950d[_0x2d41e9(0x24ad)](_0x338037,_0x520329,_0xc14af9),_0x520329['MsStepper']=_0x2e950d,_0x338037['find'](_0x2d41e9(0x292))['hide']();};}};};const _0x358e75=_0x5074a3['p']+_0x5537c6(0x14f1);;function _0x39dfc9(){const _0x35816a=_0x5537c6,_0x29a415=this;_0x29a415['onTabScroll']=_0x4dc578,_0x29a415[_0x35816a(0x155c)]=_0x59586f;function _0x4dc578(_0x5ee05a){const _0x37f30b=_0x35816a;_0x5ee05a==_0x37f30b(0x766)?$('#tab-container')[_0x37f30b(0x1885)]()[_0x37f30b(0xb53)]({'scrollLeft':_0x37f30b(0x197b)},0x3e8):$('#tab-container')[_0x37f30b(0x1885)]()[_0x37f30b(0xb53)]({'scrollLeft':_0x37f30b(0x1535)},0x3e8);}function _0x59586f(_0xc8c710){const _0x13dfe6=_0x35816a;switch(_0x42cf48()){case'both':return!![];case'left':return _0xc8c710==_0x13dfe6(0x766)?!![]:![];case'right':return _0xc8c710==_0x13dfe6(0x15d2)?!![]:![];case _0x13dfe6(0x13b1):return![];default:return![];}}function _0x42cf48(){const _0x47da54=_0x35816a,_0x214e9c=document[_0x47da54(0x26ee)](_0x47da54(0x2497)),_0x241dce=document[_0x47da54(0x26ee)](_0x47da54(0x1a24));if(_0x241dce[_0x47da54(0x2545)]>_0x214e9c[_0x47da54(0x2545)]&&_0x214e9c[_0x47da54(0x280)]==0x0&&_0x241dce[_0x47da54(0x280)]==0x0)return _0x47da54(0x15d2);else{if(_0x241dce[_0x47da54(0x2545)]>_0x214e9c['scrollWidth']&&_0x241dce[_0x47da54(0x280)]>_0x214e9c['scrollLeft']&&_0x214e9c['scrollWidth']+_0x241dce[_0x47da54(0x280)]>=_0x241dce[_0x47da54(0x2545)])return _0x47da54(0x766);else return _0x241dce[_0x47da54(0x2545)]>_0x214e9c[_0x47da54(0x2545)]&&_0x241dce[_0x47da54(0x280)]>_0x214e9c[_0x47da54(0x280)]&&_0x214e9c['scrollWidth']+_0x241dce[_0x47da54(0x280)]<_0x241dce[_0x47da54(0x2545)]?_0x47da54(0x25bb):_0x47da54(0x13b1);}}}function _0x253fbd(){return{'restrict':'E','scope':{},'transclude':!![],'controller':'MsTabScrollController','controllerAs':'vm','bindToController':!![],'templateUrl':_0x358e75,'compile':function(_0x11be57){const _0x4594b3=a0_0x5cbd;return _0x11be57[_0x4594b3(0x1390)](_0x4594b3(0x352)),function _0x2516f1(){};}};};_0x1f2ccf['$inject']=[_0x5537c6(0x2168),'$q'];function _0x1e610f(){const _0x40ae66=_0x5537c6,_0x41ac79=this;_0x41ac79[_0x40ae66(0x1211)]=undefined,_0x41ac79[_0x40ae66(0x1e6b)]=_0x2e1d67,_0x41ac79['getScrollEl']=_0x1e13a9;function _0x2e1d67(_0x580a7a){const _0x2a0b42=_0x40ae66;_0x41ac79[_0x2a0b42(0x1211)]=_0x580a7a;}function _0x1e13a9(){const _0x2a36c6=_0x40ae66;return _0x41ac79[_0x2a36c6(0x1211)];}}function _0x2b81d6(){const _0x8ae34f=_0x5537c6;return{'scope':{'msTimeline':'=?','loadMore':_0x8ae34f(0x15d3)},'controller':_0x8ae34f(0x157a),'compile':function(_0x3b6c5d){const _0x226088=_0x8ae34f;return _0x3b6c5d[_0x226088(0x1390)](_0x226088(0x611)),function _0x50a1af(_0xa4ba3c,_0x453111,_0x4a8248,_0x3b00bd){const _0x11169c=_0x226088,_0x29b2e6=angular[_0x11169c(0x1853)](_0x11169c(0x1223));_0x453111[_0x11169c(0x207)](_0x29b2e6);let _0x58b222={'scrollEl':'#content'};_0x58b222=angular[_0x11169c(0x2765)](_0x58b222,_0xa4ba3c['msTimeline'],{});const _0x8d77b8=angular['element'](_0x58b222['scrollEl']);_0x3b00bd['setScrollEl'](_0x8d77b8);const _0x3521ef=0x90;_0x299ce0();function _0x2b51a4(){const _0x44b34e=_0x11169c;_0x8d77b8[_0x44b34e(0x20d1)]()+_0x8d77b8[_0x44b34e(0xaab)]()+_0x3521ef>_0x29b2e6['position']()[_0x44b34e(0x20d)]&&(_0x29b2e6[_0x44b34e(0x1390)](_0x44b34e(0xe27)),_0x32910b(),_0xa4ba3c[_0x44b34e(0x199e)]()['then'](function(){const _0xd902ed=_0x44b34e;_0x29b2e6[_0xd902ed(0x1e55)](_0xd902ed(0xe27)),_0x299ce0();},function(){const _0x1fc12d=_0x44b34e;_0x29b2e6[_0x1fc12d(0x152a)]();}));}function _0x299ce0(){const _0x5e7334=_0x11169c;_0x8d77b8['on'](_0x5e7334(0xc6e),_0x2b51a4);}function _0x32910b(){const _0x468158=_0x11169c;_0x8d77b8['off'](_0x468158(0xc6e),_0x2b51a4);}_0xa4ba3c[_0x11169c(0x1d6)](_0x11169c(0x291c),function(){_0x32910b();});};}};}function _0x1f2ccf(_0x36c94d,_0x3c6d46){const _0x53f3f1=_0x5537c6;return{'scope':!![],'require':_0x53f3f1(0x15fc),'compile':function(_0x4339bc){const _0x465675=_0x53f3f1;return _0x4339bc[_0x465675(0x1390)]('ms-timeline-item')[_0x465675(0x1390)]('hidden'),function _0x317d70(_0x3f9439,_0x7f2de,_0xcdfa71,_0x12e021){const _0x40b275=_0x465675,_0x4d20c6=0x48;let _0x37d678=![],_0xb33f78=![];const _0x3db0f7=_0x12e021['getScrollEl']();_0x5a3c97();function _0x5a3c97(){const _0x2517c4=a0_0x5cbd;_0x7f2de['find'](_0x2517c4(0x5bf))?_0x3f9439[_0x2517c4(0x1d6)](_0x2517c4(0x10c0),function(_0x2389c7,_0x1a6246){const _0xe36537=_0x2517c4,_0x26e5ee=angular[_0xe36537(0x1853)](_0x1a6246[0x0]);_0x566c4c(_0x26e5ee)[_0xe36537(0x1cb0)](function(){_0x36c94d(function(){_0x37d678=!![];});});}):_0x566c4c(_0x7f2de)[_0x2517c4(0x1cb0)](function(){_0x36c94d(function(){_0x37d678=!![];});}),_0x3db0f7['on'](_0x2517c4(0xc6e),_0x2ffe33),_0x2ffe33();}const _0x2d5dc9=_0x3f9439[_0x40b275(0x614)](function(){return _0x37d678&&_0xb33f78;},function(_0x543914,_0x6b54fe){const _0x2c47cf=_0x40b275;if(angular[_0x2c47cf(0x1c53)](_0x543914,_0x6b54fe))return;_0x543914&&(_0x7f2de[_0x2c47cf(0x1e55)](_0x2c47cf(0x3f8))[_0x2c47cf(0x1390)]('animate'),_0x2d5dc9());},!![]);function _0x566c4c(_0x2010a0){const _0x594403=_0x40b275,_0x2295b3=_0x3c6d46[_0x594403(0x11f4)](),_0x2d659f=_0x2010a0['find'](_0x594403(0xd54));return _0x2d659f[_0x594403(0xfd0)]>0x0?_0x2d659f['on'](_0x594403(0x997),function(){const _0x28a2b5=_0x594403;_0x2295b3[_0x28a2b5(0x19a3)](_0x28a2b5(0x4ca));}):_0x2295b3[_0x594403(0x19a3)](_0x594403(0x288e)),_0x2295b3[_0x594403(0x2061)];}function _0x2ffe33(){const _0x33d8d8=_0x40b275;_0x3db0f7[_0x33d8d8(0x20d1)]()+_0x3db0f7['height']()>_0x7f2de['position']()['top']+_0x4d20c6&&(_0x36c94d(function(){_0xb33f78=!![];}),_0x3db0f7['off'](_0x33d8d8(0xc6e),_0x2ffe33));}};}};};_0x31c84d[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x2086),'$q',_0x5537c6(0x142b),_0x5537c6(0x9bf)];function _0x31c84d(_0x886b74,_0x22ac1c,_0x52ce63,_0x52dabd,_0x45ec1a){const _0x5eb200=_0x5537c6,_0x4478db=this;function _0xe1b02c(){const _0x3bc96e=a0_0x5cbd;_0x4478db[_0x3bc96e(0x18d8)]=_0xfb0bd5()[_0x3bc96e(0xd42)](_0x543b5a()(_0x4478db[_0x3bc96e(0xbb9)]));const _0x59cc7f=_0x543b5a()['duration'](_0x4478db['millis']),_0x1b39f7=_0x59cc7f[_0x3bc96e(0x479)](),_0x5085ad=_0x59cc7f['minutes'](),_0x3419d3=_0x59cc7f['hours'](),_0x53542a=_0x59cc7f[_0x3bc96e(0x23b3)](),_0x5cf8b0=_0x59cc7f['months'](),_0x7f4499=_0x59cc7f[_0x3bc96e(0xa1c)]();_0x4478db[_0x3bc96e(0x479)]=_0x1b39f7<0xa?'0'+_0x1b39f7:_0x1b39f7,_0x4478db[_0x3bc96e(0x22d)]=_0x5085ad<0xa?'0'+_0x5085ad:_0x5085ad,_0x4478db[_0x3bc96e(0x1de0)]=_0x3419d3<0xa?'0'+_0x3419d3:_0x3419d3,_0x4478db[_0x3bc96e(0x23b3)]=_0x53542a<0xa?'0'+_0x53542a:_0x53542a,_0x4478db[_0x3bc96e(0x3ae)]=_0x5cf8b0<0xa?'0'+_0x5cf8b0:_0x5cf8b0,_0x4478db[_0x3bc96e(0xa1c)]=_0x7f4499<0xa?'0'+_0x7f4499:_0x7f4499,_0x4478db[_0x3bc96e(0x176b)]=_0x4478db[_0x3bc96e(0x23b3)]>0x0?_0x4478db[_0x3bc96e(0x23b3)]+'d\x20':''+_0x4478db[_0x3bc96e(0x1de0)]+':'+_0x4478db[_0x3bc96e(0x22d)]+':'+_0x4478db[_0x3bc96e(0x479)];}function _0xe89f4(){const _0x141cdf=a0_0x5cbd;_0xe1b02c(),_0x4478db[_0x141cdf(0x399)]=setTimeout(function(){const _0x1c3bbd=_0x141cdf;_0xe89f4(),_0x886b74[_0x1c3bbd(0x7ec)]();},_0x4478db[_0x141cdf(0x1ac8)]);}function _0xfb0bd5(_0x26d663){const _0x1d49f6=a0_0x5cbd,_0x242d33=_0x26d663||_0x543b5a()(),_0x1dd2b2=_0x242d33[_0x1d49f6(0x17d9)](_0x886b74['serverDateOffset'])['format']('YYYY-MM-DD\x20HH:mm:ss');return _0x543b5a()(_0x1dd2b2);}function _0x58092e(){return _0x52ce63(function(_0x1890fd,_0x404bba){const _0x46a60e=a0_0x5cbd;try{if(_0x886b74['serverDateOffset']){_0x1890fd(_0xfb0bd5());return;}_0x52dabd[_0x46a60e(0x9ca)][_0x46a60e(0x1ccc)]()[_0x46a60e(0x1d77)][_0x46a60e(0x1cb0)](function(_0x5934a3){const _0x43d4f4=_0x46a60e;_0x886b74[_0x43d4f4(0x2843)]=_0x5934a3[_0x43d4f4(0x184b)],_0x1890fd(_0x543b5a()(_0x5934a3[_0x43d4f4(0x27c)]));return;});}catch(_0x547ad9){_0x404bba(_0x547ad9);}});}function _0x49eef4(){const _0x474554=a0_0x5cbd;_0x58092e()[_0x474554(0x1cb0)](function(_0x2f94cd){const _0x3578eb=_0x474554,_0x17594b=_0x4478db['startTime']?_0x543b5a()(_0x4478db['startTime']):undefined;if(!_0x4478db[_0x3578eb(0x97f)])_0x4478db[_0x3578eb(0xbb9)]=_0x2f94cd;else _0x17594b[_0x3578eb(0x131d)](_0x2f94cd,_0x3578eb(0x607))||_0x17594b[_0x3578eb(0x4c6)](_0x2f94cd,_0x3578eb(0x607))?_0x4478db[_0x3578eb(0xbb9)]=_0xfb0bd5(_0x17594b):_0x4478db['startingTime']=_0x17594b;_0xe89f4();})[_0x474554(0x1c4)](function(_0x28a081){const _0x256062=_0x474554;_0x45ec1a[_0x256062(0x218e)]({'title':_0x28a081[_0x256062(0x291)]?_0x256062(0xeb9)+_0x28a081['status']+_0x256062(0x1657)+_0x28a081[_0x256062(0xc22)]:'api.setting.getDate','msg':_0x28a081[_0x256062(0x25c)]?JSON['stringify'](_0x28a081[_0x256062(0x25c)][_0x256062(0x155e)]):_0x28a081[_0x256062(0x147f)]()});});}_0x49eef4(),_0x886b74[_0x5eb200(0x1d6)]('timer-start',function(){const _0x25ffad=_0x5eb200;_0x4478db[_0x25ffad(0x97f)]=undefined,_0x49eef4();}),_0x22ac1c['bind'](_0x5eb200(0x291c),function(){const _0x6fcc0e=_0x5eb200;if(_0x4478db[_0x6fcc0e(0x399)])clearTimeout(_0x4478db[_0x6fcc0e(0x399)]);});}function _0x2c7ac9(){const _0x2ace80=_0x5537c6;return{'restrict':'EA','replace':![],'scope':{'startTime':'=?','interval':'=?'},'template':_0x2ace80(0xbef),'compile':function(){return{'pre':function(_0x16dddb,_0x35dfc7,_0x592b9e){const _0x52ec8b=a0_0x5cbd;_0x16dddb[_0x52ec8b(0x1ac8)]=_0x592b9e['interval']||0x3e8;}};},'controller':_0x31c84d,'controllerAs':'vm','bindToController':!![]};};const _0x1bb52b=_0x5074a3['p']+_0x5537c6(0x18c4);;function _0x32c9d8(){const _0x142fc9=_0x5537c6;return{'restrict':'E','scope':{'ngModel':'='},'controller':[_0x142fc9(0x1463),function(_0x592758){const _0x13a8b6=_0x142fc9;_0x592758[_0x13a8b6(0x1b08)]=[{'name':_0x13a8b6(0x1012),'utcOffset':0x3c,'offsetStr':_0x13a8b6(0x1701),'countries':['AD']},{'name':_0x13a8b6(0x2973),'utcOffset':0xf0,'offsetStr':_0x13a8b6(0x1ea0),'countries':['AE','OM']},{'name':_0x13a8b6(0x2174),'utcOffset':0x10e,'offsetStr':_0x13a8b6(0x24db),'countries':['AF']},{'name':_0x13a8b6(0x2440),'utcOffset':0x3c,'offsetStr':'+01:00','countries':['AL']},{'name':_0x13a8b6(0x863),'utcOffset':0xf0,'offsetStr':'+04:00','countries':['AM']},{'name':'Antarctica/Rothera','utcOffset':-0xb4,'offsetStr':_0x13a8b6(0x1c9b),'countries':['AQ']},{'name':_0x13a8b6(0x246f),'utcOffset':-0xb4,'offsetStr':_0x13a8b6(0x1c9b),'countries':['AQ']},{'name':_0x13a8b6(0x279d),'utcOffset':0x12c,'offsetStr':_0x13a8b6(0xe95),'countries':['AQ']},{'name':'Antarctica/Davis','utcOffset':0x1a4,'offsetStr':_0x13a8b6(0x1a6e),'countries':['AQ']},{'name':'Antarctica/Casey','utcOffset':0x1e0,'offsetStr':_0x13a8b6(0x2996),'countries':['AQ']},{'name':'Antarctica/Vostok','utcOffset':0x168,'offsetStr':_0x13a8b6(0xf9d),'countries':['AQ']},{'name':_0x13a8b6(0x214),'utcOffset':0x258,'offsetStr':_0x13a8b6(0xeb2),'countries':['AQ']},{'name':_0x13a8b6(0x1101),'utcOffset':0xb4,'offsetStr':'+03:00','countries':['AQ']},{'name':_0x13a8b6(0x1ada),'utcOffset':0x0,'offsetStr':_0x13a8b6(0xde5),'countries':['AQ']},{'name':_0x13a8b6(0x24d),'utcOffset':-0xb4,'offsetStr':_0x13a8b6(0x1c9b),'countries':['AR']},{'name':_0x13a8b6(0x447),'utcOffset':-0xb4,'offsetStr':_0x13a8b6(0x1c9b),'countries':['AR']},{'name':_0x13a8b6(0x108c),'utcOffset':-0xb4,'offsetStr':_0x13a8b6(0x1c9b),'countries':['AR']},{'name':_0x13a8b6(0x1590),'utcOffset':-0xb4,'offsetStr':_0x13a8b6(0x1c9b),'countries':['AR']},{'name':_0x13a8b6(0x13f0),'utcOffset':-0xb4,'offsetStr':'-03:00','countries':['AR']},{'name':'America/Argentina/Catamarca','utcOffset':-0xb4,'offsetStr':'-03:00','countries':['AR']},{'name':_0x13a8b6(0x2196),'utcOffset':-0xb4,'offsetStr':'-03:00','countries':['AR']},{'name':'America/Argentina/San_Juan','utcOffset':-0xb4,'offsetStr':_0x13a8b6(0x1c9b),'countries':['AR']},{'name':_0x13a8b6(0x1ce0),'utcOffset':-0xb4,'offsetStr':_0x13a8b6(0x1c9b),'countries':['AR']},{'name':_0x13a8b6(0xc2f),'utcOffset':-0xb4,'offsetStr':'-03:00','countries':['AR']},{'name':_0x13a8b6(0x31e),'utcOffset':-0xb4,'offsetStr':_0x13a8b6(0x1c9b),'countries':['AR']},{'name':_0x13a8b6(0x5f3),'utcOffset':-0xb4,'offsetStr':_0x13a8b6(0x1c9b),'countries':['AR']},{'name':_0x13a8b6(0xc01),'utcOffset':-0x294,'offsetStr':_0x13a8b6(0x1673),'countries':['AS','UM']},{'name':_0x13a8b6(0x219d),'utcOffset':0x3c,'offsetStr':'+01:00','countries':['AT']},{'name':'Australia/Lord_Howe','utcOffset':0x294,'offsetStr':'+11:00','countries':['AU']},{'name':_0x13a8b6(0x1788),'utcOffset':0x294,'offsetStr':_0x13a8b6(0xac0),'countries':['AU']},{'name':_0x13a8b6(0x21b4),'utcOffset':0x294,'offsetStr':_0x13a8b6(0xac0),'countries':['AU']},{'name':_0x13a8b6(0x2d8),'utcOffset':0x294,'offsetStr':_0x13a8b6(0xac0),'countries':['AU']},{'name':'Australia/Melbourne','utcOffset':0x294,'offsetStr':_0x13a8b6(0xac0),'countries':['AU']},{'name':_0x13a8b6(0x2577),'utcOffset':0x294,'offsetStr':_0x13a8b6(0xac0),'countries':['AU']},{'name':_0x13a8b6(0x8b2),'utcOffset':0x276,'offsetStr':_0x13a8b6(0x1929),'countries':['AU']},{'name':'Australia/Brisbane','utcOffset':0x258,'offsetStr':_0x13a8b6(0xeb2),'countries':['AU']},{'name':_0x13a8b6(0x7a2),'utcOffset':0x258,'offsetStr':_0x13a8b6(0xeb2),'countries':['AU']},{'name':_0x13a8b6(0x1153),'utcOffset':0x276,'offsetStr':_0x13a8b6(0x1929),'countries':['AU']},{'name':'Australia/Darwin','utcOffset':0x23a,'offsetStr':_0x13a8b6(0x686),'countries':['AU']},{'name':_0x13a8b6(0x1f39),'utcOffset':0x1e0,'offsetStr':_0x13a8b6(0x2996),'countries':['AU']},{'name':_0x13a8b6(0x559),'utcOffset':0x20d,'offsetStr':_0x13a8b6(0x102b),'countries':['AU']},{'name':_0x13a8b6(0x1195),'utcOffset':0xf0,'offsetStr':_0x13a8b6(0x1ea0),'countries':['AZ']},{'name':_0x13a8b6(0x98b),'utcOffset':-0xf0,'offsetStr':_0x13a8b6(0x1711),'countries':['BB']},{'name':_0x13a8b6(0xad6),'utcOffset':0x168,'offsetStr':_0x13a8b6(0xf9d),'countries':['BD']},{'name':_0x13a8b6(0x6c7),'utcOffset':0x3c,'offsetStr':'+01:00','countries':['BE']},{'name':_0x13a8b6(0xd7a),'utcOffset':0x78,'offsetStr':_0x13a8b6(0x8be),'countries':['BG']},{'name':_0x13a8b6(0x6fa),'utcOffset':-0xf0,'offsetStr':_0x13a8b6(0x1711),'countries':['BM']},{'name':_0x13a8b6(0x639),'utcOffset':0x1e0,'offsetStr':_0x13a8b6(0x2996),'countries':['BN']},{'name':_0x13a8b6(0x1513),'utcOffset':-0xf0,'offsetStr':_0x13a8b6(0x1711),'countries':['BO']},{'name':_0x13a8b6(0x438),'utcOffset':-0x78,'offsetStr':_0x13a8b6(0x1159),'countries':['BR']},{'name':'America/Belem','utcOffset':-0xb4,'offsetStr':_0x13a8b6(0x1c9b),'countries':['BR']},{'name':'America/Fortaleza','utcOffset':-0xb4,'offsetStr':_0x13a8b6(0x1c9b),'countries':['BR']},{'name':_0x13a8b6(0xc6d),'utcOffset':-0xb4,'offsetStr':_0x13a8b6(0x1c9b),'countries':['BR']},{'name':'America/Araguaina','utcOffset':-0xb4,'offsetStr':'-03:00','countries':['BR']},{'name':_0x13a8b6(0x178e),'utcOffset':-0xb4,'offsetStr':_0x13a8b6(0x1c9b),'countries':['BR']},{'name':_0x13a8b6(0x1809),'utcOffset':-0xb4,'offsetStr':'-03:00','countries':['BR']},{'name':'America/Sao_Paulo','utcOffset':-0x78,'offsetStr':_0x13a8b6(0x1159),'countries':['BR']},{'name':_0x13a8b6(0x1edc),'utcOffset':-0xb4,'offsetStr':_0x13a8b6(0x1c9b),'countries':['BR']},{'name':_0x13a8b6(0x32b),'utcOffset':-0xb4,'offsetStr':'-03:00','countries':['BR']},{'name':_0x13a8b6(0x13cf),'utcOffset':-0xb4,'offsetStr':_0x13a8b6(0x1c9b),'countries':['BR']},{'name':'America/Porto_Velho','utcOffset':-0xf0,'offsetStr':_0x13a8b6(0x1711),'countries':['BR']},{'name':'America/Boa_Vista','utcOffset':-0xf0,'offsetStr':_0x13a8b6(0x1711),'countries':['BR']},{'name':_0x13a8b6(0x11a4),'utcOffset':-0xf0,'offsetStr':'-04:00','countries':['BR']},{'name':'America/Eirunepe','utcOffset':-0x12c,'offsetStr':_0x13a8b6(0xa62),'countries':['BR']},{'name':'America/Rio_Branco','utcOffset':-0x12c,'offsetStr':'-05:00','countries':['BR']},{'name':_0x13a8b6(0x241),'utcOffset':-0x12c,'offsetStr':_0x13a8b6(0xa62),'countries':['BS']},{'name':_0x13a8b6(0x24f4),'utcOffset':0x168,'offsetStr':_0x13a8b6(0xf9d),'countries':['BT']},{'name':_0x13a8b6(0x23f8),'utcOffset':0xb4,'offsetStr':_0x13a8b6(0x4de),'countries':['BY']},{'name':_0x13a8b6(0x12be),'utcOffset':-0x168,'offsetStr':_0x13a8b6(0x17e1),'countries':['BZ']},{'name':'America/St_Johns','utcOffset':-0xd2,'offsetStr':_0x13a8b6(0x23c6),'countries':['CA']},{'name':_0x13a8b6(0xad2),'utcOffset':-0xf0,'offsetStr':_0x13a8b6(0x1711),'countries':['CA']},{'name':_0x13a8b6(0x25c8),'utcOffset':-0xf0,'offsetStr':_0x13a8b6(0x1711),'countries':['CA']},{'name':_0x13a8b6(0x16c9),'utcOffset':-0xf0,'offsetStr':_0x13a8b6(0x1711),'countries':['CA']},{'name':_0x13a8b6(0x210a),'utcOffset':-0xf0,'offsetStr':_0x13a8b6(0x1711),'countries':['CA']},{'name':_0x13a8b6(0x2f1),'utcOffset':-0xf0,'offsetStr':_0x13a8b6(0x1711),'countries':['CA']},{'name':'America/Toronto','utcOffset':-0x12c,'offsetStr':'-05:00','countries':['CA']},{'name':_0x13a8b6(0xecf),'utcOffset':-0x12c,'offsetStr':_0x13a8b6(0xa62),'countries':['CA']},{'name':_0x13a8b6(0x7a7),'utcOffset':-0x12c,'offsetStr':_0x13a8b6(0xa62),'countries':['CA']},{'name':'America/Iqaluit','utcOffset':-0x12c,'offsetStr':'-05:00','countries':['CA']},{'name':_0x13a8b6(0xfdb),'utcOffset':-0x12c,'offsetStr':_0x13a8b6(0xa62),'countries':['CA']},{'name':_0x13a8b6(0x1f7a),'utcOffset':-0x168,'offsetStr':_0x13a8b6(0x17e1),'countries':['CA']},{'name':_0x13a8b6(0x14bb),'utcOffset':-0x12c,'offsetStr':_0x13a8b6(0xa62),'countries':['CA']},{'name':_0x13a8b6(0x204f),'utcOffset':-0x168,'offsetStr':_0x13a8b6(0x17e1),'countries':['CA']},{'name':_0x13a8b6(0x15d5),'utcOffset':-0x168,'offsetStr':_0x13a8b6(0x17e1),'countries':['CA']},{'name':_0x13a8b6(0x132e),'utcOffset':-0x168,'offsetStr':'-06:00','countries':['CA']},{'name':_0x13a8b6(0xaca),'utcOffset':-0x168,'offsetStr':_0x13a8b6(0x17e1),'countries':['CA']},{'name':'America/Swift_Current','utcOffset':-0x168,'offsetStr':_0x13a8b6(0x17e1),'countries':['CA']},{'name':_0x13a8b6(0x1b29),'utcOffset':-0x1a4,'offsetStr':'-07:00','countries':['CA']},{'name':'America/Cambridge_Bay','utcOffset':-0x1a4,'offsetStr':_0x13a8b6(0x253),'countries':['CA']},{'name':_0x13a8b6(0x185d),'utcOffset':-0x1a4,'offsetStr':_0x13a8b6(0x253),'countries':['CA']},{'name':_0x13a8b6(0x1e99),'utcOffset':-0x1a4,'offsetStr':_0x13a8b6(0x253),'countries':['CA']},{'name':_0x13a8b6(0x1f61),'utcOffset':-0x1a4,'offsetStr':'-07:00','countries':['CA']},{'name':_0x13a8b6(0x1d70),'utcOffset':-0x1a4,'offsetStr':_0x13a8b6(0x253),'countries':['CA']},{'name':_0x13a8b6(0x284e),'utcOffset':-0x1a4,'offsetStr':_0x13a8b6(0x253),'countries':['CA']},{'name':'America/Vancouver','utcOffset':-0x1e0,'offsetStr':'-08:00','countries':['CA']},{'name':_0x13a8b6(0x2952),'utcOffset':-0x1e0,'offsetStr':_0x13a8b6(0x1877),'countries':['CA']},{'name':_0x13a8b6(0x1cfa),'utcOffset':-0x1e0,'offsetStr':_0x13a8b6(0x1877),'countries':['CA']},{'name':_0x13a8b6(0x2385),'utcOffset':0x186,'offsetStr':_0x13a8b6(0x288b),'countries':['CC']},{'name':_0x13a8b6(0x2301),'utcOffset':0x3c,'offsetStr':'+01:00','countries':['CH','DE','LI']},{'name':_0x13a8b6(0xe4a),'utcOffset':0x0,'offsetStr':_0x13a8b6(0xde5),'countries':['CI','BF','GM','GN','ML','MR','SH','SL','SN','ST','TG']},{'name':'Pacific/Rarotonga','utcOffset':-0x258,'offsetStr':_0x13a8b6(0x241a),'countries':['CK']},{'name':'America/Santiago','utcOffset':-0xb4,'offsetStr':_0x13a8b6(0x1c9b),'countries':['CL']},{'name':'Pacific/Easter','utcOffset':-0x12c,'offsetStr':_0x13a8b6(0xa62),'countries':['CL']},{'name':'Asia/Shanghai','utcOffset':0x1e0,'offsetStr':'+08:00','countries':['CN']},{'name':_0x13a8b6(0x1a1c),'utcOffset':0x168,'offsetStr':_0x13a8b6(0xf9d),'countries':['CN']},{'name':'America/Bogota','utcOffset':-0x12c,'offsetStr':_0x13a8b6(0xa62),'countries':['CO']},{'name':_0x13a8b6(0xc7a),'utcOffset':-0x168,'offsetStr':'-06:00','countries':['CR']},{'name':_0x13a8b6(0x1212),'utcOffset':-0x12c,'offsetStr':_0x13a8b6(0xa62),'countries':['CU']},{'name':_0x13a8b6(0x15c8),'utcOffset':-0x3c,'offsetStr':'-01:00','countries':['CV']},{'name':_0x13a8b6(0xabb),'utcOffset':-0xf0,'offsetStr':_0x13a8b6(0x1711),'countries':['CW','AW','BQ','SX']},{'name':_0x13a8b6(0x1bda),'utcOffset':0x1a4,'offsetStr':_0x13a8b6(0x1a6e),'countries':['CX']},{'name':_0x13a8b6(0x1108),'utcOffset':0x78,'offsetStr':_0x13a8b6(0x8be),'countries':['CY']},{'name':_0x13a8b6(0x1417),'utcOffset':0x3c,'offsetStr':'+01:00','countries':['CZ','SK']},{'name':'Europe/Berlin','utcOffset':0x3c,'offsetStr':'+01:00','countries':['DE']},{'name':_0x13a8b6(0x2953),'utcOffset':0x3c,'offsetStr':_0x13a8b6(0x1701),'countries':['DK']},{'name':_0x13a8b6(0x1b4e),'utcOffset':-0xf0,'offsetStr':_0x13a8b6(0x1711),'countries':['DO']},{'name':_0x13a8b6(0x1b4c),'utcOffset':0x3c,'offsetStr':_0x13a8b6(0x1701),'countries':['DZ']},{'name':_0x13a8b6(0x1962),'utcOffset':-0x12c,'offsetStr':'-05:00','countries':['EC']},{'name':_0x13a8b6(0x198e),'utcOffset':-0x168,'offsetStr':_0x13a8b6(0x17e1),'countries':['EC']},{'name':_0x13a8b6(0x603),'utcOffset':0x78,'offsetStr':_0x13a8b6(0x8be),'countries':['EE']},{'name':_0x13a8b6(0x243b),'utcOffset':0x78,'offsetStr':_0x13a8b6(0x8be),'countries':['EG']},{'name':_0x13a8b6(0x1da9),'utcOffset':0x0,'offsetStr':_0x13a8b6(0xde5),'countries':['EH']},{'name':_0x13a8b6(0x211f),'utcOffset':0x3c,'offsetStr':_0x13a8b6(0x1701),'countries':['ES']},{'name':'Africa/Ceuta','utcOffset':0x3c,'offsetStr':_0x13a8b6(0x1701),'countries':['ES']},{'name':'Atlantic/Canary','utcOffset':0x0,'offsetStr':'+00:00','countries':['ES']},{'name':_0x13a8b6(0x57c),'utcOffset':0x78,'offsetStr':'+02:00','countries':['FI','AX']},{'name':_0x13a8b6(0x3b3),'utcOffset':0x2d0,'offsetStr':_0x13a8b6(0xf9b),'countries':['FJ']},{'name':'Atlantic/Stanley','utcOffset':-0xb4,'offsetStr':_0x13a8b6(0x1c9b),'countries':['FK']},{'name':_0x13a8b6(0xa85),'utcOffset':0x258,'offsetStr':'+10:00','countries':['FM']},{'name':'Pacific/Pohnpei','utcOffset':0x294,'offsetStr':_0x13a8b6(0xac0),'countries':['FM']},{'name':_0x13a8b6(0x1b23),'utcOffset':0x294,'offsetStr':_0x13a8b6(0xac0),'countries':['FM']},{'name':_0x13a8b6(0x1c67),'utcOffset':0x0,'offsetStr':_0x13a8b6(0xde5),'countries':['FO']},{'name':'Europe/Paris','utcOffset':0x3c,'offsetStr':_0x13a8b6(0x1701),'countries':['FR']},{'name':_0x13a8b6(0x1af7),'utcOffset':0x0,'offsetStr':'+00:00','countries':['GB','GG','IM','JE']},{'name':_0x13a8b6(0x2435),'utcOffset':0xf0,'offsetStr':'+04:00','countries':['GE']},{'name':'America/Cayenne','utcOffset':-0xb4,'offsetStr':_0x13a8b6(0x1c9b),'countries':['GF']},{'name':_0x13a8b6(0x2296),'utcOffset':0x0,'offsetStr':_0x13a8b6(0xde5),'countries':['GH']},{'name':_0x13a8b6(0x1a7e),'utcOffset':0x3c,'offsetStr':_0x13a8b6(0x1701),'countries':['GI']},{'name':_0x13a8b6(0x2005),'utcOffset':-0xb4,'offsetStr':_0x13a8b6(0x1c9b),'countries':['GL']},{'name':_0x13a8b6(0x274d),'utcOffset':0x0,'offsetStr':_0x13a8b6(0xde5),'countries':['GL']},{'name':_0x13a8b6(0x1b3a),'utcOffset':-0x3c,'offsetStr':_0x13a8b6(0x57d),'countries':['GL']},{'name':'America/Thule','utcOffset':-0xf0,'offsetStr':_0x13a8b6(0x1711),'countries':['GL']},{'name':_0x13a8b6(0x23a6),'utcOffset':0x78,'offsetStr':_0x13a8b6(0x8be),'countries':['GR']},{'name':'Atlantic/South_Georgia','utcOffset':-0x78,'offsetStr':_0x13a8b6(0x1159),'countries':['GS']},{'name':'America/Guatemala','utcOffset':-0x168,'offsetStr':_0x13a8b6(0x17e1),'countries':['GT']},{'name':_0x13a8b6(0x1614),'utcOffset':0x258,'offsetStr':_0x13a8b6(0xeb2),'countries':['GU','MP']},{'name':'Africa/Bissau','utcOffset':0x0,'offsetStr':_0x13a8b6(0xde5),'countries':['GW']},{'name':'America/Guyana','utcOffset':-0xf0,'offsetStr':_0x13a8b6(0x1711),'countries':['GY']},{'name':_0x13a8b6(0x8fa),'utcOffset':0x1e0,'offsetStr':_0x13a8b6(0x2996),'countries':['HK']},{'name':_0x13a8b6(0x1611),'utcOffset':-0x168,'offsetStr':_0x13a8b6(0x17e1),'countries':['HN']},{'name':_0x13a8b6(0x141b),'utcOffset':-0x12c,'offsetStr':_0x13a8b6(0xa62),'countries':['HT']},{'name':_0x13a8b6(0x7ed),'utcOffset':0x3c,'offsetStr':'+01:00','countries':['HU']},{'name':_0x13a8b6(0x241d),'utcOffset':0x1a4,'offsetStr':_0x13a8b6(0x1a6e),'countries':['ID']},{'name':_0x13a8b6(0x27f0),'utcOffset':0x1a4,'offsetStr':_0x13a8b6(0x1a6e),'countries':['ID']},{'name':_0x13a8b6(0x1545),'utcOffset':0x1e0,'offsetStr':_0x13a8b6(0x2996),'countries':['ID']},{'name':'Asia/Jayapura','utcOffset':0x21c,'offsetStr':_0x13a8b6(0x2575),'countries':['ID']},{'name':_0x13a8b6(0x2850),'utcOffset':0x0,'offsetStr':'+00:00','countries':['IE']},{'name':_0x13a8b6(0x280c),'utcOffset':0x78,'offsetStr':_0x13a8b6(0x8be),'countries':['IL']},{'name':'Asia/Kolkata','utcOffset':0x14a,'offsetStr':_0x13a8b6(0x2386),'countries':['IN']},{'name':_0x13a8b6(0xc21),'utcOffset':0x168,'offsetStr':_0x13a8b6(0xf9d),'countries':['IO']},{'name':_0x13a8b6(0x26e8),'utcOffset':0xb4,'offsetStr':_0x13a8b6(0x4de),'countries':['IQ']},{'name':_0x13a8b6(0xce3),'utcOffset':0xd2,'offsetStr':'+03:30','countries':['IR']},{'name':_0x13a8b6(0x5e8),'utcOffset':0x0,'offsetStr':'+00:00','countries':['IS']},{'name':_0x13a8b6(0x3fd),'utcOffset':0x3c,'offsetStr':_0x13a8b6(0x1701),'countries':['IT','SM','VA']},{'name':_0x13a8b6(0xb28),'utcOffset':-0x12c,'offsetStr':_0x13a8b6(0xa62),'countries':['JM']},{'name':_0x13a8b6(0x2058),'utcOffset':0x78,'offsetStr':_0x13a8b6(0x8be),'countries':['JO']},{'name':_0x13a8b6(0x818),'utcOffset':0x21c,'offsetStr':'+09:00','countries':['JP']},{'name':_0x13a8b6(0x2270),'utcOffset':0xb4,'offsetStr':_0x13a8b6(0x4de),'countries':['KE','DJ','ER','ET','KM','MG','SO','TZ','UG','YT']},{'name':'Asia/Bishkek','utcOffset':0x168,'offsetStr':'+06:00','countries':['KG']},{'name':_0x13a8b6(0xe9b),'utcOffset':0x2d0,'offsetStr':_0x13a8b6(0xf9b),'countries':['KI']},{'name':_0x13a8b6(0x8f6),'utcOffset':0x30c,'offsetStr':_0x13a8b6(0x12f8),'countries':['KI']},{'name':_0x13a8b6(0x1fa),'utcOffset':0x348,'offsetStr':_0x13a8b6(0x27c7),'countries':['KI']},{'name':_0x13a8b6(0x152e),'utcOffset':0x1fe,'offsetStr':_0x13a8b6(0x20ba),'countries':['KP']},{'name':_0x13a8b6(0x215b),'utcOffset':0x21c,'offsetStr':_0x13a8b6(0x2575),'countries':['KR']},{'name':_0x13a8b6(0x1888),'utcOffset':-0x12c,'offsetStr':_0x13a8b6(0xa62),'countries':['KY']},{'name':_0x13a8b6(0x1b2f),'utcOffset':0x168,'offsetStr':_0x13a8b6(0xf9d),'countries':['KZ']},{'name':_0x13a8b6(0x6f1),'utcOffset':0x168,'offsetStr':_0x13a8b6(0xf9d),'countries':['KZ']},{'name':_0x13a8b6(0x1e43),'utcOffset':0x12c,'offsetStr':_0x13a8b6(0xe95),'countries':['KZ']},{'name':_0x13a8b6(0x2713),'utcOffset':0x12c,'offsetStr':_0x13a8b6(0xe95),'countries':['KZ']},{'name':_0x13a8b6(0x1b96),'utcOffset':0x12c,'offsetStr':_0x13a8b6(0xe95),'countries':['KZ']},{'name':_0x13a8b6(0x44f),'utcOffset':0x78,'offsetStr':_0x13a8b6(0x8be),'countries':['LB']},{'name':_0x13a8b6(0xa83),'utcOffset':0x14a,'offsetStr':_0x13a8b6(0x2386),'countries':['LK']},{'name':'Africa/Monrovia','utcOffset':0x0,'offsetStr':'+00:00','countries':['LR']},{'name':_0x13a8b6(0x400),'utcOffset':0x78,'offsetStr':_0x13a8b6(0x8be),'countries':['LT']},{'name':_0x13a8b6(0x58d),'utcOffset':0x3c,'offsetStr':_0x13a8b6(0x1701),'countries':['LU']},{'name':_0x13a8b6(0x1ab9),'utcOffset':0x78,'offsetStr':_0x13a8b6(0x8be),'countries':['LV']},{'name':_0x13a8b6(0x8f3),'utcOffset':0x78,'offsetStr':_0x13a8b6(0x8be),'countries':['LY']},{'name':_0x13a8b6(0x1135),'utcOffset':0x0,'offsetStr':_0x13a8b6(0xde5),'countries':['MA']},{'name':_0x13a8b6(0x257b),'utcOffset':0x3c,'offsetStr':_0x13a8b6(0x1701),'countries':['MC']},{'name':_0x13a8b6(0x194f),'utcOffset':0x78,'offsetStr':_0x13a8b6(0x8be),'countries':['MD']},{'name':'Pacific/Majuro','utcOffset':0x2d0,'offsetStr':_0x13a8b6(0xf9b),'countries':['MH']},{'name':_0x13a8b6(0x26c7),'utcOffset':0x2d0,'offsetStr':_0x13a8b6(0xf9b),'countries':['MH']},{'name':'Asia/Rangoon','utcOffset':0x186,'offsetStr':_0x13a8b6(0x288b),'countries':['MM']},{'name':_0x13a8b6(0x1b5d),'utcOffset':0x1e0,'offsetStr':'+08:00','countries':['MN']},{'name':_0x13a8b6(0x1e60),'utcOffset':0x1a4,'offsetStr':_0x13a8b6(0x1a6e),'countries':['MN']},{'name':'Asia/Choibalsan','utcOffset':0x1e0,'offsetStr':_0x13a8b6(0x2996),'countries':['MN']},{'name':_0x13a8b6(0x1c6b),'utcOffset':0x1e0,'offsetStr':_0x13a8b6(0x2996),'countries':['MO']},{'name':_0x13a8b6(0x8cb),'utcOffset':-0xf0,'offsetStr':_0x13a8b6(0x1711),'countries':['MQ']},{'name':_0x13a8b6(0x20c1),'utcOffset':0x3c,'offsetStr':_0x13a8b6(0x1701),'countries':['MT']},{'name':_0x13a8b6(0x1e73),'utcOffset':0xf0,'offsetStr':_0x13a8b6(0x1ea0),'countries':['MU']},{'name':_0x13a8b6(0x6f5),'utcOffset':0x12c,'offsetStr':_0x13a8b6(0xe95),'countries':['MV']},{'name':_0x13a8b6(0x1e58),'utcOffset':-0x168,'offsetStr':_0x13a8b6(0x17e1),'countries':['MX']},{'name':_0x13a8b6(0x18cd),'utcOffset':-0x12c,'offsetStr':_0x13a8b6(0xa62),'countries':['MX']},{'name':_0x13a8b6(0x1627),'utcOffset':-0x168,'offsetStr':_0x13a8b6(0x17e1),'countries':['MX']},{'name':_0x13a8b6(0x732),'utcOffset':-0x168,'offsetStr':_0x13a8b6(0x17e1),'countries':['MX']},{'name':_0x13a8b6(0x2094),'utcOffset':-0x168,'offsetStr':_0x13a8b6(0x17e1),'countries':['MX']},{'name':'America/Mazatlan','utcOffset':-0x1a4,'offsetStr':_0x13a8b6(0x253),'countries':['MX']},{'name':'America/Chihuahua','utcOffset':-0x1a4,'offsetStr':_0x13a8b6(0x253),'countries':['MX']},{'name':_0x13a8b6(0x13b0),'utcOffset':-0x1a4,'offsetStr':_0x13a8b6(0x253),'countries':['MX']},{'name':_0x13a8b6(0x1122),'utcOffset':-0x1a4,'offsetStr':_0x13a8b6(0x253),'countries':['MX']},{'name':_0x13a8b6(0x17f2),'utcOffset':-0x1e0,'offsetStr':_0x13a8b6(0x1877),'countries':['MX']},{'name':'America/Santa_Isabel','utcOffset':-0x1e0,'offsetStr':'-08:00','countries':['MX']},{'name':_0x13a8b6(0xec9),'utcOffset':-0x168,'offsetStr':'-06:00','countries':['MX']},{'name':_0x13a8b6(0xa9b),'utcOffset':0x1e0,'offsetStr':_0x13a8b6(0x2996),'countries':['MY']},{'name':_0x13a8b6(0x2381),'utcOffset':0x1e0,'offsetStr':'+08:00','countries':['MY']},{'name':_0x13a8b6(0x777),'utcOffset':0x78,'offsetStr':'+02:00','countries':['MZ','BI','BW','CD','MW','RW','ZM','ZW']},{'name':_0x13a8b6(0x258c),'utcOffset':0x78,'offsetStr':_0x13a8b6(0x8be),'countries':['NA']},{'name':_0x13a8b6(0x17a9),'utcOffset':0x294,'offsetStr':_0x13a8b6(0xac0),'countries':['NC']},{'name':_0x13a8b6(0x1dc5),'utcOffset':0x294,'offsetStr':'+11:00','countries':['NF']},{'name':'Africa/Lagos','utcOffset':0x3c,'offsetStr':_0x13a8b6(0x1701),'countries':['NG','AO','BJ','CD','CF','CG','CM','GA','GQ','NE']},{'name':_0x13a8b6(0x1253),'utcOffset':-0x168,'offsetStr':_0x13a8b6(0x17e1),'countries':['NI']},{'name':_0x13a8b6(0x16ec),'utcOffset':0x3c,'offsetStr':_0x13a8b6(0x1701),'countries':['NL']},{'name':_0x13a8b6(0x3cf),'utcOffset':0x3c,'offsetStr':'+01:00','countries':['NO','SJ']},{'name':_0x13a8b6(0xb5d),'utcOffset':0x159,'offsetStr':_0x13a8b6(0x23cf),'countries':['NP']},{'name':_0x13a8b6(0x14df),'utcOffset':0x2d0,'offsetStr':_0x13a8b6(0xf9b),'countries':['NR']},{'name':_0x13a8b6(0x25c1),'utcOffset':-0x294,'offsetStr':_0x13a8b6(0x1673),'countries':['NU']},{'name':_0x13a8b6(0x1e16),'utcOffset':0x30c,'offsetStr':'+13:00','countries':['NZ','AQ']},{'name':_0x13a8b6(0x539),'utcOffset':0x339,'offsetStr':_0x13a8b6(0x24a8),'countries':['NZ']},{'name':_0x13a8b6(0x4c4),'utcOffset':-0x12c,'offsetStr':_0x13a8b6(0xa62),'countries':['PA']},{'name':_0x13a8b6(0x18c5),'utcOffset':-0x12c,'offsetStr':'-05:00','countries':['PE']},{'name':_0x13a8b6(0xfe6),'utcOffset':-0x258,'offsetStr':_0x13a8b6(0x241a),'countries':['PF']},{'name':_0x13a8b6(0x18c),'utcOffset':-0x23a,'offsetStr':'-09:30','countries':['PF']},{'name':_0x13a8b6(0x2638),'utcOffset':-0x21c,'offsetStr':_0x13a8b6(0xb68),'countries':['PF']},{'name':_0x13a8b6(0x10f0),'utcOffset':0x258,'offsetStr':'+10:00','countries':['PG']},{'name':'Pacific/Bougainville','utcOffset':0x294,'offsetStr':_0x13a8b6(0xac0),'countries':['PG']},{'name':'Asia/Manila','utcOffset':0x1e0,'offsetStr':_0x13a8b6(0x2996),'countries':['PH']},{'name':_0x13a8b6(0x1e85),'utcOffset':0x12c,'offsetStr':'+05:00','countries':['PK']},{'name':_0x13a8b6(0x63e),'utcOffset':0x3c,'offsetStr':_0x13a8b6(0x1701),'countries':['PL']},{'name':'America/Miquelon','utcOffset':-0xb4,'offsetStr':_0x13a8b6(0x1c9b),'countries':['PM']},{'name':_0x13a8b6(0x23c9),'utcOffset':-0x1e0,'offsetStr':_0x13a8b6(0x1877),'countries':['PN']},{'name':_0x13a8b6(0x206f),'utcOffset':-0xf0,'offsetStr':_0x13a8b6(0x1711),'countries':['PR']},{'name':'Asia/Gaza','utcOffset':0x78,'offsetStr':'+02:00','countries':['PS']},{'name':'Asia/Hebron','utcOffset':0x78,'offsetStr':_0x13a8b6(0x8be),'countries':['PS']},{'name':_0x13a8b6(0xc14),'utcOffset':0x0,'offsetStr':'+00:00','countries':['PT']},{'name':_0x13a8b6(0x1367),'utcOffset':0x0,'offsetStr':_0x13a8b6(0xde5),'countries':['PT']},{'name':'Atlantic/Azores','utcOffset':-0x3c,'offsetStr':_0x13a8b6(0x57d),'countries':['PT']},{'name':_0x13a8b6(0xd81),'utcOffset':0x21c,'offsetStr':_0x13a8b6(0x2575),'countries':['PW']},{'name':'America/Asuncion','utcOffset':-0xb4,'offsetStr':'-03:00','countries':['PY']},{'name':'Asia/Qatar','utcOffset':0xb4,'offsetStr':_0x13a8b6(0x4de),'countries':['QA','BH']},{'name':_0x13a8b6(0x1073),'utcOffset':0xf0,'offsetStr':_0x13a8b6(0x1ea0),'countries':['RE','TF']},{'name':_0x13a8b6(0x18b7),'utcOffset':0x78,'offsetStr':_0x13a8b6(0x8be),'countries':['RO']},{'name':_0x13a8b6(0x6bf),'utcOffset':0x3c,'offsetStr':_0x13a8b6(0x1701),'countries':['RS','BA','HR','ME','MK','SI']},{'name':'Europe/Kaliningrad','utcOffset':0x78,'offsetStr':_0x13a8b6(0x8be),'countries':['RU']},{'name':'Europe/Moscow','utcOffset':0xb4,'offsetStr':_0x13a8b6(0x4de),'countries':['RU']},{'name':'Europe/Simferopol','utcOffset':0xb4,'offsetStr':_0x13a8b6(0x4de),'countries':['RU']},{'name':_0x13a8b6(0x1036),'utcOffset':0xb4,'offsetStr':'+03:00','countries':['RU']},{'name':_0x13a8b6(0x268d),'utcOffset':0xf0,'offsetStr':_0x13a8b6(0x1ea0),'countries':['RU']},{'name':'Asia/Yekaterinburg','utcOffset':0x12c,'offsetStr':_0x13a8b6(0xe95),'countries':['RU']},{'name':_0x13a8b6(0x26a3),'utcOffset':0x168,'offsetStr':'+06:00','countries':['RU']},{'name':_0x13a8b6(0x2402),'utcOffset':0x168,'offsetStr':'+06:00','countries':['RU']},{'name':'Asia/Novokuznetsk','utcOffset':0x1a4,'offsetStr':_0x13a8b6(0x1a6e),'countries':['RU']},{'name':_0x13a8b6(0x2db),'utcOffset':0x1a4,'offsetStr':_0x13a8b6(0x1a6e),'countries':['RU']},{'name':_0x13a8b6(0x28a4),'utcOffset':0x1e0,'offsetStr':'+08:00','countries':['RU']},{'name':_0x13a8b6(0xafa),'utcOffset':0x1e0,'offsetStr':_0x13a8b6(0x2996),'countries':['RU']},{'name':_0x13a8b6(0x2919),'utcOffset':0x21c,'offsetStr':'+09:00','countries':['RU']},{'name':_0x13a8b6(0x2636),'utcOffset':0x21c,'offsetStr':_0x13a8b6(0x2575),'countries':['RU']},{'name':_0x13a8b6(0x1604),'utcOffset':0x258,'offsetStr':'+10:00','countries':['RU']},{'name':_0x13a8b6(0x1df5),'utcOffset':0x258,'offsetStr':_0x13a8b6(0xeb2),'countries':['RU']},{'name':_0x13a8b6(0x169),'utcOffset':0x258,'offsetStr':_0x13a8b6(0xeb2),'countries':['RU']},{'name':_0x13a8b6(0x10db),'utcOffset':0x258,'offsetStr':'+10:00','countries':['RU']},{'name':_0x13a8b6(0x21c3),'utcOffset':0x294,'offsetStr':_0x13a8b6(0xac0),'countries':['RU']},{'name':_0x13a8b6(0x2eb),'utcOffset':0x2d0,'offsetStr':_0x13a8b6(0xf9b),'countries':['RU']},{'name':_0x13a8b6(0x10ee),'utcOffset':0x2d0,'offsetStr':'+12:00','countries':['RU']},{'name':_0x13a8b6(0x1fa1),'utcOffset':0xb4,'offsetStr':_0x13a8b6(0x4de),'countries':['SA','KW','YE']},{'name':_0x13a8b6(0x14a8),'utcOffset':0x294,'offsetStr':_0x13a8b6(0xac0),'countries':['SB']},{'name':_0x13a8b6(0x2298),'utcOffset':0xf0,'offsetStr':_0x13a8b6(0x1ea0),'countries':['SC']},{'name':'Africa/Khartoum','utcOffset':0xb4,'offsetStr':_0x13a8b6(0x4de),'countries':['SD','SS']},{'name':'Europe/Stockholm','utcOffset':0x3c,'offsetStr':_0x13a8b6(0x1701),'countries':['SE']},{'name':_0x13a8b6(0x3e1),'utcOffset':0x1e0,'offsetStr':_0x13a8b6(0x2996),'countries':['SG']},{'name':'America/Paramaribo','utcOffset':-0xb4,'offsetStr':'-03:00','countries':['SR']},{'name':'America/El_Salvador','utcOffset':-0x168,'offsetStr':_0x13a8b6(0x17e1),'countries':['SV']},{'name':_0x13a8b6(0xc48),'utcOffset':0x78,'offsetStr':_0x13a8b6(0x8be),'countries':['SY']},{'name':_0x13a8b6(0x1771),'utcOffset':-0xf0,'offsetStr':_0x13a8b6(0x1711),'countries':['TC']},{'name':_0x13a8b6(0x1f12),'utcOffset':0x3c,'offsetStr':'+01:00','countries':['TD']},{'name':_0x13a8b6(0x315),'utcOffset':0x12c,'offsetStr':_0x13a8b6(0xe95),'countries':['TF']},{'name':_0x13a8b6(0x6b8),'utcOffset':0x1a4,'offsetStr':'+07:00','countries':['TH','KH','LA','VN']},{'name':_0x13a8b6(0x2910),'utcOffset':0x12c,'offsetStr':'+05:00','countries':['TJ']},{'name':_0x13a8b6(0x17c9),'utcOffset':0x30c,'offsetStr':_0x13a8b6(0x12f8),'countries':['TK']},{'name':_0x13a8b6(0x929),'utcOffset':0x21c,'offsetStr':_0x13a8b6(0x2575),'countries':['TL']},{'name':_0x13a8b6(0x125b),'utcOffset':0x12c,'offsetStr':'+05:00','countries':['TM']},{'name':_0x13a8b6(0x13fb),'utcOffset':0x3c,'offsetStr':_0x13a8b6(0x1701),'countries':['TN']},{'name':_0x13a8b6(0x1817),'utcOffset':0x30c,'offsetStr':'+13:00','countries':['TO']},{'name':_0x13a8b6(0x94c),'utcOffset':0x78,'offsetStr':_0x13a8b6(0x8be),'countries':['TR']},{'name':_0x13a8b6(0xd26),'utcOffset':-0xf0,'offsetStr':_0x13a8b6(0x1711),'countries':['TT','AG','AI','BL','DM','GD','GP','KN','LC','MF','MS','VC','VG','VI']},{'name':_0x13a8b6(0x7b1),'utcOffset':0x2d0,'offsetStr':_0x13a8b6(0xf9b),'countries':['TV']},{'name':_0x13a8b6(0xe70),'utcOffset':0x1e0,'offsetStr':_0x13a8b6(0x2996),'countries':['TW']},{'name':_0x13a8b6(0x2265),'utcOffset':0x78,'offsetStr':_0x13a8b6(0x8be),'countries':['UA']},{'name':_0x13a8b6(0xa42),'utcOffset':0x78,'offsetStr':_0x13a8b6(0x8be),'countries':['UA']},{'name':'Europe/Zaporozhye','utcOffset':0x78,'offsetStr':'+02:00','countries':['UA']},{'name':_0x13a8b6(0xef1),'utcOffset':0x2d0,'offsetStr':_0x13a8b6(0xf9b),'countries':['UM']},{'name':_0x13a8b6(0xa2d),'utcOffset':-0x12c,'offsetStr':_0x13a8b6(0xa62),'countries':['US']},{'name':_0x13a8b6(0x79d),'utcOffset':-0x12c,'offsetStr':'-05:00','countries':['US']},{'name':_0x13a8b6(0x24a7),'utcOffset':-0x12c,'offsetStr':_0x13a8b6(0xa62),'countries':['US']},{'name':'America/Kentucky/Monticello','utcOffset':-0x12c,'offsetStr':_0x13a8b6(0xa62),'countries':['US']},{'name':_0x13a8b6(0x371),'utcOffset':-0x12c,'offsetStr':'-05:00','countries':['US']},{'name':'America/Indiana/Vincennes','utcOffset':-0x12c,'offsetStr':_0x13a8b6(0xa62),'countries':['US']},{'name':_0x13a8b6(0x19f3),'utcOffset':-0x12c,'offsetStr':_0x13a8b6(0xa62),'countries':['US']},{'name':'America/Indiana/Marengo','utcOffset':-0x12c,'offsetStr':'-05:00','countries':['US']},{'name':_0x13a8b6(0x984),'utcOffset':-0x12c,'offsetStr':_0x13a8b6(0xa62),'countries':['US']},{'name':_0x13a8b6(0x2ee),'utcOffset':-0x12c,'offsetStr':_0x13a8b6(0xa62),'countries':['US']},{'name':_0x13a8b6(0x866),'utcOffset':-0x168,'offsetStr':_0x13a8b6(0x17e1),'countries':['US']},{'name':'America/Indiana/Tell_City','utcOffset':-0x168,'offsetStr':'-06:00','countries':['US']},{'name':_0x13a8b6(0x17b7),'utcOffset':-0x168,'offsetStr':'-06:00','countries':['US']},{'name':_0x13a8b6(0x11d7),'utcOffset':-0x168,'offsetStr':_0x13a8b6(0x17e1),'countries':['US']},{'name':_0x13a8b6(0x749),'utcOffset':-0x168,'offsetStr':_0x13a8b6(0x17e1),'countries':['US']},{'name':_0x13a8b6(0xede),'utcOffset':-0x168,'offsetStr':'-06:00','countries':['US']},{'name':'America/North_Dakota/Beulah','utcOffset':-0x168,'offsetStr':_0x13a8b6(0x17e1),'countries':['US']},{'name':_0x13a8b6(0x5cc),'utcOffset':-0x1a4,'offsetStr':_0x13a8b6(0x253),'countries':['US']},{'name':'America/Boise','utcOffset':-0x1a4,'offsetStr':'-07:00','countries':['US']},{'name':_0x13a8b6(0x200e),'utcOffset':-0x1a4,'offsetStr':'-07:00','countries':['US']},{'name':_0x13a8b6(0x18a7),'utcOffset':-0x1e0,'offsetStr':'-08:00','countries':['US']},{'name':_0x13a8b6(0x59c),'utcOffset':-0x1e0,'offsetStr':_0x13a8b6(0x1877),'countries':['US']},{'name':'America/Anchorage','utcOffset':-0x21c,'offsetStr':_0x13a8b6(0xb68),'countries':['US']},{'name':_0x13a8b6(0x1e9d),'utcOffset':-0x21c,'offsetStr':_0x13a8b6(0xb68),'countries':['US']},{'name':_0x13a8b6(0x1065),'utcOffset':-0x21c,'offsetStr':_0x13a8b6(0xb68),'countries':['US']},{'name':_0x13a8b6(0x1cd8),'utcOffset':-0x21c,'offsetStr':_0x13a8b6(0xb68),'countries':['US']},{'name':_0x13a8b6(0x151c),'utcOffset':-0x21c,'offsetStr':'-09:00','countries':['US']},{'name':'America/Adak','utcOffset':-0x258,'offsetStr':'-10:00','countries':['US']},{'name':_0x13a8b6(0x21be),'utcOffset':-0x258,'offsetStr':_0x13a8b6(0x241a),'countries':['US','UM']},{'name':'America/Montevideo','utcOffset':-0xb4,'offsetStr':_0x13a8b6(0x1c9b),'countries':['UY']},{'name':_0x13a8b6(0xac7),'utcOffset':0x12c,'offsetStr':_0x13a8b6(0xe95),'countries':['UZ']},{'name':_0x13a8b6(0x63b),'utcOffset':0x12c,'offsetStr':_0x13a8b6(0xe95),'countries':['UZ']},{'name':_0x13a8b6(0x98e),'utcOffset':-0x10e,'offsetStr':'-04:30','countries':['VE']},{'name':_0x13a8b6(0x278e),'utcOffset':0x1a4,'offsetStr':_0x13a8b6(0x1a6e),'countries':['VN']},{'name':'Pacific/Efate','utcOffset':0x294,'offsetStr':_0x13a8b6(0xac0),'countries':['VU']},{'name':_0x13a8b6(0xc0c),'utcOffset':0x2d0,'offsetStr':_0x13a8b6(0xf9b),'countries':['WF']},{'name':_0x13a8b6(0x623),'utcOffset':0x348,'offsetStr':_0x13a8b6(0x27c7),'countries':['WS']},{'name':_0x13a8b6(0x1dcb),'utcOffset':0x78,'offsetStr':_0x13a8b6(0x8be),'countries':['ZA','LS','SZ']}];}],'templateUrl':_0x1bb52b};}const _0x5d8cf2=_0x32c9d8;;function _0x4c0298(){const _0x59515c=_0x5537c6,_0x4f3e2d=this;_0x4f3e2d[_0x59515c(0x1c99)]=[],_0x4f3e2d[_0x59515c(0x1ef)]=![],_0x4f3e2d[_0x59515c(0x41d)]=0x14;function _0x244ce6(_0xa936e){const _0x5447d0=_0x59515c;let _0x150859=0x0;if(_0xa936e){_0x4f3e2d['fontSize']=_0xa936e[_0x5447d0(0x13f4)][0x2]&&_0xa936e[_0x5447d0(0x13f4)][0x2][_0x5447d0(0x327)]?parseInt(_0xa936e[_0x5447d0(0x13f4)][0x2][_0x5447d0(0x327)])-parseInt(_0xa936e[_0x5447d0(0x13f4)][0x2][_0x5447d0(0x327)])%0x2:0x14;_0xa936e['attrs'][0x1][_0x5447d0(0x327)]&&_0xa936e[_0x5447d0(0x13f4)][0x1][_0x5447d0(0x327)][_0x5447d0(0xfd0)]?(_0x4f3e2d[_0x5447d0(0x1ef)]=!![],_0x4f3e2d[_0x5447d0(0x1c99)]=_0x39641b()[_0x5447d0(0x1b3)](_0xa936e[_0x5447d0(0x246a)],_0xa936e[_0x5447d0(0x13f4)][0x1][_0x5447d0(0x327)])):(_0x4f3e2d[_0x5447d0(0x1ef)]=![],_0x4f3e2d[_0x5447d0(0x1c99)]=_0xa936e[_0x5447d0(0x246a)]);for(let _0x78223c=0x0;_0x78223c<_0xa936e[_0x5447d0(0x278a)][_0x5447d0(0x2214)][_0x5447d0(0xfd0)];_0x78223c+=0x1){_0x39641b()[_0x5447d0(0x250a)](_0x4f3e2d[_0x5447d0(0x1c99)],_0xa936e[_0x5447d0(0x278a)]['rows'][_0x78223c]['id'])&&(_0x150859+=_0xa936e['voiceQueues'][_0x5447d0(0x2214)][_0x78223c][_0xa936e[_0x5447d0(0x13f4)][0x0][_0x5447d0(0x327)]]?parseInt(_0xa936e['voiceQueues'][_0x5447d0(0x2214)][_0x78223c][_0xa936e[_0x5447d0(0x13f4)][0x0][_0x5447d0(0x327)]]):0x0);}}return _0x150859;}_0x4f3e2d[_0x59515c(0x9b8)]=_0x244ce6;}const _0x1feb78=_0x4c0298;;_0x25a582[_0x5537c6(0x15b6)]=['$translate'];function _0x25a582(_0x1b35e1){const _0x40e10d=_0x5537c6,_0x15ea2b=this;_0x15ea2b[_0x40e10d(0x1c99)]=[],_0x15ea2b[_0x40e10d(0x1ef)]=![],_0x15ea2b[_0x40e10d(0x238b)]=[],_0x15ea2b[_0x40e10d(0x9d6)]={'labels':[_0x40e10d(0x3b8)],'series':[_0x40e10d(0x1ad4)],'data':[[0x32]],'options':{'responsive':!![],'legend':{'display':!![],'fontColor':_0x40e10d(0x20fc)},'scales':{'xAxes':[{'gridLines':{'display':!![]},'ticks':{'fontColor':_0x40e10d(0x20fc),'stepSize':0x1,'beginAtZero':!![]}}],'yAxes':[{'gridLines':{'display':![]},'ticks':{'fontColor':'#2196f3'}}]}}};function _0x7c4ae5(_0xa0f5bc){const _0x44ade4=_0x40e10d;_0x15ea2b[_0x44ade4(0x238b)]=_0x39641b()[_0x44ade4(0x1de2)](_0x39641b()[_0x44ade4(0x1c99)](_0xa0f5bc[_0x44ade4(0x13f4)],function(_0x43a626){const _0x338d7f=_0x44ade4;return _0x43a626[_0x338d7f(0x16b6)]&&_0x43a626[_0x338d7f(0x16b6)]['indexOf'](_0x338d7f(0x18f5))>=0x0&&_0x43a626[_0x338d7f(0x327)]&&_0x43a626['value']!==_0x338d7f(0xd38);}),_0x44ade4(0x327));_0xa0f5bc[_0x44ade4(0x13f4)][0x3][_0x44ade4(0x327)]&&_0xa0f5bc[_0x44ade4(0x13f4)][0x3]['value'][_0x44ade4(0xfd0)]?(_0x15ea2b['filtered']=!![],_0x15ea2b[_0x44ade4(0x1c99)]=_0x39641b()[_0x44ade4(0x1b3)](_0xa0f5bc[_0x44ade4(0x246a)],_0xa0f5bc[_0x44ade4(0x13f4)][0x3][_0x44ade4(0x327)])):(_0x15ea2b[_0x44ade4(0x1ef)]=![],_0x15ea2b[_0x44ade4(0x1c99)]=_0xa0f5bc['voiceQueuesSelected']);if(_0x15ea2b[_0x44ade4(0x9d6)][_0x44ade4(0x14d0)][_0x44ade4(0xfd0)]>_0x15ea2b[_0x44ade4(0x238b)][_0x44ade4(0xfd0)]){const _0x1497bc=_0x15ea2b[_0x44ade4(0x9d6)][_0x44ade4(0x14d0)][_0x44ade4(0xfd0)]-_0x15ea2b[_0x44ade4(0x238b)]['length'];_0x15ea2b[_0x44ade4(0x9d6)][_0x44ade4(0x14d0)]['splice'](0x0,_0x1497bc),_0x15ea2b['multiBarChart']['data']['splice'](0x0,_0x1497bc);}for(let _0x494ad5=0x0;_0x494ad5<_0x15ea2b['properties'][_0x44ade4(0xfd0)];_0x494ad5+=0x1){_0x15ea2b[_0x44ade4(0x9d6)]['series'][_0x494ad5]=_0x1b35e1[_0x44ade4(0x25cc)]('TOOLS.'+_0x15ea2b[_0x44ade4(0x238b)][_0x494ad5][_0x44ade4(0x2335)]());_0x39641b()[_0x44ade4(0x958)](_0x15ea2b[_0x44ade4(0x9d6)][_0x44ade4(0x25c)][_0x494ad5])&&(_0x15ea2b['multiBarChart']['data'][_0x494ad5]=[]);if(_0x15ea2b['multiBarChart'][_0x44ade4(0x1837)][_0x44ade4(0xfd0)]>_0x15ea2b[_0x44ade4(0x1c99)][_0x44ade4(0xfd0)]){const _0x10633d=_0x15ea2b[_0x44ade4(0x9d6)][_0x44ade4(0x1837)][_0x44ade4(0xfd0)]-_0x15ea2b[_0x44ade4(0x1c99)][_0x44ade4(0xfd0)];_0x15ea2b[_0x44ade4(0x9d6)][_0x44ade4(0x1837)][_0x44ade4(0x159c)](0x0,_0x10633d),_0x15ea2b[_0x44ade4(0x9d6)][_0x44ade4(0x25c)][_0x494ad5][_0x44ade4(0x159c)](0x0,_0x10633d);}for(let _0x30a977=0x0,_0x801c60=0x0;_0x30a977<_0xa0f5bc[_0x44ade4(0x278a)][_0x44ade4(0x2214)][_0x44ade4(0xfd0)];_0x30a977+=0x1){_0x39641b()[_0x44ade4(0x250a)](_0x15ea2b['filter'],_0xa0f5bc[_0x44ade4(0x278a)][_0x44ade4(0x2214)][_0x30a977]['id'])&&(_0x15ea2b[_0x44ade4(0x9d6)][_0x44ade4(0x1837)][_0x801c60]=_0xa0f5bc[_0x44ade4(0x278a)][_0x44ade4(0x2214)][_0x30a977][_0x44ade4(0x16b6)],_0x15ea2b[_0x44ade4(0x9d6)][_0x44ade4(0x25c)][_0x494ad5][_0x801c60]=_0xa0f5bc[_0x44ade4(0x278a)][_0x44ade4(0x2214)][_0x30a977][_0x15ea2b[_0x44ade4(0x238b)][_0x494ad5]]?parseInt(_0xa0f5bc[_0x44ade4(0x278a)]['rows'][_0x30a977][_0x15ea2b[_0x44ade4(0x238b)][_0x494ad5]]):0x0,_0x801c60+=0x1);}}}function _0x3cef3e(_0x122694){const _0x2b2d1d=_0x40e10d;_0x15ea2b[_0x2b2d1d(0x9d6)][_0x2b2d1d(0x2224)][_0x2b2d1d(0x1458)]['fontColor']=_0x122694[_0x2b2d1d(0x1eb2)];for(let _0x1a7c88=0x0;_0x1a7c88<_0x15ea2b[_0x2b2d1d(0x9d6)][_0x2b2d1d(0x2224)][_0x2b2d1d(0x27a8)][_0x2b2d1d(0x2456)][_0x2b2d1d(0xfd0)];_0x1a7c88+=0x1){_0x15ea2b[_0x2b2d1d(0x9d6)]['options']['scales']['xAxes'][_0x1a7c88][_0x2b2d1d(0x1bbb)][_0x2b2d1d(0x26fb)]=_0x122694['foreground'];}for(let _0x50a8a2=0x0;_0x50a8a2<_0x15ea2b[_0x2b2d1d(0x9d6)]['options']['scales']['yAxes'][_0x2b2d1d(0xfd0)];_0x50a8a2+=0x1){_0x15ea2b[_0x2b2d1d(0x9d6)][_0x2b2d1d(0x2224)][_0x2b2d1d(0x27a8)]['yAxes'][_0x50a8a2][_0x2b2d1d(0x1bbb)]['fontColor']=_0x122694['foreground'];}}function _0x19fdce(_0x10b57c){const _0x3eec1c=_0x40e10d;_0x10b57c&&(_0x10b57c['attrs']&&_0x7c4ae5(_0x10b57c),_0x10b57c[_0x3eec1c(0x1eb2)]&&_0x3cef3e(_0x10b57c));}_0x15ea2b[_0x40e10d(0x71f)]=_0x19fdce;}const _0x2602ac=_0x25a582;;_0xa61b49[_0x5537c6(0x15b6)]=[_0x5537c6(0x1ae)];function _0xa61b49(_0x532bcf){const _0xa6faeb=_0x5537c6,_0x4b65a0=this;_0x4b65a0['filter']=[],_0x4b65a0[_0xa6faeb(0x1ef)]=![],_0x4b65a0[_0xa6faeb(0x238b)]=[],_0x4b65a0[_0xa6faeb(0x1a89)]={'labels':[_0xa6faeb(0x16dd)],'data':[0x1e],'options':{'responsive':!![],'legend':{'display':!![]}}};function _0x212421(_0xcd22c6){const _0x3346d9=_0xa6faeb;_0x4b65a0['properties']=_0x39641b()[_0x3346d9(0x1de2)](_0x39641b()['filter'](_0xcd22c6[_0x3346d9(0x13f4)],function(_0x3c9b31){const _0x10c890=_0x3346d9;return _0x3c9b31['name']&&_0x3c9b31[_0x10c890(0x16b6)][_0x10c890(0xd8a)]('attrSerie')>=0x0&&_0x3c9b31[_0x10c890(0x327)]&&_0x3c9b31[_0x10c890(0x327)]!==_0x10c890(0xd38);}),_0x3346d9(0x327));_0xcd22c6[_0x3346d9(0x13f4)][0x3][_0x3346d9(0x327)]&&_0xcd22c6[_0x3346d9(0x13f4)][0x3][_0x3346d9(0x327)][_0x3346d9(0xfd0)]?(_0x4b65a0['filtered']=!![],_0x4b65a0[_0x3346d9(0x1c99)]=_0x39641b()['intersection'](_0xcd22c6['voiceQueuesSelected'],_0xcd22c6[_0x3346d9(0x13f4)][0x3][_0x3346d9(0x327)])):(_0x4b65a0[_0x3346d9(0x1ef)]=![],_0x4b65a0[_0x3346d9(0x1c99)]=_0xcd22c6['voiceQueuesSelected']);if(_0x4b65a0['pieChart'][_0x3346d9(0x1837)]['length']>_0x4b65a0[_0x3346d9(0x238b)][_0x3346d9(0xfd0)]){const _0x196e17=_0x4b65a0[_0x3346d9(0x1a89)][_0x3346d9(0x1837)][_0x3346d9(0xfd0)]-_0x4b65a0[_0x3346d9(0x238b)][_0x3346d9(0xfd0)];_0x4b65a0[_0x3346d9(0x1a89)][_0x3346d9(0x1837)][_0x3346d9(0x159c)](0x0,_0x196e17),_0x4b65a0[_0x3346d9(0x1a89)][_0x3346d9(0x25c)][_0x3346d9(0x159c)](0x0,_0x196e17);}for(let _0x33837a=0x0,_0x47344b=0x0;_0x33837a<_0x4b65a0[_0x3346d9(0x238b)]['length'];_0x33837a+=0x1){_0x4b65a0['pieChart'][_0x3346d9(0x1837)][_0x33837a]=_0x532bcf[_0x3346d9(0x25cc)](_0x3346d9(0xfd1)+_0x4b65a0['properties'][_0x33837a][_0x3346d9(0x2335)]());for(let _0x2840e8=0x0;_0x2840e8<_0xcd22c6[_0x3346d9(0x278a)][_0x3346d9(0x2214)][_0x3346d9(0xfd0)];_0x2840e8+=0x1){_0x39641b()[_0x3346d9(0x250a)](_0x4b65a0[_0x3346d9(0x1c99)],_0xcd22c6[_0x3346d9(0x278a)]['rows'][_0x2840e8]['id'])&&(_0x47344b+=_0xcd22c6[_0x3346d9(0x278a)][_0x3346d9(0x2214)][_0x2840e8][_0x4b65a0[_0x3346d9(0x238b)][_0x33837a]]?parseInt(_0xcd22c6[_0x3346d9(0x278a)][_0x3346d9(0x2214)][_0x2840e8][_0x4b65a0['properties'][_0x33837a]]):0x0);}_0x4b65a0[_0x3346d9(0x1a89)][_0x3346d9(0x25c)][_0x33837a]=_0x47344b,_0x47344b=0x0;}}function _0x3fee62(_0x54155a){const _0x51cfe9=_0xa6faeb;_0x4b65a0[_0x51cfe9(0x1a89)]['options'][_0x51cfe9(0x1458)][_0x51cfe9(0x26fb)]=_0x54155a[_0x51cfe9(0x1eb2)];}function _0x18bbe8(_0x109cc6){const _0x1a2b92=_0xa6faeb;_0x109cc6&&(_0x109cc6[_0x1a2b92(0x13f4)]&&_0x212421(_0x109cc6),_0x109cc6[_0x1a2b92(0x1eb2)]&&_0x3fee62(_0x109cc6));}_0x4b65a0[_0xa6faeb(0x1e46)]=_0x18bbe8;}const _0x4b8278=_0xa61b49;;_0x9a70b2[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x2168),_0x5537c6(0x1714),_0x5537c6(0x142b)];function _0x9a70b2(_0x14fb21,_0x1a855b,_0x4575da,_0x353bc9){const _0x347d28=_0x5537c6,_0x2c6824=this;_0x2c6824[_0x347d28(0x1a74)]=0x0,_0x2c6824[_0x347d28(0x576)]=0x0,_0x2c6824[_0x347d28(0x1386)]='',_0x2c6824[_0x347d28(0x1b1)]=[],_0x2c6824[_0x347d28(0x2872)]={'rows':[],'count':0x0},_0x2c6824[_0x347d28(0xae2)]={'limit':0xa,'page':0x1,'startDate':_0x543b5a()()[_0x347d28(0x1be0)]('day')[_0x347d28(0x1f31)]('YYYY-MM-DD\x20HH:mm:ss'),'endDate':_0x543b5a()()['endOf']('day')[_0x347d28(0x1f31)](_0x347d28(0x2e8)),'output':_0x347d28(0x152f)},_0x2c6824[_0x347d28(0x1a34)]=_0x35d559,_0x2c6824[_0x347d28(0x2041)]=_0x2abdb9,_0x2c6824['valueReplacer']=_0x5de978;function _0x35d559(_0x2d90e1){const _0x554e01=_0x347d28;_0x2d90e1&&(_0x2d90e1[_0x554e01(0x13f4)]&&(_0x2d90e1['attrs'][0x0]&&_0x2d90e1[_0x554e01(0x13f4)][0x0][_0x554e01(0x327)]&&(_0x2c6824[_0x554e01(0xae2)]['id']=_0x2d90e1[_0x554e01(0x13f4)][0x0][_0x554e01(0x327)],_0x353bc9['analyticFieldReport']['get']({'fields':_0x554e01(0x1831),'nolimit':!![],'DefaultReportId':_0x2d90e1[_0x554e01(0x13f4)][0x0][_0x554e01(0x66a)]===_0x554e01(0x24c8)?_0x2d90e1[_0x554e01(0x13f4)][0x0][_0x554e01(0x327)]:undefined,'CustomReportId':_0x2d90e1[_0x554e01(0x13f4)][0x0][_0x554e01(0x66a)]==='custom'?_0x2d90e1['attrs'][0x0]['value']:undefined})[_0x554e01(0x1d77)][_0x554e01(0x1cb0)](function(_0x21054c){const _0x5aafa6=_0x554e01;_0x21054c&&_0x21054c[_0x5aafa6(0x2214)]&&(_0x2c6824['columns']=_0x21054c[_0x5aafa6(0x2214)]);switch(_0x2d90e1[_0x5aafa6(0x13f4)][0x0][_0x5aafa6(0x66a)]){case _0x5aafa6(0x24c8):_0x2c6824['reportRoute']=_0x5aafa6(0x1f0c);break;case _0x5aafa6(0x197c):_0x2c6824[_0x5aafa6(0x1feb)]=_0x5aafa6(0x1e32);break;}return _0x353bc9[_0x2c6824['reportRoute']][_0x5aafa6(0xbf7)]({'id':_0x2d90e1['attrs'][0x0][_0x5aafa6(0x327)],'fields':_0x5aafa6(0x43c)})[_0x5aafa6(0x1d77)];})[_0x554e01(0x1cb0)](function(_0xe1c51e){const _0x59e78e=_0x554e01;_0xe1c51e&&_0xe1c51e['name']&&(_0x2c6824[_0x59e78e(0x1386)]=_0xe1c51e[_0x59e78e(0x16b6)]),_0x2abdb9();})['catch'](function(_0x5265d0){const _0x329d15=_0x554e01;console[_0x329d15(0x1b4f)](_0x5265d0);})),_0x2d90e1[_0x554e01(0x13f4)][0x1]&&_0x2d90e1[_0x554e01(0x13f4)][0x1]['value']&&(_0x2c6824[_0x554e01(0x576)]=parseInt(_0x2d90e1['attrs'][0x1][_0x554e01(0x327)]),!_0x39641b()['isNaN'](_0x2c6824['refresh'])&&_0x2c6824[_0x554e01(0x576)]>0x0?(_0x2c6824[_0x554e01(0x1a74)]=parseInt(_0x2d90e1[_0x554e01(0x13f4)][0x1][_0x554e01(0x327)]),_0x2c6824['interval']=_0x4575da(function(){_0x2c6824['countDown']-=0x1;},0x3e8,0x0),_0x2c6824[_0x554e01(0x1719)]=_0x1a855b(function(){const _0x2f76a7=_0x554e01;_0x2c6824['interval']&&_0x4575da[_0x2f76a7(0x696)](_0x2c6824[_0x2f76a7(0x1ac8)]),_0x35d559(_0x2d90e1);},_0x2c6824['refresh']*0x3e8)):(_0x2c6824[_0x554e01(0x1ac8)]&&_0x4575da['cancel'](_0x2c6824['interval']),_0x2c6824[_0x554e01(0x1719)]&&_0x1a855b['cancel'](_0x2c6824[_0x554e01(0x1719)])))));}function _0x309315(_0x43f145){const _0x5dd0c4=_0x347d28;_0x2c6824[_0x5dd0c4(0x2872)]=_0x43f145||{'count':0x0,'rows':[]};}function _0x2abdb9(){const _0x38727e=_0x347d28;_0x2c6824[_0x38727e(0xae2)][_0x38727e(0x184b)]=(_0x2c6824['query'][_0x38727e(0x1c7b)]-0x1)*_0x2c6824[_0x38727e(0xae2)]['limit'],_0x2c6824['promise']=_0x353bc9[_0x2c6824[_0x38727e(0x1feb)]]['run'](_0x2c6824[_0x38727e(0xae2)],_0x309315)['$promise'];}function _0x5de978(_0x160a0d,_0x4e744c){const _0x149307=_0x347d28;return _0x543b5a()(_0x4e744c,_0x149307(0x2963),!![])[_0x149307(0x1a4f)]()&&(_0x4e744c=_0x543b5a()(_0x4e744c,'')[_0x149307(0x1f31)](_0x149307(0x2e8))),_0x4e744c;}_0x14fb21[_0x347d28(0x1d6)](_0x347d28(0x291c),function(){const _0x3e1c47=_0x347d28;_0x2c6824[_0x3e1c47(0x1ac8)]&&_0x4575da[_0x3e1c47(0x696)](_0x2c6824[_0x3e1c47(0x1ac8)]),_0x2c6824[_0x3e1c47(0x1719)]&&_0x1a855b['cancel'](_0x2c6824[_0x3e1c47(0x1719)]);});}const _0x35403c=_0x9a70b2;;const _0x2d4f0b=_0x5074a3['p']+_0x5537c6(0x1883);;_0x41ee88[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$sce'];function _0x41ee88(_0x3c785d,_0x377135){const _0x433dd9=_0x5537c6,_0x52863a=this;function _0x27b58a(_0x58d1dc){return _0x377135['trustAsResourceUrl'](_0x58d1dc);}_0x52863a[_0x433dd9(0xee2)]=_0x27b58a;}function _0x322e8f(){const _0x2a8d78=_0x5537c6;return{'restrict':'E','scope':{'config':'=?','onEdit':'&?','onDelete':'&?'},'require':_0x2a8d78(0x1281),'controller':_0x2a8d78(0x1c66),'controllerAs':'vm','bindToController':!![],'templateUrl':_0x2d4f0b};};_0x2481e8[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x2086)];function _0x2481e8(_0x16e4be,_0x3d97f6){const _0x1e4e5f=this;_0x1e4e5f['flipped']=![];function _0x29d1ef(){const _0x4d8269=a0_0x5cbd;return angular[_0x4d8269(0x13b8)](_0x16e4be[_0x4d8269(0x8d8)])&&_0x16e4be[_0x4d8269(0x8d8)]===!![];}function _0x3d4584(){const _0x4915d1=a0_0x5cbd;if(!_0x29d1ef())return;_0x1e4e5f[_0x4915d1(0x70b)]=!_0x1e4e5f[_0x4915d1(0x70b)],_0x3d97f6['toggleClass'](_0x4915d1(0x70b),_0x1e4e5f[_0x4915d1(0x70b)]);}_0x1e4e5f['flip']=_0x3d4584;}function _0x53a558(){const _0x4d5c46=_0x5537c6;return{'restrict':'E','scope':{'flippable':'=?'},'controller':_0x4d5c46(0x1f68),'transclude':!![],'compile':function(_0x5c4244){const _0x431516=_0x4d5c46;return _0x5c4244[_0x431516(0x1390)](_0x431516(0x248a)),function _0x5bdd7b(_0x4f82d3,_0x4848ae,_0x12d6e8,_0x407779,_0x2feb4c){_0x2feb4c(function(_0x31f4f3){const _0xa70adb=a0_0x5cbd;_0x4848ae['empty'](),_0x4848ae[_0xa70adb(0x207)](_0x31f4f3);});};}};}function _0x4337a6(){return{'restrict':'E','require':'^msWidget','transclude':!![],'compile':function(_0x48a2e7){const _0x5294eb=a0_0x5cbd;return _0x48a2e7[_0x5294eb(0x1390)](_0x5294eb(0xd22)),function _0x5d3cfc(_0x20d771,_0x18bce5,_0x524423,_0x21a63b,_0x211f85){const _0x231c3a=_0x5294eb;_0x211f85(function(_0x1cfa8d){const _0x5d6d15=a0_0x5cbd;_0x18bce5[_0x5d6d15(0x999)](),_0x18bce5[_0x5d6d15(0x207)](_0x1cfa8d);}),_0x20d771['flipWidget']=_0x21a63b[_0x231c3a(0xe36)];};}};}function _0x3bf636(){const _0x2f234c=_0x5537c6;return{'restrict':'E','require':_0x2f234c(0x533),'transclude':!![],'compile':function(_0x3bc074){const _0x33c5bf=_0x2f234c;return _0x3bc074[_0x33c5bf(0x1390)](_0x33c5bf(0x293a)),function _0x22b9d2(_0x1f8d14,_0x420ecb,_0x573413,_0x10b112,_0x43a514){const _0xb08935=_0x33c5bf;_0x43a514(function(_0xaa7322){const _0x48656b=a0_0x5cbd;_0x420ecb[_0x48656b(0x999)](),_0x420ecb[_0x48656b(0x207)](_0xaa7322);}),_0x1f8d14[_0xb08935(0x170a)]=_0x10b112[_0xb08935(0xe36)];};}};};const _0x16d575=[[_0x5537c6(0xd91),_0x4efee2,_0x5537c6(0x2838)],[_0x5537c6(0x2744),_0x3ec407,_0x5537c6(0x2838)],[_0x5537c6(0x24d0),_0x456434,_0x5537c6(0x2838)],['msCard',_0x14d377,_0x5537c6(0x2838)],[_0x5537c6(0x1c1),_0x548aa5,_0x5537c6(0x2838)],[_0x5537c6(0x761),_0x2fd365,'directive'],[_0x5537c6(0x1741),_0x409d80,_0x5537c6(0x12ab)],[_0x5537c6(0x1066),_0x57daa2,_0x5537c6(0x2838)],[_0x5537c6(0x3df),_0x46b5e5,_0x5537c6(0x2838)],[_0x5537c6(0x2345),_0x13cb50,_0x5537c6(0x2838)],[_0x5537c6(0x18d5),_0x16b45c,_0x5537c6(0x2838)],[_0x5537c6(0x1a3b),_0x208d4f,_0x5537c6(0x2838)],['MsFormWizardController',_0x4cfc03,'controller'],[_0x5537c6(0x116b),_0x175461,_0x5537c6(0x2838)],[_0x5537c6(0x1318),_0x2502d5,_0x5537c6(0x2838)],[_0x5537c6(0x2120),_0xd73a71,_0x5537c6(0x2838)],[_0x5537c6(0xbdb),_0x269382,'controller'],[_0x5537c6(0xb0d),_0x16844a,_0x5537c6(0x2838)],[_0x5537c6(0xf04),_0x586a62,'directive'],[_0x5537c6(0x1ed7),_0x507ef3,_0x5537c6(0x6e5)],[_0x5537c6(0xa24),_0xdfcbe,_0x5537c6(0x2838)],[_0x5537c6(0x19e3),_0xfb5a53,_0x5537c6(0x234a)],[_0x5537c6(0x1a4d),_0x106bf5,_0x5537c6(0x2838)],[_0x5537c6(0x1a04),_0x1ad03f,_0x5537c6(0x6e5)],[_0x5537c6(0x2099),_0x218766,_0x5537c6(0x2838)],['msNavTitle',_0x54f830,'directive'],[_0x5537c6(0x122b),_0x5080b5,_0x5537c6(0x2838)],[_0x5537c6(0x1cb6),_0x1f475c,'directive'],['msNavigationService',_0x18cedb,_0x5537c6(0x12ab)],[_0x5537c6(0x798),_0x47987c,'controller'],[_0x5537c6(0x1ac6),_0x12da17,_0x5537c6(0x2838)],['MsNavigationNodeController',_0x4ecc2c,_0x5537c6(0x6e5)],[_0x5537c6(0x1dde),_0x1312ec,_0x5537c6(0x2838)],[_0x5537c6(0x1508),_0xefa6b9,_0x5537c6(0x2838)],['msNavigationHorizontal',_0x2e8f96,_0x5537c6(0x2838)],[_0x5537c6(0xf8f),_0xd43ca7,_0x5537c6(0x6e5)],[_0x5537c6(0x75a),_0x52f023,_0x5537c6(0x2838)],[_0x5537c6(0xdf8),_0x55043b,_0x5537c6(0x2838)],[_0x5537c6(0x26c8),_0x5071e2,_0x5537c6(0x6e5)],['msPhonebar',_0x1281b0,_0x5537c6(0x2838)],['PrefixDialogController',_0x16c60d,'controller'],['msBlink',_0x46e2f1,_0x5537c6(0x2838)],['RecordDialogController',_0x48a7ac,_0x5537c6(0x6e5)],[_0x5537c6(0x131f),_0x4f9b81,_0x5537c6(0x6e5)],[_0x5537c6(0x2726),_0x1f55eb,_0x5537c6(0x6e5)],['msQuickFilter',_0x865f24,_0x5537c6(0x2838)],[_0x5537c6(0x22b2),_0x2e61e6,'factory'],[_0x5537c6(0xd67),_0x2005a5,_0x5537c6(0x2838)],['msRecording',_0x4edd0e,_0x5537c6(0x2838)],[_0x5537c6(0x5ab),_0xee8a18,_0x5537c6(0x2838)],[_0x5537c6(0x24e9),_0x9ea280,_0x5537c6(0x12ab)],[_0x5537c6(0x1459),_0x4da3b8,_0x5537c6(0x2838)],[_0x5537c6(0x18ca),_0x40f09c,_0x5537c6(0x6e5)],[_0x5537c6(0x2d2),_0x42bda6,_0x5537c6(0x2838)],[_0x5537c6(0x2bd),_0x1d41f6,_0x5537c6(0x6e5)],[_0x5537c6(0x2428),_0x381089,_0x5537c6(0x2838)],[_0x5537c6(0x278b),_0xfa7d65,'directive'],[_0x5537c6(0xe78),_0x5bd385,_0x5537c6(0x2838)],[_0x5537c6(0x17f),_0x4f81b6,_0x5537c6(0x6e5)],[_0x5537c6(0x1b97),_0x140e79,'directive'],[_0x5537c6(0x529),_0x5f03da,_0x5537c6(0x2838)],[_0x5537c6(0x275f),_0x534b53,_0x5537c6(0x2838)],[_0x5537c6(0x85c),_0x2acdd8,_0x5537c6(0x2838)],[_0x5537c6(0x68e),_0x39dfc9,_0x5537c6(0x6e5)],[_0x5537c6(0x20c8),_0x253fbd,_0x5537c6(0x2838)],[_0x5537c6(0x157a),_0x1e610f,'controller'],['msTimeline',_0x2b81d6,_0x5537c6(0x2838)],['msTimelineItem',_0x1f2ccf,_0x5537c6(0x2838)],[_0x5537c6(0x176b),_0x2c7ac9,_0x5537c6(0x2838)],[_0x5537c6(0x5fd),_0x5d8cf2,_0x5537c6(0x2838)],['MsWidgetEngineCounterController',_0x1feb78,_0x5537c6(0x6e5)],[_0x5537c6(0x15c1),_0x2602ac,'controller'],[_0x5537c6(0x1db3),_0x4b8278,'controller'],[_0x5537c6(0x9df),_0x35403c,_0x5537c6(0x6e5)],[_0x5537c6(0x1c66),_0x41ee88,_0x5537c6(0x6e5)],['msWidgetEngine',_0x322e8f,'directive'],[_0x5537c6(0x1f68),_0x2481e8,'controller'],[_0x5537c6(0xde0),_0x53a558,_0x5537c6(0x2838)],[_0x5537c6(0xa66),_0x4337a6,'directive'],[_0x5537c6(0x10d8),_0x3bf636,_0x5537c6(0x2838)]],_0x1c74f0=_0x16d575;;_0x1666e2[_0x5537c6(0x15b6)]=['$q','$translate'];function _0x1666e2(_0x312772,_0x4c5cf7){function _0x421e8b(_0x94a33a){let _0x20736f;return _0x312772(function(_0x50dbef){const _0x47bd4c=a0_0x5cbd;try{if(_0x39641b()['isEmpty'](_0x94a33a[_0x47bd4c(0x2171)]))_0x20736f=_0x4c5cf7[_0x47bd4c(0x25cc)]('DASHBOARDS.NONE');else{if(_0x39641b()[_0x47bd4c(0xce9)](_0x94a33a[_0x47bd4c(0x94b)]))_0x20736f=_0x94a33a[_0x47bd4c(0x161f)]?_0x4c5cf7[_0x47bd4c(0x25cc)](_0x94a33a['placeholder']):_0x4c5cf7[_0x47bd4c(0x25cc)](_0x47bd4c(0xd05));else{if(_0x94a33a[_0x47bd4c(0x94b)]['length']===_0x94a33a[_0x47bd4c(0x2171)][_0x47bd4c(0xfd0)])_0x20736f=_0x4c5cf7[_0x47bd4c(0x25cc)](_0x47bd4c(0x2706));else{const _0xf49823=_0x94a33a[_0x47bd4c(0x1581)]||'id';_0x20736f=_0x39641b()(_0x94a33a[_0x47bd4c(0x2171)])[_0x47bd4c(0x1c99)](function(_0x423630){const _0x15eb34=_0x47bd4c;return _0x39641b()[_0x15eb34(0x250a)](_0x94a33a[_0x15eb34(0x94b)],_0x423630[_0xf49823]);})['map'](_0x47bd4c(0x16b6))[_0x47bd4c(0x327)]()[_0x47bd4c(0x1f66)](',\x20');}}}_0x50dbef(_0x20736f);}catch(_0x1bcebd){console[_0x47bd4c(0x1b4f)](_0x47bd4c(0x286b),_0x1bcebd),_0x50dbef(null);}});}const _0x4308ab={'setPlaceholder':_0x421e8b};return _0x4308ab;}const _0x5ac8b0=_0x1666e2;;function _0x323768(){return function(_0x2c959b){const _0x2b19ad=a0_0x5cbd,_0x334dc7=Date[_0x2b19ad(0x27c)]()-new Date(_0x2c959b);if(_0x334dc7<0x3c*0x3c*0x3e8)return _0x543b5a()(_0x2c959b)[_0x2b19ad(0x1224)]();else{if(_0x334dc7<0x3c*0x3c*0x18*0x3e8)return _0x543b5a()(_0x2c959b)['format'](_0x2b19ad(0x1ed6));else return _0x334dc7<0x3c*0x3c*0x18*0x7*0x3e8?_0x543b5a()(_0x2c959b)[_0x2b19ad(0x1f31)]('dddd'):_0x543b5a()(_0x2c959b)[_0x2b19ad(0x5e3)]();}};}const _0xba8f6c=_0x323768;;_0x53f8c5[_0x5537c6(0x15b6)]=[_0x5537c6(0x254f)];function _0x53f8c5(_0x4eef89){return function(_0x3d2090){const _0x3800e0=a0_0x5cbd;return _0x4eef89[_0x3800e0(0xdfc)](_0x3d2090);};}function _0x2ae272(){return function(_0xba532){return String(_0xba532)['replace'](/<[^>]+>/gm,'');};}function _0x227ac6(){return function(_0x365fed){return!_0x365fed?'':_0x365fed['replace'](/ /g,'');};}function _0x3bd467(){return function(_0x46654a){const _0x376618=a0_0x5cbd;if(!_0x46654a)return;if(_0x46654a['type']==='directive')return _0x46654a[_0x376618(0x16b6)][_0x376618(0x288f)](/([A-Z])/g,function(_0x14b0ea){const _0x4be8cf=_0x376618;return'-'+_0x14b0ea[_0x4be8cf(0x1680)]();});return _0x46654a[_0x376618(0x10f8)]||_0x46654a[_0x376618(0x16b6)];};};function _0x2a8941(){return function(_0x403e08){const _0x5b1751=a0_0x5cbd;if(!_0x403e08)return'';return _0x39641b()[_0x5b1751(0x432)](_0x403e08);};}const _0x396905=_0x2a8941;;function _0x3e36be(){return function(_0x7e2dec,_0x56790e){const _0x479e89=a0_0x5cbd;if(_0x7e2dec[_0x479e89(0xfd0)]===0x0||!_0x56790e)return _0x7e2dec;if(_0x56790e['length']===0x0)return[];const _0x309446=[];for(let _0x27ca7e=0x0;_0x27ca7e<_0x7e2dec[_0x479e89(0xfd0)];_0x27ca7e++){const _0x1f52b5=_0x7e2dec[_0x27ca7e];let _0x4ac9f9=![];for(let _0x298dc4=0x0;_0x298dc4<_0x56790e[_0x479e89(0xfd0)];_0x298dc4++){const _0x36e2f4=_0x56790e[_0x298dc4];if(_0x1f52b5['id']===_0x36e2f4){_0x4ac9f9=!![];break;}}_0x4ac9f9&&_0x309446['push'](_0x1f52b5);}return _0x309446;};}const _0x51f5d9=_0x3e36be;;function _0x3fecdb(){return function(_0x88f42e,_0x40246f,_0x266fda){const _0x3e636e=a0_0x5cbd;if(_0x88f42e[_0x3e636e(0xfd0)]===0x0||!_0x266fda||_0x266fda[_0x3e636e(0xfd0)]===0x0)return _0x88f42e;const _0x1aa62a=[];for(let _0xdc11b0=0x0;_0xdc11b0<_0x88f42e[_0x3e636e(0xfd0)];_0xdc11b0++){const _0x283fbb=_0x88f42e[_0xdc11b0];let _0x478403=![];for(let _0x3c7983=0x0;_0x3c7983<_0x266fda[_0x3e636e(0xfd0)];_0x3c7983++){const _0x35feed=_0x266fda[_0x3c7983];if(_0x283fbb[_0x40246f][_0x3e636e(0xd8a)](_0x35feed)>-0x1){_0x478403=!![];break;}}_0x478403&&_0x1aa62a['push'](_0x283fbb);}return _0x1aa62a;};}const _0x6b0ce7=_0x3fecdb;;function _0x6dfd52(){return function(_0xf4dfa0){const _0x5bb2b8=a0_0x5cbd;if(!_0xf4dfa0)return'';return _0x39641b()[_0x5bb2b8(0x1097)](_0xf4dfa0);};}const _0x12f0e0=_0x6dfd52;;function _0xdfd0f0(){return function(_0x4daa86){if(!_0x4daa86)return'';return _0x39641b()['startCase'](_0x4daa86);};}const _0xa5603f=_0xdfd0f0;;function _0x65840f(){return function(_0x4c83f5,_0x59d34b){const _0x1a0234=a0_0x5cbd;if(_0x4c83f5[_0x1a0234(0xfd0)]===0x0||_0x59d34b[_0x1a0234(0xfd0)]===0x0)return _0x4c83f5;const _0x3ae530=[];return _0x4c83f5[_0x1a0234(0xf90)](function(_0x3788fa){const _0x235fd3=_0x1a0234,_0x2749a2=_0x59d34b['every'](function(_0x16e2a1){const _0xf1f81b=a0_0x5cbd;let _0x499953=![];return _0x3788fa[_0xf1f81b(0xfbf)][_0xf1f81b(0xf90)](function(_0x2c7ca3){if(_0x2c7ca3['name']===_0x16e2a1['name']){_0x499953=!![];return;}}),_0x499953;});_0x2749a2&&_0x3ae530[_0x235fd3(0x2785)](_0x3788fa);}),_0x3ae530;};}function _0xe460d7(){return function(_0x502a57,_0x1713b9){const _0x1b2198=a0_0x5cbd;if(_0x502a57[_0x1b2198(0xfd0)]===0x0||_0x1713b9[_0x1b2198(0xfd0)]===0x0)return;if(_0x502a57[_0x1b2198(0xfd0)]<_0x1713b9[_0x1b2198(0xfd0)])return[];const _0x1d5005=[],_0x4ccecb=_0x1713b9['every'](function(_0x1ed4f7){const _0x1c72cf=_0x1b2198;let _0x359d7c=![];return _0x502a57[_0x1c72cf(0xf90)](function(_0x48729f){const _0x12d7b3=_0x1c72cf;if(_0x48729f['name']===_0x1ed4f7[_0x12d7b3(0x16b6)]){_0x359d7c=!![];return;}}),_0x359d7c;});return _0x4ccecb&&_0x1d5005[_0x1b2198(0x2785)](_0x502a57),_0x1d5005;};};class _0x212475{constructor(_0x3ff2cc,_0x2429e7,_0xd4da31,_0x2b1602){const _0xa740fc=_0x5537c6;this['id']=Math[_0xa740fc(0x47f)]()[_0xa740fc(0x147f)](0x24)[_0xa740fc(0x2371)](0x2,0xf)+Math['random']()['toString'](0x24)[_0xa740fc(0x2371)](0x2,0xf),this[_0xa740fc(0x1386)]=_0x3ff2cc,this[_0xa740fc(0x1ed9)]=_0x2429e7,this[_0xa740fc(0xb60)]=_0xd4da31,this[_0xa740fc(0x988)]=_0x2b1602,this[_0xa740fc(0xf3b)]=_0x13594f;}}function _0x44247c(){const _0x21d874=_0x5537c6;return!(window[_0x21d874(0x1db8)][_0x21d874(0x3f8)]||window[_0x21d874(0x1db8)]['mozHidden']||window['document'][_0x21d874(0x1e3b)]);}function _0x449a14(){const _0x3cd732=_0x5537c6;return/Chrome/[_0x3cd732(0x26a1)](navigator[_0x3cd732(0x28d)])&&/Google Inc/[_0x3cd732(0x26a1)](navigator[_0x3cd732(0x1c2f)]);}function _0x13594f(){const _0x2dee7c=_0x5537c6,_0xd362fc=this;navigator[_0x2dee7c(0x561)][_0x2dee7c(0x26cf)][_0x2dee7c(0x1cb0)](function(_0x4c35a3){const _0x58b60d=_0x2dee7c;_0x4c35a3[_0x58b60d(0x192e)]()[_0x58b60d(0x1cb0)](function(_0x19b999){const _0x14ddec=_0x58b60d,_0x3e3fcd=_0x39641b()['find'](_0x19b999,function(_0x243cf0){const _0x34bd7a=a0_0x5cbd;return _0x243cf0[_0x34bd7a(0x25c)]['id']===_0xd362fc['id'];});_0x3e3fcd&&_0x3e3fcd[_0x14ddec(0xf3b)]();});});}function _0x10e26c(){return{'$get':[_0x51b87a]};function _0x51b87a(){const _0x34ff11=a0_0x5cbd,_0x2dfd93=[];let _0x184af9,_0x1f4d31;return _0x34ff11(0x561)in navigator&&_0x449a14()?navigator['serviceWorker'][_0x34ff11(0x21f1)]('service-worker.js')[_0x34ff11(0x1cb0)](function(_0x338736){const _0x3c1cd6=_0x34ff11;_0x184af9=_0x338736,_0x184af9['update']();if(_0x184af9['installing'])_0x1f4d31=_0x184af9[_0x3c1cd6(0x2997)];else{if(_0x184af9[_0x3c1cd6(0x26b6)])_0x1f4d31=_0x184af9['waiting'];else _0x184af9[_0x3c1cd6(0x1a4b)]&&(_0x1f4d31=_0x184af9[_0x3c1cd6(0x1a4b)]);}})[_0x34ff11(0x1c4)](function(_0x45a189){const _0x3e541f=_0x34ff11;console[_0x3e541f(0x218e)](_0x3e541f(0x143a),_0x45a189);}):console[_0x34ff11(0x218e)]('Service\x20Worker\x20is\x20not\x20supported'),navigator[_0x34ff11(0x561)]['addEventListener'](_0x34ff11(0x155e),function(_0x40ef07){const _0x3175f9=_0x34ff11;if(_0x40ef07['source']!==_0x1f4d31)return;const _0x1350f5=_0x39641b()[_0x3175f9(0x13b4)](_0x2dfd93,{'id':_0x40ef07[_0x3175f9(0x25c)]['id']});if(_0x1350f5)switch(_0x40ef07[_0x3175f9(0x25c)][_0x3175f9(0x269a)]){case'accept':_0x1350f5[_0x3175f9(0xb60)]&&_0x1350f5[_0x3175f9(0xb60)]();break;case _0x3175f9(0x988):_0x1350f5[_0x3175f9(0x988)]&&_0x1350f5[_0x3175f9(0x988)]();break;default:}}),{'create':function(_0x1a9016,_0x57524b,_0x2d320b,_0x1905b5,_0x2bec66){return new Promise(function(_0x27eae0,_0x4aa325){const _0x2a3ee5=a0_0x5cbd;let _0x198182;_0x449a14()&&!_0x44247c()?(_0x198182=new _0x212475(_0x1a9016||_0x2a3ee5(0x3fb),_0x57524b||'MyBody',_0x1905b5,_0x2bec66),_0x2dfd93[_0x2a3ee5(0x2785)](_0x198182),_0x198182?window[_0x2a3ee5(0x1e22)][_0x2a3ee5(0x1248)]()[_0x2a3ee5(0x1cb0)](function(_0x5b9a50){const _0x2b2191=_0x2a3ee5;if(_0x5b9a50===_0x2b2191(0x1780))return _0x184af9[_0x2b2191(0xbb0)](_0x198182[_0x2b2191(0x1386)],{'body':_0x198182[_0x2b2191(0x1ed9)],'requireInteraction':!![],'icon':_0x2d320b||_0x2b2191(0x87c),'data':{'id':_0x198182['id']},'actions':[{'action':_0x2b2191(0xb60),'title':'Accept'},{'action':_0x2b2191(0x988),'title':_0x2b2191(0x951)}]});})['then'](function(){_0x27eae0(_0x198182);})['catch'](function(_0x5b974d){_0x4aa325(_0x5b974d);}):_0x4aa325(new Error('Notification\x20creating\x20error'))):_0x27eae0();});}};}}const _0x584ad6=_0x10e26c;;_0x51589d[_0x5537c6(0x15b6)]=['$q',_0x5537c6(0x1a21),_0x5537c6(0x142b)];function _0x51589d(_0xdf4776,_0x39dd93,_0x4fcce8){const _0x128198={'resolve':_0x2a173e};return _0x128198;function _0x2a173e(_0x33a824,_0xa007fa){const _0x495dd4=a0_0x5cbd,_0x38a1e4=_0x33a824['split']('@'),_0x47a8a2=_0x38a1e4[0x0],_0x1df081=_0x38a1e4[0x1],_0x48b164=_0xa007fa||{};if(!_0x47a8a2||!_0x1df081)return _0x39dd93[_0x495dd4(0x218e)]('apiResolver.resolve\x20requires\x20correct\x20action\x20parameter\x20(ResourceName@methodName)'),![];const _0x3d9897=_0xdf4776['defer'](),_0x172a92=_0x31799f(_0x47a8a2);return!_0x172a92?(_0x39dd93[_0x495dd4(0x218e)](_0x495dd4(0xcd0)+_0x47a8a2+_0x495dd4(0x1ad9)),_0x3d9897[_0x495dd4(0x988)]('Resource\x20\x22'+_0x47a8a2+_0x495dd4(0x1ad9))):_0x172a92[_0x1df081](_0x48b164,function(_0x21f3ce){_0x3d9897['resolve'](_0x21f3ce);},function(_0x1cb5e3){const _0xfe35dc=_0x495dd4;_0x3d9897[_0xfe35dc(0x988)](_0x1cb5e3);}),_0x3d9897[_0x495dd4(0x2061)];}function _0x31799f(_0x289c3f){const _0x379895=a0_0x5cbd,_0x53f42f=_0x289c3f[_0x379895(0xbe1)]('.');let _0x391f5c=_0x4fcce8;for(let _0x1974a7=0x0;_0x1974a7<_0x53f42f['length'];_0x1974a7++){if(angular['isUndefined'](_0x391f5c[_0x53f42f[_0x1974a7]])){_0x39dd93['error'](_0x379895(0x1a36)+_0x53f42f[_0x1974a7]+_0x379895(0x6bb)),_0x391f5c=![];break;}_0x391f5c=_0x391f5c[_0x53f42f[_0x1974a7]];}if(!_0x391f5c)return![];return _0x391f5c;}}const _0x51139b=_0x51589d;;_0x102d65[_0x5537c6(0x15b6)]=[_0x5537c6(0x1196)];function _0x102d65(_0x277fd1){function _0x764233(){const _0x1a48c7=a0_0x5cbd;_0x277fd1[_0x1a48c7(0x1055)]=!![];}function _0x441cdc(){const _0x18ad55=a0_0x5cbd;_0x277fd1[_0x18ad55(0x1055)]=![];}const _0x3debb5={'showLoadingIndicator':_0x764233,'hideLoadingIndicator':_0x441cdc};return _0x3debb5;}const _0x452b06=_0x102d65;;function _0x47b8c9(){const _0xc9dd1a=_0x5537c6,_0x1eedcb=this,_0x226fc1=angular[_0xc9dd1a(0x1da7)](['ng'])[_0xc9dd1a(0xbf7)](_0xc9dd1a(0x1a21));let _0x5187ce='';const _0x25e955=[];_0x1eedcb[_0xc9dd1a(0x2a5)]=_0x3cdb7c,_0x1eedcb[_0xc9dd1a(0x58a)]=_0x411609,_0x1eedcb['getApiObject']=_0x312944,_0x1eedcb[_0xc9dd1a(0x21f1)]=_0x1f083c;function _0x3cdb7c(_0x1ebf31){_0x5187ce=_0x1ebf31;}function _0x411609(){return _0x5187ce;}function _0x312944(){return _0x25e955;}function _0x1f083c(_0x5b45bd,_0x362a75){const _0x25cfbd=_0xc9dd1a;if(!angular[_0x25cfbd(0x28e2)](_0x5b45bd)){_0x226fc1[_0x25cfbd(0x218e)](_0x25cfbd(0xd98));return;}if(!angular[_0x25cfbd(0x216b)](_0x362a75)){_0x226fc1['error'](_0x25cfbd(0x773));return;}_0x25e955[_0x5b45bd]={'url':_0x5187ce+(_0x362a75[0x0]||''),'paramDefaults':_0x362a75[0x1]||[],'actions':_0x362a75[0x2]||[],'options':_0x362a75[0x3]||{}};}this['$get']=function(_0xe5fc8,_0x16d108,_0x47a188,_0x29406d){const _0x43cdaa={'setBaseUrl':_0x3cdb7c,'getBaseUrl':_0x411609,'register':_0x1f083c,'resolve':_0x3493f4,'request':_0x4b2bdb};return _0x43cdaa;function _0x3493f4(_0x153b7b,_0x4a1887){const _0x3bd564=a0_0x5cbd;_0x29406d[_0x3bd564(0x692)]('msApi::resolveStart');const _0x2c6911=_0x153b7b[_0x3bd564(0xbe1)]('@'),_0x2c48cf=_0x2c6911[0x0],_0x2d2dad=_0x2c6911[0x1],_0x23d3f7=_0x4a1887||{};if(!_0x2c48cf||!_0x2d2dad)return _0xe5fc8[_0x3bd564(0x218e)]('msApi.resolve\x20requires\x20correct\x20action\x20parameter\x20(resourceName@methodName)'),![];const _0x4263d3=_0x16d108[_0x3bd564(0x11f4)](),_0x343cc7=_0x25e955[_0x2c48cf];if(!_0x343cc7)_0xe5fc8[_0x3bd564(0x218e)](_0x3bd564(0xcd0)+_0x2c48cf+'\x22\x20is\x20not\x20defined\x20in\x20the\x20api\x20service!'),_0x4263d3[_0x3bd564(0x988)](_0x3bd564(0xcd0)+_0x2c48cf+_0x3bd564(0x1ad9));else{const _0x3110d0=_0x47a188(_0x343cc7[_0x3bd564(0x135b)],_0x343cc7[_0x3bd564(0xea0)],_0x343cc7[_0x3bd564(0x1ae1)],_0x343cc7[_0x3bd564(0x2224)]);_0x3110d0[_0x2d2dad](_0x23d3f7,function(_0x3f9114){const _0x496c41=_0x3bd564;_0x4263d3[_0x496c41(0x19a3)](_0x3f9114),_0x29406d['$broadcast'](_0x496c41(0x1a70));},function(_0x19fffa){const _0x5cabf=_0x3bd564;_0x4263d3[_0x5cabf(0x988)](_0x19fffa),_0x29406d['$broadcast']('msApi::resolveError');});}return _0x4263d3[_0x3bd564(0x2061)];}function _0x4b2bdb(_0x5f02c6,_0x42b41f,_0x1e7edc,_0x3b518e){const _0x3daa11=a0_0x5cbd;_0x29406d[_0x3daa11(0x692)](_0x3daa11(0x1be9));const _0x425910=_0x5f02c6[_0x3daa11(0xbe1)]('@'),_0x3a77c5=_0x425910[0x0],_0x4c1737=_0x425910[0x1],_0x394af1=_0x42b41f||{};if(!_0x3a77c5||!_0x4c1737)return _0xe5fc8[_0x3daa11(0x218e)](_0x3daa11(0x19f6)),![];const _0x1648de=_0x16d108[_0x3daa11(0x11f4)](),_0x44c9cb=_0x25e955[_0x3a77c5];if(!_0x44c9cb)_0xe5fc8[_0x3daa11(0x218e)](_0x3daa11(0xcd0)+_0x3a77c5+_0x3daa11(0x1ad9)),_0x1648de[_0x3daa11(0x988)](_0x3daa11(0xcd0)+_0x3a77c5+'\x22\x20is\x20not\x20defined\x20in\x20the\x20api\x20service!');else{const _0x7540ad=_0x47a188(_0x44c9cb[_0x3daa11(0x135b)],_0x44c9cb[_0x3daa11(0xea0)],_0x44c9cb[_0x3daa11(0x1ae1)],_0x44c9cb['options']);_0x7540ad[_0x4c1737](_0x394af1,function(_0x1c5e3e){const _0x6772e6=_0x3daa11;_0x29406d['$broadcast'](_0x6772e6(0x3c7)),_0x1648de[_0x6772e6(0x19a3)](_0x1c5e3e),angular['isDefined'](_0x1e7edc)&&angular[_0x6772e6(0x1e2c)](_0x1e7edc)&&_0x1e7edc(_0x1c5e3e);},function(_0x7eca5f){const _0x404f93=_0x3daa11;_0x29406d[_0x404f93(0x692)](_0x404f93(0x2ac)),_0x1648de[_0x404f93(0x988)](_0x7eca5f),angular[_0x404f93(0x13b8)](_0x3b518e)&&angular[_0x404f93(0x1e2c)](_0x3b518e)&&_0x3b518e(_0x7eca5f);});}return _0x1648de[_0x3daa11(0x2061)];}};}const _0x461f3b=_0x47b8c9;var _0x2fa1fb=_0x5074a3(0x985),_0x14752f=_0x5074a3['n'](_0x2fa1fb);;_0xc78b1e[_0x5537c6(0x15b6)]=['$window'];function _0xc78b1e(_0x502a1b){const _0x3a3a5f=new(_0x14752f())(_0x502a1b['navigator']['userAgent']);let _0x11e988=null;const _0x11effd={'exists':_0xe7cc1,'detectBrowser':_0x12c2a5,'guidGenerator':_0x14bafb,'isMobile':_0x2ed043,'toggleInArray':_0x25e188,'firstLastDayMonth':_0x2e1a25};return _0x11effd;function _0xe7cc1(_0x29b7e0,_0x537109){const _0x59b9c0=a0_0x5cbd;return _0x537109[_0x59b9c0(0xd8a)](_0x29b7e0)>-0x1;}function _0x12c2a5(){const _0x7efd85=a0_0x5cbd;if(_0x11e988)return _0x11e988;const _0x40b172=[{'string':_0x502a1b[_0x7efd85(0x1583)]['userAgent'],'subString':_0x7efd85(0xd45),'versionSearch':_0x7efd85(0xd45),'identity':'Edge'},{'string':_0x502a1b['navigator'][_0x7efd85(0x28d)],'subString':_0x7efd85(0xdb6),'identity':_0x7efd85(0xdb6)},{'string':_0x502a1b['navigator'][_0x7efd85(0x28d)],'subString':_0x7efd85(0x1cc6),'versionSearch':_0x7efd85(0x1cde),'identity':_0x7efd85(0x1cc6)},{'string':_0x502a1b[_0x7efd85(0x1583)]['vendor'],'subString':_0x7efd85(0x1292),'versionSearch':_0x7efd85(0xa6a),'identity':_0x7efd85(0xd93)},{'prop':_0x502a1b[_0x7efd85(0xc24)],'identity':_0x7efd85(0x1d7a)},{'string':_0x502a1b[_0x7efd85(0x1583)][_0x7efd85(0x1c2f)],'subString':_0x7efd85(0xa4e),'identity':_0x7efd85(0xa4e)},{'string':_0x502a1b[_0x7efd85(0x1583)]['vendor'],'subString':'KDE','identity':_0x7efd85(0x2077)},{'string':_0x502a1b['navigator'][_0x7efd85(0x28d)],'subString':'Firefox','identity':'Firefox'},{'string':_0x502a1b[_0x7efd85(0x1583)]['vendor'],'subString':_0x7efd85(0x7fd),'identity':_0x7efd85(0x7fd)},{'string':_0x502a1b[_0x7efd85(0x1583)][_0x7efd85(0x28d)],'subString':_0x7efd85(0x274f),'identity':'Netscape'},{'string':_0x502a1b[_0x7efd85(0x1583)][_0x7efd85(0x28d)],'subString':_0x7efd85(0x1e29),'identity':_0x7efd85(0x12cd),'versionSearch':'MSIE'},{'string':_0x502a1b[_0x7efd85(0x1583)]['userAgent'],'subString':_0x7efd85(0x7a0),'identity':_0x7efd85(0x12cd),'versionSearch':'rv'},{'string':_0x502a1b['navigator'][_0x7efd85(0x28d)],'subString':'Gecko','identity':_0x7efd85(0x2278),'versionSearch':'rv'},{'string':_0x502a1b[_0x7efd85(0x1583)][_0x7efd85(0x28d)],'subString':_0x7efd85(0x2278),'identity':_0x7efd85(0x274f),'versionSearch':_0x7efd85(0x2278)}],_0x143c31=[{'string':_0x502a1b[_0x7efd85(0x1583)][_0x7efd85(0x2291)],'subString':_0x7efd85(0x222b),'identity':'Windows'},{'string':_0x502a1b[_0x7efd85(0x1583)][_0x7efd85(0x2291)],'subString':_0x7efd85(0x1566),'identity':'Mac'},{'string':_0x502a1b['navigator']['platform'],'subString':_0x7efd85(0x1691),'identity':_0x7efd85(0x1691)},{'string':_0x502a1b['navigator'][_0x7efd85(0x2291)],'subString':_0x7efd85(0x435),'identity':_0x7efd85(0x435)},{'string':_0x502a1b[_0x7efd85(0x1583)][_0x7efd85(0x2291)],'subString':_0x7efd85(0x2501),'identity':_0x7efd85(0x2501)},{'string':_0x502a1b[_0x7efd85(0x1583)][_0x7efd85(0x2291)],'subString':_0x7efd85(0xd58),'identity':_0x7efd85(0xd58)},{'string':_0x502a1b[_0x7efd85(0x1583)][_0x7efd85(0x2291)],'subString':_0x7efd85(0x1965),'identity':_0x7efd85(0x1965)}];let _0xc6e108='';function _0x1bcee3(_0x1a85bf){const _0x49b2ee=_0x7efd85;for(let _0x4c2601=0x0;_0x4c2601<_0x1a85bf[_0x49b2ee(0xfd0)];_0x4c2601++){const _0x2a3414=_0x1a85bf[_0x4c2601]['string'],_0x22c5a4=_0x1a85bf[_0x4c2601][_0x49b2ee(0x12e3)];_0xc6e108=_0x1a85bf[_0x4c2601][_0x49b2ee(0xa27)]||_0x1a85bf[_0x4c2601][_0x49b2ee(0x1ab4)];if(_0x2a3414){if(_0x2a3414[_0x49b2ee(0xd8a)](_0x1a85bf[_0x4c2601][_0x49b2ee(0x837)])!==-0x1)return _0x1a85bf[_0x4c2601][_0x49b2ee(0x1ab4)];}else{if(_0x22c5a4)return _0x1a85bf[_0x4c2601]['identity'];}}}function _0x29a640(_0x1baa55){const _0x7ecc31=_0x7efd85,_0x3a0b7d=_0x1baa55[_0x7ecc31(0xd8a)](_0xc6e108);if(_0x3a0b7d===-0x1)return;return parseInt(_0x1baa55[_0x7ecc31(0x2371)](_0x3a0b7d+_0xc6e108[_0x7ecc31(0xfd0)]+0x1));}let _0x383a52=_0x1bcee3(_0x40b172)||_0x7efd85(0x1713),_0x55dbf1=_0x29a640(_0x502a1b[_0x7efd85(0x1583)][_0x7efd85(0x28d)])||_0x29a640(_0x502a1b['navigator']['appVersion'])||'unknown-version',_0x3aef4d=_0x1bcee3(_0x143c31)||_0x7efd85(0x1191);return _0x383a52=_0x383a52[_0x7efd85(0x1680)](),_0x55dbf1=_0x383a52+'-'+_0x55dbf1,_0x3aef4d=_0x3aef4d[_0x7efd85(0x1680)](),_0x11e988={'browser':_0x383a52,'version':_0x55dbf1,'os':_0x3aef4d},_0x11e988;}function _0x14bafb(){const _0x406d4a=function(){const _0x2f7ac1=a0_0x5cbd;return((0x1+Math[_0x2f7ac1(0x47f)]())*0x10000||0x0)[_0x2f7ac1(0x147f)](0x10)[_0x2f7ac1(0x2371)](0x1);};return _0x406d4a()+_0x406d4a()+_0x406d4a()+_0x406d4a()+_0x406d4a()+_0x406d4a();}function _0x2ed043(){const _0x2e5803=a0_0x5cbd;return _0x3a3a5f[_0x2e5803(0x1ce6)]();}function _0x25e188(_0x30d7f9,_0x1e4983){const _0x541399=a0_0x5cbd;_0x1e4983[_0x541399(0xd8a)](_0x30d7f9)===-0x1?_0x1e4983['push'](_0x30d7f9):_0x1e4983[_0x541399(0x159c)](_0x1e4983['indexOf'](_0x30d7f9),0x1);}function _0x2e1a25(){const _0x2c5fff=a0_0x5cbd;return{'$gte':_0x543b5a()()[_0x2c5fff(0x1be0)](_0x2c5fff(0x26ae))['utcOffset'](0x0,!![])['format'](),'$lte':_0x543b5a()()[_0x2c5fff(0x1b4)](_0x2c5fff(0x26ae))[_0x2c5fff(0x17d9)](0x0,!![])['format']()};}}const _0x2e4c82=_0xc78b1e;;const _0x5da100=_0x5074a3['p']+_0x5537c6(0x2360);;_0x155487[_0x5537c6(0x15b6)]=['$mdSidenav'],_0x176f23['$inject']=[_0x5537c6(0x173),_0x5537c6(0x1a62)];function _0x176f23(_0x23c24d,_0x431992){const _0x411b48=_0x5537c6,_0x1805ed=this;_0x1805ed['themes']=_0x431992[_0x411b48(0x1e08)],_0x1805ed['layoutModes']=[{'label':_0x411b48(0x1aef),'value':'boxed'},{'label':_0x411b48(0x2490),'value':'wide'}],_0x1805ed['layoutStyles']=[{'label':_0x411b48(0x1a7b),'value':'verticalNavigation','figure':_0x411b48(0x18c9)},{'label':'Vertical\x20Navigation\x20with\x20Fullwidth\x20Toolbar','value':_0x411b48(0x252c),'figure':'/assets/images/theme-options/vertical-nav-with-full-toolbar.jpg'},{'label':_0x411b48(0x1112),'value':'verticalNavigationFullwidthToolbar2','figure':_0x411b48(0x1ee4)},{'label':_0x411b48(0x200c),'value':_0x411b48(0x1520),'figure':_0x411b48(0x1fba)},{'label':_0x411b48(0x9f0),'value':_0x411b48(0xda6),'figure':_0x411b48(0x283)},{'label':_0x411b48(0x1976),'value':_0x411b48(0x26a4),'figure':_0x411b48(0x2431)}],_0x1805ed[_0x411b48(0x15f3)]=_0x411b48(0x271b),_0x1805ed[_0x411b48(0x476)]=_0x23c24d['get'](_0x411b48(0x1422))||'verticalNavigation',_0x1805ed[_0x411b48(0x7a9)]=_0x550758,_0x1805ed[_0x411b48(0x21bd)]=_0x46446f,_0x1805ed[_0x411b48(0x154d)]=_0x2acd3e,_0x1805ed[_0x411b48(0xb76)]=_0x5eaf79;function _0x550758(_0x4bd099){const _0x386a5a=_0x411b48;_0x431992[_0x386a5a(0x7a9)](_0x4bd099);}function _0x46446f(){const _0x188ae8=_0x411b48;return _0x431992[_0x188ae8(0x1e08)]['active'];}function _0x2acd3e(){const _0x3e1c6a=_0x411b48,_0x45543d=angular[_0x3e1c6a(0x1853)](_0x3e1c6a(0x1ed9));_0x45543d[_0x3e1c6a(0x713)](_0x3e1c6a(0xbdc),_0x1805ed[_0x3e1c6a(0x15f3)]===_0x3e1c6a(0xbdc));}function _0x5eaf79(){const _0x28ec67=_0x411b48;_0x23c24d[_0x28ec67(0x135f)](_0x28ec67(0x1422),_0x1805ed['layoutStyle']),location['reload']();}}function _0x155487(_0x44f69b){const _0x5bd04b=_0x5537c6;return{'restrict':'E','scope':{},'controller':_0x5bd04b(0xeee),'templateUrl':_0x5da100,'compile':function(_0x457753){const _0x274fe3=_0x5bd04b;return _0x457753[_0x274fe3(0x1390)](_0x274fe3(0x2223)),function _0x1e945c(_0x596cdb){const _0x24b96b=_0x274fe3;function _0x387ea0(){const _0xee6a42=a0_0x5cbd;_0x44f69b('motion-theme-options')[_0xee6a42(0x2322)]();}_0x596cdb[_0x24b96b(0x24f3)]=_0x387ea0;};}};};_0xceb377[_0x5537c6(0x15b6)]=[_0x5537c6(0x173),_0x5537c6(0x1a21),'motionTheming'];function _0xceb377(_0x5c7d9a,_0xb5ae66,_0x5ded58){const _0x23b129={},_0x2f3b91={'generate':_0x53cdba,'rgba':_0x1051f9};return _0x2f3b91;function _0x53cdba(){const _0x20aa12=a0_0x5cbd,_0x1f9bdd=angular[_0x20aa12(0x17fe)](_0x5ded58[_0x20aa12(0x14e7)]()),_0x442c90=angular[_0x20aa12(0x17fe)](_0x5ded58[_0x20aa12(0x1ed5)]());angular['forEach'](_0x1f9bdd,function(_0x283bbc){const _0x144924=_0x20aa12;_0x23b129[_0x283bbc[_0x144924(0x16b6)]]={},angular[_0x144924(0xf90)](_0x283bbc[_0x144924(0x22bc)],function(_0x51afb4,_0x4496f7){const _0x192d4d=_0x144924;_0x23b129[_0x283bbc['name']][_0x4496f7]={'name':_0x51afb4['name'],'levels':{'default':{'color':_0x1051f9(_0x442c90[_0x51afb4[_0x192d4d(0x16b6)]][_0x51afb4[_0x192d4d(0x1dad)][_0x192d4d(0x24c8)]][_0x192d4d(0x327)]),'contrast1':_0x1051f9(_0x442c90[_0x51afb4[_0x192d4d(0x16b6)]][_0x51afb4[_0x192d4d(0x1dad)][_0x192d4d(0x24c8)]][_0x192d4d(0x664)],0x1),'contrast2':_0x1051f9(_0x442c90[_0x51afb4[_0x192d4d(0x16b6)]][_0x51afb4['hues']['default']][_0x192d4d(0x664)],0x2),'contrast3':_0x1051f9(_0x442c90[_0x51afb4[_0x192d4d(0x16b6)]][_0x51afb4[_0x192d4d(0x1dad)][_0x192d4d(0x24c8)]][_0x192d4d(0x664)],0x3),'contrast4':_0x1051f9(_0x442c90[_0x51afb4['name']][_0x51afb4[_0x192d4d(0x1dad)][_0x192d4d(0x24c8)]]['contrast'],0x4)},'hue1':{'color':_0x1051f9(_0x442c90[_0x51afb4['name']][_0x51afb4['hues'][_0x192d4d(0x2326)]][_0x192d4d(0x327)]),'contrast1':_0x1051f9(_0x442c90[_0x51afb4[_0x192d4d(0x16b6)]][_0x51afb4['hues'][_0x192d4d(0x2326)]][_0x192d4d(0x664)],0x1),'contrast2':_0x1051f9(_0x442c90[_0x51afb4[_0x192d4d(0x16b6)]][_0x51afb4[_0x192d4d(0x1dad)][_0x192d4d(0x2326)]][_0x192d4d(0x664)],0x2),'contrast3':_0x1051f9(_0x442c90[_0x51afb4[_0x192d4d(0x16b6)]][_0x51afb4['hues'][_0x192d4d(0x2326)]][_0x192d4d(0x664)],0x3),'contrast4':_0x1051f9(_0x442c90[_0x51afb4[_0x192d4d(0x16b6)]][_0x51afb4[_0x192d4d(0x1dad)][_0x192d4d(0x2326)]][_0x192d4d(0x664)],0x4)},'hue2':{'color':_0x1051f9(_0x442c90[_0x51afb4['name']][_0x51afb4[_0x192d4d(0x1dad)][_0x192d4d(0x18fa)]][_0x192d4d(0x327)]),'contrast1':_0x1051f9(_0x442c90[_0x51afb4[_0x192d4d(0x16b6)]][_0x51afb4[_0x192d4d(0x1dad)][_0x192d4d(0x18fa)]][_0x192d4d(0x664)],0x1),'contrast2':_0x1051f9(_0x442c90[_0x51afb4['name']][_0x51afb4[_0x192d4d(0x1dad)][_0x192d4d(0x18fa)]][_0x192d4d(0x664)],0x2),'contrast3':_0x1051f9(_0x442c90[_0x51afb4[_0x192d4d(0x16b6)]][_0x51afb4[_0x192d4d(0x1dad)][_0x192d4d(0x18fa)]][_0x192d4d(0x664)],0x3),'contrast4':_0x1051f9(_0x442c90[_0x51afb4['name']][_0x51afb4[_0x192d4d(0x1dad)]['hue-2']][_0x192d4d(0x664)],0x4)},'hue3':{'color':_0x1051f9(_0x442c90[_0x51afb4[_0x192d4d(0x16b6)]][_0x51afb4[_0x192d4d(0x1dad)][_0x192d4d(0x2036)]][_0x192d4d(0x327)]),'contrast1':_0x1051f9(_0x442c90[_0x51afb4[_0x192d4d(0x16b6)]][_0x51afb4['hues']['hue-3']][_0x192d4d(0x664)],0x1),'contrast2':_0x1051f9(_0x442c90[_0x51afb4[_0x192d4d(0x16b6)]][_0x51afb4[_0x192d4d(0x1dad)][_0x192d4d(0x2036)]][_0x192d4d(0x664)],0x2),'contrast3':_0x1051f9(_0x442c90[_0x51afb4[_0x192d4d(0x16b6)]][_0x51afb4['hues'][_0x192d4d(0x2036)]][_0x192d4d(0x664)],0x3),'contrast4':_0x1051f9(_0x442c90[_0x51afb4['name']][_0x51afb4[_0x192d4d(0x1dad)][_0x192d4d(0x2036)]][_0x192d4d(0x664)],0x4)}}};});}),_0xde62a1(_0x23b129);let _0x124d05={};angular[_0x20aa12(0xf90)](_0x23b129,function(_0x41119e,_0x476592){const _0x2c8a13=_0x20aa12;_0x124d05={},_0x124d05[_0x2c8a13(0x1f1e)]=_0x476592,angular[_0x2c8a13(0xf90)](_0x41119e,function(_0x53a84d,_0x12fd8d){const _0x15cd27=_0x2c8a13;angular[_0x15cd27(0xf90)](_0x53a84d[_0x15cd27(0x2376)],function(_0x26355a,_0xe151b7){angular['forEach'](_0x26355a,function(_0x5cd5da,_0x59823c){_0x124d05['@'+_0x12fd8d+_0x173103(_0xe151b7)+_0x173103(_0x59823c)]=_0x5cd5da;});});}),_0x174c3a(_0x124d05);});}function _0xde62a1(_0x38c8a5){const _0x5e024f=a0_0x5cbd,_0x1ffc23=angular[_0x5e024f(0x17fe)](_0x38c8a5);angular[_0x5e024f(0xf90)](_0x1ffc23,function(_0x3bbfb0){const _0x50cca7=_0x5e024f;angular[_0x50cca7(0xf90)](_0x3bbfb0,function(_0x2e1b4e,_0x3a2e63){const _0x1ae617=_0x50cca7;_0x3bbfb0[_0x3a2e63]=_0x2e1b4e[_0x1ae617(0x2376)],_0x3bbfb0[_0x3a2e63][_0x1ae617(0x28b8)]=_0x2e1b4e[_0x1ae617(0x2376)]['default'][_0x1ae617(0x28b8)],_0x3bbfb0[_0x3a2e63][_0x1ae617(0x26fc)]=_0x2e1b4e[_0x1ae617(0x2376)][_0x1ae617(0x24c8)][_0x1ae617(0x26fc)],_0x3bbfb0[_0x3a2e63][_0x1ae617(0x21aa)]=_0x2e1b4e['levels'][_0x1ae617(0x24c8)]['contrast2'],_0x3bbfb0[_0x3a2e63]['contrast3']=_0x2e1b4e[_0x1ae617(0x2376)][_0x1ae617(0x24c8)]['contrast3'],_0x3bbfb0[_0x3a2e63][_0x1ae617(0x165c)]=_0x2e1b4e[_0x1ae617(0x2376)]['default']['contrast4'],delete _0x3bbfb0[_0x3a2e63][_0x1ae617(0x24c8)];});}),_0x5ded58[_0x5e024f(0x2125)](_0x1ffc23);const _0xa5b653=_0x5c7d9a[_0x5e024f(0xbf7)](_0x5e024f(0x13cd));_0xa5b653?_0x5ded58[_0x5e024f(0x7a9)](_0xa5b653):_0x5ded58[_0x5e024f(0x7a9)](_0x5e024f(0x24c8));}function _0x174c3a(_0x645dde){const _0x51da59=a0_0x5cbd,_0x713dbb=_0x51da59(0xb2b),_0x286d31=new RegExp(Object[_0x51da59(0x1be5)](_0x645dde)['join']('|'),'gi'),_0x238e37=_0x713dbb[_0x51da59(0x288f)](_0x286d31,function(_0x5b177e){return _0x645dde[_0x5b177e];}),_0x1f6f33=angular[_0x51da59(0x1853)](_0x51da59(0x2083)),_0xff4584=angular[_0x51da59(0x1853)](_0x51da59(0x12b1));_0xff4584[_0x51da59(0x1d35)](_0x238e37),_0x1f6f33['append'](_0xff4584);}function _0x1051f9(_0x537680,_0x2846b5){const _0x3078c4=a0_0x5cbd,_0x5a2208=_0x2846b5||![];_0x537680['length']===0x4&&_0x537680[0x0]===0xff&&_0x537680[0x1]===0xff&&_0x537680[0x2]===0xff&&_0x537680[_0x3078c4(0x159c)](0x3,0x4);_0x5a2208&&(_0x537680=_0x34749c(_0x537680,_0x5a2208));if(_0x537680['length']===0x3)return _0x3078c4(0x1854)+_0x537680[_0x3078c4(0x1f66)](',')+')';else{if(_0x537680['length']===0x4)return _0x3078c4(0x49f)+_0x537680['join'](',')+')';else _0xb5ae66[_0x3078c4(0x218e)](_0x3078c4(0x24f)+_0x537680[_0x3078c4(0xfd0)]+'\x0a'+'The\x20array\x20must\x20have\x203\x20or\x204\x20colors.');}}function _0x34749c(_0x2639fc,_0xc9e6ab){const _0x267e0b=a0_0x5cbd,_0x1c23bb={'white':{0x1:'1',0x2:_0x267e0b(0xceb),0x3:_0x267e0b(0x22ce),0x4:_0x267e0b(0x1960)},'black':{0x1:_0x267e0b(0xb71),0x2:_0x267e0b(0x891),0x3:_0x267e0b(0x2521),0x4:_0x267e0b(0x1960)}};if(_0x2639fc[0x0]===0xff&&_0x2639fc[0x1]===0xff&&_0x2639fc[0x2]===0xff)_0x2639fc[0x3]=_0x1c23bb[_0x267e0b(0x1a76)][_0xc9e6ab];else _0x2639fc[0x0]===0x0&&_0x2639fc[0x1]===0x0&&_0x2639fc[0x2]===0x0&&(_0x2639fc[0x3]=_0x1c23bb[_0x267e0b(0x7f2)][_0xc9e6ab]);return _0x2639fc;}function _0x173103(_0x884688){return _0x884688['charAt'](0x0)['toUpperCase']()+_0x884688['slice'](0x1);}}const _0x2bf071=_0xceb377;;const _0x2cc786=[{'name':_0x5537c6(0x2596),'options':{0x32:_0x5537c6(0x403),0x64:_0x5537c6(0x129a),0xc8:_0x5537c6(0x1371),0x12c:_0x5537c6(0x146c),0x190:_0x5537c6(0xc0f),0x1f4:_0x5537c6(0x15ee),0x258:_0x5537c6(0x1a9e),0x2bc:_0x5537c6(0x268c),0x320:_0x5537c6(0x1a43),0x384:_0x5537c6(0x15a9),'A100':_0x5537c6(0x129a),'A200':_0x5537c6(0x1371),'A400':_0x5537c6(0xc0f),'A700':_0x5537c6(0x268c),'contrastDefaultColor':_0x5537c6(0xd84),'contrastDarkColors':_0x5537c6(0x1f91),'contrastStrongLightColors':'300\x20400'}},{'name':'motion-paleblue','options':{0x32:'#ececee',0x64:_0x5537c6(0x18cb),0xc8:_0x5537c6(0x1f73),0x12c:_0x5537c6(0x1ba9),0x190:_0x5537c6(0x9ac),0x1f4:_0x5537c6(0x1ba8),0x258:_0x5537c6(0x1d41),0x2bc:'#2d323e',0x320:_0x5537c6(0x1c5),0x384:_0x5537c6(0x1a8b),'A100':_0x5537c6(0x18cb),'A200':_0x5537c6(0x1f73),'A400':_0x5537c6(0x9ac),'A700':_0x5537c6(0x2512),'contrastDefaultColor':_0x5537c6(0xd84),'contrastDarkColors':_0x5537c6(0x1f91),'contrastStrongLightColors':_0x5537c6(0x2154)}}],_0x3704e8=_0x2cc786;;const _0x367f1e={'default':{'primary':{'name':_0x5537c6(0x297f),'hues':{'default':_0x5537c6(0x17e5),'hue-1':_0x5537c6(0x28fb),'hue-2':_0x5537c6(0x1029),'hue-3':'400'}},'accent':{'name':_0x5537c6(0x12fe),'hues':{'default':_0x5537c6(0x1029),'hue-1':_0x5537c6(0x85b),'hue-2':_0x5537c6(0x17e5),'hue-3':'A100'}},'warn':{'name':_0x5537c6(0x1a72)},'background':{'name':_0x5537c6(0x2495),'hues':{'default':_0x5537c6(0x1e11),'hue-1':_0x5537c6(0x1e11),'hue-2':'100','hue-3':_0x5537c6(0x204a)}}},'pinkTheme':{'primary':{'name':_0x5537c6(0x1139),'hues':{'default':_0x5537c6(0x175),'hue-1':'600','hue-2':'400','hue-3':'A100'}},'accent':{'name':_0x5537c6(0x2547),'hues':{'default':'400','hue-1':_0x5537c6(0x204a),'hue-2':_0x5537c6(0x1029),'hue-3':_0x5537c6(0x1e11)}},'warn':{'name':_0x5537c6(0x1025)},'background':{'name':_0x5537c6(0x2495),'hues':{'default':'A100','hue-1':_0x5537c6(0x1e11),'hue-2':_0x5537c6(0x28af),'hue-3':'300'}}},'tealTheme':{'primary':{'name':'motion-blue','hues':{'default':'900','hue-1':_0x5537c6(0x1029),'hue-2':_0x5537c6(0x28fb),'hue-3':_0x5537c6(0x1e11)}},'accent':{'name':_0x5537c6(0x15f2),'hues':{'default':_0x5537c6(0x28fb),'hue-1':_0x5537c6(0x85b),'hue-2':_0x5537c6(0x1029),'hue-3':_0x5537c6(0x1e11)}},'warn':{'name':'deep-orange'},'background':{'name':_0x5537c6(0x2495),'hues':{'default':_0x5537c6(0x1e11),'hue-1':_0x5537c6(0x1e11),'hue-2':_0x5537c6(0x28af),'hue-3':_0x5537c6(0x204a)}}}},_0x38f050=_0x367f1e;;_0x397b1f[_0x5537c6(0x15b6)]=[_0x5537c6(0x7a6),_0x5537c6(0x147c),_0x5537c6(0xedc)];function _0x397b1f(_0x4e6358,_0xcba0e5,_0x1e9122){const _0xd878d2=_0x5537c6;let _0x49fd9c;angular[_0xd878d2(0x1da7)]([_0xd878d2(0xfe3)])[_0xd878d2(0x1bbc)]([_0xd878d2(0x173),function(_0x168c5f){_0x49fd9c=_0x168c5f;}]);const _0x4ce91a=_0x49fd9c['getObject']('motion.customTheme');_0x4ce91a&&(_0x1e9122[_0xd878d2(0x197c)]=_0x4ce91a),_0x4e6358[_0xd878d2(0x71b)](!![]),angular['forEach'](_0xcba0e5,function(_0x2fa69d){const _0x455670=_0xd878d2;_0x4e6358[_0x455670(0xef7)](_0x2fa69d[_0x455670(0x16b6)],_0x2fa69d[_0x455670(0x2224)]);}),angular[_0xd878d2(0xf90)](_0x1e9122,function(_0x32b964,_0x418a57){const _0x5eda63=_0xd878d2;_0x4e6358[_0x5eda63(0xf7b)](_0x418a57)[_0x5eda63(0x1c04)](_0x32b964[_0x5eda63(0x1dc0)][_0x5eda63(0x16b6)],_0x32b964['primary'][_0x5eda63(0x1dad)])['accentPalette'](_0x32b964[_0x5eda63(0x1b01)][_0x5eda63(0x16b6)],_0x32b964[_0x5eda63(0x1b01)]['hues'])['warnPalette'](_0x32b964[_0x5eda63(0xf1b)][_0x5eda63(0x16b6)],_0x32b964[_0x5eda63(0xf1b)]['hues'])['backgroundPalette'](_0x32b964[_0x5eda63(0x19a5)][_0x5eda63(0x16b6)],_0x32b964['background'][_0x5eda63(0x1dad)]);});}const _0x22772f=_0x397b1f;;_0x896732[_0x5537c6(0x15b6)]=[_0x5537c6(0x173),_0x5537c6(0x1a21),_0x5537c6(0x4d2)];function _0x896732(_0x296af6,_0x2b9657,_0x4d9c74){const _0x67e3ab={'getRegisteredPalettes':_0x890678,'getRegisteredThemes':_0x2fef87,'setActiveTheme':_0x4e4a7c,'setThemesList':_0x2ed3cf,'themes':{'list':{},'active':{'name':'','theme':{}}}};return _0x67e3ab;function _0x890678(){const _0x1141a3=a0_0x5cbd;return _0x4d9c74[_0x1141a3(0x19ae)];}function _0x2fef87(){const _0xe490f2=a0_0x5cbd;return _0x4d9c74[_0xe490f2(0x1d28)];}function _0x4e4a7c(_0x4deb87){const _0x584623=a0_0x5cbd;if(angular['isUndefined'](_0x67e3ab[_0x584623(0x1e08)]['list'][_0x4deb87])){if(angular[_0x584623(0x2051)](_0x67e3ab[_0x584623(0x1e08)][_0x584623(0x240)][_0x584623(0x24c8)])){_0x2b9657[_0x584623(0x218e)](_0x584623(0x2492));return;}_0x2b9657['warn'](_0x584623(0x699)+_0x4deb87+_0x584623(0x19ab)),_0x67e3ab[_0x584623(0x1e08)][_0x584623(0x1a4b)][_0x584623(0x16b6)]=_0x584623(0x24c8),_0x67e3ab[_0x584623(0x1e08)]['active'][_0x584623(0xf7b)]=_0x67e3ab['themes'][_0x584623(0x240)][_0x584623(0x24c8)],_0x296af6[_0x584623(0x135f)](_0x584623(0x13cd),_0x67e3ab['themes'][_0x584623(0x1a4b)]['name']);return;}_0x67e3ab['themes'][_0x584623(0x1a4b)][_0x584623(0x16b6)]=_0x4deb87,_0x67e3ab[_0x584623(0x1e08)]['active'][_0x584623(0xf7b)]=_0x67e3ab[_0x584623(0x1e08)][_0x584623(0x240)][_0x4deb87],_0x296af6['put']('motion.selectedTheme',_0x4deb87);}function _0x2ed3cf(_0x198fb1){const _0x48f20f=a0_0x5cbd;_0x67e3ab['themes'][_0x48f20f(0x240)]=_0x198fb1;}}const _0x1b2978=_0x896732;;let _0x270d66=angular[_0x5537c6(0x9ab)](_0x5537c6(0x22ad),['ngAnimate',_0x5537c6(0x2ec),_0x5537c6(0xfe3),_0x5537c6(0x67f),_0x5537c6(0x444),_0x5537c6(0x273d),_0x5537c6(0x1f79),'pascalprecht.translate',_0x5537c6(0x1a26),_0x5537c6(0x833),_0x5537c6(0x15c7),_0x5537c6(0x29ba),_0x5537c6(0x216e)])[_0x5537c6(0x12ab)](_0x5537c6(0x488),_0x1670b3)[_0x5537c6(0xa60)](_0x4fd259)['run'](_0x5b3e40)[_0x5537c6(0x6e5)](_0x5537c6(0x15f7),_0x1d878c);_0x270d66=_0x1c74f0[_0x5537c6(0x6f9)]((_0x2e3e36,[_0x4d236c,_0x17be51,_0x29891f])=>{const _0x1660e6=_0x5537c6;switch(_0x29891f){case'directive':_0x2e3e36[_0x1660e6(0x2838)](_0x4d236c,_0x17be51);break;case'provider':_0x2e3e36['provider'](_0x4d236c,_0x17be51);break;case _0x1660e6(0x6e5):_0x2e3e36['controller'](_0x4d236c,_0x17be51);break;case _0x1660e6(0x234a):_0x2e3e36[_0x1660e6(0x234a)](_0x4d236c,_0x17be51);break;default:break;}return _0x2e3e36;},_0x270d66),_0x270d66[_0x5537c6(0x234a)]('quickFilterManager',_0x5ac8b0)['filter'](_0x5537c6(0x1790),_0xba8f6c)[_0x5537c6(0x1c99)](_0x5537c6(0xa3d),_0x53f8c5)[_0x5537c6(0x1c99)](_0x5537c6(0x87e),_0x2ae272)[_0x5537c6(0x1c99)]('nospace',_0x227ac6)[_0x5537c6(0x1c99)](_0x5537c6(0xcda),_0x3bd467)[_0x5537c6(0x1c99)]('capitalize',_0x396905)[_0x5537c6(0x1c99)](_0x5537c6(0x1e3),_0x51f5d9)[_0x5537c6(0x1c99)](_0x5537c6(0x2767),_0x6b0ce7)[_0x5537c6(0x1c99)](_0x5537c6(0x1971),_0x12f0e0)[_0x5537c6(0x1c99)]('startcase',_0xa5603f)[_0x5537c6(0x1c99)](_0x5537c6(0x227b),_0x65840f)[_0x5537c6(0x1c99)](_0x5537c6(0xebf),_0xe460d7)[_0x5537c6(0x12ab)](_0x5537c6(0x219c),_0x584ad6)[_0x5537c6(0x234a)](_0x5537c6(0x1e0b),_0x51139b)[_0x5537c6(0x234a)](_0x5537c6(0x2275),_0x452b06)[_0x5537c6(0x12ab)](_0x5537c6(0x158a),_0x461f3b)[_0x5537c6(0x234a)](_0x5537c6(0xde8),_0x2e4c82)['controller'](_0x5537c6(0x117a),_0x176f23)[_0x5537c6(0x2838)]('msThemeOptions',_0x155487)[_0x5537c6(0x234a)](_0x5537c6(0x19c5),_0x2bf071)[_0x5537c6(0x12bd)](_0x5537c6(0x147c),_0x3704e8)[_0x5537c6(0x12bd)](_0x5537c6(0xedc),_0x38f050)[_0x5537c6(0xa60)](_0x22772f)[_0x5537c6(0xdc1)](_0x5537c6(0x1a62),_0x1b2978);;_0x1670f9[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x173),_0x5537c6(0x9ca),_0x5537c6(0x1986),_0x5537c6(0x1d5f),_0x5537c6(0x1774),'api'];function _0x1670f9(_0x1ca7e3,_0x4df8a7,_0x2a8f72,_0x577488,_0x815172,_0x1dec98,_0x3aa2fe){const _0x14acd2=_0x5537c6,_0x38d32a=this;_0x38d32a[_0x14acd2(0xc89)]=angular[_0x14acd2(0x1853)]('body'),_0x38d32a[_0x14acd2(0x1681)]={'suppressScrollX':!![]},_0x38d32a[_0x14acd2(0x9ca)]=_0x2a8f72,_0x38d32a[_0x14acd2(0x29ae)]=_0x3aa2fe['baseUrl'],_0x38d32a['$onInit']=_0x4b42d6,_0x38d32a['toggleMsNavigationFolded']=_0x4169fb,_0x38d32a[_0x14acd2(0x25ef)]=_0x29fc1c,_0x577488['on'](_0x14acd2(0x1256),_0x1be3bc),_0x577488['on'](_0x14acd2(0x22d2),_0x1be3bc),_0x577488['on'](_0x14acd2(0x17a4),_0x57448e),_0x577488['on'](_0x14acd2(0x3c4),_0xf8081),_0x577488['on'](_0x14acd2(0x26b2),_0xf8081),_0x577488['on'](_0x14acd2(0x15ef),_0x5d4e4b);function _0x4b42d6(){const _0x50b11d=_0x14acd2;_0x38d32a[_0x50b11d(0x907)]=_0x4df8a7[_0x50b11d(0xbf7)]('motion.folded')===_0x50b11d(0x44d)||![];}function _0x4169fb(){const _0x4c6db7=_0x14acd2;_0x38d32a['folded']=!_0x38d32a[_0x4c6db7(0x907)],_0x4df8a7[_0x4c6db7(0x135f)]('motion.folded',_0x38d32a[_0x4c6db7(0x907)]);}function _0x29fc1c(){const _0x453f17=_0x14acd2;return _0x38d32a[_0x453f17(0x9ca)][_0x453f17(0x1d3d)]?_0x453f17(0x2915):_0x38d32a[_0x453f17(0x9ca)][_0x453f17(0x6d3)];}function _0x1be3bc(_0x1249eb){const _0x476aa5=_0x14acd2;if(_0x1249eb&&_0x1249eb['id']){const _0x195c74=0x1869f+_0x1249eb['id'];_0x815172[_0x476aa5(0x1a78)](_0x476aa5(0x1a75)+_0x195c74,{'id':_0x195c74,'title':_0x1249eb['name']||_0x476aa5(0x4cb),'state':_0x476aa5(0x7ae),'stateParams':_0x1249eb,'weight':0x2,'hidden':function(){const _0x48c39e=_0x476aa5;return _0x1dec98[_0x48c39e(0x22b6)](_0x48c39e(0x1eff))||!_0x1dec98['hasPermission'](_0x195c74)&&!_0x1dec98[_0x48c39e(0x22b6)]('admin');}});}}function _0x57448e(_0x34c213){const _0x44ec11=_0x14acd2;if(_0x34c213&&_0x34c213['id']){const _0x5d9168=0x1869f+_0x34c213['id'];_0x815172[_0x44ec11(0x1177)](_0x44ec11(0x1a75)+_0x5d9168);}}function _0xf8081(_0x52e4bf){const _0x1c20d7=_0x14acd2;if(_0x52e4bf&&_0x52e4bf['id']&&_0x52e4bf[_0x1c20d7(0x153c)]!='never'){if(_0x52e4bf[_0x1c20d7(0x1a4b)]){if(_0x52e4bf['sidebar']=='always'||_0x52e4bf['sidebar']==_0x1c20d7(0x1380)){_0x815172[_0x1c20d7(0x1a78)](_0x1c20d7(0x1846),{'id':0x76c,'title':'Plugins','translate':_0x1c20d7(0x281d),'icon':'icon-apps','weight':0x11,'hidden':function(){const _0x5c80a5=_0x1c20d7;return _0x1dec98[_0x5c80a5(0x22b6)](_0x5c80a5(0x1eff))||!_0x1dec98['hasPermission'](0x76c)&&!_0x1dec98['hasRole']('admin');}});const _0x26e084=0x15b38+_0x52e4bf['id'];_0x815172[_0x1c20d7(0x1a78)](_0x1c20d7(0x2694)+_0x26e084,{'id':_0x26e084,'title':_0x52e4bf[_0x1c20d7(0x16b6)]||_0x1c20d7(0x4cb),'state':_0x1c20d7(0x26b5),'stateParams':_0x52e4bf,'weight':0x2,'hidden':function(){const _0x16f7fd=_0x1c20d7;return _0x1dec98[_0x16f7fd(0x22b6)]('agent')||!_0x1dec98['hasPermission'](_0x26e084)&&!_0x1dec98[_0x16f7fd(0x22b6)](_0x16f7fd(0x1c60));}}),_0x815172[_0x1c20d7(0x1381)]();}}else{const _0x27a23a=0x15b38+_0x52e4bf['id'];_0x43499c(0x76c,_0x27a23a)&&(_0x52e4bf['sidebar']==_0x1c20d7(0x2017)||_0x52e4bf[_0x1c20d7(0x153c)]==_0x1c20d7(0x1380))&&(_0x815172[_0x1c20d7(0x1177)](_0x1c20d7(0x2694)+_0x27a23a),!_0x228d65(0x76c)&&_0x815172[_0x1c20d7(0x1a78)](_0x1c20d7(0x1846),{'id':0x76c,'title':_0x1c20d7(0x27e6),'translate':_0x1c20d7(0x281d),'icon':_0x1c20d7(0x33e),'weight':0x11,'hidden':function(){return!![];}}));}}}function _0x5d4e4b(_0x593fc5){const _0x11a09d=_0x14acd2;if(_0x593fc5&&_0x593fc5['id']&&_0x593fc5[_0x11a09d(0x153c)]!='never'){const _0x34bf46=0x15b38+_0x593fc5['id'];(_0x593fc5['sidebar']==_0x11a09d(0x2017)||_0x593fc5[_0x11a09d(0x153c)]==_0x11a09d(0x1380))&&(_0x815172[_0x11a09d(0x1177)](_0x11a09d(0x2694)+_0x34bf46),!_0x228d65(0x76c)&&_0x815172[_0x11a09d(0x1a78)]('apps.plugins',{'id':0x76c,'title':'Plugins','translate':'PLUGINS.PLUGINS','icon':'icon-apps','weight':0x11,'hidden':function(){return!![];}}));}}function _0x228d65(_0x3715b0){const _0x17bba9=_0x14acd2,_0x508b5e=_0x815172[_0x17bba9(0x221)](),_0x4281df=_0x39641b()[_0x17bba9(0x13b4)](_0x508b5e[0x0][_0x17bba9(0x27a5)],{'id':_0x3715b0});return _0x4281df&&_0x4281df[_0x17bba9(0x27a5)][_0x17bba9(0xfd0)]>0x0?!![]:![];}function _0x43499c(_0x42da04,_0x59948f){const _0x576e8a=_0x14acd2,_0x2328cd=_0x815172[_0x576e8a(0x221)](),_0x4cd714=_0x39641b()[_0x576e8a(0x13b4)](_0x2328cd[0x0][_0x576e8a(0x27a5)],{'id':_0x42da04});if(!_0x4cd714)return![];return _0x39641b()[_0x576e8a(0x727)](_0x4cd714[_0x576e8a(0x27a5)],{'id':_0x59948f});}_0x1ca7e3[_0x14acd2(0x1d6)](_0x14acd2(0x19fa),function(){const _0x259665=_0x14acd2;_0x38d32a['bodyEl'][_0x259665(0x1e55)](_0x259665(0x1982));});}const _0x13b90a=_0x1670f9;;function _0x170333(){}angular[_0x5537c6(0x9ab)](_0x5537c6(0x1f2b),[])[_0x5537c6(0xa60)](_0x170333)[_0x5537c6(0x6e5)](_0x5537c6(0x1841),_0x13b90a);;_0x45c237[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0xcb9),_0x5537c6(0x200d),_0x5537c6(0x142b),_0x5537c6(0xebe),'setting',_0x5537c6(0x28d5)];function _0x45c237(_0x367045,_0x348d60,_0x2ab069,_0x422db1,_0x2d9770,_0x53802a,_0x26dda2){const _0x1d661a=_0x5537c6,_0x3fbd34=this;_0x3fbd34[_0x1d661a(0x1a7c)]=[],_0x3fbd34[_0x1d661a(0xebe)]=_0x2d9770,_0x3fbd34[_0x1d661a(0x9ca)]=_0x53802a,_0x3fbd34['reset']=_0x26dda2,_0x3fbd34[_0x1d661a(0x1b0c)]=_0x3fbd34['setting'][_0x1d661a(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x3fbd34[_0x1d661a(0x15a4)]=_0x7921ed,_0x3fbd34[_0x1d661a(0xda0)]=_0x349e45,_0x367045[_0x1d661a(0x614)](_0x1d661a(0x81a),function(_0x110306){const _0x3a3b96=_0x1d661a;!_0x39641b()[_0x3a3b96(0x958)](_0x3fbd34[_0x3a3b96(0xf0f)])&&(_0x110306===_0x3fbd34[_0x3a3b96(0xf0f)]?_0x367045[_0x3a3b96(0x12a5)][_0x3a3b96(0x381)][_0x3a3b96(0x92f)](_0x3a3b96(0x1e13),![]):_0x367045[_0x3a3b96(0x12a5)][_0x3a3b96(0x381)][_0x3a3b96(0x92f)](_0x3a3b96(0x1e13),!![]));});function _0x7921ed(){const _0x3d0be4=_0x1d661a;_0x3fbd34[_0x3d0be4(0x1a7c)]=[],_0x422db1[_0x3d0be4(0xebe)][_0x3d0be4(0xcad)]({'id':_0x3fbd34[_0x3d0be4(0xebe)]['id']},{'oldPassword':_0x3fbd34[_0x3d0be4(0xf0f)],'newPassword':_0x3fbd34[_0x3d0be4(0x381)]})[_0x3d0be4(0x1d77)][_0x3d0be4(0x1cb0)](function(){const _0x5ad4c7=_0x3d0be4;_0x2ab069[_0x5ad4c7(0xe27)](_0x2ab069[_0x5ad4c7(0x157c)]()[_0x5ad4c7(0x1152)](_0x5ad4c7(0xee1))[_0x5ad4c7(0xe9e)]('top\x20right')['hideDelay'](0x1388)),_0x349e45();})['catch'](function(_0x116e81){const _0x595fae=_0x3d0be4;console['error'](_0x116e81),_0x3fbd34[_0x595fae(0x1a7c)]=_0x116e81[_0x595fae(0x25c)][_0x595fae(0x1a7c)]&&_0x116e81[_0x595fae(0x25c)]['errors'][_0x595fae(0xfd0)]?_0x116e81[_0x595fae(0x25c)]['errors']:[{'message':_0x116e81[_0x595fae(0x25c)][_0x595fae(0x155e)]||_0x595fae(0xb9a),'type':'api.user.changePassword'}];});}function _0x349e45(){const _0x2f2982=_0x1d661a;_0x348d60[_0x2f2982(0x1426)]();}}const _0x697786=_0x45c237;;_0x5d6b67[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),'$mdDialog',_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x2772),_0x5537c6(0x2242)];function _0x5d6b67(_0x3e827c,_0x4d31e2,_0x1437a1,_0x240cb6,_0xe89e48,_0x4128ae,_0x3e8045,_0x302435){const _0x4c9c5a=_0x5537c6,_0x28dad1=this;_0x28dad1[_0x4c9c5a(0x651)]=_0x302435&&_0x302435[_0x4c9c5a(0x2214)]?_0x39641b()[_0x4c9c5a(0x1db)](_0x39641b()[_0x4c9c5a(0x2631)](_0x302435[_0x4c9c5a(0x2214)],function(_0x3ad6ab){const _0x398c4d=_0x4c9c5a;return _0x398c4d(0x1e4b)+_0x3ad6ab['id'];}),_0x4c9c5a(0x16b6)):{},_0x28dad1[_0x4c9c5a(0x1a7c)]=[],_0x28dad1['hopperIds']=[],_0x28dad1[_0x4c9c5a(0x2772)]=_0x3e8045,_0x28dad1[_0x4c9c5a(0x2315)]=![],_0x28dad1[_0x4c9c5a(0xd79)]=![],_0x28dad1['disableGetContact']=![],_0x28dad1['hopperIds']['push'](_0x28dad1[_0x4c9c5a(0x2772)]['id']),_0x28dad1[_0x4c9c5a(0x22b5)]=_0x4f804a,_0x28dad1[_0x4c9c5a(0xda0)]=_0x20ce80,_0x28dad1[_0x4c9c5a(0x1e21)]=_0x3df87b,_0x28dad1[_0x4c9c5a(0x1050)]=_0x16b80e,_0x28dad1[_0x4c9c5a(0x7bd)]=_0x823f41,_0x28dad1['isCustomField']=_0x9a6c04,_0x28dad1[_0x4c9c5a(0x75f)]=_0x79f9b7,_0x28dad1[_0x4c9c5a(0x958)]=_0x17f9fe;function _0x17f9fe(_0x53ad49){const _0x326a46=_0x4c9c5a;return _0x39641b()[_0x326a46(0x958)](_0x53ad49);}function _0x9a6c04(_0x3096e6){const _0x227ab1=_0x4c9c5a;return _0x39641b()[_0x227ab1(0x1f2c)](_0x3096e6,_0x227ab1(0x1e4b));}function _0x79f9b7(_0x4a3d11){const _0x31f77c=_0x4c9c5a;return _0x39641b()['includes'](['Company','CompanyId',_0x31f77c(0x20a6),_0x31f77c(0x21ab),_0x31f77c(0x24cb),'deletedAt','id',_0x31f77c(0x521),'scheduledat',_0x31f77c(0xfbf),_0x31f77c(0x27ba),_0x31f77c(0x55f),_0x31f77c(0x1c13),_0x31f77c(0x4dd),_0x31f77c(0x27f9),_0x31f77c(0xef9),'viber',_0x31f77c(0x1715),_0x31f77c(0x2652)],_0x4a3d11);}function _0x4f804a(){const _0x21f0a3=_0x4c9c5a;_0x28dad1[_0x21f0a3(0x2315)]=!![],_0x28dad1[_0x21f0a3(0xd79)]=!![],_0x39641b()[_0x21f0a3(0xce9)](_0x28dad1['preview'])?(_0xe89e48[_0x21f0a3(0x218e)]({'title':_0x21f0a3(0x27df),'msg':_0x21f0a3(0x162d)}),_0x28dad1['disable']=![],_0x28dad1['hopperIds']=[],_0x20ce80(!![])):_0x4128ae['rpc'][_0x21f0a3(0x189f)]({'id':_0x28dad1[_0x21f0a3(0x2772)]['id']})[_0x21f0a3(0x1d77)][_0x21f0a3(0x1cb0)](function(){_0x20ce80(!![]);})[_0x21f0a3(0x1c4)](function(_0x2d37e6){const _0x5e8ad6=_0x21f0a3;_0xe89e48['error']({'title':_0x5e8ad6(0x27df),'msg':_0x2d37e6[_0x5e8ad6(0x25c)]}),_0x20ce80(!![]);})[_0x21f0a3(0x2e0)](function(){const _0x5a068b=_0x21f0a3;_0x28dad1[_0x5a068b(0xd79)]=![],_0x28dad1[_0x5a068b(0x259a)]=[];});}function _0x20ce80(_0x4a37fa){const _0x3e5188=_0x4c9c5a;_0x39641b()['isNil'](_0x4a37fa)&&_0x4128ae[_0x3e5188(0x26bc)]['update']({'id':_0x28dad1[_0x3e5188(0x2772)]['id'],'UserId':null}),_0x240cb6[_0x3e5188(0x1426)]();}_0x3e827c[_0x4c9c5a(0x1d6)](_0x4c9c5a(0x291c),function(){const _0xac890f=_0x4c9c5a;!_0x28dad1[_0xac890f(0x2315)]&&_0x4128ae[_0xac890f(0x26bc)][_0xac890f(0x687)]({'id':_0x28dad1[_0xac890f(0x2772)]['id'],'UserId':null});});function _0x3df87b(){const _0x4414ce=_0x4c9c5a;return _0x28dad1['disableGetContact']=!![],_0x4128ae[_0x4414ce(0x26bc)][_0x4414ce(0xd85)]({'hopperIds':_0x28dad1[_0x4414ce(0x259a)],'direction':_0x4414ce(0x2bf)})[_0x4414ce(0x1d77)][_0x4414ce(0x1cb0)](function(_0x558ad6){const _0x17a6be=_0x4414ce;_0x28dad1[_0x17a6be(0x76f)]=![],!_0x39641b()[_0x17a6be(0x958)](_0x558ad6)&&!_0x39641b()[_0x17a6be(0x958)](_0x558ad6[_0x17a6be(0x2687)])&&_0x558ad6[_0x17a6be(0x2687)][_0x17a6be(0x737)]?(!_0x28dad1[_0x17a6be(0x2315)]&&_0x4128ae[_0x17a6be(0x26bc)][_0x17a6be(0x687)]({'id':_0x28dad1[_0x17a6be(0x2772)]['id'],'UserId':null}),_0x28dad1[_0x17a6be(0x2772)]=_0x558ad6,_0x28dad1[_0x17a6be(0x259a)][_0x17a6be(0x2785)](_0x28dad1[_0x17a6be(0x2772)]['id'])):(_0x28dad1[_0x17a6be(0x259a)]=[],_0x240cb6['hide'](),_0xe89e48['info']({'title':'PREVIEW','msg':_0x17a6be(0x267)}));})['catch'](function(_0x588b17){const _0x1e1264=_0x4414ce;_0x28dad1[_0x1e1264(0x76f)]=![],_0x28dad1[_0x1e1264(0x259a)]=[];if(_0x588b17[_0x1e1264(0x291)]===0x194)_0x28dad1['getFirstAvailableContact']();else{if(_0x588b17['data']&&_0x588b17[_0x1e1264(0x25c)][_0x1e1264(0x1a7c)]&&_0x588b17[_0x1e1264(0x25c)]['errors'][_0x1e1264(0xfd0)]){_0x240cb6[_0x1e1264(0x1426)]();for(let _0x66b665=0x0;_0x66b665<_0x588b17[_0x1e1264(0x25c)][_0x1e1264(0x1a7c)][_0x1e1264(0xfd0)];_0x66b665+=0x1){_0xe89e48['error']({'title':_0x588b17[_0x1e1264(0x25c)][_0x1e1264(0x1a7c)][_0x66b665][_0x1e1264(0x66a)],'msg':_0x588b17[_0x1e1264(0x25c)]['errors'][_0x66b665][_0x1e1264(0x155e)]});}}else _0x240cb6[_0x1e1264(0x1426)](),_0xe89e48[_0x1e1264(0x218e)]({'title':_0x588b17[_0x1e1264(0x291)]?_0x1e1264(0xeb9)+_0x588b17['status']+_0x1e1264(0x1657)+_0x588b17['statusText']:_0x1e1264(0x8b9),'msg':_0x588b17[_0x1e1264(0x25c)]?JSON[_0x1e1264(0x2701)](_0x588b17[_0x1e1264(0x25c)][_0x1e1264(0x155e)]):_0x588b17['toString']()});}});}function _0x16b80e(){const _0x4538ac=_0x4c9c5a;_0x28dad1[_0x4538ac(0x76f)]=!![];if(_0x28dad1['hopperIds'][_0x4538ac(0xfd0)]>0x1){const _0x1fcecf=_0x39641b()[_0x4538ac(0x29c8)](_0x28dad1[_0x4538ac(0x259a)],_0x28dad1[_0x4538ac(0x2772)]['id']);return _0x4128ae['cmHopper'][_0x4538ac(0xd85)]({'hopperIds':_0x1fcecf,'direction':_0x4538ac(0x252)})[_0x4538ac(0x1d77)][_0x4538ac(0x1cb0)](function(_0x1eb7ef){const _0x25797f=_0x4538ac;_0x28dad1[_0x25797f(0x76f)]=![],!_0x39641b()[_0x25797f(0x958)](_0x1eb7ef)&&!_0x39641b()[_0x25797f(0x958)](_0x1eb7ef[_0x25797f(0x2687)])&&_0x1eb7ef[_0x25797f(0x2687)][_0x25797f(0x737)]?(_0x39641b()[_0x25797f(0x152a)](_0x28dad1[_0x25797f(0x259a)],function(_0x21e147){const _0x2bff66=_0x25797f;return _0x21e147==_0x28dad1[_0x2bff66(0x2772)]['id'];}),!_0x28dad1[_0x25797f(0x2315)]&&_0x4128ae[_0x25797f(0x26bc)][_0x25797f(0x687)]({'id':_0x28dad1[_0x25797f(0x2772)]['id'],'UserId':null}),_0x28dad1[_0x25797f(0x2772)]=_0x1eb7ef):(_0x28dad1['hopperIds']=[],_0x240cb6[_0x25797f(0x1426)](),_0xe89e48['info']({'title':_0x25797f(0x1d5b),'msg':_0x25797f(0x267)}));})[_0x4538ac(0x1c4)](function(_0x19a313){const _0x260f16=_0x4538ac;if(_0x19a313[_0x260f16(0x291)]===0x194)_0x28dad1[_0x260f16(0x259a)]=[_0x28dad1['preview']['id']],_0xe89e48[_0x260f16(0x28c7)]({'title':_0x260f16(0x1d5b),'msg':_0x260f16(0x1d3b)});else{if(_0x19a313[_0x260f16(0x25c)]&&_0x19a313[_0x260f16(0x25c)][_0x260f16(0x1a7c)]&&_0x19a313['data']['errors'][_0x260f16(0xfd0)]){_0x28dad1[_0x260f16(0x259a)]=[],_0x240cb6[_0x260f16(0x1426)]();for(let _0x38671b=0x0;_0x38671b<_0x19a313[_0x260f16(0x25c)]['errors'][_0x260f16(0xfd0)];_0x38671b+=0x1){_0xe89e48['error']({'title':_0x19a313['data'][_0x260f16(0x1a7c)][_0x38671b]['type'],'msg':_0x19a313[_0x260f16(0x25c)]['errors'][_0x38671b][_0x260f16(0x155e)]});}}else _0x28dad1[_0x260f16(0x259a)]=[],_0x240cb6['hide'](),_0xe89e48[_0x260f16(0x218e)]({'title':_0x19a313['status']?'API:'+_0x19a313[_0x260f16(0x291)]+_0x260f16(0x1657)+_0x19a313[_0x260f16(0xc22)]:_0x260f16(0x8b9),'msg':_0x19a313['data']?JSON['stringify'](_0x19a313[_0x260f16(0x25c)]['message']):_0x19a313[_0x260f16(0x147f)]()});}});}}function _0x823f41(){const _0x3657b8=_0x4c9c5a;return _0x28dad1[_0x3657b8(0x76f)]=!![],_0xe89e48[_0x3657b8(0x28c7)]({'title':'PREVIEW','msg':'CONTACTS\x20NOT\x20AVAILABLE.\x20REWINDING\x20THE\x20LIST'}),_0x4128ae[_0x3657b8(0x26bc)][_0x3657b8(0xd85)]({'hopperIds':[_0x28dad1[_0x3657b8(0x2772)]['id']],'direction':_0x3657b8(0x2bf)})['$promise']['then'](function(_0x217047){const _0x20ecce=_0x3657b8;!_0x39641b()[_0x20ecce(0x958)](_0x217047)&&!_0x39641b()[_0x20ecce(0x958)](_0x217047['Queue'])&&_0x217047[_0x20ecce(0x2687)][_0x20ecce(0x737)]?(!_0x28dad1[_0x20ecce(0x2315)]&&_0x4128ae['cmHopper'][_0x20ecce(0x687)]({'id':_0x28dad1[_0x20ecce(0x2772)]['id'],'UserId':null}),_0x28dad1[_0x20ecce(0x2772)]=_0x217047,_0x28dad1[_0x20ecce(0x259a)][_0x20ecce(0x2785)](_0x28dad1[_0x20ecce(0x2772)]['id'])):(_0x240cb6[_0x20ecce(0x1426)](),_0xe89e48[_0x20ecce(0x28c7)]({'title':_0x20ecce(0x1d5b),'msg':'CAMPAIGN\x20NOT\x20ACTIVE'}));})[_0x3657b8(0x1c4)](function(_0x8ecd13){const _0x5bbd65=_0x3657b8;_0x240cb6[_0x5bbd65(0x1426)]();if(_0x8ecd13[_0x5bbd65(0x291)]===0x194)_0xe89e48['info']({'title':_0x5bbd65(0x1d5b),'msg':_0x5bbd65(0x216)});else{if(_0x8ecd13[_0x5bbd65(0x25c)]&&_0x8ecd13['data'][_0x5bbd65(0x1a7c)]&&_0x8ecd13['data'][_0x5bbd65(0x1a7c)][_0x5bbd65(0xfd0)])for(let _0x1c132f=0x0;_0x1c132f<_0x8ecd13[_0x5bbd65(0x25c)][_0x5bbd65(0x1a7c)][_0x5bbd65(0xfd0)];_0x1c132f+=0x1){_0xe89e48['error']({'title':_0x8ecd13[_0x5bbd65(0x25c)][_0x5bbd65(0x1a7c)][_0x1c132f][_0x5bbd65(0x66a)],'msg':_0x8ecd13[_0x5bbd65(0x25c)]['errors'][_0x1c132f][_0x5bbd65(0x155e)]});}else _0xe89e48[_0x5bbd65(0x218e)]({'title':_0x8ecd13[_0x5bbd65(0x291)]?_0x5bbd65(0xeb9)+_0x8ecd13[_0x5bbd65(0x291)]+'\x20-\x20'+_0x8ecd13[_0x5bbd65(0xc22)]:_0x5bbd65(0x8b9),'msg':_0x8ecd13[_0x5bbd65(0x25c)]?JSON[_0x5bbd65(0x2701)](_0x8ecd13[_0x5bbd65(0x25c)][_0x5bbd65(0x155e)]):_0x8ecd13[_0x5bbd65(0x147f)]()});}})[_0x3657b8(0x2e0)](function(){const _0x53a86b=_0x3657b8;_0x28dad1[_0x53a86b(0x76f)]=![];});}}const _0xf5a4dd=_0x5d6b67;;const _0x1926bd=_0x5074a3['p']+_0x5537c6(0x186d);;const _0x3f74ae=_0x5074a3['p']+_0x5537c6(0x1317);;_0x5b2ed0[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1196),'$q',_0x5537c6(0x406),_0x5537c6(0x2168),_0x5537c6(0x21c8),_0x5537c6(0x417),_0x5537c6(0x1ae),'$mdToast',_0x5537c6(0x1f10),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x173),_0x5537c6(0x9bf),_0x5537c6(0x1d5f),_0x5537c6(0x1774),_0x5537c6(0x1986),_0x5537c6(0x142b),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1714)];function _0x5b2ed0(_0x486a4e,_0x393758,_0x322d41,_0x2664ce,_0x176e53,_0x50f076,_0x5a9f81,_0x47675c,_0x8a8e97,_0x2f4c77,_0x25d1b3,_0x1ab054,_0x559705,_0xd1a5a6,_0x40e03b,_0x36da2d,_0x5e1e59,_0x5858c5,_0x4e7cb4,_0x2eb8e9,_0x1c97c3){const _0x55040a=_0x5537c6,_0x52d51d=this;_0x52d51d[_0x55040a(0x278a)]={},_0x52d51d['polling']=!![],_0x52d51d['baseUrl']=_0x5858c5[_0x55040a(0x29ae)];let _0x367394;_0x393758[_0x55040a(0xbe8)]={'search':''},_0x52d51d[_0x55040a(0x8de)]=[],_0x52d51d[_0x55040a(0x8a5)]=_0x4e7cb4,_0x52d51d['currentUser']=_0x36da2d[_0x55040a(0x21e8)](),_0x52d51d[_0x55040a(0xbec)]=0x0,_0x52d51d[_0x55040a(0x2133)]=0x0,_0x52d51d['contcts']=0x0;_0x52d51d[_0x55040a(0xe76)]['role']===_0x55040a(0x1eff)&&(_0x367394=_0x1c97c3(_0x4d6f1e,0x3a97));_0x52d51d['setting']=_0x2eb8e9,_0x52d51d[_0x55040a(0xc89)]=angular[_0x55040a(0x1853)](_0x55040a(0x1ed9)),_0x52d51d[_0x55040a(0x147a)]={'realm':_0x55040a(0xfe2),'host':_0x50f076[_0x55040a(0x17d8)](),'protocol':_0x50f076['protocol'](),'remotePlayerId':_0x55040a(0x2457),'ua':_0x55040a(0x1e89),'license':_0x52d51d[_0x55040a(0x8a5)][_0x55040a(0x110c)],'phoneBarExpires':_0x52d51d[_0x55040a(0xe76)][_0x55040a(0x13ca)],'phoneBarPrefixRequired':_0x52d51d[_0x55040a(0xe76)][_0x55040a(0x1a1e)]},_0x52d51d[_0x55040a(0xae2)]={'id':_0x36da2d[_0x55040a(0x21e8)]()['id'],'fields':_0x55040a(0x776),'channel':_0x55040a(0x1fd4),'nolimit':!![]},_0x52d51d[_0x55040a(0x3a3)]=[{'title':'Online','icon':'icon-checkbox-marked-circle','color':'#4CAF50'},{'title':_0x55040a(0x54b),'icon':_0x55040a(0x228a),'color':'#FFC107'},{'title':_0x55040a(0x1fd7),'icon':'icon-minus-circle','color':_0x55040a(0x229c)},{'title':'Invisible','icon':_0x55040a(0x23bc),'color':'#BDBDBD'},{'title':_0x55040a(0xa30),'icon':'icon-checkbox-blank-circle-outline','color':_0x55040a(0x75c)}],_0x52d51d['languages']={'ar':{'title':_0x55040a(0x18ad),'translation':_0x55040a(0x1b36),'code':'ar','flag':'ar'},'da':{'title':'Danish','translation':_0x55040a(0x1c73),'code':'da','flag':'da'},'de':{'title':_0x55040a(0x41b),'translation':_0x55040a(0x201c),'code':'de','flag':'de'},'en':{'title':_0x55040a(0xc8b),'translation':_0x55040a(0x6d7),'code':'en','flag':'us'},'es':{'title':_0x55040a(0x2672),'translation':_0x55040a(0x1bdb),'code':'es','flag':'es'},'fa':{'title':_0x55040a(0x2825),'translation':_0x55040a(0x19ac),'code':'fa','flag':'fa'},'fi':{'title':_0x55040a(0x1c65),'translation':_0x55040a(0x2623),'code':'fi','flag':'fi'},'fr':{'title':_0x55040a(0x19a),'translation':_0x55040a(0xff1),'code':'fr','flag':'fr'},'he':{'title':_0x55040a(0x1dc2),'translation':'TOOLBAR.HEBREW','code':'he','flag':'he'},'hi':{'title':_0x55040a(0x1265),'translation':_0x55040a(0xda2),'code':'hi','flag':'hi'},'id':{'title':_0x55040a(0x600),'translation':'TOOLBAR.INDONESIAN','code':'id','flag':'id'},'it':{'title':'Italian','translation':_0x55040a(0xb0a),'code':'it','flag':'it'},'ja':{'title':_0x55040a(0x243f),'translation':_0x55040a(0x590),'code':'ja','flag':'ja'},'ko':{'title':_0x55040a(0x14f3),'translation':'TOOLBAR.KOREAN','code':'ko','flag':'ko'},'lv':{'title':'Latvian','translation':_0x55040a(0x1fe9),'code':'lv','flag':'lv'},'nl':{'title':_0x55040a(0x2027),'translation':_0x55040a(0x19a6),'code':'nl','flag':'nl'},'no':{'title':'Norwegian','translation':_0x55040a(0x23b8),'code':'no','flag':'no'},'pl':{'title':_0x55040a(0x2960),'translation':_0x55040a(0x1ac7),'code':'pl','flag':'pl'},'pt-BR':{'title':_0x55040a(0x27f3),'translation':_0x55040a(0x76d),'code':'pt-BR','flag':_0x55040a(0x183f)},'pt-PT':{'title':_0x55040a(0x150e),'translation':_0x55040a(0xd4e),'code':_0x55040a(0x272f),'flag':_0x55040a(0x272f)},'ru':{'title':_0x55040a(0x1dec),'translation':_0x55040a(0x2560),'code':'ru','flag':'ru'},'sv':{'title':'Swedish','translation':'TOOLBAR.SWEDISH','code':'sv','flag':'sv'},'tr':{'title':_0x55040a(0x1543),'translation':_0x55040a(0x2586),'code':'tr','flag':'tr'},'zh-CN':{'title':_0x55040a(0xd9b),'translation':'TOOLBAR.CHINESESIMPLIFIED','code':_0x55040a(0x1261),'flag':_0x55040a(0x1261)},'zh-TW':{'title':_0x55040a(0x1246),'translation':'TOOLBAR.CHINESETRADITIONAL','code':_0x55040a(0x517),'flag':_0x55040a(0x517)}};const _0x3d4375=['ar','fa','he'];_0x52d51d[_0x55040a(0x231b)]=_0x3c57f6,_0x52d51d['logout']=_0x109cd2,_0x52d51d[_0x55040a(0x4a7)]=_0xa01ee2,_0x52d51d['setUserStatus']=_0x526a5f,_0x52d51d[_0x55040a(0x437)]=_0x1bb268,_0x52d51d[_0x55040a(0x1c05)]=_0x30e556,_0x52d51d[_0x55040a(0x24be)]=_0x9140e2,_0x52d51d[_0x55040a(0x1c6d)]=_0x157cc8,_0x52d51d[_0x55040a(0x714)]=_0x17c788,_0x52d51d[_0x55040a(0xcad)]=_0x4ae753,_0x52d51d['isAgent']=_0x1ce9ef,_0x52d51d[_0x55040a(0xb1a)]=_0x4f281d,_0x52d51d[_0x55040a(0xdf7)]=_0x203229,_0x52d51d[_0x55040a(0x785)]=_0x2f4f44,_0x52d51d[_0x55040a(0xf5d)]=_0x1e4f6e,_0x52d51d['preview']=_0x13de6d,_0x52d51d['getLogo']=_0xfde436,_0x52d51d[_0x55040a(0x1208)]=_0x3fdde7,_0x52d51d['onInternalMessageSave']=_0x1716ce,_0x52d51d[_0x55040a(0x2a8)]=_0x3e1e02,_0x52d51d[_0x55040a(0x20b7)]=_0x369ba8,_0x52d51d[_0x55040a(0x5ff)]=_0x379844,_0x58cf15(),_0x2b5c9a(),_0x482617();function _0x58cf15(){const _0x6d1e5a=_0x55040a;_0x52d51d[_0x6d1e5a(0xebe)]=_0x36da2d[_0x6d1e5a(0x21e8)](),_0x52d51d[_0x6d1e5a(0x147a)][_0x6d1e5a(0xb7f)]=_0x52d51d['user']['md5secret'],_0x52d51d[_0x6d1e5a(0x147a)]['id']=_0x52d51d[_0x6d1e5a(0xebe)]['id'],_0x52d51d['conf']['name']=_0x52d51d['user'][_0x6d1e5a(0x16b6)],_0x52d51d[_0x6d1e5a(0x147a)]['fullname']=_0x52d51d['user'][_0x6d1e5a(0x1d14)],_0x52d51d[_0x6d1e5a(0x147a)]['internal']=_0x52d51d[_0x6d1e5a(0xebe)][_0x6d1e5a(0xdbd)],_0x52d51d['conf'][_0x6d1e5a(0x1b6f)]=_0x52d51d[_0x6d1e5a(0xebe)][_0x6d1e5a(0x1f67)],_0x52d51d[_0x6d1e5a(0x147a)][_0x6d1e5a(0x1d39)]=_0x52d51d[_0x6d1e5a(0xebe)][_0x6d1e5a(0x7f4)],_0x52d51d[_0x6d1e5a(0x147a)][_0x6d1e5a(0x1258)]=_0x52d51d[_0x6d1e5a(0xebe)][_0x6d1e5a(0x12b0)],_0x52d51d[_0x6d1e5a(0x147a)][_0x6d1e5a(0x15d8)]=_0x52d51d[_0x6d1e5a(0xebe)]['phoneBarEnableDtmfTone'],_0x52d51d[_0x6d1e5a(0x147a)][_0x6d1e5a(0x1a95)]=_0x52d51d['user'][_0x6d1e5a(0x66f)],_0x52d51d[_0x6d1e5a(0x296c)]=_0x52d51d[_0x6d1e5a(0x3a3)][0x0];const _0x39b720=_0x559705[_0x6d1e5a(0xbf7)](_0x6d1e5a(0xa0a));_0x39b720&&typeof _0x52d51d['languages'][_0x39b720]!==_0x6d1e5a(0x16b5)?_0x52d51d[_0x6d1e5a(0x2152)]=_0x52d51d[_0x6d1e5a(0x13d3)][_0x39b720]:_0x52d51d[_0x6d1e5a(0x2152)]=_0x52d51d[_0x6d1e5a(0x13d3)][_0x47675c[_0x6d1e5a(0x174a)]()];_0x5858c5[_0x6d1e5a(0x785)][_0x6d1e5a(0xbf7)]({'fields':_0x6d1e5a(0x16b6),'nolimit':!![]})[_0x6d1e5a(0x1d77)]['then'](function(_0x31b914){const _0x33d4cf=_0x6d1e5a;_0x52d51d[_0x33d4cf(0x8de)]=_0x31b914[_0x33d4cf(0x2214)]?_0x31b914[_0x33d4cf(0x2214)]:[];})[_0x6d1e5a(0x1c4)](function(_0x5e5540){const _0x254d92=_0x6d1e5a;_0xd1a5a6[_0x254d92(0x218e)]({'title':_0x5e5540[_0x254d92(0x291)]?_0x254d92(0xeb9)+_0x5e5540[_0x254d92(0x291)]+_0x254d92(0x1657)+_0x5e5540[_0x254d92(0xc22)]:_0x254d92(0x3d2),'msg':_0x5e5540['status']?JSON['stringify'](_0x5e5540[_0x254d92(0x25c)]):_0x5e5540[_0x254d92(0x147f)]()});});if(_0x36da2d[_0x6d1e5a(0xad7)]()==_0x6d1e5a(0x11b9)){if(_0x52d51d['setting'][_0x6d1e5a(0x1102)]){if(!_0x52d51d[_0x6d1e5a(0xebe)][_0x6d1e5a(0x4d7)])_0x4ae753(null,!![]);else{const _0x1529e4=_0x543b5a()(_0x52d51d['user'][_0x6d1e5a(0x4d7)])['add'](_0x52d51d[_0x6d1e5a(0x9ca)]['passwordExpiresDays']||0x5a,_0x6d1e5a(0x1a5));if(_0x543b5a()()[_0x6d1e5a(0x131d)](_0x1529e4))_0x4ae753(null,!![]);else _0x543b5a()()[_0x6d1e5a(0x17a1)](0xf,_0x6d1e5a(0x1a5))['isAfter'](_0x1529e4)&&_0xd1a5a6[_0x6d1e5a(0x1752)]({'title':_0x47675c[_0x6d1e5a(0x25cc)](_0x6d1e5a(0x2ae))+'!','msg':_0x47675c[_0x6d1e5a(0x25cc)]('TOOLBAR.PASSWORD_EXPIRE_REMAINING_DAYS')+':\x20'+Math[_0x6d1e5a(0x24b5)](_0x1529e4[_0x6d1e5a(0xd42)](_0x543b5a()(),_0x6d1e5a(0x23b3),!![])),'timeout':0x4e20});}}}}function _0x482617(){const _0x13c570=_0x55040a;_0x5e1e59[_0x13c570(0x8b0)](),_0x5e1e59['on']('user:update',_0x52d51d[_0x13c570(0xb1a)]),_0x5e1e59['on'](_0x13c570(0x2656),_0x52d51d[_0x13c570(0xdf7)]),_0x5e1e59['on'](_0x13c570(0x248f),_0x52d51d[_0x13c570(0xaea)]),_0x5e1e59['on'](_0x13c570(0x135a),_0x52d51d['onInternalMessageUpdate']),_0x5e1e59['on']('userVoiceQueue:save',_0x52d51d[_0x13c570(0x20b7)]),_0x5e1e59['on'](_0x13c570(0x1fe3),_0x52d51d[_0x13c570(0x5ff)]),_0x5e1e59['on']('userVoiceQueue:remove',_0x52d51d[_0x13c570(0x20b7)]),_0x5e1e59['on'](_0x13c570(0xb50),_0x52d51d['onVoiceQueue']),_0x5e1e59['on'](_0x13c570(0x18e8),_0x52d51d[_0x13c570(0x5ff)]),_0x5e1e59['on'](_0x13c570(0x2324),_0x1cbf42),_0x5e1e59['on'](_0x13c570(0xf1e),_0x499e25);}function _0x6bac0f(){const _0x45e0bf=_0x55040a;_0x5e1e59[_0x45e0bf(0xfb8)](_0x45e0bf(0x2656)),_0x5e1e59['removeAllListeners']('user:update'),_0x5e1e59['removeAllListeners'](_0x45e0bf(0x248f)),_0x5e1e59[_0x45e0bf(0xfb8)](_0x45e0bf(0x135a)),_0x5e1e59['removeAllListeners']('userVoiceQueue:save'),_0x5e1e59[_0x45e0bf(0xfb8)]('voiceQueue:save'),_0x5e1e59[_0x45e0bf(0xfb8)](_0x45e0bf(0x201e)),_0x5e1e59[_0x45e0bf(0xfb8)](_0x45e0bf(0xb50)),_0x5e1e59['removeAllListeners'](_0x45e0bf(0x18e8)),_0x5e1e59[_0x45e0bf(0xfb8)]('user:forcelogout'),_0x5e1e59['removeAllListeners'](_0x45e0bf(0xf1e)),_0x5e1e59[_0x45e0bf(0x22e8)]();}function _0x4fb3c7(_0x283501){const _0x1ee0e4=_0x55040a;_0x52d51d[_0x1ee0e4(0x278a)]=_0x283501?_0x39641b()[_0x1ee0e4(0x2631)](_0x283501[_0x1ee0e4(0x2214)]?_0x283501[_0x1ee0e4(0x2214)]:[],'id'):{};}function _0x2b5c9a(){const _0x5e7cca=_0x55040a;_0x52d51d[_0x5e7cca(0x2061)]=_0x5858c5[_0x5e7cca(0xebe)][_0x5e7cca(0x13e9)](_0x52d51d[_0x5e7cca(0xae2)],_0x4fb3c7)[_0x5e7cca(0x1d77)];}function _0x379844(){_0x2b5c9a();}function _0x369ba8(_0x297328){const _0x9feca9=_0x55040a;_0x39641b()['toInteger'](_0x297328[_0x9feca9(0x21ab)])===_0x36da2d[_0x9feca9(0x21e8)]()['id']&&_0x2b5c9a();}function _0x2f4f44(_0x343be0){const _0x59643a=_0x55040a;return _0x5858c5[_0x59643a(0xebe)]['pause']({'id':_0x52d51d[_0x59643a(0xebe)]['id'],'type':_0x343be0||_0x59643a(0x12c2)})[_0x59643a(0x1d77)][_0x59643a(0x1c4)](function(_0x1b89e7){const _0x3d7caa=_0x59643a;_0xd1a5a6[_0x3d7caa(0x218e)]({'title':_0x1b89e7[_0x3d7caa(0x291)]?_0x3d7caa(0xeb9)+_0x1b89e7[_0x3d7caa(0x291)]+_0x3d7caa(0x1657)+_0x1b89e7[_0x3d7caa(0xc22)]:_0x3d7caa(0x24fe),'msg':_0x1b89e7[_0x3d7caa(0x291)]?JSON[_0x3d7caa(0x2701)](_0x1b89e7['data']):_0x1b89e7[_0x3d7caa(0x147f)]()});});}function _0x1e4f6e(){const _0x1a5f8a=_0x55040a;return _0x5858c5[_0x1a5f8a(0xebe)][_0x1a5f8a(0xf5d)]({'id':_0x52d51d[_0x1a5f8a(0xebe)]['id']})[_0x1a5f8a(0x1d77)][_0x1a5f8a(0x1c4)](function(_0x53b6c0){const _0x51b983=_0x1a5f8a;_0xd1a5a6[_0x51b983(0x218e)]({'title':_0x53b6c0[_0x51b983(0x291)]?_0x51b983(0xeb9)+_0x53b6c0[_0x51b983(0x291)]+'\x20-\x20'+_0x53b6c0['statusText']:'SYSTEM:UNPAUSEUSER','msg':_0x53b6c0['status']?JSON[_0x51b983(0x2701)](_0x53b6c0[_0x51b983(0x25c)]):_0x53b6c0[_0x51b983(0x147f)]()});});}function _0x13de6d(_0x3ff55e){const _0x1bcd8c=_0x55040a;return _0x52d51d[_0x1bcd8c(0xd79)]=!![],_0x5858c5[_0x1bcd8c(0x26bc)][_0x1bcd8c(0xd85)]()[_0x1bcd8c(0x1d77)][_0x1bcd8c(0x1cb0)](function(_0x5dcbe9){const _0x660ce=_0x1bcd8c;!_0x39641b()[_0x660ce(0x958)](_0x5dcbe9)&&!_0x39641b()['isNil'](_0x5dcbe9[_0x660ce(0x2687)])&&_0x5dcbe9[_0x660ce(0x2687)][_0x660ce(0x737)]?_0x25d1b3[_0x660ce(0xe27)]({'controller':'PreviewDialogController','controllerAs':'vm','templateUrl':_0x1926bd,'parent':angular[_0x660ce(0x1853)](_0x1ab054[_0x660ce(0x1ed9)]),'targetEvent':_0x3ff55e,'clickOutsideToClose':!![],'locals':{'preview':_0x5dcbe9},'resolve':{'customFields':[_0x660ce(0x1e0b),function(_0x2506b5){const _0x1ea93b=_0x660ce;return _0x2506b5[_0x1ea93b(0x19a3)](_0x1ea93b(0x1474),{'nolimit':!![]});}]}}):_0xd1a5a6[_0x660ce(0x28c7)]({'title':_0x660ce(0x1d5b),'msg':_0x660ce(0x267)});})[_0x1bcd8c(0x1c4)](function(_0x577d3c){const _0x3efb0e=_0x1bcd8c;if(_0x577d3c[_0x3efb0e(0x291)]===0x194)_0xd1a5a6[_0x3efb0e(0x28c7)]({'title':_0x3efb0e(0x1d5b),'msg':'CONTACT\x20NOT\x20AVAILABLE'});else{if(_0x577d3c['data']&&_0x577d3c[_0x3efb0e(0x25c)]['errors']&&_0x577d3c['data'][_0x3efb0e(0x1a7c)]['length']){_0x52d51d['errors']=_0x577d3c[_0x3efb0e(0x25c)][_0x3efb0e(0x1a7c)]||[{'message':_0x577d3c[_0x3efb0e(0x147f)](),'type':_0x3efb0e(0x10ed)}];for(let _0x477504=0x0;_0x477504<_0x577d3c['data']['errors'][_0x3efb0e(0xfd0)];_0x477504+=0x1){_0xd1a5a6[_0x3efb0e(0x218e)]({'title':_0x577d3c[_0x3efb0e(0x25c)]['errors'][_0x477504]['type'],'msg':_0x577d3c[_0x3efb0e(0x25c)]['errors'][_0x477504][_0x3efb0e(0x155e)]});}}else _0xd1a5a6[_0x3efb0e(0x218e)]({'title':_0x577d3c['status']?_0x3efb0e(0xeb9)+_0x577d3c[_0x3efb0e(0x291)]+'\x20-\x20'+_0x577d3c['statusText']:_0x3efb0e(0x10ed),'msg':_0x577d3c['data']?JSON[_0x3efb0e(0x2701)](_0x577d3c[_0x3efb0e(0x25c)][_0x3efb0e(0x155e)]):_0x577d3c[_0x3efb0e(0x147f)]()});}})['finally'](function(){const _0x4d97e1=_0x1bcd8c;_0x52d51d[_0x4d97e1(0xd79)]=![];});}function _0x3c57f6(_0x11c738){_0x5a9f81(_0x11c738)['toggle']();}function _0x3fdde7(){const _0x5b8e31=_0x55040a;return _0x52d51d[_0x5b8e31(0xe76)][_0x5b8e31(0x26e6)]===_0x5b8e31(0x1c60)||_0x52d51d[_0x5b8e31(0xe76)][_0x5b8e31(0x26e6)]===_0x5b8e31(0xebe)||_0x52d51d[_0x5b8e31(0xe76)][_0x5b8e31(0x26e6)]===_0x5b8e31(0x1eff)&&_0x52d51d[_0x5b8e31(0xe76)][_0x5b8e31(0xed9)]!==0x0;}_0x5858c5['chatInternalMessage'][_0x55040a(0xbf7)]({'fields':_0x55040a(0x8d5),'ToId':_0x52d51d[_0x55040a(0xe76)]['id'],'read':![],'nolimit':!![]})['$promise'][_0x55040a(0x1cb0)](function(_0x29c51d){const _0x560e5d=_0x55040a;_0x52d51d['unreadTotalMessages']=_0x29c51d[_0x560e5d(0x2214)][_0x560e5d(0xfd0)];});function _0x1716ce(_0x1f87a8){const _0x117c87=_0x55040a;_0x1f87a8[_0x117c87(0x20e4)]===_0x52d51d['currentUser']['id']&&!_0x1f87a8['ChatGroupId']&&(_0x52d51d[_0x117c87(0xbec)]+=0x1),_0x1f87a8[_0x117c87(0x20e4)]===_0x52d51d[_0x117c87(0xe76)]['id']&&_0x1f87a8[_0x117c87(0x8d5)]!==_0x52d51d[_0x117c87(0xe76)]['id']&&!_0x1f87a8['read']&&_0x1f87a8['ChatGroupId']&&_0x52d51d[_0x117c87(0x2133)]++;}function _0x3e1e02(_0x5c1317){const _0x16c5fa=_0x55040a;_0x5c1317[_0x16c5fa(0x20e4)]===_0x52d51d[_0x16c5fa(0xe76)]['id']&&_0x5c1317[_0x16c5fa(0xfc1)]&&_0x52d51d[_0x16c5fa(0xbec)]>0x0&&!_0x5c1317[_0x16c5fa(0x1fb5)]&&(_0x52d51d['unreadTotalMessages']-=0x1),_0x5c1317[_0x16c5fa(0x20e4)]===_0x52d51d['currentUser']['id']&&_0x5c1317[_0x16c5fa(0xfc1)]&&_0x5c1317[_0x16c5fa(0x1fb5)]&&_0x52d51d[_0x16c5fa(0x2133)]>0x0&&_0x52d51d[_0x16c5fa(0x2133)]--;}function _0x526a5f(_0x56cf62){const _0x171672=_0x55040a;_0x52d51d[_0x171672(0x296c)]=_0x56cf62;}function _0x109cd2(_0x179a90){const _0x5cc54b=_0x55040a;return _0x6bac0f(),_0x36da2d[_0x5cc54b(0x1366)](_0x179a90)[_0x5cc54b(0x1cb0)](function(){const _0x216313=_0x5cc54b;return _0x393758[_0x216313(0x692)](_0x216313(0x5db)),_0x2664ce['go']('app.login');})[_0x5cc54b(0x1c4)](function(_0x5264c5){const _0x507f04=_0x5cc54b;console[_0x507f04(0x218e)](_0x5264c5);});}function _0xa01ee2(_0x13280d){const _0x293274=_0x55040a;if(typeof _0x52d51d[_0x293274(0x13d3)][_0x13280d['code']]=='undefined'){const _0x2b9688=_0x293274(0x1865);_0x8a8e97[_0x293274(0xe27)]({'template':''+_0x2b9688+_0x293274(0x13bb),'hideDelay':0x1b58,'position':_0x293274(0x2730),'parent':_0x293274(0x13da)});return;}_0x52d51d['selectedLanguage']=_0x13280d,_0x559705[_0x293274(0x135f)](_0x293274(0xa0a),_0x13280d[_0x293274(0x23ae)]),_0x47675c[_0x293274(0x1ddd)](_0x13280d[_0x293274(0x23ae)]),_0x2664ce[_0x293274(0x1970)][_0x293274(0x16b6)]==='app.callysquare.projects.edit'&&_0x2f4c77['location'][_0x293274(0x5b9)](),_0x3d4375[_0x293274(0xd8a)](_0x13280d[_0x293274(0x23ae)])>=0x0?_0xd1a5a6['info']({'title':_0x47675c['instant'](_0x293274(0x21db)),'msg':_0x47675c[_0x293274(0x25cc)](_0x293274(0x1d7f))}):_0x486a4e['$parent'][_0x293274(0x17bc)]['vm'][_0x293274(0x979)]=_0x293274(0xd32);}function _0x1bb268(){const _0xacb29e=_0x55040a;_0x52d51d[_0xacb29e(0xc89)][_0xacb29e(0x713)](_0xacb29e(0x1982));}function _0x30e556(){const _0x4e5174=_0x55040a;_0x40e03b[_0x4e5174(0x14bf)]();}function _0x9140e2(_0x3fd65e){const _0x4b1d37=_0x55040a;let _0x153d07=[];const _0x35a870=_0x40e03b[_0x4b1d37(0x27e)](),_0x1d57aa=_0x322d41[_0x4b1d37(0x11f4)]();for(let _0x4fce85=0x0;_0x4fce85<_0x35a870[_0x4b1d37(0xfd0)];_0x4fce85++){_0x35a870[_0x4fce85][_0x4b1d37(0x19c3)]&&_0x153d07['push'](_0x35a870[_0x4fce85]);}return _0x3fd65e&&(_0x153d07=_0x153d07[_0x4b1d37(0x1c99)](function(_0x35a8fa){const _0x2c5985=_0x4b1d37;if(_0x35a8fa[_0x2c5985(0x1386)][_0x2c5985(0x1680)]()[_0x2c5985(0x250a)](_0x3fd65e[_0x2c5985(0x1680)]()))return!![];})),_0x176e53(function(){const _0x39248d=_0x4b1d37;_0x1d57aa[_0x39248d(0x19a3)](_0x153d07);},0x3e8),_0x1d57aa[_0x4b1d37(0x2061)];}function _0x157cc8(_0x1eec33){const _0x40de5e=_0x55040a;_0x1eec33[_0x40de5e(0x19c3)]&&(_0x1eec33[_0x40de5e(0x1349)]?_0x2664ce['go'](_0x1eec33[_0x40de5e(0x27e0)],_0x1eec33[_0x40de5e(0x1349)]):_0x2664ce['go'](_0x1eec33['state']));}function _0x17c788(){const _0x3d1bd7=_0x55040a;_0x393758['$broadcast'](_0x3d1bd7(0x2d9));}function _0x4ae753(_0x43fce5,_0x5a3ea2){const _0x5354fe=_0x55040a;_0x25d1b3[_0x5354fe(0xe27)]({'controller':_0x5354fe(0x233d),'controllerAs':'vm','templateUrl':_0x3f74ae,'parent':angular[_0x5354fe(0x1853)](_0x1ab054[_0x5354fe(0x1ed9)]),'targetEvent':_0x43fce5,'clickOutsideToClose':_0x5a3ea2?![]:!![],'escapeToClose':_0x5a3ea2?![]:!![],'locals':{'user':_0x52d51d[_0x5354fe(0xebe)],'setting':_0x2eb8e9,'reset':_0x5a3ea2}});}function _0x1ce9ef(){const _0x53c8b9=_0x55040a;return _0x36da2d['getCurrentUser']()&&_0x36da2d[_0x53c8b9(0x22b6)](_0x53c8b9(0x1eff));}function _0x4f281d(_0x5e4afa){const _0x3f11cc=_0x55040a;if(_0x5e4afa['id']===_0x52d51d[_0x3f11cc(0xebe)]['id']){if(_0x5e4afa['role']==='agent'&&_0x39641b()[_0x3f11cc(0x2714)](_0x5e4afa['online'])&&!_0x5e4afa[_0x3f11cc(0xa28)]){if(_0x52d51d['user']['showWebBar']!==0x0){_0x6bac0f();const _0x96e888=_0x25d1b3[_0x3f11cc(0x1551)]()[_0x3f11cc(0x1386)](_0x47675c['instant'](_0x3f11cc(0x2ae))+'!')['textContent'](_0x47675c[_0x3f11cc(0x25cc)](_0x3f11cc(0x1209)))[_0x3f11cc(0x15ad)](_0x47675c['instant'](_0x3f11cc(0x2ae))+'!')['ok'](_0x47675c['instant'](_0x3f11cc(0x103e)))['cancel'](_0x3f11cc(0x29e2));_0x25d1b3[_0x3f11cc(0xe27)](_0x96e888)[_0x3f11cc(0x1cb0)](function(){const _0x459cc6=_0x3f11cc;return _0x36da2d[_0x459cc6(0x287f)]()['then'](function(){const _0x131a69=_0x459cc6;_0x482617(),_0x39641b()['merge'](_0x52d51d[_0x131a69(0xebe)],_0x5e4afa),_0x36da2d[_0x131a69(0x2018)](_0x52d51d[_0x131a69(0xebe)]);});})[_0x3f11cc(0x1c4)](function(){_0x109cd2(!![]);});}else _0x109cd2(!![]);}else _0x39641b()[_0x3f11cc(0x9c1)](_0x52d51d[_0x3f11cc(0xebe)],_0x5e4afa),_0x36da2d[_0x3f11cc(0x2018)](_0x52d51d[_0x3f11cc(0xebe)]);}}function _0x203229(_0x1deeb1){const _0x32f4c8=_0x55040a;_0x52d51d['currentUser'][_0x32f4c8(0xed9)]===0x2&&_0x52d51d[_0x32f4c8(0xe76)]['role']===_0x32f4c8(0x1eff)&&_0x52d51d['currentUser'][_0x32f4c8(0x16b6)]===_0x1deeb1['membername']&&_0xd1a5a6[_0x32f4c8(0x28c7)]({'title':_0x32f4c8(0xa0e),'msg':'
\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20Call\x20from:\x20'+_0x1deeb1[_0x32f4c8(0x17d3)]+_0x32f4c8(0x2861)+_0x1deeb1[_0x32f4c8(0x11cf)]+_0x32f4c8(0xa69)+(_0x1deeb1[_0x32f4c8(0x9a9)]&&_0x1deeb1[_0x32f4c8(0x9a9)][_0x32f4c8(0x15a2)]?_0x1deeb1[_0x32f4c8(0x9a9)][_0x32f4c8(0x15a2)]:'Not\x20defined')+_0x32f4c8(0x28c5),'showClose':!![],'timeout':0x2710,'html':!![]});}function _0xfde436(){const _0x4ff6a0=_0x55040a;return _0x52d51d[_0x4ff6a0(0x9ca)][_0x4ff6a0(0x1d3d)]?_0x4ff6a0(0x2915):_0x52d51d['setting'][_0x4ff6a0(0x6d3)];}function _0x4d6f1e(){const _0x540c61=_0x55040a;_0x39641b()[_0x540c61(0x727)](_0x52d51d['voiceQueues'],{'dialMethod':'preview','dialActive':!![]})&&_0x52d51d[_0x540c61(0x8a5)]['dialer']&&_0x52d51d[_0x540c61(0x5f5)]?(_0x52d51d[_0x540c61(0x5f5)]=![],_0x5858c5['cmHopper'][_0x540c61(0x12e7)]()[_0x540c61(0x1d77)][_0x540c61(0x1cb0)](function(_0x49f213){const _0x272703=_0x540c61;_0x49f213&&_0x39641b()[_0x272703(0xcb3)](_0x49f213[_0x272703(0x7eb)])&&(_0x52d51d[_0x272703(0x7eb)]=_0x49f213['contacts']);})[_0x540c61(0x2e0)](function(){const _0x2684ef=_0x540c61;_0x52d51d[_0x2684ef(0x5f5)]=!![];})):_0x52d51d['contacts']=0x0;}function _0x11ed7c(){const _0x27ba4c=_0x55040a;_0x367394&&(_0x1c97c3[_0x27ba4c(0x696)](_0x367394),_0x367394=null);}function _0x1cbf42(_0x8dadcf){const _0x13e953=_0x55040a;console[_0x13e953(0xbf5)](_0x13e953(0x416),_0x8dadcf);if(_0x52d51d[_0x13e953(0xebe)][_0x13e953(0xed9)]!==0x0)return _0x6bac0f(),_0x36da2d['logout'](!![])['then'](function(){const _0x575fc3=_0x13e953;_0x393758[_0x575fc3(0x692)](_0x575fc3(0x5db)),_0x25d1b3[_0x575fc3(0xe27)](_0x25d1b3['alert']()[_0x575fc3(0xbfb)](angular[_0x575fc3(0x1853)](_0x1ab054['body']))[_0x575fc3(0x27e1)](![])[_0x575fc3(0x2826)](![])['title'](_0x47675c[_0x575fc3(0x25cc)](_0x575fc3(0x2ae)))['textContent'](_0x47675c['instant'](_0x575fc3(0x1689),{'ip':_0x8dadcf['ip']}))['ok']('OK'))[_0x575fc3(0x1cb0)](function(){const _0x53874d=_0x575fc3;return _0x2664ce['go'](_0x53874d(0xf2e));});})['catch'](function(_0x56de1a){const _0xb7b673=_0x13e953;console[_0xb7b673(0x218e)](_0x56de1a);});}function _0x499e25(_0x2bc622){const _0x287af0=_0x55040a,_0x570ff5=_0x2bc622;if(_0x52d51d[_0x287af0(0xe76)]['id']===_0x570ff5[_0x287af0(0x2703)]){const _0x4994fb=_0x47675c[_0x287af0(0x25cc)](_0x287af0(0x2750),{'sender':_0x570ff5[_0x287af0(0x25a7)]}),_0x5800bd=_0x570ff5[_0x287af0(0x2c1)]?_0x47675c['instant'](_0x287af0(0x2667),{'recipient':_0x570ff5[_0x287af0(0x2c1)]}):null;_0xd1a5a6[_0x287af0(0x1b94)]({'title':_0x5800bd?_0x4994fb+'\x20'+_0x5800bd:_0x4994fb,'msg':_0x570ff5[_0x287af0(0x862)],'clickToClose':![],'timeout':![],'sound':_0x570ff5['beep'],'html':!![]});}}_0x486a4e[_0x55040a(0x1d6)](_0x55040a(0x291c),function(){const _0x3fc3af=_0x55040a;_0x5e1e59[_0x3fc3af(0xfb8)]('user:called'),_0x5e1e59[_0x3fc3af(0xfb8)]('user:update'),_0x5e1e59['removeAllListeners']('chatInternalMessage:save'),_0x5e1e59['removeAllListeners'](_0x3fc3af(0x135a)),_0x5e1e59[_0x3fc3af(0xfb8)](_0x3fc3af(0x828)),_0x5e1e59[_0x3fc3af(0xfb8)](_0x3fc3af(0x1fe3)),_0x5e1e59[_0x3fc3af(0xfb8)](_0x3fc3af(0x201e)),_0x5e1e59[_0x3fc3af(0xfb8)](_0x3fc3af(0xb50)),_0x5e1e59[_0x3fc3af(0xfb8)](_0x3fc3af(0x18e8)),_0x5e1e59['removeAllListeners'](_0x3fc3af(0x2324)),_0x5e1e59[_0x3fc3af(0xfb8)]('notification:send'),_0x11ed7c();});}const _0x558df2=_0x5b2ed0;;_0x6e820b[_0x5537c6(0x15b6)]=[_0x5537c6(0x1f2a)];function _0x6e820b(_0x5da746){_0x5da746['addPart']('app/toolbar');}angular[_0x5537c6(0x9ab)](_0x5537c6(0x1795),[])[_0x5537c6(0xa60)](_0x6e820b)['controller']('ChangePasswordController',_0x697786)[_0x5537c6(0x6e5)](_0x5537c6(0x11d5),_0xf5a4dd)[_0x5537c6(0x6e5)](_0x5537c6(0x2737),_0x558df2);;_0x2e96d0['$inject']=[_0x5537c6(0x1463),'$translate','$q',_0x5537c6(0x1774),_0x5537c6(0x1986),_0x5537c6(0x142b),_0x5537c6(0x2089),'license'];function _0x2e96d0(_0x3cd37d,_0x45726f,_0x12144c,_0x3ac1b1,_0x34979a,_0x1f2e5b,_0x749a2d,_0x17a5b7){const _0x8cab5b=_0x5537c6,_0x44bce8=this;_0x44bce8[_0x8cab5b(0x18ec)]=_0x543b5a()()[_0x8cab5b(0x1f31)](),_0x44bce8[_0x8cab5b(0xe76)]=_0x3ac1b1[_0x8cab5b(0x21e8)](),_0x44bce8[_0x8cab5b(0x8a5)]=_0x17a5b7,_0x44bce8[_0x8cab5b(0xbec)]=0x0,_0x44bce8[_0x8cab5b(0x2133)]=0x0,_0x44bce8[_0x8cab5b(0x1208)]=_0x4b1201,_0x44bce8['onMessageSave']=_0x622323,_0x44bce8['onMessageUpdate']=_0x4969b9,_0x34979a['on'](_0x8cab5b(0x248f),_0x44bce8['onMessageSave']),_0x34979a['on'](_0x8cab5b(0x135a),_0x44bce8[_0x8cab5b(0x4f0)]),_0x1f2e5b[_0x8cab5b(0x212b)]['get']({'fields':_0x8cab5b(0x8d5),'ToId':_0x44bce8[_0x8cab5b(0xe76)]['id'],'read':![],'nolimit':!![],'ChatGroupId':_0x8cab5b(0xd38)})[_0x8cab5b(0x1d77)][_0x8cab5b(0x1cb0)](function(_0x49aecd){const _0x2dbb7d=_0x8cab5b;return _0x49aecd&&_0x49aecd[_0x2dbb7d(0x184d)]&&(_0x44bce8[_0x2dbb7d(0xbec)]=_0x49aecd['count']),_0x1f2e5b[_0x2dbb7d(0xebe)][_0x2dbb7d(0x204c)]({'id':_0x44bce8[_0x2dbb7d(0xe76)]['id']})[_0x2dbb7d(0x1d77)];})[_0x8cab5b(0x1cb0)](function(_0x30320b){const _0x3fad94=_0x8cab5b,_0x1f4180=[],_0xb9f396=_0x30320b[_0x3fad94(0x2214)];for(let _0x446325=0x0;_0x446325<_0xb9f396['length'];_0x446325++){_0x1f4180[_0x3fad94(0x2785)](_0x1f2e5b[_0x3fad94(0x20da)]['getUnread']({'id':_0xb9f396[_0x446325]['id']})['$promise']);}return _0x12144c[_0x3fad94(0x223b)](_0x1f4180);})['then'](function(_0x255bac){const _0x5e146d=_0x8cab5b;for(let _0x101bd2=0x0;_0x101bd2<_0x255bac[_0x5e146d(0xfd0)];_0x101bd2++){_0x44bce8[_0x5e146d(0x2133)]+=_0x255bac[_0x101bd2][_0x5e146d(0x184d)];}})[_0x8cab5b(0x1c4)](function(_0x6c33ce){console['error'](_0x6c33ce);});function _0x13c00a(_0x2bbd8d){const _0x43c17c=_0x8cab5b,_0x38d255={'autoClose':!![],'duration':0xa,'showOnPageHidden':!![],'icon':_0x43c17c(0x254)+_0x2bbd8d[_0x43c17c(0x8d5)]+_0x43c17c(0x790),'body':_0x2bbd8d[_0x43c17c(0x1ed9)]||'','focusOnclick':!![],'closeOnClick':!![]};_0x749a2d['show'](_0x45726f[_0x43c17c(0x25cc)]('QUICKPANEL.NEW_INTERNAL_MESSAGE'),_0x38d255);}function _0x3e6807(_0x508ef3){const _0x45c630=_0x8cab5b;_0x749a2d[_0x45c630(0x8e4)]()?_0x749a2d[_0x45c630(0x1248)]()[_0x45c630(0x1cb0)](function(){_0x13c00a(_0x508ef3);},function(_0x239c0f){const _0x31f983=_0x45c630;console[_0x31f983(0x218e)](_0x31f983(0xc17),_0x239c0f);}):console[_0x45c630(0x218e)](_0x45c630(0x276a));}function _0x4b1201(){const _0x47a078=_0x8cab5b;return _0x44bce8[_0x47a078(0xe76)]['role']===_0x47a078(0x1c60)||_0x44bce8[_0x47a078(0xe76)][_0x47a078(0x26e6)]===_0x47a078(0xebe)||_0x44bce8['currentUser'][_0x47a078(0x26e6)]===_0x47a078(0x1eff)&&_0x44bce8[_0x47a078(0xe76)]['showWebBar']!==0x0;}function _0x622323(_0x89d6e0){const _0x32683f=_0x8cab5b;_0x89d6e0[_0x32683f(0x20e4)]===_0x44bce8['currentUser']['id']&&_0x89d6e0[_0x32683f(0x8d5)]!==_0x44bce8['currentUser']['id']&&!_0x89d6e0[_0x32683f(0xfc1)]&&_0x89d6e0['ChatGroupId']&&(_0x44bce8[_0x32683f(0x2133)]++,_0x3e6807(_0x89d6e0)),_0x89d6e0[_0x32683f(0x20e4)]===_0x44bce8['currentUser']['id']&&!_0x89d6e0[_0x32683f(0x1fb5)]&&(_0x44bce8[_0x32683f(0xbec)]+=0x1,_0x3e6807(_0x89d6e0));}function _0x4969b9(_0x4661ab){const _0x4ee209=_0x8cab5b;_0x4661ab['ToId']===_0x44bce8['currentUser']['id']&&_0x4661ab[_0x4ee209(0xfc1)]&&_0x44bce8['unreadTotalMessages']>0x0&&!_0x4661ab[_0x4ee209(0x1fb5)]&&(_0x44bce8['unreadTotalMessages']-=0x1),_0x4661ab[_0x4ee209(0x20e4)]==_0x44bce8[_0x4ee209(0xe76)]['id']&&_0x4661ab['FromId']!==_0x44bce8[_0x4ee209(0xe76)]['id']&&_0x4661ab['ChatGroupId']&&_0x4661ab[_0x4ee209(0xfc1)]&&(_0x44bce8[_0x4ee209(0x2133)]>0x0&&_0x44bce8[_0x4ee209(0x2133)]--);}_0x3cd37d[_0x8cab5b(0x1d6)]('$destroy',function(){const _0x5c1995=_0x8cab5b;_0x34979a[_0x5c1995(0xfb8)](_0x5c1995(0x248f)),_0x34979a[_0x5c1995(0xfb8)]('chatInternalMessage:update');});}const _0x3f3c2b=_0x2e96d0;;_0xa29877['$inject']=['$scope',_0x5537c6(0x9bf),_0x5537c6(0x142b),'$timeout',_0x5537c6(0x1774),_0x5537c6(0x1986),'$mdSidenav','$q',_0x5537c6(0x1714),_0x5537c6(0x1ae),_0x5537c6(0x1196),_0x5537c6(0x2704)];function _0xa29877(_0xa26fc9,_0xe5bcac,_0x305989,_0xd62d1e,_0x5cf11d,_0x4951c9,_0x5bc7f7,_0x509ad4,_0x11f081,_0x568f4a,_0x42d0f3,_0x4bbde4){const _0x38917b=_0x5537c6,_0x5f144d=this;_0x5f144d[_0x38917b(0xe76)]=_0x5cf11d['getCurrentUser'](),_0x5f144d['user']=undefined,_0x5f144d[_0x38917b(0x1e30)]={'count':0x0,'rows':[]},_0x5f144d[_0x38917b(0x5e4)]=_0x543b5a()(0x0)[_0x38917b(0x1f31)](_0x38917b(0x2e8)),_0x5f144d[_0x38917b(0xf34)]={},_0x5f144d[_0x38917b(0x23fd)]='',_0x5f144d['disabled']=![],_0x5f144d[_0x38917b(0x1a8e)]=_0x351455,_0x5f144d[_0x38917b(0x2566)]=_0x357d3d,_0x5f144d[_0x38917b(0x134e)]=_0x36e937,_0x5f144d[_0x38917b(0x2842)]=_0x5d54d3,_0x5f144d['onMessageUpdate']=_0x17569b,_0x5f144d[_0x38917b(0xb1a)]=_0x2f9df7,_0x5f144d['webcall']=_0x24d386,_0x5f144d[_0x38917b(0x205d)]=_0x30f922,_0x5f144d[_0x38917b(0x29b3)]=_0x35a8b2,_0x4951c9['on'](_0x38917b(0x248f),_0x5f144d[_0x38917b(0x2842)]),_0x4951c9['on'](_0x38917b(0x135a),_0x5f144d['onMessageUpdate']),_0x4951c9['on'](_0x38917b(0x14c6),_0x5f144d[_0x38917b(0xb1a)]),_0x5bc7f7(_0x38917b(0x705))['onClose'](function(){const _0x18506c=_0x38917b;_0x5f144d[_0x18506c(0xebe)]=undefined;}),_0x305989[_0x38917b(0x212b)]['get']({'fields':'FromId','ToId':_0x5f144d[_0x38917b(0xe76)]['id'],'read':![],'nolimit':!![],'ChatGroupId':_0x38917b(0xd38)})[_0x38917b(0x1d77)][_0x38917b(0x1cb0)](function(_0x374b14){const _0x46345b=_0x38917b;_0x5f144d[_0x46345b(0xf34)]=_0x39641b()[_0x46345b(0x16da)](_0x374b14[_0x46345b(0x2214)],_0x46345b(0x8d5));})[_0x38917b(0x1c4)](function(){}),_0x305989[_0x38917b(0x212b)][_0x38917b(0x8e7)]()[_0x38917b(0x1d77)][_0x38917b(0x1cb0)](function(_0xd5b530){const _0x15a4ac=_0x38917b;_0x5f144d[_0x15a4ac(0x1e30)]=_0xd5b530;})[_0x38917b(0x1c4)](function(_0x568ff8){const _0x37d6da=_0x38917b;console[_0x37d6da(0x218e)](_0x568ff8);});function _0x351455(_0x212417){const _0x578d71=_0x38917b;_0x5f144d[_0x578d71(0x8a5)]=_0x212417;}function _0x5d54d3(_0x12584f){const _0x354c6c=_0x38917b;if(_0x12584f[_0x354c6c(0x20e4)]===_0x5f144d[_0x354c6c(0xe76)]['id']&&!_0x12584f[_0x354c6c(0x1fb5)]){_0x5f144d['user']&&_0x5f144d['user']['id']===_0x12584f[_0x354c6c(0x8d5)]?(_0x5f144d[_0x354c6c(0xebe)]['messages'][_0x354c6c(0x2214)]['push'](_0x12584f),_0x345dae(0x0),_0x305989[_0x354c6c(0x212b)]['update']({'id':_0x12584f['id'],'read':!![]})):_0x5f144d[_0x354c6c(0xf34)][_0x12584f[_0x354c6c(0x8d5)]]=_0x5f144d[_0x354c6c(0xf34)][_0x12584f[_0x354c6c(0x8d5)]]?_0x5f144d[_0x354c6c(0xf34)][_0x12584f['FromId']]+0x1:0x1;const _0x3eea91=_0x39641b()[_0x354c6c(0x13b4)](_0x5f144d[_0x354c6c(0x1e30)][_0x354c6c(0x2214)],{'id':_0x12584f[_0x354c6c(0x8d5)]});_0x3eea91&&(_0x3eea91[_0x354c6c(0x17ea)]=_0x12584f[_0x354c6c(0x24cb)],_0x3eea91[_0x354c6c(0x15d6)]=_0x12584f);}}function _0x17569b(_0x245b0f){const _0x1c733e=_0x38917b;if((_0x245b0f['FromId']===_0x5f144d[_0x1c733e(0xe76)]['id']||_0x245b0f[_0x1c733e(0x20e4)]===_0x5f144d[_0x1c733e(0xe76)]['id'])&&!_0x245b0f[_0x1c733e(0x1fb5)]){if(_0x5f144d[_0x1c733e(0xebe)]){const _0x3c0e76=_0x39641b()[_0x1c733e(0x13b4)](_0x5f144d[_0x1c733e(0xebe)][_0x1c733e(0xab2)]['rows'],{'id':_0x245b0f['id']});_0x3c0e76&&_0x39641b()[_0x1c733e(0x9c1)](_0x3c0e76,_0x245b0f);}const _0x6dfe20=_0x39641b()[_0x1c733e(0x13b4)](_0x5f144d[_0x1c733e(0x1e30)][_0x1c733e(0x2214)],{'id':_0x5f144d[_0x1c733e(0xe76)]['id']===_0x245b0f[_0x1c733e(0x8d5)]?_0x245b0f[_0x1c733e(0x20e4)]:_0x245b0f[_0x1c733e(0x8d5)]});_0x6dfe20&&_0x6dfe20[_0x1c733e(0x15d6)]&&_0x39641b()[_0x1c733e(0x9c1)](_0x6dfe20[_0x1c733e(0x15d6)],_0x245b0f),_0x245b0f[_0x1c733e(0x20e4)]===_0x5f144d[_0x1c733e(0xe76)]['id']&&(_0x245b0f[_0x1c733e(0xfc1)]&&_0x5f144d[_0x1c733e(0xf34)][_0x245b0f[_0x1c733e(0x8d5)]]>0x0&&(_0x5f144d[_0x1c733e(0xf34)][_0x245b0f[_0x1c733e(0x8d5)]]-=0x1));}}function _0x2f9df7(_0x5b0f51){const _0x15ac22=_0x38917b,_0x96ecfe=_0x39641b()[_0x15ac22(0x13b4)](_0x5f144d[_0x15ac22(0x1e30)][_0x15ac22(0x2214)],{'id':_0x5b0f51['id']});_0x96ecfe&&(!_0x39641b()[_0x15ac22(0x958)](_0x5b0f51[_0x15ac22(0xa28)])&&_0x96ecfe[_0x15ac22(0xa28)]!==_0x5b0f51['online']&&(_0x96ecfe['online']=_0x5b0f51[_0x15ac22(0xa28)]),!_0x39641b()[_0x15ac22(0x958)](_0x5b0f51[_0x15ac22(0x13d6)])&&_0x96ecfe['allowmessenger']!==_0x5b0f51[_0x15ac22(0x13d6)]&&(_0x96ecfe[_0x15ac22(0x13d6)]=_0x5b0f51[_0x15ac22(0x13d6)]));}function _0x357d3d(_0x37c8e5){const _0x2221b9=_0x38917b;if(_0x37c8e5){if(!_0x37c8e5[_0x2221b9(0x13d6)]&&_0x37c8e5[_0x2221b9(0x26e6)]===_0x2221b9(0x1eff)){_0xe5bcac['warning']({'title':_0x568f4a['instant'](_0x2221b9(0x1aa2)),'msg':_0x568f4a[_0x2221b9(0x25cc)](_0x2221b9(0x1afc))}),_0x5f144d['user']=undefined;return;}_0x5f144d['user']=_0x37c8e5,_0x5f144d[_0x2221b9(0x23fd)]='',_0x305989['chatInternalMessage'][_0x2221b9(0xbf7)]({'sort':'createdAt','FromId':_0x5f144d['currentUser']['id']+','+_0x37c8e5['id'],'ToId':_0x5f144d[_0x2221b9(0xe76)]['id']+','+_0x37c8e5['id'],'ChatGroupId':_0x2221b9(0xd38)})['$promise'][_0x2221b9(0x1cb0)](function(_0x55f7a8){const _0x262a30=_0x2221b9;if(_0x55f7a8&&_0x55f7a8['rows']){_0x5f144d[_0x262a30(0xebe)][_0x262a30(0xab2)]=_0x55f7a8;for(let _0x51b01e=0x0;_0x51b01e<_0x5f144d[_0x262a30(0xebe)][_0x262a30(0xab2)][_0x262a30(0x2214)][_0x262a30(0xfd0)];_0x51b01e+=0x1){_0x5f144d['user'][_0x262a30(0xab2)][_0x262a30(0x2214)][_0x51b01e][_0x262a30(0xfc1)]===![]&&_0x5f144d['user'][_0x262a30(0xab2)][_0x262a30(0x2214)][_0x51b01e][_0x262a30(0x20e4)]===_0x5f144d[_0x262a30(0xe76)]['id']&&_0x305989[_0x262a30(0x212b)][_0x262a30(0x687)]({'id':_0x5f144d[_0x262a30(0xebe)][_0x262a30(0xab2)][_0x262a30(0x2214)][_0x51b01e]['id'],'read':!![]});}}})[_0x2221b9(0x2e0)](function(){_0x345dae(0x0);});}else _0x5f144d[_0x2221b9(0xebe)]=undefined;}function _0x36e937(){const _0x41b47a=_0x38917b;_0x5f144d[_0x41b47a(0x379)]=!![];if(_0x5f144d[_0x41b47a(0x23fd)]===''){_0x5f144d[_0x41b47a(0x379)]=![];return;}const _0x4ff382={'FromId':_0x5f144d[_0x41b47a(0xe76)]['id'],'ToId':_0x5f144d[_0x41b47a(0xebe)]['id'],'body':_0x5f144d[_0x41b47a(0x23fd)],'read':![]};_0x5f144d['replyMessage']='',_0x305989[_0x41b47a(0x212b)][_0x41b47a(0x1c3f)](_0x4ff382)[_0x41b47a(0x1d77)][_0x41b47a(0x1cb0)](function(_0x2fa91a){const _0x2200c2=_0x41b47a;_0x5f144d[_0x2200c2(0xebe)][_0x2200c2(0xab2)][_0x2200c2(0x2214)]['push'](_0x2fa91a),_0x5f144d[_0x2200c2(0xebe)][_0x2200c2(0x17ea)]=_0x2fa91a[_0x2200c2(0x24cb)],_0x5f144d[_0x2200c2(0xebe)][_0x2200c2(0x15d6)]=_0x2fa91a,_0x345dae(0x190);})[_0x41b47a(0x1c4)](function(){})[_0x41b47a(0x2e0)](function(){const _0xb934a6=_0x41b47a;_0x5f144d[_0xb934a6(0x379)]=![];});}function _0x345dae(_0x5a49c9){const _0x390f7b=_0x38917b,_0x5f2ede=angular[_0x390f7b(0x1853)]('#chat-dialog');_0xd62d1e(function(){_0x5f2ede['animate']({'scrollTop':_0x5f2ede[0x0]['scrollHeight']},_0x5a49c9);},0x0);}function _0x51ebdf(_0x24cadc){const _0x258209=_0x38917b;return!_0x39641b()[_0x258209(0x958)](_0x24cadc)?_0x24cadc[_0x258209(0x288f)](/[^\w.+#*-]+/g,''):'';}function _0x24d386(_0x4bdc4c){const _0x1c569f=_0x38917b;_0x42d0f3[_0x1c569f(0x692)]('webrtc::call',{'target':_0x51ebdf(_0x4bdc4c)});}function _0x30f922(_0x2987b3){const _0x541fe3=_0x38917b;return _0x462c65(_0x541fe3(0x25de)+(_0x5f144d[_0x541fe3(0xebe)][_0x541fe3(0xd3f)]||_0x541fe3(0x13d0))+_0x541fe3(0x1852)+_0x51ebdf(_0x2987b3));}function _0x35a8b2(_0x2e0f7f){const _0x1a1e77=_0x38917b;return _0x462c65(_0x1a1e77(0x25de)+(_0x5f144d[_0x1a1e77(0xebe)]['phoneBarRemoteControlPort']||'9888')+_0x1a1e77(0xce2)+_0x51ebdf(_0x2e0f7f));}function _0x462c65(_0x53d9dd){const _0x34a9d0=_0x38917b;return _0x4bbde4[_0x34a9d0(0xbf7)](_0x53d9dd)['success'](function(){const _0x5f23ad=_0x34a9d0;_0xe5bcac[_0x5f23ad(0x829)]({'title':_0x5f23ad(0x16a8),'msg':_0x5f23ad(0x17af)});})[_0x34a9d0(0x218e)](function(){const _0xb23e00=_0x34a9d0;_0xe5bcac[_0xb23e00(0x218e)]({'title':_0xb23e00(0x1100),'msg':_0x568f4a[_0xb23e00(0x25cc)](_0xb23e00(0x1873))});});}_0xa26fc9[_0x38917b(0x1d6)](_0x38917b(0x291c),function(){const _0x769185=_0x38917b;_0x4951c9[_0x769185(0xfb8)](_0x769185(0x248f)),_0x4951c9[_0x769185(0xfb8)](_0x769185(0x135a)),_0x4951c9[_0x769185(0xfb8)](_0x769185(0x14c6));});}const _0x59086b=_0xa29877;;_0x3e87d6['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x406),'$location',_0x5537c6(0xcb9),'toasty',_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x716),_0x5537c6(0x1272)];function _0x3e87d6(_0x228a96,_0x1b616a,_0x2e5221,_0xf2bfcb,_0x41ff7a,_0x271dbe,_0x5f128f,_0x338c79,_0x400aa4){const _0x453aaa=_0x5537c6,_0x69501a=this;_0x69501a[_0x453aaa(0x1a7c)]=[],_0x69501a[_0x453aaa(0x1386)]=_0x453aaa(0xd04),_0x69501a[_0x453aaa(0x716)]=angular['copy'](_0x338c79),_0x69501a[_0x453aaa(0xe76)]=_0x5f128f[_0x453aaa(0x21e8)](),_0x69501a[_0x453aaa(0x1272)]=_0x400aa4,_0x69501a[_0x453aaa(0x118d)]=!![];!_0x69501a[_0x453aaa(0x716)]&&(_0x69501a['group']={'write':!![],'members':[]},_0x69501a['title']=_0x453aaa(0x2455));_0x69501a[_0x453aaa(0x2927)]=_0xa2264,_0x69501a[_0x453aaa(0xda0)]=_0x53e3ec,_0x69501a[_0x453aaa(0x2007)]=_0x58f173;function _0x31e3eb(_0x2672b7){const _0x4eab9e=_0x453aaa,_0x3865bd=_0x2672b7[_0x4eab9e(0x1680)]();return function _0x19562e(_0x1092ea){const _0x388179=_0x4eab9e,_0x340987=_0x1092ea[_0x388179(0x16b6)][_0x388179(0x1680)]();return _0x340987[_0x388179(0xd8a)](_0x3865bd)!=-0x1;};}function _0x58f173(_0x1afa3b){const _0x55452a=_0x453aaa;return _0x1afa3b?_0x69501a[_0x55452a(0x1272)][_0x55452a(0x2214)][_0x55452a(0x1c99)](_0x31e3eb(_0x1afa3b)):[];}function _0xa2264(){const _0x1dd03c=_0x453aaa;let _0x1a9ad6;return _0x69501a['errors']=[],_0x271dbe['chatGroup']['save']({'name':_0x69501a[_0x1dd03c(0x716)][_0x1dd03c(0x16b6)],'write':_0x69501a[_0x1dd03c(0x716)][_0x1dd03c(0x12eb)]})[_0x1dd03c(0x1d77)][_0x1dd03c(0x1cb0)](function(_0x1ed376){const _0x538ebf=_0x1dd03c,_0x159d83=_0x39641b()[_0x538ebf(0x1de2)](_0x69501a[_0x538ebf(0x716)][_0x538ebf(0x1272)],'id');return _0x159d83[_0x538ebf(0xf63)](_0x69501a[_0x538ebf(0xe76)]['id']),_0x1a9ad6=_0x1ed376,_0x271dbe[_0x538ebf(0x20da)][_0x538ebf(0xf59)]({'id':_0x1a9ad6['id'],'ids':_0x159d83})[_0x538ebf(0x1d77)];})['then'](function(){const _0x4d3e89=_0x1dd03c;_0x41ff7a[_0x4d3e89(0x829)]({'title':_0x4d3e89(0x6ab),'msg':_0x1a9ad6[_0x4d3e89(0x16b6)]+'\x20has\x20been\x20saved!'}),_0x53e3ec(_0x1a9ad6);})['catch'](function(_0x511208){const _0x1eb35e=_0x1dd03c;_0x41ff7a[_0x1eb35e(0x218e)]({'title':_0x511208['status']?_0x1eb35e(0xeb9)+_0x511208[_0x1eb35e(0x291)]+'\x20-\x20'+_0x511208['statusText']:'api.chatQueue.update','msg':_0x511208[_0x1eb35e(0x25c)]?JSON[_0x1eb35e(0x2701)](_0x511208['data'][_0x1eb35e(0x155e)]):_0x511208['toString']()});});}function _0x53e3ec(_0x231f11){const _0xdab340=_0x453aaa;_0xf2bfcb[_0xdab340(0x1426)](_0x231f11);}}const _0x3f2dc7=_0x3e87d6;;const _0x28be6d=_0x5074a3['p']+_0x5537c6(0x9ea);;const _0x60c7=_0x5074a3['p']+'src/js/modules/quick-panel/tabs/groups/info/dialog.html/dialog.html';;_0x98d107[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x22bf),_0x5537c6(0x2168),'$mdDialog',_0x5537c6(0x417),'$q',_0x5537c6(0x1774),_0x5537c6(0x142b),'socket','toasty'];function _0x98d107(_0x5f38d0,_0x3c97c9,_0x30e2ff,_0x8fd5c,_0xbb60ab,_0x474b8c,_0x143b67,_0x41f311,_0x404dab,_0x10fcb7){const _0x245d23=_0x5537c6,_0x4e4514=this;_0x4e4514[_0x245d23(0xe76)]=_0x143b67['getCurrentUser'](),_0x4e4514[_0x245d23(0x1fc5)]={},_0x4e4514[_0x245d23(0x1a1)]={},_0x4e4514[_0x245d23(0xca8)],_0x4e4514['group'],_0x4e4514['init']=_0x558b67,_0x4e4514['back']=_0x56c635,_0x4e4514['addGroup']=_0xf8b758,_0x4e4514[_0x245d23(0x1c1c)]=_0x30a870,_0x4e4514[_0x245d23(0x100b)]=_0x1d74fc,_0x4e4514[_0x245d23(0xd40)]=_0x33d4cc,_0x4e4514['toggleChat']=_0x10466e,_0x4e4514['reply']=_0x399169,_0x404dab['on'](_0x245d23(0x248f),_0xefb95b),_0x404dab['on'](_0x245d23(0x135a),_0x415c20),_0x404dab['on'](_0x245d23(0xdaf),_0x5a75d3),_0xbb60ab(_0x245d23(0x705))['onClose'](_0x56c635);function _0x5a75d3(_0x22a355){const _0x3031bb=_0x245d23;_0x4e4514[_0x3031bb(0x1a1)][_0x22a355['id']]&&delete _0x4e4514[_0x3031bb(0x1a1)][_0x22a355['id']];}function _0x415c20(_0x3e1415){const _0x1cc88c=_0x245d23;_0x3e1415['ToId']==_0x4e4514[_0x1cc88c(0xe76)]['id']&&_0x3e1415['FromId']!==_0x4e4514[_0x1cc88c(0xe76)]['id']&&_0x3e1415[_0x1cc88c(0x1fb5)]&&_0x3e1415[_0x1cc88c(0xfc1)]&&(_0x4e4514[_0x1cc88c(0x1a1)][_0x3e1415['ChatGroupId']]['unreadGroupMessages']>0x0&&_0x4e4514['groups'][_0x3e1415['ChatGroupId']]['unreadGroupMessages']--,_0x4e4514[_0x1cc88c(0x716)]['messages'][_0x3e1415['id']]&&(_0x4e4514[_0x1cc88c(0x716)][_0x1cc88c(0xab2)][_0x3e1415['id']][_0x1cc88c(0xfc1)]=!![]));}function _0xefb95b(_0x24ed98){const _0x4f8114=_0x245d23;if(!_0x4e4514[_0x4f8114(0x716)]&&_0x24ed98[_0x4f8114(0x20e4)]===_0x4e4514[_0x4f8114(0xe76)]['id']&&_0x24ed98['FromId']!==_0x4e4514['currentUser']['id']&&!_0x24ed98[_0x4f8114(0xfc1)]&&_0x24ed98[_0x4f8114(0x1fb5)]){const _0x34d29c=_0x4e4514[_0x4f8114(0x1a1)][_0x24ed98[_0x4f8114(0x1fb5)]];if(_0x34d29c)!_0x34d29c[_0x4f8114(0x1fc5)]&&(_0x34d29c['unreadGroupMessages']=0x0),_0x34d29c[_0x4f8114(0x1fc5)]++;else return _0x41f311['chatGroup'][_0x4f8114(0xbf7)]({'id':_0x24ed98[_0x4f8114(0x1fb5)]})['$promise'][_0x4f8114(0x1cb0)](function(_0x158d0d){const _0x29fcaa=_0x4f8114;_0x158d0d[_0x29fcaa(0x1fc5)]=0x1,_0x4e4514[_0x29fcaa(0x1a1)][_0x158d0d['id']]=_0x158d0d;});}_0x4e4514[_0x4f8114(0x716)]&&_0x24ed98[_0x4f8114(0x1fb5)]===_0x4e4514['group']['id']&&_0x24ed98['ToId']===_0x4e4514[_0x4f8114(0xe76)]['id']&&_0x41f311[_0x4f8114(0x212b)][_0x4f8114(0x687)]({'id':_0x24ed98['id'],'read':!![]})['$promise'][_0x4f8114(0x1cb0)](function(){_0x5e54db(_0x24ed98);});}function _0x558b67(_0x193f10){const _0x321e63=_0x245d23;return _0x4e4514[_0x321e63(0x8a5)]=_0x193f10,_0x41f311[_0x321e63(0xebe)][_0x321e63(0x204c)]({'id':_0x4e4514[_0x321e63(0xe76)]['id']})['$promise'][_0x321e63(0x1cb0)](function(_0x2fffb7){const _0x27ee2b=_0x321e63,_0x3e27ab=[];for(let _0x1a64ee=0x0;_0x1a64ee<_0x2fffb7[_0x27ee2b(0x2214)][_0x27ee2b(0xfd0)];_0x1a64ee++){_0x2fffb7[_0x27ee2b(0x2214)][_0x1a64ee][_0x27ee2b(0x1fc5)]=0x0,_0x4e4514[_0x27ee2b(0x1a1)][_0x2fffb7[_0x27ee2b(0x2214)][_0x1a64ee]['id']]=_0x2fffb7[_0x27ee2b(0x2214)][_0x1a64ee],_0x3e27ab[_0x27ee2b(0x2785)](_0x41f311[_0x27ee2b(0x20da)]['getUnread']({'id':_0x2fffb7['rows'][_0x1a64ee]['id']})[_0x27ee2b(0x1d77)]);}return _0x474b8c['all'](_0x3e27ab);})[_0x321e63(0x1cb0)](function(_0x2dfea9){const _0x3f6aba=_0x321e63;for(let _0x415164=0x0;_0x415164<_0x2dfea9[_0x3f6aba(0xfd0)];_0x415164++){_0x4e4514[_0x3f6aba(0x1a1)][_0x2dfea9[_0x415164]['id']]['unreadGroupMessages']+=_0x2dfea9[_0x415164][_0x3f6aba(0x184d)];}})[_0x321e63(0x1c4)](function(_0xf550f6){const _0x3061a9=_0x321e63;console[_0x3061a9(0x218e)](_0xf550f6);});}function _0x1d74fc(){const _0x2debd9=_0x245d23;return _0x39641b()[_0x2debd9(0x27aa)](_0x4e4514[_0x2debd9(0x1a1)]);}function _0x33d4cc(_0x6e6917,_0x3440ac){const _0xa6312e=_0x245d23;_0x8fd5c[_0xa6312e(0xe27)]({'controller':'ShowMessageInfoDialogController','controllerAs':'vm','templateUrl':_0x60c7,'parent':angular['element'](_0x3c97c9[_0xa6312e(0x1ed9)]),'targetEvent':_0x6e6917,'clickOutsideToClose':!![],'locals':{'message':_0x3440ac},'resolve':{'data':function(){const _0x396b65=_0xa6312e,_0x132f73={'messages':[]};return _0x41f311['chatInternalMessage'][_0x396b65(0xbf7)]({'ChatInternalMessageId':_0x3440ac['id']})[_0x396b65(0x1d77)][_0x396b65(0x1cb0)](function(_0xb487aa){const _0x4c0b56=_0x396b65,_0x5d6875=[];_0x132f73[_0x4c0b56(0xab2)]=_0xb487aa[_0x4c0b56(0x2214)],_0x132f73[_0x4c0b56(0xab2)][_0x4c0b56(0xf63)](_0x3440ac);for(let _0x2e64ba=0x0;_0x2e64ba<_0x132f73[_0x4c0b56(0xab2)][_0x4c0b56(0xfd0)];_0x2e64ba++){_0x5d6875[_0x4c0b56(0x2785)](_0x41f311[_0x4c0b56(0xebe)]['get']({'id':_0x132f73[_0x4c0b56(0xab2)][_0x2e64ba]['ToId'],'fields':'id,name,fullname,userpic'})[_0x4c0b56(0x1d77)]);}return _0x474b8c['all'](_0x5d6875);})['then'](function(_0x4bfe59){const _0x2b37c7=_0x396b65;return _0x132f73[_0x2b37c7(0x1e30)]=_0x4bfe59,_0x132f73;})[_0x396b65(0x1c4)](function(_0x236a16){const _0x2314c6=_0x396b65;_0x236a16&&console[_0x2314c6(0x218e)](_0x236a16);});}}})[_0xa6312e(0x1cb0)](function(){})['catch'](function(_0x95925c){const _0x2bbaeb=_0xa6312e;_0x95925c&&console[_0x2bbaeb(0x218e)](_0x95925c['message']);});}function _0x399169(){const _0x2901e3=_0x245d23;if(!_0x4e4514[_0x2901e3(0x23fd)])return;const _0x771eba={'id':_0x4e4514[_0x2901e3(0x716)]['id'],'FromId':_0x4e4514[_0x2901e3(0xe76)]['id'],'ToId':_0x4e4514['currentUser']['id'],'body':_0x4e4514[_0x2901e3(0x23fd)],'read':!![]},_0x295329=[];_0x4e4514['replyMessage']='',_0x41f311[_0x2901e3(0x20da)]['addMessage'](_0x771eba)[_0x2901e3(0x1d77)]['then'](function(_0x9e81d6){const _0x5337bc=_0x2901e3;_0x771eba[_0x5337bc(0xfc1)]=![],_0x771eba[_0x5337bc(0x2855)]=_0x9e81d6['id'];for(let _0x54af33=0x0;_0x54af33<_0x4e4514[_0x5337bc(0x716)][_0x5337bc(0x1272)][_0x5337bc(0xfd0)];_0x54af33++){_0x4e4514['group'][_0x5337bc(0x1272)][_0x54af33]['id']!==_0x4e4514[_0x5337bc(0xe76)]['id']&&(_0x771eba['ToId']=_0x4e4514[_0x5337bc(0x716)]['members'][_0x54af33]['id'],_0x295329['push'](_0x41f311['chatGroup']['addMessage'](angular[_0x5337bc(0x17fe)](_0x771eba))['$promise']));}return _0x474b8c[_0x5337bc(0x223b)](_0x295329);})[_0x2901e3(0x1c4)](function(_0x562773){const _0x460aa4=_0x2901e3;console[_0x460aa4(0x1b4f)](_0x562773);});}function _0x5e54db(_0x1ac200){const _0x370ebf=_0x245d23;_0x4e4514[_0x370ebf(0x716)][_0x370ebf(0xab2)][_0x1ac200['id']]=_0x1ac200,_0x23f4ae(0x190);}function _0x56c635(){const _0x4996e8=_0x245d23;delete _0x4e4514[_0x4996e8(0x716)];}function _0x23f4ae(_0x2ef29f){const _0x4370fa=_0x245d23,_0x4d9166=angular['element'](_0x4370fa(0x183a));_0x30e2ff(function(){const _0x3bea4d=_0x4370fa;_0x4d9166[_0x3bea4d(0xb53)]({'scrollTop':_0x4d9166[0x0]['scrollHeight']},_0x2ef29f);},0x0);}function _0x10466e(_0x271408){const _0xc5c5a6=_0x245d23;_0x4e4514[_0xc5c5a6(0x716)]={'id':_0x271408['id'],'write':_0x271408[_0xc5c5a6(0x12eb)],'messages':{}},_0x41f311[_0xc5c5a6(0x20da)]['getMembers']({'id':_0x271408['id'],'fields':_0xc5c5a6(0x1d34)})[_0xc5c5a6(0x1d77)]['then'](function(_0x4a2e11){const _0x1df423=_0xc5c5a6;return _0x4e4514['group']['membersByKeys']=_0x39641b()[_0x1df423(0x2631)](_0x4a2e11['rows'],'id'),_0x4e4514[_0x1df423(0x716)]['members']=_0x39641b()[_0x1df423(0x2128)](_0x4a2e11['rows'],[{'id':_0x4e4514[_0x1df423(0xe76)]['id']}],'id'),_0x4e4514[_0x1df423(0xca8)]=_0x39641b()[_0x1df423(0x1de2)](_0x4e4514[_0x1df423(0x716)]['members'],_0x1df423(0x1d14))[_0x1df423(0x1f66)](',\x20'),_0x41f311[_0x1df423(0x20da)]['getMessages']({'id':_0x271408['id'],'nolimit':!![]})['$promise'];})['then'](function(_0x3d9e45){const _0x47bf1d=_0xc5c5a6,_0x57971b=[];let _0x266c78;for(let _0xa1b53b=0x0;_0xa1b53b<_0x3d9e45[_0x47bf1d(0x184d)];_0xa1b53b++){_0x3d9e45[_0x47bf1d(0x2214)][_0xa1b53b][_0x47bf1d(0x20e4)]===_0x4e4514[_0x47bf1d(0xe76)]['id']&&(_0x266c78=_0x3d9e45['rows'][_0xa1b53b],_0x4e4514['group'][_0x47bf1d(0xab2)][_0x266c78['id']]=_0x266c78,_0x3d9e45[_0x47bf1d(0x2214)][_0xa1b53b]['ToId']==_0x4e4514['currentUser']['id']&&_0x3d9e45[_0x47bf1d(0x2214)][_0xa1b53b][_0x47bf1d(0x8d5)]!==_0x4e4514[_0x47bf1d(0xe76)]['id']&&!_0x266c78[_0x47bf1d(0xfc1)]&&_0x57971b[_0x47bf1d(0x2785)](_0x41f311[_0x47bf1d(0x212b)]['update']({'id':_0x266c78['id'],'read':!![]})));}return _0x474b8c[_0x47bf1d(0x223b)](_0x57971b);})[_0xc5c5a6(0x1cb0)](function(){_0x23f4ae(0x190);})['catch'](function(_0x40a1be){const _0x51fa71=_0xc5c5a6;console[_0x51fa71(0x218e)](_0x40a1be['message']);});}function _0x30a870(_0x393bb3,_0x413841){const _0x27027e=_0x245d23,_0x5df4e0=_0x8fd5c[_0x27027e(0x1551)]()[_0x27027e(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20group?')[_0x27027e(0x49e)](_0x27027e(0x908)+_0x393bb3[_0x27027e(0x16b6)]+_0x27027e(0x1200)+_0x27027e(0x1b6))[_0x27027e(0x15ad)](_0x27027e(0x1898))[_0x27027e(0x728)](_0x413841)['ok']('OK')[_0x27027e(0x696)](_0x27027e(0x24ba));_0x8fd5c[_0x27027e(0xe27)](_0x5df4e0)[_0x27027e(0x1cb0)](function(){const _0x546624=_0x27027e;return _0x41f311[_0x546624(0x20da)]['delete']({'id':_0x393bb3['id']})['$promise'];})[_0x27027e(0x1cb0)](function(){const _0x189355=_0x27027e;delete _0x4e4514[_0x189355(0x1a1)][_0x393bb3['id']],_0x10fcb7[_0x189355(0x829)]({'title':_0x189355(0x80d),'msg':_0x393bb3[_0x189355(0x16b6)]+_0x189355(0x3f5)});})['catch'](function(_0x1d81d3){const _0x23786b=_0x27027e;_0x1d81d3&&_0x10fcb7[_0x23786b(0x218e)]({'title':_0x1d81d3[_0x23786b(0x291)]?_0x23786b(0xeb9)+_0x1d81d3[_0x23786b(0x291)]+_0x23786b(0x1657)+_0x1d81d3[_0x23786b(0xc22)]:_0x23786b(0xb67),'msg':_0x1d81d3['data']?JSON[_0x23786b(0x2701)](_0x1d81d3['data'][_0x23786b(0x155e)]):_0x1d81d3[_0x23786b(0x147f)]()});});}function _0xf8b758(_0x47270b,_0x32ba86){const _0xa67320=_0x245d23;_0x8fd5c[_0xa67320(0xe27)]({'controller':_0xa67320(0x69a),'controllerAs':'vm','templateUrl':_0x28be6d,'parent':angular[_0xa67320(0x1853)](_0x3c97c9['body']),'targetEvent':_0x32ba86,'clickOutsideToClose':!![],'locals':{'group':_0x47270b,'me':_0x4e4514[_0xa67320(0xe76)],'license':null,'setting':null},'resolve':{'members':function(){const _0x23d563=_0xa67320;return _0x143b67['hasRole'](_0x23d563(0x1c60))?_0x41f311[_0x23d563(0xebe)][_0x23d563(0xbf7)]({'role':_0x23d563(0x1eff)})['$promise']:_0x41f311['userProfile']['getResources']({'id':_0x143b67[_0x23d563(0x21e8)]()[_0x23d563(0x13c1)],'section':_0x23d563(0x2536),'role':'agent'})['$promise'];}}})[_0xa67320(0x1cb0)](function(_0x8fe00d){const _0x345601=_0xa67320;_0x8fe00d&&(_0x4e4514[_0x345601(0x1a1)][_0x8fe00d['id']]=_0x8fe00d);})[_0xa67320(0x1c4)](function(_0x1d589e){const _0x4304e5=_0xa67320;_0x1d589e&&console[_0x4304e5(0x218e)](_0x1d589e[_0x4304e5(0x155e)]);});}_0x5f38d0['$on'](_0x245d23(0x291c),function(){const _0x57bd40=_0x245d23;_0x404dab[_0x57bd40(0xfb8)](_0x57bd40(0x248f)),_0x404dab[_0x57bd40(0xfb8)](_0x57bd40(0x135a)),_0x404dab[_0x57bd40(0xfb8)](_0x57bd40(0xdaf));});}const _0x429cf5=_0x98d107;;_0x3ce301[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x9bf),_0x5537c6(0x142b),'Auth','data'];function _0x3ce301(_0x2288e4,_0x360e55,_0x12fd2d,_0x370554,_0x2cf77a,_0x49b909,_0x1ce5e8,_0x47e627){const _0x13476d=_0x5537c6,_0x1238a3=this;_0x1238a3[_0x13476d(0x25c)]=_0x47e627,_0x1238a3[_0x13476d(0x1386)]=_0x13476d(0x117c);function _0xe0c453(){_0x370554['hide']();}_0x1238a3['closeDialog']=_0xe0c453;}const _0x1cf0b9=_0x3ce301;;_0x18eb66['$inject']=[_0x5537c6(0x1f2a)];function _0x18eb66(_0x40f73a){const _0x2d9f85=_0x5537c6;_0x40f73a[_0x2d9f85(0x4e7)]('app/quick-panel');}angular['module'](_0x5537c6(0x10bd),[])[_0x5537c6(0xa60)](_0x18eb66)['controller'](_0x5537c6(0x207e),_0x3f3c2b)[_0x5537c6(0x6e5)](_0x5537c6(0x1d43),_0x59086b)['controller']('CreateOrEditChatGroupDialogController',_0x3f2dc7)['controller'](_0x5537c6(0x3eb),_0x429cf5)[_0x5537c6(0x6e5)](_0x5537c6(0x1e8b),_0x1cf0b9);;_0x16f2d4[_0x5537c6(0x15b6)]=[_0x5537c6(0x1774),'$cookies'];function _0x16f2d4(_0x1dbfe9,_0x1b39e1){const _0x5f555e=_0x5537c6,_0x2e5aa7=this;function _0x47df66(){const _0x4ae4b2=a0_0x5cbd;_0x1b39e1[_0x4ae4b2(0x135f)](_0x4ae4b2(0xe85)+_0x2e5aa7[_0x4ae4b2(0xe76)]['id'],!![]);}_0x2e5aa7[_0x5f555e(0xe76)]=_0x1dbfe9[_0x5f555e(0x21e8)](),_0x2e5aa7[_0x5f555e(0x17ad)]=_0x1b39e1[_0x5f555e(0xbf7)](_0x5f555e(0xe85)+_0x2e5aa7[_0x5f555e(0xe76)]['id'])||![],_0x2e5aa7[_0x5f555e(0x1332)]=_0x47df66;}const _0xe7d7ab=_0x16f2d4;;_0x13fefe[_0x5537c6(0x15b6)]=[_0x5537c6(0x1f2a)];function _0x13fefe(_0x4c2187){const _0x2743ed=_0x5537c6;_0x4c2187[_0x2743ed(0x4e7)](_0x2743ed(0x704));}angular[_0x5537c6(0x9ab)]('app.header',[])[_0x5537c6(0xa60)](_0x13fefe)[_0x5537c6(0x6e5)](_0x5537c6(0x13cc),_0xe7d7ab);;_0x4e8c4a[_0x5537c6(0x15b6)]=[_0x5537c6(0x1774),'$cookies'];function _0x4e8c4a(_0x15c77a,_0x2d5427){const _0x9318f5=_0x5537c6,_0x1fdec3=this;function _0x4f7851(){const _0x154d56=a0_0x5cbd;_0x2d5427[_0x154d56(0x135f)](_0x154d56(0x11b5)+_0x1fdec3['currentUser']['id'],!![]);}_0x1fdec3['currentUser']=_0x15c77a[_0x9318f5(0x21e8)](),_0x1fdec3[_0x9318f5(0x582)]=_0x2d5427[_0x9318f5(0xbf7)](_0x9318f5(0x11b5)+_0x1fdec3[_0x9318f5(0xe76)]['id'])||![],_0x1fdec3[_0x9318f5(0x14f8)]=_0x4f7851;}const _0x519c31=_0x4e8c4a;;_0x3e135f[_0x5537c6(0x15b6)]=[_0x5537c6(0x1f2a)];function _0x3e135f(_0x24fab1){const _0x2a24ee=_0x5537c6;_0x24fab1[_0x2a24ee(0x4e7)](_0x2a24ee(0xe64));}angular[_0x5537c6(0x9ab)]('app.footer',[])[_0x5537c6(0xa60)](_0x3e135f)['controller']('FooterController',_0x519c31);var _0x3415aa=_0x5074a3(0x1cbe),_0x248e16=_0x5074a3(0x2303),_0x259cd1=_0x5074a3(0xde6),_0x1c7728=_0x5074a3(0x321),_0xaaa869=_0x5074a3(0x1c92),_0x5d7320=_0x5074a3(0x2361),_0x1621d1=_0x5074a3(0xdd5),_0x4ed8e9=_0x5074a3(0x9d6),_0x29b99f=_0x5074a3(0x20aa),_0x2e0b83=_0x5074a3(0x1ac1),_0x4739be=_0x5074a3(0x15c3),_0x59e041=_0x5074a3(0x154a),_0x5e2af0=_0x5074a3(0x2154),_0x2072ef=_0x5074a3(0x23a1),_0x223c06=_0x5074a3(0xa7c),_0x52814e=_0x5074a3(0x1eaf),_0x57be31=_0x5074a3(0x444),_0x5b0989=_0x5074a3(0x1c4),_0x1eef8d=_0x5074a3(0x121a),_0x7f9815=_0x5074a3(0x1be),_0xc2aa15=_0x5074a3(0xd3e);;const _0x581555=_0x5074a3['p']+_0x5537c6(0x4da);;const _0x3dee78=_0x5074a3['p']+_0x5537c6(0xe8f);;const _0x2da774=_0x5074a3['p']+_0x5537c6(0x24fb);;const _0x34d5a4=_0x5074a3['p']+'src/js/modules/main/apps/dashboards/views/general/user/mail/user.general.mail.html/user.general.mail.html';;const _0x11cc88=_0x5074a3['p']+_0x5537c6(0x22c0);;const _0x2d8f78=_0x5074a3['p']+'src/js/modules/main/apps/dashboards/views/general/user/openchannel/user.general.openchannel.html/user.general.openchannel.html';;const _0x6d35e7=_0x5074a3['p']+_0x5537c6(0xa1b);;const _0xead538=_0x5074a3['p']+'src/js/modules/main/apps/dashboards/views/general/user/whatsapp/user.general.whatsapp.html/user.general.whatsapp.html';;_0x4c3d3c[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1986),_0x5537c6(0x9d0),_0x5537c6(0x2137)];function _0x4c3d3c(_0x36adcb,_0x470452,_0x178b77,_0x531858){const _0x18b5aa=_0x5537c6,_0x505250=this,_0x3f5645=[];_0x505250[_0x18b5aa(0xa60)]={'format':_0x18b5aa(0x1530),'unit':_0x18b5aa(0x479),'trim':![]},_0x505250['inbound']={'waiting':0x0,'talking':0x0,'answered':0x0,'abandoned':0x0,'unmanaged':0x0,'sumHoldTime':0x0,'sumBillable':0x0,'total':0x0,'avgHoldTime':_0x18b5aa(0x1c61),'avgTalkTime':_0x18b5aa(0x1c61),'answerRate':0x0,'abandonRate':0x0},_0x505250['chatWebsites']=_0x531858?_0x39641b()['keyBy'](_0x531858[_0x18b5aa(0x2214)]?_0x531858['rows']:[],'id'):{},_0x505250['onSaveChatInteraction']=_0x56f2ca,_0x505250[_0x18b5aa(0xf10)]=_0x15fa49,_0x470452['on'](_0x18b5aa(0x14b6),_0x505250[_0x18b5aa(0x1ce7)]),_0x470452['on'](_0x18b5aa(0x300),_0x505250[_0x18b5aa(0xf10)]),_0x5a940b();function _0x5ec2bb(_0x3a8244,_0x4678e8){const _0x232c82=_0x18b5aa;!_0x3a8244['closed']&&!_0x3a8244[_0x232c82(0x21ab)]&&_0x4678e8&&(_0x505250[_0x232c82(0x26c0)][_0x232c82(0x26b6)]+=0x1);!_0x3a8244[_0x232c82(0x22aa)]&&_0x3a8244[_0x232c82(0x21ab)]&&!_0x39641b()[_0x232c82(0x250a)](_0x3f5645,_0x3a8244['id'])&&(_0x505250[_0x232c82(0x26c0)]['talking']+=0x1,_0x3f5645[_0x232c82(0x2785)](_0x3a8244['id']),!_0x4678e8&&_0x505250['inbound'][_0x232c82(0x26b6)]&&(_0x505250['inbound'][_0x232c82(0x26b6)]-=0x1));if(_0x3a8244[_0x232c82(0x22aa)]&&_0x3a8244[_0x232c82(0x1746)]===_0x232c82(0x20fe))_0x505250[_0x232c82(0x26c0)][_0x232c82(0x20fe)]+=0x1,!_0x4678e8&&_0x505250[_0x232c82(0x26c0)][_0x232c82(0x26b6)]&&(_0x505250[_0x232c82(0x26c0)][_0x232c82(0x26b6)]-=0x1);else{if(_0x3a8244[_0x232c82(0x22aa)]&&!_0x3a8244[_0x232c82(0x21ab)]&&_0x3a8244[_0x232c82(0x1746)])_0x505250[_0x232c82(0x26c0)]['unmanaged']+=0x1,!_0x4678e8&&_0x505250[_0x232c82(0x26c0)][_0x232c82(0x26b6)]&&(_0x505250[_0x232c82(0x26c0)]['waiting']-=0x1);else _0x3a8244[_0x232c82(0x22aa)]&&_0x3a8244['UserId']&&(_0x505250['inbound']['sumBillable']+=_0x543b5a()(_0x3a8244['closedAt'])[_0x232c82(0xd42)](_0x543b5a()(_0x3a8244['read1stAt']),_0x505250['config'][_0x232c82(0x2510)]),_0x505250['inbound'][_0x232c82(0x1bfa)]+=_0x543b5a()(_0x3a8244[_0x232c82(0x1785)])['diff'](_0x543b5a()(_0x3a8244[_0x232c82(0x24cb)]),_0x505250[_0x232c82(0xa60)][_0x232c82(0x2510)]),_0x505250['inbound'][_0x232c82(0x1175)]+=0x1,!_0x4678e8&&_0x505250[_0x232c82(0x26c0)][_0x232c82(0x192a)]&&(_0x505250[_0x232c82(0x26c0)]['talking']-=0x1));}_0x4678e8&&(_0x505250['inbound']['total']+=0x1);}function _0x4a1fb9(){const _0x50521f=_0x18b5aa;_0x505250[_0x50521f(0x26c0)][_0x50521f(0x102a)]=Math['round']((_0x505250['inbound'][_0x50521f(0x20fe)]+_0x505250[_0x50521f(0x26c0)][_0x50521f(0x89f)])/(_0x505250[_0x50521f(0x26c0)][_0x50521f(0x181c)]-_0x505250[_0x50521f(0x26c0)][_0x50521f(0x192a)]-_0x505250[_0x50521f(0x26c0)][_0x50521f(0x26b6)])*0x64,-0x2),_0x505250['inbound'][_0x50521f(0x144a)]=Math[_0x50521f(0x1eed)](_0x505250[_0x50521f(0x26c0)][_0x50521f(0x1175)]/(_0x505250[_0x50521f(0x26c0)]['total']-_0x505250[_0x50521f(0x26c0)]['talking']-_0x505250[_0x50521f(0x26c0)][_0x50521f(0x26b6)])*0x64,-0x2),_0x505250[_0x50521f(0x26c0)]['avgHoldTime']=_0x543b5a()[_0x50521f(0x2483)](Math['round'](_0x505250[_0x50521f(0x26c0)]['sumHoldTime']/_0x505250['inbound']['answered']),_0x505250[_0x50521f(0xa60)][_0x50521f(0x2510)])['format'](_0x505250['config'][_0x50521f(0x1f31)],_0x505250['config']),_0x505250[_0x50521f(0x26c0)]['avgTalkTime']=_0x543b5a()['duration'](Math['round'](_0x505250[_0x50521f(0x26c0)]['sumBillable']/_0x505250[_0x50521f(0x26c0)][_0x50521f(0x1175)]),_0x505250[_0x50521f(0xa60)][_0x50521f(0x2510)])[_0x50521f(0x1f31)](_0x505250['config'][_0x50521f(0x1f31)],_0x505250['config']);}function _0x5a940b(){const _0x3dd04c=_0x18b5aa;if(Object[_0x3dd04c(0x1be5)](_0x505250[_0x3dd04c(0x2137)])[_0x3dd04c(0xfd0)]>0x0)for(let _0x2ec90e=0x0;_0x2ec90e<_0x178b77[_0x3dd04c(0x2214)][_0x3dd04c(0xfd0)];_0x2ec90e+=0x1){if(_0xb70936(_0x178b77[_0x3dd04c(0x2214)][_0x2ec90e])){const _0xf2f01e=_0x178b77[_0x3dd04c(0x2214)][_0x2ec90e];_0x5ec2bb(_0xf2f01e,!![]),_0x4a1fb9();}}}function _0xb70936(_0x12bba5){const _0x142e0c=_0x18b5aa;if(_0x39641b()[_0x142e0c(0x727)](_0x505250[_0x142e0c(0x2137)],{'id':_0x39641b()[_0x142e0c(0x106d)](_0x12bba5[_0x142e0c(0x67c)])}))return!![];return![];}function _0x44b53a(_0x1483b8){const _0x294459=_0x18b5aa,_0x579cf3=_0x543b5a()()['utcOffset'](0x0,!![])[_0x294459(0x1be0)](_0x294459(0x1a5)),_0x2d075d=_0x543b5a()()[_0x294459(0x17d9)](0x0,!![])[_0x294459(0x1b4)]('day');return _0x543b5a()(_0x1483b8)[_0x294459(0x17d9)](0x0,!![])['isBetween'](_0x579cf3,_0x2d075d);}function _0x56f2ca(_0x31ceb4){const _0x1092e5=_0x18b5aa;_0xb70936(_0x31ceb4)&&_0x44b53a(_0x31ceb4[_0x1092e5(0x24cb)])&&(_0x5ec2bb(_0x31ceb4,!![]),_0x4a1fb9());}function _0x15fa49(_0x13f964){const _0x2799d3=_0x18b5aa;_0xb70936(_0x13f964)&&_0x44b53a(_0x13f964[_0x2799d3(0x24cb)])&&(_0x5ec2bb(_0x13f964,![]),_0x4a1fb9());}_0x36adcb[_0x18b5aa(0x1d6)](_0x18b5aa(0x291c),function(){const _0x28f0d9=_0x18b5aa;_0x470452['removeAllListeners'](_0x28f0d9(0x14b6)),_0x470452[_0x28f0d9(0xfb8)](_0x28f0d9(0x300));});}const _0x4f26fe=_0x4c3d3c;;_0x5c1c6d[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1714),_0x5537c6(0x1986),'outboundQueues',_0x5537c6(0x2255),_0x5537c6(0x1aaf),_0x5537c6(0x1661),_0x5537c6(0x4db)];function _0x5c1c6d(_0xe58b80,_0x1c38cb,_0x22be5c,_0xaeafb6,_0x33c758,_0x1cb38d,_0x517af8,_0x53cd31){const _0x3f2ba6=_0x5537c6,_0x3d0a21=this;_0x3d0a21['outboundQueues']=_0xaeafb6?_0x39641b()[_0x3f2ba6(0x2631)](_0xaeafb6[_0x3f2ba6(0x2214)]?_0xaeafb6[_0x3f2ba6(0x2214)]:[],'id'):{},_0x3d0a21[_0x3f2ba6(0x2255)]=_0x33c758?_0x39641b()[_0x3f2ba6(0x2631)](_0x33c758[_0x3f2ba6(0x2214)]?_0x33c758['rows']:[],'id'):{},_0x3d0a21[_0x3f2ba6(0x4db)]=_0x53cd31?_0x39641b()[_0x3f2ba6(0x2631)](_0x53cd31[_0x3f2ba6(0x2214)]?_0x53cd31['rows']:[],'id'):{},_0x3d0a21[_0x3f2ba6(0x242e)]={'originated':0x0,'limitCalls':0x0},_0x3d0a21['inbound']={'waiting':0x0,'talking':0x0,'pTalking':0x0,'answered':0x0,'abandoned':0x0,'unmanaged':0x0,'sumHoldTime':0x0,'sumBillable':0x0,'total':0x0,'avgHoldTime':_0x3f2ba6(0x1c61),'avgTalkTime':_0x3f2ba6(0x1c61),'answerRate':0x0,'abandonRate':0x0,'outboundDropCallsDayCallersExit':0x0,'outboundDropCallsDayTimeout':0x0},_0x3d0a21[_0x3f2ba6(0x24f5)]=_0x2a4c94,_0x3d0a21['onSaveCampaign']=_0x5a49cd,_0x22be5c[_0x3f2ba6(0x16b7)](_0x3f2ba6(0x82a),_0x3d0a21[_0x3f2ba6(0x24f5)]),_0x3d0a21[_0x3f2ba6(0x1ac8)]=_0x1c38cb(function(){_0x2f1d9c(),_0x228b57();},0x3e7),_0x22be5c['onWithoutApply'](_0x3f2ba6(0xe9f),_0x3d0a21[_0x3f2ba6(0x1470)]),_0x2f1d9c(),_0x228b57();function _0x2f1d9c(){const _0x549b5e=_0x3f2ba6;_0x1cb38d({'queues':_0x3d0a21[_0x549b5e(0xbbc)],'rpcQueues':_0x3d0a21[_0x549b5e(0x2255)]})[_0x549b5e(0x1cb0)](function(_0x45343b){const _0x126b10=_0x549b5e;_0x3d0a21[_0x126b10(0x26c0)]=_0x45343b;});}function _0x228b57(){const _0x44ba38=_0x3f2ba6;_0x517af8({'rpcCampaigns':_0x3d0a21['rpcCampaigns']})[_0x44ba38(0x1cb0)](function(_0x5818e9){const _0x57f1be=_0x44ba38;_0x3d0a21[_0x57f1be(0x242e)]=_0x5818e9;});}function _0x2a4c94(_0x1ca09c){const _0x2d3e49=_0x3f2ba6;_0x3d0a21['outboundQueues'][_0x1ca09c['id']]&&(_0x3d0a21[_0x2d3e49(0x2255)][_0x1ca09c['id']]&&(_0x3d0a21[_0x2d3e49(0x2255)][_0x1ca09c['id']]=_0x1ca09c));}function _0x5a49cd(_0x399c14){const _0x147f3a=_0x3f2ba6;_0x3d0a21[_0x147f3a(0x4db)][_0x399c14['id']]&&(_0x3d0a21[_0x147f3a(0x4db)][_0x399c14['id']]=_0x399c14);}_0xe58b80[_0x3f2ba6(0x1d6)](_0x3f2ba6(0x291c),function(){const _0x31325f=_0x3f2ba6;_0x22be5c['removeAllListeners'](_0x31325f(0x82a)),_0x22be5c['removeAllListeners'](_0x31325f(0xe9f)),_0x3d0a21['interval']&&_0x1c38cb[_0x31325f(0x696)](_0x3d0a21[_0x31325f(0x1ac8)]);});}const _0x422e3c=_0x5c1c6d;;_0x5700d5['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x1986),_0x5537c6(0x4d8),_0x5537c6(0x29de)];function _0x5700d5(_0x9ee8e5,_0x3f7c66,_0xa7ef31,_0x5b9d85){const _0x5f08d0=_0x5537c6,_0x1726e8=this,_0x130c14=[];_0x1726e8[_0x5f08d0(0xa60)]={'format':'hh:mm:ss','unit':_0x5f08d0(0x479),'trim':![]},_0x1726e8['inbound']={'waiting':0x0,'talking':0x0,'answered':0x0,'abandoned':0x0,'unmanaged':0x0,'sumHoldTime':0x0,'sumBillable':0x0,'total':0x0,'avgHoldTime':_0x5f08d0(0x1c61),'avgTalkTime':_0x5f08d0(0x1c61),'answerRate':0x0,'abandonRate':0x0},_0x1726e8[_0x5f08d0(0x29de)]=_0x5b9d85?_0x39641b()[_0x5f08d0(0x2631)](_0x5b9d85[_0x5f08d0(0x2214)]?_0x5b9d85['rows']:[],'id'):{},_0x1726e8[_0x5f08d0(0x1297)]=_0x110c48,_0x1726e8[_0x5f08d0(0x4e8)]=_0x15848a,_0x3f7c66['on']('faxInteraction:save',_0x1726e8[_0x5f08d0(0x1297)]),_0x3f7c66['on']('faxInteraction:update',_0x1726e8[_0x5f08d0(0x4e8)]),_0x3e7c67();function _0x58c9df(_0x13a7c0,_0x315e0e){const _0x14ceae=_0x5f08d0;!_0x13a7c0['closed']&&!_0x13a7c0[_0x14ceae(0x21ab)]&&_0x315e0e&&(_0x1726e8['inbound'][_0x14ceae(0x26b6)]+=0x1),!_0x13a7c0[_0x14ceae(0x22aa)]&&_0x13a7c0['UserId']&&!_0x39641b()[_0x14ceae(0x250a)](_0x130c14,_0x13a7c0['id'])&&(_0x1726e8[_0x14ceae(0x26c0)][_0x14ceae(0x192a)]+=0x1,_0x130c14[_0x14ceae(0x2785)](_0x13a7c0['id']),!_0x315e0e&&_0x1726e8['inbound']['waiting']&&(_0x1726e8[_0x14ceae(0x26c0)][_0x14ceae(0x26b6)]-=0x1)),_0x13a7c0[_0x14ceae(0x22aa)]&&_0x13a7c0[_0x14ceae(0x21ab)]&&(_0x1726e8[_0x14ceae(0x26c0)][_0x14ceae(0x202e)]+=_0x543b5a()(_0x13a7c0['closedAt'])[_0x14ceae(0xd42)](_0x543b5a()(_0x13a7c0['read1stAt']),_0x1726e8[_0x14ceae(0xa60)][_0x14ceae(0x2510)]),_0x1726e8[_0x14ceae(0x26c0)]['sumHoldTime']+=_0x543b5a()(_0x13a7c0[_0x14ceae(0x1785)])[_0x14ceae(0xd42)](_0x543b5a()(_0x13a7c0['createdAt']),_0x1726e8[_0x14ceae(0xa60)][_0x14ceae(0x2510)]),_0x1726e8[_0x14ceae(0x26c0)][_0x14ceae(0x1175)]+=0x1,!_0x315e0e&&_0x1726e8[_0x14ceae(0x26c0)]['talking']&&(_0x1726e8[_0x14ceae(0x26c0)]['talking']-=0x1)),_0x13a7c0[_0x14ceae(0x22aa)]&&!_0x13a7c0[_0x14ceae(0x21ab)]&&(_0x1726e8[_0x14ceae(0x26c0)][_0x14ceae(0x89f)]+=0x1,!_0x315e0e&&_0x1726e8[_0x14ceae(0x26c0)][_0x14ceae(0x26b6)]&&(_0x1726e8[_0x14ceae(0x26c0)][_0x14ceae(0x26b6)]-=0x1)),_0x315e0e&&(_0x1726e8[_0x14ceae(0x26c0)]['total']+=0x1);}function _0x5e2f19(){const _0x4ce73c=_0x5f08d0;_0x1726e8['inbound'][_0x4ce73c(0x102a)]=Math[_0x4ce73c(0x1eed)]((_0x1726e8[_0x4ce73c(0x26c0)]['abandoned']+_0x1726e8[_0x4ce73c(0x26c0)][_0x4ce73c(0x89f)])/(_0x1726e8[_0x4ce73c(0x26c0)][_0x4ce73c(0x181c)]-_0x1726e8[_0x4ce73c(0x26c0)][_0x4ce73c(0x192a)]-_0x1726e8[_0x4ce73c(0x26c0)][_0x4ce73c(0x26b6)])*0x64,-0x2),_0x1726e8[_0x4ce73c(0x26c0)][_0x4ce73c(0x144a)]=Math[_0x4ce73c(0x1eed)](_0x1726e8[_0x4ce73c(0x26c0)]['answered']/(_0x1726e8['inbound'][_0x4ce73c(0x181c)]-_0x1726e8[_0x4ce73c(0x26c0)][_0x4ce73c(0x192a)]-_0x1726e8[_0x4ce73c(0x26c0)]['waiting'])*0x64,-0x2),_0x1726e8[_0x4ce73c(0x26c0)]['avgHoldTime']=_0x543b5a()['duration'](Math['round'](_0x1726e8[_0x4ce73c(0x26c0)][_0x4ce73c(0x1bfa)]/_0x1726e8[_0x4ce73c(0x26c0)]['answered']),_0x1726e8['config'][_0x4ce73c(0x2510)])['format'](_0x1726e8[_0x4ce73c(0xa60)][_0x4ce73c(0x1f31)],_0x1726e8['config']),_0x1726e8[_0x4ce73c(0x26c0)][_0x4ce73c(0x129e)]=_0x543b5a()[_0x4ce73c(0x2483)](Math[_0x4ce73c(0x1eed)](_0x1726e8['inbound'][_0x4ce73c(0x202e)]/_0x1726e8[_0x4ce73c(0x26c0)]['answered']),_0x1726e8[_0x4ce73c(0xa60)]['unit'])[_0x4ce73c(0x1f31)](_0x1726e8['config'][_0x4ce73c(0x1f31)],_0x1726e8[_0x4ce73c(0xa60)]);}function _0x3e7c67(){const _0x434eab=_0x5f08d0;if(Object[_0x434eab(0x1be5)](_0x1726e8[_0x434eab(0x29de)])[_0x434eab(0xfd0)]>0x0)for(let _0x1f234c=0x0;_0x1f234c<_0xa7ef31[_0x434eab(0x2214)]['length'];_0x1f234c+=0x1){if(_0x27c71e(_0xa7ef31[_0x434eab(0x2214)][_0x1f234c])){const _0x2f0c7c=_0xa7ef31[_0x434eab(0x2214)][_0x1f234c];_0x58c9df(_0x2f0c7c,!![]),_0x5e2f19();}}}function _0x27c71e(_0x52d96c){const _0x29bfbc=_0x5f08d0;if(_0x39641b()[_0x29bfbc(0x727)](_0x1726e8['faxAccounts'],{'id':_0x39641b()['toNumber'](_0x52d96c[_0x29bfbc(0x18e9)])}))return!![];return![];}function _0x3c221e(_0x5a3c99){const _0x460b40=_0x5f08d0,_0x3fca4e=_0x543b5a()()[_0x460b40(0x17d9)](0x0,!![])[_0x460b40(0x1be0)]('day'),_0x1e0455=_0x543b5a()()[_0x460b40(0x17d9)](0x0,!![])['endOf'](_0x460b40(0x1a5));return _0x543b5a()(_0x5a3c99)[_0x460b40(0x17d9)](0x0,!![])[_0x460b40(0x480)](_0x3fca4e,_0x1e0455);}function _0x110c48(_0xec49e0){const _0x4844e7=_0x5f08d0;_0x27c71e(_0xec49e0)&&_0x3c221e(_0xec49e0[_0x4844e7(0x24cb)])&&(_0x58c9df(_0xec49e0,!![]),_0x5e2f19());}function _0x15848a(_0x2dc534){const _0xd30a42=_0x5f08d0;_0x27c71e(_0x2dc534)&&_0x3c221e(_0x2dc534[_0xd30a42(0x24cb)])&&(_0x58c9df(_0x2dc534,![]),_0x5e2f19());}_0x9ee8e5[_0x5f08d0(0x1d6)](_0x5f08d0(0x291c),function(){const _0x5d47f8=_0x5f08d0;_0x3f7c66[_0x5d47f8(0xfb8)](_0x5d47f8(0x584)),_0x3f7c66['removeAllListeners'](_0x5d47f8(0xd5e));});}const _0x408c44=_0x5700d5;;_0x5131f2[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1986),'mailInteractions','mailAccounts'];function _0x5131f2(_0x126de5,_0x5dc12d,_0x3bc3d,_0xd41d33){const _0xb74a2=_0x5537c6,_0x3223d5=this,_0x1a0d1f=[];_0x3223d5[_0xb74a2(0xa60)]={'format':'hh:mm:ss','unit':_0xb74a2(0x479),'trim':![]},_0x3223d5[_0xb74a2(0x26c0)]={'waiting':0x0,'talking':0x0,'answered':0x0,'abandoned':0x0,'unmanaged':0x0,'sumHoldTime':0x0,'sumBillable':0x0,'total':0x0,'avgHoldTime':'00:00:00','avgTalkTime':_0xb74a2(0x1c61),'answerRate':0x0,'abandonRate':0x0},_0x3223d5[_0xb74a2(0x228e)]=_0xd41d33?_0x39641b()[_0xb74a2(0x2631)](_0xd41d33[_0xb74a2(0x2214)]?_0xd41d33['rows']:[],'id'):{},_0x3223d5[_0xb74a2(0xb7d)]=_0x5320c3,_0x3223d5[_0xb74a2(0x15b3)]=_0x29f6aa,_0x5dc12d['on']('mailInteraction:save',_0x3223d5['onSaveMailInteraction']),_0x5dc12d['on'](_0xb74a2(0xc5d),_0x3223d5['onUpdateMailInteraction']),_0x5a9213();function _0x4552ab(_0xb3e900,_0x5a081e){const _0x1975a2=_0xb74a2;!_0xb3e900[_0x1975a2(0x22aa)]&&!_0xb3e900[_0x1975a2(0x21ab)]&&_0x5a081e&&(_0x3223d5[_0x1975a2(0x26c0)]['waiting']+=0x1),!_0xb3e900[_0x1975a2(0x22aa)]&&_0xb3e900[_0x1975a2(0x21ab)]&&!_0x39641b()[_0x1975a2(0x250a)](_0x1a0d1f,_0xb3e900['id'])&&(_0x3223d5[_0x1975a2(0x26c0)]['talking']+=0x1,_0x1a0d1f[_0x1975a2(0x2785)](_0xb3e900['id']),!_0x5a081e&&_0x3223d5[_0x1975a2(0x26c0)][_0x1975a2(0x26b6)]&&(_0x3223d5[_0x1975a2(0x26c0)]['waiting']-=0x1)),_0xb3e900[_0x1975a2(0x22aa)]&&_0xb3e900[_0x1975a2(0x21ab)]&&(_0x3223d5[_0x1975a2(0x26c0)][_0x1975a2(0x202e)]+=_0x543b5a()(_0xb3e900[_0x1975a2(0xecd)])[_0x1975a2(0xd42)](_0x543b5a()(_0xb3e900['read1stAt']),_0x3223d5['config'][_0x1975a2(0x2510)]),_0x3223d5[_0x1975a2(0x26c0)][_0x1975a2(0x1bfa)]+=_0x543b5a()(_0xb3e900[_0x1975a2(0x1785)])[_0x1975a2(0xd42)](_0x543b5a()(_0xb3e900[_0x1975a2(0x24cb)]),_0x3223d5[_0x1975a2(0xa60)][_0x1975a2(0x2510)]),_0x3223d5[_0x1975a2(0x26c0)][_0x1975a2(0x1175)]+=0x1,!_0x5a081e&&_0x3223d5['inbound'][_0x1975a2(0x192a)]&&(_0x3223d5[_0x1975a2(0x26c0)][_0x1975a2(0x192a)]-=0x1)),_0xb3e900['closed']&&!_0xb3e900['UserId']&&(_0x3223d5[_0x1975a2(0x26c0)][_0x1975a2(0x89f)]+=0x1,!_0x5a081e&&_0x3223d5[_0x1975a2(0x26c0)][_0x1975a2(0x26b6)]&&(_0x3223d5[_0x1975a2(0x26c0)][_0x1975a2(0x26b6)]-=0x1)),_0x5a081e&&(_0x3223d5[_0x1975a2(0x26c0)]['total']+=0x1);}function _0x3891d8(){const _0x22a8c0=_0xb74a2;_0x3223d5[_0x22a8c0(0x26c0)][_0x22a8c0(0x102a)]=Math[_0x22a8c0(0x1eed)]((_0x3223d5[_0x22a8c0(0x26c0)][_0x22a8c0(0x20fe)]+_0x3223d5[_0x22a8c0(0x26c0)][_0x22a8c0(0x89f)])/(_0x3223d5[_0x22a8c0(0x26c0)][_0x22a8c0(0x181c)]-_0x3223d5[_0x22a8c0(0x26c0)][_0x22a8c0(0x192a)]-_0x3223d5['inbound'][_0x22a8c0(0x26b6)])*0x64,-0x2),_0x3223d5['inbound']['answerRate']=Math[_0x22a8c0(0x1eed)](_0x3223d5['inbound'][_0x22a8c0(0x1175)]/(_0x3223d5['inbound']['total']-_0x3223d5[_0x22a8c0(0x26c0)][_0x22a8c0(0x192a)]-_0x3223d5[_0x22a8c0(0x26c0)][_0x22a8c0(0x26b6)])*0x64,-0x2),_0x3223d5[_0x22a8c0(0x26c0)][_0x22a8c0(0x265e)]=_0x543b5a()[_0x22a8c0(0x2483)](Math[_0x22a8c0(0x1eed)](_0x3223d5[_0x22a8c0(0x26c0)]['sumHoldTime']/_0x3223d5['inbound'][_0x22a8c0(0x1175)]),_0x3223d5['config'][_0x22a8c0(0x2510)])[_0x22a8c0(0x1f31)](_0x3223d5['config'][_0x22a8c0(0x1f31)],_0x3223d5[_0x22a8c0(0xa60)]),_0x3223d5[_0x22a8c0(0x26c0)][_0x22a8c0(0x129e)]=_0x543b5a()['duration'](Math['round'](_0x3223d5[_0x22a8c0(0x26c0)][_0x22a8c0(0x202e)]/_0x3223d5[_0x22a8c0(0x26c0)][_0x22a8c0(0x1175)]),_0x3223d5[_0x22a8c0(0xa60)][_0x22a8c0(0x2510)])['format'](_0x3223d5[_0x22a8c0(0xa60)][_0x22a8c0(0x1f31)],_0x3223d5['config']);}function _0x5a9213(){const _0x5538ed=_0xb74a2;if(Object['keys'](_0x3223d5[_0x5538ed(0x228e)])['length']>0x0)for(let _0x2c7d1f=0x0;_0x2c7d1f<_0x3bc3d[_0x5538ed(0x2214)]['length'];_0x2c7d1f+=0x1){if(_0x4d7c64(_0x3bc3d[_0x5538ed(0x2214)][_0x2c7d1f])){const _0xa5cdb4=_0x3bc3d[_0x5538ed(0x2214)][_0x2c7d1f];_0x4552ab(_0xa5cdb4,!![]),_0x3891d8();}}}function _0x4d7c64(_0xd03671){const _0x3d3299=_0xb74a2;if(_0x39641b()[_0x3d3299(0x727)](_0x3223d5[_0x3d3299(0x228e)],{'id':_0x39641b()[_0x3d3299(0x106d)](_0xd03671['MailAccountId'])}))return!![];return![];}function _0x288f53(_0x3ec501){const _0x359d75=_0xb74a2,_0x36f749=_0x543b5a()()['utcOffset'](0x0,!![])[_0x359d75(0x1be0)](_0x359d75(0x1a5)),_0x4dc192=_0x543b5a()()[_0x359d75(0x17d9)](0x0,!![])[_0x359d75(0x1b4)](_0x359d75(0x1a5));return _0x543b5a()(_0x3ec501)[_0x359d75(0x17d9)](0x0,!![])[_0x359d75(0x480)](_0x36f749,_0x4dc192);}function _0x5320c3(_0x100e59){const _0xa3211e=_0xb74a2;_0x4d7c64(_0x100e59)&&_0x288f53(_0x100e59[_0xa3211e(0x24cb)])&&(_0x4552ab(_0x100e59,!![]),_0x3891d8());}function _0x29f6aa(_0x17152d){const _0x192153=_0xb74a2;_0x4d7c64(_0x17152d)&&_0x288f53(_0x17152d[_0x192153(0x24cb)])&&(_0x4552ab(_0x17152d,![]),_0x3891d8());}_0x126de5[_0xb74a2(0x1d6)]('$destroy',function(){const _0x1ff8a1=_0xb74a2;_0x5dc12d[_0x1ff8a1(0xfb8)](_0x1ff8a1(0x5d4)),_0x5dc12d['removeAllListeners'](_0x1ff8a1(0xc5d));});}const _0x509590=_0x5131f2;;_0x2b6255[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x1986),_0x5537c6(0x1e9c),_0x5537c6(0xe5c)];function _0x2b6255(_0x553c05,_0x50f0c8,_0x1dd1ab,_0xb96b08){const _0x4263cd=_0x5537c6,_0x53645b=this,_0x201acc=[];_0x53645b[_0x4263cd(0xa60)]={'format':_0x4263cd(0x1530),'unit':_0x4263cd(0x479),'trim':![]},_0x53645b[_0x4263cd(0x26c0)]={'waiting':0x0,'talking':0x0,'answered':0x0,'abandoned':0x0,'unmanaged':0x0,'sumHoldTime':0x0,'sumBillable':0x0,'total':0x0,'avgHoldTime':_0x4263cd(0x1c61),'avgTalkTime':_0x4263cd(0x1c61),'answerRate':0x0,'abandonRate':0x0},_0x53645b[_0x4263cd(0xe5c)]=_0xb96b08?_0x39641b()['keyBy'](_0xb96b08['rows']?_0xb96b08['rows']:[],'id'):{},_0x53645b[_0x4263cd(0x1bae)]=_0x32fd9e,_0x53645b['onUpdateOpenchannelInteraction']=_0x6f37df,_0x50f0c8['on'](_0x4263cd(0x673),_0x53645b['onSaveOpenchannelInteraction']),_0x50f0c8['on'](_0x4263cd(0xaf7),_0x53645b[_0x4263cd(0x273a)]),_0x852a41();function _0x398a0f(_0x5e18f6,_0x259ef3){const _0x3161bc=_0x4263cd;!_0x5e18f6[_0x3161bc(0x22aa)]&&!_0x5e18f6['UserId']&&_0x259ef3&&(_0x53645b['inbound']['waiting']+=0x1),!_0x5e18f6['closed']&&_0x5e18f6[_0x3161bc(0x21ab)]&&!_0x39641b()[_0x3161bc(0x250a)](_0x201acc,_0x5e18f6['id'])&&(_0x53645b[_0x3161bc(0x26c0)][_0x3161bc(0x192a)]+=0x1,_0x201acc[_0x3161bc(0x2785)](_0x5e18f6['id']),!_0x259ef3&&_0x53645b['inbound'][_0x3161bc(0x26b6)]&&(_0x53645b['inbound']['waiting']-=0x1)),_0x5e18f6[_0x3161bc(0x22aa)]&&_0x5e18f6[_0x3161bc(0x21ab)]&&(_0x53645b[_0x3161bc(0x26c0)][_0x3161bc(0x202e)]+=_0x543b5a()(_0x5e18f6[_0x3161bc(0xecd)])[_0x3161bc(0xd42)](_0x543b5a()(_0x5e18f6[_0x3161bc(0x1785)]),_0x53645b[_0x3161bc(0xa60)][_0x3161bc(0x2510)]),_0x53645b[_0x3161bc(0x26c0)][_0x3161bc(0x1bfa)]+=_0x543b5a()(_0x5e18f6[_0x3161bc(0x1785)])['diff'](_0x543b5a()(_0x5e18f6[_0x3161bc(0x24cb)]),_0x53645b[_0x3161bc(0xa60)][_0x3161bc(0x2510)]),_0x53645b[_0x3161bc(0x26c0)][_0x3161bc(0x1175)]+=0x1,!_0x259ef3&&_0x53645b[_0x3161bc(0x26c0)][_0x3161bc(0x192a)]&&(_0x53645b[_0x3161bc(0x26c0)][_0x3161bc(0x192a)]-=0x1)),_0x5e18f6[_0x3161bc(0x22aa)]&&!_0x5e18f6[_0x3161bc(0x21ab)]&&(_0x53645b['inbound'][_0x3161bc(0x89f)]+=0x1,!_0x259ef3&&_0x53645b[_0x3161bc(0x26c0)][_0x3161bc(0x26b6)]&&(_0x53645b[_0x3161bc(0x26c0)][_0x3161bc(0x26b6)]-=0x1)),_0x259ef3&&(_0x53645b['inbound']['total']+=0x1);}function _0x354a08(){const _0x95d681=_0x4263cd;_0x53645b[_0x95d681(0x26c0)][_0x95d681(0x102a)]=Math[_0x95d681(0x1eed)]((_0x53645b[_0x95d681(0x26c0)][_0x95d681(0x20fe)]+_0x53645b[_0x95d681(0x26c0)][_0x95d681(0x89f)])/(_0x53645b[_0x95d681(0x26c0)]['total']-_0x53645b['inbound']['talking']-_0x53645b[_0x95d681(0x26c0)][_0x95d681(0x26b6)])*0x64,-0x2),_0x53645b[_0x95d681(0x26c0)][_0x95d681(0x144a)]=Math[_0x95d681(0x1eed)](_0x53645b['inbound']['answered']/(_0x53645b[_0x95d681(0x26c0)][_0x95d681(0x181c)]-_0x53645b[_0x95d681(0x26c0)][_0x95d681(0x192a)]-_0x53645b[_0x95d681(0x26c0)][_0x95d681(0x26b6)])*0x64,-0x2),_0x53645b[_0x95d681(0x26c0)][_0x95d681(0x265e)]=_0x543b5a()['duration'](Math[_0x95d681(0x1eed)](_0x53645b[_0x95d681(0x26c0)][_0x95d681(0x1bfa)]/_0x53645b[_0x95d681(0x26c0)]['answered']),_0x53645b[_0x95d681(0xa60)]['unit'])[_0x95d681(0x1f31)](_0x53645b[_0x95d681(0xa60)]['format'],_0x53645b[_0x95d681(0xa60)]),_0x53645b[_0x95d681(0x26c0)][_0x95d681(0x129e)]=_0x543b5a()[_0x95d681(0x2483)](Math[_0x95d681(0x1eed)](_0x53645b[_0x95d681(0x26c0)][_0x95d681(0x202e)]/_0x53645b['inbound'][_0x95d681(0x1175)]),_0x53645b['config'][_0x95d681(0x2510)])[_0x95d681(0x1f31)](_0x53645b[_0x95d681(0xa60)][_0x95d681(0x1f31)],_0x53645b[_0x95d681(0xa60)]);}function _0x852a41(){const _0x19a3bc=_0x4263cd;if(Object[_0x19a3bc(0x1be5)](_0x53645b[_0x19a3bc(0xe5c)])['length']>0x0)for(let _0x32b150=0x0;_0x32b150<_0x1dd1ab[_0x19a3bc(0x2214)][_0x19a3bc(0xfd0)];_0x32b150+=0x1){if(_0x4164a8(_0x1dd1ab['rows'][_0x32b150])){const _0x202899=_0x1dd1ab[_0x19a3bc(0x2214)][_0x32b150];_0x398a0f(_0x202899,!![]),_0x354a08();}}}function _0x4164a8(_0x3686c7){const _0x3768d4=_0x4263cd;if(_0x39641b()[_0x3768d4(0x727)](_0x53645b[_0x3768d4(0xe5c)],{'id':_0x39641b()[_0x3768d4(0x106d)](_0x3686c7[_0x3768d4(0x1d1e)])}))return!![];return![];}function _0xe848cb(_0x38e713){const _0x2dfdd6=_0x4263cd,_0x12e1bd=_0x543b5a()()[_0x2dfdd6(0x17d9)](0x0,!![])[_0x2dfdd6(0x1be0)](_0x2dfdd6(0x1a5)),_0x2314e9=_0x543b5a()()['utcOffset'](0x0,!![])[_0x2dfdd6(0x1b4)](_0x2dfdd6(0x1a5));return _0x543b5a()(_0x38e713)[_0x2dfdd6(0x17d9)](0x0,!![])[_0x2dfdd6(0x480)](_0x12e1bd,_0x2314e9);}function _0x32fd9e(_0x3d1a97){const _0x530a02=_0x4263cd;_0x4164a8(_0x3d1a97)&&_0xe848cb(_0x3d1a97[_0x530a02(0x24cb)])&&(_0x398a0f(_0x3d1a97,!![]),_0x354a08());}function _0x6f37df(_0x2cda6e){_0x4164a8(_0x2cda6e)&&_0xe848cb(_0x2cda6e['createdAt'])&&(_0x398a0f(_0x2cda6e,![]),_0x354a08());}_0x553c05['$on'](_0x4263cd(0x291c),function(){const _0x5e8a59=_0x4263cd;_0x50f0c8[_0x5e8a59(0xfb8)](_0x5e8a59(0x673)),_0x50f0c8[_0x5e8a59(0xfb8)](_0x5e8a59(0xaf7));});}const _0x1795e0=_0x2b6255;;_0x404a8a[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x1986),_0x5537c6(0x25a5),'smsAccounts'];function _0x404a8a(_0x34374b,_0x1e8cf7,_0x1e1ad9,_0x35e47d){const _0x2af27c=_0x5537c6,_0x183c18=this,_0x1b544c=[];_0x183c18['config']={'format':_0x2af27c(0x1530),'unit':_0x2af27c(0x479),'trim':![]},_0x183c18[_0x2af27c(0x26c0)]={'waiting':0x0,'talking':0x0,'answered':0x0,'abandoned':0x0,'unmanaged':0x0,'sumHoldTime':0x0,'sumBillable':0x0,'total':0x0,'avgHoldTime':_0x2af27c(0x1c61),'avgTalkTime':_0x2af27c(0x1c61),'answerRate':0x0,'abandonRate':0x0},_0x183c18[_0x2af27c(0x1ac)]=_0x35e47d?_0x39641b()[_0x2af27c(0x2631)](_0x35e47d[_0x2af27c(0x2214)]?_0x35e47d[_0x2af27c(0x2214)]:[],'id'):{},_0x183c18[_0x2af27c(0x1e4)]=_0x1c502c,_0x183c18['onUpdateSmsInteraction']=_0x5d6d3d,_0x1e8cf7['on'](_0x2af27c(0x1a16),_0x183c18[_0x2af27c(0x1e4)]),_0x1e8cf7['on'](_0x2af27c(0x159f),_0x183c18[_0x2af27c(0x265c)]),_0x151b0d();function _0xf81ad7(_0x27c908,_0x1e4ea6){const _0x424b2b=_0x2af27c;!_0x27c908[_0x424b2b(0x22aa)]&&!_0x27c908[_0x424b2b(0x21ab)]&&_0x1e4ea6&&(_0x183c18['inbound'][_0x424b2b(0x26b6)]+=0x1),!_0x27c908[_0x424b2b(0x22aa)]&&_0x27c908[_0x424b2b(0x21ab)]&&!_0x39641b()['includes'](_0x1b544c,_0x27c908['id'])&&(_0x183c18['inbound'][_0x424b2b(0x192a)]+=0x1,_0x1b544c[_0x424b2b(0x2785)](_0x27c908['id']),!_0x1e4ea6&&_0x183c18[_0x424b2b(0x26c0)][_0x424b2b(0x26b6)]&&(_0x183c18[_0x424b2b(0x26c0)][_0x424b2b(0x26b6)]-=0x1)),_0x27c908[_0x424b2b(0x22aa)]&&_0x27c908[_0x424b2b(0x21ab)]&&(_0x183c18[_0x424b2b(0x26c0)][_0x424b2b(0x202e)]+=_0x543b5a()(_0x27c908['closedAt'])['diff'](_0x543b5a()(_0x27c908[_0x424b2b(0x1785)]),_0x183c18['config'][_0x424b2b(0x2510)]),_0x183c18[_0x424b2b(0x26c0)]['sumHoldTime']+=_0x543b5a()(_0x27c908[_0x424b2b(0x1785)])[_0x424b2b(0xd42)](_0x543b5a()(_0x27c908['createdAt']),_0x183c18[_0x424b2b(0xa60)][_0x424b2b(0x2510)]),_0x183c18[_0x424b2b(0x26c0)]['answered']+=0x1,!_0x1e4ea6&&_0x183c18[_0x424b2b(0x26c0)][_0x424b2b(0x192a)]&&(_0x183c18[_0x424b2b(0x26c0)][_0x424b2b(0x192a)]-=0x1)),_0x27c908[_0x424b2b(0x22aa)]&&!_0x27c908[_0x424b2b(0x21ab)]&&(_0x183c18[_0x424b2b(0x26c0)][_0x424b2b(0x89f)]+=0x1,!_0x1e4ea6&&_0x183c18[_0x424b2b(0x26c0)]['waiting']&&(_0x183c18[_0x424b2b(0x26c0)][_0x424b2b(0x26b6)]-=0x1)),_0x1e4ea6&&(_0x183c18['inbound']['total']+=0x1);}function _0x39b6ee(){const _0x2928b8=_0x2af27c;_0x183c18[_0x2928b8(0x26c0)][_0x2928b8(0x102a)]=Math[_0x2928b8(0x1eed)]((_0x183c18['inbound'][_0x2928b8(0x20fe)]+_0x183c18['inbound']['unmanaged'])/(_0x183c18['inbound'][_0x2928b8(0x181c)]-_0x183c18[_0x2928b8(0x26c0)][_0x2928b8(0x192a)]-_0x183c18[_0x2928b8(0x26c0)][_0x2928b8(0x26b6)])*0x64,-0x2),_0x183c18['inbound'][_0x2928b8(0x144a)]=Math['round'](_0x183c18[_0x2928b8(0x26c0)][_0x2928b8(0x1175)]/(_0x183c18[_0x2928b8(0x26c0)][_0x2928b8(0x181c)]-_0x183c18[_0x2928b8(0x26c0)][_0x2928b8(0x192a)]-_0x183c18[_0x2928b8(0x26c0)]['waiting'])*0x64,-0x2),_0x183c18[_0x2928b8(0x26c0)][_0x2928b8(0x265e)]=_0x543b5a()[_0x2928b8(0x2483)](Math[_0x2928b8(0x1eed)](_0x183c18[_0x2928b8(0x26c0)][_0x2928b8(0x1bfa)]/_0x183c18['inbound']['answered']),_0x183c18[_0x2928b8(0xa60)][_0x2928b8(0x2510)])[_0x2928b8(0x1f31)](_0x183c18[_0x2928b8(0xa60)][_0x2928b8(0x1f31)],_0x183c18[_0x2928b8(0xa60)]),_0x183c18[_0x2928b8(0x26c0)][_0x2928b8(0x129e)]=_0x543b5a()[_0x2928b8(0x2483)](Math[_0x2928b8(0x1eed)](_0x183c18['inbound']['sumBillable']/_0x183c18[_0x2928b8(0x26c0)][_0x2928b8(0x1175)]),_0x183c18[_0x2928b8(0xa60)]['unit'])[_0x2928b8(0x1f31)](_0x183c18[_0x2928b8(0xa60)][_0x2928b8(0x1f31)],_0x183c18[_0x2928b8(0xa60)]);}function _0x151b0d(){const _0x21bfc9=_0x2af27c;if(Object[_0x21bfc9(0x1be5)](_0x183c18[_0x21bfc9(0x1ac)])['length']>0x0)for(let _0x260e1e=0x0;_0x260e1e<_0x1e1ad9['rows'][_0x21bfc9(0xfd0)];_0x260e1e+=0x1){if(_0x549dd2(_0x1e1ad9['rows'][_0x260e1e])){const _0x43c249=_0x1e1ad9[_0x21bfc9(0x2214)][_0x260e1e];_0xf81ad7(_0x43c249,!![]),_0x39b6ee();}}}function _0x549dd2(_0x12250f){const _0xbc24c6=_0x2af27c;if(_0x39641b()[_0xbc24c6(0x727)](_0x183c18[_0xbc24c6(0x1ac)],{'id':_0x39641b()[_0xbc24c6(0x106d)](_0x12250f['SmsAccountId'])}))return!![];return![];}function _0x183d64(_0x42e207){const _0x145a9d=_0x2af27c,_0x1c5380=_0x543b5a()()[_0x145a9d(0x17d9)](0x0,!![])[_0x145a9d(0x1be0)](_0x145a9d(0x1a5)),_0x30deb6=_0x543b5a()()[_0x145a9d(0x17d9)](0x0,!![])[_0x145a9d(0x1b4)](_0x145a9d(0x1a5));return _0x543b5a()(_0x42e207)[_0x145a9d(0x17d9)](0x0,!![])[_0x145a9d(0x480)](_0x1c5380,_0x30deb6);}function _0x1c502c(_0x134803){const _0x137e13=_0x2af27c;_0x549dd2(_0x134803)&&_0x183d64(_0x134803[_0x137e13(0x24cb)])&&(_0xf81ad7(_0x134803,!![]),_0x39b6ee());}function _0x5d6d3d(_0x4da65c){const _0x19e272=_0x2af27c;_0x549dd2(_0x4da65c)&&_0x183d64(_0x4da65c[_0x19e272(0x24cb)])&&(_0xf81ad7(_0x4da65c,![]),_0x39b6ee());}_0x34374b[_0x2af27c(0x1d6)](_0x2af27c(0x291c),function(){const _0x5ac7cc=_0x2af27c;_0x1e8cf7[_0x5ac7cc(0xfb8)](_0x5ac7cc(0x1a16)),_0x1e8cf7['removeAllListeners']('smsInteraction:update');});}const _0x47b9ca=_0x404a8a;;_0xa32479['$inject']=[_0x5537c6(0x406),_0x5537c6(0x1774),_0x5537c6(0x8a5)],_0x9a195f[_0x5537c6(0x15b6)]=['$q'],_0x1c8025[_0x5537c6(0x15b6)]=['$q'];function _0x1c8025(_0x10110e){const _0x5f2c12=[],_0x14d6fd=function(){const _0x2fb61e=a0_0x5cbd,_0x23304e=_0x5f2c12[0x0],_0x2ef0c={'waiting':0x0,'talking':0x0,'pTalking':0x0,'answered':0x0,'abandoned':0x0,'unmanaged':0x0,'sumHoldTime':0x0,'sumBillable':0x0,'total':0x0,'avgHoldTime':_0x2fb61e(0x1c61),'avgTalkTime':_0x2fb61e(0x1c61),'answerRate':0x0,'abandonRate':0x0,'originated':0x0,'outboundDropCallsDayCallersExit':0x0,'outboundDropCallsDayTimeout':0x0};_0x39641b()[_0x2fb61e(0x1ebd)](_0x23304e[_0x2fb61e(0x971)],function(_0x5a6557,_0x47a359){const _0x2bf13b=_0x2fb61e;_0x23304e[_0x2bf13b(0x2255)][_0x47a359]&&(_0x2ef0c[_0x2bf13b(0x26b6)]+=_0x23304e[_0x2bf13b(0x2255)][_0x47a359]['waiting']||0x0,_0x2ef0c[_0x2bf13b(0x192a)]+=(_0x23304e[_0x2bf13b(0x2255)][_0x47a359][_0x2bf13b(0x192a)]||0x0)+(_0x23304e[_0x2bf13b(0x2255)][_0x47a359][_0x2bf13b(0xfb2)]||0x0),_0x2ef0c[_0x2bf13b(0x1175)]+=_0x23304e['rpcQueues'][_0x47a359]['answered']||0x0,_0x2ef0c['abandoned']+=_0x23304e[_0x2bf13b(0x2255)][_0x47a359][_0x2bf13b(0x20fe)]||0x0,_0x2ef0c['sumHoldTime']+=_0x23304e[_0x2bf13b(0x2255)][_0x47a359][_0x2bf13b(0x1bfa)]||0x0,_0x2ef0c[_0x2bf13b(0x202e)]+=_0x23304e[_0x2bf13b(0x2255)][_0x47a359][_0x2bf13b(0x202e)]||0x0,_0x2ef0c[_0x2bf13b(0x181c)]+=_0x23304e[_0x2bf13b(0x2255)][_0x47a359][_0x2bf13b(0x181c)]||0x0,_0x2ef0c['originated']+=_0x23304e[_0x2bf13b(0x2255)][_0x47a359][_0x2bf13b(0x2315)]||0x0,_0x2ef0c[_0x2bf13b(0x27cb)]+=_0x23304e[_0x2bf13b(0x2255)][_0x47a359]['outboundDropCallsDayCallersExit']||0x0,_0x2ef0c[_0x2bf13b(0x2450)]+=_0x23304e['rpcQueues'][_0x47a359][_0x2bf13b(0x2450)]||0x0);});const _0x213c4b=_0x2ef0c[_0x2fb61e(0x181c)]-_0x2ef0c[_0x2fb61e(0x1175)]-_0x2ef0c[_0x2fb61e(0x20fe)];_0x2ef0c[_0x2fb61e(0x89f)]=_0x213c4b>0x0?_0x213c4b:0x0,_0x2ef0c['avgHoldTime']=Math[_0x2fb61e(0x1eed)](_0x2ef0c[_0x2fb61e(0x181c)]>0x0?_0x2ef0c[_0x2fb61e(0x1bfa)]/_0x2ef0c['total']:0x0),_0x2ef0c[_0x2fb61e(0x265e)]=new Date(_0x2ef0c[_0x2fb61e(0x265e)]*0x3e8)['toISOString']()[_0x2fb61e(0x2028)](0xb,0x8),_0x2ef0c[_0x2fb61e(0x129e)]=Math[_0x2fb61e(0x1eed)](_0x2ef0c[_0x2fb61e(0x1175)]>0x0?_0x2ef0c[_0x2fb61e(0x202e)]/_0x2ef0c[_0x2fb61e(0x1175)]:0x0),_0x2ef0c[_0x2fb61e(0x129e)]=new Date(_0x2ef0c[_0x2fb61e(0x129e)]*0x3e8)[_0x2fb61e(0x1e3f)]()[_0x2fb61e(0x2028)](0xb,0x8),_0x2ef0c[_0x2fb61e(0x144a)]=Math[_0x2fb61e(0x1eed)]((_0x2ef0c[_0x2fb61e(0x181c)]>0x0?_0x2ef0c['answered']/_0x2ef0c[_0x2fb61e(0x181c)]*0x64:0x0)*Math['pow'](0xa,0x1))/Math[_0x2fb61e(0xdad)](0xa,0x1),_0x2ef0c['abandonRate']=Math['round']((_0x2ef0c[_0x2fb61e(0x181c)]>0x0?_0x2ef0c[_0x2fb61e(0x20fe)]/_0x2ef0c['total']*0x64:0x0)*Math[_0x2fb61e(0xdad)](0xa,0x1))/Math[_0x2fb61e(0xdad)](0xa,0x1),_0x2ef0c['outboundDropRate']=Math[_0x2fb61e(0x1eed)]((_0x2ef0c['total']>0x0?_0x2ef0c[_0x2fb61e(0x2450)]/_0x2ef0c[_0x2fb61e(0x181c)]*0x64:0x0)*Math[_0x2fb61e(0xdad)](0xa,0x1))/Math[_0x2fb61e(0xdad)](0xa,0x1),_0x2ef0c['outboundAbandonRate']=Math[_0x2fb61e(0x1eed)]((_0x2ef0c[_0x2fb61e(0x181c)]>0x0?_0x2ef0c[_0x2fb61e(0x27cb)]/_0x2ef0c[_0x2fb61e(0x181c)]*0x64:0x0)*Math['pow'](0xa,0x1))/Math[_0x2fb61e(0xdad)](0xa,0x1),_0x2ef0c['outboundanswerRate']=0x64-_0x2ef0c[_0x2fb61e(0x1b6a)]-_0x2ef0c['outboundAbandonRate']>0x0&&(_0x2ef0c['total']||0x0>0x0)?0x64-_0x2ef0c[_0x2fb61e(0x1b6a)]-_0x2ef0c['outboundAbandonRate']:0x0,_0x5f2c12[_0x2fb61e(0x1945)](),_0x23304e[_0x2fb61e(0x11f4)][_0x2fb61e(0x19a3)](_0x2ef0c),_0x5f2c12[_0x2fb61e(0xfd0)]>0x0&&_0x14d6fd();};return function(_0xb759ed){const _0x2e2b77=a0_0x5cbd,_0x307262=_0x10110e[_0x2e2b77(0x11f4)]();return _0x5f2c12[_0x2e2b77(0x2785)]({'queues':_0xb759ed['queues'],'rpcQueues':_0xb759ed[_0x2e2b77(0x2255)],'defer':_0x307262}),_0x5f2c12[_0x2e2b77(0xfd0)]===0x1&&_0x14d6fd(),_0x307262[_0x2e2b77(0x2061)];};}function _0x9a195f(_0x2cace7){const _0x74db6=[],_0x28b8ad=function(){const _0x3f1aad=a0_0x5cbd,_0x59fe2a=_0x74db6[0x0],_0x55d725={'originated':0x0,'limitCalls':0x0};_0x39641b()[_0x3f1aad(0x1ebd)](_0x59fe2a[_0x3f1aad(0x4db)],function(_0x2f0bf7,_0x50500c){const _0x45ecad=_0x3f1aad;_0x59fe2a[_0x45ecad(0x4db)][_0x50500c]&&(_0x55d725['originated']+=_0x59fe2a[_0x45ecad(0x4db)][_0x50500c][_0x45ecad(0x2315)]||0x0,_0x55d725[_0x45ecad(0x127e)]+=_0x59fe2a[_0x45ecad(0x4db)][_0x50500c]['limitCalls']||0x0);}),_0x74db6[_0x3f1aad(0x1945)](),_0x59fe2a[_0x3f1aad(0x11f4)]['resolve'](_0x55d725),_0x74db6[_0x3f1aad(0xfd0)]>0x0&&_0x28b8ad();};return function(_0x2e646c){const _0xf1e12f=a0_0x5cbd,_0x3d1c8e=_0x2cace7['defer']();return _0x74db6[_0xf1e12f(0x2785)]({'rpcCampaigns':_0x2e646c['rpcCampaigns'],'defer':_0x3d1c8e}),_0x74db6[_0xf1e12f(0xfd0)]===0x1&&_0x28b8ad(),_0x3d1c8e[_0xf1e12f(0x2061)];};}function _0x8db984(){return function(_0x393969){const _0xa5715c=a0_0x5cbd;return new Date(_0x393969*0x3e8)[_0xa5715c(0x1e3f)]()[_0xa5715c(0x2028)](0xb,0x8);};}function _0xa32479(_0x556862,_0x256121,_0x4da289){const _0x29e11b=this;_0x29e11b['license']=_0x4da289,_0x29e11b['onInit']=_0x26e1c7;function _0x26e1c7(){const _0x2a3ac9=a0_0x5cbd;_0x29e11b[_0x2a3ac9(0x21dd)]=_0x256121[_0x2a3ac9(0x22b6)](_0x2a3ac9(0xebe))?_0x256121[_0x2a3ac9(0x39e)](0x65):!![];if(_0x29e11b[_0x2a3ac9(0x21dd)]){const _0x11bea0=_0x556862[_0x2a3ac9(0x1970)][_0x2a3ac9(0x16b6)];switch(_0x11bea0){case _0x2a3ac9(0x1647):_0x1d3223();break;case _0x2a3ac9(0x20de):_0x29e11b[_0x2a3ac9(0x8ec)]=0x0;break;case _0x2a3ac9(0x1ba6):_0x29e11b['selectedTab']=0x1;break;case'app.dashboards.general.chat':_0x29e11b[_0x2a3ac9(0x8ec)]=0x2;break;case _0x2a3ac9(0x1ba2):_0x29e11b[_0x2a3ac9(0x8ec)]=0x3;break;case _0x2a3ac9(0x1961):_0x29e11b[_0x2a3ac9(0x8ec)]=0x4;break;case _0x2a3ac9(0x1319):_0x29e11b[_0x2a3ac9(0x8ec)]=0x5;break;case _0x2a3ac9(0x1ac9):_0x29e11b[_0x2a3ac9(0x8ec)]=0x6;break;case _0x2a3ac9(0x270f):_0x29e11b[_0x2a3ac9(0x8ec)]=0x7;break;}}}function _0x1d3223(){const _0xc0c60a=a0_0x5cbd,_0x49a4ec=[];_0x29e11b['license'][_0xc0c60a(0x1fd4)]&&_0x49a4ec['push']('app.dashboards.general.voice'),_0x29e11b['license'][_0xc0c60a(0x14f7)]&&_0x49a4ec[_0xc0c60a(0x2785)](_0xc0c60a(0x1ba6)),_0x29e11b['license'][_0xc0c60a(0x174c)]&&_0x49a4ec[_0xc0c60a(0x2785)](_0xc0c60a(0x2784)),_0x29e11b['license']['mail']&&_0x49a4ec[_0xc0c60a(0x2785)](_0xc0c60a(0x1ba2)),_0x29e11b[_0xc0c60a(0x8a5)][_0xc0c60a(0x1e48)]&&_0x49a4ec['push']('app.dashboards.general.sms'),_0x29e11b[_0xc0c60a(0x8a5)][_0xc0c60a(0x15e0)]&&_0x49a4ec[_0xc0c60a(0x2785)]('app.dashboards.general.openchannel'),_0x29e11b['license'][_0xc0c60a(0x1944)]&&_0x49a4ec[_0xc0c60a(0x2785)](_0xc0c60a(0x1ac9)),_0x29e11b[_0xc0c60a(0x8a5)]['whatsapp']&&_0x49a4ec['push'](_0xc0c60a(0x270f));if(_0x49a4ec['length']>0x0)_0x556862['go'](_0x39641b()[_0xc0c60a(0xf07)](_0x49a4ec));}};_0x39dc14[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'socket','inboundQueues',_0x5537c6(0x2255),_0x5537c6(0x895),_0x5537c6(0x1aaf)];function _0x39dc14(_0x1c2519,_0x497b1a,_0x3ad43e,_0x387c0c,_0x53b666,_0x2673bb){const _0x162f0d=_0x5537c6,_0x29557c=this;_0x29557c[_0x162f0d(0x21b6)]=_0x3ad43e?_0x39641b()[_0x162f0d(0x2631)](_0x3ad43e[_0x162f0d(0x2214)]?_0x3ad43e['rows']:[],'id'):{},_0x29557c[_0x162f0d(0x2255)]=_0x387c0c?_0x39641b()[_0x162f0d(0x2631)](_0x387c0c['rows']?_0x387c0c[_0x162f0d(0x2214)]:[],'id'):{},_0x29557c['inbound']={'waiting':0x0,'talking':0x0,'answered':0x0,'abandoned':0x0,'unmanaged':0x0,'sumHoldTime':0x0,'sumBillable':0x0,'total':0x0,'avgHoldTime':_0x162f0d(0x1c61),'avgTalkTime':_0x162f0d(0x1c61),'answerRate':0x0,'abandonRate':0x0},_0x29557c[_0x162f0d(0x895)]=_0x53b666||{'answered':0x0,'sumBillable':0x0,'sumDuration':0x0,'sumHoldTime':0x0,'total':0x0},_0x29557c[_0x162f0d(0x24f5)]=_0x520f2d,_0x29557c[_0x162f0d(0x20f1)]=_0xbf5d29,_0x497b1a['on'](_0x162f0d(0x82a),_0x29557c['onSaveQueue']),_0x497b1a['on'](_0x162f0d(0x1aab),_0x29557c[_0x162f0d(0x20f1)]),_0x77e016();function _0x77e016(){const _0x5e8363=_0x162f0d;_0x2673bb({'queues':_0x29557c[_0x5e8363(0x21b6)],'rpcQueues':_0x29557c['rpcQueues']})[_0x5e8363(0x1cb0)](function(_0x46a9b0){_0x29557c['inbound']=_0x46a9b0;});}function _0x520f2d(_0x4a1080){const _0x2231db=_0x162f0d;_0x29557c[_0x2231db(0x21b6)][_0x4a1080['id']]&&(_0x29557c[_0x2231db(0x2255)][_0x4a1080['id']]&&(_0x29557c[_0x2231db(0x2255)][_0x4a1080['id']]=_0x4a1080,_0x77e016()));}function _0xbf5d29(_0x28d4ab){const _0x3dd0a0=_0x162f0d;_0x29557c[_0x3dd0a0(0x895)]=_0x28d4ab;}_0x1c2519[_0x162f0d(0x1d6)](_0x162f0d(0x291c),function(){const _0x3f168d=_0x162f0d;_0x497b1a[_0x3f168d(0xfb8)](_0x3f168d(0x82a)),_0x497b1a[_0x3f168d(0xfb8)](_0x3f168d(0x1aab));});}const _0x1f6b99=_0x39dc14;;_0x301a8f[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1986),_0x5537c6(0xf49),_0x5537c6(0xbb3)];function _0x301a8f(_0x577ab6,_0x586313,_0x56f5b9,_0xbf315f){const _0x5aa181=_0x5537c6,_0xdacbe4=this,_0x67878f=[];_0xdacbe4[_0x5aa181(0xa60)]={'format':_0x5aa181(0x1530),'unit':_0x5aa181(0x479),'trim':![]},_0xdacbe4[_0x5aa181(0x26c0)]={'waiting':0x0,'talking':0x0,'answered':0x0,'abandoned':0x0,'unmanaged':0x0,'sumHoldTime':0x0,'sumBillable':0x0,'total':0x0,'avgHoldTime':_0x5aa181(0x1c61),'avgTalkTime':_0x5aa181(0x1c61),'answerRate':0x0,'abandonRate':0x0},_0xdacbe4[_0x5aa181(0xbb3)]=_0xbf315f?_0x39641b()['keyBy'](_0xbf315f[_0x5aa181(0x2214)]?_0xbf315f[_0x5aa181(0x2214)]:[],'id'):{},_0xdacbe4['onSaveWhatsappInteraction']=_0x580a3e,_0xdacbe4['onUpdateWhatsappInteraction']=_0x677de0,_0x586313['on'](_0x5aa181(0xa3e),_0xdacbe4[_0x5aa181(0x21af)]),_0x586313['on'](_0x5aa181(0x1b85),_0xdacbe4[_0x5aa181(0x2356)]),_0xf748c7();function _0xf6697e(_0x23e932,_0x1bcc72){const _0x5a0bc9=_0x5aa181;!_0x23e932[_0x5a0bc9(0x22aa)]&&!_0x23e932[_0x5a0bc9(0x21ab)]&&_0x1bcc72&&(_0xdacbe4['inbound'][_0x5a0bc9(0x26b6)]+=0x1),!_0x23e932[_0x5a0bc9(0x22aa)]&&_0x23e932[_0x5a0bc9(0x21ab)]&&!_0x39641b()[_0x5a0bc9(0x250a)](_0x67878f,_0x23e932['id'])&&(_0xdacbe4[_0x5a0bc9(0x26c0)][_0x5a0bc9(0x192a)]+=0x1,_0x67878f['push'](_0x23e932['id']),!_0x1bcc72&&_0xdacbe4['inbound'][_0x5a0bc9(0x26b6)]&&(_0xdacbe4[_0x5a0bc9(0x26c0)][_0x5a0bc9(0x26b6)]-=0x1)),_0x23e932[_0x5a0bc9(0x22aa)]&&_0x23e932['UserId']&&(_0xdacbe4['inbound']['sumBillable']+=_0x543b5a()(_0x23e932[_0x5a0bc9(0xecd)])[_0x5a0bc9(0xd42)](_0x543b5a()(_0x23e932['read1stAt']),_0xdacbe4[_0x5a0bc9(0xa60)][_0x5a0bc9(0x2510)]),_0xdacbe4[_0x5a0bc9(0x26c0)][_0x5a0bc9(0x1bfa)]+=_0x543b5a()(_0x23e932[_0x5a0bc9(0x1785)])['diff'](_0x543b5a()(_0x23e932[_0x5a0bc9(0x24cb)]),_0xdacbe4['config']['unit']),_0xdacbe4[_0x5a0bc9(0x26c0)][_0x5a0bc9(0x1175)]+=0x1,!_0x1bcc72&&_0xdacbe4[_0x5a0bc9(0x26c0)][_0x5a0bc9(0x192a)]&&(_0xdacbe4[_0x5a0bc9(0x26c0)][_0x5a0bc9(0x192a)]-=0x1)),_0x23e932[_0x5a0bc9(0x22aa)]&&!_0x23e932[_0x5a0bc9(0x21ab)]&&(_0xdacbe4['inbound']['unmanaged']+=0x1,!_0x1bcc72&&_0xdacbe4[_0x5a0bc9(0x26c0)][_0x5a0bc9(0x26b6)]&&(_0xdacbe4['inbound']['waiting']-=0x1)),_0x1bcc72&&(_0xdacbe4[_0x5a0bc9(0x26c0)][_0x5a0bc9(0x181c)]+=0x1);}function _0x271b7b(){const _0x4cfbdf=_0x5aa181;_0xdacbe4['inbound'][_0x4cfbdf(0x102a)]=Math['round']((_0xdacbe4['inbound'][_0x4cfbdf(0x20fe)]+_0xdacbe4[_0x4cfbdf(0x26c0)]['unmanaged'])/(_0xdacbe4['inbound'][_0x4cfbdf(0x181c)]-_0xdacbe4[_0x4cfbdf(0x26c0)][_0x4cfbdf(0x192a)]-_0xdacbe4[_0x4cfbdf(0x26c0)]['waiting'])*0x64,-0x2),_0xdacbe4[_0x4cfbdf(0x26c0)][_0x4cfbdf(0x144a)]=Math[_0x4cfbdf(0x1eed)](_0xdacbe4[_0x4cfbdf(0x26c0)][_0x4cfbdf(0x1175)]/(_0xdacbe4[_0x4cfbdf(0x26c0)][_0x4cfbdf(0x181c)]-_0xdacbe4[_0x4cfbdf(0x26c0)]['talking']-_0xdacbe4[_0x4cfbdf(0x26c0)][_0x4cfbdf(0x26b6)])*0x64,-0x2),_0xdacbe4[_0x4cfbdf(0x26c0)][_0x4cfbdf(0x265e)]=_0x543b5a()[_0x4cfbdf(0x2483)](Math['round'](_0xdacbe4['inbound'][_0x4cfbdf(0x1bfa)]/_0xdacbe4[_0x4cfbdf(0x26c0)]['answered']),_0xdacbe4[_0x4cfbdf(0xa60)][_0x4cfbdf(0x2510)])[_0x4cfbdf(0x1f31)](_0xdacbe4[_0x4cfbdf(0xa60)][_0x4cfbdf(0x1f31)],_0xdacbe4[_0x4cfbdf(0xa60)]),_0xdacbe4[_0x4cfbdf(0x26c0)]['avgTalkTime']=_0x543b5a()[_0x4cfbdf(0x2483)](Math[_0x4cfbdf(0x1eed)](_0xdacbe4[_0x4cfbdf(0x26c0)][_0x4cfbdf(0x202e)]/_0xdacbe4[_0x4cfbdf(0x26c0)][_0x4cfbdf(0x1175)]),_0xdacbe4[_0x4cfbdf(0xa60)][_0x4cfbdf(0x2510)])[_0x4cfbdf(0x1f31)](_0xdacbe4['config'][_0x4cfbdf(0x1f31)],_0xdacbe4['config']);}function _0xf748c7(){const _0x3c2775=_0x5aa181;if(Object[_0x3c2775(0x1be5)](_0xdacbe4['whatsappAccounts'])[_0x3c2775(0xfd0)]>0x0)for(let _0x1f92ba=0x0;_0x1f92ba<_0x56f5b9['rows'][_0x3c2775(0xfd0)];_0x1f92ba+=0x1){if(_0xb4315e(_0x56f5b9[_0x3c2775(0x2214)][_0x1f92ba])){const _0x17ca00=_0x56f5b9[_0x3c2775(0x2214)][_0x1f92ba];_0xf6697e(_0x17ca00,!![]),_0x271b7b();}}}function _0xb4315e(_0x2b4fa9){const _0x59b557=_0x5aa181;if(_0x39641b()['some'](_0xdacbe4[_0x59b557(0xbb3)],{'id':_0x39641b()[_0x59b557(0x106d)](_0x2b4fa9[_0x59b557(0xfc8)])}))return!![];return![];}function _0x207721(_0x4fdca7){const _0x5afc7e=_0x5aa181,_0x2e4d0f=_0x543b5a()()['utcOffset'](0x0,!![])['startOf'](_0x5afc7e(0x1a5)),_0x3a1719=_0x543b5a()()[_0x5afc7e(0x17d9)](0x0,!![])[_0x5afc7e(0x1b4)](_0x5afc7e(0x1a5));return _0x543b5a()(_0x4fdca7)[_0x5afc7e(0x17d9)](0x0,!![])[_0x5afc7e(0x480)](_0x2e4d0f,_0x3a1719);}function _0x580a3e(_0x31845b){const _0x55882d=_0x5aa181;_0xb4315e(_0x31845b)&&_0x207721(_0x31845b[_0x55882d(0x24cb)])&&(_0xf6697e(_0x31845b,!![]),_0x271b7b());}function _0x677de0(_0x6e58c4){_0xb4315e(_0x6e58c4)&&_0x207721(_0x6e58c4['createdAt'])&&(_0xf6697e(_0x6e58c4,![]),_0x271b7b());}_0x577ab6[_0x5aa181(0x1d6)](_0x5aa181(0x291c),function(){const _0x37aeb1=_0x5aa181;_0x586313[_0x37aeb1(0xfb8)](_0x37aeb1(0xa3e)),_0x586313['removeAllListeners'](_0x37aeb1(0x1b85));});}const _0x1242aa=_0x301a8f;;_0x21c30c[_0x5537c6(0x15b6)]=[_0x5537c6(0xbd6)];function _0x21c30c(_0x21751d){const _0x42ea77=_0x5537c6;_0x21751d['state']('app.dashboards.general.voice',{'url':_0x42ea77(0x1936),'data':{'selectedTab':0x0},'views':{'voice':{'templateUrl':_0x581555,'controller':'UserVoiceDashboardGeneralController\x20as\x20vm'}},'resolve':{'rpcQueues':[_0x42ea77(0x1e0b),function(_0x5a2b63){const _0x2cfccb=_0x42ea77;return _0x5a2b63[_0x2cfccb(0x19a3)](_0x2cfccb(0xe6d));}],'inboundQueues':['apiResolver',_0x42ea77(0x1774),function(_0x337b5b,_0x170ba5){const _0x22e384=_0x42ea77;return _0x170ba5[_0x22e384(0x22b6)]('admin')?_0x337b5b['resolve'](_0x22e384(0x1c4d),{'fields':_0x22e384(0x43c),'type':_0x22e384(0x26c0),'nolimit':!![]}):_0x337b5b['resolve'](_0x22e384(0x12da),{'id':_0x170ba5['getCurrentUser']()[_0x22e384(0x13c1)],'section':_0x22e384(0x2382),'fields':'id,name','type':_0x22e384(0x26c0),'channel':'voice','nolimit':!![]});}],'outbound':['apiResolver',function(_0x1b3914){const _0x466244=_0x42ea77;return _0x1b3914[_0x466244(0x19a3)](_0x466244(0x166d));}]},'authenticate':!![],'bodyClass':'dashboards'})['state'](_0x42ea77(0x1ba6),{'url':_0x42ea77(0x1489),'data':{'selectedTab':0x1},'views':{'dialer':{'templateUrl':_0x3dee78,'controller':'UserVoiceDashboardDialerController\x20as\x20vm'}},'resolve':{'rpcQueues':['apiResolver',function(_0xa40599){const _0x373eda=_0x42ea77;return _0xa40599['resolve'](_0x373eda(0xe6d));}],'outboundQueues':[_0x42ea77(0x1e0b),_0x42ea77(0x1774),function(_0x265655,_0x679830){const _0x50090e=_0x42ea77;return _0x679830[_0x50090e(0x22b6)]('admin')?_0x265655['resolve'](_0x50090e(0x1c4d),{'fields':'id,name','type':_0x50090e(0x895),'nolimit':!![]}):_0x265655[_0x50090e(0x19a3)](_0x50090e(0x12da),{'id':_0x679830[_0x50090e(0x21e8)]()[_0x50090e(0x13c1)],'section':'QueueCampaigns','fields':'id,name','type':_0x50090e(0x895),'channel':_0x50090e(0x1fd4),'nolimit':!![]});}],'rpcCampaigns':[_0x42ea77(0x1e0b),function(_0x4f3b52){const _0x53da52=_0x42ea77;return _0x4f3b52[_0x53da52(0x19a3)]('rpc@getCampaigns');}]},'authenticate':!![],'bodyClass':'dashboards'})[_0x42ea77(0x27e0)](_0x42ea77(0x2784),{'url':_0x42ea77(0x214f),'data':{'selectedTab':0x2},'views':{'chat':{'templateUrl':_0x2da774,'controller':'UserChatDashboardGeneralController\x20as\x20vm'}},'resolve':{'chatInteractions':[_0x42ea77(0x1e0b),function(_0x17c88d){const _0x549065=_0x42ea77;return _0x17c88d[_0x549065(0x19a3)](_0x549065(0xfd6),{'createdAt':{'$gte':_0x543b5a()()['startOf'](_0x549065(0x1a5))[_0x549065(0x17d9)](0x0,!![])[_0x549065(0x1f31)](),'$lte':_0x543b5a()()[_0x549065(0x1b4)]('day')[_0x549065(0x17d9)](0x0,!![])[_0x549065(0x1f31)]()},'nolimit':!![]});}],'chatWebsites':[_0x42ea77(0x1e0b),_0x42ea77(0x1774),function(_0x36d758,_0x49bb1a){const _0x56edee=_0x42ea77;return _0x49bb1a[_0x56edee(0x22b6)](_0x56edee(0x1c60))?_0x36d758['resolve'](_0x56edee(0xad5),{'fields':_0x56edee(0x802),'sort':_0x56edee(0x282),'limit':0xa,'offset':0x0}):_0x36d758['resolve'](_0x56edee(0x12da),{'id':_0x49bb1a[_0x56edee(0x21e8)]()[_0x56edee(0x13c1)],'section':'ChatWebsites','fields':_0x56edee(0x802),'sort':_0x56edee(0x282),'limit':0xa,'offset':0x0});}]},'authenticate':!![],'bodyClass':_0x42ea77(0xd41)})['state'](_0x42ea77(0x1ba2),{'url':'/mail','data':{'selectedTab':0x3},'views':{'mail':{'templateUrl':_0x34d5a4,'controller':_0x42ea77(0x3dc)}},'resolve':{'mailInteractions':[_0x42ea77(0x1e0b),function(_0x172cf0){const _0x22923e=_0x42ea77;return _0x172cf0[_0x22923e(0x19a3)](_0x22923e(0x2866),{'createdAt':{'$gte':_0x543b5a()()['startOf'](_0x22923e(0x1a5))[_0x22923e(0x17d9)](0x0,!![])[_0x22923e(0x1f31)](),'$lte':_0x543b5a()()['endOf'](_0x22923e(0x1a5))[_0x22923e(0x17d9)](0x0,!![])['format']()},'nolimit':!![]});}],'mailAccounts':[_0x42ea77(0x1e0b),_0x42ea77(0x1774),function(_0x2a0056,_0x86e035){const _0x201515=_0x42ea77;return _0x86e035[_0x201515(0x22b6)](_0x201515(0x1c60))?_0x2a0056[_0x201515(0x19a3)](_0x201515(0x5c1),{'fields':'createdAt,updatedAt,id,name,key,email,ListId,active,cservice,Imap.service,Imap.host,Imap.port,Imap.tls,Imap.authentication,Imap.user,Imap.password,Imap.mailbox,Imap.connTimeout,Imap.authTimeout,Smtp.service,Smtp.host,Smtp.port,Smtp.secure,Smtp.authentication,Smtp.user,Smtp.pass,cauthentication,cuser,cpassword,fontFamily,fontSize,template,markAsUnread,waitForTheAssignedAgent,description,notificationSound,notificationShake,notificationTemplate,queueTransfer,queueTransferTimeout,agentTransfer,agentTransferTimeout','sort':_0x201515(0x282),'limit':0xa,'offset':0x0}):_0x2a0056['resolve'](_0x201515(0x12da),{'id':_0x86e035['getCurrentUser']()['userProfileId'],'section':_0x201515(0x9c9),'fields':'createdAt,updatedAt,id,name,key,email,ListId,active,cservice,Imap.service,Imap.host,Imap.port,Imap.tls,Imap.authentication,Imap.user,Imap.password,Imap.mailbox,Imap.connTimeout,Imap.authTimeout,Smtp.service,Smtp.host,Smtp.port,Smtp.secure,Smtp.authentication,Smtp.user,Smtp.pass,cauthentication,cuser,cpassword,fontFamily,fontSize,template,markAsUnread,waitForTheAssignedAgent,description,notificationSound,notificationShake,notificationTemplate,queueTransfer,queueTransferTimeout,agentTransfer,agentTransferTimeout','sort':_0x201515(0x282),'limit':0xa,'offset':0x0});}]},'authenticate':!![],'bodyClass':'dashboards'})['state']('app.dashboards.general.sms',{'url':_0x42ea77(0x1167),'data':{'selectedTab':0x4},'views':{'sms':{'templateUrl':_0x11cc88,'controller':'UserSmsDashboardGeneralController\x20as\x20vm'}},'resolve':{'smsInteractions':[_0x42ea77(0x1e0b),function(_0xddc3a5){const _0x438379=_0x42ea77;return _0xddc3a5[_0x438379(0x19a3)](_0x438379(0x1be1),{'createdAt':{'$gte':_0x543b5a()()[_0x438379(0x1be0)](_0x438379(0x1a5))[_0x438379(0x17d9)](0x0,!![])['format'](),'$lte':_0x543b5a()()[_0x438379(0x1b4)](_0x438379(0x1a5))[_0x438379(0x17d9)](0x0,!![])[_0x438379(0x1f31)]()},'nolimit':!![]});}],'smsAccounts':[_0x42ea77(0x1e0b),_0x42ea77(0x1774),function(_0x5636d5,_0x5a5218){const _0x4fa055=_0x42ea77;return _0x5a5218['hasRole'](_0x4fa055(0x1c60))?_0x5636d5['resolve'](_0x4fa055(0x55b),{'fields':'createdAt,updatedAt,id,name,key,token,remote,ListId,phone,type,accountSid,authId,authToken,smsMethod,username,password,senderString,deliveryReport,waitForTheAssignedAgent,description,receiveUrl,deliveryReportUrl,notificationSound,notificationShake,notificationTemplate','sort':_0x4fa055(0x282),'limit':0xa,'offset':0x0}):_0x5636d5[_0x4fa055(0x19a3)](_0x4fa055(0x12da),{'id':_0x5a5218[_0x4fa055(0x21e8)]()[_0x4fa055(0x13c1)],'section':_0x4fa055(0x1b3f),'fields':'createdAt,updatedAt,id,name,key,token,remote,ListId,phone,type,accountSid,authId,authToken,smsMethod,username,password,senderString,deliveryReport,waitForTheAssignedAgent,description,receiveUrl,deliveryReportUrl,notificationSound,notificationShake,notificationTemplate','sort':_0x4fa055(0x282),'limit':0xa,'offset':0x0});}]},'authenticate':!![],'bodyClass':_0x42ea77(0xd41)})[_0x42ea77(0x27e0)](_0x42ea77(0x1319),{'url':_0x42ea77(0x23a1),'data':{'selectedTab':0x5},'views':{'openchannel':{'templateUrl':_0x2d8f78,'controller':_0x42ea77(0x260f)}},'resolve':{'openchannelInteractions':['apiResolver',function(_0x4fcc86){const _0x2674ae=_0x42ea77;return _0x4fcc86['resolve'](_0x2674ae(0xe0c),{'createdAt':{'$gte':_0x543b5a()()['startOf'](_0x2674ae(0x1a5))[_0x2674ae(0x17d9)](0x0,!![])['format'](),'$lte':_0x543b5a()()['endOf']('day')['utcOffset'](0x0,!![])[_0x2674ae(0x1f31)]()},'nolimit':!![]});}],'openchannelAccounts':[_0x42ea77(0x1e0b),'Auth',function(_0x119935,_0x165e9f){const _0x162eb4=_0x42ea77;return _0x165e9f[_0x162eb4(0x22b6)](_0x162eb4(0x1c60))?_0x119935[_0x162eb4(0x19a3)](_0x162eb4(0x1183),{'fields':_0x162eb4(0x19b4),'sort':_0x162eb4(0x282),'nolimit':!![]}):_0x119935[_0x162eb4(0x19a3)](_0x162eb4(0x12da),{'id':_0x165e9f['getCurrentUser']()[_0x162eb4(0x13c1)],'section':'OpenchannelAccounts','fields':_0x162eb4(0x19b4),'sort':'-updatedAt','nolimit':!![]});}]},'authenticate':!![],'bodyClass':_0x42ea77(0xd41)})[_0x42ea77(0x27e0)]('app.dashboards.general.fax',{'url':_0x42ea77(0xf93),'data':{'selectedTab':0x6},'views':{'fax':{'templateUrl':_0x6d35e7,'controller':_0x42ea77(0x2693)}},'resolve':{'faxInteractions':[_0x42ea77(0x1e0b),function(_0x46125c){const _0x1a8ac7=_0x42ea77;return _0x46125c[_0x1a8ac7(0x19a3)](_0x1a8ac7(0x1481),{'createdAt':{'$gte':_0x543b5a()()[_0x1a8ac7(0x1be0)](_0x1a8ac7(0x1a5))[_0x1a8ac7(0x17d9)](0x0,!![])[_0x1a8ac7(0x1f31)](),'$lte':_0x543b5a()()[_0x1a8ac7(0x1b4)](_0x1a8ac7(0x1a5))['utcOffset'](0x0,!![])[_0x1a8ac7(0x1f31)]()},'nolimit':!![]});}],'faxAccounts':[_0x42ea77(0x1e0b),'Auth',function(_0x2f4202,_0x3a28b1){const _0x5a7046=_0x42ea77;return _0x3a28b1[_0x5a7046(0x22b6)](_0x5a7046(0x1c60))?_0x2f4202[_0x5a7046(0x19a3)](_0x5a7046(0x1e1a),{'fields':_0x5a7046(0x2639),'sort':'-updatedAt','limit':0xa,'offset':0x0}):_0x2f4202[_0x5a7046(0x19a3)](_0x5a7046(0x12da),{'id':_0x3a28b1[_0x5a7046(0x21e8)]()[_0x5a7046(0x13c1)],'section':_0x5a7046(0x1ffe),'fields':'createdAt,updatedAt,id,name,key,headerinfo,TrunkId,localstationid,ListId,waitForTheAssignedAgent,description,ecm,minrate,maxrate,modem,gateway,faxdetect,t38timeout,tech,acceptMethod,acceptUrl,rejectMethod,rejectUrl,closeMethod,closeUrl,notificationSound,notificationShake,notificationTemplate','sort':_0x5a7046(0x282),'limit':0xa,'offset':0x0});}]},'authenticate':!![],'bodyClass':_0x42ea77(0xd41)})[_0x42ea77(0x27e0)](_0x42ea77(0x270f),{'url':'/whatsapp','data':{'selectedTab':0x7},'views':{'whatsapp':{'templateUrl':_0xead538,'controller':_0x42ea77(0x118c)}},'resolve':{'whatsappInteractions':[_0x42ea77(0x1e0b),function(_0x4b4832){const _0x1bff2a=_0x42ea77;return _0x4b4832[_0x1bff2a(0x19a3)](_0x1bff2a(0x24b1),{'createdAt':{'$gte':_0x543b5a()()[_0x1bff2a(0x1be0)]('day')[_0x1bff2a(0x17d9)](0x0,!![])[_0x1bff2a(0x1f31)](),'$lte':_0x543b5a()()[_0x1bff2a(0x1b4)](_0x1bff2a(0x1a5))[_0x1bff2a(0x17d9)](0x0,!![])['format']()},'nolimit':!![]});}],'whatsappAccounts':[_0x42ea77(0x1e0b),'Auth',function(_0x255880,_0x1706a3){const _0x205a32=_0x42ea77;return _0x1706a3[_0x205a32(0x22b6)](_0x205a32(0x1c60))?_0x255880[_0x205a32(0x19a3)](_0x205a32(0xa74),{'fields':_0x205a32(0x556),'sort':_0x205a32(0x282),'limit':0xa,'offset':0x0}):_0x255880['resolve'](_0x205a32(0x12da),{'id':_0x1706a3[_0x205a32(0x21e8)]()['userProfileId'],'section':'WhatsappAccounts','fields':_0x205a32(0x556),'sort':'-updatedAt','limit':0xa,'offset':0x0});}]},'authenticate':!![],'bodyClass':'dashboards'});}angular[_0x5537c6(0x9ab)]('app.dashboards.general.user',[])[_0x5537c6(0xa60)](_0x21c30c)[_0x5537c6(0x6e5)]('UserChatDashboardGeneralController',_0x4f26fe)['controller']('UserVoiceDashboardDialerController',_0x422e3c)[_0x5537c6(0x6e5)](_0x5537c6(0x1c30),_0x408c44)['controller'](_0x5537c6(0x2277),_0x509590)[_0x5537c6(0x6e5)](_0x5537c6(0x26cd),_0x1795e0)[_0x5537c6(0x6e5)](_0x5537c6(0x3bb),_0x47b9ca)[_0x5537c6(0x1c99)](_0x5537c6(0x20d2),_0x8db984)['factory'](_0x5537c6(0x1aaf),_0x1c8025)[_0x5537c6(0x234a)](_0x5537c6(0x1661),_0x9a195f)[_0x5537c6(0x6e5)]('UserDashboardGeneralController',_0xa32479)['controller'](_0x5537c6(0x28ec),_0x1f6b99)[_0x5537c6(0x6e5)](_0x5537c6(0x617),_0x1242aa);;const _0x3769c1=_0x5074a3['p']+_0x5537c6(0x1fce);;const _0x1e8e26=_0x5074a3['p']+_0x5537c6(0x1356);var _0x5082e6=_0x5074a3(0x1d2),_0x32329f=_0x5074a3['n'](_0x5082e6);;_0x1447cc[_0x5537c6(0x15b6)]=[_0x5537c6(0x1196),'$translate','$window',_0x5537c6(0x1774)],_0x152a73[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$timeout',_0x5537c6(0xcb9),'$window','$translate',_0x5537c6(0x22bf),_0x5537c6(0x1774),_0x5537c6(0x142b),'socket',_0x5537c6(0x9bf),_0x5537c6(0x1fbc),_0x5537c6(0x1927),_0x5537c6(0x24f0),_0x5537c6(0x12c6),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x2089),'msUtils'];function _0x152a73(_0x524f33,_0x5bbdb8,_0x9922d4,_0x39d4af,_0x1f8969,_0x216f30,_0x3f2f7a,_0x48746c,_0x13f33d,_0x51ff93,_0x3478dc,_0x541abd,_0x53f995,_0x1b5b93,_0x1d9683,_0x3afe34,_0x25f297,_0x4efaaf){const _0x2d0807=_0x5537c6,_0x31866f=this;_0x31866f[_0x2d0807(0x8a5)]=_0x1d9683,_0x31866f[_0x2d0807(0x9ca)]=_0x3afe34,_0x31866f[_0x2d0807(0x21b9)]=_0x4efaaf[_0x2d0807(0x21b9)](),_0x31866f[_0x2d0807(0xebe)]=_0x3f2f7a[_0x2d0807(0x21e8)](),_0x31866f['currentTab']=0x0,_0x31866f['notifications']={},_0x31866f[_0x2d0807(0x2e3)]={},_0x31866f[_0x2d0807(0x707)]={},_0x31866f['globalUserLists']=_0x3478dc||{'count':0x0,'rows':[]},_0x31866f[_0x2d0807(0x1927)]=_0x541abd||{'count':0x0,'rows':[]},_0x31866f['globalCompanies']=_0x53f995||{'count':0x0,'rows':[]},_0x31866f[_0x2d0807(0x12c6)]=_0x1b5b93||{'count':0x0,'rows':[]},_0x31866f[_0x2d0807(0x1111)]=_0x53d9d8,_0x31866f[_0x2d0807(0xf54)]=_0x4c0c1b,_0x31866f[_0x2d0807(0x680)]=_0x1574a3,_0x31866f[_0x2d0807(0x1bac)]=_0x4afabc,_0x31866f['addInteractionTab']=_0x2fe095,_0x31866f['addTab']=_0x3a03ed,_0x31866f['removeTab']=_0x52c9f5,_0x31866f[_0x2d0807(0x1c7c)]=_0x2701c7,_0x31866f['closeTab']=_0x311b98,_0x13f33d['on'](_0x2d0807(0x538),_0x1216d6),_0x13f33d['on']('trigger:jscripty',_0x8ed138),_0x13f33d['on'](_0x2d0807(0x299e),_0x24dea6),_0x13f33d['on'](_0x2d0807(0xa1a),_0x49f983),_0x13f33d['on'](_0x2d0807(0x1133),_0x43c52a),_0x13f33d['on']('notification:save',_0x55b783),_0x13f33d['on'](_0x2d0807(0x1e12),_0x17a8f3),_0x13f33d['on']('voice_queue_channel:remove',_0x4b7e07),_0x13f33d['on'](_0x2d0807(0x4a6),_0x4b7e07),_0x564cc0();function _0x564cc0(){const _0x530d42=_0x2d0807;let _0x1e4cf8=_0x39d4af[_0x530d42(0x1b83)][_0x530d42(0x2091)](_0x530d42(0x2743)+_0x31866f['user']['id']);if(_0x1e4cf8)try{_0x1e4cf8=JSON[_0x530d42(0xefe)](_0x1e4cf8),_0x31866f[_0x530d42(0x2e3)]=_0x1e4cf8['tabs']?_0x1e4cf8['tabs']:{},_0x31866f[_0x530d42(0x160f)]=_0x1e4cf8['currentTab']?_0x1e4cf8[_0x530d42(0x160f)]:0x0,_0x1eb407(_0x31866f[_0x530d42(0x2e3)][_0x31866f['currentTab']]);}catch(_0x5e46ad){console[_0x530d42(0x218e)](_0x5e46ad);}}function _0x2701c7(_0x5291ef){const _0x5aea8d=_0x2d0807,_0x1729d9={};for(const _0x3033fd in _0x31866f['tabs']){typeof _0x31866f[_0x5aea8d(0x2e3)][_0x3033fd]!==_0x5aea8d(0x16b5)&&(_0x1729d9[_0x3033fd]=_0x39641b()['pick'](_0x31866f[_0x5aea8d(0x2e3)][_0x3033fd],[_0x5aea8d(0x66a),_0x5aea8d(0x1386),_0x5aea8d(0x1072),'icon',_0x5aea8d(0x28b8),_0x5aea8d(0x646),'id',_0x5aea8d(0x167f),'badges']),!_0x39641b()['isNil'](_0x31866f[_0x5aea8d(0x2e3)][_0x3033fd][_0x5aea8d(0x8b1)])&&(_0x1729d9[_0x3033fd][_0x5aea8d(0x8b1)]=_0x39641b()['pick'](_0x31866f[_0x5aea8d(0x2e3)][_0x3033fd][_0x5aea8d(0x8b1)],['id',_0x5aea8d(0x20a6)])),!_0x39641b()['isNil'](_0x31866f['tabs'][_0x3033fd][_0x5aea8d(0x13e2)])&&(_0x1729d9[_0x3033fd][_0x5aea8d(0x13e2)]=_0x31866f['tabs'][_0x3033fd]['calls']),_0x31866f[_0x5aea8d(0x2e3)][_0x3033fd]['interaction']&&(_0x1729d9[_0x3033fd][_0x5aea8d(0x10e4)]=_0x39641b()[_0x5aea8d(0x169b)](_0x31866f[_0x5aea8d(0x2e3)][_0x3033fd][_0x5aea8d(0x10e4)],['id',_0x5aea8d(0x1d1e),_0x5aea8d(0x1285),_0x5aea8d(0x67c),_0x5aea8d(0x18e9),_0x5aea8d(0x1a2),_0x5aea8d(0xfc8),'closed','draft'])));}let _0x1feaaa=JSON[_0x5aea8d(0xefe)](_0x39d4af[_0x5aea8d(0x1b83)]['getItem']('motion2.user:'+_0x31866f[_0x5aea8d(0xebe)]['id']));_0x1feaaa?(_0x1feaaa[_0x5aea8d(0x2e3)]=_0x1729d9,_0x1feaaa[_0x5aea8d(0x160f)]=_0x31866f['currentTab']):_0x1feaaa={'tabs':_0x1729d9,'currentTab':_0x31866f[_0x5aea8d(0x160f)]},_0x39d4af[_0x5aea8d(0x1b83)][_0x5aea8d(0x13a8)]('motion2.user:'+_0x31866f[_0x5aea8d(0xebe)]['id'],JSON[_0x5aea8d(0x2701)](_0x1feaaa)),_0x5291ef&&_0x1eb407(_0x5291ef);}function _0x1eb407(_0x1e9681){const _0xa0b758=_0x2d0807;if(_0x39641b()[_0xa0b758(0x958)](_0x1e9681)||_0x1e9681&&_0x1e9681[_0xa0b758(0x66a)]===_0xa0b758(0x10e4)){const _0x1d73b8={'id':_0x31866f[_0xa0b758(0xebe)]['id'],'online':!![],'capacity':{'mail':0x0,'fax':0x0,'sms':0x0,'whatsapp':0x0,'openchannel':0x0,'chat':0x0},'interaction':{'mail':[],'fax':[],'sms':[],'whatsapp':[],'openchannel':[],'chat':[]}};for(const _0x1a0632 in _0x31866f[_0xa0b758(0x2e3)]){typeof _0x31866f['tabs'][_0x1a0632]!==_0xa0b758(0x16b5)&&(typeof _0x1d73b8['capacity'][_0x31866f['tabs'][_0x1a0632][_0xa0b758(0x1072)]]!==_0xa0b758(0x16b5)&&_0x31866f['tabs'][_0x1a0632][_0xa0b758(0x10e4)]&&!_0x31866f[_0xa0b758(0x2e3)][_0x1a0632][_0xa0b758(0x10e4)]['closed']&&(_0x1d73b8['capacity'][_0x31866f[_0xa0b758(0x2e3)][_0x1a0632][_0xa0b758(0x1072)]]+=0x1,_0x1d73b8['interaction'][_0x31866f[_0xa0b758(0x2e3)][_0x1a0632]['channel']][_0xa0b758(0x2785)](_0x31866f[_0xa0b758(0x2e3)][_0x1a0632][_0xa0b758(0x10e4)]['id'])));}if(!_0x39641b()[_0xa0b758(0x22a)](_0x31866f['agentCapacity'],_0x1d73b8[_0xa0b758(0x18f2)]))return _0x31866f[_0xa0b758(0x707)]=_0x1d73b8[_0xa0b758(0x18f2)],_0x48746c['rpc']['setAgentCapacity'](_0x1d73b8);}}function _0x311b98(_0x129116){const _0x1d1eea=_0x2d0807;let _0x164947=![];const _0x4a475f=_0x9922d4[_0x1d1eea(0x1551)]()[_0x1d1eea(0x1386)](_0x129116[_0x1d1eea(0x66a)]===_0x1d1eea(0x10e4)?_0x1d1eea(0x1435):_0x1d1eea(0x1618)+_0x129116[_0x1d1eea(0x66a)]+'?')[_0x1d1eea(0x1152)](_0x129116[_0x1d1eea(0x66a)]===_0x1d1eea(0x10e4)?'':_0x1d1eea(0xd4c)+_0x129116['type']+'\x20has\x20been\x20modified.\x20Closing\x20will\x20lose\x20all\x20changes!')[_0x1d1eea(0x15ad)](_0x1d1eea(0x25b2))['ok'](_0x129116[_0x1d1eea(0x66a)]==='interaction'?_0x1d1eea(0x2439):'OK')[_0x1d1eea(0x696)](_0x129116[_0x1d1eea(0x66a)]===_0x1d1eea(0x10e4)?'NO':'CANCEL');if(_0x129116[_0x1d1eea(0x167f)]){let _0x42a00e=![];_0x39641b()[_0x1d1eea(0xf90)](_0x129116[_0x1d1eea(0x13e2)],function(_0x578115){const _0x150b8d=_0x1d1eea;_0x578115[_0x150b8d(0x1935)]&&(_0x42a00e=!![]);});if(_0x129116['type']===_0x1d1eea(0x8b1)&&_0x129116['calls'][_0x1d1eea(0xfd0)]>0x0){let _0x45dca=undefined;if(_0x42a00e)_0x45dca=_0x9922d4[_0x1d1eea(0x494)]()[_0x1d1eea(0x1386)](_0x1d1eea(0xf74))[_0x1d1eea(0x1152)]('There\x20is\x20a\x20call\x20that\x20hasn\x27t\x20been\x20disposed\x20yet.')['ok']('OK'),_0x9922d4['show'](_0x45dca)[_0x1d1eea(0x2e0)](function(){_0x45dca=undefined;});else return _0x4a475f[_0x1d1eea(0x280d)][_0x1d1eea(0x1152)]='There\x20is\x20a\x20call\x20that\x20hasn\x27t\x20been\x20disposed\x20yet.',_0x9922d4[_0x1d1eea(0xe27)](_0x4a475f)['then'](function(){_0x52c9f5(_0x129116);});}else _0x52c9f5(_0x129116);}else{const _0x488f52=_0x31866f[_0x1d1eea(0xebe)][_0x1d1eea(0x2478)]||_0x31866f['user']['chatPause']||_0x31866f[_0x1d1eea(0xebe)][_0x1d1eea(0x2035)]||_0x31866f['user']['openchannelPause']||_0x31866f[_0x1d1eea(0xebe)][_0x1d1eea(0x1ce)];return _0x164947=![],_0x129116['type']===_0x1d1eea(0x10e4)&&(_0x164947=_0x129116['interaction'][_0x1d1eea(0x1649)][_0x1d1eea(0x1935)]),_0x9922d4['show'](_0x4a475f)[_0x1d1eea(0x1cb0)](function(){const _0x3d5407=_0x1d1eea;_0x129116[_0x3d5407(0x66a)]==='interaction'&&_0x9922d4[_0x3d5407(0xe27)]({'controller':'DisposeInteractionDialogController','controllerAs':'vm','templateUrl':_0x3769c1,'parent':angular[_0x3d5407(0x1853)](_0x216f30[_0x3d5407(0x1ed9)]),'onRemoving':function(){const _0x49d462=_0x3d5407;_0x31866f[_0x49d462(0xebe)]&&_0x164947&&!_0x488f52&&_0x48746c[_0x49d462(0xebe)][_0x49d462(0xf5d)]({'id':_0x31866f[_0x49d462(0xebe)]['id']})[_0x49d462(0x1d77)][_0x49d462(0x1c4)](function(_0x193da1){const _0x5237f0=_0x49d462;console[_0x5237f0(0x218e)](_0x193da1);});},'clickOutsideToClose':!_0x164947,'escapeToClose':!_0x164947,'locals':{'user':_0x31866f[_0x3d5407(0xebe)],'channel':_0x129116['channel'],'interaction':_0x129116['interaction']}}),_0x52c9f5(_0x129116);})[_0x1d1eea(0x1c4)](function(){const _0x24c75c=_0x1d1eea;_0x129116[_0x24c75c(0x66a)]==='interaction'&&_0x52c9f5(_0x129116);});}}function _0x2fa0fb(_0xaa7f88){const _0x22f853=_0x2d0807;if(_0xaa7f88['channel']&&_0xaa7f88['interaction'])return _0x48746c[_0x22f853(0xebe)][_0x22f853(0x152a)+_0x39641b()[_0x22f853(0x277)](_0xaa7f88['channel'])+_0x22f853(0xd9a)]({'id':_0x31866f[_0x22f853(0xebe)]['id'],'ids':[_0xaa7f88[_0x22f853(0x10e4)]['id']]})[_0x22f853(0x1d77)]['catch'](function(_0x1bb694){console['error'](_0x1bb694);});}function _0x52c9f5(_0x25e704){const _0x146df2=_0x2d0807;if(_0x31866f[_0x146df2(0x2e3)][_0x25e704['id']]){_0x2fa0fb(_0x31866f[_0x146df2(0x2e3)][_0x25e704['id']]),delete _0x31866f[_0x146df2(0x2e3)][_0x25e704['id']],_0x31866f['currentTab']=0x0,_0x2701c7(_0x25e704);if(_0x25e704[_0x146df2(0x66a)]===_0x146df2(0x10e4))return _0x48746c[_0x25e704[_0x146df2(0x1072)]+_0x146df2(0x2735)]['get']({'id':_0x25e704[_0x146df2(0x10e4)]['id']})['$promise'][_0x146df2(0x1cb0)](function(_0x46d000){const _0x24008e=_0x146df2;return _0x48746c[_0x24008e(0xebe)][_0x24008e(0x152a)+_0x39641b()[_0x24008e(0x277)](_0x25e704[_0x24008e(0x1072)])+'Interactions']({'id':_0x31866f[_0x24008e(0xebe)]['id'],'ids':[_0x46d000['id']]})[_0x24008e(0x1d77)];})[_0x146df2(0x1c4)](function(_0x396e2a){const _0x38382a=_0x146df2;console[_0x38382a(0x218e)](_0x396e2a);});}}function _0x3a03ed(_0x41998f){const _0x3715e6=_0x2d0807;_0x41998f['id']=_0x39641b()['random'](0x2540be400),_0x41998f['openedAt']=new Date(),_0x41998f['saved']=![],_0x41998f['badges']=0x0,_0x31866f[_0x3715e6(0x2e3)][_0x41998f['id']]=_0x41998f,_0x31866f[_0x3715e6(0x160f)]=_0x41998f['id'],_0x2701c7(_0x41998f);}function _0x4c0c1b(_0x2fdc8d){return _0x2fdc8d===_0x31866f['currentTab'];}function _0x53d9d8(_0x3c7f76){const _0x21ab7d=_0x2d0807;_0x31866f[_0x21ab7d(0x160f)]=_0x3c7f76,_0x2701c7(_0x31866f[_0x21ab7d(0x2e3)][_0x3c7f76]);}function _0x1574a3(_0x5fef6){const _0x10f942=_0x2d0807;return _0x39641b()[_0x10f942(0x13b4)](_0x31866f[_0x10f942(0x2e3)],function(_0x10a54c){const _0x52ce0f=_0x10f942;return _0x10a54c[_0x52ce0f(0x66a)]===_0x52ce0f(0x10e4)&&_0x10a54c[_0x52ce0f(0x10e4)]&&_0x10a54c[_0x52ce0f(0x10e4)]['id']==_0x5fef6['interactionId']&&_0x10a54c[_0x52ce0f(0x1072)]==_0x5fef6['channel'];});}function _0x36bc04(_0xcd2fe8){const _0xaaa41c=_0x2d0807;let _0x17547e='';if(_0xcd2fe8){if(_0xcd2fe8[_0xaaa41c(0x1491)]||_0xcd2fe8[_0xaaa41c(0x1fbb)])_0xcd2fe8[_0xaaa41c(0x1491)]&&(_0x17547e+=_0xcd2fe8[_0xaaa41c(0x1491)]+'\x20'),_0xcd2fe8[_0xaaa41c(0x1fbb)]&&(_0x17547e+=_0xcd2fe8['lastName']);else _0xcd2fe8[_0xaaa41c(0x1340)]&&(_0x17547e=_0xcd2fe8[_0xaaa41c(0x1340)]);}return _0x17547e;}function _0x5af15d(_0x388d4e){const _0x4935c5=_0x2d0807;switch(_0x388d4e){case _0x4935c5(0x174c):return _0x4935c5(0x2181);case _0x4935c5(0x2651):return _0x4935c5(0xfbd);case _0x4935c5(0x689):return'icon-message-text';case _0x4935c5(0x15e0):return _0x4935c5(0x694);case'fax':return _0x4935c5(0x16d3);case _0x4935c5(0x2476):return'icon-whatsapp';default:return _0x4935c5(0x2181);}}function _0x2de27a(_0x3d30cd){const _0x4f1913=_0x2d0807;switch(_0x3d30cd){case _0x4f1913(0x174c):return _0x4f1913(0x7b5);case'mail':return _0x4f1913(0x15f2);case'sms':return _0x4f1913(0x1373);case _0x4f1913(0x15e0):return _0x4f1913(0x1f1f);case _0x4f1913(0x1944):return'blue-grey-A200';case _0x4f1913(0x2476):return _0x4f1913(0x1995);default:return _0x4f1913(0x2495);}}function _0x4afabc(_0xaea701,_0x11a43e){const _0x7df94c=_0x2d0807,_0x55d654={'type':_0x7df94c(0x8b1),'title':_0xaea701?_0x36bc04(_0xaea701):_0x1f8969['instant']('APP.NEW_CONTACT'),'icon':_0x7df94c(0xdd9),'color':_0x7df94c(0x1a72),'contact':_0xaea701,'calls':_0x11a43e?[_0x11a43e]:[],'autoCreate':_0x11a43e?_0x11a43e['autoCreate']:0x0};_0x11a43e&&(!_0x11a43e[_0x7df94c(0x2052)]&&(_0x11a43e[_0x7df94c(0x2052)]=_0x11a43e[_0x7df94c(0x2708)]),(_0x11a43e[_0x7df94c(0x1027)]||_0x11a43e[_0x7df94c(0x2134)])&&_0x1c194a(_0x11a43e));if(_0xaea701){const _0x1b8e9b=_0x39641b()[_0x7df94c(0x13b4)](_0x31866f[_0x7df94c(0x2e3)],function(_0x11b837){const _0x1b4d96=_0x7df94c;if(_0x11b837['contact']&&_0x11b837['contact']['id'])return _0x11b837['type']===_0x1b4d96(0x8b1)&&_0x11b837['contact']&&_0x11b837[_0x1b4d96(0x8b1)]['id']==_0xaea701['id'];return _0x11b837[_0x1b4d96(0x66a)]==='contact'&&_0x11b837[_0x1b4d96(0x8b1)]&&_0x11b837[_0x1b4d96(0x8b1)][_0x1b4d96(0x1340)]==_0xaea701[_0x1b4d96(0x1340)];});if(_0x1b8e9b){if(_0x11a43e)return _0x48746c[_0x7df94c(0x11f2)][_0x7df94c(0xbf7)]({'uniqueid':_0x11a43e[_0x7df94c(0x1425)]})['$promise'][_0x7df94c(0x1cb0)](function(_0x4948f9){const _0x315944=_0x7df94c;_0x4948f9&&_0x4948f9[_0x315944(0x2214)][_0x315944(0xfd0)]>0x0&&(_0x11a43e[_0x315944(0x1bc7)]=_0x39641b()['first'](_0x4948f9[_0x315944(0x2214)])['endtime']);if(_0x1b8e9b[_0x315944(0x13e2)]){const _0x44a0ee=_0x39641b()[_0x315944(0x13b4)](_0x1b8e9b['calls'],function(_0x28f0e4){const _0x20f211=_0x315944;return _0x28f0e4[_0x20f211(0x1425)]===_0x11a43e[_0x20f211(0x1425)];});!_0x44a0ee&&_0x1b8e9b[_0x315944(0x13e2)][_0x315944(0x2785)](_0x11a43e);}else _0x1b8e9b[_0x315944(0x13e2)]=[_0x11a43e];_0x31866f[_0x315944(0x160f)]=_0x1b8e9b['id'];});}else{if(_0xaea701[_0x7df94c(0x20a6)])return _0x48746c[_0x7df94c(0x1746)][_0x7df94c(0xbf7)]({'ListId':_0xaea701[_0x7df94c(0x20a6)]})['$promise'][_0x7df94c(0x1cb0)](function(_0x24aac1){const _0x1ee701=_0x7df94c;return _0x55d654[_0x1ee701(0xdf2)]=_0x24aac1,_0x48746c['cmList'][_0x1ee701(0x178f)]({'id':_0xaea701[_0x1ee701(0x20a6)]})[_0x1ee701(0x1d77)];})[_0x7df94c(0x1cb0)](function(_0x2b3bea){const _0xbc1ac0=_0x7df94c;_0x55d654[_0xbc1ac0(0x2242)]=_0x2b3bea;})['catch'](_0x439e21())[_0x7df94c(0x2e0)](function(){_0x3a03ed(_0x55d654);});else _0x3a03ed(_0x55d654);}}else _0x3a03ed(_0x55d654);}function _0x2fe095(_0x17184b,_0x44f110){const _0x26f890=_0x2d0807;let _0x51cfa1=_0x39641b()['find'](_0x31866f[_0x26f890(0x2e3)],function(_0x2fb90c){const _0x3dc88b=_0x26f890;return _0x2fb90c['type']===_0x3dc88b(0x10e4)&&_0x2fb90c[_0x3dc88b(0x10e4)]&&_0x2fb90c['interaction']['id']===_0x44f110['id']&&_0x2fb90c[_0x3dc88b(0x1072)]===_0x17184b;});_0x51cfa1?(_0x51cfa1[_0x26f890(0xd34)]=_0x44f110[_0x26f890(0xd34)]||![],_0x31866f['currentTab']=_0x51cfa1['id']):(_0x51cfa1={'type':_0x26f890(0x10e4),'title':_0x17184b?_0x17184b[_0x26f890(0x2335)]():_0x26f890(0x15e0),'icon':_0x5af15d(_0x17184b),'color':_0x2de27a(_0x17184b),'channel':_0x17184b,'interaction':_0x44f110,'isDraft':_0x44f110[_0x26f890(0xd34)]||![]},_0x3a03ed(_0x51cfa1));}function _0x2a3200(_0x691f4){const _0x2f60a5=_0x2d0807,_0x47e6e0={'autoClose':!![],'duration':0xa,'showOnPageHidden':!![],'icon':_0x2f60a5(0x1346),'body':_0x691f4[_0x2f60a5(0x11cf)]?'Queue:\x20'+_0x691f4['queue']:'','focusOnclick':!![],'closeOnClick':!![]};_0x25f297[_0x2f60a5(0xe27)](_0x691f4[_0x2f60a5(0x1386)],_0x47e6e0);}function _0x3b8430(_0x17fe7e){const _0x87a902=_0x2d0807;_0x25f297[_0x87a902(0x8e4)]()?_0x25f297[_0x87a902(0x1248)]()['then'](function(){_0x2a3200(_0x17fe7e);},function(_0x4c5ec1){const _0x3a7a28=_0x87a902;console[_0x3a7a28(0x218e)](_0x3a7a28(0xc17),_0x4c5ec1);}):console[_0x87a902(0x218e)](_0x87a902(0x276a));}function _0x55b783(_0x4c5344){const _0x2c6e2f=_0x2d0807;if(_0x4c5344&&_0x4c5344[_0x2c6e2f(0x1425)]){_0x3b8430(_0x4c5344);const _0xa67c5b=_0x1574a3(_0x4c5344);if(_0xa67c5b){if(_0x48746c[_0x4c5344[_0x2c6e2f(0x1072)]+_0x2c6e2f(0x27df)])return _0x31866f[_0x2c6e2f(0x160f)]!==_0xa67c5b['id']&&(_0xa67c5b['badges']+=0x1),_0x48746c[_0x4c5344[_0x2c6e2f(0x1072)]+_0x2c6e2f(0x27df)]['accept']({'id':_0x4c5344['id'],'UserId':_0x31866f['user']['id']})[_0x2c6e2f(0x1d77)]['catch'](_0x439e21());}else _0x51ff93[_0x2c6e2f(0x1b94)]({'title':_0x4c5344[_0x2c6e2f(0x1386)],'msg':_0x4c5344[_0x2c6e2f(0xee7)]||'','showClose':![],'clickToClose':![],'timeout':![],'sound':_0x4c5344['sound'],'html':!![],'shake':_0x4c5344['shake']||![],'onAdd':function(){const _0x654f14=_0x2c6e2f;_0x31866f[_0x654f14(0xfbc)][_0x4c5344[_0x654f14(0x1425)]]=this['id'];},'onAccept':function(){const _0x296efc=_0x2c6e2f;_0x17a8f3(_0x4c5344);if(_0x48746c[_0x4c5344[_0x296efc(0x1072)]+_0x296efc(0x27df)])return _0x48746c[_0x4c5344[_0x296efc(0x1072)]+_0x296efc(0x27df)]['accept']({'id':_0x4c5344['id'],'UserId':_0x31866f[_0x296efc(0xebe)]['id'],'manual':!![]})['$promise'][_0x296efc(0x1cb0)](function(_0x430d9d){const _0x5ccec7=_0x296efc;_0x2fe095(_0x430d9d[_0x5ccec7(0x1072)],_0x430d9d[_0x5ccec7(0x10e4)]),_0x51ff93[_0x5ccec7(0x829)]({'title':'Message\x20properly\x20accepted!','msg':_0x5ccec7(0x25e9)});})['catch'](_0x439e21());},'onReject':function(){const _0x33e81e=_0x2c6e2f;_0x17a8f3(_0x4c5344);if(_0x48746c[_0x4c5344['channel']+_0x33e81e(0x27df)])return _0x48746c[_0x4c5344['channel']+_0x33e81e(0x27df)][_0x33e81e(0x988)]({'id':_0x4c5344['id'],'UserId':_0x31866f['user']['id']})[_0x33e81e(0x1d77)][_0x33e81e(0x1cb0)](function(){const _0x4e4b6f=_0x33e81e;_0x51ff93[_0x4e4b6f(0x829)]({'title':_0x4e4b6f(0x32c),'msg':_0x4e4b6f(0xe86)});})[_0x33e81e(0x1c4)](_0x439e21());}});}}function _0x17a8f3(_0x1ea7fd){const _0x16c01f=_0x2d0807;_0x1ea7fd&&_0x1ea7fd['uniqueid']&&(_0x31866f[_0x16c01f(0xfbc)][_0x1ea7fd[_0x16c01f(0x1425)]]&&(_0x51ff93[_0x16c01f(0x20a0)](_0x31866f[_0x16c01f(0xfbc)][_0x1ea7fd[_0x16c01f(0x1425)]]),delete _0x31866f[_0x16c01f(0xfbc)][_0x1ea7fd[_0x16c01f(0x1425)]]));}function _0x1216d6(_0x4fb894){const _0x3f4a87=_0x2d0807;if(!_0x4fb894[_0x3f4a87(0x7eb)]){_0x4afabc(_0x4fb894['contact'],_0x4fb894[_0x3f4a87(0xccf)]);return;}_0x9922d4[_0x3f4a87(0xe27)]({'controller':_0x3f4a87(0x254d),'controllerAs':'vm','templateUrl':_0x1e8e26,'parent':angular['element'](_0x216f30['body']),'locals':{'contacts':_0x4fb894[_0x3f4a87(0x7eb)],'callNumber':_0x4fb894['evt'][_0x3f4a87(0xedf)]?_0x4fb894[_0x3f4a87(0xccf)]['destcalleridnum']:_0x4fb894[_0x3f4a87(0xccf)][_0x3f4a87(0x17d3)]}})[_0x3f4a87(0x1cb0)](function(_0x637174){_0x4afabc(_0x637174,_0x4fb894['evt']);});}function _0x8ed138(_0x19b0e1){const _0x27987d=_0x2d0807;return _0x48746c['jscriptyProject'][_0x27987d(0xbf7)]({'id':_0x19b0e1[_0x27987d(0x1a48)]})[_0x27987d(0x1d77)][_0x27987d(0x1cb0)](function(_0x1b72ad){const _0x311125=_0x27987d;if(_0x1b72ad){if(_0x39641b()['isUndefined'](_0x19b0e1[_0x311125(0xf6c)])){try{_0x1b72ad[_0x311125(0x1b8c)]=_0x32329f()[_0x311125(0x1778)](_0x1b72ad[_0x311125(0x1b8c)],_0x39641b()[_0x311125(0x9c1)](_0x19b0e1,{'user':_0x31866f[_0x311125(0xebe)]}));}catch(_0x396194){console[_0x311125(0x218e)](_0x396194);}_0x3a03ed({'type':'jscripty','title':_0x1b72ad['name'],'icon':'icon-script','color':'grey','project':_0x1b72ad,'call':_0x19b0e1});}else return _0x48746c[_0x311125(0xbe7)][_0x311125(0xbf7)]({'id':_0x19b0e1['xmd-contactid']})[_0x311125(0x1d77)][_0x311125(0x1cb0)](function(_0x1841d1){const _0xc586f7=_0x311125;try{_0x1b72ad[_0xc586f7(0x1b8c)]=_0x32329f()[_0xc586f7(0x1778)](_0x1b72ad['formData'],_0x39641b()[_0xc586f7(0x9c1)](_0x19b0e1,{'user':_0x31866f[_0xc586f7(0xebe)],'contact':_0x1841d1}));}catch(_0x1a9cdb){console[_0xc586f7(0x218e)](_0x1a9cdb);}_0x3a03ed({'type':'jscripty','title':_0x1b72ad['name'],'icon':'icon-script','color':_0xc586f7(0x2495),'project':_0x1b72ad,'call':_0x19b0e1,'contact':_0x1841d1});})[_0x311125(0x1c4)](_0x439e21());}})[_0x27987d(0x1c4)](_0x439e21());}function _0x49f983(_0x31fff6){const _0x23b6cd=_0x2d0807;if(_0x31fff6&&_0x31fff6[_0x23b6cd(0xa0b)]){_0x31fff6[_0x23b6cd(0xa0b)]=_0x39641b()[_0x23b6cd(0x288f)](_0x31fff6[_0x23b6cd(0xa0b)],_0x23b6cd(0x6c2),''),_0x31fff6['uri']=_0x39641b()['replace'](_0x31fff6[_0x23b6cd(0xa0b)],_0x23b6cd(0x164e),'');if(_0x31fff6[_0x23b6cd(0x14a3)])switch(_0x31fff6[_0x23b6cd(0x14a3)]){case'0':_0x39d4af[_0x23b6cd(0x536)](_0x31fff6['uri']);break;case'1':_0x39d4af[_0x23b6cd(0x536)](_0x31fff6['uri'],_0x23b6cd(0x22ac),_0x23b6cd(0x151f)+(_0x31fff6['windowWidth']||_0x23b6cd(0x175))+_0x23b6cd(0xaeb)+_0x31fff6[_0x23b6cd(0x18c3)]||0x0);break;case'2':{const _0x3d22f8={'type':'iframe','title':_0x31fff6[_0x23b6cd(0xa0b)],'icon':_0x23b6cd(0x694),'color':'blue','src':_0x31fff6[_0x23b6cd(0xa0b)]};_0x3a03ed(_0x3d22f8);}break;default:_0x39d4af['open'](_0x31fff6[_0x23b6cd(0xa0b)]);}else _0x39d4af['open'](_0x31fff6[_0x23b6cd(0xa0b)]);}}function _0x43c52a(_0x1b9c73){const _0x3dc932=_0x2d0807;_0x1b9c73&&_0x1b9c73[_0x3dc932(0x1d35)]&&_0x3a03ed({'type':_0x3dc932(0x10f4),'title':_0x1b9c73['calleridnum']||_0x3dc932(0x10f4),'icon':_0x3dc932(0x17fc),'color':'grey','html':_0x1b9c73[_0x3dc932(0x1d35)]});}function _0x24dea6(_0xe03325){const _0x4ea77f=_0x2d0807,_0x2858f3=_0xe03325[_0x4ea77f(0xbb5)]&&_0xe03325[_0x4ea77f(0xbb5)]!==''?_0xe03325[_0x4ea77f(0xbb5)]:_0xe03325['calleridnum'],_0x509bc8=_0xe03325['calleridnum'];return _0x48746c[_0x4ea77f(0x2858)][_0x4ea77f(0xbf7)]({'fields':'id','limit':0x1,'offset':0x0,'uniqueid':_0xe03325[_0x4ea77f(0x1425)]})[_0x4ea77f(0x1d77)][_0x4ea77f(0x1cb0)](function(_0x5e4af4){const _0x3ea60b=_0x4ea77f;_0x5e4af4['count']&&_0x3a03ed({'type':_0x3ea60b(0x1c1d),'title':_0x2858f3+'\x20<'+_0x509bc8+'>','icon':'fas\x20fa-robot','color':_0x3ea60b(0x2547),'data':{'uniqueid':_0xe03325[_0x3ea60b(0x1425)]}});});}function _0x4b7e07(_0x537bbc){const _0x8f4a8c=_0x2d0807;console[_0x8f4a8c(0xbf5)]('onVoiceChannelRemove\x20->\x20evt',_0x537bbc);for(const _0x4756e2 in _0x31866f[_0x8f4a8c(0x2e3)]){if(typeof _0x31866f[_0x8f4a8c(0x2e3)][_0x4756e2]!==_0x8f4a8c(0x16b5)){const _0x3ea419=_0x31866f[_0x8f4a8c(0x2e3)][_0x4756e2];if(_0x3ea419[_0x8f4a8c(0x13e2)]){console[_0x8f4a8c(0xbf5)](_0x8f4a8c(0x2060),_0x4756e2,_0x3ea419,_0x3ea419[_0x8f4a8c(0x13e2)]);const _0x210fc6=_0x39641b()[_0x8f4a8c(0x3c2)](_0x3ea419['calls'],function(_0x243c8d){const _0x90cb51=_0x8f4a8c;return _0x243c8d[_0x90cb51(0x1425)]===_0x537bbc['uniqueid'];});_0x210fc6>=0x0&&!_0x3ea419[_0x8f4a8c(0x13e2)][_0x210fc6][_0x8f4a8c(0x1bc7)]?(console['debug'](_0x8f4a8c(0x297b),_0x537bbc[_0x8f4a8c(0x1425)]),_0x1c194a(_0x3ea419[_0x8f4a8c(0x13e2)][_0x210fc6])):console[_0x8f4a8c(0xbf5)](_0x8f4a8c(0x226e),_0x537bbc[_0x8f4a8c(0x1425)]),_0x31866f['contact']&&_0x31866f['contact']['id']&&_0x5bbdb8(_0x2968d6(_0x537bbc[_0x8f4a8c(0x1425)],_0x31866f['contact']['id'],_0x3ea419),0x3e8);}}}_0x2701c7();}function _0x2968d6(_0x529b21,_0x1d53a0,_0x9d822b){return function(){const _0x576f69=a0_0x5cbd,_0x3edf66=_0x39641b()[_0x576f69(0x13b4)](_0x9d822b['calls'],function(_0x31dbdf){const _0x5619ce=_0x576f69;return _0x31dbdf[_0x5619ce(0x1425)]===_0x529b21;});if(_0x3edf66)return _0x48746c[_0x576f69(0x11f2)][_0x576f69(0x687)]({'id':_0x529b21,'ContactId':_0x1d53a0})[_0x576f69(0x1d77)][_0x576f69(0x1c4)](function(_0x5d9ce2){const _0x168e25=_0x576f69;console[_0x168e25(0x218e)](_0x5d9ce2);});};}function _0x1c194a(_0x3ea0f9){const _0x2f2cd3=_0x2d0807;_0x3ea0f9[_0x2f2cd3(0x1bc7)]=new Date();}function _0x439e21(){return function(_0x3cc77c){const _0x147dbd=a0_0x5cbd;if(_0x3cc77c['status']===0x194)_0x51ff93[_0x147dbd(0x1752)]({'title':_0x1f8969[_0x147dbd(0x25cc)](_0x147dbd(0x108f)),'msg':_0x1f8969['instant'](_0x147dbd(0x12ef))});else{if(_0x3cc77c[_0x147dbd(0x25c)]&&_0x3cc77c[_0x147dbd(0x25c)][_0x147dbd(0x1a7c)]&&_0x3cc77c['data']['errors']['length']){_0x31866f[_0x147dbd(0x1a7c)]=_0x3cc77c['data']['errors']||[{'message':_0x3cc77c[_0x147dbd(0x147f)](),'type':_0x147dbd(0x10ed)}];for(let _0x332dd5=0x0;_0x332dd5<_0x3cc77c['data'][_0x147dbd(0x1a7c)]['length'];_0x332dd5+=0x1){_0x51ff93[_0x147dbd(0x218e)]({'title':_0x3cc77c[_0x147dbd(0x25c)][_0x147dbd(0x1a7c)][_0x332dd5]['type'],'msg':_0x3cc77c['data'][_0x147dbd(0x1a7c)][_0x332dd5][_0x147dbd(0x155e)]});}}else _0x51ff93[_0x147dbd(0x218e)]({'title':_0x3cc77c[_0x147dbd(0x291)]?_0x147dbd(0xeb9)+_0x3cc77c[_0x147dbd(0x291)]+_0x147dbd(0x1657)+_0x3cc77c[_0x147dbd(0xc22)]:_0x147dbd(0x10ed),'msg':_0x3cc77c[_0x147dbd(0x25c)]?JSON[_0x147dbd(0x2701)](_0x3cc77c[_0x147dbd(0x25c)][_0x147dbd(0x155e)]):_0x3cc77c[_0x147dbd(0x147f)]()});}};}_0x524f33['$on'](_0x2d0807(0x291c),function(){const _0x47733e=_0x2d0807;for(const _0x193ef4 in _0x31866f['notifications']){typeof _0x31866f[_0x47733e(0xfbc)][_0x193ef4]!=='undefined'&&_0x17a8f3({'uniqueid':_0x193ef4});}_0x13f33d[_0x47733e(0xfb8)]('trigger:contactmanager'),_0x13f33d[_0x47733e(0xfb8)]('trigger:jscripty'),_0x13f33d[_0x47733e(0xfb8)](_0x47733e(0xa1a)),_0x13f33d['removeAllListeners'](_0x47733e(0x1133)),_0x13f33d[_0x47733e(0xfb8)](_0x47733e(0x11bf)),_0x13f33d[_0x47733e(0xfb8)]('notification:remove'),_0x13f33d['removeAllListeners'](_0x47733e(0x18f7)),_0x13f33d[_0x47733e(0xfb8)]('voice_outbound_channel:remove');});}function _0x1447cc(_0x479272,_0xb801b3,_0x4e1f71,_0x3842db){const _0x291247=_0x5537c6,_0x3e7a84={};let _0x34a98a={},_0x10a254={},_0x2dc3c5={};_0x3e7a84[_0x291247(0x1a8e)]=function(){const _0x123f3a=_0x291247;_0x3e7a84[_0x123f3a(0xcd2)]=_0x5c6a3d();},_0x3e7a84['getByInteraction']=function(_0x30022a){const _0x249378=_0x291247;return _0x3e7a84['drafts']=_0x5c6a3d(),_0x39641b()[_0x249378(0x13b4)](_0x39641b()[_0x249378(0x27aa)](_0x3e7a84[_0x249378(0xcd2)]),function(_0x342608){const _0x4a841a=_0x249378;return _0x342608[_0x4a841a(0x10e4)]&&_0x342608['interaction']['id']===_0x30022a;});},_0x3e7a84[_0x291247(0x13bc)]=function(_0x3a5493){const _0x44f0ec=_0x291247;_0x3e7a84[_0x44f0ec(0xcd2)]=_0x5c6a3d();const _0x5aa778=_0x39641b()['find'](_0x39641b()[_0x44f0ec(0x27aa)](_0x3e7a84['drafts']),function(_0x4d9d7a){const _0x5792fc=_0x44f0ec;return _0x4d9d7a[_0x5792fc(0x10e4)]&&_0x4d9d7a[_0x5792fc(0x10e4)]['id']===_0x3a5493;});_0x5aa778&&(delete _0x3e7a84[_0x44f0ec(0xcd2)][_0x5aa778['id']],_0x129fea());},_0x3e7a84[_0x291247(0x17a1)]=function(_0x5dffb6){const _0x39aea1=_0x291247;_0x3e7a84[_0x39aea1(0xcd2)]=_0x5c6a3d();let _0x4be8e5=_0xb801b3[_0x39aea1(0x25cc)](_0x39aea1(0x1519));if(_0x5dffb6[_0x39aea1(0x8b1)])_0x4be8e5=_0x5dffb6[_0x39aea1(0x8b1)][_0x39aea1(0x1491)]||_0x5dffb6['contact'][_0x39aea1(0x1e19)];else!_0x39641b()['isEmpty'](_0x5dffb6['message'][_0x39aea1(0x1e5d)])&&(_0x4be8e5=_0x5dffb6[_0x39aea1(0x155e)][_0x39aea1(0x1e5d)]);const _0x3e9611={'type':'draft','title':_0x5dffb6[_0x39aea1(0x1539)][_0x39aea1(0xee8)]+_0x39aea1(0x1657)+_0x4be8e5,'channel':_0x5dffb6[_0x39aea1(0x1072)],'content':_0x5dffb6['message'],'account':_0x5dffb6['account'],'interaction':_0x5dffb6[_0x39aea1(0x10e4)],'id':_0x39641b()['random'](0x2540be400),'openedAt':new Date(),'saved':![]};_0x3e7a84['drafts'][_0x3e9611['id']]=_0x3e9611,_0x129fea();},_0x3e7a84[_0x291247(0x687)]=function(_0x4e2b84){const _0x35313e=_0x291247;_0x3e7a84[_0x35313e(0xcd2)]=_0x5c6a3d();const _0x2fae8c=_0x3e7a84[_0x35313e(0xcd2)][_0x4e2b84['id']];_0x2fae8c&&(_0x2fae8c[_0x35313e(0x862)]=_0x4e2b84[_0x35313e(0x155e)],_0x3e7a84[_0x35313e(0xcd2)][_0x4e2b84['id']]=_0x2fae8c,_0x129fea());},_0x3e7a84[_0x291247(0x536)]=function(_0x52c8ba){const _0x4dbdbb=_0x291247;_0x3e7a84['drafts']=_0x5c6a3d();const _0x5068b4=_0x3e7a84[_0x4dbdbb(0xcd2)][_0x52c8ba];_0x5068b4&&_0x479272[_0x4dbdbb(0x692)]('open'+_0x39641b()[_0x4dbdbb(0xa75)](_0x10a254)+_0x4dbdbb(0x26e7),_0x5068b4);},_0x3e7a84[_0x291247(0x152a)]=function(_0x4ba39f){const _0x359d21=_0x291247;_0x3e7a84[_0x359d21(0xcd2)]=_0x5c6a3d(),_0x3e7a84[_0x359d21(0xcd2)][_0x4ba39f]&&(delete _0x3e7a84[_0x359d21(0xcd2)][_0x4ba39f],_0x129fea());};function _0x5c6a3d(){const _0x2840e2=_0x291247;_0x34a98a=_0x3842db[_0x2840e2(0x21e8)]();const _0x4f4b46=_0x4e1f71[_0x2840e2(0x1b83)]['getItem'](_0x2840e2(0xef6)+_0x34a98a['id']);_0x4f4b46?_0x10a254=JSON[_0x2840e2(0xefe)](_0x4f4b46)[_0x2840e2(0x198a)]:_0x10a254=_0x2840e2(0x1312);_0x2dc3c5=_0x4e1f71[_0x2840e2(0x1b83)][_0x2840e2(0x2091)]('motion2.home.'+_0x10a254+':'+_0x34a98a['id']);if(_0x2dc3c5){const _0x1265dd=JSON[_0x2840e2(0xefe)](_0x2dc3c5);!_0x1265dd[_0x2840e2(0xcd2)]&&(_0x1265dd[_0x2840e2(0xcd2)]={}),_0x2dc3c5=_0x1265dd;}else _0x2dc3c5={'drafts':{}};return _0x2dc3c5[_0x2840e2(0xcd2)];}function _0x129fea(){const _0x261883=_0x291247;_0x39641b()[_0x261883(0xce9)](_0x3e7a84[_0x261883(0xcd2)])?delete _0x2dc3c5[_0x261883(0xcd2)]:_0x2dc3c5[_0x261883(0xcd2)]=_0x3e7a84[_0x261883(0xcd2)],_0x4e1f71[_0x261883(0x1b83)][_0x261883(0x13a8)](_0x261883(0x27ef)+_0x10a254+':'+_0x34a98a['id'],JSON['stringify'](_0x2dc3c5));}return _0x3e7a84;};function _0x5a0917(){const _0x436b3a=_0x5537c6,_0x5059c8={'autoClose':!![],'duration':0x5,'showOnPageHidden':![]};return{'config':_0x1d04ef,'$get':['$q',_0x436b3a(0x2168),_0x436b3a(0x1f10),_0x436b3a(0x1a94),_0x3008f9]};function _0x1d04ef(_0x7c2ef2){const _0x26ce94=_0x436b3a;for(const _0x3cd3c3 in _0x7c2ef2){typeof _0x5059c8[_0x3cd3c3]!==_0x26ce94(0x16b5)&&typeof _0x7c2ef2[_0x3cd3c3]!==_0x26ce94(0x16b5)&&(_0x5059c8[_0x3cd3c3]=_0x7c2ef2[_0x3cd3c3]);}}function _0x3008f9(_0x57013b,_0x3609e8,_0x379b2c,_0x4720ef){const _0x41cd2a=_0x436b3a,_0x4d11db=_0x379b2c[_0x41cd2a(0x1e22)]||_0x379b2c['mozNotification']||_0x379b2c[_0x41cd2a(0x959)],_0x312c23={'isSupported':_0x20185b,'currentPermission':_0x37d17f,'requestPermission':_0x3fc6d7,'show':_0x183b06,'permissions':{'default':_0x4720ef[_0x41cd2a(0xfe4)],'granted':_0x4720ef['GRANTED'],'denied':_0x4720ef['DENIED']}};return _0x312c23;function _0x20185b(){const _0x3d7329=_0x41cd2a;return!(typeof _0x4d11db===_0x3d7329(0x16b5));}function _0x37d17f(){const _0x10d33f=_0x41cd2a;return(_0x4d11db||{})[_0x10d33f(0x66c)];}function _0x3fc6d7(){const _0x5ae554=_0x41cd2a;if(!_0x20185b())return _0x57013b['reject'](_0x5ae554(0xa84));const _0x1c3179=_0x57013b[_0x5ae554(0x11f4)]();return Promise[_0x5ae554(0x19a3)](_0x4d11db[_0x5ae554(0x1248)])==_0x4d11db[_0x5ae554(0x1248)]?_0x4d11db[_0x5ae554(0x1248)]()[_0x5ae554(0x1cb0)](function(_0x10dcaa){const _0x1987b7=_0x5ae554;_0x4720ef[_0x1987b7(0x243e)]===_0x10dcaa?_0x1c3179[_0x1987b7(0x19a3)](_0x10dcaa):_0x1c3179[_0x1987b7(0x988)](_0x10dcaa);}):_0x4d11db[_0x5ae554(0x1248)](function(_0x14df5b){const _0x2adb6f=_0x5ae554;_0x4720ef[_0x2adb6f(0x243e)]===_0x14df5b?_0x1c3179[_0x2adb6f(0x19a3)](_0x14df5b):_0x1c3179[_0x2adb6f(0x988)](_0x14df5b);}),_0x1c3179[_0x5ae554(0x2061)];}function _0x183b06(_0x19ed90,_0x550017){const _0x50278a=_0x41cd2a;_0x550017=_0x550017||{};if(!_0x20185b()||!_0x531996(_0x19ed90,_0x550017)||_0x41e95c(_0x550017['showOnPageHidden'])||_0x37d17f()!==_0x4720ef[_0x50278a(0x243e)])return;const _0x2d459d=new _0x4d11db(_0x19ed90,_0x550017),_0x39aeb7=_0x550017[_0x50278a(0x2483)]||_0x5059c8['duration'],_0x5955ab=_0x550017[_0x50278a(0x182c)]===undefined?_0x5059c8[_0x50278a(0x182c)]:_0x550017[_0x50278a(0x182c)];_0x2d459d[_0x50278a(0x24ea)]=function(){const _0x299d64=_0x50278a;_0x550017[_0x299d64(0xd62)]&&_0x550017[_0x299d64(0xd62)](),_0x550017[_0x299d64(0x1f26)]&&window[_0x299d64(0xbfd)](),_0x550017[_0x299d64(0x222)]&&_0x2d459d[_0x299d64(0xf3b)]();};if(_0x5955ab)_0x3f63ac(_0x2d459d,_0x39aeb7);return _0x2d459d;}function _0x531996(_0x21f935,_0x503a7d){const _0x253bcc=_0x41cd2a,_0x1f4550=angular['isString'](_0x21f935),_0x4799c8=!_0x503a7d['onClick']||angular[_0x253bcc(0x1e2c)](_0x503a7d[_0x253bcc(0xd62)]);return _0x1f4550&&_0x4799c8;}function _0x41e95c(_0x2409be){const _0x519858=_0x41cd2a;if(!_0x2409be&&!_0x5059c8['showOnPageHidden'])return;return!(window[_0x519858(0x1db8)][_0x519858(0x3f8)]||window['document'][_0x519858(0x1acd)]||window[_0x519858(0x1db8)]['webkitHidden']);}function _0x3f63ac(_0x41273d,_0x43ff86){const _0x73cee5=_0x41cd2a,_0x493cb5=_0x43ff86*0x3e8;_0x3609e8(_0x41273d[_0x73cee5(0xf3b)]['bind'](_0x41273d),_0x493cb5,![]);}}}function _0x1f67c9(){const _0x7d74dc=_0x5537c6,_0x4224a1=angular['injector'](['ng'])[_0x7d74dc(0xbf7)]('$log'),_0x2ceb3b=[],_0x18e9b6=this;_0x18e9b6[_0x7d74dc(0x1a78)]=_0x139c96,_0x18e9b6['deleteItem']=_0x45af0c;function _0x139c96(_0x4544d7,_0xfeea7b){const _0x3a32f4=_0x7d74dc;if(!angular[_0x3a32f4(0x28e2)](_0x4544d7)){_0x4224a1[_0x3a32f4(0x218e)]('path\x20must\x20be\x20a\x20string\x20(eg.\x20`dashboard.project`)');return;}const _0x28c2fd=_0x4544d7['split']('.'),_0x1cfff7=_0x28c2fd[_0x28c2fd[_0x3a32f4(0xfd0)]-0x1],_0x50119b=_0x2a9003(_0x28c2fd);let _0x290a9a=![];for(let _0x4d6a5b=0x0;_0x4d6a5b<_0x50119b[_0x3a32f4(0xfd0)];_0x4d6a5b++){if(_0x50119b[_0x4d6a5b]['_id']===_0x1cfff7){_0x290a9a=_0x50119b[_0x4d6a5b];break;}}_0x290a9a?(angular[_0x3a32f4(0x2765)](_0x290a9a,_0xfeea7b),_0x290a9a[_0x3a32f4(0x19c3)]=_0x2e97d5(_0x290a9a)):(_0xfeea7b[_0x3a32f4(0x27a5)]=[],(angular[_0x3a32f4(0x2051)](_0xfeea7b[_0x3a32f4(0x212e)])||!angular['isNumber'](_0xfeea7b[_0x3a32f4(0x212e)]))&&(_0xfeea7b['weight']=0x1),_0xfeea7b['_id']=_0x1cfff7,_0xfeea7b['_path']=_0x4544d7,_0xfeea7b[_0x3a32f4(0x19c3)]=_0x2e97d5(_0xfeea7b),_0x50119b['push'](_0xfeea7b));}function _0x45af0c(_0x4cb559){const _0x79b4a4=_0x7d74dc;if(!angular[_0x79b4a4(0x28e2)](_0x4cb559)){_0x4224a1[_0x79b4a4(0x218e)](_0x79b4a4(0x20aa));return;}let _0x4502be=_0x2ceb3b;const _0x104826=_0x4cb559[_0x79b4a4(0xbe1)]('.');for(let _0x1c7624=0x0;_0x1c7624<_0x104826[_0x79b4a4(0xfd0)];_0x1c7624++){const _0x363653=_0x104826[_0x1c7624];for(let _0x31d973=0x0;_0x31d973<_0x4502be[_0x79b4a4(0xfd0)];_0x31d973++){if(_0x4502be[_0x31d973][_0x79b4a4(0xa09)]===_0x363653){if(_0x4502be[_0x31d973][_0x79b4a4(0x2d3)]===_0x4cb559)return _0x4502be[_0x79b4a4(0x159c)](_0x31d973,0x1),!![];_0x4502be=_0x4502be[_0x31d973][_0x79b4a4(0x27a5)];break;}}}return![];}function _0x2a9003(_0x557312){const _0x3d5b64=_0x7d74dc;let _0x4044a3=_0x2ceb3b;if(_0x557312[_0x3d5b64(0xfd0)]===0x1)return _0x4044a3;_0x557312[_0x3d5b64(0xad3)]();for(let _0x2f652d=0x0;_0x2f652d<_0x557312[_0x3d5b64(0xfd0)];_0x2f652d++){const _0x3fe2c2=_0x557312[_0x2f652d];let _0x1fb09b=!![];for(let _0x40f11c=0x0;_0x40f11c<_0x4044a3[_0x3d5b64(0xfd0)];_0x40f11c++){if(_0x4044a3[_0x40f11c][_0x3d5b64(0xa09)]===_0x3fe2c2){_0x4044a3=_0x4044a3[_0x40f11c]['children'],_0x1fb09b=![];break;}}if(_0x1fb09b){const _0x9e75ec={'_id':_0x3fe2c2,'_path':_0x557312[_0x3d5b64(0x1f66)]('.'),'title':_0x3fe2c2,'weight':0x1,'children':[]};_0x4044a3[_0x3d5b64(0x2785)](_0x9e75ec),_0x4044a3=_0x9e75ec[_0x3d5b64(0x27a5)];}}return _0x4044a3;}function _0x2e97d5(_0x57b59a){const _0x30004a=_0x7d74dc;let _0xfc3d42='';return angular[_0x30004a(0x13b8)](_0x57b59a[_0x30004a(0x27e0)])&&(_0xfc3d42=_0x57b59a[_0x30004a(0x27e0)],angular[_0x30004a(0x13b8)](_0x57b59a['stateParams'])&&angular[_0x30004a(0x1b5c)](_0x57b59a['stateParams'])&&(_0xfc3d42=_0xfc3d42+'('+angular[_0x30004a(0x393)](_0x57b59a[_0x30004a(0x1349)])+')')),_0xfc3d42;}this['$get']=function(){const _0x23ad3b={'saveItem':_0x139c96,'getNavigation':_0x367e9b};return _0x23ad3b;function _0x367e9b(_0xb0c1f9){const _0x327fd2=a0_0x5cbd;if(_0xb0c1f9){for(let _0x51c585=0x0;_0x51c585<_0x2ceb3b[_0x327fd2(0xfd0)];_0x51c585++){if(_0x2ceb3b[_0x51c585][_0x327fd2(0xa09)]===_0xb0c1f9)return[_0x2ceb3b[_0x51c585]];}return null;}return _0x2ceb3b;}};}const _0x53dcfb={'DEFAULT':_0x5537c6(0x24c8),'GRANTED':_0x5537c6(0x1780),'DENIED':_0x5537c6(0x25f)};;_0xb8a184['$inject']=['api'];function _0xb8a184(_0x49fffa){const _0x304d02=_0x5537c6,_0x3d6d1e=this;_0x3d6d1e[_0x304d02(0x9ca)]={},_0x3d6d1e[_0x304d02(0xab2)]={'count':0x0,'rows':[]},_0x3d6d1e['showInteraction']=![];function _0x1954e8(_0x1f9022,_0x279261){const _0x6f2f81=_0x304d02;_0x3d6d1e[_0x6f2f81(0x9ca)]=_0x279261,_0x3d6d1e[_0x6f2f81(0x291e)]=_0x1f9022,_0x3d6d1e[_0x6f2f81(0x291e)][_0x6f2f81(0x167f)]=!![];if(_0x3d6d1e[_0x6f2f81(0x291e)]&&_0x3d6d1e['tab'][_0x6f2f81(0x25c)]&&_0x3d6d1e[_0x6f2f81(0x291e)][_0x6f2f81(0x25c)]['uniqueid'])return _0x49fffa[_0x6f2f81(0x2858)][_0x6f2f81(0xbf7)]({'uniqueid':_0x3d6d1e[_0x6f2f81(0x291e)][_0x6f2f81(0x25c)][_0x6f2f81(0x1425)]})[_0x6f2f81(0x1d77)][_0x6f2f81(0x1cb0)](function(_0x226bce){const _0x489210=_0x6f2f81;_0x3d6d1e[_0x489210(0xab2)]=_0x226bce;})[_0x6f2f81(0x2e0)](function(){const _0x1ffb24=_0x6f2f81;_0x3d6d1e[_0x1ffb24(0x12d7)]=!![];});else _0x3d6d1e[_0x6f2f81(0x12d7)]=!![];}function _0x11adc9(_0x2f19f5){const _0x35b775=_0x304d02;switch(_0x3d6d1e['setting'][_0x35b775(0x15cd)]){case _0x35b775(0x766):if(_0x2f19f5[_0x35b775(0x979)]=='in')return _0x2f19f5['direction'];return _0x35b775(0x221a);case'right':if(_0x2f19f5[_0x35b775(0x979)]=='in')return _0x35b775(0x24d7);return _0x2f19f5[_0x35b775(0x979)];default:return _0x2f19f5[_0x35b775(0x979)];}}_0x3d6d1e['init']=_0x1954e8,_0x3d6d1e[_0x304d02(0x5ae)]=_0x11adc9;}const _0x2fa412=_0xb8a184;;const _0x4e0982=_0x5074a3['p']+'src/js/modules/main/apps/contactmanager/views/contacts/duplicate/dialog.html/dialog.html';;const _0x860e6c=_0x5074a3['p']+_0x5537c6(0x65e);;const _0x192db3=_0x5074a3['p']+_0x5537c6(0x917);;const _0x993bbb=_0x5074a3['p']+_0x5537c6(0xfe5);;const _0x1c8a4d=_0x5074a3['p']+_0x5537c6(0x23c0);;const _0x199694=_0x5074a3['p']+_0x5537c6(0x1c2e);;const _0x11d871=_0x5074a3['p']+'src/js/modules/main/apps/dashboards/views/general/agent/interaction/composeSms/dialog.html/dialog.html';;const _0x2dd24c=_0x5074a3['p']+_0x5537c6(0x2875);;_0x1f77c6[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$document',_0x5537c6(0x2168),_0x5537c6(0x1463),'$q',_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x1ae),'msUtils'];const _0x38c006={'fax':_0x993bbb,'mail':_0x1c8a4d,'openchannel':_0x199694,'sms':_0x11d871,'whatsapp':_0x2dd24c};function _0x1f77c6(_0x11a8fa,_0x44d07e,_0x393bd5,_0x1ea601,_0x12c09d,_0x3c2c98,_0x12fa66,_0x111315,_0x788ae7,_0xa1e7c1){const _0x10fdf0=_0x5537c6,_0x188473=this;_0x188473[_0x10fdf0(0xebe)]=_0x111315['getCurrentUser'](),_0x188473[_0x10fdf0(0x21b9)]=_0xa1e7c1['detectBrowser'](),_0x188473[_0x10fdf0(0x11a7)]=[],_0x188473[_0x10fdf0(0x103b)]={'fields':'id,phone,scheduledat','sort':_0x10fdf0(0x282),'limit':0xa,'page':0x1},_0x188473[_0x10fdf0(0x588)]={'fields':_0x10fdf0(0x3e6),'sort':_0x10fdf0(0x282),'limit':0xa,'page':0x1},_0x188473['queryHistory']={'fields':_0x10fdf0(0x466),'sort':_0x10fdf0(0x282),'limit':0xa,'page':0x1},_0x188473[_0x10fdf0(0xe3c)]={'rows':[],'count':0x0},_0x188473['finals']={'rows':[],'count':0x0},_0x188473[_0x10fdf0(0x13a4)]={'rows':[],'count':0x0},_0x188473[_0x10fdf0(0x1a58)]=new Date(_0x543b5a()()['startOf']('month')[_0x10fdf0(0x1030)](0x1,_0x10fdf0(0x479))[_0x10fdf0(0x17d9)](0x0,!![])[_0x10fdf0(0x1f31)]()),_0x188473[_0x10fdf0(0x15bb)]=new Date(_0x543b5a()()[_0x10fdf0(0x1b4)](_0x10fdf0(0x26ae))[_0x10fdf0(0x1030)](0x1,'day')[_0x10fdf0(0x17d9)](0x0,!![])[_0x10fdf0(0x1f31)]()),_0x188473['addNewContact']=_0x50cd61,_0x188473['saveContact']=_0x29d1dc,_0x188473['getCustomFields']=_0x27bb1a,_0x188473[_0x10fdf0(0x205d)]=_0x1ea601[_0x10fdf0(0x17bc)]['vm'][_0x10fdf0(0x205d)],_0x188473['disposeCall']=_0x233a6c,_0x188473[_0x10fdf0(0x211a)]=_0x1a741b,_0x188473[_0x10fdf0(0x1ecc)]=_0x46b96b,_0x188473[_0x10fdf0(0x2c4)]=_0x28a495,_0x188473[_0x10fdf0(0x811)]=_0x82a560,_0x188473[_0x10fdf0(0xb46)]=_0x24b27a,_0x188473[_0x10fdf0(0x27cd)]=_0x1c1e90,_0x188473[_0x10fdf0(0xa3b)]=_0x833ca9,_0x188473[_0x10fdf0(0x6d2)]=_0xb4e54b,_0x188473[_0x10fdf0(0xe96)]=_0x1e9b28,_0x188473[_0x10fdf0(0x8e2)]=_0x1bf3ff,_0x188473[_0x10fdf0(0x856)]=_0x4b0585,_0x188473['getWhatsappAccounts']=_0x2bbde1,_0x188473[_0x10fdf0(0x29c4)]=_0x56f615,_0x188473[_0x10fdf0(0x1cb7)]=_0x3925d3,_0x188473['getLists']=_0x2a3a5c,_0x188473['composeInteraction']=_0xc2ec4e,_0x188473['init']=_0x4fb4bf,_0x188473[_0x10fdf0(0x39e)]=_0x5d1641,_0x188473[_0x10fdf0(0x81e)]=_0x3916d5,_0x188473['getColorByItem']=_0x321562,_0x188473[_0x10fdf0(0x209e)]=_0x10dbc8,_0x188473['getJscriptyProjects']();function _0x10dbc8(_0x1efcad){const _0x2ac751=_0x10fdf0;if(_0x1efcad[_0x2ac751(0x18e9)])return _0x39641b()[_0x2ac751(0x250a)](_0x39641b()['map'](_0x188473[_0x2ac751(0x29de)]?_0x188473[_0x2ac751(0x29de)][_0x2ac751(0x2214)]:[],'id'),_0x1efcad[_0x2ac751(0x18e9)]);else{if(_0x1efcad['OpenchannelAccountId'])return _0x39641b()[_0x2ac751(0x250a)](_0x39641b()[_0x2ac751(0x1de2)](_0x188473['openchannelAccounts']?_0x188473[_0x2ac751(0xe5c)][_0x2ac751(0x2214)]:[],'id'),_0x1efcad[_0x2ac751(0x1d1e)]);else{if(_0x1efcad[_0x2ac751(0x1a2)])return _0x39641b()[_0x2ac751(0x250a)](_0x39641b()[_0x2ac751(0x1de2)](_0x188473[_0x2ac751(0x1ac)]?_0x188473['smsAccounts'][_0x2ac751(0x2214)]:[],'id'),_0x1efcad[_0x2ac751(0x1a2)]);else{if(_0x1efcad[_0x2ac751(0xfc8)])return _0x39641b()[_0x2ac751(0x250a)](_0x39641b()[_0x2ac751(0x1de2)](_0x188473[_0x2ac751(0xbb3)]?_0x188473['whatsappAccounts'][_0x2ac751(0x2214)]:[],'id'),_0x1efcad[_0x2ac751(0xfc8)]);else{if(_0x1efcad[_0x2ac751(0x1285)])return _0x39641b()[_0x2ac751(0x250a)](_0x39641b()['map'](_0x188473[_0x2ac751(0x228e)]?_0x188473[_0x2ac751(0x228e)][_0x2ac751(0x2214)]:[],'id'),_0x1efcad[_0x2ac751(0x1285)]);else{if(_0x1efcad[_0x2ac751(0x67c)])return _0x39641b()[_0x2ac751(0x250a)](_0x39641b()[_0x2ac751(0x1de2)](_0x188473[_0x2ac751(0x1ad1)]?_0x188473[_0x2ac751(0x1ad1)][_0x2ac751(0x2214)]:[],'id'),_0x1efcad[_0x2ac751(0x67c)]);else{if(_0x1efcad[_0x2ac751(0x1451)])return!![];}}}}}}return![];}function _0x3916d5(_0x187500,_0x525d97){const _0x1cd84e=_0x10fdf0;try{if(_0x525d97[_0x1cd84e(0x1285)])return _0x1ea601[_0x1cd84e(0x17bc)][_0x1cd84e(0x17bc)][_0x1cd84e(0x17bc)]['vm'][_0x1cd84e(0x11d9)](_0x1cd84e(0x2651),_0x525d97);else{if(_0x525d97[_0x1cd84e(0x67c)])return _0x1ea601['$parent'][_0x1cd84e(0x17bc)]['$parent']['vm']['addInteractionTab'](_0x1cd84e(0x174c),_0x525d97);else{if(_0x525d97[_0x1cd84e(0x1a2)])return _0x1ea601[_0x1cd84e(0x17bc)][_0x1cd84e(0x17bc)][_0x1cd84e(0x17bc)]['vm']['addInteractionTab'](_0x1cd84e(0x689),_0x525d97);else{if(_0x525d97[_0x1cd84e(0xfc8)])return _0x1ea601[_0x1cd84e(0x17bc)]['$parent']['$parent']['vm'][_0x1cd84e(0x11d9)](_0x1cd84e(0x2476),_0x525d97);else{if(_0x525d97[_0x1cd84e(0x1d1e)])return _0x1ea601['$parent'][_0x1cd84e(0x17bc)][_0x1cd84e(0x17bc)]['vm'][_0x1cd84e(0x11d9)](_0x1cd84e(0x15e0),_0x525d97);else{if(_0x525d97[_0x1cd84e(0x18e9)])return _0x1ea601[_0x1cd84e(0x17bc)][_0x1cd84e(0x17bc)][_0x1cd84e(0x17bc)]['vm'][_0x1cd84e(0x11d9)]('fax',_0x525d97);}}}}}}catch(_0x371436){_0x12fa66[_0x1cd84e(0x218e)]({'title':_0x1cd84e(0x1fd1),'msg':'Customer\x20Journey\x20can\x27t\x20open\x20the\x20interaction'});}}function _0x31b459(_0x589f65){const _0x1c23ec=_0x10fdf0;if(!_0x589f65[_0x1c23ec(0x1746)])return'('+_0x788ae7[_0x1c23ec(0x25cc)](_0x1c23ec(0x334))+')';const _0x1b4efa=_0x39641b()[_0x1c23ec(0xc67)]([_0x589f65[_0x1c23ec(0x1746)],_0x589f65[_0x1c23ec(0x15b4)],_0x589f65['thirdDisposition']]);return'('+_0x1b4efa[_0x1c23ec(0x1f66)](_0x1c23ec(0x1657))+')';}function _0x4a587a(_0xf8bc6){const _0x29768a=_0x10fdf0;_0xf8bc6&&_0xf8bc6[_0x29768a(0x184d)]&&_0xf8bc6[_0x29768a(0x2214)][_0x29768a(0xfd0)]&&(_0x188473[_0x29768a(0x11a7)]=_0x39641b()[_0x29768a(0x298a)](_0x188473[_0x29768a(0x11a7)],_0xf8bc6[_0x29768a(0x2214)]),_0x188473[_0x29768a(0x11a7)][_0x29768a(0xf90)](function(_0x5a5feb){_0x5a5feb['dispositionsValues']=_0x31b459(_0x5a5feb);}));}function _0x5bcf48(_0x15c599){const _0x4eb2cc=_0x10fdf0,_0xaf2144=[_0x4eb2cc(0x2651),_0x4eb2cc(0x174c),_0x4eb2cc(0x689),_0x4eb2cc(0x15e0),_0x4eb2cc(0x1944),_0x4eb2cc(0x2476)];if(_0x15c599&&_0x15c599['id']){for(let _0x3436cf=0x0;_0x3436cf<_0xaf2144[_0x4eb2cc(0xfd0)];_0x3436cf++){_0x3c2c98[_0xaf2144[_0x3436cf]+_0x4eb2cc(0x2735)][_0x4eb2cc(0xbf7)]({'ContactId':_0x15c599['id'],'journey':!![],'includeAll':!![]})['$promise'][_0x4eb2cc(0x1cb0)](_0x4a587a);}_0x3c2c98[_0x4eb2cc(0x11f2)][_0x4eb2cc(0xbf7)]({'ContactId':_0x15c599['id'],'includeAll':!![]})[_0x4eb2cc(0x1d77)][_0x4eb2cc(0x1cb0)](_0x4a587a)['catch'](function(_0x27dbe7){const _0x24b46f=_0x4eb2cc;console[_0x24b46f(0x218e)](_0x27dbe7);});}}function _0x2fc16a(_0x29c07b){const _0x32c161=_0x10fdf0;switch(_0x29c07b){case _0x32c161(0x174c):return _0x32c161(0x7b5);case _0x32c161(0x2651):return _0x32c161(0x15f2);case _0x32c161(0x689):return _0x32c161(0x1373);case _0x32c161(0x15e0):return _0x32c161(0x1f1f);case _0x32c161(0x1944):return'blue-grey-A200';case _0x32c161(0x2476):return _0x32c161(0x1995);default:return _0x32c161(0x292e);}}function _0x321562(_0x38714f){const _0xd2ecd2=_0x10fdf0;let _0x49f0c5='';if(_0x38714f[_0xd2ecd2(0x18e9)])_0x49f0c5=_0xd2ecd2(0x1944);else{if(_0x38714f[_0xd2ecd2(0x1d1e)])_0x49f0c5=_0xd2ecd2(0x15e0);else{if(_0x38714f['SmsAccountId'])_0x49f0c5=_0xd2ecd2(0x689);else{if(_0x38714f[_0xd2ecd2(0xfc8)])_0x49f0c5=_0xd2ecd2(0x2476);else{if(_0x38714f[_0xd2ecd2(0x1285)])_0x49f0c5=_0xd2ecd2(0x2651);else{if(_0x38714f[_0xd2ecd2(0x67c)])_0x49f0c5=_0xd2ecd2(0x174c);else _0x38714f[_0xd2ecd2(0x1451)]&&(_0x49f0c5=_0xd2ecd2(0x1fd4));}}}}}return _0x2fc16a(_0x49f0c5);}function _0x4fb4bf(_0x132782,_0x43683a){const _0x2abd6c=_0x10fdf0;return _0x188473['tab']=_0x132782,_0x1ea601[_0x2abd6c(0xf36)]([_0x2abd6c(0x122e),_0x2abd6c(0xb3f)],function(_0xc13d61){const _0x2630d2=_0x2abd6c;_0x188473[_0x2630d2(0x291e)][_0x2630d2(0x167f)]=_0xc13d61[0x0]&&_0xc13d61[0x1];}),_0x188473[_0x2abd6c(0x8a5)]=_0x43683a,_0x188473[_0x2abd6c(0x8b1)]=_0x188473[_0x2abd6c(0x291e)][_0x2abd6c(0x8b1)],_0x188473[_0x2abd6c(0x2617)]=![],_0x188473['currentTab']=0x0,_0x3c2c98['user'][_0x2abd6c(0xbf7)]({'fields':_0x2abd6c(0x1cc4),'nolimit':!![]})[_0x2abd6c(0x1d77)][_0x2abd6c(0x1cb0)](function(_0x580c15){const _0x3c75f0=_0x2abd6c;_0x188473[_0x3c75f0(0x1e30)]=_0x580c15||{'count':0x0,'rows':[]},_0x188473[_0x3c75f0(0x176)]=_0x39641b()[_0x3c75f0(0x1db)](_0x39641b()[_0x3c75f0(0x2631)](_0x188473[_0x3c75f0(0x1e30)][_0x3c75f0(0x2214)],'id'),_0x3c75f0(0x1d14));return;})[_0x2abd6c(0x1cb0)](function(){const _0x58cf45=_0x2abd6c,_0x34de63=[];for(let _0x274b35=0x0;_0x274b35<_0x188473['tab'][_0x58cf45(0x13e2)][_0x58cf45(0xfd0)];_0x274b35++){const _0x320502=_0x188473[_0x58cf45(0x291e)]['calls'][_0x274b35];_0x34de63[_0x58cf45(0x2785)](_0x3c2c98[_0x58cf45(0x11f2)][_0x58cf45(0xbf7)]({'uniqueid':_0x320502[_0x58cf45(0x1425)]})[_0x58cf45(0x1d77)][_0x58cf45(0x1cb0)](function(_0x3a322a){const _0x264f36=_0x58cf45;_0x3a322a&&_0x3a322a[_0x264f36(0x2214)]['length']>0x0&&(_0x320502[_0x264f36(0x1bc7)]=_0x39641b()[_0x264f36(0xf07)](_0x3a322a[_0x264f36(0x2214)])[_0x264f36(0x2134)]);return;}));}return _0x12c09d['all'](_0x34de63);})[_0x2abd6c(0x1cb0)](function(){const _0x5cac16=_0x2abd6c;if(_0x188473[_0x5cac16(0x8b1)]&&_0x188473[_0x5cac16(0x8b1)]['id']){const _0x275445={'id':_0x188473['contact']['id'],'ListId':_0x188473[_0x5cac16(0x8b1)][_0x5cac16(0x20a6)],'limit':0x1,'offset':0x0,'sort':'-updatedAt'};return _0x3c2c98[_0x5cac16(0xbe7)][_0x5cac16(0xbf7)](_0x275445)[_0x5cac16(0x1d77)][_0x5cac16(0x1cb0)](function(_0x4054ed){const _0x4e4ee6=_0x5cac16;return _0x188473[_0x4e4ee6(0x8b1)]=_0x4054ed,_0x188473['tab'][_0x4e4ee6(0x8b1)]=_0x4054ed,_0x3c2c98[_0x4e4ee6(0xebe)][_0x4e4ee6(0x402)]({'id':_0x188473[_0x4e4ee6(0xebe)]['id'],'ids':[_0x188473[_0x4e4ee6(0x8b1)]['id']]});})[_0x5cac16(0x1cb0)](function(){const _0x4370e4=_0x5cac16;if(_0x188473[_0x4370e4(0x8b1)][_0x4370e4(0x20a6)])return _0x3c2c98[_0x4370e4(0x1746)][_0x4370e4(0xbf7)]({'ListId':_0x188473[_0x4370e4(0x8b1)][_0x4370e4(0x20a6)]})[_0x4370e4(0x1d77)]['then'](function(_0x4cb327){const _0x4c5e54=_0x4370e4;return _0x188473[_0x4c5e54(0x291e)][_0x4c5e54(0xdf2)]=_0x4cb327,_0x3c2c98[_0x4c5e54(0x22f2)][_0x4c5e54(0x178f)]({'id':_0x188473[_0x4c5e54(0x8b1)]['ListId']})['$promise'];})[_0x4370e4(0x1cb0)](function(_0x1beaff){const _0x2d8449=_0x4370e4;_0x188473[_0x2d8449(0x291e)]['customFields']=_0x1beaff;return;});return;})[_0x5cac16(0x1cb0)](function(){const _0x169f51=_0x5cac16;try{_0x188473[_0x169f51(0x291e)]['userLists']=_0x1ea601[_0x169f51(0x17bc)][_0x169f51(0x17bc)][_0x169f51(0x17bc)]['vm'][_0x169f51(0x1fbc)],_0x188473[_0x169f51(0x291e)][_0x169f51(0x1046)]=_0x1ea601['$parent'][_0x169f51(0x17bc)]['$parent']['vm'][_0x169f51(0x1927)],_0x188473[_0x169f51(0x291e)][_0x169f51(0xe1a)]=_0x1ea601[_0x169f51(0x17bc)][_0x169f51(0x17bc)][_0x169f51(0x17bc)]['vm'][_0x169f51(0x24f0)],_0x188473['tab'][_0x169f51(0xfbf)]=_0x1ea601[_0x169f51(0x17bc)][_0x169f51(0x17bc)]['$parent']['vm'][_0x169f51(0x12c6)],_0x188473[_0x169f51(0x291e)]['autoCreate']=0x0,_0x188473[_0x169f51(0x8b1)][_0x169f51(0x20a6)]&&(_0x188473[_0x169f51(0x291e)][_0x169f51(0x155b)][_0x169f51(0x2214)]=_0x39641b()[_0x169f51(0x16ef)](_0x188473[_0x169f51(0x291e)][_0x169f51(0x155b)]['rows'],_0x39641b()['filter'](_0x188473[_0x169f51(0x291e)][_0x169f51(0x1046)]['rows'],{'id':_0x188473[_0x169f51(0x8b1)][_0x169f51(0x20a6)]}),'id'),_0x188473['list']=_0x39641b()['find'](_0x188473[_0x169f51(0x291e)][_0x169f51(0x155b)][_0x169f51(0x2214)],{'id':_0x188473[_0x169f51(0x8b1)][_0x169f51(0x20a6)]}));}catch(_0x301636){}_0x188473[_0x169f51(0xb46)](),_0x188473[_0x169f51(0x27cd)](),_0x188473[_0x169f51(0xa3b)](),_0x188473[_0x169f51(0xe96)](),_0x188473['getFaxAccounts'](),_0x188473[_0x169f51(0x856)](),_0x188473[_0x169f51(0x1758)](),_0x188473[_0x169f51(0x29c4)](),_0x188473[_0x169f51(0x1cb7)](),_0x188473[_0x169f51(0x1fa7)]();return;})[_0x5cac16(0x1cb0)](function(){const _0x3c2c02=_0x5cac16;return _0x3c2c98['cmContact'][_0x3c2c02(0x128b)]({'id':_0x188473[_0x3c2c02(0x8b1)]['id']})[_0x3c2c02(0x1d77)][_0x3c2c02(0x1cb0)](function(_0xc21bfb){const _0x247d61=_0x3c2c02;_0x188473[_0x247d61(0x291e)][_0x247d61(0x190)]=_0x39641b()[_0x247d61(0x1de2)](_0xc21bfb[_0x247d61(0x2214)],'id');return;});});}else{_0x188473[_0x5cac16(0x2617)]=!![];if(_0x132782[_0x5cac16(0x646)])return console[_0x5cac16(0x1b4f)]('autoCreate'),_0x188473[_0x5cac16(0x29ca)]();return;}})[_0x2abd6c(0x1cb0)](_0x5bcf48(_0x188473[_0x2abd6c(0x8b1)]));}function _0xc2ec4e(_0x588147,_0x32142f,_0x5d07c1,_0x5ea9b3){const _0x10d630=_0x10fdf0,_0x387431=_0x32142f['toLowerCase']();_0x11a8fa[_0x10d630(0xe27)]({'controller':_0x10d630(0x17c8)+_0x32142f+_0x10d630(0x1eeb),'controllerAs':'vm','templateUrl':_0x38c006[_0x387431],'parent':angular[_0x10d630(0x1853)](_0x44d07e[_0x10d630(0x1ed9)]),'clickOutsideToClose':![],'locals':{'account':_0x5d07c1,'message':{'to':_0x387431==_0x10d630(0x2651)?_0x5ea9b3:_0x5ea9b3['split'](',')},'type':_0x10d630(0x1295),'interaction':{'Contact':_0x188473[_0x10d630(0x8b1)]}},'fullscreen':!![]})[_0x10d630(0x1cb0)](function(_0x134369){const _0x477640=_0x10d630;return _0x3c2c98[_0x387431+_0x477640(0x1649)][_0x477640(0x199f)]({'id':_0x5d07c1['id']},_0x134369)[_0x477640(0x1d77)][_0x477640(0x1cb0)](function(_0x2b5e10){const _0x3775ab=_0x477640;if(_0x32142f===_0x3775ab(0x20c2)){const _0x21088b=_0x2b5e10;if(_0x21088b&&_0x21088b[_0x3775ab(0x223c)]&&_0x21088b[_0x3775ab(0x223c)]['length']){if(_0x134369[_0x3775ab(0x1abb)]&&_0x134369[_0x3775ab(0x1abb)][_0x3775ab(0xfd0)])for(let _0x5c31ae=0x0;_0x5c31ae<_0x134369[_0x3775ab(0x1abb)][_0x3775ab(0xfd0)];_0x5c31ae+=0x1){_0x3c2c98[_0x3775ab(0x21d7)][_0x3775ab(0x687)]({'id':_0x134369[_0x3775ab(0x1abb)][_0x5c31ae]['id']},{'MailMessageId':_0x39641b()[_0x3775ab(0x2083)](_0x21088b[_0x3775ab(0x223c)])['id']});}_0x12fa66[_0x3775ab(0x829)]({'title':'Message\x20properly\x20sent!','msg':_0x3775ab(0x71e)});if(_0x21088b[_0x3775ab(0x22aa)]){_0x21088b['disposition']=null;const _0xc372a6=!_0x5d07c1[_0x3775ab(0x1935)],_0x54c1f2=_0x188473[_0x3775ab(0xebe)]['voicePause']||_0x188473['user'][_0x3775ab(0xf0c)]||_0x188473['user'][_0x3775ab(0x2035)]||_0x188473[_0x3775ab(0xebe)][_0x3775ab(0x1c7d)]||_0x188473[_0x3775ab(0xebe)][_0x3775ab(0x1ce)];_0x11a8fa[_0x3775ab(0xe27)]({'controller':_0x3775ab(0x209d),'controllerAs':'vm','templateUrl':_0x3769c1,'parent':angular[_0x3775ab(0x1853)](_0x44d07e[_0x3775ab(0x1ed9)]),'onRemoving':function(){const _0x5b911b=_0x3775ab;_0x188473[_0x5b911b(0xebe)]&&_0x5d07c1['mandatoryDisposition']&&!_0x54c1f2&&_0x3c2c98[_0x5b911b(0xebe)]['unpause']({'id':_0x188473[_0x5b911b(0xebe)]['id']})[_0x5b911b(0x1d77)][_0x5b911b(0x1c4)](function(_0x33d051){const _0x5b1e37=_0x5b911b;console[_0x5b1e37(0x218e)](_0x33d051);});},'clickOutsideToClose':_0xc372a6,'escapeToClose':_0xc372a6,'locals':{'user':_0x188473[_0x3775ab(0xebe)],'channel':_0x3775ab(0x2651),'interaction':_0x21088b}});}else _0x1ea601[_0x3775ab(0x17bc)]['vm'][_0x3775ab(0x11d9)](_0x3775ab(0x2651),_0x21088b);}}else _0x12fa66[_0x3775ab(0x829)]({'title':_0x3775ab(0x113d),'msg':'Message\x20has\x20been\x20sent!'}),_0x1ea601[_0x3775ab(0x17bc)]['vm'][_0x3775ab(0x11d9)](_0x387431,_0x2b5e10);})[_0x477640(0x1c4)](function(_0x3797e0){const _0x49d24e=_0x477640;if(_0x3797e0[_0x49d24e(0x25c)]&&_0x3797e0[_0x49d24e(0x25c)]['errors']&&_0x3797e0[_0x49d24e(0x25c)][_0x49d24e(0x1a7c)][_0x49d24e(0xfd0)])for(let _0x30c71a=0x0;_0x30c71a<_0x3797e0[_0x49d24e(0x25c)][_0x49d24e(0x1a7c)][_0x49d24e(0xfd0)];_0x30c71a+=0x1){_0x12fa66[_0x49d24e(0x218e)]({'title':_0x3797e0['data']['errors'][_0x30c71a]['type'],'msg':_0x3797e0['data']['errors'][_0x30c71a][_0x49d24e(0x155e)]});}else _0x387431===_0x49d24e(0x1944)?_0x12fa66[_0x49d24e(0x218e)]({'title':_0x49d24e(0x23d7),'msg':_0x3797e0[_0x49d24e(0x25c)]?JSON['stringify'](_0x3797e0[_0x49d24e(0x25c)]):_0x3797e0[_0x49d24e(0x155e)]||_0x3797e0[_0x49d24e(0x147f)]()}):_0x12fa66[_0x49d24e(0x218e)]({'title':_0x3797e0[_0x49d24e(0x291)]?_0x49d24e(0xeb9)+_0x3797e0['status']+_0x49d24e(0x1657)+_0x3797e0[_0x49d24e(0xc22)]:_0x32142f[_0x49d24e(0x2335)]()+_0x49d24e(0x9a7),'msg':_0x3797e0[_0x49d24e(0x25c)]?JSON[_0x49d24e(0x2701)](_0x3797e0['data'][_0x49d24e(0x155e)]):_0x3797e0[_0x49d24e(0x155e)]||_0x3797e0['toString']()});});});}function _0x50cd61(){const _0x2d54ab=_0x10fdf0;_0x188473['errors']=[],_0x3c2c98[_0x2d54ab(0xbe7)][_0x2d54ab(0x1c3f)](_0x188473['contact'])['$promise']['then'](function(_0x321d82){const _0x1e583e=_0x2d54ab;return _0x188473[_0x1e583e(0x8b1)]['id']=_0x321d82['id'],_0x188473['newContact']=![],_0x188473[_0x1e583e(0x291e)][_0x1e583e(0x1386)]=_0x321d82[_0x1e583e(0x1491)]||_0x321d82[_0x1e583e(0x1340)],_0x188473[_0x1e583e(0x291e)][_0x1e583e(0x8b1)]=_0x188473[_0x1e583e(0x8b1)],_0x3c2c98[_0x1e583e(0xebe)]['addContacts']({'id':_0x111315[_0x1e583e(0x21e8)]()['id'],'ids':[_0x321d82['id']]});})[_0x2d54ab(0x1cb0)](function(){const _0x498a61=_0x2d54ab;_0x188473[_0x498a61(0xa73)]['$pristine']=!![],_0x188473['customFieldsForm'][_0x498a61(0x70f)]=!![],_0x12fa66[_0x498a61(0x829)]({'title':_0x498a61(0xe69),'msg':_0x188473['contact']['firstName']?_0x188473[_0x498a61(0x8b1)][_0x498a61(0x1491)]+_0x498a61(0x470):''});})[_0x2d54ab(0x1cb0)](function(){const _0x726e30=_0x2d54ab;return _0x3c2c98[_0x726e30(0xbe7)][_0x726e30(0xe53)]({'id':_0x188473[_0x726e30(0x8b1)]['id'],'ids':_0x188473['tab']['Tags']})['$promise'];})[_0x2d54ab(0x1c4)](function(_0x21751a){const _0x32052e=_0x2d54ab;if(_0x21751a[_0x32052e(0x25c)]&&_0x21751a['data']['errors']&&_0x21751a[_0x32052e(0x25c)]['errors']['length']){_0x188473[_0x32052e(0x1a7c)]=_0x21751a[_0x32052e(0x25c)][_0x32052e(0x1a7c)]||[{'message':_0x21751a[_0x32052e(0x147f)](),'type':_0x32052e(0x9a0)}];for(let _0x3ef5db=0x0;_0x3ef5db<_0x21751a[_0x32052e(0x25c)][_0x32052e(0x1a7c)][_0x32052e(0xfd0)];_0x3ef5db+=0x1){_0x12fa66[_0x32052e(0x218e)]({'title':_0x21751a[_0x32052e(0x25c)]['errors'][_0x3ef5db][_0x32052e(0x66a)],'msg':_0x21751a[_0x32052e(0x25c)][_0x32052e(0x1a7c)][_0x3ef5db][_0x32052e(0x155e)]});}}else _0x12fa66[_0x32052e(0x218e)]({'title':_0x21751a['status']?_0x32052e(0xeb9)+_0x21751a[_0x32052e(0x291)]+_0x32052e(0x1657)+_0x21751a[_0x32052e(0xc22)]:_0x32052e(0x9a0),'msg':_0x21751a[_0x32052e(0x25c)]?JSON[_0x32052e(0x2701)](_0x21751a['data']['message']):_0x21751a[_0x32052e(0x147f)]()});});}function _0x29d1dc(){const _0x24d56d=_0x10fdf0;_0x188473['errors']=[],_0x3c2c98[_0x24d56d(0xbe7)][_0x24d56d(0x687)]({'id':_0x188473['contact']['id']},_0x188473[_0x24d56d(0x8b1)])['$promise']['then'](function(_0xbed2c4){const _0x2873a9=_0x24d56d;return _0x188473[_0x2873a9(0x291e)][_0x2873a9(0x1386)]=_0xbed2c4[_0x2873a9(0x1491)]||_0xbed2c4[_0x2873a9(0x1340)],_0x188473[_0x2873a9(0x291e)][_0x2873a9(0x8b1)]=_0x188473[_0x2873a9(0x8b1)],_0x1ea601[_0x2873a9(0x17bc)]['vm'][_0x2873a9(0x1c7c)](),_0x3c2c98[_0x2873a9(0xebe)][_0x2873a9(0x402)]({'id':_0x111315[_0x2873a9(0x21e8)]()['id'],'ids':[_0xbed2c4['id']]});})[_0x24d56d(0x1cb0)](function(){const _0x4b3c94=_0x24d56d;return _0x3c2c98['cmContact'][_0x4b3c94(0xe53)]({'id':_0x188473[_0x4b3c94(0x8b1)]['id'],'ids':_0x188473[_0x4b3c94(0x291e)][_0x4b3c94(0x190)]})[_0x4b3c94(0x1d77)];})[_0x24d56d(0x1cb0)](function(){const _0x18d7c2=_0x24d56d;_0x188473[_0x18d7c2(0xa73)]['$pristine']=!![],_0x188473['customFieldsForm'][_0x18d7c2(0x70f)]=!![],_0x12fa66[_0x18d7c2(0x829)]({'title':_0x18d7c2(0x1b5),'msg':_0x188473['contact'][_0x18d7c2(0x16b6)]?_0x188473[_0x18d7c2(0x8b1)][_0x18d7c2(0x16b6)]+'\x20has\x20been\x20updated!':''});})[_0x24d56d(0x1c4)](function(_0x922784){const _0xe8d682=_0x24d56d;if(_0x922784[_0xe8d682(0x25c)]&&_0x922784[_0xe8d682(0x25c)]['errors']&&_0x922784[_0xe8d682(0x25c)]['errors'][_0xe8d682(0xfd0)]){_0x188473[_0xe8d682(0x1a7c)]=_0x922784['data']['errors']||[{'message':_0x922784['toString'](),'type':'api.contact.save'}];for(let _0x437e9c=0x0;_0x437e9c<_0x922784[_0xe8d682(0x25c)][_0xe8d682(0x1a7c)][_0xe8d682(0xfd0)];_0x437e9c+=0x1){_0x12fa66[_0xe8d682(0x218e)]({'title':_0x922784[_0xe8d682(0x25c)]['errors'][_0x437e9c][_0xe8d682(0x66a)],'msg':_0x922784[_0xe8d682(0x25c)][_0xe8d682(0x1a7c)][_0x437e9c]['message']});}}else _0x12fa66[_0xe8d682(0x218e)]({'title':_0x922784['status']?_0xe8d682(0xeb9)+_0x922784[_0xe8d682(0x291)]+'\x20-\x20'+_0x922784[_0xe8d682(0xc22)]:_0xe8d682(0x9a0),'msg':_0x922784['data']?JSON[_0xe8d682(0x2701)](_0x922784['data'][_0xe8d682(0x155e)]):_0x922784['toString']()});});}_0x1ea601[_0x10fdf0(0x614)](_0x10fdf0(0x160f),function(){const _0x2fc471=_0x10fdf0;_0x188473[_0x2fc471(0x160f)]===0x1&&(_0x188473['getHoppers'](),_0x188473[_0x2fc471(0x27cd)](),_0x188473[_0x2fc471(0xa3b)]());});let _0x5ecb9b=!![],_0x58bf7a=0x1;_0x1ea601[_0x10fdf0(0x614)](_0x10fdf0(0x426),function(_0x193c4a,_0x210ea9){const _0x105063=_0x10fdf0;_0x5ecb9b?_0x393bd5(function(){_0x5ecb9b=![];}):(!_0x210ea9&&(_0x58bf7a=_0x188473[_0x105063(0x103b)]['page']),_0x193c4a!==_0x210ea9&&(_0x188473[_0x105063(0x103b)]['page']=0x1),!_0x193c4a&&(_0x188473[_0x105063(0x103b)][_0x105063(0x1c7b)]=_0x58bf7a),_0x188473[_0x105063(0xb46)]());}),_0x1ea601['$watch'](_0x10fdf0(0x29a6),function(_0x917ac6,_0x47cb7a){const _0x30a3ec=_0x10fdf0;_0x5ecb9b?_0x393bd5(function(){_0x5ecb9b=![];}):(!_0x47cb7a&&(_0x58bf7a=_0x188473[_0x30a3ec(0x588)][_0x30a3ec(0x1c7b)]),_0x917ac6!==_0x47cb7a&&(_0x188473['queryFinal']['page']=0x1),!_0x917ac6&&(_0x188473['queryFinal'][_0x30a3ec(0x1c7b)]=_0x58bf7a),_0x188473[_0x30a3ec(0x27cd)]());}),_0x1ea601[_0x10fdf0(0x614)](_0x10fdf0(0x1bba),function(_0x3b198e,_0x3be620){const _0x4cda27=_0x10fdf0;_0x5ecb9b?_0x393bd5(function(){_0x5ecb9b=![];}):(!_0x3be620&&(_0x58bf7a=_0x188473[_0x4cda27(0x2530)][_0x4cda27(0x1c7b)]),_0x3b198e!==_0x3be620&&(_0x188473[_0x4cda27(0x2530)][_0x4cda27(0x1c7b)]=0x1),!_0x3b198e&&(_0x188473[_0x4cda27(0x2530)][_0x4cda27(0x1c7b)]=_0x58bf7a),_0x188473[_0x4cda27(0xa3b)]());});function _0x4ee452(_0x3c686f){return function(_0x887d37){_0x188473[_0x3c686f]=_0x887d37||{'count':0x0,'rows':[]};};}function _0x24b27a(){const _0x5b3865=_0x10fdf0;_0x188473[_0x5b3865(0x8b1)]&&_0x188473[_0x5b3865(0x8b1)]['id']&&(_0x188473['queryHopper']['ContactId']=_0x188473[_0x5b3865(0x8b1)]['id'],_0x188473[_0x5b3865(0x103b)][_0x5b3865(0x184b)]=(_0x188473['queryHopper'][_0x5b3865(0x1c7b)]-0x1)*_0x188473['queryHopper'][_0x5b3865(0x236)],_0x188473['promise']=_0x3c2c98[_0x5b3865(0x26bc)]['get'](_0x188473[_0x5b3865(0x103b)],_0x4ee452(_0x5b3865(0xe3c)))[_0x5b3865(0x1d77)]);}function _0x1c1e90(){const _0x3e5155=_0x10fdf0;_0x188473[_0x3e5155(0x8b1)]&&_0x188473[_0x3e5155(0x8b1)]['id']&&(_0x188473['queryFinal'][_0x3e5155(0xb96)]=_0x188473[_0x3e5155(0x8b1)]['id'],_0x188473['queryFinal'][_0x3e5155(0x184b)]=(_0x188473[_0x3e5155(0x588)][_0x3e5155(0x1c7b)]-0x1)*_0x188473['queryFinal'][_0x3e5155(0x236)],_0x188473[_0x3e5155(0x2061)]=_0x3c2c98[_0x3e5155(0x145f)][_0x3e5155(0xbf7)](_0x188473[_0x3e5155(0x588)],_0x4ee452(_0x3e5155(0x91e)))[_0x3e5155(0x1d77)]);}function _0x833ca9(){const _0x16e1b7=_0x10fdf0;_0x188473['contact']&&_0x188473[_0x16e1b7(0x8b1)]['id']&&(_0x188473[_0x16e1b7(0x2530)]['ContactId']=_0x188473[_0x16e1b7(0x8b1)]['id'],_0x188473[_0x16e1b7(0x2530)][_0x16e1b7(0x184b)]=(_0x188473[_0x16e1b7(0x2530)][_0x16e1b7(0x1c7b)]-0x1)*_0x188473['queryHistory'][_0x16e1b7(0x236)],_0x188473[_0x16e1b7(0x2061)]=_0x3c2c98[_0x16e1b7(0x1e15)][_0x16e1b7(0xbf7)](_0x188473['queryHistory'],_0x4ee452(_0x16e1b7(0x13a4)))[_0x16e1b7(0x1d77)]);}function _0xb4e54b(){const _0xad634e=_0x10fdf0;_0x3c2c98[_0xad634e(0x1c21)][_0xad634e(0xbf7)]({'fields':'createdAt,updatedAt,id,name,description,createdAt,formData,enableUncompleteSave','sort':_0xad634e(0x282),'nolimit':!![]})['$promise']['then'](function(_0x5b37da){const _0x3b583b=_0xad634e;_0x188473[_0x3b583b(0x2978)]=_0x5b37da||{'count':0x0,'rows':[]};})[_0xad634e(0x1c4)](function(_0x10614c){const _0x515402=_0xad634e;if(_0x10614c[_0x515402(0x25c)]&&_0x10614c['data'][_0x515402(0x1a7c)]&&_0x10614c[_0x515402(0x25c)][_0x515402(0x1a7c)]['length']){_0x188473[_0x515402(0x1a7c)]=_0x10614c['data'][_0x515402(0x1a7c)]||[{'message':_0x10614c[_0x515402(0x147f)](),'type':_0x515402(0x186e)}];for(let _0x43c598=0x0;_0x43c598<_0x10614c[_0x515402(0x25c)]['errors']['length'];_0x43c598+=0x1){_0x12fa66[_0x515402(0x218e)]({'title':_0x10614c['data'][_0x515402(0x1a7c)][_0x43c598]['type'],'msg':_0x10614c[_0x515402(0x25c)][_0x515402(0x1a7c)][_0x43c598]['message']});}}else _0x12fa66[_0x515402(0x218e)]({'title':_0x10614c[_0x515402(0x291)]?_0x515402(0xeb9)+_0x10614c['status']+'\x20-\x20'+_0x10614c['statusText']:_0x515402(0x186e),'msg':_0x10614c['data']?JSON[_0x515402(0x2701)](_0x10614c[_0x515402(0x25c)]['message']):_0x10614c['toString']()});});}function _0x1e9b28(){const _0x3129d0=_0x10fdf0;_0x3c2c98[_0x3129d0(0xebe)][_0x3129d0(0xe96)]({'id':_0x188473[_0x3129d0(0xebe)]['id'],'nolimit':!![]})['$promise'][_0x3129d0(0x1cb0)](function(_0x5ec80e){_0x188473['mailAccounts']=_0x5ec80e||{'count':0x0,'rows':[]};})[_0x3129d0(0x1c4)](function(_0x7cdae7){const _0x57280a=_0x3129d0;if(_0x7cdae7[_0x57280a(0x25c)]&&_0x7cdae7[_0x57280a(0x25c)]['errors']&&_0x7cdae7[_0x57280a(0x25c)][_0x57280a(0x1a7c)]['length']){_0x188473['errors']=_0x7cdae7[_0x57280a(0x25c)][_0x57280a(0x1a7c)]||[{'message':_0x7cdae7['toString'](),'type':'api.mail.account'}];for(let _0x380009=0x0;_0x380009<_0x7cdae7[_0x57280a(0x25c)][_0x57280a(0x1a7c)][_0x57280a(0xfd0)];_0x380009+=0x1){_0x12fa66['error']({'title':_0x7cdae7[_0x57280a(0x25c)]['errors'][_0x380009][_0x57280a(0x66a)],'msg':_0x7cdae7[_0x57280a(0x25c)]['errors'][_0x380009][_0x57280a(0x155e)]});}}else _0x12fa66[_0x57280a(0x218e)]({'title':_0x7cdae7['status']?_0x57280a(0xeb9)+_0x7cdae7[_0x57280a(0x291)]+_0x57280a(0x1657)+_0x7cdae7[_0x57280a(0xc22)]:'api.mail.account','msg':_0x7cdae7[_0x57280a(0x25c)]?JSON['stringify'](_0x7cdae7[_0x57280a(0x25c)][_0x57280a(0x155e)]):_0x7cdae7[_0x57280a(0x147f)]()});});}function _0x1bf3ff(){const _0xe9dabc=_0x10fdf0;_0x3c2c98['user'][_0xe9dabc(0x8e2)]({'id':_0x188473[_0xe9dabc(0xebe)]['id'],'nolimit':!![]})[_0xe9dabc(0x1d77)][_0xe9dabc(0x1cb0)](function(_0x33538a){_0x188473['faxAccounts']=_0x33538a||{'count':0x0,'rows':[]};})[_0xe9dabc(0x1c4)](function(_0x3b2c4a){const _0x2c942e=_0xe9dabc;if(_0x3b2c4a[_0x2c942e(0x25c)]&&_0x3b2c4a[_0x2c942e(0x25c)][_0x2c942e(0x1a7c)]&&_0x3b2c4a[_0x2c942e(0x25c)][_0x2c942e(0x1a7c)][_0x2c942e(0xfd0)]){_0x188473[_0x2c942e(0x1a7c)]=_0x3b2c4a[_0x2c942e(0x25c)]['errors']||[{'message':_0x3b2c4a['toString'](),'type':_0x2c942e(0x1924)}];for(let _0x397997=0x0;_0x397997<_0x3b2c4a[_0x2c942e(0x25c)][_0x2c942e(0x1a7c)]['length'];_0x397997+=0x1){_0x12fa66[_0x2c942e(0x218e)]({'title':_0x3b2c4a[_0x2c942e(0x25c)]['errors'][_0x397997][_0x2c942e(0x66a)],'msg':_0x3b2c4a[_0x2c942e(0x25c)]['errors'][_0x397997][_0x2c942e(0x155e)]});}}else _0x12fa66[_0x2c942e(0x218e)]({'title':_0x3b2c4a[_0x2c942e(0x291)]?_0x2c942e(0xeb9)+_0x3b2c4a[_0x2c942e(0x291)]+'\x20-\x20'+_0x3b2c4a[_0x2c942e(0xc22)]:_0x2c942e(0x1924),'msg':_0x3b2c4a['data']?JSON['stringify'](_0x3b2c4a[_0x2c942e(0x25c)][_0x2c942e(0x155e)]):_0x3b2c4a['toString']()});});}function _0x4b0585(){const _0x30c08c=_0x10fdf0;_0x3c2c98['user'][_0x30c08c(0x856)]({'id':_0x188473[_0x30c08c(0xebe)]['id'],'nolimit':!![]})[_0x30c08c(0x1d77)][_0x30c08c(0x1cb0)](function(_0x42fbb9){_0x188473['smsAccounts']=_0x42fbb9||{'count':0x0,'rows':[]};})[_0x30c08c(0x1c4)](function(_0x143d40){const _0x49fcc0=_0x30c08c;if(_0x143d40[_0x49fcc0(0x25c)]&&_0x143d40[_0x49fcc0(0x25c)][_0x49fcc0(0x1a7c)]&&_0x143d40['data']['errors'][_0x49fcc0(0xfd0)]){_0x188473[_0x49fcc0(0x1a7c)]=_0x143d40[_0x49fcc0(0x25c)]['errors']||[{'message':_0x143d40[_0x49fcc0(0x147f)](),'type':'api.sms.account'}];for(let _0x4e5c54=0x0;_0x4e5c54<_0x143d40[_0x49fcc0(0x25c)][_0x49fcc0(0x1a7c)][_0x49fcc0(0xfd0)];_0x4e5c54+=0x1){_0x12fa66['error']({'title':_0x143d40[_0x49fcc0(0x25c)]['errors'][_0x4e5c54]['type'],'msg':_0x143d40[_0x49fcc0(0x25c)]['errors'][_0x4e5c54]['message']});}}else _0x12fa66['error']({'title':_0x143d40['status']?_0x49fcc0(0xeb9)+_0x143d40[_0x49fcc0(0x291)]+_0x49fcc0(0x1657)+_0x143d40[_0x49fcc0(0xc22)]:'api.sms.account','msg':_0x143d40['data']?JSON[_0x49fcc0(0x2701)](_0x143d40[_0x49fcc0(0x25c)]['message']):_0x143d40[_0x49fcc0(0x147f)]()});});}function _0x2bbde1(){const _0x21f9f0=_0x10fdf0;_0x3c2c98['user'][_0x21f9f0(0x1758)]({'id':_0x188473[_0x21f9f0(0xebe)]['id'],'nolimit':!![]})['$promise']['then'](function(_0xf5ac98){const _0x4c38aa=_0x21f9f0;_0x188473[_0x4c38aa(0xbb3)]=_0xf5ac98||{'count':0x0,'rows':[]};})[_0x21f9f0(0x1c4)](function(_0x17927f){const _0x39b569=_0x21f9f0;if(_0x17927f[_0x39b569(0x25c)]&&_0x17927f[_0x39b569(0x25c)]['errors']&&_0x17927f[_0x39b569(0x25c)]['errors'][_0x39b569(0xfd0)]){_0x188473[_0x39b569(0x1a7c)]=_0x17927f['data'][_0x39b569(0x1a7c)]||[{'message':_0x17927f[_0x39b569(0x147f)](),'type':_0x39b569(0x8bc)}];for(let _0x2f2fd3=0x0;_0x2f2fd3<_0x17927f[_0x39b569(0x25c)][_0x39b569(0x1a7c)]['length'];_0x2f2fd3+=0x1){_0x12fa66[_0x39b569(0x218e)]({'title':_0x17927f['data'][_0x39b569(0x1a7c)][_0x2f2fd3][_0x39b569(0x66a)],'msg':_0x17927f[_0x39b569(0x25c)][_0x39b569(0x1a7c)][_0x2f2fd3][_0x39b569(0x155e)]});}}else _0x12fa66[_0x39b569(0x218e)]({'title':_0x17927f['status']?_0x39b569(0xeb9)+_0x17927f['status']+_0x39b569(0x1657)+_0x17927f['statusText']:'api.whatsapp.account','msg':_0x17927f['data']?JSON[_0x39b569(0x2701)](_0x17927f[_0x39b569(0x25c)]['message']):_0x17927f[_0x39b569(0x147f)]()});});}function _0x56f615(){const _0x2e77f5=_0x10fdf0;_0x3c2c98[_0x2e77f5(0xebe)][_0x2e77f5(0x29c4)]({'id':_0x188473[_0x2e77f5(0xebe)]['id'],'nolimit':!![]})[_0x2e77f5(0x1d77)][_0x2e77f5(0x1cb0)](function(_0x2b7c2e){const _0x6f0787=_0x2e77f5;_0x188473[_0x6f0787(0xe5c)]=_0x2b7c2e||{'count':0x0,'rows':[]};})['catch'](function(_0x595e61){const _0x4d6380=_0x2e77f5;if(_0x595e61[_0x4d6380(0x25c)]&&_0x595e61[_0x4d6380(0x25c)][_0x4d6380(0x1a7c)]&&_0x595e61[_0x4d6380(0x25c)][_0x4d6380(0x1a7c)][_0x4d6380(0xfd0)]){_0x188473[_0x4d6380(0x1a7c)]=_0x595e61['data'][_0x4d6380(0x1a7c)]||[{'message':_0x595e61[_0x4d6380(0x147f)](),'type':_0x4d6380(0x62f)}];for(let _0x467573=0x0;_0x467573<_0x595e61[_0x4d6380(0x25c)][_0x4d6380(0x1a7c)][_0x4d6380(0xfd0)];_0x467573+=0x1){_0x12fa66['error']({'title':_0x595e61[_0x4d6380(0x25c)][_0x4d6380(0x1a7c)][_0x467573][_0x4d6380(0x66a)],'msg':_0x595e61['data'][_0x4d6380(0x1a7c)][_0x467573]['message']});}}else _0x12fa66[_0x4d6380(0x218e)]({'title':_0x595e61[_0x4d6380(0x291)]?_0x4d6380(0xeb9)+_0x595e61[_0x4d6380(0x291)]+_0x4d6380(0x1657)+_0x595e61['statusText']:'api.openchannel.account','msg':_0x595e61[_0x4d6380(0x25c)]?JSON[_0x4d6380(0x2701)](_0x595e61[_0x4d6380(0x25c)][_0x4d6380(0x155e)]):_0x595e61[_0x4d6380(0x147f)]()});});}function _0x3925d3(){const _0x2fba7b=_0x10fdf0;_0x3c2c98['user'][_0x2fba7b(0x1cb7)]({'id':_0x188473[_0x2fba7b(0xebe)]['id'],'nolimit':!![]})['$promise']['then'](function(_0x11801d){_0x188473['chatAccounts']=_0x11801d||{'count':0x0,'rows':[]};})['catch'](function(_0x295d70){const _0x4588bb=_0x2fba7b;if(_0x295d70[_0x4588bb(0x25c)]&&_0x295d70['data'][_0x4588bb(0x1a7c)]&&_0x295d70[_0x4588bb(0x25c)][_0x4588bb(0x1a7c)][_0x4588bb(0xfd0)]){_0x188473['errors']=_0x295d70[_0x4588bb(0x25c)][_0x4588bb(0x1a7c)]||[{'message':_0x295d70[_0x4588bb(0x147f)](),'type':'api.chatAccounts.account'}];for(let _0x1bf076=0x0;_0x1bf076<_0x295d70[_0x4588bb(0x25c)][_0x4588bb(0x1a7c)][_0x4588bb(0xfd0)];_0x1bf076+=0x1){_0x12fa66['error']({'title':_0x295d70[_0x4588bb(0x25c)][_0x4588bb(0x1a7c)][_0x1bf076][_0x4588bb(0x66a)],'msg':_0x295d70[_0x4588bb(0x25c)]['errors'][_0x1bf076][_0x4588bb(0x155e)]});}}else _0x12fa66[_0x4588bb(0x218e)]({'title':_0x295d70[_0x4588bb(0x291)]?_0x4588bb(0xeb9)+_0x295d70[_0x4588bb(0x291)]+'\x20-\x20'+_0x295d70[_0x4588bb(0xc22)]:_0x4588bb(0x27b9),'msg':_0x295d70[_0x4588bb(0x25c)]?JSON[_0x4588bb(0x2701)](_0x295d70[_0x4588bb(0x25c)][_0x4588bb(0x155e)]):_0x295d70[_0x4588bb(0x147f)]()});});}function _0x2a3a5c(){const _0x3018fe=_0x10fdf0;_0x3c2c98[_0x3018fe(0xebe)][_0x3018fe(0x1fa7)]({'id':_0x188473['user']['id'],'nolimit':!![]})['$promise'][_0x3018fe(0x1cb0)](function(_0x3186b0){const _0x4ca908=_0x3018fe;_0x188473[_0x4ca908(0x1046)]=_0x3186b0||{'count':0x0,'rows':[]};})[_0x3018fe(0x1c4)](function(_0x493bcc){const _0x3b1715=_0x3018fe;if(_0x493bcc[_0x3b1715(0x25c)]&&_0x493bcc[_0x3b1715(0x25c)][_0x3b1715(0x1a7c)]&&_0x493bcc[_0x3b1715(0x25c)][_0x3b1715(0x1a7c)][_0x3b1715(0xfd0)]){_0x188473[_0x3b1715(0x1a7c)]=_0x493bcc[_0x3b1715(0x25c)][_0x3b1715(0x1a7c)]||[{'message':_0x493bcc['toString'](),'type':'api.cm.lists'}];for(let _0x347434=0x0;_0x347434<_0x493bcc['data'][_0x3b1715(0x1a7c)][_0x3b1715(0xfd0)];_0x347434+=0x1){_0x12fa66['error']({'title':_0x493bcc[_0x3b1715(0x25c)][_0x3b1715(0x1a7c)][_0x347434][_0x3b1715(0x66a)],'msg':_0x493bcc['data'][_0x3b1715(0x1a7c)][_0x347434][_0x3b1715(0x155e)]});}}else _0x12fa66['error']({'title':_0x493bcc[_0x3b1715(0x291)]?'API:'+_0x493bcc[_0x3b1715(0x291)]+_0x3b1715(0x1657)+_0x493bcc[_0x3b1715(0xc22)]:_0x3b1715(0x2916),'msg':_0x493bcc[_0x3b1715(0x25c)]?JSON['stringify'](_0x493bcc[_0x3b1715(0x25c)][_0x3b1715(0x155e)]):_0x493bcc[_0x3b1715(0x147f)]()});});}function _0x1a741b(_0x4f8f1b){const _0x1a7632=_0x10fdf0;_0x11a8fa[_0x1a7632(0xe27)]({'controller':'DuplicateContactDialogController','controllerAs':'vm','templateUrl':_0x4e0982,'parent':angular[_0x1a7632(0x1853)](_0x44d07e[_0x1a7632(0x1ed9)]),'targetEvent':_0x4f8f1b,'clickOutsideToClose':!![],'resolve':{'contact':[_0x1a7632(0x1e0b),function(_0x4df29d){const _0x160707=_0x1a7632;return _0x4df29d['resolve'](_0x160707(0x1095),{'id':_0x188473[_0x160707(0x8b1)]['id']});}],'lists':[_0x1a7632(0x1e0b),function(_0x1f0602){const _0x1ee89a=_0x1a7632;return _0x1f0602[_0x1ee89a(0x19a3)](_0x1ee89a(0xbcf),{'id':_0x111315['getCurrentUser']()['id'],'fields':_0x1ee89a(0x43c)});}]},'locals':{'contacts':[]}});}function _0x46b96b(_0x1816c0){const _0x1ec35a=_0x10fdf0;_0x11a8fa['show']({'controller':_0x1ec35a(0x219f),'controllerAs':'vm','templateUrl':_0x860e6c,'parent':angular['element'](_0x44d07e['body']),'targetEvent':_0x1816c0,'clickOutsideToClose':!![],'resolve':{'contact':[_0x1ec35a(0x1e0b),function(_0x5d2616){const _0x8ec3af=_0x1ec35a;return _0x5d2616[_0x8ec3af(0x19a3)](_0x8ec3af(0x1095),{'id':_0x188473['contact']['id']});}],'lists':[_0x1ec35a(0x1e0b),function(_0x355835){const _0x5a5026=_0x1ec35a;return _0x355835[_0x5a5026(0x19a3)](_0x5a5026(0xbcf),{'id':_0x111315[_0x5a5026(0x21e8)]()['id'],'fields':_0x5a5026(0x43c)});}]},'locals':{'contacts':[]}})[_0x1ec35a(0x1cb0)](function(_0x9ce4ec){const _0x32c848=_0x1ec35a;if(_0x9ce4ec){for(const _0x30d7e8 in _0x1ea601[_0x32c848(0x17bc)]['$parent'][_0x32c848(0x17bc)]['vm']['tabs']){if(typeof _0x1ea601[_0x32c848(0x17bc)][_0x32c848(0x17bc)][_0x32c848(0x17bc)]['vm']['tabs'][_0x30d7e8]!==_0x32c848(0x16b5)){const _0x8e6062=_0x1ea601[_0x32c848(0x17bc)][_0x32c848(0x17bc)][_0x32c848(0x17bc)]['vm'][_0x32c848(0x2e3)][_0x30d7e8];_0x8e6062&&_0x8e6062['contact']&&(_0x9ce4ec[_0x32c848(0x174d)]&&_0x9ce4ec[_0x32c848(0x174d)]['id']&&_0x9ce4ec[_0x32c848(0x174d)]['id']===_0x8e6062[_0x32c848(0x8b1)]['id']&&_0x1ea601['$parent'][_0x32c848(0x17bc)][_0x32c848(0x17bc)]['vm'][_0x32c848(0x85e)](_0x8e6062),_0x9ce4ec['to']&&_0x9ce4ec['to']['id']&&_0x9ce4ec['to']['id']===_0x8e6062['contact']['id']&&_0x1ea601[_0x32c848(0x17bc)]['$parent']['$parent']['vm'][_0x32c848(0x85e)](_0x8e6062));}}_0x9ce4ec[_0x32c848(0x1295)]&&_0x1ea601[_0x32c848(0x17bc)][_0x32c848(0x17bc)][_0x32c848(0x17bc)]['vm'][_0x32c848(0x1bac)](_0x9ce4ec[_0x32c848(0x1295)]);}});}function _0x233a6c(_0x3ba353,_0x218ceb){const _0x2857c0=_0x10fdf0;if(_0x188473[_0x2857c0(0x2617)]){_0x12fa66[_0x2857c0(0x218e)]({'title':'ATTENTION','msg':_0x2857c0(0x21a5)});return;}_0x11a8fa[_0x2857c0(0xe27)]({'controller':'DisposeCallDialogController','controllerAs':'vm','templateUrl':_0x192db3,'parent':angular[_0x2857c0(0x1853)](_0x44d07e[_0x2857c0(0x1ed9)]),'targetEvent':_0x218ceb,'clickOutsideToClose':!_0x218ceb['mandatoryDisposition'],'escapeToClose':!_0x218ceb[_0x2857c0(0x1935)],'resolve':{'globalDispositions':[_0x2857c0(0x1e0b),function(_0x3df387){const _0x3e0967=_0x2857c0;return _0x3df387[_0x3e0967(0x19a3)](_0x3e0967(0x1c6f),{'MailAccountId':_0x3e0967(0xd38),'FaxAccountId':'null','SmsAccountId':_0x3e0967(0xd38),'WhatsappAccountId':_0x3e0967(0xd38),'OpenchannelAccountId':'null','ChatWebsiteId':_0x3e0967(0xd38),'ListId':_0x3e0967(0xd38)});}]},'onRemoving':function(){const _0xd75cf3=_0x2857c0;_0x188473[_0xd75cf3(0xebe)]&&_0x218ceb[_0xd75cf3(0x1935)]&&_0x3c2c98[_0xd75cf3(0xebe)]['unpause']({'id':_0x188473['user']['id']})[_0xd75cf3(0x1d77)][_0xd75cf3(0x1c4)](function(_0x25f879){const _0x40dd3f=_0xd75cf3;console[_0x40dd3f(0x218e)](_0x25f879);});},'locals':{'dispositions':_0x188473['tab'][_0x2857c0(0xdf2)],'contact':_0x188473[_0x2857c0(0x8b1)],'call':_0x218ceb}})['then'](function(_0x7fbcb9){const _0x3a250b=_0x2857c0;if(_0x7fbcb9){if(_0x7fbcb9===_0x3a250b(0x2917))_0x12fa66[_0x3a250b(0x829)]({'title':_0x788ae7['instant'](_0x3a250b(0x1f19)),'msg':_0x788ae7[_0x3a250b(0x25cc)]('DASHBOARDS.CALL_DISPOSED')+'\x20'+_0x7fbcb9});else{if(_0x7fbcb9===_0x3a250b(0xbbe)||_0x7fbcb9===_0x3a250b(0x1f9)){}else _0x12fa66[_0x3a250b(0x829)]({'title':_0x788ae7[_0x3a250b(0x25cc)](_0x3a250b(0x1f19)),'msg':_0x788ae7['instant'](_0x3a250b(0x545))});}}for(const _0x4f1cb6 in _0x1ea601[_0x3a250b(0x17bc)][_0x3a250b(0x17bc)]['$parent']['vm'][_0x3a250b(0x2e3)]){if(typeof _0x1ea601[_0x3a250b(0x17bc)][_0x3a250b(0x17bc)][_0x3a250b(0x17bc)]['vm'][_0x3a250b(0x2e3)][_0x4f1cb6]!=='undefined'){const _0x1053f3=_0x1ea601[_0x3a250b(0x17bc)][_0x3a250b(0x17bc)][_0x3a250b(0x17bc)]['vm'][_0x3a250b(0x2e3)][_0x4f1cb6];_0x39641b()['remove'](_0x1053f3['calls'],function(_0x4c027b){const _0x27ba8b=_0x3a250b;return _0x4c027b[_0x27ba8b(0x1425)]===_0x218ceb[_0x27ba8b(0x1425)];});}}_0x1ea601[_0x3a250b(0x17bc)]['vm'][_0x3a250b(0x1c7c)]();});}function _0x27bb1a(_0xe28c43){const _0x1ad721=_0x10fdf0;return _0x3c2c98[_0x1ad721(0x22f2)][_0x1ad721(0x178f)]({'id':_0xe28c43})[_0x1ad721(0x1d77)][_0x1ad721(0x1cb0)](function(_0x1d4d8d){const _0x4d7879=_0x1ad721;_0x188473[_0x4d7879(0x291e)][_0x4d7879(0x2242)]=_0x1d4d8d;})[_0x1ad721(0x1c4)](function(_0x2ac5f1){const _0x55d11d=_0x1ad721;_0x12fa66[_0x55d11d(0x218e)]({'title':_0x2ac5f1[_0x55d11d(0x291)]?'API:'+_0x2ac5f1['status']+'\x20-\x20'+_0x2ac5f1[_0x55d11d(0xc22)]:'LIST:GETCustomFields','msg':_0x2ac5f1[_0x55d11d(0x25c)]?JSON[_0x55d11d(0x2701)](_0x2ac5f1['data']):_0x2ac5f1[_0x55d11d(0x147f)]()});});}function _0x28a495(_0x1c2d2c){return _0x1c2d2c===null?undefined:new Date(_0x1c2d2c);}function _0x82a560(_0x340f56){const _0x567af9=_0x10fdf0;try{_0x340f56[_0x567af9(0x1b8c)]=_0x32329f()[_0x567af9(0x1778)](_0x340f56[_0x567af9(0x1b8c)],{'contact':_0x188473['contact'],'user':_0x188473['user']});}catch(_0x127648){console['error'](_0x127648);}try{_0x1ea601[_0x567af9(0x17bc)][_0x567af9(0x17bc)][_0x567af9(0x17bc)]['vm'][_0x567af9(0x165d)]({'type':_0x567af9(0x1e98),'title':_0x340f56[_0x567af9(0x16b6)],'icon':'icon-script','color':'grey','project':_0x340f56,'contact':_0x188473[_0x567af9(0x8b1)]});}catch(_0x2b51b4){_0x12fa66[_0x567af9(0x218e)]({'title':_0x567af9(0x1e05),'msg':'The\x20project\x20cannot\x20be\x20started'+JSON[_0x567af9(0x2701)](_0x2b51b4)});}}function _0x5d1641(_0x24a9d8){return _0x111315['hasPermission'](_0x24a9d8);}_0x1ea601[_0x10fdf0(0x1d6)](_0x10fdf0(0x291c),function(){});}const _0x1c7030=_0x1f77c6;;_0x12f9ee['$inject']=[_0x5537c6(0xcb9),'$q','toasty',_0x5537c6(0x142b),_0x5537c6(0xdf2),'globalDispositions',_0x5537c6(0x205d),_0x5537c6(0x8b1),_0x5537c6(0x1774),_0x5537c6(0x1ae)];function _0x12f9ee(_0x1a5ba1,_0x30a406,_0x1f128d,_0x55257f,_0x4160d8,_0x4f0ae0,_0x1bb2e7,_0x5c9e6e,_0x37e3ce,_0x2b8492){const _0x5c8b9d=_0x5537c6,_0x198a69=this;_0x198a69[_0x5c8b9d(0x8fc)]=![],_0x198a69[_0x5c8b9d(0x1858)]=![],_0x198a69['queueCampaign']=undefined,_0x198a69[_0x5c8b9d(0x1f65)]={'count':0x0,'rows':[]},_0x198a69[_0x5c8b9d(0xdf2)]=_0x4160d8[_0x5c8b9d(0x2214)],_0x198a69[_0x5c8b9d(0x8ab)]=_0x4f0ae0['rows'],_0x198a69['contact']=_0x5c9e6e,_0x198a69[_0x5c8b9d(0x205d)]=_0x1bb2e7,_0x198a69[_0x5c8b9d(0xbe2)]=_0x198a69['call'][_0x5c8b9d(0xbe2)]||undefined,_0x198a69[_0x5c8b9d(0x1d99)]=![],_0x198a69['hasBlackLists']=![],_0x198a69[_0x5c8b9d(0x2151)]={'minDate':new Date(),'dateTime':new Date()};_0x198a69[_0x5c8b9d(0x205d)]&&_0x198a69[_0x5c8b9d(0x205d)][_0x5c8b9d(0x9a9)]&&_0x198a69['call'][_0x5c8b9d(0x9a9)]['xmd-voicequeueid']?(_0x198a69['dialerCall']=!![],_0x55257f[_0x5c8b9d(0x26b3)][_0x5c8b9d(0xe93)]({'id':_0x198a69[_0x5c8b9d(0x205d)]['variables'][_0x5c8b9d(0x1569)]})[_0x5c8b9d(0x1d77)][_0x5c8b9d(0x1cb0)](function(_0x30fbf2){const _0x3bd5f1=_0x5c8b9d;_0x30fbf2&&_0x30fbf2[_0x3bd5f1(0x2214)]&&_0x30fbf2[_0x3bd5f1(0x2214)][_0x3bd5f1(0xfd0)]>0x0&&(_0x198a69[_0x3bd5f1(0xf27)]=!![]);})[_0x5c8b9d(0x1c4)](function(_0x239c2f){const _0x2d18fe=_0x5c8b9d;_0x1f128d[_0x2d18fe(0x218e)]({'title':_0x239c2f[_0x2d18fe(0x291)]?_0x2d18fe(0xeb9)+_0x239c2f[_0x2d18fe(0x291)]+_0x2d18fe(0x1657)+_0x239c2f[_0x2d18fe(0xc22)]:_0x2d18fe(0xc98),'msg':_0x239c2f[_0x2d18fe(0x25c)]?JSON[_0x2d18fe(0x2701)](_0x239c2f['data']):_0x239c2f[_0x2d18fe(0x147f)]()});})):_0x55257f['user'][_0x5c8b9d(0x13e9)]({'id':_0x37e3ce['getCurrentUser']()['id'],'fields':_0x5c8b9d(0x282c),'channel':_0x5c8b9d(0x1fd4),'type':'outbound','nolimit':!![]})[_0x5c8b9d(0x1d77)]['then'](function(_0x363dc7){const _0x3cf7b9=_0x5c8b9d;_0x198a69[_0x3cf7b9(0x1f65)]=_0x363dc7||{'count':0x0,'rows':[]};})[_0x5c8b9d(0x1c4)](function(_0x2cbbce){const _0x1877ed=_0x5c8b9d;console[_0x1877ed(0x218e)](_0x2cbbce);});_0x198a69[_0x5c8b9d(0x22bd)]=_0x46c5ea,_0x198a69[_0x5c8b9d(0x1a49)]=_0x21499c,_0x198a69['saveDisposition']=_0x5f01b6,_0x198a69[_0x5c8b9d(0xda0)]=_0x2e03a8,_0x198a69[_0x5c8b9d(0x73f)]=_0xc3fffb;function _0x46c5ea(_0x20374d){const _0x3e1824=_0x5c8b9d,_0x57391d=_0x39641b()[_0x3e1824(0x16ef)](_0x198a69[_0x3e1824(0xdf2)],_0x198a69[_0x3e1824(0x8ab)],'id');switch(_0x20374d){case _0x3e1824(0xf07):_0x198a69[_0x3e1824(0x860)]=_0x198a69[_0x3e1824(0x8ba)],_0x198a69['anySecondLevelDisposition']=_0x39641b()['some'](_0x57391d,['ParentId',_0x198a69[_0x3e1824(0x8ba)]['id']]),_0x198a69[_0x3e1824(0x1aeb)]=undefined,_0x198a69[_0x3e1824(0x20cf)]=undefined,_0x198a69[_0x3e1824(0x1d98)]=![];break;case _0x3e1824(0x1e82):_0x198a69['selectedDisposition']=_0x198a69['secondLevelDisposition'],_0x198a69['anyThirdLevelDisposition']=_0x39641b()[_0x3e1824(0x727)](_0x57391d,[_0x3e1824(0x55e),_0x198a69[_0x3e1824(0x1aeb)]['id']]);break;case _0x3e1824(0x1dd0):_0x198a69[_0x3e1824(0x860)]=_0x198a69[_0x3e1824(0x20cf)];break;}}function _0x21499c(_0x137a54){const _0x1eb847=_0x5c8b9d;if(_0x137a54===_0x1eb847(0x1e82))_0x198a69[_0x1eb847(0x1aeb)]=undefined,_0x198a69[_0x1eb847(0x20cf)]=undefined,_0x198a69[_0x1eb847(0x860)]=_0x198a69['firstLevelDisposition'];else _0x137a54===_0x1eb847(0x1dd0)&&(_0x198a69[_0x1eb847(0x20cf)]=undefined,_0x198a69[_0x1eb847(0x860)]=_0x198a69[_0x1eb847(0x1aeb)]);}function _0x47f6dc(){const _0x3e5fe2=_0x5c8b9d,_0x2492b1=_0x198a69[_0x3e5fe2(0x860)]?_0x198a69['selectedDisposition'][_0x3e5fe2(0x16b6)][_0x3e5fe2(0x1680)]():undefined;return{'id':_0x198a69[_0x3e5fe2(0x205d)][_0x3e5fe2(0x1425)],'disposition':_0x198a69[_0x3e5fe2(0x8ba)]?_0x198a69['firstLevelDisposition'][_0x3e5fe2(0x16b6)]:null,'secondDisposition':_0x198a69[_0x3e5fe2(0x1aeb)]?_0x198a69['secondLevelDisposition'][_0x3e5fe2(0x16b6)]:null,'thirdDisposition':_0x198a69[_0x3e5fe2(0x20cf)]?_0x198a69[_0x3e5fe2(0x20cf)][_0x3e5fe2(0x16b6)]:null,'dispositionat':_0x543b5a()(),'amd':_0x2492b1===_0x3e5fe2(0x1cf4),'fax':_0x2492b1===_0x3e5fe2(0x1944),'blacklist':_0x2492b1===_0x3e5fe2(0x9b5),'recallme':_0x2492b1===_0x3e5fe2(0x1858),'UserId':_0x2492b1===_0x3e5fe2(0x1858)?_0x37e3ce['getCurrentUser']()['id']:null,'OwnerId':_0x37e3ce[_0x3e5fe2(0x21e8)]()['id']};}function _0x27f97c(){const _0x159d1a=_0x5c8b9d;return _0x55257f[_0x159d1a(0x11f2)][_0x159d1a(0x687)]({'id':_0x198a69['call']['uniqueid'],'userDisposition':_0x198a69[_0x159d1a(0x8ba)]?_0x198a69[_0x159d1a(0x8ba)][_0x159d1a(0x16b6)]:null,'userSecondDisposition':_0x198a69[_0x159d1a(0x1aeb)]?_0x198a69[_0x159d1a(0x1aeb)][_0x159d1a(0x16b6)]:null,'userThirdDisposition':_0x198a69['thirdLevelDisposition']?_0x198a69[_0x159d1a(0x20cf)]['name']:null,'note':_0x198a69[_0x159d1a(0xbe2)],'UserId':_0x37e3ce[_0x159d1a(0x21e8)]()['id'],'ContactId':_0x198a69[_0x159d1a(0x8b1)]['id']})[_0x159d1a(0x1d77)][_0x159d1a(0x1c4)](function(_0x128ada){const _0x25eb47=_0x159d1a;_0x1f128d[_0x25eb47(0x218e)]({'title':_0x128ada[_0x25eb47(0x291)]?'API:'+_0x128ada[_0x25eb47(0x291)]+'\x20-\x20'+_0x128ada[_0x25eb47(0xc22)]:_0x25eb47(0x6c3),'msg':_0x128ada[_0x25eb47(0x25c)]?JSON['stringify'](_0x128ada['data']):_0x128ada[_0x25eb47(0x147f)]()});});}function _0x5f4642(){const _0x2f9225=_0x5c8b9d;return _0x55257f['voiceRecording'][_0x2f9225(0xbf7)]({'uniqueid':_0x198a69['call'][_0x2f9225(0x1425)]})[_0x2f9225(0x1d77)][_0x2f9225(0x1cb0)](function(_0x171959){const _0x16b59d=_0x2f9225;if(_0x171959)for(let _0xb1f56d=0x0;_0xb1f56d<_0x171959[_0x16b59d(0x2214)][_0x16b59d(0xfd0)];_0xb1f56d+=0x1){_0x55257f[_0x16b59d(0x23d1)][_0x16b59d(0x687)]({'id':_0x171959[_0x16b59d(0x2214)][_0xb1f56d]['id'],'userDisposition':_0x198a69[_0x16b59d(0x8ba)]?_0x198a69[_0x16b59d(0x8ba)]['name']:null,'userSecondDisposition':_0x198a69[_0x16b59d(0x1aeb)]?_0x198a69[_0x16b59d(0x1aeb)]['name']:null,'userThirdDisposition':_0x198a69['thirdLevelDisposition']?_0x198a69[_0x16b59d(0x20cf)][_0x16b59d(0x16b6)]:null})[_0x16b59d(0x1d77)]['catch'](function(_0x5bcc3d){const _0x1d309e=_0x16b59d;_0x1f128d[_0x1d309e(0x218e)]({'title':_0x5bcc3d[_0x1d309e(0x291)]?'API:'+_0x5bcc3d[_0x1d309e(0x291)]+'\x20-\x20'+_0x5bcc3d[_0x1d309e(0xc22)]:_0x1d309e(0x557),'msg':_0x5bcc3d[_0x1d309e(0x25c)]?JSON[_0x1d309e(0x2701)](_0x5bcc3d[_0x1d309e(0x25c)]):_0x5bcc3d[_0x1d309e(0x147f)]()});});}})[_0x2f9225(0x1c4)](function(_0x54d3a9){const _0x3dc4ec=_0x2f9225;_0x1f128d[_0x3dc4ec(0x218e)]({'title':_0x54d3a9[_0x3dc4ec(0x291)]?_0x3dc4ec(0xeb9)+_0x54d3a9[_0x3dc4ec(0x291)]+_0x3dc4ec(0x1657)+_0x54d3a9[_0x3dc4ec(0xc22)]:'SYSTEM:UPDATEVOICERECORDING','msg':_0x54d3a9[_0x3dc4ec(0x25c)]?JSON[_0x3dc4ec(0x2701)](_0x54d3a9['data']):_0x54d3a9[_0x3dc4ec(0x147f)]()});});}function _0x5aa2f3(){const _0xdb8e69=_0x5c8b9d;return _0x55257f[_0xdb8e69(0x145f)]['update'](_0x47f6dc())[_0xdb8e69(0x1d77)][_0xdb8e69(0x1c4)](function(_0x349161){const _0xe54b89=_0xdb8e69;_0x1f128d[_0xe54b89(0x218e)]({'title':_0x349161['status']?_0xe54b89(0xeb9)+_0x349161[_0xe54b89(0x291)]+_0xe54b89(0x1657)+_0x349161['statusText']:_0xe54b89(0xcd3),'msg':_0x349161[_0xe54b89(0x25c)]?JSON[_0xe54b89(0x2701)](_0x349161[_0xe54b89(0x25c)]):_0x349161[_0xe54b89(0x147f)]()});});}function _0x453a51(){const _0x3b4571=_0x5c8b9d;return _0x55257f[_0x3b4571(0x1e15)][_0x3b4571(0x687)](_0x47f6dc())[_0x3b4571(0x1d77)][_0x3b4571(0x1c4)](function(_0x3feb58){const _0x4acefd=_0x3b4571;_0x1f128d[_0x4acefd(0x218e)]({'title':_0x3feb58['status']?'API:'+_0x3feb58[_0x4acefd(0x291)]+_0x4acefd(0x1657)+_0x3feb58['statusText']:_0x4acefd(0x2259),'msg':_0x3feb58['data']?JSON[_0x4acefd(0x2701)](_0x3feb58[_0x4acefd(0x25c)]):_0x3feb58[_0x4acefd(0x147f)]()});});}function _0x4ec050(_0x5d9288){const _0x564a4a=_0x5c8b9d;if(_0x5d9288)return _0x55257f[_0x564a4a(0x26b3)][_0x564a4a(0xe93)]({'id':_0x5d9288,'type':_0x564a4a(0x895),'fields':'id'})[_0x564a4a(0x1d77)]['then'](function(_0x19abfa){const _0x550b8c=_0x564a4a,_0x5a5642=[];if(_0x19abfa&&_0x19abfa[_0x550b8c(0x184d)]>0x0){for(let _0x216aea=0x0;_0x216aea<_0x19abfa[_0x550b8c(0x2214)][_0x550b8c(0xfd0)];_0x216aea+=0x1){const _0x357fc8=angular[_0x550b8c(0x17fe)](_0x198a69['contact']);delete _0x357fc8['id'],_0x357fc8[_0x550b8c(0x20a6)]=_0x19abfa['rows'][_0x216aea]['id'],_0x5a5642['push'](_0x55257f[_0x550b8c(0xbe7)][_0x550b8c(0x1c3f)](_0x357fc8)[_0x550b8c(0x1d77)]);}return _0x30a406[_0x550b8c(0x223b)](_0x5a5642);}_0x1f128d[_0x550b8c(0x829)]({'title':_0x2b8492['instant'](_0x550b8c(0x1f19)),'msg':_0x2b8492[_0x550b8c(0x25cc)](_0x550b8c(0x1638))+'\x20'+_0x198a69[_0x550b8c(0x860)]}),_0x198a69[_0x550b8c(0x860)]=undefined;return;})['then'](function(_0x53562b){const _0xefdbe1=_0x564a4a;_0x53562b&&_0x1a5ba1[_0xefdbe1(0x1426)](_0x198a69[_0xefdbe1(0x860)]?_0x198a69['selectedDisposition'][_0xefdbe1(0x16b6)][_0xefdbe1(0x2335)]():'');})['catch'](function(_0x3b9a56){const _0x563d03=_0x564a4a;_0x1f128d[_0x563d03(0x218e)]({'title':_0x3b9a56[_0x563d03(0x291)]?'API:'+_0x3b9a56['status']+_0x563d03(0x1657)+_0x3b9a56[_0x563d03(0xc22)]:_0x563d03(0x1e7d),'msg':_0x3b9a56[_0x563d03(0x25c)]?JSON[_0x563d03(0x2701)](_0x3b9a56[_0x563d03(0x25c)]):_0x3b9a56[_0x563d03(0x147f)]()}),_0x1a5ba1['hide'](_0x198a69[_0x563d03(0x860)]?_0x198a69[_0x563d03(0x860)]['name'][_0x563d03(0x2335)]():'');});}function _0x5f01b6(_0x5c2265){const _0x5ea3b1=_0x5c8b9d;_0x198a69['selectedDisposition']=_0x39641b()['isNil'](_0x5c2265)?_0x198a69[_0x5ea3b1(0x860)]:{'name':_0x5c2265};_0x5c2265&&(_0x198a69[_0x5ea3b1(0x8ba)]=_0x198a69[_0x5ea3b1(0x860)]);_0x198a69[_0x5ea3b1(0x205d)]['note']=_0x198a69[_0x5ea3b1(0xbe2)],_0x27f97c(),_0x5f4642();_0x198a69[_0x5ea3b1(0x1d99)]&&(_0x5aa2f3(),_0x453a51());if(!_0x39641b()['isNil'](_0x198a69[_0x5ea3b1(0x860)]))switch(_0x198a69[_0x5ea3b1(0x860)][_0x5ea3b1(0x16b6)][_0x5ea3b1(0x1680)]()){case _0x5ea3b1(0x9b5):_0x198a69['call']&&_0x198a69[_0x5ea3b1(0x205d)][_0x5ea3b1(0x9a9)]&&_0x198a69['call']['variables'][_0x5ea3b1(0x1569)]&&_0x4ec050(_0x198a69[_0x5ea3b1(0x205d)]['variables'][_0x5ea3b1(0x1569)]);break;case _0x5ea3b1(0x1c3b):_0x198a69[_0x5ea3b1(0x8fc)]=!![],_0x198a69[_0x5ea3b1(0x1858)]=![];break;case'recallme':_0x198a69[_0x5ea3b1(0x8fc)]=!![],_0x198a69[_0x5ea3b1(0x1858)]=!![];break;default:_0x1a5ba1[_0x5ea3b1(0x1426)](_0x198a69['selectedDisposition']?_0x198a69['selectedDisposition']['name'][_0x5ea3b1(0x2335)]():'');}else _0x1a5ba1[_0x5ea3b1(0x1426)](_0x198a69[_0x5ea3b1(0x860)]?_0x198a69['selectedDisposition'][_0x5ea3b1(0x16b6)][_0x5ea3b1(0x2335)]():'');}function _0x2e03a8(){const _0x5b2ff5=_0x5c8b9d;_0x1a5ba1[_0x5b2ff5(0x696)]();}function _0xc3fffb(){const _0x4076e2=_0x5c8b9d;return _0x198a69[_0x4076e2(0xd79)]=!![],_0x55257f[_0x4076e2(0x26bc)][_0x4076e2(0x1c3f)]({'phone':_0x198a69['contact'][_0x4076e2(0x1340)],'UserId':_0x198a69[_0x4076e2(0x1858)]?_0x37e3ce[_0x4076e2(0x21e8)]()['id']:null,'ContactId':_0x198a69[_0x4076e2(0x8b1)]['id'],'ListId':_0x198a69['contact'][_0x4076e2(0x20a6)],'VoiceQueueId':_0x198a69[_0x4076e2(0x1d99)]?Number(_0x198a69[_0x4076e2(0x205d)]['xmd-voicequeueid']):_0x198a69['queueCampaign']?_0x198a69[_0x4076e2(0x17b8)]['id']:null,'scheduledat':_0x543b5a()(_0x198a69[_0x4076e2(0x2151)][_0x4076e2(0xb88)])[_0x4076e2(0x1f31)](_0x4076e2(0x2e8)),'priority':0x3,'recallme':_0x198a69['recallme'],'OwnerId':_0x37e3ce[_0x4076e2(0x21e8)]()['id']})[_0x4076e2(0x1d77)]['then'](function(){const _0x2ec5b3=_0x4076e2;_0x198a69[_0x2ec5b3(0x1d99)]&&_0x55257f[_0x2ec5b3(0x145f)][_0x2ec5b3(0x687)]({'id':_0x198a69[_0x2ec5b3(0x205d)][_0x2ec5b3(0x1425)],'rescheduled':!![],'rescheduledat':_0x543b5a()(_0x198a69[_0x2ec5b3(0x2151)]['dateTime'])['format'](_0x2ec5b3(0x2e8)),'recallme':_0x198a69['recallme']})[_0x2ec5b3(0x1d77)][_0x2ec5b3(0x1c4)](function(_0x3d81ff){console['log'](_0x3d81ff);});})['then'](function(){const _0x3930eb=_0x4076e2;_0x1f128d[_0x3930eb(0x829)]({'title':_0x2b8492[_0x3930eb(0x25cc)](_0x3930eb(0x1f19)),'msg':_0x2b8492[_0x3930eb(0x25cc)]('DASHBOARDS.CALL_DISPOSED')+'\x20'+(_0x198a69[_0x3930eb(0x1858)]?_0x2b8492['instant'](_0x3930eb(0x19d7)):_0x2b8492['instant'](_0x3930eb(0x13c3)))});})[_0x4076e2(0x1c4)](function(){const _0x2bff99=_0x4076e2;_0x1a5ba1[_0x2bff99(0x1426)](_0x198a69[_0x2bff99(0x860)]?_0x198a69[_0x2bff99(0x860)][_0x2bff99(0x16b6)][_0x2bff99(0x2335)]():'');})['finally'](function(){const _0x3f1a1c=_0x4076e2;_0x198a69['disable']=![],_0x1a5ba1[_0x3f1a1c(0x1426)](_0x198a69[_0x3f1a1c(0x860)]?_0x198a69['selectedDisposition'][_0x3f1a1c(0x16b6)]['toUpperCase']():'');});}}const _0x578aff=_0x12f9ee;;_0x9097a0[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x2168),'toasty','api',_0x5537c6(0x8b1),_0x5537c6(0x205d),_0x5537c6(0x1774),'recallme','$translate'];function _0x9097a0(_0x3c8790,_0x3c5f94,_0x4d9146,_0x1d9071,_0x1c9d6a,_0x3d436e,_0x5323a2,_0x3ddac3,_0x515afd,_0x12ceed,_0x248cdb,_0x35de92){const _0x54c189=_0x5537c6,_0x5a92dd=this;_0x5a92dd['call']=_0x515afd,_0x5a92dd[_0x54c189(0x8b1)]=_0x3ddac3,_0x5a92dd[_0x54c189(0x2151)]={'minDate':new Date(),'dateTime':new Date()};function _0x303d66(){const _0x4f6892=_0x54c189;return _0x5a92dd['disable']=!![],_0x5323a2['cmHopper'][_0x4f6892(0x1c3f)]({'phone':_0x5a92dd[_0x4f6892(0x8b1)][_0x4f6892(0x1340)],'UserId':_0x248cdb?_0x12ceed['getCurrentUser']()['id']:null,'ContactId':_0x5a92dd['contact']['id'],'ListId':_0x5a92dd[_0x4f6892(0x8b1)]['ListId'],'VoiceQueueId':Number(_0x5a92dd[_0x4f6892(0x205d)][_0x4f6892(0x1569)]),'scheduledat':_0x543b5a()(_0x5a92dd[_0x4f6892(0x2151)]['dateTime'])[_0x4f6892(0x1f31)](_0x4f6892(0x2e8)),'priority':0x3,'recallme':_0x248cdb,'OwnerId':_0x12ceed[_0x4f6892(0x21e8)]()['id']})[_0x4f6892(0x1d77)][_0x4f6892(0x1cb0)](function(){const _0x507aa9=_0x4f6892;_0x5323a2['cmHopperFinal'][_0x507aa9(0x687)]({'id':_0x5a92dd[_0x507aa9(0x205d)][_0x507aa9(0x1425)],'rescheduled':!![],'rescheduledat':_0x543b5a()(_0x5a92dd[_0x507aa9(0x2151)][_0x507aa9(0xb88)])['format'](_0x507aa9(0x2e8)),'recallme':_0x248cdb})['$promise'];})[_0x4f6892(0x1cb0)](function(){const _0x200941=_0x4f6892;_0x3d436e[_0x200941(0x829)]({'title':_0x35de92[_0x200941(0x25cc)](_0x200941(0x1f19)),'msg':_0x35de92[_0x200941(0x25cc)](_0x200941(0x434))+'\x20'+(_0x248cdb?_0x35de92[_0x200941(0x25cc)](_0x200941(0x19d7)):_0x35de92[_0x200941(0x25cc)](_0x200941(0x13c3)))}),_0x1d9071[_0x200941(0x1426)]();})['catch'](function(_0x51532b){const _0x395076=_0x4f6892;_0x1d9071[_0x395076(0x696)](_0x51532b);})[_0x4f6892(0x2e0)](function(){const _0x27aaf9=_0x4f6892;_0x5a92dd[_0x27aaf9(0xd79)]=![];});}function _0xaa3802(){const _0x4becf9=_0x54c189;_0x1d9071[_0x4becf9(0x696)]();}_0x5a92dd[_0x54c189(0xda0)]=_0xaa3802,_0x5a92dd[_0x54c189(0x65b)]=_0x303d66;}const _0x189289=_0x9097a0;;_0x99668f[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),_0x5537c6(0x1ae),_0x5537c6(0x142b),'contacts',_0x5537c6(0xddf),_0x5537c6(0x9bf)];function _0x99668f(_0xdace96,_0x352063,_0x504f13,_0x574308,_0x2f9846,_0x590e96){const _0x2cdead=_0x5537c6,_0x5260c6=this;_0x5260c6[_0x2cdead(0xddf)]=_0x2f9846,_0x5260c6[_0x2cdead(0x1c7b)]=0x1;function _0x24d513(){const _0x7c3f60=_0x2cdead;_0x504f13[_0x7c3f60(0x22f2)][_0x7c3f60(0xbf7)]({'fields':_0x7c3f60(0x43c),'nolimit':!![]})['$promise']['then'](function(_0x1da579){const _0x49f8f7=_0x7c3f60;_0x5260c6[_0x49f8f7(0x1046)]=_0x39641b()[_0x49f8f7(0x257)](_0x1da579[_0x49f8f7(0x2214)])['keyBy']('id')['mapValues']('name')[_0x49f8f7(0x327)](),_0x504f13[_0x49f8f7(0xc36)][_0x49f8f7(0xbf7)]({'fields':_0x49f8f7(0x1243),'nolimit':!![]})['$promise'][_0x49f8f7(0x1cb0)](function(_0x4a6032){const _0x45cfb1=_0x49f8f7;_0x5260c6['customFields']=_0x4a6032['rows'],_0x5260c6[_0x45cfb1(0x7eb)]=_0x39641b()[_0x45cfb1(0x1de2)](_0x574308,function(_0x527753){const _0x254aa3=_0x45cfb1;return Object[_0x254aa3(0x1be5)](_0x527753)[_0x254aa3(0xf90)](function(_0x291357){const _0x24e9f0=_0x254aa3;if(Number(_0x527753[_0x291357])===Number(_0x5260c6['callNumber'])){if(_0x291357[_0x24e9f0(0x1f2c)]('cf_')){const _0x51c1d4=_0x39641b()[_0x24e9f0(0x13b4)](_0x5260c6[_0x24e9f0(0x2242)],['id',Number(_0x39641b()[_0x24e9f0(0x359)](_0x291357,_0x24e9f0(0x1e4b)))]);_0x527753[_0x24e9f0(0xf31)]=_0x51c1d4?_0x51c1d4['alias']:_0x352063[_0x24e9f0(0x25cc)](_0x24e9f0(0x2b9));}else _0x527753[_0x24e9f0(0xf31)]=_0x291357;}}),_0x527753;});});})['then'](function(){const _0x33fd68=_0x7c3f60;_0x5260c6['paginatedContacts']=_0x39641b()[_0x33fd68(0x275b)](_0x574308,0x4);})[_0x7c3f60(0x1c4)](function(_0x414fa4){const _0x197703=_0x7c3f60;_0x590e96['error']({'title':_0x414fa4[_0x197703(0x291)]?_0x197703(0xeb9)+_0x414fa4[_0x197703(0x291)]+_0x197703(0x1657)+_0x414fa4[_0x197703(0xc22)]:_0x197703(0xabc),'msg':_0x414fa4[_0x197703(0x25c)]?JSON[_0x197703(0x2701)](_0x414fa4[_0x197703(0x25c)][_0x197703(0x155e)]):_0x414fa4[_0x197703(0x147f)]()});});}function _0x15ed40(){const _0x1c6e53=_0x2cdead;_0xdace96['hide'](_0x5260c6[_0x1c6e53(0x1176)]);}_0x5260c6[_0x2cdead(0x1a34)]=_0x24d513,_0x5260c6[_0x2cdead(0x1ab5)]=_0x15ed40;}const _0x55c586=_0x99668f;;_0x44f297[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1714)];function _0x44f297(_0x4e51cf,_0x55f9bd){const _0x595968=_0x5537c6;let _0x6ec49=_0x55f9bd(function(){},0x3e7);_0x4e51cf[_0x595968(0x1d6)](_0x595968(0x291c),function(){const _0x142d62=_0x595968;_0x6ec49&&(_0x55f9bd[_0x142d62(0x696)](_0x6ec49),_0x6ec49=null);});}const _0x29904c=_0x44f297;;_0x3b5ebe[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x2168),'$mdDialog',_0x5537c6(0x22bf),_0x5537c6(0x1f10),_0x5537c6(0x1ae),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1986)];function _0x3b5ebe(_0x59985b,_0x76639e,_0x566c7f,_0x3d81e3,_0xe9db06,_0x2a89ad,_0x278977,_0x1c585f,_0x19bc8f){const _0x2b7b50=_0x5537c6,_0x506ff8=this;_0x506ff8[_0x2b7b50(0x16fe)]=![],_0x506ff8[_0x2b7b50(0x576)]=!![],_0x506ff8[_0x2b7b50(0xd79)]=[],_0x506ff8[_0x2b7b50(0xdf2)]={'count':0x0,'rows':[]},_0x506ff8[_0x2b7b50(0xe76)]=_0x1c585f[_0x2b7b50(0x21e8)](),_0x506ff8[_0x2b7b50(0x971)]={},_0x506ff8[_0x2b7b50(0x292f)]={'count':0x0,'rows':[]},_0x506ff8[_0x2b7b50(0xae2)]={'fields':_0x2b7b50(0x66b),'type':_0x2b7b50(0x895),'queuecallerexitreason':_0x2b7b50(0x2021)+','+_0x2b7b50(0x2778),'sort':_0x2b7b50(0x282),'limit':0xa,'page':0x1},_0x506ff8[_0x2b7b50(0x23a8)]=_0x17a911,_0x506ff8[_0x2b7b50(0x2834)]=_0x5f4788,_0x506ff8[_0x2b7b50(0x1a34)]=_0x4a0437,_0x506ff8['reserved']=_0x543b21,_0x506ff8[_0x2b7b50(0xe1c)]=_0x3a4a3d,_0x506ff8[_0x2b7b50(0x205d)]=_0x59985b[_0x2b7b50(0x17bc)][_0x2b7b50(0x17bc)][_0x2b7b50(0x17bc)][_0x2b7b50(0x17bc)]['vm']['call'],_0x506ff8[_0x2b7b50(0x29b3)]=_0x59985b[_0x2b7b50(0x17bc)][_0x2b7b50(0x17bc)][_0x2b7b50(0x17bc)][_0x2b7b50(0x17bc)]['vm'][_0x2b7b50(0x29b3)],_0x506ff8['webcall']=_0x59985b['$parent'][_0x2b7b50(0x17bc)][_0x2b7b50(0x17bc)][_0x2b7b50(0x17bc)]['vm'][_0x2b7b50(0x1a3f)],_0x506ff8['webtransfer']=_0x59985b[_0x2b7b50(0x17bc)][_0x2b7b50(0x17bc)][_0x2b7b50(0x17bc)]['$parent']['vm'][_0x2b7b50(0x6f0)],_0x506ff8[_0x2b7b50(0x17c3)]=_0x743b18,_0x506ff8[_0x2b7b50(0x28a8)]=_0xded342,_0x19bc8f[_0x2b7b50(0x16b7)](_0x2b7b50(0xaa0),_0x1d1382),_0x19bc8f[_0x2b7b50(0x16b7)](_0x2b7b50(0x125e),_0x5c038f),_0x4a0437();function _0x4a0437(){const _0x4e5c86=_0x2b7b50;return _0x278977[_0x4e5c86(0x1746)]['get']({'MailAccountId':'null','FaxAccountId':'null','SmsAccountId':_0x4e5c86(0xd38),'WhatsappAccountId':'null','OpenchannelAccountId':_0x4e5c86(0xd38),'ChatWebsiteId':_0x4e5c86(0xd38)})[_0x4e5c86(0x1d77)][_0x4e5c86(0x1cb0)](function(_0x3d5333){const _0x2f7bb4=_0x4e5c86;return _0x506ff8[_0x2f7bb4(0xdf2)]=_0x3d5333?_0x3d5333[_0x2f7bb4(0x2214)]:[],_0x278977[_0x2f7bb4(0xebe)]['getQueues']({'id':_0x1c585f['getCurrentUser']()['id'],'fields':_0x2f7bb4(0x43c),'channel':_0x2f7bb4(0x1fd4),'type':_0x2f7bb4(0x895),'nolimit':!![]})[_0x2f7bb4(0x1d77)];})['then'](function(_0x2d0ad0){const _0x3cc58d=_0x4e5c86;_0x506ff8[_0x3cc58d(0x971)]=_0x2d0ad0||{'count':0x0,'rows':[]},_0x506ff8[_0x3cc58d(0xb16)]=_0x39641b()[_0x3cc58d(0x1db)](_0x39641b()[_0x3cc58d(0x2631)](_0x506ff8[_0x3cc58d(0x971)][_0x3cc58d(0x2214)],'name'),'name'),_0x506ff8['defaultQueues']=_0x506ff8[_0x3cc58d(0x971)][_0x3cc58d(0x2214)]?_0x39641b()[_0x3cc58d(0x1de2)](_0x506ff8[_0x3cc58d(0x971)][_0x3cc58d(0x2214)],_0x3cc58d(0x16b6))[_0x3cc58d(0x1f66)](','):undefined;})[_0x4e5c86(0x1cb0)](function(){const _0x4137b3=_0x4e5c86;_0x506ff8[_0x4137b3(0x789)]=_0x48772f();})[_0x4e5c86(0x1c4)](function(_0x21f82f){const _0x1b886d=_0x4e5c86;console[_0x1b886d(0x1b4f)](_0x21f82f);})[_0x4e5c86(0x2e0)](function(){const _0x9fd5ca=_0x4e5c86;let _0x1ecff5=_0xe9db06[_0x9fd5ca(0x1b83)][_0x9fd5ca(0x2091)](_0x9fd5ca(0x207d)+_0x506ff8[_0x9fd5ca(0xe76)]['id']);if(_0x1ecff5)_0x1ecff5=JSON[_0x9fd5ca(0xefe)](_0x1ecff5),_0x1ecff5['query']&&(_0x506ff8[_0x9fd5ca(0xae2)]=_0x39641b()[_0x9fd5ca(0x9c1)](_0x1ecff5[_0x9fd5ca(0xae2)],_0x39641b()[_0x9fd5ca(0x1bd8)](_0x506ff8['query'],[_0x9fd5ca(0x1381),'limit',_0x9fd5ca(0x1c7b)])),_0x506ff8[_0x9fd5ca(0xae2)]=_0x39641b()[_0x9fd5ca(0x1bd8)](_0x506ff8[_0x9fd5ca(0xae2)],_0x9fd5ca(0x1c99)));else{const _0x12aa5b={'$gte':_0x543b5a()()[_0x9fd5ca(0x1be0)](_0x9fd5ca(0x26ae))[_0x9fd5ca(0x17d9)](0x0,!![])[_0x9fd5ca(0x1f31)](),'$lte':_0x543b5a()()[_0x9fd5ca(0x1b4)](_0x9fd5ca(0x26ae))[_0x9fd5ca(0x17d9)](0x0,!![])[_0x9fd5ca(0x1f31)]()};_0x506ff8[_0x9fd5ca(0xae2)][_0x9fd5ca(0x24cb)]=_0x12aa5b;}_0x506ff8['isSearchBarVisible']=_0x506ff8[_0x9fd5ca(0xae2)][_0x9fd5ca(0x1c99)]?!![]:![],_0x506ff8['getAbandonedCalls']();});}function _0x48772f(){const _0x3d6cfa=_0x2b7b50;return[{'name':_0x3d6cfa(0x68d),'key':_0x3d6cfa(0x24cb),'type':_0x3d6cfa(0x18ec),'label':'DASHBOARDS.SELECT_DATE'},{'name':_0x3d6cfa(0x30e),'key':'UserId','type':_0x3d6cfa(0x220f),'label':_0x3d6cfa(0x7bb),'customOptions':[{'value':_0x506ff8['currentUser']['id'],'translate':_0x3d6cfa(0x1ea6)},{'value':_0x3d6cfa(0x21de),'translate':_0x3d6cfa(0x68f)},{'value':undefined,'translate':_0x3d6cfa(0x2706)}]},{'name':_0x3d6cfa(0xb34),'key':_0x3d6cfa(0x11cf),'type':_0x3d6cfa(0x1d50),'label':_0x3d6cfa(0x519),'options':_0x506ff8['queues'][_0x3d6cfa(0x2214)],'ngValue':_0x3d6cfa(0x16b6),'clearAll':![]}];}function _0x17a911(){const _0x449044=_0x2b7b50;_0x506ff8[_0x449044(0xae2)][_0x449044(0x11cf)]=_0x506ff8[_0x449044(0xae2)][_0x449044(0x11cf)]||_0x506ff8[_0x449044(0x2fd)][_0x449044(0xbe1)](','),_0x506ff8[_0x449044(0xae2)]['offset']=(_0x506ff8[_0x449044(0xae2)][_0x449044(0x1c7b)]-0x1)*_0x506ff8[_0x449044(0xae2)]['limit'];const _0x1c55a0={'query':_0x506ff8[_0x449044(0xae2)]};_0xe9db06[_0x449044(0x1b83)][_0x449044(0x13a8)](_0x449044(0x207d)+_0x506ff8[_0x449044(0xe76)]['id'],JSON['stringify'](_0x1c55a0)),_0x506ff8[_0x449044(0x2061)]=_0x278977[_0x449044(0xc6f)]['getVoiceQueuesReport'](_0x506ff8['query'],_0xd6a75c)[_0x449044(0x1d77)];}function _0xd6a75c(_0x580a23){const _0xf803ea=_0x2b7b50;_0x506ff8[_0xf803ea(0x292f)]=_0x580a23||{'count':0x0,'rows':[]},_0x506ff8[_0xf803ea(0x576)]=!![];}function _0x3a4a3d(_0xcf98c4){const _0x5b9c82=_0x2b7b50;_0x566c7f[_0x5b9c82(0xe27)]({'controller':_0x5b9c82(0x1c11),'controllerAs':'vm','templateUrl':_0x5b9c82(0x185f),'parent':angular[_0x5b9c82(0x1853)](_0x3d81e3['body']),'targetEvent':_0xcf98c4,'resolve':{'globalDispositions':[_0x5b9c82(0x1e0b),function(_0x624b20){const _0x3afaed=_0x5b9c82;return _0x624b20['resolve'](_0x3afaed(0x1c6f),{'MailAccountId':'null','FaxAccountId':_0x3afaed(0xd38),'SmsAccountId':_0x3afaed(0xd38),'WhatsappAccountId':_0x3afaed(0xd38),'OpenchannelAccountId':'null','ChatWebsiteId':'null','ListId':_0x3afaed(0xd38)});}]},'locals':{'dispositions':_0x506ff8[_0x5b9c82(0xdf2)],'call':_0xcf98c4}})[_0x5b9c82(0x1cb0)](function(_0x3aebf8){const _0x32b616=_0x5b9c82;if(_0x3aebf8){_0x506ff8[_0x32b616(0xd79)][_0xcf98c4['id']]=!![];const _0x3ab06e={'id':_0xcf98c4['id'],'lastAssignedTo':_0xcf98c4[_0x32b616(0xcb6)]?_0x506ff8['currentUser']['name']:null,'assigned':_0xcf98c4[_0x32b616(0xcb6)],'disposition':_0x3aebf8[_0x32b616(0x1e1)]||null,'secondDisposition':_0x3aebf8[_0x32b616(0x11c2)]||null,'thirdDisposition':_0x3aebf8[_0x32b616(0x28c4)]||null};_0x278977[_0x32b616(0xc6f)][_0x32b616(0x687)](_0x3ab06e)['$promise'][_0x32b616(0x1c4)](function(_0x579af5){const _0x263d08=_0x32b616;console[_0x263d08(0x1b4f)](_0x579af5);})['finally'](function(){_0x506ff8['disable'][_0xcf98c4['id']]=![];});}});}function _0x743b18(){const _0x454fbc=_0x2b7b50;_0x506ff8[_0x454fbc(0xae2)][_0x454fbc(0x1c99)]=undefined,_0x506ff8['isSearchBarVisible']=![];}function _0xded342(){const _0x3adc21=_0x2b7b50;_0x506ff8[_0x3adc21(0x1e6c)]=!![];}let _0x4fa832=!![],_0x5733dc=0x1;_0x59985b['$watch'](_0x2b7b50(0xe03),function(_0x4c2650,_0x1eec78){const _0x86221d=_0x2b7b50;_0x4fa832?_0x76639e(function(){_0x4fa832=![];}):(!_0x1eec78&&(_0x5733dc=_0x506ff8[_0x86221d(0xae2)][_0x86221d(0x1c7b)]),_0x4c2650!==_0x1eec78&&(_0x506ff8['query'][_0x86221d(0x1c7b)]=0x1),!_0x4c2650&&(_0x506ff8[_0x86221d(0xae2)][_0x86221d(0x1c7b)]=_0x5733dc),_0x506ff8[_0x86221d(0x23a8)]());});function _0x5f4788(){const _0x5232ef=_0x2b7b50;_0x506ff8[_0x5232ef(0x16fe)]=![],_0x506ff8['getAbandonedCalls']();}function _0x543b21(_0x37812f){const _0x2fed1b=_0x2b7b50;_0x37812f&&(_0x506ff8[_0x2fed1b(0xd79)][_0x37812f['id']]=!![],_0x278977[_0x2fed1b(0xc6f)]['update']({'id':_0x37812f['id'],'lastAssignedTo':_0x37812f[_0x2fed1b(0xcb6)]?_0x506ff8[_0x2fed1b(0xe76)][_0x2fed1b(0x16b6)]:null,'assigned':_0x37812f[_0x2fed1b(0xcb6)]})['$promise'][_0x2fed1b(0x1c4)](function(_0xee186e){console['log'](_0xee186e);})[_0x2fed1b(0x2e0)](function(){const _0x3a0f2e=_0x2fed1b;_0x506ff8[_0x3a0f2e(0xd79)][_0x37812f['id']]=![];}));}function _0x1d1382(_0x29f1f4){const _0x309c02=_0x2b7b50;_0x506ff8[_0x309c02(0xb16)]&&_0x29f1f4[_0x309c02(0x66a)]===_0x309c02(0x895)&&_0x506ff8[_0x309c02(0xb16)][_0x29f1f4[_0x309c02(0x11cf)]]&&(_0x506ff8['showTooltip']=!![]);}function _0x5c038f(_0x4ee225){const _0x17e7ca=_0x2b7b50;if(_0x4ee225){const _0x37a8c2=_0x39641b()['findIndex'](_0x506ff8[_0x17e7ca(0x292f)]['rows'],['id',_0x4ee225['id']]);_0x37a8c2>=0x0&&_0x39641b()['merge'](_0x506ff8[_0x17e7ca(0x292f)][_0x17e7ca(0x2214)][_0x37a8c2],_0x4ee225);}}_0x59985b['$on'](_0x2b7b50(0x291c),function(){const _0x3e8b92=_0x2b7b50;_0x19bc8f[_0x3e8b92(0xfb8)](_0x3e8b92(0xaa0)),_0x19bc8f['removeAllListeners']('voiceQueueReport:update');});}const _0x32440b=_0x3b5ebe;;_0x4da9b5[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),_0x5537c6(0xdf2),_0x5537c6(0x8ab),_0x5537c6(0x205d)];function _0x4da9b5(_0x1ca182,_0x5f6242,_0x335245,_0x29d15c){const _0x18479c=_0x5537c6,_0x1158b6=this;_0x1158b6[_0x18479c(0xdf2)]=_0x5f6242[_0x18479c(0x2214)],_0x1158b6['globalDispositions']=_0x335245[_0x18479c(0x2214)],_0x1158b6[_0x18479c(0x205d)]=_0x29d15c,_0x1158b6[_0x18479c(0xbe2)]=_0x1158b6[_0x18479c(0x205d)][_0x18479c(0xbe2)]||undefined,_0x1158b6[_0x18479c(0x22bd)]=_0x5aff06,_0x1158b6[_0x18479c(0x1a49)]=_0x1c855f,_0x1158b6['saveDisposition']=_0x2b247a,_0x1158b6[_0x18479c(0xda0)]=_0x1613fb;function _0x5aff06(_0x12e5bb){const _0x2c138d=_0x18479c,_0x55e657=_0x39641b()[_0x2c138d(0x16ef)](_0x1158b6['dispositions'],_0x1158b6['globalDispositions'],'id');switch(_0x12e5bb){case'first':_0x1158b6[_0x2c138d(0x860)]=_0x1158b6[_0x2c138d(0x8ba)],_0x1158b6[_0x2c138d(0x18fe)]=_0x39641b()[_0x2c138d(0x727)](_0x55e657,[_0x2c138d(0x55e),_0x1158b6['firstLevelDisposition']['id']]),_0x1158b6[_0x2c138d(0x1aeb)]=undefined,_0x1158b6['thirdLevelDisposition']=undefined,_0x1158b6[_0x2c138d(0x1d98)]=![];break;case _0x2c138d(0x1e82):_0x1158b6['selectedDisposition']=_0x1158b6[_0x2c138d(0x1aeb)],_0x1158b6['anyThirdLevelDisposition']=_0x39641b()[_0x2c138d(0x727)](_0x55e657,[_0x2c138d(0x55e),_0x1158b6[_0x2c138d(0x1aeb)]['id']]);break;case _0x2c138d(0x1dd0):_0x1158b6['selectedDisposition']=_0x1158b6[_0x2c138d(0x20cf)];break;}}function _0x1c855f(_0x400c87){const _0x12f90b=_0x18479c;if(_0x400c87===_0x12f90b(0x1e82))_0x1158b6[_0x12f90b(0x1aeb)]=undefined,_0x1158b6[_0x12f90b(0x20cf)]=undefined,_0x1158b6[_0x12f90b(0x860)]=_0x1158b6[_0x12f90b(0x8ba)];else _0x400c87==='third'&&(_0x1158b6[_0x12f90b(0x20cf)]=undefined,_0x1158b6[_0x12f90b(0x860)]=_0x1158b6['secondLevelDisposition']);}function _0x2b247a(){const _0x4f4923=_0x18479c;_0x1158b6[_0x4f4923(0x205d)][_0x4f4923(0xbe2)]=_0x1158b6[_0x4f4923(0xbe2)];const _0x139905={'firstLevel':_0x1158b6['firstLevelDisposition']?_0x1158b6[_0x4f4923(0x8ba)][_0x4f4923(0x16b6)]:undefined,'secondLevel':_0x1158b6['secondLevelDisposition']?_0x1158b6[_0x4f4923(0x1aeb)][_0x4f4923(0x16b6)]:undefined,'thirdLevel':_0x1158b6['thirdLevelDisposition']?_0x1158b6['thirdLevelDisposition'][_0x4f4923(0x16b6)]:undefined};_0x1ca182[_0x4f4923(0x1426)](_0x139905);}function _0x1613fb(){const _0x1461c6=_0x18479c;_0x1ca182[_0x1461c6(0x696)]();}}const _0x2bc6c0=_0x4da9b5;;_0x1fe9a7['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x2168),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x1f10),'$translate',_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1986)];function _0x1fe9a7(_0x3b3bd0,_0x1e5855,_0x30f40e,_0x25ecb9,_0x436562,_0x52c8e1,_0x2e2d79,_0x40a4f6,_0x27eb2c){const _0xd9b867=_0x5537c6,_0x2947b0=this;_0x2947b0[_0xd9b867(0x16fe)]=![],_0x2947b0['refresh']=!![],_0x2947b0[_0xd9b867(0xd79)]=[],_0x2947b0[_0xd9b867(0xdf2)]={'count':0x0,'rows':[]},_0x2947b0[_0xd9b867(0xe76)]=_0x40a4f6[_0xd9b867(0x21e8)](),_0x2947b0[_0xd9b867(0x971)]={},_0x2947b0[_0xd9b867(0x292f)]={'count':0x0,'rows':[]},_0x2947b0[_0xd9b867(0xae2)]={'fields':_0xd9b867(0x66b),'type':_0xd9b867(0x26c0),'queuecallerexitreason':_0xd9b867(0x2021)+','+_0xd9b867(0x2778),'sort':_0xd9b867(0x282),'limit':0xa,'page':0x1},_0x2947b0[_0xd9b867(0x23a8)]=_0xdfa55a,_0x2947b0[_0xd9b867(0x2834)]=_0x382dfa,_0x2947b0[_0xd9b867(0x1a34)]=_0x38ab95,_0x2947b0[_0xd9b867(0x21ca)]=_0x3c0bc1,_0x2947b0[_0xd9b867(0xe1c)]=_0x46b038,_0x2947b0[_0xd9b867(0x205d)]=_0x3b3bd0['$parent'][_0xd9b867(0x17bc)][_0xd9b867(0x17bc)][_0xd9b867(0x17bc)]['vm'][_0xd9b867(0x205d)],_0x2947b0['transfer']=_0x3b3bd0['$parent'][_0xd9b867(0x17bc)][_0xd9b867(0x17bc)][_0xd9b867(0x17bc)]['vm'][_0xd9b867(0x29b3)],_0x2947b0[_0xd9b867(0x1a3f)]=_0x3b3bd0['$parent'][_0xd9b867(0x17bc)][_0xd9b867(0x17bc)][_0xd9b867(0x17bc)]['vm'][_0xd9b867(0x1a3f)],_0x2947b0[_0xd9b867(0x6f0)]=_0x3b3bd0[_0xd9b867(0x17bc)][_0xd9b867(0x17bc)][_0xd9b867(0x17bc)][_0xd9b867(0x17bc)]['vm'][_0xd9b867(0x6f0)],_0x2947b0['onSearchBarCollapse']=_0x15e191,_0x2947b0[_0xd9b867(0x28a8)]=_0x1583d0,_0x27eb2c[_0xd9b867(0x16b7)]('voiceQueueReport:save',_0xd067b),_0x27eb2c[_0xd9b867(0x16b7)](_0xd9b867(0x125e),_0x28a56c),_0x38ab95();function _0x38ab95(){const _0x26a317=_0xd9b867;return _0x2e2d79[_0x26a317(0x1746)][_0x26a317(0xbf7)]({'MailAccountId':_0x26a317(0xd38),'FaxAccountId':_0x26a317(0xd38),'SmsAccountId':_0x26a317(0xd38),'WhatsappAccountId':_0x26a317(0xd38),'OpenchannelAccountId':_0x26a317(0xd38),'ChatWebsiteId':_0x26a317(0xd38)})['$promise'][_0x26a317(0x1cb0)](function(_0x608486){const _0x3cf566=_0x26a317;return _0x2947b0[_0x3cf566(0xdf2)]=_0x608486?_0x608486['rows']:[],_0x2e2d79[_0x3cf566(0xebe)][_0x3cf566(0x13e9)]({'id':_0x40a4f6[_0x3cf566(0x21e8)]()['id'],'fields':_0x3cf566(0x43c),'channel':_0x3cf566(0x1fd4),'type':_0x3cf566(0x26c0),'nolimit':!![]})[_0x3cf566(0x1d77)];})[_0x26a317(0x1cb0)](function(_0x159917){const _0x3aa77e=_0x26a317;_0x2947b0[_0x3aa77e(0x971)]=_0x159917||{'count':0x0,'rows':[]},_0x2947b0['queuesMap']=_0x39641b()[_0x3aa77e(0x1db)](_0x39641b()['keyBy'](_0x2947b0[_0x3aa77e(0x971)][_0x3aa77e(0x2214)],_0x3aa77e(0x16b6)),_0x3aa77e(0x16b6)),_0x2947b0[_0x3aa77e(0x2fd)]=_0x2947b0['queues'][_0x3aa77e(0x2214)]?_0x39641b()[_0x3aa77e(0x1de2)](_0x2947b0[_0x3aa77e(0x971)][_0x3aa77e(0x2214)],_0x3aa77e(0x16b6))[_0x3aa77e(0x1f66)](','):undefined;})[_0x26a317(0x1cb0)](function(){const _0x5eedd6=_0x26a317;_0x2947b0[_0x5eedd6(0x789)]=_0x19670f();})[_0x26a317(0x1c4)](function(_0x296e6a){const _0x157fa7=_0x26a317;console[_0x157fa7(0x1b4f)](_0x296e6a);})[_0x26a317(0x2e0)](function(){const _0x58b00e=_0x26a317;let _0x238db7=_0x436562[_0x58b00e(0x1b83)][_0x58b00e(0x2091)]('motion2.home.abandoned.voice.calls:'+_0x2947b0[_0x58b00e(0xe76)]['id']);if(_0x238db7)_0x238db7=JSON[_0x58b00e(0xefe)](_0x238db7),_0x238db7[_0x58b00e(0xae2)]&&(_0x2947b0['query']=_0x39641b()[_0x58b00e(0x9c1)](_0x238db7[_0x58b00e(0xae2)],_0x39641b()[_0x58b00e(0x1bd8)](_0x2947b0['query'],[_0x58b00e(0x1381),'limit',_0x58b00e(0x1c7b)])),_0x2947b0['query']=_0x39641b()['omit'](_0x2947b0[_0x58b00e(0xae2)],_0x58b00e(0x1c99)));else{const _0x5558d3={'$gte':_0x543b5a()()[_0x58b00e(0x1be0)](_0x58b00e(0x26ae))['utcOffset'](0x0,!![])[_0x58b00e(0x1f31)](),'$lte':_0x543b5a()()[_0x58b00e(0x1b4)](_0x58b00e(0x26ae))[_0x58b00e(0x17d9)](0x0,!![])['format']()};_0x2947b0[_0x58b00e(0xae2)][_0x58b00e(0x24cb)]=_0x5558d3;}_0x2947b0[_0x58b00e(0x1e6c)]=_0x2947b0[_0x58b00e(0xae2)][_0x58b00e(0x1c99)]?!![]:![],_0x2947b0[_0x58b00e(0x23a8)]();});}function _0x19670f(){const _0x5ab3ba=_0xd9b867;return[{'name':'Start\x20Date','key':'createdAt','type':_0x5ab3ba(0x18ec),'label':_0x5ab3ba(0xf5e)},{'name':'Agent','key':'UserId','type':_0x5ab3ba(0x220f),'label':_0x5ab3ba(0x7bb),'customOptions':[{'value':_0x2947b0[_0x5ab3ba(0xe76)]['id'],'translate':'DASHBOARDS.ME'},{'value':_0x5ab3ba(0x21de),'translate':_0x5ab3ba(0x68f)},{'value':undefined,'translate':_0x5ab3ba(0x2706)}]},{'name':_0x5ab3ba(0xb34),'key':_0x5ab3ba(0x11cf),'type':_0x5ab3ba(0x1d50),'label':'DASHBOARDS.SELECT_QUEUE','options':_0x2947b0[_0x5ab3ba(0x971)][_0x5ab3ba(0x2214)],'ngValue':'name','clearAll':![]}];}function _0xdfa55a(){const _0x443157=_0xd9b867;_0x2947b0[_0x443157(0xae2)][_0x443157(0x11cf)]=_0x2947b0['query'][_0x443157(0x11cf)]||_0x2947b0[_0x443157(0x2fd)][_0x443157(0xbe1)](','),_0x2947b0[_0x443157(0xae2)]['offset']=(_0x2947b0[_0x443157(0xae2)][_0x443157(0x1c7b)]-0x1)*_0x2947b0[_0x443157(0xae2)][_0x443157(0x236)];const _0x26079f={'query':_0x2947b0[_0x443157(0xae2)]};_0x436562[_0x443157(0x1b83)]['setItem'](_0x443157(0x24c2)+_0x2947b0[_0x443157(0xe76)]['id'],JSON[_0x443157(0x2701)](_0x26079f)),_0x2947b0[_0x443157(0x2061)]=_0x2e2d79['voiceQueueReport'][_0x443157(0x7dc)](_0x2947b0[_0x443157(0xae2)],_0x198756)[_0x443157(0x1d77)];}function _0x198756(_0x3d8510){const _0x3a6c44=_0xd9b867;_0x2947b0[_0x3a6c44(0x292f)]=_0x3d8510||{'count':0x0,'rows':[]},_0x2947b0[_0x3a6c44(0x576)]=!![];}function _0x46b038(_0x53249f){const _0x53ac91=_0xd9b867;_0x30f40e['show']({'controller':_0x53ac91(0x1c11),'controllerAs':'vm','templateUrl':_0x53ac91(0x185f),'parent':angular[_0x53ac91(0x1853)](_0x25ecb9['body']),'targetEvent':_0x53249f,'resolve':{'globalDispositions':['apiResolver',function(_0x3d86f0){const _0x2ff05c=_0x53ac91;return _0x3d86f0['resolve'](_0x2ff05c(0x1c6f),{'MailAccountId':_0x2ff05c(0xd38),'FaxAccountId':_0x2ff05c(0xd38),'SmsAccountId':'null','WhatsappAccountId':_0x2ff05c(0xd38),'OpenchannelAccountId':_0x2ff05c(0xd38),'ChatWebsiteId':_0x2ff05c(0xd38),'ListId':'null'});}]},'locals':{'dispositions':_0x2947b0[_0x53ac91(0xdf2)],'call':_0x53249f}})[_0x53ac91(0x1cb0)](function(_0x2ca73e){const _0x25ca3e=_0x53ac91;if(_0x2ca73e){_0x2947b0[_0x25ca3e(0xd79)][_0x53249f['id']]=!![];const _0x1bd45b={'id':_0x53249f['id'],'lastAssignedTo':_0x53249f[_0x25ca3e(0xcb6)]?_0x2947b0['currentUser']['name']:null,'assigned':_0x53249f[_0x25ca3e(0xcb6)],'disposition':_0x2ca73e[_0x25ca3e(0x1e1)]||null,'secondDisposition':_0x2ca73e[_0x25ca3e(0x11c2)]||null,'thirdDisposition':_0x2ca73e['thirdLevel']||null};_0x2e2d79[_0x25ca3e(0xc6f)][_0x25ca3e(0x687)](_0x1bd45b)['$promise'][_0x25ca3e(0x1c4)](function(_0x2b4b30){console['log'](_0x2b4b30);})[_0x25ca3e(0x2e0)](function(){const _0x3f8eeb=_0x25ca3e;_0x2947b0[_0x3f8eeb(0xd79)][_0x53249f['id']]=![];});}});}function _0x15e191(){const _0x3fd681=_0xd9b867;_0x2947b0[_0x3fd681(0xae2)]['filter']=undefined,_0x2947b0[_0x3fd681(0x1e6c)]=![];}function _0x1583d0(){_0x2947b0['isSearchBarVisible']=!![];}let _0x15f639=!![],_0x31a1a6=0x1;_0x3b3bd0[_0xd9b867(0x614)](_0xd9b867(0x4ce),function(_0x3e5815,_0x5dd40b){const _0x25cc28=_0xd9b867;_0x15f639?_0x1e5855(function(){_0x15f639=![];}):(!_0x5dd40b&&(_0x31a1a6=_0x2947b0[_0x25cc28(0xae2)][_0x25cc28(0x1c7b)]),_0x3e5815!==_0x5dd40b&&(_0x2947b0[_0x25cc28(0xae2)][_0x25cc28(0x1c7b)]=0x1),!_0x3e5815&&(_0x2947b0[_0x25cc28(0xae2)][_0x25cc28(0x1c7b)]=_0x31a1a6),_0x2947b0[_0x25cc28(0x23a8)]());});function _0x382dfa(){const _0x569793=_0xd9b867;_0x2947b0[_0x569793(0x16fe)]=![],_0x2947b0[_0x569793(0x23a8)]();}function _0x3c0bc1(_0x48575c){const _0x3315b4=_0xd9b867;_0x48575c&&(_0x2947b0[_0x3315b4(0xd79)][_0x48575c['id']]=!![],_0x2e2d79[_0x3315b4(0xc6f)][_0x3315b4(0x687)]({'id':_0x48575c['id'],'lastAssignedTo':_0x48575c[_0x3315b4(0xcb6)]?_0x2947b0[_0x3315b4(0xe76)][_0x3315b4(0x16b6)]:null,'assigned':_0x48575c['assigned']})[_0x3315b4(0x1d77)][_0x3315b4(0x1c4)](function(_0x3e30a8){console['log'](_0x3e30a8);})['finally'](function(){const _0x1764f9=_0x3315b4;_0x2947b0[_0x1764f9(0xd79)][_0x48575c['id']]=![];}));}function _0xd067b(_0xeed110){const _0x421e44=_0xd9b867;_0x2947b0[_0x421e44(0xb16)]&&_0xeed110[_0x421e44(0x66a)]===_0x421e44(0x26c0)&&_0x2947b0[_0x421e44(0xb16)][_0xeed110[_0x421e44(0x11cf)]]&&(_0x2947b0[_0x421e44(0x16fe)]=!![]);}function _0x28a56c(_0x1aaeae){const _0x192aaf=_0xd9b867;if(_0x1aaeae){const _0x8368db=_0x39641b()[_0x192aaf(0x3c2)](_0x2947b0[_0x192aaf(0x292f)]['rows'],['id',_0x1aaeae['id']]);_0x8368db>=0x0&&_0x39641b()['merge'](_0x2947b0[_0x192aaf(0x292f)]['rows'][_0x8368db],_0x1aaeae);}}_0x3b3bd0[_0xd9b867(0x1d6)](_0xd9b867(0x291c),function(){const _0xf4fe32=_0xd9b867;_0x27eb2c[_0xf4fe32(0xfb8)](_0xf4fe32(0xaa0)),_0x27eb2c[_0xf4fe32(0xfb8)](_0xf4fe32(0x125e));});}const _0x14c980=_0x1fe9a7;;_0xa4940b[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),'Auth',_0x5537c6(0x1014),_0x5537c6(0x1b68)];function _0xa4940b(_0x383361,_0x515304,_0x54e5cb,_0x4e5788,_0x141710){const _0x1cdf94=_0x5537c6,_0x1f4542=this;_0x1f4542[_0x1cdf94(0xebe)]=_0x54e5cb[_0x1cdf94(0x21e8)](),_0x1f4542[_0x1cdf94(0x8a5)]={},_0x1f4542['plugin']={},_0x1f4542[_0x1cdf94(0x9ca)]={},_0x1f4542[_0x1cdf94(0x153c)]=_0x1cdf94(0x1312),_0x1f4542['draftManager']=_0x141710,_0x1f4542[_0x1cdf94(0x511)]=_0x4e5788['getNavigation'](),_0x1f4542[_0x1cdf94(0x1bac)]=_0x383361['$parent']['vm'][_0x1cdf94(0x1bac)],_0x1f4542[_0x1cdf94(0x11d9)]=_0x383361[_0x1cdf94(0x17bc)]['vm']['addInteractionTab'],_0x1f4542[_0x1cdf94(0x1a8e)]=_0x575610,_0x1f4542['changeSidebarTab']=_0x22e3bc,_0x1f4542['hasPermission']=_0x349570;function _0x575610(_0x509f59,_0x42071c){const _0x5c8c24=_0x1cdf94;_0x1f4542[_0x5c8c24(0x8a5)]=_0x509f59,_0x1f4542[_0x5c8c24(0x9ca)]=_0x42071c;let _0x5ef5f4=_0x515304[_0x5c8c24(0x1b83)][_0x5c8c24(0x2091)](_0x5c8c24(0xef6)+_0x1f4542['user']['id']);if(_0x5ef5f4){try{_0x5ef5f4=JSON[_0x5c8c24(0xefe)](_0x5ef5f4),_0x1f4542[_0x5c8c24(0x153c)]=_0x5ef5f4[_0x5c8c24(0x198a)]?_0x5ef5f4[_0x5c8c24(0x198a)]:_0x5c8c24(0x1312);}catch(_0xd97c4c){console['error'](_0xd97c4c),_0x1f4542[_0x5c8c24(0x153c)]=_0x5c8c24(0x1312);}_0x1f4542[_0x5c8c24(0x153c)]===_0x5c8c24(0x2651)&&_0x1f4542[_0x5c8c24(0x1b68)][_0x5c8c24(0x1a8e)]();}}function _0x349570(_0x4099fb){const _0x1684a6=_0x1cdf94;return _0x54e5cb[_0x1684a6(0x39e)](_0x4099fb);}function _0x22e3bc(_0x520062){const _0x5c110f=_0x1cdf94;_0x1f4542[_0x5c110f(0x153c)]=_0x520062[_0x5c110f(0x24b0)],_0x1f4542[_0x5c110f(0x153c)]===_0x5c110f(0xfd2)&&(_0x1f4542['plugin']=_0x520062['stateParams'],_0x1f4542['pluginId']=_0x520062['id'],_0x383361[_0x5c110f(0x692)]('pluginUpdated',{'plugin':_0x1f4542[_0x5c110f(0xfd2)]})),_0x515304[_0x5c110f(0x1b83)][_0x5c110f(0x13a8)](_0x5c110f(0xef6)+_0x1f4542[_0x5c110f(0xebe)]['id'],JSON['stringify']({'currentSidebarTab':_0x520062[_0x5c110f(0x24b0)]}));}_0x383361[_0x1cdf94(0x614)]('vm_home.sidebar',function(_0x4f794d){const _0x29dc9b=_0x1cdf94;_0x4f794d===_0x29dc9b(0x2651)?_0x1f4542['draftManager'][_0x29dc9b(0x1a8e)]():_0x1f4542[_0x29dc9b(0x1b68)][_0x29dc9b(0xcd2)]={};});}const _0x15c1fb=_0xa4940b;;const _0x3530d6=_0x5074a3['p']+_0x5537c6(0x24c6);;_0x432da2['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x2168),_0x5537c6(0xcb9),'$document','$window',_0x5537c6(0x1ae),_0x5537c6(0x142b),'Auth',_0x5537c6(0x1986),_0x5537c6(0x9bf)];function _0x432da2(_0x510ca0,_0x21cc72,_0x429c90,_0x138a81,_0x413aa8,_0x1da458,_0x58f885,_0x5801ce,_0x326299,_0x2a5aea){const _0x3e9cf7=_0x5537c6,_0x2f343c=this;_0x2f343c[_0x3e9cf7(0xebe)]=_0x5801ce[_0x3e9cf7(0x21e8)](),_0x2f343c[_0x3e9cf7(0x8a5)]={},_0x2f343c['setting']={},_0x2f343c[_0x3e9cf7(0xfbf)]={'count':0x0,'rows':[]},_0x2f343c[_0x3e9cf7(0x9d0)]={'count':0x0,'rows':[]},_0x2f343c[_0x3e9cf7(0xae2)]={'includeAll':!![],'sort':'-updatedAt','limit':0xa,'page':0x1,'read':null,'closed':null},_0x2f343c['advancedSearch']={'fields':[{'name':'Id','column':'id','type':_0x3e9cf7(0x83d)},{'name':_0x3e9cf7(0x8d3),'column':_0x3e9cf7(0x8d3),'type':_0x3e9cf7(0x19e0),'options':{'searchFields':[_0x3e9cf7(0x1491),'lastName',_0x3e9cf7(0x1e19)],'route':{'model':_0x3e9cf7(0xbe7),'action':_0x3e9cf7(0xbf7),'params':{'fields':_0x3e9cf7(0x9b0),'Contact':'@autocomplete','nolimit':!![]}},'extraOperators':[_0x3e9cf7(0x1fb1)],'excludedOperators':[_0x3e9cf7(0x15ce)]}},{'name':'Body','column':_0x3e9cf7(0x1ed9),'type':_0x3e9cf7(0x19d3),'options':{'excludedOperators':[_0x3e9cf7(0x1c5e),'$ne']}},{'name':_0x3e9cf7(0x938),'column':_0x3e9cf7(0x22aa),'type':_0x3e9cf7(0x220f),'values':[{'id':0x0,'translate':_0x3e9cf7(0x1bbd)},{'id':0x1,'translate':'DASHBOARDS.CLOSED'}],'options':{'excludedOperators':[_0x3e9cf7(0x15ce)]}},{'name':_0x3e9cf7(0x581),'column':_0x3e9cf7(0x223e),'type':'text','options':{'excludedOperators':[_0x3e9cf7(0x1c5e),_0x3e9cf7(0x15ce),_0x3e9cf7(0x1b32),'$endsWith']}},{'name':_0x3e9cf7(0x30e),'column':_0x3e9cf7(0x1597),'type':_0x3e9cf7(0x19e0),'options':{'table':'i','route':{'model':_0x3e9cf7(0xebe),'action':_0x3e9cf7(0xbf7),'params':{'role':_0x3e9cf7(0x1eff),'fields':_0x3e9cf7(0x1d34),'nolimit':!![]}},'searchFields':[_0x3e9cf7(0x1d14),_0x3e9cf7(0x16b6)],'extraOperators':['$substring'],'excludedOperators':['$ne']}},{'name':_0x3e9cf7(0x11aa),'column':_0x3e9cf7(0x67c),'type':_0x3e9cf7(0x1d50),'options':{'route':{'model':'user','action':_0x3e9cf7(0x1cb7),'params':{'id':_0x2f343c[_0x3e9cf7(0xebe)]['id'],'nolimit':!![]}}}},{'name':_0x3e9cf7(0x190),'column':_0x3e9cf7(0xf2d),'type':_0x3e9cf7(0x1d50),'options':{'route':{'model':_0x3e9cf7(0x22b1),'action':'get','params':{'nolimit':!![]}},'excludedOperators':[_0x3e9cf7(0x62d)]}},{'name':_0x3e9cf7(0x68d),'column':_0x3e9cf7(0x24cb),'type':_0x3e9cf7(0x18ec),'options':{'excludedOperators':[_0x3e9cf7(0x15ce)]}},{'name':_0x3e9cf7(0x946),'column':_0x3e9cf7(0x1554),'type':'select','values':[{'id':0x1,'translate':'DASHBOARDS.READ'},{'id':0x0,'translate':_0x3e9cf7(0x1115)}],'options':{'excludedOperators':['$ne']}}]},_0x2f343c['sectionColor']=_0x3e9cf7(0x7b5),_0x2f343c[_0x3e9cf7(0x1a34)]=_0x162d54,_0x2f343c[_0x3e9cf7(0x829)]=_0x1e17ec,_0x2f343c[_0x3e9cf7(0x8e1)]=_0xa06a57,_0x2f343c['showChatInteraction']=_0x5be95d,_0x2f343c[_0x3e9cf7(0xd89)]=_0x17df49,_0x2f343c['disposeInteraction']=_0x16fc97,_0x2f343c[_0x3e9cf7(0x2929)]=_0x322433,_0x2f343c[_0x3e9cf7(0x11e5)]=_0x5922b3,_0x2f343c['getChatWebsiteLabel']=_0x16f490,_0x2f343c[_0x3e9cf7(0x17c3)]=_0x3fc2d5,_0x2f343c[_0x3e9cf7(0x28a8)]=_0x1b2109,_0x2f343c[_0x3e9cf7(0x1ede)]=_0x8da2f4;function _0x162d54(_0xef5025,_0x315702){const _0x3f85e7=_0x3e9cf7;return _0x2f343c[_0x3f85e7(0x8a5)]=_0xef5025,_0x2f343c['setting']=_0x315702,_0x58f885[_0x3f85e7(0xebe)][_0x3f85e7(0xbf7)+_0x39641b()[_0x3f85e7(0x277)](_0x3f85e7(0x1c4a))]({'id':_0x2f343c[_0x3f85e7(0xebe)]['id'],'nolimit':!![]})['$promise'][_0x3f85e7(0x1cb0)](function(_0x231143){const _0x34838e=_0x3f85e7;return _0x2f343c['chatAccounts']=_0x231143||{'count':0x0,'rows':[]},_0x58f885[_0x34838e(0x22b1)][_0x34838e(0xbf7)]()['$promise'];})['then'](function(_0x5e63aa){_0x2f343c['tags']=_0x5e63aa||{'count':0x0,'rows':[]};})[_0x3f85e7(0x1cb0)](function(){const _0x64b5a7=_0x3f85e7;_0x2f343c[_0x64b5a7(0x789)]=_0x40597a();})[_0x3f85e7(0x1c4)](function(_0x184bc6){console['error'](_0x184bc6);})[_0x3f85e7(0x2e0)](function(){const _0x3ff5e0=_0x3f85e7;let _0x2adba3=_0x413aa8[_0x3ff5e0(0x1b83)][_0x3ff5e0(0x2091)](_0x3ff5e0(0x37e)+_0x2f343c['user']['id']);if(_0x2adba3)try{_0x2adba3=JSON[_0x3ff5e0(0xefe)](_0x2adba3);if(_0x2adba3['query']){_0x2f343c['query']=_0x39641b()[_0x3ff5e0(0x9c1)](_0x2f343c[_0x3ff5e0(0xae2)],_0x2adba3[_0x3ff5e0(0xae2)]);if(_0x39641b()[_0x3ff5e0(0xce9)](_0x2f343c[_0x3ff5e0(0x1ad1)][_0x3ff5e0(0x2214)]))_0x2f343c[_0x3ff5e0(0xae2)][_0x3ff5e0(0x67c)]=[];else _0x39641b()[_0x3ff5e0(0xce9)](_0x2f343c[_0x3ff5e0(0xae2)][_0x3ff5e0(0x67c)])&&(_0x2f343c['query'][_0x3ff5e0(0x67c)]=_0x39641b()(_0x2f343c[_0x3ff5e0(0x1ad1)][_0x3ff5e0(0x2214)])['map']('id')[_0x3ff5e0(0x54f)]()[_0x3ff5e0(0x327)]());_0x2f343c['query']['sort']&&_0x2f343c['query'][_0x3ff5e0(0x1381)][_0x3ff5e0(0xd8a)](_0x3ff5e0(0x15d6))>=0x0&&(_0x2f343c[_0x3ff5e0(0xae2)]=_0x39641b()[_0x3ff5e0(0x1bd8)](_0x2f343c[_0x3ff5e0(0xae2)],_0x3ff5e0(0x1381))),_0x2f343c['query'][_0x3ff5e0(0x11f0)]=!![],_0x2f343c['isSearchBarVisible']=_0x2f343c['query']['filter']?!![]:![];}}catch(_0x5f316e){console[_0x3ff5e0(0x218e)](_0x5f316e);}else{const _0x34271f={'$gte':_0x543b5a()()[_0x3ff5e0(0x1be0)]('month')['utcOffset'](0x0,!![])[_0x3ff5e0(0x1f31)](),'$lte':_0x543b5a()()[_0x3ff5e0(0x1b4)](_0x3ff5e0(0x26ae))[_0x3ff5e0(0x17d9)](0x0,!![])[_0x3ff5e0(0x1f31)]()},_0x329e1c={'ChatWebsiteId':_0x39641b()[_0x3ff5e0(0x1de2)](_0x2f343c[_0x3ff5e0(0x1ad1)][_0x3ff5e0(0x2214)],'id'),'createdAt':_0x34271f};_0x2f343c[_0x3ff5e0(0xae2)]=_0x39641b()[_0x3ff5e0(0x9c1)](_0x2f343c['query'],_0x329e1c);}_0x326299['on'](_0x3ff5e0(0x14b6),_0x509ca4),_0x326299['on'](_0x3ff5e0(0x300),_0x509ca4),_0x326299['on'](_0x3ff5e0(0x21fc),_0x4fe57a),_0x326299['on']('chatMessage:save',_0x2b3c5b),_0x326299['on']('chatMessage:update',_0x2b3c5b),_0x326299['on'](_0x3ff5e0(0x192d),_0x3ee570),_0x326299['on'](_0x3ff5e0(0x30f),_0x2e4aa4),_0xa06a57(_0x2f343c['query'][_0x3ff5e0(0x1c7b)]);});}function _0x40597a(){const _0x408aa6=_0x3e9cf7;return[{'name':_0x408aa6(0x68d),'key':_0x408aa6(0x24cb),'type':_0x408aa6(0x18ec),'label':_0x408aa6(0xf5e)},{'name':_0x408aa6(0x223c),'key':_0x408aa6(0xfc1),'type':_0x408aa6(0x220f),'label':'DASHBOARDS.SELECT_READ_UNREAD','customOptions':[{'value':0x0,'translate':'DASHBOARDS.UNREAD'},{'value':0x1,'translate':_0x408aa6(0x27a4)},{'value':null,'translate':_0x408aa6(0x2706)}]},{'name':_0x408aa6(0x938),'key':_0x408aa6(0x22aa),'type':'select','label':_0x408aa6(0x24b6),'customOptions':[{'value':0x0,'translate':_0x408aa6(0x1bbd)},{'value':0x1,'translate':_0x408aa6(0x191b)},{'value':null,'translate':_0x408aa6(0x2706)}]},{'name':_0x408aa6(0x11aa),'key':_0x408aa6(0x67c),'type':_0x408aa6(0x1d50),'label':_0x408aa6(0xf86),'options':_0x2f343c['chatAccounts']['rows'],'clearAll':![]},{'name':_0x408aa6(0x30e),'key':_0x408aa6(0x21ab),'type':'select','label':_0x408aa6(0x7bb),'customOptions':[{'value':_0x2f343c[_0x408aa6(0xebe)]['id'],'translate':_0x408aa6(0x1ea6)},{'value':_0x408aa6(0xd38),'translate':'DASHBOARDS.NOT_ASSIGNED'},{'value':undefined,'translate':'DASHBOARDS.ALL'}]},{'name':_0x408aa6(0xf2d),'key':_0x408aa6(0x22b1),'type':_0x408aa6(0x1d50),'label':_0x408aa6(0x3c3),'options':_0x2f343c[_0x408aa6(0xfbf)]['rows'],'placeholder':_0x408aa6(0x62b)}];}function _0x1e17ec(_0x15f140){const _0x4a8c8f=_0x3e9cf7;_0x2f343c[_0x4a8c8f(0x16cb)]=![],_0x2f343c[_0x4a8c8f(0x9d0)]=_0x15f140||{'count':0x0,'rows':[]};for(let _0x17baec=0x0;_0x17baec<_0x2f343c[_0x4a8c8f(0x9d0)][_0x4a8c8f(0x2214)][_0x4a8c8f(0xfd0)];_0x17baec+=0x1){_0x322433(_0x2f343c[_0x4a8c8f(0x9d0)][_0x4a8c8f(0x2214)][_0x17baec]);}}function _0xa06a57(_0x4db764){const _0x3ab80a=_0x3e9cf7;_0x2f343c[_0x3ab80a(0x16cb)]=!![];!_0x4db764&&(_0x2f343c['query'][_0x3ab80a(0x1c7b)]=0x1);_0x2f343c[_0x3ab80a(0xae2)][_0x3ab80a(0x184b)]=(_0x2f343c['query'][_0x3ab80a(0x1c7b)]-0x1)*_0x2f343c[_0x3ab80a(0xae2)][_0x3ab80a(0x236)];let _0x1c9d3d=_0x413aa8[_0x3ab80a(0x1b83)][_0x3ab80a(0x2091)](_0x3ab80a(0x37e)+_0x2f343c['user']['id']);_0x1c9d3d=_0x1c9d3d?JSON[_0x3ab80a(0xefe)](_0x1c9d3d):{},_0x1c9d3d[_0x3ab80a(0xae2)]=_0x2f343c[_0x3ab80a(0xae2)],_0x413aa8[_0x3ab80a(0x1b83)]['setItem']('motion2.home.chat:'+_0x2f343c[_0x3ab80a(0xebe)]['id'],JSON[_0x3ab80a(0x2701)](_0x1c9d3d)),_0x2f343c[_0x3ab80a(0x2061)]=_0x58f885[_0x3ab80a(0x115b)][_0x3ab80a(0xbf7)](_0x2f343c[_0x3ab80a(0xae2)],_0x1e17ec)[_0x3ab80a(0x1d77)];}function _0x5be95d(_0x40ac1c,_0x213ff2){const _0x25c090=_0x3e9cf7;_0x510ca0[_0x25c090(0x17bc)]['vm'][_0x25c090(0x11d9)](_0x25c090(0x174c),_0x213ff2);}function _0x17df49(_0x2cbdd7,_0x683b87,_0xc14a15){const _0x16dba1=_0x3e9cf7;_0x58f885[_0x16dba1(0x115b)][_0x16dba1(0x26ec)]({'id':_0x683b87['id'],'attachments':_0xc14a15})[_0x16dba1(0x1d77)][_0x16dba1(0x1cb0)](function(_0x5a9055){const _0x26a81e=_0x16dba1,_0x3ea4f3=[_0x5a9055['buffer']],_0x4f8e4f='chat-interaction'+_0x683b87['id']+'.zip',_0x409f85=new Blob(_0x3ea4f3,{'type':_0x5a9055[_0x26a81e(0x66a)]}),_0x1f617f=window['document'][_0x26a81e(0x8c6)]('a');_0x1f617f[_0x26a81e(0x23b9)]('href',URL['createObjectURL'](_0x409f85)),_0x1f617f[_0x26a81e(0x23b9)](_0x26a81e(0x26ec),_0x4f8e4f),document[_0x26a81e(0x1ed9)][_0x26a81e(0x23de)](_0x1f617f),_0x1f617f[_0x26a81e(0x20b8)]();})['catch'](function(_0x364ddb){const _0x1d9a3c=_0x16dba1;if(_0x364ddb[_0x1d9a3c(0x25c)]&&_0x364ddb[_0x1d9a3c(0x25c)][_0x1d9a3c(0x1a7c)]&&_0x364ddb[_0x1d9a3c(0x25c)][_0x1d9a3c(0x1a7c)][_0x1d9a3c(0xfd0)])for(let _0x4516c6=0x0;_0x4516c6<_0x364ddb[_0x1d9a3c(0x25c)][_0x1d9a3c(0x1a7c)][_0x1d9a3c(0xfd0)];_0x4516c6+=0x1){_0x2a5aea[_0x1d9a3c(0x218e)]({'title':_0x364ddb['data']['errors'][_0x4516c6][_0x1d9a3c(0x66a)],'msg':_0x364ddb[_0x1d9a3c(0x25c)][_0x1d9a3c(0x1a7c)][_0x4516c6][_0x1d9a3c(0x155e)]});}else _0x2a5aea[_0x1d9a3c(0x218e)]({'title':_0x364ddb[_0x1d9a3c(0x291)]?_0x1d9a3c(0xeb9)+_0x364ddb[_0x1d9a3c(0x291)]+_0x1d9a3c(0x1657)+_0x364ddb[_0x1d9a3c(0xc22)]:_0x1d9a3c(0xd89),'msg':_0x364ddb[_0x1d9a3c(0x25c)]?JSON[_0x1d9a3c(0x2701)](_0x364ddb['data'][_0x1d9a3c(0x155e)]):_0x364ddb[_0x1d9a3c(0x147f)]()});});}function _0x16fc97(_0x58cf40,_0x7b513a){const _0xd18c12=_0x3e9cf7,_0x18d578=_0x2f343c[_0xd18c12(0xebe)][_0xd18c12(0x2478)]||_0x2f343c[_0xd18c12(0xebe)][_0xd18c12(0xf0c)]||_0x2f343c['user']['mailPause']||_0x2f343c[_0xd18c12(0xebe)]['openchannelPause']||_0x2f343c[_0xd18c12(0xebe)]['faxPause'],_0xc60a60=!_0x7b513a[_0xd18c12(0x1649)]['mandatoryDisposition'];_0x429c90[_0xd18c12(0xe27)]({'controller':_0xd18c12(0x209d),'controllerAs':'vm','templateUrl':_0x3769c1,'parent':angular[_0xd18c12(0x1853)](_0x138a81['body']),'clickOutsideToClose':_0xc60a60,'escapeToClose':_0xc60a60,'onRemoving':function(){const _0x125fdf=_0xd18c12;_0x2f343c['user']&&_0x7b513a['Account']['mandatoryDisposition']&&!_0x18d578&&_0x58f885[_0x125fdf(0xebe)][_0x125fdf(0xf5d)]({'id':_0x2f343c[_0x125fdf(0xebe)]['id']})[_0x125fdf(0x1d77)][_0x125fdf(0x1c4)](function(_0x34c762){const _0x3e2877=_0x125fdf;console[_0x3e2877(0x218e)](_0x34c762);});},'locals':{'user':_0x2f343c['user'],'channel':'chat','interaction':_0x7b513a}});}function _0x322433(_0x502a37){const _0x347838=_0x3e9cf7;_0x502a37[_0x347838(0x1325)]===_0x347838(0x2087)&&(_0x502a37[_0x347838(0x1325)]=_0x1da458['instant'](_0x347838(0x2b9)));}function _0x5922b3(_0x448fd5){const _0x1137af=_0x3e9cf7;if(_0x448fd5[_0x1137af(0x21ab)])return _0x448fd5['UserId']===_0x2f343c[_0x1137af(0xebe)]['id']?_0x1da458[_0x1137af(0x25cc)](_0x1137af(0x1ea6)):_0x448fd5[_0x1137af(0x135d)][_0x1137af(0x1d14)]+'\x20<'+_0x448fd5[_0x1137af(0x135d)]['internal']+'>';return _0x1da458[_0x1137af(0x25cc)](_0x1137af(0x68f));}function _0x16f490(_0x3fd2bf){const _0xe1895d=_0x3e9cf7;if(_0x3fd2bf[_0xe1895d(0x1649)])return _0x3fd2bf['Account']['key'];return _0x1da458['instant'](_0xe1895d(0x2b9));}function _0x3ee570(_0x303329){const _0x4bba0f=_0x3e9cf7;let _0x4c7d42;const _0x433034=_0x39641b()[_0x4bba0f(0x13b4)](_0x2f343c[_0x4bba0f(0x9d0)][_0x4bba0f(0x2214)],{'id':_0x303329['ChatInteractionId']});_0x433034&&_0x433034[_0x4bba0f(0x2653)]&&(_0x4c7d42=_0x39641b()[_0x4bba0f(0x13b4)](_0x433034[_0x4bba0f(0x2653)],{'id':_0x303329[_0x4bba0f(0x21ab)]}),!_0x4c7d42&&_0x433034['Users'][_0x4bba0f(0x2785)]({'id':_0x303329[_0x4bba0f(0x21ab)]}));}function _0x2e4aa4(_0x5bb550){const _0x3ff952=_0x3e9cf7,_0x442d02=_0x39641b()[_0x3ff952(0x13b4)](_0x2f343c[_0x3ff952(0x9d0)][_0x3ff952(0x2214)],{'id':_0x5bb550['ChatInteractionId']});_0x442d02&&_0x442d02[_0x3ff952(0x2653)]&&_0x39641b()[_0x3ff952(0x152a)](_0x442d02[_0x3ff952(0x2653)],{'id':_0x5bb550[_0x3ff952(0x21ab)]});}function _0x509ca4(_0x534e0d){const _0x1f65af=_0x3e9cf7;if(_0x534e0d){const _0x72e541=_0x39641b()[_0x1f65af(0x13b4)](_0x2f343c[_0x1f65af(0x9d0)][_0x1f65af(0x2214)],{'id':_0x534e0d['id']});if(_0x72e541){_0x72e541[_0x1f65af(0x2653)]=[];_0x534e0d['closed']&&(_0x72e541[_0x1f65af(0x1554)]=0x0);if(_0x2f343c[_0x1f65af(0xae2)]['sort']){const _0x39a3a9=_0x39641b()[_0x1f65af(0x1f2c)](_0x2f343c[_0x1f65af(0xae2)][_0x1f65af(0x1381)],'-')?_0x1f65af(0x20a5):_0x1f65af(0x24cc);_0x2f343c[_0x1f65af(0x9d0)][_0x1f65af(0x2214)]=_0x39641b()[_0x1f65af(0x17ca)](_0x2f343c[_0x1f65af(0x9d0)][_0x1f65af(0x2214)],_0x39641b()[_0x1f65af(0x359)](_0x2f343c[_0x1f65af(0xae2)][_0x1f65af(0x1381)],'-'),_0x39a3a9);}_0x39641b()[_0x1f65af(0x9c1)](_0x72e541,_0x39641b()[_0x1f65af(0x169b)](_0x534e0d,_0x39641b()[_0x1f65af(0x1be5)](_0x72e541)));}else _0xa06a57(_0x2f343c['query'][_0x1f65af(0x1c7b)]);}}function _0x4fe57a(_0x2ebafa){const _0x49775b=_0x3e9cf7;if(_0x2ebafa){const _0x184d5d=_0x39641b()[_0x49775b(0x13b4)](_0x2f343c[_0x49775b(0x9d0)][_0x49775b(0x2214)],{'id':_0x2ebafa['id']});_0x184d5d&&(_0x184d5d[_0x49775b(0x190)]=_0x2ebafa[_0x49775b(0xfbf)]||[],_0x39641b()['merge'](_0x184d5d,_0x39641b()['pick'](_0x2ebafa,_0x39641b()['keys'](_0x184d5d))));}}function _0x2b3c5b(_0x2a0cbf){const _0x114b00=_0x3e9cf7;if(_0x2a0cbf&&!_0x2a0cbf[_0x114b00(0x1105)]){const _0x5391a2=_0x39641b()[_0x114b00(0x13b4)](_0x2f343c[_0x114b00(0x9d0)][_0x114b00(0x2214)],{'id':_0x2a0cbf[_0x114b00(0x2209)]});if(_0x5391a2){const _0x706e7b=_0x39641b()[_0x114b00(0x13b4)](_0x5391a2[_0x114b00(0x223c)],{'id':_0x2a0cbf['id']});_0x706e7b?_0x39641b()[_0x114b00(0x9c1)](_0x706e7b,_0x39641b()[_0x114b00(0x169b)](_0x2a0cbf,_0x39641b()[_0x114b00(0x1be5)](_0x706e7b))):(_0x39641b()[_0x114b00(0x958)](_0x5391a2[_0x114b00(0x223c)])&&(_0x5391a2[_0x114b00(0x223c)]={'count':0x0}),!_0x2a0cbf[_0x114b00(0xfc1)]&&(_0x5391a2['Messages'][_0x114b00(0x184d)]+=0x1));}}}function _0x3fc2d5(){const _0x1cb00b=_0x3e9cf7;_0x2f343c[_0x1cb00b(0x1e6c)]=![];}function _0x1b2109(){const _0x431c7f=_0x3e9cf7;_0x2f343c[_0x431c7f(0x1e6c)]=!![];}function _0x8da2f4(){const _0x150b98=_0x3e9cf7;_0x2f343c[_0x150b98(0x1e6c)]=![],_0x429c90[_0x150b98(0xe27)]({'controller':_0x150b98(0x15f7),'controllerAs':'vm','templateUrl':_0x3530d6,'parent':angular[_0x150b98(0x1853)](_0x138a81[_0x150b98(0x1ed9)]),'clickOutsideToClose':![],'locals':{'color':_0x2f343c[_0x150b98(0xaee)],'fields':_0x2f343c[_0x150b98(0x16ad)][_0x150b98(0x355)],'storagePath':'home.chat'},'fullscreen':!![]})['then'](function(_0x4f7ca8){const _0x11cc14=_0x150b98;_0x2f343c[_0x11cc14(0xae2)]['search']=_0x4f7ca8===![]?undefined:_0x4f7ca8;if(_0x2f343c[_0x11cc14(0xae2)][_0x11cc14(0x24be)]||_0x4f7ca8===![])_0xa06a57();})[_0x150b98(0x1c4)](function(_0x55deca){const _0x246753=_0x150b98;_0x2a5aea['error']({'title':_0x246753(0x2260),'msg':_0x55deca[_0x246753(0x25c)]?JSON['stringify'](_0x55deca[_0x246753(0x25c)][_0x246753(0x155e)]):_0x55deca['toString']()});});}let _0x5877a4=!![],_0xe8caee=0x1;_0x510ca0[_0x3e9cf7(0x614)]('vm.query.filter',function(_0x1d0cd1,_0x49273a){const _0x2f598c=_0x3e9cf7;_0x5877a4?_0x21cc72(function(){_0x5877a4=![];}):(!_0x49273a&&(_0xe8caee=_0x2f343c['query'][_0x2f598c(0x1c7b)]),_0x1d0cd1!==_0x49273a&&(_0x2f343c[_0x2f598c(0xae2)][_0x2f598c(0x1c7b)]=0x1),!_0x1d0cd1&&(_0x2f343c[_0x2f598c(0xae2)]['page']=_0xe8caee),_0xa06a57(_0x2f343c[_0x2f598c(0xae2)][_0x2f598c(0x1c7b)]));}),_0x510ca0[_0x3e9cf7(0x1d6)](_0x3e9cf7(0x291c),function(){const _0x2b3acf=_0x3e9cf7;_0x326299[_0x2b3acf(0xfb8)](_0x2b3acf(0x20f0)),_0x326299[_0x2b3acf(0xfb8)](_0x2b3acf(0xc96)),_0x326299[_0x2b3acf(0xfb8)](_0x2b3acf(0x14b6)),_0x326299[_0x2b3acf(0xfb8)](_0x2b3acf(0x21fc)),_0x326299[_0x2b3acf(0xfb8)]('chatInteraction:update'),_0x326299['removeAllListeners'](_0x2b3acf(0x192d)),_0x326299[_0x2b3acf(0xfb8)](_0x2b3acf(0x30f));});}const _0x15b912=_0x432da2;;_0x1a0eb2[_0x5537c6(0x15b6)]=[_0x5537c6(0x22bf),_0x5537c6(0x1463),'$timeout','$mdDialog',_0x5537c6(0x1f10),_0x5537c6(0x1774),_0x5537c6(0x142b),_0x5537c6(0x9bf)];function _0x1a0eb2(_0x264329,_0x267b54,_0x4b1b52,_0x5cfc33,_0x5f170f,_0x4cae7b,_0x4a6eaa,_0x4b55fa){const _0x43cbcb=_0x5537c6,_0x5b5f6a=this;_0x5b5f6a['currentUser']=_0x4cae7b[_0x43cbcb(0x21e8)](),_0x5b5f6a[_0x43cbcb(0x1046)]={},_0x5b5f6a['contacts']={'count':0x0,'rows':[]},_0x5b5f6a[_0x43cbcb(0xfbf)]={'count':0x0,'rows':[]},_0x5b5f6a['query']={'includeAll':!![],'sort':_0x43cbcb(0x282),'limit':0xa,'page':0x1},_0x5b5f6a[_0x43cbcb(0x16ad)]={'fields':[]},_0x5b5f6a[_0x43cbcb(0xaee)]=_0x43cbcb(0x1a72),_0x5b5f6a[_0x43cbcb(0x1586)]=_0x236b8c,_0x5b5f6a[_0x43cbcb(0x752)]=_0x2ee3b3,_0x5b5f6a[_0x43cbcb(0x1ecc)]=_0xd90457,_0x5b5f6a[_0x43cbcb(0x211a)]=_0x50bdc1,_0x5b5f6a[_0x43cbcb(0x122c)]=_0x1dc6f6,_0x5b5f6a['call']=_0x267b54[_0x43cbcb(0x17bc)]['vm'][_0x43cbcb(0x205d)],_0x5b5f6a['onInit']=_0x122bc0,_0x5b5f6a[_0x43cbcb(0x17c3)]=_0x52f5ba,_0x5b5f6a[_0x43cbcb(0x28a8)]=_0x23813c,_0x5b5f6a[_0x43cbcb(0x1ede)]=_0x24aaef;function _0x122bc0(){const _0x350db3=_0x43cbcb;_0x4a6eaa[_0x350db3(0xebe)]['getLists']({'id':_0x5b5f6a[_0x350db3(0xe76)]['id'],'fields':'id,name,dialPrefix','sort':_0x350db3(0x16b6),'nolimit':!![]})['$promise']['then'](function(_0x11598c){const _0x4886bf=_0x350db3;return _0x5b5f6a['lists']=_0x11598c||{'count':0x0,'rows':[]},_0x5b5f6a['listsMap']=_0x39641b()[_0x4886bf(0x2631)](_0x5b5f6a[_0x4886bf(0x1046)]['rows'],'id'),_0x4a6eaa[_0x4886bf(0x22b1)][_0x4886bf(0xbf7)]()[_0x4886bf(0x1d77)];})[_0x350db3(0x1cb0)](function(_0x265ae5){const _0xc44a09=_0x350db3;_0x5b5f6a[_0xc44a09(0xfbf)]=_0x265ae5||{'count':0x0,'rows':[]};})[_0x350db3(0x1cb0)](function(){_0x5b5f6a['quickFilters']=_0x31bca6();})[_0x350db3(0x1c4)](function(_0x53800c){const _0x5e966f=_0x350db3;console[_0x5e966f(0x218e)](_0x53800c);})['finally'](function(){const _0x44fca1=_0x350db3;let _0x407d93=_0x5f170f[_0x44fca1(0x1b83)]['getItem'](_0x44fca1(0x1d3e)+_0x5b5f6a[_0x44fca1(0xe76)]['id']);if(_0x407d93)try{_0x407d93=JSON[_0x44fca1(0xefe)](_0x407d93);if(_0x407d93['query']){_0x5b5f6a[_0x44fca1(0xae2)]=_0x39641b()[_0x44fca1(0x9c1)](_0x5b5f6a[_0x44fca1(0xae2)],_0x407d93[_0x44fca1(0xae2)]);if(_0x39641b()[_0x44fca1(0xce9)](_0x5b5f6a[_0x44fca1(0x1046)][_0x44fca1(0x2214)]))_0x5b5f6a['query']['ListId']=[];else _0x39641b()[_0x44fca1(0xce9)](_0x5b5f6a['query']['ListId'])&&(_0x5b5f6a[_0x44fca1(0xae2)][_0x44fca1(0x20a6)]=_0x39641b()(_0x5b5f6a[_0x44fca1(0x1046)]['rows'])[_0x44fca1(0x1de2)]('id')[_0x44fca1(0x54f)]()[_0x44fca1(0x327)]());}}catch(_0xae5f26){console[_0x44fca1(0x1b4f)](_0xae5f26);}else _0x5b5f6a[_0x44fca1(0xae2)][_0x44fca1(0x20a6)]=_0x39641b()['map'](_0x5b5f6a[_0x44fca1(0x1046)]['rows'],'id');_0x5b5f6a[_0x44fca1(0x1e6c)]=_0x5b5f6a[_0x44fca1(0xae2)][_0x44fca1(0x1c99)]?!![]:![],_0x236b8c();});}function _0x31bca6(){const _0xa61acd=_0x43cbcb;return[{'name':_0xa61acd(0x23b0),'key':_0xa61acd(0x20a6),'type':_0xa61acd(0x1d50),'label':'CONTACTMANAGER.SELECT_LIST','options':_0x5b5f6a[_0xa61acd(0x1046)][_0xa61acd(0x2214)],'clearAll':![]},{'name':_0xa61acd(0xf2d),'key':_0xa61acd(0x22b1),'type':'multiselect','label':_0xa61acd(0x3c3),'options':_0x5b5f6a[_0xa61acd(0xfbf)]['rows'],'placeholder':_0xa61acd(0x62b)}];}function _0xc1a930(_0x329f0a){const _0x13f077=_0x43cbcb;_0x5b5f6a['searchInProgress']=![],_0x5b5f6a[_0x13f077(0x7eb)]=_0x329f0a||{'count':0x0,'rows':[]};}function _0x236b8c(_0x1a68e1){const _0x1cbe79=_0x43cbcb;_0x5b5f6a[_0x1cbe79(0x16cb)]=!![],_0x1e75e0();!_0x1a68e1&&(_0x5b5f6a[_0x1cbe79(0xae2)][_0x1cbe79(0x1c7b)]=0x1);_0x5b5f6a[_0x1cbe79(0xae2)]['offset']=(_0x5b5f6a['query'][_0x1cbe79(0x1c7b)]-0x1)*_0x5b5f6a[_0x1cbe79(0xae2)][_0x1cbe79(0x236)];let _0x4378f8=_0x5f170f['localStorage'][_0x1cbe79(0x2091)](_0x1cbe79(0x1d3e)+_0x5b5f6a[_0x1cbe79(0xe76)]['id']);_0x4378f8=_0x4378f8?JSON[_0x1cbe79(0xefe)](_0x4378f8):{},_0x4378f8[_0x1cbe79(0xae2)]=_0x5b5f6a[_0x1cbe79(0xae2)],_0x5f170f[_0x1cbe79(0x1b83)][_0x1cbe79(0x13a8)](_0x1cbe79(0x1d3e)+_0x5b5f6a['currentUser']['id'],JSON[_0x1cbe79(0x2701)](_0x4378f8)),_0x5b5f6a[_0x1cbe79(0x2061)]=_0x4a6eaa[_0x1cbe79(0xbe7)][_0x1cbe79(0xbf7)](_0x5b5f6a[_0x1cbe79(0xae2)],_0xc1a930)[_0x1cbe79(0x1d77)];}function _0x1dc6f6(_0x1e158a){const _0x4e9500=_0x43cbcb;return _0x4a6eaa[_0x4e9500(0xbe7)][_0x4e9500(0xbf7)]({'id':_0x1e158a})[_0x4e9500(0x1d77)][_0x4e9500(0x1cb0)](function(_0x19aafc){const _0x185437=_0x4e9500;_0x267b54[_0x185437(0x17bc)]['vm']['addContactTab'](_0x19aafc);});}function _0x2ee3b3(){_0x236b8c();}function _0x50bdc1(_0x1d88a7,_0x1ec466){const _0x3ec2b5=_0x43cbcb;_0x5cfc33['show']({'controller':_0x3ec2b5(0xc73),'controllerAs':'vm','templateUrl':_0x4e0982,'parent':angular[_0x3ec2b5(0x1853)](_0x264329[_0x3ec2b5(0x1ed9)]),'targetEvent':_0x1d88a7,'clickOutsideToClose':!![],'resolve':{'contact':['apiResolver',function(_0x574c44){const _0x51364e=_0x3ec2b5;return _0x574c44[_0x51364e(0x19a3)](_0x51364e(0x1095),{'id':_0x1ec466['id']});}],'lists':['apiResolver',function(_0x194353){const _0x33d009=_0x3ec2b5;return _0x194353['resolve'](_0x33d009(0xbcf),{'id':_0x5b5f6a[_0x33d009(0xe76)]['id'],'fields':_0x33d009(0x43c)});}]},'locals':{'contacts':_0x5b5f6a['contacts'][_0x3ec2b5(0x2214)]}});}function _0xd90457(_0x23543c,_0x5b4749){const _0x297e67=_0x43cbcb;_0x5cfc33[_0x297e67(0xe27)]({'controller':_0x297e67(0x219f),'controllerAs':'vm','templateUrl':_0x860e6c,'parent':angular[_0x297e67(0x1853)](_0x264329[_0x297e67(0x1ed9)]),'targetEvent':_0x23543c,'clickOutsideToClose':!![],'resolve':{'contact':[_0x297e67(0x1e0b),function(_0x5ebe20){const _0x35e036=_0x297e67;return _0x5ebe20['resolve'](_0x35e036(0x1095),{'id':_0x5b4749['id']});}],'lists':[_0x297e67(0x1e0b),function(_0x2c7652){const _0xf21ef4=_0x297e67;return _0x2c7652[_0xf21ef4(0x19a3)](_0xf21ef4(0xbcf),{'id':_0x5b5f6a[_0xf21ef4(0xe76)]['id'],'fields':_0xf21ef4(0x43c)});}]},'locals':{'contacts':_0x5b5f6a[_0x297e67(0x7eb)][_0x297e67(0x2214)]}});}function _0x52f5ba(){const _0x2c55e5=_0x43cbcb;_0x5b5f6a[_0x2c55e5(0x1e6c)]=![];}function _0x23813c(){const _0xe3b8d0=_0x43cbcb;_0x5b5f6a[_0xe3b8d0(0x1e6c)]=!![];}function _0x1e75e0(){const _0x5bae5c=_0x43cbcb;return _0x4a6eaa[_0x5bae5c(0xbe7)][_0x5bae5c(0x1e57)]({'id':_0x5b5f6a[_0x5bae5c(0xae2)][_0x5bae5c(0x20a6)]})[_0x5bae5c(0x1d77)][_0x5bae5c(0x1cb0)](function(_0x4c53a4){const _0x2e772b=_0x5bae5c;return _0x39641b()(_0x4c53a4[_0x2e772b(0x19b2)]())[_0x2e772b(0x1bd8)]('UserId')['values']()['map'](function(_0x29a3c7){return _0x157c6e(_0x29a3c7);})[_0x2e772b(0x327)]();})[_0x5bae5c(0x1cb0)](function(_0x5812d6){const _0x5e8968=_0x5bae5c;_0x5b5f6a['advancedSearch'][_0x5e8968(0x355)]=_0x5812d6;const _0x8a9597={'name':'Tags','column':_0x5e8968(0xf2d),'type':'multiselect','options':{'route':{'model':_0x5e8968(0x22b1),'action':_0x5e8968(0xbf7),'params':{'nolimit':!![]}},'excludedOperators':[_0x5e8968(0x62d)]}};let _0x446f24=_0x39641b()[_0x5e8968(0x3c2)](_0x5812d6,function(_0x544549){const _0x2f4804=_0x5e8968;return _0x39641b()[_0x2f4804(0x1f2c)](_0x544549['column'],'cf_');})||_0x5812d6[_0x5e8968(0xfd0)]-0x1;if(_0x446f24<0x0)_0x446f24=_0x5812d6[_0x5e8968(0xfd0)];_0x5b5f6a[_0x5e8968(0x16ad)][_0x5e8968(0x355)][_0x5e8968(0x159c)](_0x446f24,0x0,_0x8a9597);})['catch'](function(_0x98fcd2){const _0x4b6879=_0x5bae5c;_0x4b55fa['error']({'title':_0x98fcd2['status']?_0x4b6879(0xeb9)+_0x98fcd2['status']+'\x20-\x20'+_0x98fcd2[_0x4b6879(0xc22)]:_0x4b6879(0x2795),'msg':_0x98fcd2[_0x4b6879(0x25c)]?JSON[_0x4b6879(0x2701)](_0x98fcd2[_0x4b6879(0x25c)][_0x4b6879(0x155e)]):_0x98fcd2['toString']()});});}function _0x157c6e(_0x54bb85){const _0xc565e5=_0x43cbcb,_0x2353e2={'name':_0x39641b()[_0xc565e5(0xa75)](_0x54bb85[_0xc565e5(0x254b)]),'column':_0x54bb85['columnName'],'options':{}};if(_0x54bb85[_0xc565e5(0x197c)])switch(_0x54bb85[_0xc565e5(0x197c)][_0xc565e5(0x66a)]){case _0xc565e5(0x19d3):_0x2353e2[_0xc565e5(0x66a)]=_0xc565e5(0x19d3);break;case _0xc565e5(0x220f):_0x2353e2[_0xc565e5(0x66a)]='multiselect',_0x2353e2['values']=_0x39641b()[_0xc565e5(0x1de2)](JSON[_0xc565e5(0xefe)](_0x54bb85['custom'][_0xc565e5(0x27aa)]),function(_0x17b348,_0x3e16e5){const _0x5b1022=_0xc565e5;return{'id':_0x3e16e5,'name':_0x17b348[_0x5b1022(0x327)],'value':_0x17b348['value']};}),_0x2353e2[_0xc565e5(0x2224)][_0xc565e5(0x2198)]='name';break;case _0xc565e5(0x1f0e):_0x2353e2[_0xc565e5(0x66a)]=_0xc565e5(0x220f),_0x2353e2[_0xc565e5(0x27aa)]=[{'id':0x1,'translate':'DASHBOARDS.ENABLED'},{'id':0x0,'translate':_0xc565e5(0x2430)}],_0x2353e2[_0xc565e5(0x2224)]['excludedOperators']=[_0xc565e5(0x15ce)];break;case _0xc565e5(0x83d):_0x2353e2['type']='number';break;case'datetime':_0x2353e2['type']=_0xc565e5(0x18ec);break;}else switch(_0x54bb85[_0xc565e5(0x34f)]){case _0xc565e5(0xdda):_0x2353e2[_0xc565e5(0x66a)]=_0xc565e5(0x18ec);break;case _0xc565e5(0x27ac):_0x2353e2[_0xc565e5(0x16b6)]='Company',_0x2353e2[_0xc565e5(0x66a)]=_0xc565e5(0x19e0),_0x2353e2[_0xc565e5(0x2224)][_0xc565e5(0x15d0)]={'model':_0xc565e5(0x107a),'action':_0xc565e5(0xbf7),'params':{'fields':_0xc565e5(0x43c),'nolimit':!![]}},_0x2353e2[_0xc565e5(0x2224)][_0xc565e5(0x256c)]=[_0xc565e5(0x16b6)],_0x2353e2[_0xc565e5(0x2224)][_0xc565e5(0x9db)]=['$ne'];break;case'ListId':_0x2353e2[_0xc565e5(0x16b6)]=_0xc565e5(0x23b0),_0x2353e2[_0xc565e5(0x66a)]=_0xc565e5(0x19e0),_0x2353e2[_0xc565e5(0x2224)][_0xc565e5(0x15d0)]={'model':_0xc565e5(0xebe),'action':_0xc565e5(0x1fa7),'params':{'id':_0x5b5f6a[_0xc565e5(0xe76)]['id'],'fields':'id,name','nolimit':!![]}},_0x2353e2['options']['searchFields']=[_0xc565e5(0x16b6)],_0x2353e2[_0xc565e5(0x2224)]['excludedOperators']=['$ne'];break;default:if(_0x39641b()[_0xc565e5(0x250a)](_0x54bb85[_0xc565e5(0x66a)][_0xc565e5(0x1680)](),'int'))_0x2353e2[_0xc565e5(0x66a)]='number';else _0x39641b()[_0xc565e5(0x250a)](_0x54bb85[_0xc565e5(0x66a)]['toLowerCase'](),_0xc565e5(0x18ec))?_0x2353e2['type']=_0xc565e5(0x18ec):_0x2353e2[_0xc565e5(0x66a)]=_0xc565e5(0x19d3);break;}return _0x2353e2;}function _0x24aaef(){const _0xe48b0=_0x43cbcb;_0x5b5f6a[_0xe48b0(0x1e6c)]=![],_0x5cfc33[_0xe48b0(0xe27)]({'controller':_0xe48b0(0x15f7),'controllerAs':'vm','templateUrl':_0x3530d6,'parent':angular[_0xe48b0(0x1853)](_0x264329[_0xe48b0(0x1ed9)]),'clickOutsideToClose':![],'locals':{'color':_0x5b5f6a[_0xe48b0(0xaee)],'fields':_0x5b5f6a[_0xe48b0(0x16ad)][_0xe48b0(0x355)],'storagePath':_0xe48b0(0xa97)},'fullscreen':!![]})[_0xe48b0(0x1cb0)](function(_0x3b85c5){const _0x4ccd8e=_0xe48b0;_0x5b5f6a[_0x4ccd8e(0xae2)][_0x4ccd8e(0x24be)]=_0x3b85c5===![]?undefined:_0x3b85c5;if(_0x5b5f6a[_0x4ccd8e(0xae2)][_0x4ccd8e(0x24be)]||_0x3b85c5===![])_0x236b8c();})[_0xe48b0(0x1c4)](function(_0x29f188){const _0x3a87ca=_0xe48b0;_0x4b55fa[_0x3a87ca(0x218e)]({'title':'ERROR','msg':_0x29f188[_0x3a87ca(0x25c)]?JSON[_0x3a87ca(0x2701)](_0x29f188[_0x3a87ca(0x25c)]['message']):_0x29f188['toString']()});});}let _0x5c4641=!![],_0x11a1e3=0x1;_0x267b54['$watch'](_0x43cbcb(0x957),function(_0x3211fb,_0x33f983){const _0x25291b=_0x43cbcb;_0x5c4641?_0x4b1b52(function(){_0x5c4641=![];}):(!_0x33f983&&(_0x11a1e3=_0x5b5f6a['query'][_0x25291b(0x1c7b)]),_0x3211fb!==_0x33f983&&(_0x5b5f6a[_0x25291b(0xae2)][_0x25291b(0x1c7b)]=0x1),!_0x3211fb&&(_0x5b5f6a[_0x25291b(0xae2)][_0x25291b(0x1c7b)]=_0x11a1e3),_0x236b8c());});}const _0x270056=_0x1a0eb2;;_0xf185af['$inject']=['$scope',_0x5537c6(0x1714)];function _0xf185af(_0x1bc08b,_0x2c74d3){const _0x451b22=_0x5537c6;let _0x4013db=_0x2c74d3(function(){},0x3e7);_0x1bc08b['$on'](_0x451b22(0x291c),function(){_0x4013db&&(_0x2c74d3['cancel'](_0x4013db),_0x4013db=null);});}const _0x13d566=_0xf185af;;_0x5418de['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x2168),_0x5537c6(0xcb9),'$document','$window','$translate','api','Auth',_0x5537c6(0x1986)];function _0x5418de(_0x40fde2,_0x226d85,_0x34e908,_0x133779,_0x3b8127,_0x543be4,_0x317621,_0x2b9972,_0x1d244a){const _0x3745a2=_0x5537c6,_0x53f372=this,_0x1e7416=['type',_0x3745a2(0x26b6),'dialMethod','dialActive'];_0x53f372['voiceQueues']={},_0x53f372[_0x3745a2(0x2a4)]=0x0,_0x53f372[_0x3745a2(0x1abc)]={},_0x53f372[_0x3745a2(0x172b)]={},_0x53f372['rpcVoiceQueuesChannels']={},_0x53f372[_0x3745a2(0x214d)]={},_0x53f372[_0x3745a2(0x18a0)]=[],_0x53f372[_0x3745a2(0x997)]=!![],_0x53f372[_0x3745a2(0xae2)]={'id':_0x2b9972[_0x3745a2(0x21e8)]()['id'],'fields':_0x3745a2(0x776),'channel':_0x3745a2(0x1fd4),'type':'outbound','limit':0xa,'page':0x1},_0x53f372[_0x3745a2(0x2231)]={'limit':0xa,'page':0x1},_0x53f372[_0x3745a2(0x829)]=_0x1f7181,_0x53f372[_0x3745a2(0x172b)]=_0x4715cf,_0x53f372['getSize']=_0x3fb41a,_0x53f372['onSaveQueue']=_0x2a319c,_0x53f372[_0x3745a2(0x1f51)]=_0x34355c,_0x53f372['onUserVoiceQueue']=_0x9c3a04,_0x53f372[_0x3745a2(0x283a)]=_0x3548a8,_0x53f372[_0x3745a2(0x1de5)]=_0x1e41a3,_0x53f372['onRemoveVoiceQueue']=_0x36002e,_0x1d244a[_0x3745a2(0x16b7)](_0x3745a2(0x82a),_0x53f372[_0x3745a2(0x24f5)]),_0x1d244a[_0x3745a2(0x16b7)](_0x3745a2(0x338),_0x53f372['onSaveChannel']),_0x1d244a['onWithoutApply'](_0x3745a2(0x828),_0x53f372['onUserVoiceQueue']),_0x1d244a[_0x3745a2(0x16b7)]('userVoiceQueue:remove',_0x53f372[_0x3745a2(0x20b7)]),_0x1d244a[_0x3745a2(0x16b7)](_0x3745a2(0x1fe3),_0x53f372[_0x3745a2(0x283a)]),_0x1d244a[_0x3745a2(0x16b7)]('voiceQueue:remove',_0x53f372[_0x3745a2(0xe75)]),_0x1d244a[_0x3745a2(0x16b7)](_0x3745a2(0x18e8),_0x53f372[_0x3745a2(0x1de5)]),_0x317621['rpc']['getVoiceQueues']()[_0x3745a2(0x1d77)]['then'](function(_0x815c75){const _0x28ac74=_0x3745a2;return _0x53f372[_0x28ac74(0x1abc)]=_0x815c75?_0x39641b()[_0x28ac74(0x2631)](_0x815c75[_0x28ac74(0x2214)]?_0x815c75[_0x28ac74(0x2214)]:[],'id'):{},_0x317621['rpc'][_0x28ac74(0x19f2)]()[_0x28ac74(0x1d77)];})[_0x3745a2(0x1cb0)](function(_0x2dbbdc){const _0x4480aa=_0x3745a2;_0x53f372[_0x4480aa(0x4b1)]=_0x2dbbdc?_0x39641b()[_0x4480aa(0x2631)](_0x2dbbdc['rows']?_0x39641b()['filter'](_0x2dbbdc['rows'],{'type':_0x4480aa(0x895),'queuecallerleaveAt':null}):[],_0x4480aa(0x1425)):{};})[_0x3745a2(0x1c4)](function(_0x3259dd){console['error'](_0x3259dd);})['finally'](function(){const _0x2a4b16=_0x3745a2;_0x39641b()[_0x2a4b16(0x9c1)](_0x53f372[_0x2a4b16(0x4b1)],_0x53f372['addVoiceQueuesChannels']);for(let _0x33677a=0x0;_0x33677a<_0x53f372['deleteVoiceQueuesChannels'][_0x2a4b16(0xfd0)];_0x33677a+=0x1){delete _0x53f372[_0x2a4b16(0x4b1)][_0x53f372[_0x2a4b16(0x18a0)][_0x33677a]];}_0x53f372[_0x2a4b16(0x997)]=![],_0x53f372[_0x2a4b16(0x214d)]={},_0x53f372['deleteVoiceQueuesChannels']=[],_0x53f372[_0x2a4b16(0x172b)]();});function _0x1f7181(_0x27bfab){const _0x5e47f7=_0x3745a2;_0x53f372[_0x5e47f7(0x2a4)]=_0x27bfab[_0x5e47f7(0x184d)]?_0x27bfab['count']:0x0,_0x53f372['voiceQueues']=_0x27bfab?_0x39641b()[_0x5e47f7(0x2631)](_0x27bfab[_0x5e47f7(0x2214)]?_0x27bfab[_0x5e47f7(0x2214)]:[],'id'):{},_0x19bc12();}function _0x4715cf(){const _0xf13ac=_0x3745a2;_0x53f372['query'][_0xf13ac(0x184b)]=(_0x53f372['query'][_0xf13ac(0x1c7b)]-0x1)*_0x53f372[_0xf13ac(0xae2)][_0xf13ac(0x236)],_0x53f372[_0xf13ac(0x2061)]=_0x317621['user'][_0xf13ac(0x13e9)](_0x53f372['query'],_0x1f7181)[_0xf13ac(0x1d77)];}let _0x3e5f97=!![],_0x2e5ec2=0x1;_0x40fde2[_0x3745a2(0x614)]('vm_dc.query.filter',function(_0x4ff060,_0x29c1ba){const _0x3783d8=_0x3745a2;_0x3e5f97?_0x226d85(function(){_0x3e5f97=![];}):(!_0x29c1ba&&(_0x2e5ec2=_0x53f372[_0x3783d8(0xae2)][_0x3783d8(0x1c7b)]),_0x4ff060!==_0x29c1ba&&(_0x53f372['query']['page']=0x1),!_0x4ff060&&(_0x53f372[_0x3783d8(0xae2)][_0x3783d8(0x1c7b)]=_0x2e5ec2),_0x53f372['getVoiceQueues']());});function _0x3fb41a(_0x141b32){const _0x544aaf=_0x3745a2;return _0x39641b()[_0x544aaf(0x1813)](_0x141b32);}function _0x19bc12(){_0x39641b()['forIn'](_0x53f372['voiceQueues'],function(_0x56d74f,_0x4f113b){const _0x5c59fc=a0_0x5cbd;_0x53f372[_0x5c59fc(0x1abc)][_0x4f113b]&&(_0x53f372[_0x5c59fc(0x278a)][_0x4f113b][_0x5c59fc(0x26b6)]=_0x53f372[_0x5c59fc(0x1abc)][_0x4f113b][_0x5c59fc(0x26b6)]||0x0);});}function _0x2a319c(_0x51fd14){const _0x4d7dc9=_0x3745a2;_0x53f372[_0x4d7dc9(0x278a)][_0x51fd14['id']]&&(_0x53f372[_0x4d7dc9(0x1abc)][_0x51fd14['id']]&&(_0x53f372[_0x4d7dc9(0x1abc)][_0x51fd14['id']]=_0x51fd14,_0x39641b()[_0x4d7dc9(0x9c1)](_0x53f372['voiceQueues'][_0x51fd14['id']],_0x39641b()[_0x4d7dc9(0x169b)](_0x51fd14,_0x1e7416))));}function _0x34355c(_0x382b31){const _0x2f3328=_0x3745a2;_0x382b31['type']===_0x2f3328(0x895)&&(_0x382b31['queuecallerleaveAt']?(delete _0x53f372[_0x2f3328(0x4b1)][_0x382b31[_0x2f3328(0x1425)]],_0x53f372[_0x2f3328(0x997)]&&_0x53f372['deleteVoiceQueuesChannels']['push'](_0x382b31[_0x2f3328(0x1425)])):(_0x53f372[_0x2f3328(0x4b1)][_0x382b31[_0x2f3328(0x1425)]]=_0x382b31,_0x53f372['load']&&(_0x53f372[_0x2f3328(0x214d)][_0x382b31[_0x2f3328(0x1425)]]=_0x382b31)));}function _0x3548a8(_0x55f4e8){const _0x20f8bd=_0x3745a2;!_0x39641b()[_0x20f8bd(0x958)](_0x55f4e8)&&(_0x53f372['rpcVoiceQueues'][_0x55f4e8['id']]=_0x39641b()[_0x20f8bd(0x169b)](_0x55f4e8,_0x1e7416));}function _0x1e41a3(_0x39c245){const _0x51531=_0x3745a2;!_0x39641b()[_0x51531(0x958)](_0x39c245)&&(_0x53f372[_0x51531(0x1abc)][_0x39c245['id']]&&_0x39641b()[_0x51531(0x9c1)](_0x53f372[_0x51531(0x1abc)][_0x39c245['id']],_0x39641b()[_0x51531(0x169b)](_0x39c245,_0x1e7416)),_0x53f372[_0x51531(0x278a)][_0x39c245['id']]&&_0x39641b()[_0x51531(0x9c1)](_0x53f372[_0x51531(0x278a)][_0x39c245['id']],_0x39641b()['pick'](_0x39c245,_0x1e7416)));}function _0x36002e(_0x558464){const _0x53b364=_0x3745a2;!_0x39641b()[_0x53b364(0x958)](_0x558464)&&(delete _0x53f372[_0x53b364(0x1abc)][_0x558464['id']],delete _0x53f372[_0x53b364(0x278a)][_0x558464['id']]);}function _0x9c3a04(_0x4d6925){const _0x7b11ef=_0x3745a2;_0x39641b()['toInteger'](_0x4d6925[_0x7b11ef(0x21ab)])===_0x2b9972[_0x7b11ef(0x21e8)]()['id']&&_0x53f372[_0x7b11ef(0x172b)]();}_0x40fde2['$on'](_0x3745a2(0x291c),function(){const _0x2c4907=_0x3745a2;_0x1d244a[_0x2c4907(0xfb8)](_0x2c4907(0x82a)),_0x1d244a['removeAllListeners'](_0x2c4907(0x338)),_0x1d244a[_0x2c4907(0xfb8)]('userVoiceQueue:save'),_0x1d244a['removeAllListeners'](_0x2c4907(0x201e)),_0x1d244a[_0x2c4907(0xfb8)](_0x2c4907(0x1fe3)),_0x1d244a[_0x2c4907(0xfb8)](_0x2c4907(0x18e8)),_0x1d244a[_0x2c4907(0xfb8)](_0x2c4907(0xb50));});}const _0x1553dd=_0x5418de;;_0xd2fca4[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x2168),_0x5537c6(0xcb9),'$document','$window',_0x5537c6(0x1ae),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1986)];function _0xd2fca4(_0xcecef3,_0x3f83ec,_0xa1d2fd,_0x3556f8,_0x3a6f90,_0x4fbfb9,_0x14f5c5,_0x96a8fe,_0x101bfc){const _0x3c3a5b=_0x5537c6,_0x4a8dfd=this,_0x39eb91=['id',_0x3c3a5b(0x16b6),_0x3c3a5b(0x473),_0x3c3a5b(0x26b6)];let _0x1c2772=!![];_0x4a8dfd[_0x3c3a5b(0x25cd)]={},_0x4a8dfd[_0x3c3a5b(0x17ee)]=0x0,_0x4a8dfd['rpcChatQueues']={},_0x4a8dfd[_0x3c3a5b(0x110b)]=[],_0x4a8dfd[_0x3c3a5b(0x6de)]=[],_0x4a8dfd[_0x3c3a5b(0x21b5)]={},_0x4a8dfd[_0x3c3a5b(0xae2)]={'id':_0x96a8fe['getCurrentUser']()['id'],'channel':_0x3c3a5b(0x174c),'fields':_0x3c3a5b(0x23f1),'limit':0xa,'page':0x1},_0x4a8dfd[_0x3c3a5b(0x2231)]={'limit':0xa,'page':0x1},_0x4a8dfd[_0x3c3a5b(0x829)]=_0x26d48e,_0x4a8dfd[_0x3c3a5b(0x730)]=_0x2ff99c,_0x4a8dfd[_0x3c3a5b(0x265)]=_0x43b424,_0x4a8dfd['getColorByChannel']=_0x5ca10d,_0x4a8dfd[_0x3c3a5b(0x27ed)]=_0x39e20d,_0x4a8dfd[_0x3c3a5b(0x24f5)]=_0x385bf5,_0x4a8dfd[_0x3c3a5b(0x1437)]=_0x40865e,_0x4a8dfd[_0x3c3a5b(0x2423)]=_0x26ce63,_0x4a8dfd[_0x3c3a5b(0x11f8)]=_0x2063ec,_0x4a8dfd[_0x3c3a5b(0x29e0)]=_0x13eb2e,_0x4a8dfd[_0x3c3a5b(0x26ff)]=_0x228325,_0x4a8dfd[_0x3c3a5b(0x11f1)]=_0x3723fd,_0x4a8dfd[_0x3c3a5b(0x1f1a)]=_0xdafc48,_0x101bfc[_0x3c3a5b(0x16b7)]('chat_queue:save',_0x4a8dfd['onSaveQueue']),_0x101bfc[_0x3c3a5b(0x16b7)]('chatQueue:save',_0x4a8dfd[_0x3c3a5b(0x1437)]),_0x101bfc[_0x3c3a5b(0x16b7)](_0x3c3a5b(0x140e),_0x4a8dfd[_0x3c3a5b(0x2423)]),_0x101bfc['onWithoutApply'](_0x3c3a5b(0x13df),_0x4a8dfd[_0x3c3a5b(0x11f8)]),_0x101bfc[_0x3c3a5b(0x16b7)](_0x3c3a5b(0x1e92),_0x4a8dfd['onSaveUserChatQueue']),_0x101bfc[_0x3c3a5b(0x16b7)](_0x3c3a5b(0x1103),_0x4a8dfd[_0x3c3a5b(0x26ff)]),_0x101bfc[_0x3c3a5b(0x16b7)](_0x3c3a5b(0x2373),_0x4a8dfd[_0x3c3a5b(0x11f1)]),_0x101bfc[_0x3c3a5b(0x16b7)]('chat_queue_waiting_interaction:remove',_0x4a8dfd[_0x3c3a5b(0x1f1a)]),_0x14f5c5[_0x3c3a5b(0x1a00)][_0x3c3a5b(0x730)]()['$promise']['then'](function(_0x2553c7){const _0x34d18f=_0x3c3a5b;_0x4a8dfd['rpcChatQueues']=_0x2553c7?_0x39641b()[_0x34d18f(0x2631)](_0x2553c7['rows']?_0x2553c7[_0x34d18f(0x2214)]:[],'id'):{};})[_0x3c3a5b(0x1cb0)](function(){const _0x626a1c=_0x3c3a5b;return _0x14f5c5[_0x626a1c(0xebe)][_0x626a1c(0x13e9)]({'id':_0x96a8fe['getCurrentUser']()['id'],'channel':_0x626a1c(0x174c)})['$promise'];})[_0x3c3a5b(0x1cb0)](function(_0x855180){const _0x79cc8f=_0x3c3a5b;return _0x4a8dfd[_0x79cc8f(0x255a)]=_0x855180[_0x79cc8f(0x2214)],_0x14f5c5['rpc'][_0x79cc8f(0x1384)]()[_0x79cc8f(0x1d77)];})[_0x3c3a5b(0x1cb0)](function(_0x2f7092){const _0x4612ec=_0x3c3a5b,_0x32889a=_0x39641b()[_0x4612ec(0x1c99)](_0x2f7092['rows'],function(_0x2b9dbe){const _0x2fff24=_0x4612ec;return _0x39641b()[_0x2fff24(0x250a)](_0x4a8dfd[_0x2fff24(0x255a)],{'id':_0x2b9dbe[_0x2fff24(0x2303)]});});_0x2f7092[_0x4612ec(0x2214)]=_0x32889a,_0x2f7092[_0x4612ec(0x184d)]=_0x32889a[_0x4612ec(0xfd0)],_0x39641b()['merge'](_0x4a8dfd[_0x4612ec(0x21b5)],_0x2f7092?_0x39641b()[_0x4612ec(0x2631)](_0x2f7092['rows']?_0x2f7092[_0x4612ec(0x2214)]:[],'id'):{});})['catch'](function(_0x28a48d){console['error'](_0x28a48d);})[_0x3c3a5b(0x2e0)](function(){const _0x2802ba=_0x3c3a5b;for(let _0x45a506=0x0;_0x45a506<_0x4a8dfd['deleteChatQueuesWaitingInteractions'][_0x2802ba(0xfd0)];_0x45a506+=0x1){delete _0x4a8dfd[_0x2802ba(0x21b5)][_0x4a8dfd[_0x2802ba(0x6de)][_0x45a506]];}_0x1c2772=![],_0x4a8dfd['deleteChatQueuesWaitingInteractions']=[],_0x2ff99c(),_0x39e20d();});function _0x39e20d(){const _0x2a91bf=_0x3c3a5b,_0x1e66ab=(_0x4a8dfd[_0x2a91bf(0x2231)][_0x2a91bf(0x1c7b)]-0x1)*_0x4a8dfd[_0x2a91bf(0x2231)][_0x2a91bf(0x236)];_0x4a8dfd[_0x2a91bf(0x110b)]=_0x39641b()['orderBy'](_0x4a8dfd[_0x2a91bf(0x21b5)],[_0x2a91bf(0x2943)],['desc']),_0x4a8dfd[_0x2a91bf(0xf76)]=_0x39641b()[_0x2a91bf(0x276f)](_0x4a8dfd[_0x2a91bf(0x110b)],_0x1e66ab)[_0x2a91bf(0x14cb)](0x0,_0x4a8dfd[_0x2a91bf(0x2231)][_0x2a91bf(0x236)]);}function _0x26d48e(_0x39411d){const _0x4ac095=_0x3c3a5b;_0x4a8dfd[_0x4ac095(0x17ee)]=_0x39411d['count']?_0x39411d[_0x4ac095(0x184d)]:0x0,_0x4a8dfd[_0x4ac095(0x25cd)]=_0x39411d?_0x39641b()[_0x4ac095(0x2631)](_0x39411d['rows']?_0x39411d['rows']:[],'id'):{},_0x108fe2();}function _0x2ff99c(){const _0xf7c0f1=_0x3c3a5b;_0x4a8dfd[_0xf7c0f1(0xae2)]['offset']=(_0x4a8dfd[_0xf7c0f1(0xae2)][_0xf7c0f1(0x1c7b)]-0x1)*_0x4a8dfd[_0xf7c0f1(0xae2)]['limit'],_0x4a8dfd[_0xf7c0f1(0x2061)]=_0x14f5c5['user'][_0xf7c0f1(0x13e9)](_0x4a8dfd['query'],_0x26d48e)[_0xf7c0f1(0x1d77)];}let _0x553722=!![],_0x380f95=0x1;_0xcecef3[_0x3c3a5b(0x614)](_0x3c3a5b(0xeb6),function(_0x41ece6,_0x19181c){const _0x3cf8c4=_0x3c3a5b;_0x553722?_0x3f83ec(function(){_0x553722=![];}):(!_0x19181c&&(_0x380f95=_0x4a8dfd[_0x3cf8c4(0xae2)][_0x3cf8c4(0x1c7b)]),_0x41ece6!==_0x19181c&&(_0x4a8dfd[_0x3cf8c4(0xae2)]['page']=0x1),!_0x41ece6&&(_0x4a8dfd[_0x3cf8c4(0xae2)][_0x3cf8c4(0x1c7b)]=_0x380f95),_0x4a8dfd[_0x3cf8c4(0x730)]());});function _0x43b424(_0x63427d){const _0x284ca5=_0x3c3a5b;return _0x39641b()[_0x284ca5(0x1813)](_0x63427d);}function _0x108fe2(){const _0x1a77b9=_0x3c3a5b;_0x39641b()[_0x1a77b9(0x1ebd)](_0x4a8dfd[_0x1a77b9(0x25cd)],function(_0x1e5dc0,_0x442ca3){const _0x23e726=_0x1a77b9;_0x4a8dfd['rpcChatQueues'][_0x442ca3]&&(_0x4a8dfd[_0x23e726(0x25cd)][_0x442ca3][_0x23e726(0x26b6)]=_0x4a8dfd[_0x23e726(0x145a)][_0x442ca3][_0x23e726(0x26b6)]||0x0);});}function _0x385bf5(_0x509d20){const _0x4f4c24=_0x3c3a5b;_0x4a8dfd[_0x4f4c24(0x25cd)][_0x509d20['id']]&&(_0x4a8dfd[_0x4f4c24(0x145a)][_0x509d20['id']]&&(_0x39641b()['merge'](_0x4a8dfd[_0x4f4c24(0x145a)][_0x509d20['id']],_0x39641b()[_0x4f4c24(0x169b)](_0x509d20,_0x39eb91)),_0x39641b()[_0x4f4c24(0x9c1)](_0x4a8dfd[_0x4f4c24(0x25cd)][_0x509d20['id']],_0x39641b()[_0x4f4c24(0x169b)](_0x509d20,_0x39eb91))));}function _0x40865e(_0x57ce3f){const _0x5c9000=_0x3c3a5b;!_0x39641b()[_0x5c9000(0x958)](_0x57ce3f)&&(_0x4a8dfd['rpcChatQueues'][_0x57ce3f['id']]=_0x39641b()['pick'](_0x57ce3f,_0x39eb91));}function _0x26ce63(_0x59e618){const _0x4004b8=_0x3c3a5b;!_0x39641b()[_0x4004b8(0x958)](_0x59e618)&&(_0x4a8dfd[_0x4004b8(0x145a)][_0x59e618['id']]&&_0x39641b()[_0x4004b8(0x9c1)](_0x4a8dfd[_0x4004b8(0x145a)][_0x59e618['id']],_0x39641b()[_0x4004b8(0x169b)](_0x59e618,_0x39eb91)),_0x4a8dfd['chatQueues'][_0x59e618['id']]&&_0x39641b()[_0x4004b8(0x9c1)](_0x4a8dfd[_0x4004b8(0x25cd)][_0x59e618['id']],_0x39641b()[_0x4004b8(0x169b)](_0x59e618,_0x39eb91)));}function _0x2063ec(_0x2ff680){const _0xac8f15=_0x3c3a5b;!_0x39641b()[_0xac8f15(0x958)](_0x2ff680)&&(delete _0x4a8dfd[_0xac8f15(0x145a)][_0x2ff680['id']],delete _0x4a8dfd[_0xac8f15(0x25cd)][_0x2ff680['id']]);}function _0x13eb2e(_0x122b64){const _0x16e96f=_0x3c3a5b;_0x39641b()['toInteger'](_0x122b64[_0x16e96f(0x21ab)])===_0x96a8fe[_0x16e96f(0x21e8)]()['id']&&_0x4a8dfd['getChatQueues']();}function _0x228325(_0x5938c3){const _0x32a43e=_0x3c3a5b;_0x39641b()[_0x32a43e(0x15ed)](_0x5938c3[_0x32a43e(0x21ab)])===_0x96a8fe[_0x32a43e(0x21e8)]()['id']&&_0x4a8dfd[_0x32a43e(0x730)]();}function _0x3723fd(_0x3a193b){const _0x1eb1e1=_0x3c3a5b;_0x4a8dfd['chatQueues'][_0x3a193b[_0x1eb1e1(0x2303)]]&&(_0x4a8dfd[_0x1eb1e1(0x21b5)][_0x3a193b['id']]=_0x3a193b,_0x39e20d());}function _0xdafc48(_0x48e7d0){const _0x26c6e7=_0x3c3a5b;delete _0x4a8dfd['rpcChatQueuesWaitingInteractions'][_0x48e7d0['id']],_0x1c2772&&_0x4a8dfd[_0x26c6e7(0x6de)][_0x26c6e7(0x2785)](_0x48e7d0['id']),_0x39e20d();}function _0x5ca10d(_0x5c47df){const _0x7def79=_0x3c3a5b;switch(_0x5c47df){case _0x7def79(0x174c):return _0x7def79(0x10a7);case'mail':return _0x7def79(0x24ca);case _0x7def79(0x689):return _0x7def79(0x50e);case _0x7def79(0x2476):return _0x7def79(0xf3a);case _0x7def79(0x15e0):return _0x7def79(0x1b13);case'fax':return'blue-grey-A200-bg';default:return'white-bg';}}_0xcecef3[_0x3c3a5b(0x1d6)](_0x3c3a5b(0x291c),function(){const _0xd7bf9a=_0x3c3a5b;_0x101bfc[_0xd7bf9a(0xfb8)]('chat_queue:save'),_0x101bfc[_0xd7bf9a(0xfb8)]('chatQueue:save'),_0x101bfc[_0xd7bf9a(0xfb8)](_0xd7bf9a(0x140e)),_0x101bfc[_0xd7bf9a(0xfb8)](_0xd7bf9a(0x13df)),_0x101bfc[_0xd7bf9a(0xfb8)]('userChatQueue:save'),_0x101bfc[_0xd7bf9a(0xfb8)](_0xd7bf9a(0x1103)),_0x101bfc[_0xd7bf9a(0xfb8)](_0xd7bf9a(0x2373)),_0x101bfc[_0xd7bf9a(0xfb8)](_0xd7bf9a(0x12a1));});}const _0x5b0315=_0xd2fca4;;_0xaa8f2a[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x2168),_0x5537c6(0xcb9),'$document',_0x5537c6(0x1f10),_0x5537c6(0x1ae),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1986)];function _0xaa8f2a(_0x4e479f,_0x5de33b,_0x1ec500,_0x2853be,_0x3fc233,_0x17f286,_0x106c8e,_0x500986,_0x4a5e5e){const _0x142246=_0x5537c6,_0x5258e2=this,_0x5a651c=['id',_0x142246(0x16b6),'mapAgentsId',_0x142246(0x26b6)];let _0x19358b=!![];_0x5258e2[_0x142246(0x754)]={},_0x5258e2[_0x142246(0x18be)]=0x0,_0x5258e2[_0x142246(0xc50)]={},_0x5258e2[_0x142246(0x110b)]=[],_0x5258e2[_0x142246(0x812)]=[],_0x5258e2['rpcFaxQueuesWaitingInteractions']={},_0x5258e2['query']={'id':_0x500986[_0x142246(0x21e8)]()['id'],'channel':_0x142246(0x1944),'fields':_0x142246(0x23f1),'limit':0xa,'page':0x1},_0x5258e2[_0x142246(0x2231)]={'limit':0xa,'page':0x1},_0x5258e2[_0x142246(0x829)]=_0x445be5,_0x5258e2['getFaxQueues']=_0x30cbc9,_0x5258e2[_0x142246(0x265)]=_0x431362,_0x5258e2[_0x142246(0x879)]=_0x15c581,_0x5258e2[_0x142246(0x27ed)]=_0x1d0d9f,_0x5258e2[_0x142246(0x24f5)]=_0x510f00,_0x5258e2[_0x142246(0x25fd)]=_0x2f8877,_0x5258e2[_0x142246(0xe15)]=_0x5d6ec6,_0x5258e2[_0x142246(0x1449)]=_0x45588a,_0x5258e2[_0x142246(0x98f)]=_0x25918e,_0x5258e2[_0x142246(0xa5d)]=_0x14e8e0,_0x5258e2[_0x142246(0x1df3)]=_0x142b0f,_0x5258e2[_0x142246(0x1178)]=_0x3468d9,_0x4a5e5e[_0x142246(0x16b7)]('fax_queue:save',_0x5258e2[_0x142246(0x24f5)]),_0x4a5e5e[_0x142246(0x16b7)]('faxQueue:save',_0x5258e2['onSaveFaxQueue']),_0x4a5e5e[_0x142246(0x16b7)](_0x142246(0x2403),_0x5258e2[_0x142246(0xe15)]),_0x4a5e5e[_0x142246(0x16b7)](_0x142246(0xc90),_0x5258e2[_0x142246(0x1449)]),_0x4a5e5e[_0x142246(0x16b7)]('userFaxQueue:save',_0x5258e2[_0x142246(0x98f)]),_0x4a5e5e[_0x142246(0x16b7)](_0x142246(0x1c5c),_0x5258e2[_0x142246(0xa5d)]),_0x4a5e5e[_0x142246(0x16b7)](_0x142246(0x1b9d),_0x5258e2[_0x142246(0x1df3)]),_0x4a5e5e[_0x142246(0x16b7)](_0x142246(0x217f),_0x5258e2['onRemoveFaxQueueChannel']),_0x106c8e[_0x142246(0x1a00)][_0x142246(0x10b8)]()[_0x142246(0x1d77)][_0x142246(0x1cb0)](function(_0x321389){const _0x208607=_0x142246;_0x5258e2[_0x208607(0xc50)]=_0x321389?_0x39641b()[_0x208607(0x2631)](_0x321389['rows']?_0x321389[_0x208607(0x2214)]:[],'id'):{};})[_0x142246(0x1cb0)](function(){const _0x24404b=_0x142246;return _0x106c8e['user'][_0x24404b(0x13e9)]({'id':_0x500986[_0x24404b(0x21e8)]()['id'],'channel':_0x24404b(0x1944)})[_0x24404b(0x1d77)];})[_0x142246(0x1cb0)](function(_0x1163fe){const _0x25654b=_0x142246;return _0x5258e2[_0x25654b(0x255a)]=_0x1163fe[_0x25654b(0x2214)],_0x106c8e[_0x25654b(0x1a00)][_0x25654b(0x1705)]()[_0x25654b(0x1d77)];})[_0x142246(0x1cb0)](function(_0x42984a){const _0x50013d=_0x142246,_0x35700c=_0x39641b()[_0x50013d(0x1c99)](_0x42984a['rows'],function(_0x1837f8){const _0x183f85=_0x50013d;return _0x39641b()[_0x183f85(0x250a)](_0x5258e2[_0x183f85(0x255a)],{'id':_0x1837f8['queue_id']});});_0x42984a[_0x50013d(0x2214)]=_0x35700c,_0x42984a[_0x50013d(0x184d)]=_0x35700c[_0x50013d(0xfd0)],_0x39641b()[_0x50013d(0x9c1)](_0x5258e2[_0x50013d(0x1de9)],_0x42984a?_0x39641b()[_0x50013d(0x2631)](_0x42984a['rows']?_0x42984a['rows']:[],'id'):{});})[_0x142246(0x1c4)](function(_0x447b6d){const _0x2b4273=_0x142246;console[_0x2b4273(0x218e)](_0x447b6d);})['finally'](function(){const _0x286f41=_0x142246;for(let _0x3b9fe3=0x0;_0x3b9fe3<_0x5258e2['deleteFaxQueuesWaitingInteractions'][_0x286f41(0xfd0)];_0x3b9fe3+=0x1){delete _0x5258e2['rpcFaxQueuesWaitingInteractions'][_0x5258e2[_0x286f41(0x812)][_0x3b9fe3]];}_0x19358b=![],_0x5258e2[_0x286f41(0x812)]=[],_0x30cbc9(),_0x1d0d9f();});function _0x1d0d9f(){const _0x1b921a=_0x142246,_0x5a97d1=(_0x5258e2[_0x1b921a(0x2231)][_0x1b921a(0x1c7b)]-0x1)*_0x5258e2[_0x1b921a(0x2231)]['limit'];_0x5258e2['paginatedInteractions']=_0x39641b()['orderBy'](_0x5258e2['rpcFaxQueuesWaitingInteractions'],[_0x1b921a(0x2943)],[_0x1b921a(0x20a5)]),_0x5258e2[_0x1b921a(0xf76)]=_0x39641b()[_0x1b921a(0x276f)](_0x5258e2['paginatedInteractions'],_0x5a97d1)[_0x1b921a(0x14cb)](0x0,_0x5258e2[_0x1b921a(0x2231)]['limit']);}function _0x445be5(_0x1777c3){const _0x5ea422=_0x142246;_0x5258e2[_0x5ea422(0x18be)]=_0x1777c3[_0x5ea422(0x184d)]?_0x1777c3[_0x5ea422(0x184d)]:0x0,_0x5258e2[_0x5ea422(0x754)]=_0x1777c3?_0x39641b()['keyBy'](_0x1777c3[_0x5ea422(0x2214)]?_0x1777c3[_0x5ea422(0x2214)]:[],'id'):{},_0x1fc193();}function _0x30cbc9(){const _0x38f439=_0x142246;_0x5258e2[_0x38f439(0xae2)][_0x38f439(0x184b)]=(_0x5258e2[_0x38f439(0xae2)][_0x38f439(0x1c7b)]-0x1)*_0x5258e2[_0x38f439(0xae2)][_0x38f439(0x236)],_0x5258e2[_0x38f439(0x2061)]=_0x106c8e['user']['getQueues'](_0x5258e2['query'],_0x445be5)[_0x38f439(0x1d77)];}let _0x4c3111=!![],_0x11e250=0x1;_0x4e479f[_0x142246(0x614)](_0x142246(0xeb6),function(_0xf521c1,_0x42c29e){const _0x379962=_0x142246;_0x4c3111?_0x5de33b(function(){_0x4c3111=![];}):(!_0x42c29e&&(_0x11e250=_0x5258e2['query'][_0x379962(0x1c7b)]),_0xf521c1!==_0x42c29e&&(_0x5258e2[_0x379962(0xae2)][_0x379962(0x1c7b)]=0x1),!_0xf521c1&&(_0x5258e2['query'][_0x379962(0x1c7b)]=_0x11e250),_0x5258e2[_0x379962(0x10b8)]());});function _0x431362(_0x597e8f){const _0x4189fc=_0x142246;return _0x39641b()[_0x4189fc(0x1813)](_0x597e8f);}function _0x1fc193(){const _0x48f3d5=_0x142246;_0x39641b()['forIn'](_0x5258e2[_0x48f3d5(0x754)],function(_0x6258f3,_0x24766d){const _0x109b83=_0x48f3d5;_0x5258e2[_0x109b83(0xc50)][_0x24766d]&&(_0x5258e2[_0x109b83(0x754)][_0x24766d][_0x109b83(0x26b6)]=_0x5258e2['rpcFaxQueues'][_0x24766d][_0x109b83(0x26b6)]||0x0);});}function _0x510f00(_0x21b5e5){const _0x3ccaf0=_0x142246;_0x5258e2['faxQueues'][_0x21b5e5['id']]&&(_0x5258e2[_0x3ccaf0(0xc50)][_0x21b5e5['id']]&&(_0x39641b()[_0x3ccaf0(0x9c1)](_0x5258e2[_0x3ccaf0(0xc50)][_0x21b5e5['id']],_0x39641b()[_0x3ccaf0(0x169b)](_0x21b5e5,_0x5a651c)),_0x39641b()[_0x3ccaf0(0x9c1)](_0x5258e2[_0x3ccaf0(0x754)][_0x21b5e5['id']],_0x39641b()[_0x3ccaf0(0x169b)](_0x21b5e5,_0x5a651c))));}function _0x2f8877(_0x40d0cb){const _0x417e59=_0x142246;!_0x39641b()[_0x417e59(0x958)](_0x40d0cb)&&(_0x5258e2[_0x417e59(0xc50)][_0x40d0cb['id']]=_0x39641b()['pick'](_0x40d0cb,_0x5a651c));}function _0x5d6ec6(_0x11d125){const _0x406b77=_0x142246;!_0x39641b()[_0x406b77(0x958)](_0x11d125)&&(_0x5258e2[_0x406b77(0xc50)][_0x11d125['id']]&&_0x39641b()[_0x406b77(0x9c1)](_0x5258e2['rpcFaxQueues'][_0x11d125['id']],_0x39641b()[_0x406b77(0x169b)](_0x11d125,_0x5a651c)),_0x5258e2[_0x406b77(0x754)][_0x11d125['id']]&&_0x39641b()[_0x406b77(0x9c1)](_0x5258e2[_0x406b77(0x754)][_0x11d125['id']],_0x39641b()[_0x406b77(0x169b)](_0x11d125,_0x5a651c)));}function _0x45588a(_0x2d6c2c){const _0x56965a=_0x142246;!_0x39641b()[_0x56965a(0x958)](_0x2d6c2c)&&(delete _0x5258e2[_0x56965a(0xc50)][_0x2d6c2c['id']],delete _0x5258e2[_0x56965a(0x754)][_0x2d6c2c['id']]);}function _0x25918e(_0x5410c1){const _0x2bbe25=_0x142246;_0x39641b()[_0x2bbe25(0x15ed)](_0x5410c1[_0x2bbe25(0x21ab)])===_0x500986[_0x2bbe25(0x21e8)]()['id']&&_0x5258e2[_0x2bbe25(0x10b8)]();}function _0x14e8e0(_0x2a06bf){const _0x3905d4=_0x142246;_0x39641b()['toInteger'](_0x2a06bf[_0x3905d4(0x21ab)])===_0x500986[_0x3905d4(0x21e8)]()['id']&&_0x5258e2[_0x3905d4(0x10b8)]();}function _0x142b0f(_0x5055d3){const _0x15cc8b=_0x142246;_0x5258e2['faxQueues'][_0x5055d3[_0x15cc8b(0x2303)]]&&(_0x5258e2['rpcFaxQueuesWaitingInteractions'][_0x5055d3['id']]=_0x5055d3,_0x1d0d9f());}function _0x3468d9(_0x214709){const _0x45ccc6=_0x142246;delete _0x5258e2[_0x45ccc6(0x1de9)][_0x214709['id']],_0x19358b&&_0x5258e2[_0x45ccc6(0x812)][_0x45ccc6(0x2785)](_0x214709['id']),_0x1d0d9f();}function _0x15c581(_0x3eb9fa){const _0x1d3a6c=_0x142246;switch(_0x3eb9fa){case _0x1d3a6c(0x174c):return'green-A700-bg';case _0x1d3a6c(0x2651):return'teal-bg';case _0x1d3a6c(0x689):return'orange-bg';case _0x1d3a6c(0x2476):return _0x1d3a6c(0xf3a);case _0x1d3a6c(0x15e0):return _0x1d3a6c(0x1b13);case _0x1d3a6c(0x1944):return _0x1d3a6c(0x20d5);default:return _0x1d3a6c(0x927);}}_0x4e479f[_0x142246(0x1d6)](_0x142246(0x291c),function(){const _0xbe0100=_0x142246;_0x4a5e5e[_0xbe0100(0xfb8)](_0xbe0100(0x24c4)),_0x4a5e5e['removeAllListeners'](_0xbe0100(0xd86)),_0x4a5e5e[_0xbe0100(0xfb8)](_0xbe0100(0x2403)),_0x4a5e5e[_0xbe0100(0xfb8)]('faxQueue:remove'),_0x4a5e5e[_0xbe0100(0xfb8)](_0xbe0100(0x15f0)),_0x4a5e5e['removeAllListeners'](_0xbe0100(0x1c5c)),_0x4a5e5e[_0xbe0100(0xfb8)](_0xbe0100(0x1b9d)),_0x4a5e5e[_0xbe0100(0xfb8)](_0xbe0100(0x217f));});}const _0x5d5e2c=_0xaa8f2a;;_0x58e034[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x2168),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x1f10),_0x5537c6(0x1ae),'api',_0x5537c6(0x1774),'socket'];function _0x58e034(_0x24da7b,_0x24e9c6,_0x206888,_0x4188ee,_0x2113b4,_0x5d9594,_0x2c2d44,_0x52ec53,_0x111c8b){const _0x2df9d4=_0x5537c6,_0x169cd7=this,_0x5d122a=['id',_0x2df9d4(0x16b6),_0x2df9d4(0x473),_0x2df9d4(0x26b6)];let _0x25a991=!![];_0x169cd7[_0x2df9d4(0x25a6)]={},_0x169cd7[_0x2df9d4(0x29b1)]=0x0,_0x169cd7[_0x2df9d4(0x1e75)]={},_0x169cd7[_0x2df9d4(0x110b)]=[],_0x169cd7['deleteMailQueuesWaitingInteractions']=[],_0x169cd7[_0x2df9d4(0xa82)]={},_0x169cd7[_0x2df9d4(0xae2)]={'id':_0x52ec53[_0x2df9d4(0x21e8)]()['id'],'channel':_0x2df9d4(0x2651),'fields':_0x2df9d4(0x23f1),'limit':0xa,'page':0x1},_0x169cd7[_0x2df9d4(0x2231)]={'limit':0xa,'page':0x1},_0x169cd7[_0x2df9d4(0x829)]=_0x34b0b4,_0x169cd7[_0x2df9d4(0x2038)]=_0x438119,_0x169cd7['getSize']=_0x503bc3,_0x169cd7[_0x2df9d4(0x879)]=_0x220d86,_0x169cd7['paginate']=_0x51c737,_0x169cd7['onSaveQueue']=_0x12ae7a,_0x169cd7['onSaveMailQueue']=_0x384c79,_0x169cd7['onUpdateMailQueue']=_0x41a054,_0x169cd7[_0x2df9d4(0x1db4)]=_0x5c967e,_0x169cd7['onSaveUserMailQueue']=_0x5b779f,_0x169cd7[_0x2df9d4(0x1768)]=_0x199218,_0x169cd7[_0x2df9d4(0x284a)]=_0x578ce6,_0x169cd7[_0x2df9d4(0x26ef)]=_0x769f72,_0x111c8b[_0x2df9d4(0x16b7)](_0x2df9d4(0x1baa),_0x169cd7[_0x2df9d4(0x24f5)]),_0x111c8b[_0x2df9d4(0x16b7)]('mailQueue:save',_0x169cd7['onSaveMailQueue']),_0x111c8b[_0x2df9d4(0x16b7)](_0x2df9d4(0x212a),_0x169cd7['onUpdateMailQueue']),_0x111c8b[_0x2df9d4(0x16b7)](_0x2df9d4(0xb81),_0x169cd7[_0x2df9d4(0x1db4)]),_0x111c8b['onWithoutApply']('userMailQueue:save',_0x169cd7[_0x2df9d4(0x177a)]),_0x111c8b[_0x2df9d4(0x16b7)]('userMailQueue:remove',_0x169cd7['onRemoveUserMailQueue']),_0x111c8b[_0x2df9d4(0x16b7)]('mail_queue_waiting_interaction:save',_0x169cd7[_0x2df9d4(0x284a)]),_0x111c8b[_0x2df9d4(0x16b7)](_0x2df9d4(0x2063),_0x169cd7[_0x2df9d4(0x26ef)]),_0x2c2d44[_0x2df9d4(0x1a00)][_0x2df9d4(0x2038)]()[_0x2df9d4(0x1d77)][_0x2df9d4(0x1cb0)](function(_0x273f8e){const _0x2b610b=_0x2df9d4;_0x169cd7[_0x2b610b(0x1e75)]=_0x273f8e?_0x39641b()[_0x2b610b(0x2631)](_0x273f8e[_0x2b610b(0x2214)]?_0x273f8e[_0x2b610b(0x2214)]:[],'id'):{};})[_0x2df9d4(0x1cb0)](function(){const _0x13b443=_0x2df9d4;return _0x2c2d44[_0x13b443(0xebe)][_0x13b443(0x13e9)]({'id':_0x52ec53['getCurrentUser']()['id'],'channel':'mail'})[_0x13b443(0x1d77)];})['then'](function(_0xc0b3f9){const _0x3a2aa9=_0x2df9d4;return _0x169cd7['myQueues']=_0xc0b3f9[_0x3a2aa9(0x2214)],_0x2c2d44[_0x3a2aa9(0x1a00)]['getMailQueuesWaitingInteractions']()['$promise'];})[_0x2df9d4(0x1cb0)](function(_0xa7f1ff){const _0x3a2b2c=_0x2df9d4,_0x17cd6d=_0x39641b()['filter'](_0xa7f1ff[_0x3a2b2c(0x2214)],function(_0x4d67be){const _0xac5b64=_0x3a2b2c;return _0x39641b()['includes'](_0x169cd7[_0xac5b64(0x255a)],{'id':_0x4d67be[_0xac5b64(0x2303)]});});_0xa7f1ff[_0x3a2b2c(0x2214)]=_0x17cd6d,_0xa7f1ff[_0x3a2b2c(0x184d)]=_0x17cd6d['length'],_0x39641b()[_0x3a2b2c(0x9c1)](_0x169cd7[_0x3a2b2c(0xa82)],_0xa7f1ff?_0x39641b()[_0x3a2b2c(0x2631)](_0xa7f1ff['rows']?_0xa7f1ff[_0x3a2b2c(0x2214)]:[],'id'):{});})[_0x2df9d4(0x1c4)](function(_0x4e7592){const _0x20646c=_0x2df9d4;console[_0x20646c(0x218e)](_0x4e7592);})['finally'](function(){const _0x33433c=_0x2df9d4;for(let _0xd88d08=0x0;_0xd88d08<_0x169cd7['deleteMailQueuesWaitingInteractions'][_0x33433c(0xfd0)];_0xd88d08+=0x1){delete _0x169cd7[_0x33433c(0xa82)][_0x169cd7[_0x33433c(0xb26)][_0xd88d08]];}_0x25a991=![],_0x169cd7['deleteMailQueuesWaitingInteractions']=[],_0x438119(),_0x51c737();});function _0x51c737(){const _0x625272=_0x2df9d4,_0x137a8f=(_0x169cd7[_0x625272(0x2231)][_0x625272(0x1c7b)]-0x1)*_0x169cd7['queryChannels']['limit'];_0x169cd7[_0x625272(0x110b)]=_0x39641b()[_0x625272(0x17ca)](_0x169cd7[_0x625272(0xa82)],['joinAt'],[_0x625272(0x20a5)]),_0x169cd7[_0x625272(0xf76)]=_0x39641b()['drop'](_0x169cd7[_0x625272(0x110b)],_0x137a8f)['slice'](0x0,_0x169cd7[_0x625272(0x2231)][_0x625272(0x236)]);}function _0x34b0b4(_0x1cd7bf){const _0x599df9=_0x2df9d4;_0x169cd7[_0x599df9(0x29b1)]=_0x1cd7bf[_0x599df9(0x184d)]?_0x1cd7bf[_0x599df9(0x184d)]:0x0,_0x169cd7[_0x599df9(0x25a6)]=_0x1cd7bf?_0x39641b()['keyBy'](_0x1cd7bf[_0x599df9(0x2214)]?_0x1cd7bf[_0x599df9(0x2214)]:[],'id'):{},_0x1ee346();}function _0x438119(){const _0x2442a3=_0x2df9d4;_0x169cd7['query']['offset']=(_0x169cd7['query'][_0x2442a3(0x1c7b)]-0x1)*_0x169cd7[_0x2442a3(0xae2)][_0x2442a3(0x236)],_0x169cd7['promise']=_0x2c2d44[_0x2442a3(0xebe)][_0x2442a3(0x13e9)](_0x169cd7[_0x2442a3(0xae2)],_0x34b0b4)['$promise'];}let _0x4b87b5=!![],_0x3bcba4=0x1;_0x24da7b['$watch'](_0x2df9d4(0xeb6),function(_0x14b777,_0x5d7f3a){const _0x4f0966=_0x2df9d4;_0x4b87b5?_0x24e9c6(function(){_0x4b87b5=![];}):(!_0x5d7f3a&&(_0x3bcba4=_0x169cd7[_0x4f0966(0xae2)]['page']),_0x14b777!==_0x5d7f3a&&(_0x169cd7['query'][_0x4f0966(0x1c7b)]=0x1),!_0x14b777&&(_0x169cd7[_0x4f0966(0xae2)][_0x4f0966(0x1c7b)]=_0x3bcba4),_0x169cd7[_0x4f0966(0x2038)]());});function _0x503bc3(_0x53afd9){const _0x19cad0=_0x2df9d4;return _0x39641b()[_0x19cad0(0x1813)](_0x53afd9);}function _0x1ee346(){const _0x29b171=_0x2df9d4;_0x39641b()[_0x29b171(0x1ebd)](_0x169cd7[_0x29b171(0x25a6)],function(_0x462c81,_0x67bf2c){const _0x12024a=_0x29b171;_0x169cd7[_0x12024a(0x1e75)][_0x67bf2c]&&(_0x169cd7['mailQueues'][_0x67bf2c][_0x12024a(0x26b6)]=_0x169cd7[_0x12024a(0x1e75)][_0x67bf2c][_0x12024a(0x26b6)]||0x0);});}function _0x12ae7a(_0x617f53){const _0xec9907=_0x2df9d4;_0x169cd7[_0xec9907(0x25a6)][_0x617f53['id']]&&(_0x169cd7[_0xec9907(0x1e75)][_0x617f53['id']]&&(_0x39641b()[_0xec9907(0x9c1)](_0x169cd7[_0xec9907(0x1e75)][_0x617f53['id']],_0x39641b()[_0xec9907(0x169b)](_0x617f53,_0x5d122a)),_0x39641b()[_0xec9907(0x9c1)](_0x169cd7[_0xec9907(0x25a6)][_0x617f53['id']],_0x39641b()[_0xec9907(0x169b)](_0x617f53,_0x5d122a))));}function _0x384c79(_0x16a83c){const _0x5c0852=_0x2df9d4;!_0x39641b()[_0x5c0852(0x958)](_0x16a83c)&&(_0x169cd7[_0x5c0852(0x1e75)][_0x16a83c['id']]=_0x39641b()[_0x5c0852(0x169b)](_0x16a83c,_0x5d122a));}function _0x41a054(_0x32b39a){const _0x51730d=_0x2df9d4;!_0x39641b()[_0x51730d(0x958)](_0x32b39a)&&(_0x169cd7[_0x51730d(0x1e75)][_0x32b39a['id']]&&_0x39641b()[_0x51730d(0x9c1)](_0x169cd7['rpcMailQueues'][_0x32b39a['id']],_0x39641b()[_0x51730d(0x169b)](_0x32b39a,_0x5d122a)),_0x169cd7[_0x51730d(0x25a6)][_0x32b39a['id']]&&_0x39641b()['merge'](_0x169cd7[_0x51730d(0x25a6)][_0x32b39a['id']],_0x39641b()[_0x51730d(0x169b)](_0x32b39a,_0x5d122a)));}function _0x5c967e(_0x1013b7){const _0x52df37=_0x2df9d4;!_0x39641b()['isNil'](_0x1013b7)&&(delete _0x169cd7[_0x52df37(0x1e75)][_0x1013b7['id']],delete _0x169cd7['mailQueues'][_0x1013b7['id']]);}function _0x5b779f(_0xa5c464){const _0x1c957d=_0x2df9d4;_0x39641b()[_0x1c957d(0x15ed)](_0xa5c464[_0x1c957d(0x21ab)])===_0x52ec53['getCurrentUser']()['id']&&_0x169cd7['getMailQueues']();}function _0x199218(_0x5b65e3){const _0x42f5ee=_0x2df9d4;_0x39641b()[_0x42f5ee(0x15ed)](_0x5b65e3[_0x42f5ee(0x21ab)])===_0x52ec53[_0x42f5ee(0x21e8)]()['id']&&_0x169cd7[_0x42f5ee(0x2038)]();}function _0x578ce6(_0x16dbcb){const _0x42064d=_0x2df9d4;_0x169cd7[_0x42064d(0x25a6)][_0x16dbcb[_0x42064d(0x2303)]]&&(_0x169cd7[_0x42064d(0xa82)][_0x16dbcb['id']]=_0x16dbcb,_0x51c737());}function _0x769f72(_0x391118){const _0x1ae504=_0x2df9d4;delete _0x169cd7['rpcMailQueuesWaitingInteractions'][_0x391118['id']],_0x25a991&&_0x169cd7[_0x1ae504(0xb26)][_0x1ae504(0x2785)](_0x391118['id']),_0x51c737();}function _0x220d86(_0x20cf5c){const _0x2d5e46=_0x2df9d4;switch(_0x20cf5c){case'chat':return _0x2d5e46(0x10a7);case _0x2d5e46(0x2651):return _0x2d5e46(0x24ca);case'sms':return'orange-bg';case _0x2d5e46(0x2476):return'lime-A700-bg';case _0x2d5e46(0x15e0):return _0x2d5e46(0x1b13);case _0x2d5e46(0x1944):return _0x2d5e46(0x20d5);default:return _0x2d5e46(0x927);}}_0x24da7b[_0x2df9d4(0x1d6)](_0x2df9d4(0x291c),function(){const _0x680061=_0x2df9d4;_0x111c8b['removeAllListeners'](_0x680061(0x1baa)),_0x111c8b[_0x680061(0xfb8)](_0x680061(0x297a)),_0x111c8b[_0x680061(0xfb8)](_0x680061(0x212a)),_0x111c8b['removeAllListeners'](_0x680061(0xb81)),_0x111c8b[_0x680061(0xfb8)](_0x680061(0x153e)),_0x111c8b[_0x680061(0xfb8)]('userMailQueue:remove'),_0x111c8b[_0x680061(0xfb8)](_0x680061(0x243c)),_0x111c8b[_0x680061(0xfb8)](_0x680061(0x2063));});}const _0x48d5ee=_0x58e034;;_0x569f36[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x2168),'$mdDialog',_0x5537c6(0x22bf),_0x5537c6(0x1f10),_0x5537c6(0x1ae),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1986)];function _0x569f36(_0x113ccb,_0x3a03f1,_0x3b0630,_0x2c5329,_0x69f5b6,_0x40d78d,_0x369952,_0x94b0f5,_0x552a1e){const _0x17f0a4=_0x5537c6,_0x12bbd7=this,_0x814b62=['id',_0x17f0a4(0x16b6),_0x17f0a4(0x473),_0x17f0a4(0x26b6)];let _0x494085=!![];_0x12bbd7['openchannelQueues']={},_0x12bbd7['openchannelQueuesTotal']=0x0,_0x12bbd7[_0x17f0a4(0x24ee)]={},_0x12bbd7[_0x17f0a4(0x110b)]=[],_0x12bbd7[_0x17f0a4(0x2b8)]=[],_0x12bbd7['rpcOpenchannelQueuesWaitingInteractions']={},_0x12bbd7[_0x17f0a4(0xae2)]={'id':_0x94b0f5[_0x17f0a4(0x21e8)]()['id'],'channel':_0x17f0a4(0x15e0),'fields':_0x17f0a4(0x23f1),'limit':0xa,'page':0x1},_0x12bbd7['queryChannels']={'limit':0xa,'page':0x1},_0x12bbd7[_0x17f0a4(0x829)]=_0x4c0fc0,_0x12bbd7[_0x17f0a4(0x2239)]=_0x3e15da,_0x12bbd7[_0x17f0a4(0x265)]=_0x4bce3f,_0x12bbd7[_0x17f0a4(0x879)]=_0x49e6a3,_0x12bbd7[_0x17f0a4(0x27ed)]=_0x60e206,_0x12bbd7[_0x17f0a4(0x24f5)]=_0x5b6834,_0x12bbd7['onSaveOpenchannelQueue']=_0x1f2216,_0x12bbd7[_0x17f0a4(0x12b9)]=_0xe1756,_0x12bbd7['onRemoveOpenchannelQueue']=_0x2707ff,_0x12bbd7[_0x17f0a4(0x15cb)]=_0xa4e7b,_0x12bbd7[_0x17f0a4(0xa55)]=_0x264357,_0x12bbd7[_0x17f0a4(0xc8c)]=_0x50f9ab,_0x12bbd7[_0x17f0a4(0x452)]=_0x12e157,_0x552a1e[_0x17f0a4(0x16b7)](_0x17f0a4(0xc78),_0x12bbd7['onSaveQueue']),_0x552a1e[_0x17f0a4(0x16b7)](_0x17f0a4(0xdcc),_0x12bbd7[_0x17f0a4(0x16ac)]),_0x552a1e['onWithoutApply'](_0x17f0a4(0x105d),_0x12bbd7[_0x17f0a4(0x12b9)]),_0x552a1e['onWithoutApply'](_0x17f0a4(0x1cab),_0x12bbd7[_0x17f0a4(0x1b05)]),_0x552a1e[_0x17f0a4(0x16b7)](_0x17f0a4(0xe20),_0x12bbd7[_0x17f0a4(0x15cb)]),_0x552a1e[_0x17f0a4(0x16b7)](_0x17f0a4(0x1b0a),_0x12bbd7[_0x17f0a4(0xa55)]),_0x552a1e[_0x17f0a4(0x16b7)]('openchannel_queue_waiting_interaction:save',_0x12bbd7[_0x17f0a4(0xc8c)]),_0x552a1e[_0x17f0a4(0x16b7)](_0x17f0a4(0x942),_0x12bbd7['onRemoveOpenchannelQueueChannel']),_0x369952[_0x17f0a4(0x1a00)][_0x17f0a4(0x2239)]()[_0x17f0a4(0x1d77)][_0x17f0a4(0x1cb0)](function(_0x5c250a){const _0x1955a2=_0x17f0a4;_0x12bbd7['rpcOpenchannelQueues']=_0x5c250a?_0x39641b()['keyBy'](_0x5c250a['rows']?_0x5c250a[_0x1955a2(0x2214)]:[],'id'):{};})['then'](function(){const _0x1d4796=_0x17f0a4;return _0x369952[_0x1d4796(0xebe)][_0x1d4796(0x13e9)]({'id':_0x94b0f5[_0x1d4796(0x21e8)]()['id'],'channel':_0x1d4796(0x15e0)})[_0x1d4796(0x1d77)];})[_0x17f0a4(0x1cb0)](function(_0x25fd8e){const _0x59aa93=_0x17f0a4;return _0x12bbd7[_0x59aa93(0x255a)]=_0x25fd8e[_0x59aa93(0x2214)],_0x369952[_0x59aa93(0x1a00)][_0x59aa93(0x258d)]()[_0x59aa93(0x1d77)];})[_0x17f0a4(0x1cb0)](function(_0x1ed588){const _0x13167e=_0x17f0a4,_0x426a85=_0x39641b()[_0x13167e(0x1c99)](_0x1ed588['rows'],function(_0x35ff71){const _0x15391e=_0x13167e;return _0x39641b()[_0x15391e(0x250a)](_0x12bbd7[_0x15391e(0x255a)],{'id':_0x35ff71[_0x15391e(0x2303)]});});_0x1ed588['rows']=_0x426a85,_0x1ed588[_0x13167e(0x184d)]=_0x426a85[_0x13167e(0xfd0)],_0x39641b()['merge'](_0x12bbd7[_0x13167e(0x25dc)],_0x1ed588?_0x39641b()[_0x13167e(0x2631)](_0x1ed588[_0x13167e(0x2214)]?_0x1ed588[_0x13167e(0x2214)]:[],'id'):{});})[_0x17f0a4(0x1c4)](function(_0x277415){const _0x406b9a=_0x17f0a4;console[_0x406b9a(0x218e)](_0x277415);})[_0x17f0a4(0x2e0)](function(){const _0x341eb7=_0x17f0a4;for(let _0x3ee044=0x0;_0x3ee044<_0x12bbd7[_0x341eb7(0x2b8)][_0x341eb7(0xfd0)];_0x3ee044+=0x1){delete _0x12bbd7['rpcOpenchannelQueuesWaitingInteractions'][_0x12bbd7[_0x341eb7(0x2b8)][_0x3ee044]];}_0x494085=![],_0x12bbd7[_0x341eb7(0x2b8)]=[],_0x3e15da(),_0x60e206();});function _0x60e206(){const _0xa86825=_0x17f0a4,_0x266196=(_0x12bbd7[_0xa86825(0x2231)][_0xa86825(0x1c7b)]-0x1)*_0x12bbd7[_0xa86825(0x2231)]['limit'];_0x12bbd7[_0xa86825(0x110b)]=_0x39641b()[_0xa86825(0x17ca)](_0x12bbd7['rpcOpenchannelQueuesWaitingInteractions'],[_0xa86825(0x2943)],[_0xa86825(0x20a5)]),_0x12bbd7[_0xa86825(0xf76)]=_0x39641b()[_0xa86825(0x276f)](_0x12bbd7[_0xa86825(0x110b)],_0x266196)['slice'](0x0,_0x12bbd7[_0xa86825(0x2231)]['limit']);}function _0x4c0fc0(_0x2c639f){const _0x42ddf7=_0x17f0a4;_0x12bbd7[_0x42ddf7(0x1b6c)]=_0x2c639f['count']?_0x2c639f[_0x42ddf7(0x184d)]:0x0,_0x12bbd7[_0x42ddf7(0x1c95)]=_0x2c639f?_0x39641b()[_0x42ddf7(0x2631)](_0x2c639f[_0x42ddf7(0x2214)]?_0x2c639f[_0x42ddf7(0x2214)]:[],'id'):{},_0x3164c0();}function _0x3e15da(){const _0x1a017e=_0x17f0a4;_0x12bbd7[_0x1a017e(0xae2)][_0x1a017e(0x184b)]=(_0x12bbd7[_0x1a017e(0xae2)][_0x1a017e(0x1c7b)]-0x1)*_0x12bbd7[_0x1a017e(0xae2)]['limit'],_0x12bbd7[_0x1a017e(0x2061)]=_0x369952['user'][_0x1a017e(0x13e9)](_0x12bbd7[_0x1a017e(0xae2)],_0x4c0fc0)[_0x1a017e(0x1d77)];}let _0x4b4aef=!![],_0x10cdab=0x1;_0x113ccb[_0x17f0a4(0x614)](_0x17f0a4(0xeb6),function(_0x211671,_0xe25621){const _0x460ba1=_0x17f0a4;_0x4b4aef?_0x3a03f1(function(){_0x4b4aef=![];}):(!_0xe25621&&(_0x10cdab=_0x12bbd7[_0x460ba1(0xae2)]['page']),_0x211671!==_0xe25621&&(_0x12bbd7['query'][_0x460ba1(0x1c7b)]=0x1),!_0x211671&&(_0x12bbd7[_0x460ba1(0xae2)][_0x460ba1(0x1c7b)]=_0x10cdab),_0x12bbd7[_0x460ba1(0x2239)]());});function _0x4bce3f(_0x39a543){const _0x1176d4=_0x17f0a4;return _0x39641b()[_0x1176d4(0x1813)](_0x39a543);}function _0x3164c0(){const _0x24719c=_0x17f0a4;_0x39641b()[_0x24719c(0x1ebd)](_0x12bbd7[_0x24719c(0x1c95)],function(_0x4ef5ec,_0x4adcb1){const _0x3b9ac5=_0x24719c;_0x12bbd7['rpcOpenchannelQueues'][_0x4adcb1]&&(_0x12bbd7[_0x3b9ac5(0x1c95)][_0x4adcb1][_0x3b9ac5(0x26b6)]=_0x12bbd7[_0x3b9ac5(0x24ee)][_0x4adcb1][_0x3b9ac5(0x26b6)]||0x0);});}function _0x5b6834(_0xbfd3e4){const _0x3040de=_0x17f0a4;_0x12bbd7[_0x3040de(0x1c95)][_0xbfd3e4['id']]&&(_0x12bbd7['rpcOpenchannelQueues'][_0xbfd3e4['id']]&&(_0x39641b()[_0x3040de(0x9c1)](_0x12bbd7[_0x3040de(0x24ee)][_0xbfd3e4['id']],_0x39641b()[_0x3040de(0x169b)](_0xbfd3e4,_0x814b62)),_0x39641b()[_0x3040de(0x9c1)](_0x12bbd7[_0x3040de(0x1c95)][_0xbfd3e4['id']],_0x39641b()[_0x3040de(0x169b)](_0xbfd3e4,_0x814b62))));}function _0x1f2216(_0x1f2940){const _0x587885=_0x17f0a4;!_0x39641b()['isNil'](_0x1f2940)&&(_0x12bbd7[_0x587885(0x24ee)][_0x1f2940['id']]=_0x39641b()[_0x587885(0x169b)](_0x1f2940,_0x814b62));}function _0xe1756(_0x2b49ca){const _0x17a50d=_0x17f0a4;!_0x39641b()[_0x17a50d(0x958)](_0x2b49ca)&&(_0x12bbd7['rpcOpenchannelQueues'][_0x2b49ca['id']]&&_0x39641b()[_0x17a50d(0x9c1)](_0x12bbd7[_0x17a50d(0x24ee)][_0x2b49ca['id']],_0x39641b()['pick'](_0x2b49ca,_0x814b62)),_0x12bbd7[_0x17a50d(0x1c95)][_0x2b49ca['id']]&&_0x39641b()[_0x17a50d(0x9c1)](_0x12bbd7['openchannelQueues'][_0x2b49ca['id']],_0x39641b()[_0x17a50d(0x169b)](_0x2b49ca,_0x814b62)));}function _0x2707ff(_0x4429a1){const _0x58df10=_0x17f0a4;!_0x39641b()[_0x58df10(0x958)](_0x4429a1)&&(delete _0x12bbd7['rpcOpenchannelQueues'][_0x4429a1['id']],delete _0x12bbd7[_0x58df10(0x1c95)][_0x4429a1['id']]);}function _0xa4e7b(_0x5e0ab1){const _0x3dd78d=_0x17f0a4;_0x39641b()[_0x3dd78d(0x15ed)](_0x5e0ab1[_0x3dd78d(0x21ab)])===_0x94b0f5[_0x3dd78d(0x21e8)]()['id']&&_0x12bbd7[_0x3dd78d(0x2239)]();}function _0x264357(_0x3b110f){const _0xa426ba=_0x17f0a4;_0x39641b()['toInteger'](_0x3b110f[_0xa426ba(0x21ab)])===_0x94b0f5['getCurrentUser']()['id']&&_0x12bbd7[_0xa426ba(0x2239)]();}function _0x50f9ab(_0x428d73){const _0x38657b=_0x17f0a4;_0x12bbd7[_0x38657b(0x1c95)][_0x428d73[_0x38657b(0x2303)]]&&(_0x12bbd7[_0x38657b(0x25dc)][_0x428d73['id']]=_0x428d73,_0x60e206());}function _0x12e157(_0x3f8741){const _0x3f31d5=_0x17f0a4;delete _0x12bbd7['rpcOpenchannelQueuesWaitingInteractions'][_0x3f8741['id']],_0x494085&&_0x12bbd7[_0x3f31d5(0x2b8)][_0x3f31d5(0x2785)](_0x3f8741['id']),_0x60e206();}function _0x49e6a3(_0x3b4c71){const _0x4368b6=_0x17f0a4;switch(_0x3b4c71){case'chat':return _0x4368b6(0x10a7);case _0x4368b6(0x2651):return _0x4368b6(0x24ca);case _0x4368b6(0x689):return _0x4368b6(0x50e);case'whatsapp':return _0x4368b6(0xf3a);case'openchannel':return _0x4368b6(0x1b13);case _0x4368b6(0x1944):return _0x4368b6(0x20d5);default:return _0x4368b6(0x927);}}_0x113ccb[_0x17f0a4(0x1d6)](_0x17f0a4(0x291c),function(){const _0x128011=_0x17f0a4;_0x552a1e[_0x128011(0xfb8)](_0x128011(0xc78)),_0x552a1e[_0x128011(0xfb8)](_0x128011(0xdcc)),_0x552a1e[_0x128011(0xfb8)](_0x128011(0x105d)),_0x552a1e[_0x128011(0xfb8)](_0x128011(0x1cab)),_0x552a1e[_0x128011(0xfb8)](_0x128011(0xe20)),_0x552a1e[_0x128011(0xfb8)](_0x128011(0x1b0a)),_0x552a1e['removeAllListeners'](_0x128011(0x1326)),_0x552a1e[_0x128011(0xfb8)]('openchannel_queue_waiting_interaction:remove');});}const _0x234344=_0x569f36;;_0x3ab1eb[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x2168),'$mdDialog',_0x5537c6(0x22bf),_0x5537c6(0x1f10),_0x5537c6(0x1ae),'api',_0x5537c6(0x1774),_0x5537c6(0x1986)];function _0x3ab1eb(_0x439c85,_0x534fc2,_0x273cdf,_0x343a5c,_0x3c559b,_0x469255,_0xcbc055,_0x3439ee,_0x265443){const _0x148c7b=_0x5537c6,_0x169017=this,_0x4c69c3=['id',_0x148c7b(0x16b6),_0x148c7b(0x473),_0x148c7b(0x26b6)];let _0x469d99=!![];_0x169017[_0x148c7b(0x1863)]={},_0x169017['smsQueuesTotal']=0x0,_0x169017[_0x148c7b(0x28a5)]={},_0x169017[_0x148c7b(0x110b)]=[],_0x169017[_0x148c7b(0xa03)]=[],_0x169017[_0x148c7b(0x1999)]={},_0x169017['query']={'id':_0x3439ee[_0x148c7b(0x21e8)]()['id'],'channel':_0x148c7b(0x689),'fields':_0x148c7b(0x23f1),'limit':0xa,'page':0x1},_0x169017[_0x148c7b(0x2231)]={'limit':0xa,'page':0x1},_0x169017[_0x148c7b(0x829)]=_0x594ff3,_0x169017[_0x148c7b(0x6b3)]=_0x11ee12,_0x169017[_0x148c7b(0x265)]=_0x1e7c60,_0x169017[_0x148c7b(0x879)]=_0x48bc3e,_0x169017[_0x148c7b(0x27ed)]=_0x55312c,_0x169017[_0x148c7b(0x24f5)]=_0x3fbb3b,_0x169017[_0x148c7b(0x197)]=_0x371c17,_0x169017[_0x148c7b(0x1cf5)]=_0x4ab58f,_0x169017['onRemoveSmsQueue']=_0x150a20,_0x169017[_0x148c7b(0x324)]=_0x35fa78,_0x169017[_0x148c7b(0x115d)]=_0x593e6e,_0x169017[_0x148c7b(0x944)]=_0x426280,_0x169017[_0x148c7b(0x14c3)]=_0x46098d,_0x265443[_0x148c7b(0x16b7)](_0x148c7b(0xc51),_0x169017['onSaveQueue']),_0x265443[_0x148c7b(0x16b7)](_0x148c7b(0x2109),_0x169017[_0x148c7b(0x197)]),_0x265443[_0x148c7b(0x16b7)](_0x148c7b(0x17cc),_0x169017[_0x148c7b(0x1cf5)]),_0x265443[_0x148c7b(0x16b7)](_0x148c7b(0x1c6),_0x169017[_0x148c7b(0x18eb)]),_0x265443[_0x148c7b(0x16b7)](_0x148c7b(0x10e0),_0x169017[_0x148c7b(0x324)]),_0x265443[_0x148c7b(0x16b7)](_0x148c7b(0x15c4),_0x169017[_0x148c7b(0x115d)]),_0x265443[_0x148c7b(0x16b7)](_0x148c7b(0x1063),_0x169017[_0x148c7b(0x944)]),_0x265443['onWithoutApply'](_0x148c7b(0x1797),_0x169017[_0x148c7b(0x14c3)]),_0xcbc055[_0x148c7b(0x1a00)][_0x148c7b(0x6b3)]()[_0x148c7b(0x1d77)][_0x148c7b(0x1cb0)](function(_0x3f31b1){const _0x4f37bc=_0x148c7b;_0x169017[_0x4f37bc(0x28a5)]=_0x3f31b1?_0x39641b()[_0x4f37bc(0x2631)](_0x3f31b1['rows']?_0x3f31b1[_0x4f37bc(0x2214)]:[],'id'):{};})['then'](function(){const _0x5d8a81=_0x148c7b;return _0xcbc055[_0x5d8a81(0xebe)]['getQueues']({'id':_0x3439ee['getCurrentUser']()['id'],'channel':_0x5d8a81(0x689)})[_0x5d8a81(0x1d77)];})[_0x148c7b(0x1cb0)](function(_0x2def99){const _0x28b336=_0x148c7b;return _0x169017[_0x28b336(0x255a)]=_0x2def99[_0x28b336(0x2214)],_0xcbc055[_0x28b336(0x1a00)][_0x28b336(0x233b)]()['$promise'];})[_0x148c7b(0x1cb0)](function(_0x25eeb1){const _0x17034d=_0x148c7b,_0xdefc0e=_0x39641b()[_0x17034d(0x1c99)](_0x25eeb1['rows'],function(_0x4c9bf2){const _0x29f7c1=_0x17034d;return _0x39641b()[_0x29f7c1(0x250a)](_0x169017['myQueues'],{'id':_0x4c9bf2['queue_id']});});_0x25eeb1[_0x17034d(0x2214)]=_0xdefc0e,_0x25eeb1[_0x17034d(0x184d)]=_0xdefc0e[_0x17034d(0xfd0)],_0x39641b()[_0x17034d(0x9c1)](_0x169017[_0x17034d(0x1999)],_0x25eeb1?_0x39641b()['keyBy'](_0x25eeb1[_0x17034d(0x2214)]?_0x25eeb1[_0x17034d(0x2214)]:[],'id'):{});})[_0x148c7b(0x1c4)](function(_0x5c83f7){const _0x34f874=_0x148c7b;console[_0x34f874(0x218e)](_0x5c83f7);})[_0x148c7b(0x2e0)](function(){const _0x55f4df=_0x148c7b;for(let _0x1ecf63=0x0;_0x1ecf63<_0x169017['deleteSmsQueuesWaitingInteractions'][_0x55f4df(0xfd0)];_0x1ecf63+=0x1){delete _0x169017['rpcSmsQueuesWaitingInteractions'][_0x169017[_0x55f4df(0xa03)][_0x1ecf63]];}_0x469d99=![],_0x169017[_0x55f4df(0xa03)]=[],_0x11ee12(),_0x55312c();});function _0x55312c(){const _0xaa4db9=_0x148c7b,_0x396f9f=(_0x169017[_0xaa4db9(0x2231)][_0xaa4db9(0x1c7b)]-0x1)*_0x169017[_0xaa4db9(0x2231)][_0xaa4db9(0x236)];_0x169017[_0xaa4db9(0x110b)]=_0x39641b()['orderBy'](_0x169017[_0xaa4db9(0x1999)],[_0xaa4db9(0x2943)],[_0xaa4db9(0x20a5)]),_0x169017[_0xaa4db9(0xf76)]=_0x39641b()[_0xaa4db9(0x276f)](_0x169017[_0xaa4db9(0x110b)],_0x396f9f)[_0xaa4db9(0x14cb)](0x0,_0x169017[_0xaa4db9(0x2231)][_0xaa4db9(0x236)]);}function _0x594ff3(_0x5ad272){const _0x5c11ce=_0x148c7b;_0x169017[_0x5c11ce(0x832)]=_0x5ad272[_0x5c11ce(0x184d)]?_0x5ad272[_0x5c11ce(0x184d)]:0x0,_0x169017[_0x5c11ce(0x1863)]=_0x5ad272?_0x39641b()['keyBy'](_0x5ad272[_0x5c11ce(0x2214)]?_0x5ad272['rows']:[],'id'):{},_0x390894();}function _0x11ee12(){const _0x77a5c5=_0x148c7b;_0x169017['query'][_0x77a5c5(0x184b)]=(_0x169017['query'][_0x77a5c5(0x1c7b)]-0x1)*_0x169017['query'][_0x77a5c5(0x236)],_0x169017[_0x77a5c5(0x2061)]=_0xcbc055[_0x77a5c5(0xebe)][_0x77a5c5(0x13e9)](_0x169017['query'],_0x594ff3)['$promise'];}let _0x3dc04d=!![],_0x34c0ab=0x1;_0x439c85[_0x148c7b(0x614)](_0x148c7b(0xeb6),function(_0x492d94,_0x131c65){const _0x1ad16d=_0x148c7b;_0x3dc04d?_0x534fc2(function(){_0x3dc04d=![];}):(!_0x131c65&&(_0x34c0ab=_0x169017[_0x1ad16d(0xae2)][_0x1ad16d(0x1c7b)]),_0x492d94!==_0x131c65&&(_0x169017[_0x1ad16d(0xae2)][_0x1ad16d(0x1c7b)]=0x1),!_0x492d94&&(_0x169017['query'][_0x1ad16d(0x1c7b)]=_0x34c0ab),_0x169017[_0x1ad16d(0x6b3)]());});function _0x1e7c60(_0xc944e2){const _0x4855a2=_0x148c7b;return _0x39641b()[_0x4855a2(0x1813)](_0xc944e2);}function _0x390894(){const _0x1091ed=_0x148c7b;_0x39641b()[_0x1091ed(0x1ebd)](_0x169017['smsQueues'],function(_0x56cbc6,_0x23d91e){const _0xa133e4=_0x1091ed;_0x169017[_0xa133e4(0x28a5)][_0x23d91e]&&(_0x169017[_0xa133e4(0x1863)][_0x23d91e][_0xa133e4(0x26b6)]=_0x169017['rpcSmsQueues'][_0x23d91e]['waiting']||0x0);});}function _0x3fbb3b(_0x4566da){const _0x872a00=_0x148c7b;_0x169017[_0x872a00(0x1863)][_0x4566da['id']]&&(_0x169017['rpcSmsQueues'][_0x4566da['id']]&&(_0x39641b()[_0x872a00(0x9c1)](_0x169017[_0x872a00(0x28a5)][_0x4566da['id']],_0x39641b()['pick'](_0x4566da,_0x4c69c3)),_0x39641b()[_0x872a00(0x9c1)](_0x169017[_0x872a00(0x1863)][_0x4566da['id']],_0x39641b()[_0x872a00(0x169b)](_0x4566da,_0x4c69c3))));}function _0x371c17(_0x36d77a){const _0x3fb79f=_0x148c7b;!_0x39641b()['isNil'](_0x36d77a)&&(_0x169017[_0x3fb79f(0x28a5)][_0x36d77a['id']]=_0x39641b()[_0x3fb79f(0x169b)](_0x36d77a,_0x4c69c3));}function _0x4ab58f(_0x8849eb){const _0x51c748=_0x148c7b;!_0x39641b()[_0x51c748(0x958)](_0x8849eb)&&(_0x169017[_0x51c748(0x28a5)][_0x8849eb['id']]&&_0x39641b()['merge'](_0x169017[_0x51c748(0x28a5)][_0x8849eb['id']],_0x39641b()[_0x51c748(0x169b)](_0x8849eb,_0x4c69c3)),_0x169017[_0x51c748(0x1863)][_0x8849eb['id']]&&_0x39641b()[_0x51c748(0x9c1)](_0x169017['smsQueues'][_0x8849eb['id']],_0x39641b()[_0x51c748(0x169b)](_0x8849eb,_0x4c69c3)));}function _0x150a20(_0x2eea72){const _0x12ce40=_0x148c7b;!_0x39641b()[_0x12ce40(0x958)](_0x2eea72)&&(delete _0x169017[_0x12ce40(0x28a5)][_0x2eea72['id']],delete _0x169017[_0x12ce40(0x1863)][_0x2eea72['id']]);}function _0x35fa78(_0x14fc1a){const _0x46c929=_0x148c7b;_0x39641b()[_0x46c929(0x15ed)](_0x14fc1a[_0x46c929(0x21ab)])===_0x3439ee[_0x46c929(0x21e8)]()['id']&&_0x169017['getSmsQueues']();}function _0x593e6e(_0x49da8e){const _0x423aa0=_0x148c7b;_0x39641b()[_0x423aa0(0x15ed)](_0x49da8e[_0x423aa0(0x21ab)])===_0x3439ee[_0x423aa0(0x21e8)]()['id']&&_0x169017[_0x423aa0(0x6b3)]();}function _0x426280(_0x59bde3){const _0x292704=_0x148c7b;_0x169017[_0x292704(0x1863)][_0x59bde3[_0x292704(0x2303)]]&&(_0x169017[_0x292704(0x1999)][_0x59bde3['id']]=_0x59bde3,_0x55312c());}function _0x46098d(_0x109978){const _0xe865ea=_0x148c7b;delete _0x169017[_0xe865ea(0x1999)][_0x109978['id']],_0x469d99&&_0x169017[_0xe865ea(0xa03)]['push'](_0x109978['id']),_0x55312c();}function _0x48bc3e(_0x5f37d6){const _0x2cf6d7=_0x148c7b;switch(_0x5f37d6){case'chat':return _0x2cf6d7(0x10a7);case'mail':return _0x2cf6d7(0x24ca);case _0x2cf6d7(0x689):return _0x2cf6d7(0x50e);case'whatsapp':return _0x2cf6d7(0xf3a);case _0x2cf6d7(0x15e0):return _0x2cf6d7(0x1b13);case'fax':return _0x2cf6d7(0x20d5);default:return _0x2cf6d7(0x927);}}_0x439c85[_0x148c7b(0x1d6)](_0x148c7b(0x291c),function(){const _0x5c2bbf=_0x148c7b;_0x265443[_0x5c2bbf(0xfb8)](_0x5c2bbf(0xc51)),_0x265443[_0x5c2bbf(0xfb8)](_0x5c2bbf(0x2109)),_0x265443[_0x5c2bbf(0xfb8)]('smsQueue:update'),_0x265443[_0x5c2bbf(0xfb8)](_0x5c2bbf(0x1c6)),_0x265443[_0x5c2bbf(0xfb8)](_0x5c2bbf(0x10e0)),_0x265443[_0x5c2bbf(0xfb8)]('userSmsQueue:remove'),_0x265443[_0x5c2bbf(0xfb8)](_0x5c2bbf(0x1063)),_0x265443[_0x5c2bbf(0xfb8)](_0x5c2bbf(0x1797));});}const _0x3c2e99=_0x3ab1eb;;_0x3f4b0f['$inject']=[_0x5537c6(0x1463),'$timeout','$mdDialog',_0x5537c6(0x22bf),_0x5537c6(0x1f10),_0x5537c6(0x1ae),_0x5537c6(0x142b),'Auth','socket'];function _0x3f4b0f(_0x553130,_0x1c9c80,_0x43291c,_0x38304b,_0x55e194,_0x523e2b,_0x4c607c,_0x215fa9,_0x1faddd){const _0x585c86=_0x5537c6,_0x35eb92=this,_0x1d2894=[_0x585c86(0x66a),_0x585c86(0x26b6),_0x585c86(0xda8),_0x585c86(0x737)];_0x35eb92[_0x585c86(0x278a)]={},_0x35eb92[_0x585c86(0x2a4)]=0x0,_0x35eb92['rpcVoiceQueues']={},_0x35eb92[_0x585c86(0x172b)]={},_0x35eb92[_0x585c86(0x4b1)]={},_0x35eb92[_0x585c86(0x214d)]={},_0x35eb92[_0x585c86(0x18a0)]=[],_0x35eb92[_0x585c86(0x997)]=!![],_0x35eb92[_0x585c86(0xae2)]={'id':_0x215fa9[_0x585c86(0x21e8)]()['id'],'fields':_0x585c86(0x776),'channel':_0x585c86(0x1fd4),'type':_0x585c86(0x26c0),'limit':0xa,'page':0x1},_0x35eb92[_0x585c86(0x2231)]={'limit':0xa,'page':0x1},_0x35eb92[_0x585c86(0x829)]=_0x199a05,_0x35eb92[_0x585c86(0x172b)]=_0x8d2a4b,_0x35eb92[_0x585c86(0x265)]=_0xc3db4b,_0x35eb92[_0x585c86(0x24f5)]=_0x5ccc00,_0x35eb92[_0x585c86(0x1f51)]=_0x3eb818,_0x35eb92['onUserVoiceQueue']=_0x1ff3e6,_0x35eb92[_0x585c86(0x283a)]=_0x68d257,_0x35eb92[_0x585c86(0x1de5)]=_0x2e5cb5,_0x35eb92[_0x585c86(0xe75)]=_0x1f4f2b,_0x1faddd[_0x585c86(0x16b7)](_0x585c86(0x82a),_0x35eb92[_0x585c86(0x24f5)]),_0x1faddd[_0x585c86(0x16b7)](_0x585c86(0x338),_0x35eb92[_0x585c86(0x1f51)]),_0x1faddd[_0x585c86(0x16b7)]('userVoiceQueue:save',_0x35eb92[_0x585c86(0x20b7)]),_0x1faddd['onWithoutApply'](_0x585c86(0x201e),_0x35eb92['onUserVoiceQueue']),_0x1faddd[_0x585c86(0x16b7)](_0x585c86(0x1fe3),_0x35eb92[_0x585c86(0x283a)]),_0x1faddd['onWithoutApply'](_0x585c86(0xb50),_0x35eb92[_0x585c86(0xe75)]),_0x1faddd[_0x585c86(0x16b7)](_0x585c86(0x18e8),_0x35eb92[_0x585c86(0x1de5)]),_0x4c607c[_0x585c86(0xebe)][_0x585c86(0x13e9)](_0x35eb92['query'])[_0x585c86(0x1d77)][_0x585c86(0x1cb0)](function(_0x15abdb){const _0x455341=_0x585c86;return _0x35eb92['myQueues']=_0x15abdb,_0x4c607c[_0x455341(0x1a00)][_0x455341(0x172b)]()[_0x455341(0x1d77)];})['then'](function(_0x2a50c0){const _0x576e31=_0x585c86,_0x5605c1=_0x2a50c0[_0x576e31(0x2214)],_0x169407=_0x39641b()[_0x576e31(0x2631)](_0x5605c1,'id');for(let _0x10e701=0x0;_0x10e701<_0x35eb92[_0x576e31(0x255a)]['count'];_0x10e701++){const _0x992572=_0x35eb92[_0x576e31(0x255a)][_0x576e31(0x2214)][_0x10e701];_0x35eb92[_0x576e31(0x1abc)][_0x992572['id']]=_0x169407[_0x992572['id']];}return _0x4c607c[_0x576e31(0x1a00)][_0x576e31(0x19f2)]()[_0x576e31(0x1d77)];})['then'](function(_0x16baed){const _0x46f662=_0x585c86;_0x35eb92[_0x46f662(0x4b1)]=_0x16baed?_0x39641b()[_0x46f662(0x2631)](_0x16baed[_0x46f662(0x2214)]?_0x39641b()['filter'](_0x16baed['rows'],function(_0x33e921){const _0x3d8dee=_0x46f662;if(_0x33e921[_0x3d8dee(0x66a)]===_0x3d8dee(0x26c0)&&!_0x33e921[_0x3d8dee(0xe56)]&&_0x39641b()[_0x3d8dee(0x13b4)](_0x35eb92[_0x3d8dee(0x255a)][_0x3d8dee(0x2214)],{'name':_0x33e921['queue']}))return!![];return![];}):[],_0x46f662(0x1425)):{};})['catch'](function(_0x36e333){const _0x4bade2=_0x585c86;console[_0x4bade2(0x218e)](_0x36e333);})['finally'](function(){const _0x4de9ca=_0x585c86;_0x39641b()[_0x4de9ca(0x9c1)](_0x35eb92[_0x4de9ca(0x4b1)],_0x35eb92[_0x4de9ca(0x214d)]);for(let _0x243759=0x0;_0x243759<_0x35eb92['deleteVoiceQueuesChannels'][_0x4de9ca(0xfd0)];_0x243759+=0x1){delete _0x35eb92[_0x4de9ca(0x4b1)][_0x35eb92[_0x4de9ca(0x18a0)][_0x243759]];}_0x35eb92[_0x4de9ca(0x997)]=![],_0x35eb92[_0x4de9ca(0x214d)]={},_0x35eb92[_0x4de9ca(0x18a0)]=[],_0x35eb92[_0x4de9ca(0x172b)]();});function _0x199a05(_0x23bfde){const _0x593eb0=_0x585c86;_0x35eb92[_0x593eb0(0x2a4)]=_0x23bfde[_0x593eb0(0x184d)]?_0x23bfde[_0x593eb0(0x184d)]:0x0,_0x35eb92['voiceQueues']=_0x23bfde?_0x39641b()[_0x593eb0(0x2631)](_0x23bfde[_0x593eb0(0x2214)]?_0x23bfde[_0x593eb0(0x2214)]:[],'id'):{},_0x21482b();}function _0x8d2a4b(){const _0x22205f=_0x585c86;_0x35eb92[_0x22205f(0xae2)][_0x22205f(0x184b)]=(_0x35eb92[_0x22205f(0xae2)][_0x22205f(0x1c7b)]-0x1)*_0x35eb92[_0x22205f(0xae2)]['limit'],_0x35eb92[_0x22205f(0x2061)]=_0x4c607c['user'][_0x22205f(0x13e9)](_0x35eb92['query'],_0x199a05)[_0x22205f(0x1d77)];}let _0x2d5991=!![],_0xa894d=0x1;_0x553130[_0x585c86(0x614)]('vm_dc.query.filter',function(_0x5ae87a,_0x44af90){const _0x400e17=_0x585c86;_0x2d5991?_0x1c9c80(function(){_0x2d5991=![];}):(!_0x44af90&&(_0xa894d=_0x35eb92[_0x400e17(0xae2)][_0x400e17(0x1c7b)]),_0x5ae87a!==_0x44af90&&(_0x35eb92['query'][_0x400e17(0x1c7b)]=0x1),!_0x5ae87a&&(_0x35eb92['query']['page']=_0xa894d),_0x35eb92[_0x400e17(0x172b)]());});function _0xc3db4b(_0x5b5585){const _0x153d9c=_0x585c86;return _0x39641b()[_0x153d9c(0x1813)](_0x5b5585);}function _0x21482b(){const _0x34caeb=_0x585c86;_0x39641b()[_0x34caeb(0x1ebd)](_0x35eb92[_0x34caeb(0x278a)],function(_0x3bab74,_0x553fb1){const _0xad3dcd=_0x34caeb;_0x35eb92[_0xad3dcd(0x1abc)][_0x553fb1]&&(_0x35eb92[_0xad3dcd(0x278a)][_0x553fb1][_0xad3dcd(0x26b6)]=_0x35eb92[_0xad3dcd(0x1abc)][_0x553fb1][_0xad3dcd(0x26b6)]||0x0);});}function _0x5ccc00(_0x30d4a1){const _0x16fe4a=_0x585c86;_0x35eb92[_0x16fe4a(0x278a)][_0x30d4a1['id']]&&(_0x35eb92[_0x16fe4a(0x1abc)][_0x30d4a1['id']]&&(_0x35eb92[_0x16fe4a(0x1abc)][_0x30d4a1['id']]=_0x30d4a1,_0x39641b()['merge'](_0x35eb92['voiceQueues'][_0x30d4a1['id']],_0x39641b()[_0x16fe4a(0x169b)](_0x30d4a1,_0x1d2894))));}function _0x3eb818(_0x34146d){const _0x1f928d=_0x585c86;_0x34146d[_0x1f928d(0x66a)]===_0x1f928d(0x26c0)&&(_0x34146d[_0x1f928d(0xe56)]?(delete _0x35eb92[_0x1f928d(0x4b1)][_0x34146d['uniqueid']],_0x35eb92[_0x1f928d(0x997)]&&_0x35eb92[_0x1f928d(0x18a0)]['push'](_0x34146d['uniqueid'])):(_0x35eb92[_0x1f928d(0x4b1)][_0x34146d[_0x1f928d(0x1425)]]=_0x34146d,_0x35eb92[_0x1f928d(0x997)]&&(_0x35eb92[_0x1f928d(0x214d)][_0x34146d[_0x1f928d(0x1425)]]=_0x34146d)));}function _0x68d257(_0x264ca1){const _0x324bf4=_0x585c86;!_0x39641b()[_0x324bf4(0x958)](_0x264ca1)&&(_0x35eb92[_0x324bf4(0x1abc)][_0x264ca1['id']]=_0x39641b()[_0x324bf4(0x169b)](_0x264ca1,_0x1d2894));}function _0x2e5cb5(_0x584124){const _0x427144=_0x585c86;!_0x39641b()[_0x427144(0x958)](_0x584124)&&(_0x35eb92[_0x427144(0x1abc)][_0x584124['id']]&&_0x39641b()[_0x427144(0x9c1)](_0x35eb92[_0x427144(0x1abc)][_0x584124['id']],_0x39641b()[_0x427144(0x169b)](_0x584124,_0x1d2894)),_0x35eb92['voiceQueues'][_0x584124['id']]&&_0x39641b()['merge'](_0x35eb92[_0x427144(0x278a)][_0x584124['id']],_0x39641b()[_0x427144(0x169b)](_0x584124,_0x1d2894)));}function _0x1f4f2b(_0x381079){const _0x1b7794=_0x585c86;!_0x39641b()[_0x1b7794(0x958)](_0x381079)&&(delete _0x35eb92['rpcVoiceQueues'][_0x381079['id']],delete _0x35eb92[_0x1b7794(0x278a)][_0x381079['id']]);}function _0x1ff3e6(_0x570f7e){const _0x1d7c8b=_0x585c86;_0x39641b()['toInteger'](_0x570f7e[_0x1d7c8b(0x21ab)])===_0x215fa9[_0x1d7c8b(0x21e8)]()['id']&&_0x35eb92[_0x1d7c8b(0x172b)]();}_0x553130[_0x585c86(0x1d6)](_0x585c86(0x291c),function(){const _0x30f6b8=_0x585c86;_0x1faddd[_0x30f6b8(0xfb8)](_0x30f6b8(0x82a)),_0x1faddd['removeAllListeners'](_0x30f6b8(0x338)),_0x1faddd[_0x30f6b8(0xfb8)](_0x30f6b8(0x828)),_0x1faddd[_0x30f6b8(0xfb8)](_0x30f6b8(0x201e)),_0x1faddd[_0x30f6b8(0xfb8)](_0x30f6b8(0x1fe3)),_0x1faddd[_0x30f6b8(0xfb8)](_0x30f6b8(0x18e8)),_0x1faddd['removeAllListeners']('voiceQueue:remove');});}const _0x48a4c6=_0x3f4b0f;;_0x34ca91['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x2168),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x1f10),_0x5537c6(0x1ae),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1986)];function _0x34ca91(_0x43a836,_0x372be2,_0x3fdcf0,_0xa8b196,_0x47b6d2,_0x198e85,_0xe4d465,_0x59152b,_0x3ad211){const _0x55ad81=_0x5537c6,_0x1e23f1=this,_0x1519a8=['id',_0x55ad81(0x16b6),'mapAgentsId',_0x55ad81(0x26b6)];let _0x56dacc=!![];_0x1e23f1[_0x55ad81(0x6d8)]={},_0x1e23f1[_0x55ad81(0x575)]=0x0,_0x1e23f1[_0x55ad81(0x232f)]={},_0x1e23f1[_0x55ad81(0x110b)]=[],_0x1e23f1[_0x55ad81(0xf69)]=[],_0x1e23f1[_0x55ad81(0x1866)]={},_0x1e23f1[_0x55ad81(0xae2)]={'id':_0x59152b[_0x55ad81(0x21e8)]()['id'],'channel':_0x55ad81(0x2476),'fields':'id,name,mapAgentsId,waiting','limit':0xa,'page':0x1},_0x1e23f1[_0x55ad81(0x2231)]={'limit':0xa,'page':0x1},_0x1e23f1[_0x55ad81(0x829)]=_0x2cac57,_0x1e23f1[_0x55ad81(0xc5c)]=_0x4a734a,_0x1e23f1[_0x55ad81(0x265)]=_0xec025b,_0x1e23f1['getColorByChannel']=_0x5beb1c,_0x1e23f1['paginate']=_0x5b8214,_0x1e23f1['onSaveQueue']=_0x224b58,_0x1e23f1[_0x55ad81(0x1502)]=_0x42db0a,_0x1e23f1['onUpdateWhatsappQueue']=_0x3e77a9,_0x1e23f1['onRemoveWhatsappQueue']=_0x3bf5ee,_0x1e23f1[_0x55ad81(0x25c9)]=_0x25c9fb,_0x1e23f1[_0x55ad81(0x2869)]=_0x512655,_0x1e23f1['onSaveWhatsappQueueChannel']=_0x22cc60,_0x1e23f1[_0x55ad81(0x29d7)]=_0x391f71,_0x3ad211['onWithoutApply'](_0x55ad81(0x2682),_0x1e23f1['onSaveQueue']),_0x3ad211[_0x55ad81(0x16b7)](_0x55ad81(0x114b),_0x1e23f1[_0x55ad81(0x1502)]),_0x3ad211[_0x55ad81(0x16b7)](_0x55ad81(0x1782),_0x1e23f1[_0x55ad81(0x2554)]),_0x3ad211['onWithoutApply']('whatsappQueue:remove',_0x1e23f1[_0x55ad81(0xbb2)]),_0x3ad211[_0x55ad81(0x16b7)](_0x55ad81(0x121e),_0x1e23f1[_0x55ad81(0x25c9)]),_0x3ad211[_0x55ad81(0x16b7)]('userWhatsappQueue:remove',_0x1e23f1[_0x55ad81(0x2869)]),_0x3ad211[_0x55ad81(0x16b7)]('whatsapp_queue_waiting_interaction:save',_0x1e23f1[_0x55ad81(0x1ef5)]),_0x3ad211[_0x55ad81(0x16b7)](_0x55ad81(0x285f),_0x1e23f1[_0x55ad81(0x29d7)]),_0xe4d465[_0x55ad81(0x1a00)][_0x55ad81(0xc5c)]()[_0x55ad81(0x1d77)]['then'](function(_0x3cfedd){const _0x554bc1=_0x55ad81;_0x1e23f1['rpcWhatsappQueues']=_0x3cfedd?_0x39641b()[_0x554bc1(0x2631)](_0x3cfedd[_0x554bc1(0x2214)]?_0x3cfedd[_0x554bc1(0x2214)]:[],'id'):{};})[_0x55ad81(0x1cb0)](function(){const _0x168b58=_0x55ad81;return _0xe4d465[_0x168b58(0xebe)][_0x168b58(0x13e9)]({'id':_0x59152b['getCurrentUser']()['id'],'channel':_0x168b58(0x2476)})[_0x168b58(0x1d77)];})[_0x55ad81(0x1cb0)](function(_0x3e745b){const _0x2db40e=_0x55ad81;return _0x1e23f1[_0x2db40e(0x255a)]=_0x3e745b[_0x2db40e(0x2214)],_0xe4d465[_0x2db40e(0x1a00)][_0x2db40e(0x1ed4)]()[_0x2db40e(0x1d77)];})['then'](function(_0xab484){const _0x227e2a=_0x55ad81,_0x12b448=_0x39641b()[_0x227e2a(0x1c99)](_0xab484[_0x227e2a(0x2214)],function(_0xe3b2a2){const _0x585022=_0x227e2a;return _0x39641b()['includes'](_0x1e23f1[_0x585022(0x255a)],{'id':_0xe3b2a2[_0x585022(0x2303)]});});_0xab484[_0x227e2a(0x2214)]=_0x12b448,_0xab484[_0x227e2a(0x184d)]=_0x12b448['length'],_0x39641b()[_0x227e2a(0x9c1)](_0x1e23f1[_0x227e2a(0x1866)],_0xab484?_0x39641b()[_0x227e2a(0x2631)](_0xab484[_0x227e2a(0x2214)]?_0xab484[_0x227e2a(0x2214)]:[],'id'):{});})[_0x55ad81(0x1c4)](function(_0x200db4){console['error'](_0x200db4);})[_0x55ad81(0x2e0)](function(){const _0x25229c=_0x55ad81;for(let _0x5c9b73=0x0;_0x5c9b73<_0x1e23f1[_0x25229c(0xf69)][_0x25229c(0xfd0)];_0x5c9b73+=0x1){delete _0x1e23f1[_0x25229c(0x1866)][_0x1e23f1[_0x25229c(0xf69)][_0x5c9b73]];}_0x56dacc=![],_0x1e23f1['deleteWhatsappQueuesWaitingInteractions']=[],_0x4a734a(),_0x5b8214();});function _0x5b8214(){const _0x34cf18=_0x55ad81,_0x52cf80=(_0x1e23f1['queryChannels'][_0x34cf18(0x1c7b)]-0x1)*_0x1e23f1[_0x34cf18(0x2231)][_0x34cf18(0x236)];_0x1e23f1['paginatedInteractions']=_0x39641b()[_0x34cf18(0x17ca)](_0x1e23f1['rpcWhatsappQueuesWaitingInteractions'],[_0x34cf18(0x2943)],[_0x34cf18(0x20a5)]),_0x1e23f1[_0x34cf18(0xf76)]=_0x39641b()['drop'](_0x1e23f1[_0x34cf18(0x110b)],_0x52cf80)[_0x34cf18(0x14cb)](0x0,_0x1e23f1['queryChannels'][_0x34cf18(0x236)]);}function _0x2cac57(_0x1a6289){const _0x2f8a64=_0x55ad81;_0x1e23f1[_0x2f8a64(0x575)]=_0x1a6289[_0x2f8a64(0x184d)]?_0x1a6289[_0x2f8a64(0x184d)]:0x0,_0x1e23f1[_0x2f8a64(0x6d8)]=_0x1a6289?_0x39641b()[_0x2f8a64(0x2631)](_0x1a6289[_0x2f8a64(0x2214)]?_0x1a6289[_0x2f8a64(0x2214)]:[],'id'):{},_0x5cbc76();}function _0x4a734a(){const _0x374323=_0x55ad81;_0x1e23f1[_0x374323(0xae2)][_0x374323(0x184b)]=(_0x1e23f1[_0x374323(0xae2)][_0x374323(0x1c7b)]-0x1)*_0x1e23f1[_0x374323(0xae2)][_0x374323(0x236)],_0x1e23f1[_0x374323(0x2061)]=_0xe4d465[_0x374323(0xebe)][_0x374323(0x13e9)](_0x1e23f1[_0x374323(0xae2)],_0x2cac57)[_0x374323(0x1d77)];}let _0x3bfbdc=!![],_0x233539=0x1;_0x43a836[_0x55ad81(0x614)](_0x55ad81(0xeb6),function(_0x3664f4,_0x22bc50){const _0x1276d9=_0x55ad81;_0x3bfbdc?_0x372be2(function(){_0x3bfbdc=![];}):(!_0x22bc50&&(_0x233539=_0x1e23f1[_0x1276d9(0xae2)][_0x1276d9(0x1c7b)]),_0x3664f4!==_0x22bc50&&(_0x1e23f1[_0x1276d9(0xae2)][_0x1276d9(0x1c7b)]=0x1),!_0x3664f4&&(_0x1e23f1[_0x1276d9(0xae2)][_0x1276d9(0x1c7b)]=_0x233539),_0x1e23f1['getWhatsappQueues']());});function _0xec025b(_0x249d91){const _0x6928e9=_0x55ad81;return _0x39641b()[_0x6928e9(0x1813)](_0x249d91);}function _0x5cbc76(){const _0x52e214=_0x55ad81;_0x39641b()[_0x52e214(0x1ebd)](_0x1e23f1[_0x52e214(0x6d8)],function(_0xe6fbb2,_0x48152d){const _0x17a362=_0x52e214;_0x1e23f1[_0x17a362(0x232f)][_0x48152d]&&(_0x1e23f1[_0x17a362(0x6d8)][_0x48152d][_0x17a362(0x26b6)]=_0x1e23f1[_0x17a362(0x232f)][_0x48152d]['waiting']||0x0);});}function _0x224b58(_0x4fb0dd){const _0x416c4d=_0x55ad81;_0x1e23f1[_0x416c4d(0x6d8)][_0x4fb0dd['id']]&&(_0x1e23f1[_0x416c4d(0x232f)][_0x4fb0dd['id']]&&(_0x39641b()['merge'](_0x1e23f1['rpcWhatsappQueues'][_0x4fb0dd['id']],_0x39641b()['pick'](_0x4fb0dd,_0x1519a8)),_0x39641b()[_0x416c4d(0x9c1)](_0x1e23f1[_0x416c4d(0x6d8)][_0x4fb0dd['id']],_0x39641b()[_0x416c4d(0x169b)](_0x4fb0dd,_0x1519a8))));}function _0x42db0a(_0x481dae){const _0x251560=_0x55ad81;!_0x39641b()[_0x251560(0x958)](_0x481dae)&&(_0x1e23f1[_0x251560(0x232f)][_0x481dae['id']]=_0x39641b()['pick'](_0x481dae,_0x1519a8));}function _0x3e77a9(_0x91863){const _0x19f39f=_0x55ad81;!_0x39641b()[_0x19f39f(0x958)](_0x91863)&&(_0x1e23f1['rpcWhatsappQueues'][_0x91863['id']]&&_0x39641b()['merge'](_0x1e23f1[_0x19f39f(0x232f)][_0x91863['id']],_0x39641b()[_0x19f39f(0x169b)](_0x91863,_0x1519a8)),_0x1e23f1['whatsappQueues'][_0x91863['id']]&&_0x39641b()['merge'](_0x1e23f1[_0x19f39f(0x6d8)][_0x91863['id']],_0x39641b()[_0x19f39f(0x169b)](_0x91863,_0x1519a8)));}function _0x3bf5ee(_0x4ff6cf){const _0x3fd646=_0x55ad81;!_0x39641b()[_0x3fd646(0x958)](_0x4ff6cf)&&(delete _0x1e23f1[_0x3fd646(0x232f)][_0x4ff6cf['id']],delete _0x1e23f1[_0x3fd646(0x6d8)][_0x4ff6cf['id']]);}function _0x25c9fb(_0x3b614e){const _0x505420=_0x55ad81;_0x39641b()['toInteger'](_0x3b614e['UserId'])===_0x59152b['getCurrentUser']()['id']&&_0x1e23f1[_0x505420(0xc5c)]();}function _0x512655(_0x103220){const _0x19e56e=_0x55ad81;_0x39641b()[_0x19e56e(0x15ed)](_0x103220[_0x19e56e(0x21ab)])===_0x59152b[_0x19e56e(0x21e8)]()['id']&&_0x1e23f1[_0x19e56e(0xc5c)]();}function _0x22cc60(_0x5d5619){const _0x1027d0=_0x55ad81;_0x1e23f1[_0x1027d0(0x6d8)][_0x5d5619[_0x1027d0(0x2303)]]&&(_0x1e23f1[_0x1027d0(0x1866)][_0x5d5619['id']]=_0x5d5619,_0x5b8214());}function _0x391f71(_0x1b2364){const _0xaa4308=_0x55ad81;delete _0x1e23f1[_0xaa4308(0x1866)][_0x1b2364['id']],_0x56dacc&&_0x1e23f1[_0xaa4308(0xf69)][_0xaa4308(0x2785)](_0x1b2364['id']),_0x5b8214();}function _0x5beb1c(_0x45ef39){const _0x346ca6=_0x55ad81;switch(_0x45ef39){case'chat':return'green-A700-bg';case _0x346ca6(0x2651):return'teal-bg';case'sms':return _0x346ca6(0x50e);case'whatsapp':return _0x346ca6(0xf3a);case'openchannel':return'amber-A200-bg';case'fax':return _0x346ca6(0x20d5);default:return _0x346ca6(0x927);}}_0x43a836[_0x55ad81(0x1d6)]('$destroy',function(){const _0x272a7a=_0x55ad81;_0x3ad211[_0x272a7a(0xfb8)](_0x272a7a(0x2682)),_0x3ad211[_0x272a7a(0xfb8)](_0x272a7a(0x114b)),_0x3ad211[_0x272a7a(0xfb8)]('whatsappQueue:update'),_0x3ad211['removeAllListeners']('whatsappQueue:remove'),_0x3ad211[_0x272a7a(0xfb8)]('userWhatsappQueue:save'),_0x3ad211[_0x272a7a(0xfb8)](_0x272a7a(0x924)),_0x3ad211[_0x272a7a(0xfb8)](_0x272a7a(0xd4f)),_0x3ad211['removeAllListeners'](_0x272a7a(0x285f));});}const _0x2d0a6c=_0x34ca91;;_0x1df2c0[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x2168),_0x5537c6(0xcb9),_0x5537c6(0x22bf),'$window','$translate',_0x5537c6(0x142b),'Auth',_0x5537c6(0x1986),_0x5537c6(0x9bf),_0x5537c6(0x1b68)];function _0x1df2c0(_0x53873c,_0x3638f6,_0x5d9132,_0x2d396e,_0x3bfa7f,_0x2d171b,_0xd668c3,_0x4b74bc,_0x10ca78,_0x2d2c02,_0xb52e31){const _0x3d3caf=_0x5537c6,_0x407c16=this;_0x407c16[_0x3d3caf(0xebe)]=_0x4b74bc[_0x3d3caf(0x21e8)](),_0x407c16['license']={},_0x407c16[_0x3d3caf(0x9ca)]={},_0x407c16[_0x3d3caf(0xfbf)]={'count':0x0,'rows':[]},_0x407c16[_0x3d3caf(0x4d8)]={'count':0x0,'rows':[]},_0x407c16[_0x3d3caf(0xae2)]={'includeAll':!![],'sort':'-updatedAt','limit':0xa,'page':0x1,'read':null,'closed':null},_0x407c16[_0x3d3caf(0x16ad)]={'fields':[{'name':'Id','column':'id','type':_0x3d3caf(0x83d)},{'name':'Contact','column':'Contact','type':'autocomplete','options':{'searchFields':[_0x3d3caf(0x1491),'lastName','email'],'route':{'model':'cmContact','action':'get','params':{'fields':_0x3d3caf(0x9b0),'Contact':_0x3d3caf(0xed6),'nolimit':!![]}},'extraOperators':[_0x3d3caf(0x1fb1)],'excludedOperators':[_0x3d3caf(0x15ce)]}},{'name':_0x3d3caf(0x269b),'column':_0x3d3caf(0x1ed9),'type':'text','options':{'excludedOperators':['$eq',_0x3d3caf(0x15ce)]}},{'name':'Status','column':_0x3d3caf(0x22aa),'type':_0x3d3caf(0x220f),'values':[{'id':0x0,'translate':_0x3d3caf(0x1bbd)},{'id':0x1,'translate':_0x3d3caf(0x191b)}],'options':{'excludedOperators':['$ne']}},{'name':'Agent','column':_0x3d3caf(0x1597),'type':_0x3d3caf(0x19e0),'options':{'table':'i','route':{'model':_0x3d3caf(0xebe),'action':'get','params':{'role':_0x3d3caf(0x1eff),'fields':'id,name,fullname','nolimit':!![]}},'searchFields':[_0x3d3caf(0x1d14),_0x3d3caf(0x16b6)],'extraOperators':[_0x3d3caf(0x1fb1)],'excludedOperators':[_0x3d3caf(0x15ce)]}},{'name':_0x3d3caf(0x1649),'column':_0x3d3caf(0x18e9),'type':_0x3d3caf(0x1d50),'options':{'route':{'model':_0x3d3caf(0xebe),'action':_0x3d3caf(0x8e2),'params':{'id':_0x407c16[_0x3d3caf(0xebe)]['id'],'nolimit':!![]}}}},{'name':_0x3d3caf(0x190),'column':_0x3d3caf(0xf2d),'type':'multiselect','options':{'route':{'model':_0x3d3caf(0x22b1),'action':'get','params':{'nolimit':!![]}},'excludedOperators':[_0x3d3caf(0x62d)]}},{'name':_0x3d3caf(0x68d),'column':'createdAt','type':_0x3d3caf(0x18ec),'options':{'excludedOperators':['$ne']}},{'name':'Read','column':'unreadMessages','type':'select','values':[{'id':0x1,'translate':_0x3d3caf(0x27a4)},{'id':0x0,'translate':_0x3d3caf(0x1115)}],'options':{'excludedOperators':[_0x3d3caf(0x15ce)]}}]},_0x407c16[_0x3d3caf(0xaee)]=_0x3d3caf(0x1139),_0x407c16['onInit']=_0x2e693f,_0x407c16['success']=_0x300f27,_0x407c16['getFaxInteractions']=_0x104e99,_0x407c16['showFaxInteraction']=_0x451c0a,_0x407c16[_0x3d3caf(0xf95)]=_0x5ea6e2,_0x407c16[_0x3d3caf(0x493)]=_0x43208d,_0x407c16['getContactLabel']=_0x42150e,_0x407c16[_0x3d3caf(0x11e5)]=_0x70974f,_0x407c16[_0x3d3caf(0x24a1)]=_0x332d72,_0x407c16['composeFaxInteraction']=_0x2069e6,_0x407c16[_0x3d3caf(0x17c3)]=_0x42a61a,_0x407c16[_0x3d3caf(0x28a8)]=_0x51a09f,_0x407c16[_0x3d3caf(0x1ede)]=_0x5b53fd;function _0x2e693f(_0x1c6136,_0xed9dd0){const _0x29ae68=_0x3d3caf;return _0x407c16[_0x29ae68(0x8a5)]=_0x1c6136,_0x407c16['setting']=_0xed9dd0,_0xd668c3[_0x29ae68(0xebe)][_0x29ae68(0xbf7)+_0x39641b()['upperFirst'](_0x29ae68(0x29de))]({'id':_0x407c16[_0x29ae68(0xebe)]['id'],'nolimit':!![]})[_0x29ae68(0x1d77)][_0x29ae68(0x1cb0)](function(_0x225889){const _0x44ddb0=_0x29ae68;return _0x407c16['faxAccounts']=_0x225889||{'count':0x0,'rows':[]},_0xd668c3['tag'][_0x44ddb0(0xbf7)]()[_0x44ddb0(0x1d77)];})[_0x29ae68(0x1cb0)](function(_0x1e8794){_0x407c16['tags']=_0x1e8794||{'count':0x0,'rows':[]};})[_0x29ae68(0x1cb0)](function(){const _0x153ed5=_0x29ae68;_0x407c16[_0x153ed5(0x789)]=_0x56c7d5();})['catch'](function(_0x463516){console['error'](_0x463516);})['finally'](function(){const _0x14ad4e=_0x29ae68;let _0xc5e7fc=_0x3bfa7f['localStorage']['getItem'](_0x14ad4e(0x51a)+_0x407c16['user']['id']);if(_0xc5e7fc)try{_0xc5e7fc=JSON['parse'](_0xc5e7fc);if(_0xc5e7fc[_0x14ad4e(0xae2)]){_0x407c16['query']=_0x39641b()['merge'](_0x407c16[_0x14ad4e(0xae2)],_0xc5e7fc[_0x14ad4e(0xae2)]);if(_0x39641b()[_0x14ad4e(0xce9)](_0x407c16['faxAccounts']['rows']))_0x407c16[_0x14ad4e(0xae2)][_0x14ad4e(0x18e9)]=[];else _0x39641b()[_0x14ad4e(0xce9)](_0x407c16[_0x14ad4e(0xae2)]['FaxAccountId'])&&(_0x407c16[_0x14ad4e(0xae2)][_0x14ad4e(0x18e9)]=_0x39641b()(_0x407c16['faxAccounts'][_0x14ad4e(0x2214)])[_0x14ad4e(0x1de2)]('id')[_0x14ad4e(0x54f)]()[_0x14ad4e(0x327)]());_0x407c16['query'][_0x14ad4e(0x1381)]&&_0x407c16[_0x14ad4e(0xae2)]['sort'][_0x14ad4e(0xd8a)](_0x14ad4e(0x15d6))>=0x0&&(_0x407c16[_0x14ad4e(0xae2)]=_0x39641b()['omit'](_0x407c16[_0x14ad4e(0xae2)],_0x14ad4e(0x1381))),_0x407c16[_0x14ad4e(0xae2)][_0x14ad4e(0x11f0)]=!![],_0x407c16[_0x14ad4e(0x1e6c)]=_0x407c16[_0x14ad4e(0xae2)][_0x14ad4e(0x1c99)]?!![]:![];}}catch(_0x123060){console[_0x14ad4e(0x218e)](_0x123060);}else{const _0x3ec478={'$gte':_0x543b5a()()[_0x14ad4e(0x1be0)](_0x14ad4e(0x26ae))[_0x14ad4e(0x17d9)](0x0,!![])[_0x14ad4e(0x1f31)](),'$lte':_0x543b5a()()['endOf'](_0x14ad4e(0x26ae))[_0x14ad4e(0x17d9)](0x0,!![])[_0x14ad4e(0x1f31)]()},_0x2ebe5c={'FaxAccountId':_0x39641b()['map'](_0x407c16[_0x14ad4e(0x29de)]['rows'],'id'),'createdAt':_0x3ec478};_0x407c16[_0x14ad4e(0xae2)]=_0x39641b()[_0x14ad4e(0x9c1)](_0x407c16['query'],_0x2ebe5c);}_0x10ca78['on'](_0x14ad4e(0x584),_0x1413fd),_0x10ca78['on'](_0x14ad4e(0xd5e),_0x1413fd),_0x10ca78['on']('faxInteractionTags:save',_0x488e56),_0x10ca78['on']('faxMessage:save',_0x16da14),_0x10ca78['on']('faxMessage:update',_0x16da14),_0x10ca78['on'](_0x14ad4e(0xef8),_0x2cce80),_0x10ca78['on'](_0x14ad4e(0x1c90),_0x2cfe2d),_0x104e99(_0x407c16[_0x14ad4e(0xae2)]['page']);});}function _0x56c7d5(){const _0x51d682=_0x3d3caf;return[{'name':_0x51d682(0x68d),'key':_0x51d682(0x24cb),'type':'date','label':_0x51d682(0xf5e)},{'name':_0x51d682(0x223c),'key':_0x51d682(0xfc1),'type':'select','label':'DASHBOARDS.SELECT_READ_UNREAD','customOptions':[{'value':0x0,'translate':_0x51d682(0x1115)},{'value':0x1,'translate':_0x51d682(0x27a4)},{'value':null,'translate':_0x51d682(0x2706)}]},{'name':_0x51d682(0x938),'key':_0x51d682(0x22aa),'type':_0x51d682(0x220f),'label':_0x51d682(0x24b6),'customOptions':[{'value':0x0,'translate':_0x51d682(0x1bbd)},{'value':0x1,'translate':_0x51d682(0x191b)},{'value':null,'translate':_0x51d682(0x2706)}]},{'name':_0x51d682(0x1649),'key':_0x51d682(0x18e9),'type':'multiselect','label':_0x51d682(0xf86),'options':_0x407c16[_0x51d682(0x29de)]['rows'],'clearAll':![]},{'name':_0x51d682(0x30e),'key':'UserId','type':_0x51d682(0x220f),'label':_0x51d682(0x7bb),'customOptions':[{'value':_0x407c16[_0x51d682(0xebe)]['id'],'translate':_0x51d682(0x1ea6)},{'value':_0x51d682(0xd38),'translate':'DASHBOARDS.NOT_ASSIGNED'},{'value':undefined,'translate':_0x51d682(0x2706)}]},{'name':_0x51d682(0xf2d),'key':_0x51d682(0x22b1),'type':_0x51d682(0x1d50),'label':_0x51d682(0x3c3),'options':_0x407c16[_0x51d682(0xfbf)][_0x51d682(0x2214)],'placeholder':_0x51d682(0x62b)}];}function _0x300f27(_0x4a6e2d){const _0x4f00dd=_0x3d3caf;_0x407c16['searchInProgress']=![],_0x407c16['faxInteractions']=_0x4a6e2d||{'count':0x0,'rows':[]};for(let _0x46414d=0x0;_0x46414d<_0x407c16[_0x4f00dd(0x4d8)]['rows'][_0x4f00dd(0xfd0)];_0x46414d+=0x1){_0x42150e(_0x407c16[_0x4f00dd(0x4d8)]['rows'][_0x46414d]);}}function _0x104e99(_0x5b16e4){const _0x419b75=_0x3d3caf;_0x407c16[_0x419b75(0x16cb)]=!![];!_0x5b16e4&&(_0x407c16[_0x419b75(0xae2)][_0x419b75(0x1c7b)]=0x1);_0x407c16['query'][_0x419b75(0x184b)]=(_0x407c16['query'][_0x419b75(0x1c7b)]-0x1)*_0x407c16['query'][_0x419b75(0x236)];let _0x312f00=_0x3bfa7f['localStorage'][_0x419b75(0x2091)](_0x419b75(0x51a)+_0x407c16[_0x419b75(0xebe)]['id']);_0x312f00=_0x312f00?JSON[_0x419b75(0xefe)](_0x312f00):{},_0x312f00[_0x419b75(0xae2)]=_0x407c16['query'],_0x3bfa7f['localStorage']['setItem'](_0x419b75(0x51a)+_0x407c16[_0x419b75(0xebe)]['id'],JSON[_0x419b75(0x2701)](_0x312f00)),_0x407c16[_0x419b75(0x2061)]=_0xd668c3[_0x419b75(0x1480)]['get'](_0x407c16[_0x419b75(0xae2)],_0x300f27)['$promise'];}function _0x451c0a(_0x56291d,_0x115ebf){const _0x856d8d=_0x3d3caf;_0x53873c[_0x856d8d(0x17bc)]['vm'][_0x856d8d(0x11d9)](_0x856d8d(0x1944),_0x115ebf);}function _0x5ea6e2(_0x2f7dbc,_0xc887c0,_0x122a42){const _0x2734c2=_0x3d3caf;_0xd668c3['faxInteraction'][_0x2734c2(0x26ec)]({'id':_0xc887c0['id'],'attachments':_0x122a42})[_0x2734c2(0x1d77)][_0x2734c2(0x1cb0)](function(_0x4d0c29){const _0xfd4ede=_0x2734c2,_0x1fee62=[_0x4d0c29[_0xfd4ede(0xef0)]],_0x1e16a5=_0xfd4ede(0x1b5b)+_0xc887c0['id']+_0xfd4ede(0x1b16),_0x299009=new Blob(_0x1fee62,{'type':_0x4d0c29['type']}),_0x1e2b29=window['document'][_0xfd4ede(0x8c6)]('a');_0x1e2b29[_0xfd4ede(0x23b9)](_0xfd4ede(0x105b),URL['createObjectURL'](_0x299009)),_0x1e2b29[_0xfd4ede(0x23b9)](_0xfd4ede(0x26ec),_0x1e16a5),document[_0xfd4ede(0x1ed9)][_0xfd4ede(0x23de)](_0x1e2b29),_0x1e2b29['click']();})[_0x2734c2(0x1c4)](function(_0x132653){const _0x5c86cc=_0x2734c2;if(_0x132653[_0x5c86cc(0x25c)]&&_0x132653['data'][_0x5c86cc(0x1a7c)]&&_0x132653[_0x5c86cc(0x25c)]['errors'][_0x5c86cc(0xfd0)])for(let _0x4a7370=0x0;_0x4a7370<_0x132653[_0x5c86cc(0x25c)]['errors'][_0x5c86cc(0xfd0)];_0x4a7370+=0x1){_0x2d2c02[_0x5c86cc(0x218e)]({'title':_0x132653[_0x5c86cc(0x25c)][_0x5c86cc(0x1a7c)][_0x4a7370][_0x5c86cc(0x66a)],'msg':_0x132653['data']['errors'][_0x4a7370][_0x5c86cc(0x155e)]});}else _0x2d2c02[_0x5c86cc(0x218e)]({'title':_0x132653[_0x5c86cc(0x291)]?_0x5c86cc(0xeb9)+_0x132653['status']+_0x5c86cc(0x1657)+_0x132653['statusText']:_0x5c86cc(0xf95),'msg':_0x132653[_0x5c86cc(0x25c)]?JSON[_0x5c86cc(0x2701)](_0x132653['data'][_0x5c86cc(0x155e)]):_0x132653[_0x5c86cc(0x147f)]()});});}function _0x43208d(_0xbccfb1,_0x4ed405){const _0x30ffe6=_0x3d3caf,_0x37d32a=_0x407c16['user'][_0x30ffe6(0x2478)]||_0x407c16[_0x30ffe6(0xebe)][_0x30ffe6(0xf0c)]||_0x407c16[_0x30ffe6(0xebe)][_0x30ffe6(0x2035)]||_0x407c16[_0x30ffe6(0xebe)]['openchannelPause']||_0x407c16[_0x30ffe6(0xebe)]['faxPause'],_0x5434e6=!_0x4ed405[_0x30ffe6(0x1649)][_0x30ffe6(0x1935)];_0x5d9132[_0x30ffe6(0xe27)]({'controller':_0x30ffe6(0x209d),'controllerAs':'vm','templateUrl':_0x3769c1,'parent':angular['element'](_0x2d396e[_0x30ffe6(0x1ed9)]),'clickOutsideToClose':_0x5434e6,'escapeToClose':_0x5434e6,'onRemoving':function(){const _0x45565f=_0x30ffe6;_0x407c16['user']&&_0x4ed405[_0x45565f(0x1649)][_0x45565f(0x1935)]&&!_0x37d32a&&_0xd668c3['user'][_0x45565f(0xf5d)]({'id':_0x407c16[_0x45565f(0xebe)]['id']})[_0x45565f(0x1d77)]['catch'](function(_0x187076){console['error'](_0x187076);});},'locals':{'user':_0x407c16['user'],'channel':_0x30ffe6(0x1944),'interaction':_0x4ed405}});}function _0x42150e(_0x413b09){const _0x39cc52=_0x3d3caf;_0x413b09['contactInfo']=_0x413b09[_0x39cc52(0x8d3)]?_0x413b09['Contact'][_0x39cc52(0x1944)]:undefined,_0x413b09[_0x39cc52(0x1325)]===_0x39cc52(0x2087)&&(_0x413b09['contactName']=_0x2d171b[_0x39cc52(0x25cc)]('APP.UNKNOWN'));}function _0x70974f(_0x5ec132){const _0x5ab256=_0x3d3caf;if(_0x5ec132[_0x5ab256(0x21ab)])return _0x5ec132[_0x5ab256(0x21ab)]===_0x407c16[_0x5ab256(0xebe)]['id']?_0x2d171b[_0x5ab256(0x25cc)]('DASHBOARDS.ME'):_0x5ec132['Owner'][_0x5ab256(0x1d14)]+'\x20<'+_0x5ec132[_0x5ab256(0x135d)][_0x5ab256(0xdbd)]+'>';return _0x2d171b['instant'](_0x5ab256(0x68f));}function _0x332d72(_0x31572c){const _0x265039=_0x3d3caf;if(_0x31572c[_0x265039(0x1649)])return _0x31572c[_0x265039(0x1649)][_0x265039(0xee8)];return _0x2d171b[_0x265039(0x25cc)]('APP.UNKNOWN');}function _0x2069e6(_0x4308a9,_0x461746){const _0x3a12f1=_0x3d3caf;_0x5d9132['show']({'controller':_0x3a12f1(0x1e49),'controllerAs':'vm','templateUrl':_0x993bbb,'parent':angular[_0x3a12f1(0x1853)](_0x2d396e['body']),'clickOutsideToClose':![],'locals':{'account':_0x461746,'message':{},'type':'new','interaction':{}},'fullscreen':!![]})['then'](function(_0x4a40af){const _0x3fe742=_0x3a12f1;if(_0x4a40af){if(_0x4a40af[_0x3fe742(0x155e)]&&_0x4a40af['message'][_0x3fe742(0x275)]){const _0x3324f2={'channel':_0x3fe742(0x1944),'account':_0x461746,'message':_0x4a40af[_0x3fe742(0x155e)],'contact':_0x4a40af[_0x3fe742(0x8b1)]};_0xb52e31[_0x3fe742(0x17a1)](_0x3324f2);}else{const _0xe78c44=_0x4a40af;return _0xd668c3[_0x3fe742(0xb91)]['send']({'id':_0x461746['id']},_0xe78c44)[_0x3fe742(0x1d77)][_0x3fe742(0x1cb0)](function(_0x5deea3){const _0x1a7386=_0x3fe742;_0x2d2c02[_0x1a7386(0x829)]({'title':_0x1a7386(0x113d),'msg':'Message\x20has\x20been\x20sent!'}),_0x53873c[_0x1a7386(0x17bc)]['vm'][_0x1a7386(0x11d9)](_0x1a7386(0x1944),_0x5deea3);})[_0x3fe742(0x1c4)](function(_0x510ee4){const _0x36a731=_0x3fe742;if(_0x510ee4['data']&&_0x510ee4['data']['errors']&&_0x510ee4[_0x36a731(0x25c)]['errors'][_0x36a731(0xfd0)])for(let _0x4144e3=0x0;_0x4144e3<_0x510ee4[_0x36a731(0x25c)][_0x36a731(0x1a7c)]['length'];_0x4144e3++){_0x2d2c02['error']({'title':_0x510ee4[_0x36a731(0x25c)][_0x36a731(0x1a7c)][_0x4144e3][_0x36a731(0x66a)],'msg':_0x510ee4[_0x36a731(0x25c)][_0x36a731(0x1a7c)][_0x4144e3][_0x36a731(0x155e)]});}else _0x2d2c02['error']({'title':_0x36a731(0x23d7),'msg':_0x510ee4[_0x36a731(0x25c)]?JSON['stringify'](_0x510ee4['data']):_0x510ee4[_0x36a731(0x155e)]||_0x510ee4['toString']()});});}}});}function _0x2cce80(_0x30db64){const _0x1bc232=_0x3d3caf;let _0x1b0b30;const _0x1ca121=_0x39641b()[_0x1bc232(0x13b4)](_0x407c16['faxInteractions'][_0x1bc232(0x2214)],{'id':_0x30db64[_0x1bc232(0x18df)]});_0x1ca121&&_0x1ca121[_0x1bc232(0x2653)]&&(_0x1b0b30=_0x39641b()['find'](_0x1ca121[_0x1bc232(0x2653)],{'id':_0x30db64['UserId']}),!_0x1b0b30&&_0x1ca121['Users'][_0x1bc232(0x2785)]({'id':_0x30db64[_0x1bc232(0x21ab)]}));}function _0x2cfe2d(_0xaba327){const _0x161488=_0x3d3caf,_0x415005=_0x39641b()[_0x161488(0x13b4)](_0x407c16[_0x161488(0x4d8)][_0x161488(0x2214)],{'id':_0xaba327[_0x161488(0x18df)]});_0x415005&&_0x415005[_0x161488(0x2653)]&&_0x39641b()['remove'](_0x415005[_0x161488(0x2653)],{'id':_0xaba327['UserId']});}function _0x1413fd(_0x4edd41){const _0x29b4cc=_0x3d3caf;if(_0x4edd41){const _0x3d49fa=_0x39641b()[_0x29b4cc(0x13b4)](_0x407c16[_0x29b4cc(0x4d8)][_0x29b4cc(0x2214)],{'id':_0x4edd41['id']});if(_0x3d49fa){_0x3d49fa[_0x29b4cc(0x2653)]=[];_0x4edd41['closed']&&(_0x3d49fa['unreadMessages']=0x0);if(_0x407c16[_0x29b4cc(0xae2)]['sort']){const _0x12fd77=_0x39641b()[_0x29b4cc(0x1f2c)](_0x407c16[_0x29b4cc(0xae2)]['sort'],'-')?_0x29b4cc(0x20a5):_0x29b4cc(0x24cc);_0x407c16[_0x29b4cc(0x4d8)][_0x29b4cc(0x2214)]=_0x39641b()[_0x29b4cc(0x17ca)](_0x407c16[_0x29b4cc(0x4d8)]['rows'],_0x39641b()[_0x29b4cc(0x359)](_0x407c16[_0x29b4cc(0xae2)][_0x29b4cc(0x1381)],'-'),_0x12fd77);}_0x39641b()['merge'](_0x3d49fa,_0x39641b()['pick'](_0x4edd41,_0x39641b()['keys'](_0x3d49fa)));}else _0x104e99(_0x407c16[_0x29b4cc(0xae2)][_0x29b4cc(0x1c7b)]);}}function _0x488e56(_0x10bc8f){const _0x2465ec=_0x3d3caf;if(_0x10bc8f){const _0x10ed7d=_0x39641b()[_0x2465ec(0x13b4)](_0x407c16[_0x2465ec(0x4d8)][_0x2465ec(0x2214)],{'id':_0x10bc8f['id']});_0x10ed7d&&(_0x10ed7d[_0x2465ec(0x190)]=_0x10bc8f[_0x2465ec(0xfbf)]||[],_0x39641b()[_0x2465ec(0x9c1)](_0x10ed7d,_0x39641b()[_0x2465ec(0x169b)](_0x10bc8f,_0x39641b()[_0x2465ec(0x1be5)](_0x10ed7d))));}}function _0x16da14(_0x46d52e){const _0x5692c4=_0x3d3caf;if(_0x46d52e&&!_0x46d52e[_0x5692c4(0x1105)]){const _0x186996=_0x39641b()[_0x5692c4(0x13b4)](_0x407c16[_0x5692c4(0x4d8)][_0x5692c4(0x2214)],{'id':_0x46d52e[_0x5692c4(0x18df)]});if(_0x186996){const _0xc1dc1=_0x39641b()[_0x5692c4(0x13b4)](_0x186996[_0x5692c4(0x223c)],{'id':_0x46d52e['id']});_0xc1dc1?_0x39641b()[_0x5692c4(0x9c1)](_0xc1dc1,_0x39641b()[_0x5692c4(0x169b)](_0x46d52e,_0x39641b()['keys'](_0xc1dc1))):(_0x39641b()['isNil'](_0x186996[_0x5692c4(0x223c)])&&(_0x186996[_0x5692c4(0x223c)]={'count':0x0}),!_0x46d52e[_0x5692c4(0xfc1)]&&(_0x186996[_0x5692c4(0x223c)][_0x5692c4(0x184d)]+=0x1));}}}function _0x42a61a(){const _0x1100c6=_0x3d3caf;_0x407c16[_0x1100c6(0x1e6c)]=![];}function _0x51a09f(){_0x407c16['isSearchBarVisible']=!![];}function _0x5b53fd(){const _0x12e492=_0x3d3caf;_0x407c16[_0x12e492(0x1e6c)]=![],_0x5d9132[_0x12e492(0xe27)]({'controller':_0x12e492(0x15f7),'controllerAs':'vm','templateUrl':_0x3530d6,'parent':angular['element'](_0x2d396e[_0x12e492(0x1ed9)]),'clickOutsideToClose':![],'locals':{'color':_0x407c16[_0x12e492(0xaee)],'fields':_0x407c16[_0x12e492(0x16ad)][_0x12e492(0x355)],'storagePath':_0x12e492(0x54e)},'fullscreen':!![]})['then'](function(_0x14d9cb){const _0x54c72c=_0x12e492;_0x407c16[_0x54c72c(0xae2)]['search']=_0x14d9cb===![]?undefined:_0x14d9cb;if(_0x407c16['query'][_0x54c72c(0x24be)]||_0x14d9cb===![])_0x104e99();})['catch'](function(_0x5045f9){const _0x3b994a=_0x12e492;_0x2d2c02[_0x3b994a(0x218e)]({'title':_0x3b994a(0x2260),'msg':_0x5045f9['data']?JSON['stringify'](_0x5045f9['data']['message']):_0x5045f9[_0x3b994a(0x147f)]()});});}let _0x25c60e=!![],_0x359a58=0x1;_0x53873c[_0x3d3caf(0x614)](_0x3d3caf(0x957),function(_0x392e0e,_0x1ed494){const _0xb96b54=_0x3d3caf;_0x25c60e?_0x3638f6(function(){_0x25c60e=![];}):(!_0x1ed494&&(_0x359a58=_0x407c16[_0xb96b54(0xae2)]['page']),_0x392e0e!==_0x1ed494&&(_0x407c16[_0xb96b54(0xae2)]['page']=0x1),!_0x392e0e&&(_0x407c16[_0xb96b54(0xae2)][_0xb96b54(0x1c7b)]=_0x359a58),_0x104e99(_0x407c16[_0xb96b54(0xae2)][_0xb96b54(0x1c7b)]));}),_0x53873c[_0x3d3caf(0x1d6)](_0x3d3caf(0x291c),function(){const _0x58c6db=_0x3d3caf;_0x10ca78[_0x58c6db(0xfb8)](_0x58c6db(0x769)),_0x10ca78['removeAllListeners'](_0x58c6db(0x207c)),_0x10ca78[_0x58c6db(0xfb8)](_0x58c6db(0x584)),_0x10ca78['removeAllListeners'](_0x58c6db(0x105f)),_0x10ca78['removeAllListeners']('faxInteraction:update'),_0x10ca78[_0x58c6db(0xfb8)](_0x58c6db(0xef8)),_0x10ca78[_0x58c6db(0xfb8)]('user_has_fax_interactions:remove');});}const _0x241c44=_0x1df2c0;;_0x84d13f['$inject']=['$scope',_0x5537c6(0x2168),'$mdDialog',_0x5537c6(0x22bf),_0x5537c6(0x1f10),'$translate','api',_0x5537c6(0x1774),_0x5537c6(0x1986),_0x5537c6(0x9bf),_0x5537c6(0x1b68)];function _0x84d13f(_0x5e3b52,_0x18ac0e,_0x3d84bc,_0x91d69e,_0x29d1c2,_0x3a4175,_0xdb0c75,_0x53527d,_0x3252d8,_0x24f435,_0x5231ac){const _0x24c8c2=_0x5537c6,_0x38953d=this;_0x38953d[_0x24c8c2(0xebe)]=_0x53527d['getCurrentUser'](),_0x38953d[_0x24c8c2(0x8a5)]={},_0x38953d[_0x24c8c2(0x9ca)]={},_0x38953d[_0x24c8c2(0xfbf)]={'count':0x0,'rows':[]},_0x38953d[_0x24c8c2(0x1884)]={'count':0x0,'rows':[]},_0x38953d[_0x24c8c2(0xae2)]={'includeAll':!![],'sort':_0x24c8c2(0x282),'limit':0xa,'page':0x1,'read':null,'closed':null},_0x38953d[_0x24c8c2(0x16ad)]={'fields':[{'name':'Id','column':'id','type':_0x24c8c2(0x83d)},{'name':_0x24c8c2(0x8d3),'column':_0x24c8c2(0x8d3),'type':_0x24c8c2(0x19e0),'options':{'searchFields':[_0x24c8c2(0x1491),'lastName','email'],'route':{'model':_0x24c8c2(0xbe7),'action':_0x24c8c2(0xbf7),'params':{'fields':_0x24c8c2(0x9b0),'Contact':_0x24c8c2(0xed6),'nolimit':!![]}},'extraOperators':[_0x24c8c2(0x1fb1)],'excludedOperators':[_0x24c8c2(0x15ce)]}},{'name':_0x24c8c2(0x228c),'column':'subject','type':_0x24c8c2(0x19d3),'options':{'excludedOperators':[_0x24c8c2(0x1c5e),_0x24c8c2(0x15ce)]}},{'name':'Body','column':'plainBody','type':_0x24c8c2(0x19d3),'options':{'excludedOperators':['$eq',_0x24c8c2(0x15ce)]}},{'name':_0x24c8c2(0x938),'column':'closed','type':_0x24c8c2(0x220f),'values':[{'id':0x0,'translate':_0x24c8c2(0x1bbd)},{'id':0x1,'translate':_0x24c8c2(0x191b)}],'options':{'excludedOperators':[_0x24c8c2(0x15ce)]}},{'name':_0x24c8c2(0x2020),'column':_0x24c8c2(0x20ed),'type':_0x24c8c2(0x1d50),'options':{'field':_0x24c8c2(0x16b6),'route':{'model':_0x24c8c2(0xdbe),'action':'get','params':{'nolimit':!![]}},'excludedOperators':[_0x24c8c2(0x62d)]}},{'name':_0x24c8c2(0x30e),'column':_0x24c8c2(0x1597),'type':_0x24c8c2(0x19e0),'options':{'table':'i','route':{'model':_0x24c8c2(0xebe),'action':_0x24c8c2(0xbf7),'params':{'role':_0x24c8c2(0x1eff),'fields':_0x24c8c2(0x1d34),'nolimit':!![]}},'searchFields':['fullname','name'],'extraOperators':[_0x24c8c2(0x1fb1)],'excludedOperators':[_0x24c8c2(0x15ce)]}},{'name':_0x24c8c2(0x1649),'column':_0x24c8c2(0x1285),'type':'multiselect','options':{'route':{'model':_0x24c8c2(0xebe),'action':_0x24c8c2(0xe96),'params':{'id':_0x38953d['user']['id'],'nolimit':!![]}}}},{'name':_0x24c8c2(0x190),'column':_0x24c8c2(0xf2d),'type':'multiselect','options':{'route':{'model':_0x24c8c2(0x22b1),'action':_0x24c8c2(0xbf7),'params':{'nolimit':!![]}},'excludedOperators':[_0x24c8c2(0x62d)]}},{'name':_0x24c8c2(0x68d),'column':'createdAt','type':_0x24c8c2(0x18ec),'options':{'excludedOperators':[_0x24c8c2(0x15ce)]}},{'name':_0x24c8c2(0x946),'column':_0x24c8c2(0x1554),'type':_0x24c8c2(0x220f),'values':[{'id':0x1,'translate':_0x24c8c2(0x27a4)},{'id':0x0,'translate':_0x24c8c2(0x1115)}],'options':{'excludedOperators':[_0x24c8c2(0x15ce)]}}]},_0x38953d[_0x24c8c2(0xaee)]=_0x24c8c2(0x15f2),_0x38953d[_0x24c8c2(0x1a34)]=_0x391574,_0x38953d[_0x24c8c2(0x829)]=_0x510492,_0x38953d[_0x24c8c2(0xc4b)]=_0x61ace,_0x38953d['showMailInteraction']=_0x2600a6,_0x38953d[_0x24c8c2(0x28b0)]=_0x434129,_0x38953d[_0x24c8c2(0x493)]=_0x596a34,_0x38953d['getContactLabel']=_0x10b839,_0x38953d['getAgentLabel']=_0xfbb0c,_0x38953d[_0x24c8c2(0x7ce)]=_0x5a5571,_0x38953d['composeMailInteraction']=_0x472b1f,_0x38953d[_0x24c8c2(0x17c3)]=_0x12eb0b,_0x38953d[_0x24c8c2(0x28a8)]=_0x32122,_0x38953d['openAdvancedSearch']=_0x561cb1,_0x5e3b52[_0x24c8c2(0x1d6)]('openMailDraft',_0xf02aaf);function _0x391574(_0x20533c,_0x150c5f){const _0xcefee0=_0x24c8c2;return _0x38953d[_0xcefee0(0x8a5)]=_0x20533c,_0x38953d['setting']=_0x150c5f,_0xdb0c75['user'][_0xcefee0(0xbf7)+_0x39641b()[_0xcefee0(0x277)](_0xcefee0(0x228e))]({'id':_0x38953d[_0xcefee0(0xebe)]['id'],'nolimit':!![]})['$promise']['then'](function(_0x21ead8){const _0x455954=_0xcefee0;return _0x38953d[_0x455954(0x228e)]=_0x21ead8||{'count':0x0,'rows':[]},_0xdb0c75['tag'][_0x455954(0xbf7)]()['$promise'];})['then'](function(_0x5e6b1a){const _0xb613b9=_0xcefee0;_0x38953d[_0xb613b9(0xfbf)]=_0x5e6b1a||{'count':0x0,'rows':[]};})[_0xcefee0(0x1cb0)](function(){const _0x5ed037=_0xcefee0;return _0xdb0c75['mailSubstatus'][_0x5ed037(0xbf7)]({'nolimit':!![]})['$promise'];})['then'](function(_0x2024d3){_0x38953d['subStatuses']=_0x2024d3||{'count':0x0,'rows':[]};})[_0xcefee0(0x1cb0)](function(){const _0x3262a8=_0xcefee0;_0x38953d[_0x3262a8(0x789)]=_0x203f14();})[_0xcefee0(0x1c4)](function(_0x76da6c){const _0x5d2726=_0xcefee0;console[_0x5d2726(0x218e)](_0x76da6c);})[_0xcefee0(0x2e0)](function(){const _0x2cb1cd=_0xcefee0;let _0x5c8419=_0x29d1c2[_0x2cb1cd(0x1b83)]['getItem'](_0x2cb1cd(0x294f)+_0x38953d[_0x2cb1cd(0xebe)]['id']);if(_0x5c8419)try{_0x5c8419=JSON[_0x2cb1cd(0xefe)](_0x5c8419);if(_0x5c8419[_0x2cb1cd(0xae2)]){_0x38953d['query']=_0x39641b()[_0x2cb1cd(0x9c1)](_0x38953d['query'],_0x5c8419[_0x2cb1cd(0xae2)]);if(_0x39641b()[_0x2cb1cd(0xce9)](_0x38953d[_0x2cb1cd(0x228e)][_0x2cb1cd(0x2214)]))_0x38953d[_0x2cb1cd(0xae2)][_0x2cb1cd(0x1285)]=[];else _0x39641b()[_0x2cb1cd(0xce9)](_0x38953d['query']['MailAccountId'])&&(_0x38953d['query'][_0x2cb1cd(0x1285)]=_0x39641b()(_0x38953d['mailAccounts'][_0x2cb1cd(0x2214)])[_0x2cb1cd(0x1de2)]('id')['take']()['value']());_0x38953d['query']['sort']&&_0x38953d['query'][_0x2cb1cd(0x1381)]['indexOf'](_0x2cb1cd(0x15d6))>=0x0&&(_0x38953d['query']=_0x39641b()[_0x2cb1cd(0x1bd8)](_0x38953d[_0x2cb1cd(0xae2)],_0x2cb1cd(0x1381))),_0x38953d['query'][_0x2cb1cd(0x11f0)]=!![],_0x38953d[_0x2cb1cd(0x1e6c)]=_0x38953d[_0x2cb1cd(0xae2)][_0x2cb1cd(0x1c99)]?!![]:![];}}catch(_0x275a66){console[_0x2cb1cd(0x218e)](_0x275a66);}else{const _0x12637e={'$gte':_0x543b5a()()[_0x2cb1cd(0x1be0)]('month')[_0x2cb1cd(0x17d9)](0x0,!![])[_0x2cb1cd(0x1f31)](),'$lte':_0x543b5a()()[_0x2cb1cd(0x1b4)](_0x2cb1cd(0x26ae))['utcOffset'](0x0,!![])[_0x2cb1cd(0x1f31)]()},_0x5b8aff={'MailAccountId':_0x39641b()[_0x2cb1cd(0x1de2)](_0x38953d[_0x2cb1cd(0x228e)]['rows'],'id'),'createdAt':_0x12637e};_0x38953d['query']=_0x39641b()[_0x2cb1cd(0x9c1)](_0x38953d[_0x2cb1cd(0xae2)],_0x5b8aff);}_0x3252d8['on']('mailInteraction:save',_0x39dfb9),_0x3252d8['on']('mailInteraction:update',_0x39dfb9),_0x3252d8['on']('mailInteractionTags:save',_0x11cb12),_0x3252d8['on'](_0x2cb1cd(0x198b),_0x3833cf),_0x3252d8['on']('mailMessage:update',_0x3833cf),_0x3252d8['on'](_0x2cb1cd(0xdc7),_0xb74072),_0x3252d8['on'](_0x2cb1cd(0x26f9),_0x391316),_0x61ace(_0x38953d[_0x2cb1cd(0xae2)][_0x2cb1cd(0x1c7b)]);});}function _0x203f14(){const _0x27221a=_0x24c8c2;return[{'name':_0x27221a(0x68d),'key':_0x27221a(0x24cb),'type':_0x27221a(0x18ec),'label':'DASHBOARDS.SELECT_DATE'},{'name':_0x27221a(0x223c),'key':_0x27221a(0xfc1),'type':_0x27221a(0x220f),'label':_0x27221a(0x9e5),'customOptions':[{'value':0x0,'translate':_0x27221a(0x1115)},{'value':0x1,'translate':_0x27221a(0x27a4)},{'value':null,'translate':_0x27221a(0x2706)}]},{'name':_0x27221a(0x938),'key':_0x27221a(0x22aa),'type':_0x27221a(0x220f),'label':_0x27221a(0x24b6),'customOptions':[{'value':0x0,'translate':'DASHBOARDS.OPENED'},{'value':0x1,'translate':_0x27221a(0x191b)},{'value':null,'translate':_0x27221a(0x2706)}]},{'name':_0x27221a(0x2020),'key':_0x27221a(0x20ed),'type':_0x27221a(0x220f),'label':_0x27221a(0x143d),'options':_0x38953d[_0x27221a(0x16a)]['rows'],'customOptions':[{'value':'null','translate':'DASHBOARDS.NONE'},{'value':undefined,'translate':'DASHBOARDS.ALL'}],'ngValue':_0x27221a(0x16b6),'ngIf':'closed\x20===\x200'},{'name':_0x27221a(0x1649),'key':_0x27221a(0x1285),'type':_0x27221a(0x1d50),'label':_0x27221a(0xf86),'options':_0x38953d['mailAccounts'][_0x27221a(0x2214)],'clearAll':![]},{'name':'Agent','key':'UserId','type':_0x27221a(0x220f),'label':_0x27221a(0x7bb),'customOptions':[{'value':_0x38953d[_0x27221a(0xebe)]['id'],'translate':_0x27221a(0x1ea6)},{'value':'null','translate':_0x27221a(0x68f)},{'value':undefined,'translate':'DASHBOARDS.ALL'}]},{'name':_0x27221a(0xf2d),'key':'tag','type':'multiselect','label':_0x27221a(0x3c3),'options':_0x38953d[_0x27221a(0xfbf)]['rows'],'placeholder':_0x27221a(0x62b)}];}function _0x510492(_0x20e6b8){const _0x5bb390=_0x24c8c2;_0x38953d[_0x5bb390(0x16cb)]=![],_0x38953d[_0x5bb390(0x1884)]=_0x20e6b8||{'count':0x0,'rows':[]};for(let _0x1867f7=0x0;_0x1867f7<_0x38953d[_0x5bb390(0x1884)][_0x5bb390(0x2214)][_0x5bb390(0xfd0)];_0x1867f7+=0x1){_0x10b839(_0x38953d[_0x5bb390(0x1884)]['rows'][_0x1867f7]);}}function _0x61ace(_0x129739){const _0x36556d=_0x24c8c2;_0x38953d[_0x36556d(0x16cb)]=!![];!_0x129739&&(_0x38953d['query'][_0x36556d(0x1c7b)]=0x1);_0x38953d[_0x36556d(0xae2)]['offset']=(_0x38953d[_0x36556d(0xae2)][_0x36556d(0x1c7b)]-0x1)*_0x38953d[_0x36556d(0xae2)][_0x36556d(0x236)];let _0x30a159=_0x29d1c2[_0x36556d(0x1b83)][_0x36556d(0x2091)](_0x36556d(0x294f)+_0x38953d[_0x36556d(0xebe)]['id']);_0x30a159=_0x30a159?JSON[_0x36556d(0xefe)](_0x30a159):{},_0x30a159[_0x36556d(0xae2)]=_0x38953d[_0x36556d(0xae2)],_0x29d1c2[_0x36556d(0x1b83)][_0x36556d(0x13a8)](_0x36556d(0x294f)+_0x38953d['user']['id'],JSON['stringify'](_0x30a159)),_0x38953d['promise']=_0xdb0c75['mailInteraction'][_0x36556d(0xbf7)](_0x38953d[_0x36556d(0xae2)],_0x510492)[_0x36556d(0x1d77)];}function _0x2600a6(_0x57fb7,_0x44d7c1){const _0x440dc3=_0x24c8c2;_0x5e3b52['$parent']['vm']['addInteractionTab'](_0x440dc3(0x2651),_0x44d7c1);}function _0x434129(_0x14d046,_0x15dc37,_0x458e7b){const _0x49a4fa=_0x24c8c2;_0xdb0c75[_0x49a4fa(0x8e9)][_0x49a4fa(0x26ec)]({'id':_0x15dc37['id'],'attachments':_0x458e7b})[_0x49a4fa(0x1d77)][_0x49a4fa(0x1cb0)](function(_0x3fd98b){const _0x17853f=_0x49a4fa,_0x52eab6=[_0x3fd98b['buffer']],_0x42821a=_0x17853f(0x914)+_0x15dc37['id']+_0x17853f(0x1b16),_0x5ad846=new Blob(_0x52eab6,{'type':_0x3fd98b['type']}),_0x3560ee=window['document']['createElement']('a');_0x3560ee[_0x17853f(0x23b9)](_0x17853f(0x105b),URL[_0x17853f(0x2247)](_0x5ad846)),_0x3560ee[_0x17853f(0x23b9)](_0x17853f(0x26ec),_0x42821a),document[_0x17853f(0x1ed9)][_0x17853f(0x23de)](_0x3560ee),_0x3560ee[_0x17853f(0x20b8)]();})[_0x49a4fa(0x1c4)](function(_0x4f688f){const _0x32485b=_0x49a4fa;if(_0x4f688f[_0x32485b(0x25c)]&&_0x4f688f[_0x32485b(0x25c)][_0x32485b(0x1a7c)]&&_0x4f688f[_0x32485b(0x25c)][_0x32485b(0x1a7c)][_0x32485b(0xfd0)])for(let _0x2e44b9=0x0;_0x2e44b9<_0x4f688f[_0x32485b(0x25c)][_0x32485b(0x1a7c)]['length'];_0x2e44b9+=0x1){_0x24f435[_0x32485b(0x218e)]({'title':_0x4f688f[_0x32485b(0x25c)][_0x32485b(0x1a7c)][_0x2e44b9][_0x32485b(0x66a)],'msg':_0x4f688f[_0x32485b(0x25c)][_0x32485b(0x1a7c)][_0x2e44b9]['message']});}else _0x24f435[_0x32485b(0x218e)]({'title':_0x4f688f[_0x32485b(0x291)]?_0x32485b(0xeb9)+_0x4f688f[_0x32485b(0x291)]+'\x20-\x20'+_0x4f688f[_0x32485b(0xc22)]:'downloadMailInteraction','msg':_0x4f688f[_0x32485b(0x25c)]?JSON[_0x32485b(0x2701)](_0x4f688f['data']['message']):_0x4f688f[_0x32485b(0x147f)]()});});}function _0x596a34(_0x1b3f00,_0x2b6f00){const _0xaade82=_0x24c8c2,_0xfc0e34=_0x38953d[_0xaade82(0xebe)][_0xaade82(0x2478)]||_0x38953d['user'][_0xaade82(0xf0c)]||_0x38953d[_0xaade82(0xebe)][_0xaade82(0x2035)]||_0x38953d[_0xaade82(0xebe)][_0xaade82(0x1c7d)]||_0x38953d[_0xaade82(0xebe)][_0xaade82(0x1ce)],_0x3ffbda=!_0x2b6f00[_0xaade82(0x1649)]['mandatoryDisposition'];_0x3d84bc['show']({'controller':_0xaade82(0x209d),'controllerAs':'vm','templateUrl':_0x3769c1,'parent':angular[_0xaade82(0x1853)](_0x91d69e['body']),'clickOutsideToClose':_0x3ffbda,'escapeToClose':_0x3ffbda,'onRemoving':function(){const _0x997bc4=_0xaade82;_0x38953d['user']&&_0x2b6f00['Account']['mandatoryDisposition']&&!_0xfc0e34&&_0xdb0c75[_0x997bc4(0xebe)][_0x997bc4(0xf5d)]({'id':_0x38953d[_0x997bc4(0xebe)]['id']})[_0x997bc4(0x1d77)][_0x997bc4(0x1c4)](function(_0x37d03d){const _0x1802c3=_0x997bc4;console[_0x1802c3(0x218e)](_0x37d03d);});},'locals':{'user':_0x38953d[_0xaade82(0xebe)],'channel':_0xaade82(0x2651),'interaction':_0x2b6f00}});}function _0x10b839(_0x180ce7){const _0x40c24c=_0x24c8c2;!_0x39641b()['isEmpty'](_0x180ce7['to'])&&_0x180ce7['Account']&&!_0x39641b()[_0x40c24c(0x250a)](_0x180ce7['to'],_0x180ce7[_0x40c24c(0x1649)][_0x40c24c(0x1e19)])?_0x180ce7[_0x40c24c(0x28b6)]=_0x180ce7['to'][_0x40c24c(0xbe1)]('<')[_0x40c24c(0xad3)]()['split']('>')[0x0]:_0x180ce7[_0x40c24c(0x28b6)]=_0x180ce7['Contact']?_0x180ce7[_0x40c24c(0x8d3)][_0x40c24c(0x1e19)]:undefined,_0x180ce7['contactName']===_0x40c24c(0x2087)&&(_0x180ce7[_0x40c24c(0x1325)]=_0x3a4175[_0x40c24c(0x25cc)]('APP.UNKNOWN'));}function _0xfbb0c(_0x38dc06){const _0x397a5c=_0x24c8c2;if(_0x38dc06[_0x397a5c(0x21ab)])return _0x38dc06[_0x397a5c(0x21ab)]===_0x38953d[_0x397a5c(0xebe)]['id']?_0x3a4175[_0x397a5c(0x25cc)](_0x397a5c(0x1ea6)):_0x38dc06[_0x397a5c(0x135d)][_0x397a5c(0x1d14)]+'\x20<'+_0x38dc06[_0x397a5c(0x135d)][_0x397a5c(0xdbd)]+'>';return _0x3a4175[_0x397a5c(0x25cc)](_0x397a5c(0x68f));}function _0x5a5571(_0x3c9f8b){const _0x52616f=_0x24c8c2;if(_0x3c9f8b[_0x52616f(0x1649)])return _0x3c9f8b[_0x52616f(0x1649)][_0x52616f(0xee8)];return _0x3a4175['instant']('APP.UNKNOWN');}function _0xf02aaf(_0x473f8b,_0x21c72e){const _0x4e6d56=_0x24c8c2;_0x21c72e['interaction']?(_0x21c72e['interaction']['isDraft']=!![],_0x2600a6(null,_0x21c72e['interaction'])):_0x3d84bc[_0x4e6d56(0xe27)]({'controller':'ComposeMailInteractionDialogController','controllerAs':'vm','templateUrl':_0x1c8a4d,'parent':angular['element'](_0x91d69e[_0x4e6d56(0x1ed9)]),'clickOutsideToClose':![],'locals':{'account':_0x21c72e['account'],'message':_0x21c72e[_0x4e6d56(0x862)],'type':'draft','interaction':{}},'fullscreen':!![]})[_0x4e6d56(0x1cb0)](function(_0x5d7a60){const _0x686031=_0x4e6d56;if(_0x5d7a60){if(_0x5d7a60[_0x686031(0x155e)]&&_0x5d7a60[_0x686031(0x155e)][_0x686031(0x275)]){const _0x511951={'id':_0x21c72e['id'],'channel':_0x686031(0x2651),'account':_0x5d7a60['account'],'message':_0x5d7a60[_0x686031(0x155e)]};_0x5231ac[_0x686031(0x687)](_0x511951);}else{const _0x43aaa3=_0x5d7a60;return _0xdb0c75[_0x686031(0x131c)][_0x686031(0x199f)]({'id':_0x21c72e[_0x686031(0x1539)]['id']},_0x43aaa3)['$promise']['then'](function(_0x1b8bd7){const _0xc583c3=_0x686031;_0x5231ac[_0xc583c3(0x152a)](_0x21c72e['id']);if(_0x1b8bd7&&_0x1b8bd7[_0xc583c3(0x223c)]&&_0x1b8bd7[_0xc583c3(0x223c)][_0xc583c3(0xfd0)]){if(_0x43aaa3[_0xc583c3(0x1abb)]&&_0x43aaa3['attachments'][_0xc583c3(0xfd0)])for(let _0x139665=0x0;_0x139665<_0x43aaa3[_0xc583c3(0x1abb)][_0xc583c3(0xfd0)];_0x139665+=0x1){_0xdb0c75[_0xc583c3(0x21d7)][_0xc583c3(0x687)]({'id':_0x43aaa3[_0xc583c3(0x1abb)][_0x139665]['id']},{'MailMessageId':_0x39641b()[_0xc583c3(0x2083)](_0x1b8bd7['Messages'])['id']});}_0x24f435[_0xc583c3(0x829)]({'title':_0xc583c3(0x113d),'msg':_0xc583c3(0x71e)});if(_0x1b8bd7[_0xc583c3(0x22aa)]){_0x1b8bd7[_0xc583c3(0x1746)]=null;const _0x1a613a=!_0x21c72e['account']['mandatoryDisposition'],_0x35e1c9=_0x38953d[_0xc583c3(0xebe)][_0xc583c3(0x2478)]||_0x38953d[_0xc583c3(0xebe)][_0xc583c3(0xf0c)]||_0x38953d['user'][_0xc583c3(0x2035)]||_0x38953d[_0xc583c3(0xebe)]['openchannelPause']||_0x38953d[_0xc583c3(0xebe)]['faxPause'];_0x3d84bc[_0xc583c3(0xe27)]({'controller':_0xc583c3(0x209d),'controllerAs':'vm','templateUrl':_0x3769c1,'parent':angular[_0xc583c3(0x1853)](_0x91d69e[_0xc583c3(0x1ed9)]),'onRemoving':function(){const _0x571da1=_0xc583c3;_0x38953d[_0x571da1(0xebe)]&&_0x21c72e[_0x571da1(0x1539)]['mandatoryDisposition']&&!_0x35e1c9&&_0xdb0c75['user'][_0x571da1(0xf5d)]({'id':_0x38953d[_0x571da1(0xebe)]['id']})[_0x571da1(0x1d77)][_0x571da1(0x1c4)](function(_0x45ba40){const _0x1aa2f7=_0x571da1;console[_0x1aa2f7(0x218e)](_0x45ba40);});},'clickOutsideToClose':_0x1a613a,'escapeToClose':_0x1a613a,'locals':{'user':_0x38953d[_0xc583c3(0xebe)],'channel':_0xc583c3(0x2651),'interaction':_0x1b8bd7}});}else _0x5e3b52[_0xc583c3(0x17bc)]['vm']['addInteractionTab'](_0xc583c3(0x2651),_0x1b8bd7);}})[_0x686031(0x1c4)](function(_0x413415){const _0x32c873=_0x686031;if(_0x413415[_0x32c873(0x25c)]&&_0x413415[_0x32c873(0x25c)][_0x32c873(0x1a7c)]&&_0x413415[_0x32c873(0x25c)][_0x32c873(0x1a7c)]['length'])for(let _0x4251d2=0x0;_0x4251d2<_0x413415['data']['errors'][_0x32c873(0xfd0)];_0x4251d2++){_0x24f435['error']({'title':_0x413415['data']['errors'][_0x4251d2][_0x32c873(0x66a)],'msg':_0x413415[_0x32c873(0x25c)][_0x32c873(0x1a7c)][_0x4251d2][_0x32c873(0x155e)]});}else _0x24f435[_0x32c873(0x218e)]({'title':_0x413415['status']?_0x32c873(0xeb9)+_0x413415['status']+'\x20-\x20'+_0x413415[_0x32c873(0xc22)]:_0x32c873(0x1323),'msg':_0x413415[_0x32c873(0x25c)]?JSON[_0x32c873(0x2701)](_0x413415[_0x32c873(0x25c)][_0x32c873(0x155e)]):_0x413415[_0x32c873(0x155e)]||_0x413415[_0x32c873(0x147f)]()});});}}});}function _0x472b1f(_0x35fc72,_0x4cf816){const _0x57085b=_0x24c8c2;_0x3d84bc[_0x57085b(0xe27)]({'controller':_0x57085b(0x2f9),'controllerAs':'vm','templateUrl':_0x1c8a4d,'parent':angular[_0x57085b(0x1853)](_0x91d69e[_0x57085b(0x1ed9)]),'clickOutsideToClose':![],'locals':{'account':_0x4cf816,'message':{},'type':_0x57085b(0x1295),'interaction':{}},'fullscreen':!![]})[_0x57085b(0x1cb0)](function(_0x4b92cc){const _0x3351e4=_0x57085b;if(_0x4b92cc){if(_0x4b92cc[_0x3351e4(0x155e)]&&_0x4b92cc['message'][_0x3351e4(0x275)]){const _0x2cf16d={'channel':_0x3351e4(0x2651),'account':_0x4cf816,'message':_0x4b92cc[_0x3351e4(0x155e)],'contact':_0x4b92cc[_0x3351e4(0x8b1)]};_0x5231ac[_0x3351e4(0x17a1)](_0x2cf16d);}else{const _0x41d1fd=_0x4b92cc;return _0xdb0c75[_0x3351e4(0x131c)][_0x3351e4(0x199f)]({'id':_0x4cf816['id']},_0x41d1fd)[_0x3351e4(0x1d77)]['then'](function(_0xcbfad9){const _0x4a999a=_0x3351e4;if(_0xcbfad9&&_0xcbfad9['Messages']&&_0xcbfad9[_0x4a999a(0x223c)][_0x4a999a(0xfd0)]){if(_0x41d1fd[_0x4a999a(0x1abb)]&&_0x41d1fd[_0x4a999a(0x1abb)][_0x4a999a(0xfd0)])for(let _0x518287=0x0;_0x518287<_0x41d1fd[_0x4a999a(0x1abb)]['length'];_0x518287+=0x1){_0xdb0c75['attachment'][_0x4a999a(0x687)]({'id':_0x41d1fd[_0x4a999a(0x1abb)][_0x518287]['id']},{'MailMessageId':_0x39641b()[_0x4a999a(0x2083)](_0xcbfad9[_0x4a999a(0x223c)])['id']});}_0x24f435[_0x4a999a(0x829)]({'title':_0x4a999a(0x113d),'msg':_0x4a999a(0x71e)});if(_0xcbfad9[_0x4a999a(0x22aa)]){_0xcbfad9['disposition']=null;const _0xceda47=!_0x4cf816[_0x4a999a(0x1935)],_0x20edde=_0x38953d[_0x4a999a(0xebe)]['voicePause']||_0x38953d[_0x4a999a(0xebe)]['chatPause']||_0x38953d[_0x4a999a(0xebe)][_0x4a999a(0x2035)]||_0x38953d['user']['openchannelPause']||_0x38953d['user'][_0x4a999a(0x1ce)];_0x3d84bc[_0x4a999a(0xe27)]({'controller':_0x4a999a(0x209d),'controllerAs':'vm','templateUrl':_0x3769c1,'parent':angular[_0x4a999a(0x1853)](_0x91d69e[_0x4a999a(0x1ed9)]),'onRemoving':function(){const _0x2b4e5c=_0x4a999a;_0x38953d['user']&&_0x4cf816['mandatoryDisposition']&&!_0x20edde&&_0xdb0c75[_0x2b4e5c(0xebe)]['unpause']({'id':_0x38953d[_0x2b4e5c(0xebe)]['id']})[_0x2b4e5c(0x1d77)][_0x2b4e5c(0x1c4)](function(_0x37db3e){const _0x37c522=_0x2b4e5c;console[_0x37c522(0x218e)](_0x37db3e);});},'clickOutsideToClose':_0xceda47,'escapeToClose':_0xceda47,'locals':{'user':_0x38953d[_0x4a999a(0xebe)],'channel':_0x4a999a(0x2651),'interaction':_0xcbfad9}});}else _0x5e3b52[_0x4a999a(0x17bc)]['vm']['addInteractionTab'](_0x4a999a(0x2651),_0xcbfad9);}})['catch'](function(_0x39bc28){const _0x485d39=_0x3351e4;if(_0x39bc28[_0x485d39(0x25c)]&&_0x39bc28[_0x485d39(0x25c)]['errors']&&_0x39bc28[_0x485d39(0x25c)]['errors']['length'])for(let _0x58581e=0x0;_0x58581e<_0x39bc28['data']['errors'][_0x485d39(0xfd0)];_0x58581e++){_0x24f435[_0x485d39(0x218e)]({'title':_0x39bc28[_0x485d39(0x25c)][_0x485d39(0x1a7c)][_0x58581e]['type'],'msg':_0x39bc28[_0x485d39(0x25c)]['errors'][_0x58581e][_0x485d39(0x155e)]});}else _0x24f435[_0x485d39(0x218e)]({'title':_0x39bc28[_0x485d39(0x291)]?_0x485d39(0xeb9)+_0x39bc28[_0x485d39(0x291)]+'\x20-\x20'+_0x39bc28[_0x485d39(0xc22)]:_0x485d39(0x1323),'msg':_0x39bc28['data']?JSON[_0x485d39(0x2701)](_0x39bc28[_0x485d39(0x25c)][_0x485d39(0x155e)]):_0x39bc28[_0x485d39(0x155e)]||_0x39bc28[_0x485d39(0x147f)]()});});}}});}function _0xb74072(_0x676777){const _0x1289cf=_0x24c8c2;let _0x545752;const _0x36a9b0=_0x39641b()[_0x1289cf(0x13b4)](_0x38953d[_0x1289cf(0x1884)][_0x1289cf(0x2214)],{'id':_0x676777[_0x1289cf(0x20e7)]});_0x36a9b0&&_0x36a9b0['Users']&&(_0x545752=_0x39641b()[_0x1289cf(0x13b4)](_0x36a9b0[_0x1289cf(0x2653)],{'id':_0x676777['UserId']}),!_0x545752&&_0x36a9b0[_0x1289cf(0x2653)]['push']({'id':_0x676777['UserId']}));}function _0x391316(_0x137122){const _0x98d55f=_0x24c8c2,_0xf40a8f=_0x39641b()[_0x98d55f(0x13b4)](_0x38953d[_0x98d55f(0x1884)]['rows'],{'id':_0x137122[_0x98d55f(0x20e7)]});_0xf40a8f&&_0xf40a8f[_0x98d55f(0x2653)]&&_0x39641b()[_0x98d55f(0x152a)](_0xf40a8f[_0x98d55f(0x2653)],{'id':_0x137122[_0x98d55f(0x21ab)]});}function _0x39dfb9(_0x3ef834){const _0x2c7c63=_0x24c8c2;if(_0x3ef834){const _0x35fe8e=_0x39641b()[_0x2c7c63(0x13b4)](_0x38953d[_0x2c7c63(0x1884)][_0x2c7c63(0x2214)],{'id':_0x3ef834['id']});if(_0x35fe8e){_0x35fe8e[_0x2c7c63(0x2653)]=[];_0x3ef834[_0x2c7c63(0x22aa)]&&(_0x35fe8e[_0x2c7c63(0x1554)]=0x0);if(_0x38953d[_0x2c7c63(0xae2)][_0x2c7c63(0x1381)]){const _0x399968=_0x39641b()[_0x2c7c63(0x1f2c)](_0x38953d[_0x2c7c63(0xae2)][_0x2c7c63(0x1381)],'-')?_0x2c7c63(0x20a5):_0x2c7c63(0x24cc);_0x38953d[_0x2c7c63(0x1884)][_0x2c7c63(0x2214)]=_0x39641b()[_0x2c7c63(0x17ca)](_0x38953d[_0x2c7c63(0x1884)][_0x2c7c63(0x2214)],_0x39641b()[_0x2c7c63(0x359)](_0x38953d[_0x2c7c63(0xae2)][_0x2c7c63(0x1381)],'-'),_0x399968);}_0x39641b()[_0x2c7c63(0x9c1)](_0x35fe8e,_0x39641b()['pick'](_0x3ef834,_0x39641b()['keys'](_0x35fe8e)));}else _0x61ace(_0x38953d[_0x2c7c63(0xae2)][_0x2c7c63(0x1c7b)]);}}function _0x11cb12(_0x32598c){const _0x7932fe=_0x24c8c2;if(_0x32598c){const _0x257348=_0x39641b()[_0x7932fe(0x13b4)](_0x38953d['mailInteractions'][_0x7932fe(0x2214)],{'id':_0x32598c['id']});_0x257348&&(_0x257348[_0x7932fe(0x190)]=_0x32598c['tags']||[],_0x39641b()[_0x7932fe(0x9c1)](_0x257348,_0x39641b()[_0x7932fe(0x169b)](_0x32598c,_0x39641b()[_0x7932fe(0x1be5)](_0x257348))));}}function _0x3833cf(_0x500037){const _0x16dc08=_0x24c8c2;if(_0x500037&&!_0x500037[_0x16dc08(0x1105)]){const _0x2c26cc=_0x39641b()[_0x16dc08(0x13b4)](_0x38953d[_0x16dc08(0x1884)][_0x16dc08(0x2214)],{'id':_0x500037[_0x16dc08(0x20e7)]});if(_0x2c26cc){const _0x33f959=_0x39641b()[_0x16dc08(0x13b4)](_0x2c26cc[_0x16dc08(0x223c)],{'id':_0x500037['id']});_0x33f959?_0x39641b()['merge'](_0x33f959,_0x39641b()['pick'](_0x500037,_0x39641b()['keys'](_0x33f959))):(_0x39641b()[_0x16dc08(0x958)](_0x2c26cc[_0x16dc08(0x223c)])&&(_0x2c26cc[_0x16dc08(0x223c)]={'count':0x0}),!_0x500037[_0x16dc08(0xfc1)]&&(_0x2c26cc['Messages'][_0x16dc08(0x184d)]+=0x1));}}}function _0x12eb0b(){const _0xd3bcf0=_0x24c8c2;_0x38953d[_0xd3bcf0(0x1e6c)]=![];}function _0x32122(){const _0x1f9460=_0x24c8c2;_0x38953d[_0x1f9460(0x1e6c)]=!![];}function _0x561cb1(){const _0x2e608b=_0x24c8c2;_0x38953d['isSearchBarVisible']=![],_0x3d84bc['show']({'controller':_0x2e608b(0x15f7),'controllerAs':'vm','templateUrl':_0x3530d6,'parent':angular[_0x2e608b(0x1853)](_0x91d69e['body']),'clickOutsideToClose':![],'locals':{'color':_0x38953d[_0x2e608b(0xaee)],'fields':_0x38953d['advancedSearch'][_0x2e608b(0x355)],'storagePath':_0x2e608b(0x112f)},'fullscreen':!![]})['then'](function(_0x4dbcf1){const _0x336b10=_0x2e608b;_0x38953d[_0x336b10(0xae2)]['search']=_0x4dbcf1===![]?undefined:_0x4dbcf1;if(_0x38953d[_0x336b10(0xae2)]['search']||_0x4dbcf1===![])_0x61ace();})[_0x2e608b(0x1c4)](function(_0x1f7c89){const _0x2483f6=_0x2e608b;_0x24f435['error']({'title':_0x2483f6(0x2260),'msg':_0x1f7c89['data']?JSON['stringify'](_0x1f7c89[_0x2483f6(0x25c)][_0x2483f6(0x155e)]):_0x1f7c89[_0x2483f6(0x147f)]()});});}let _0x10adfb=!![],_0x3f050e=0x1;_0x5e3b52[_0x24c8c2(0x614)](_0x24c8c2(0x957),function(_0x3b0f85,_0x55642d){const _0x5774fe=_0x24c8c2;_0x10adfb?_0x18ac0e(function(){_0x10adfb=![];}):(!_0x55642d&&(_0x3f050e=_0x38953d[_0x5774fe(0xae2)]['page']),_0x3b0f85!==_0x55642d&&(_0x38953d[_0x5774fe(0xae2)][_0x5774fe(0x1c7b)]=0x1),!_0x3b0f85&&(_0x38953d[_0x5774fe(0xae2)][_0x5774fe(0x1c7b)]=_0x3f050e),_0x61ace(_0x38953d['query'][_0x5774fe(0x1c7b)]));}),_0x5e3b52[_0x24c8c2(0x1d6)](_0x24c8c2(0x291c),function(){const _0x454881=_0x24c8c2;_0x3252d8[_0x454881(0xfb8)]('mailMessage:save'),_0x3252d8[_0x454881(0xfb8)](_0x454881(0x899)),_0x3252d8['removeAllListeners'](_0x454881(0x5d4)),_0x3252d8[_0x454881(0xfb8)](_0x454881(0x152d)),_0x3252d8[_0x454881(0xfb8)](_0x454881(0xc5d)),_0x3252d8[_0x454881(0xfb8)](_0x454881(0xdc7)),_0x3252d8['removeAllListeners'](_0x454881(0x26f9));});}const _0x4d2313=_0x84d13f;;_0x105e77['$inject']=[_0x5537c6(0x22bf),'$scope',_0x5537c6(0x2168),_0x5537c6(0xcb9),_0x5537c6(0x1774),'api',_0x5537c6(0x1f10),_0x5537c6(0x9bf)];function _0x105e77(_0x21b1d7,_0x14f710,_0x598c91,_0x4b7dbc,_0x57c7c3,_0x549195,_0x205c75,_0xdb2aa2){const _0x28a658=_0x5537c6,_0x54cbe8=this;_0x54cbe8[_0x28a658(0xe76)]=_0x57c7c3[_0x28a658(0x21e8)](),_0x54cbe8[_0x28a658(0x1046)]={},_0x54cbe8[_0x28a658(0x7eb)]={'count':0x0,'rows':[]},_0x54cbe8['tags']={'count':0x0,'rows':[]},_0x54cbe8[_0x28a658(0xae2)]={'includeAll':!![],'id':_0x54cbe8[_0x28a658(0xe76)]['id'],'sort':_0x28a658(0x282),'limit':0xa,'page':0x1},_0x54cbe8[_0x28a658(0x16ad)]={'fields':[]},_0x54cbe8[_0x28a658(0xaee)]='red',_0x54cbe8['getContacts']=_0x305e91,_0x54cbe8[_0x28a658(0x752)]=_0x1a94e0,_0x54cbe8[_0x28a658(0x1ecc)]=_0x212bd5,_0x54cbe8[_0x28a658(0x211a)]=_0x45e81a,_0x54cbe8[_0x28a658(0x122c)]=_0x439390,_0x54cbe8[_0x28a658(0x205d)]=_0x14f710['$parent']['vm'][_0x28a658(0x205d)],_0x54cbe8[_0x28a658(0x1a34)]=_0x4b2f98,_0x54cbe8['onSearchBarCollapse']=_0x14744e,_0x54cbe8[_0x28a658(0x28a8)]=_0x3b3b9f,_0x54cbe8[_0x28a658(0x1ede)]=_0x8a8cbf;function _0x4b2f98(){const _0x431d9a=_0x28a658;_0x549195[_0x431d9a(0x22f2)][_0x431d9a(0xbf7)]({'fields':'id,name,dialPrefix','sort':_0x431d9a(0x16b6),'nolimit':!![]})[_0x431d9a(0x1d77)][_0x431d9a(0x1cb0)](function(_0x3d99fc){const _0x287c25=_0x431d9a;return _0x54cbe8[_0x287c25(0x1046)]=_0x3d99fc||{'count':0x0,'rows':[]},_0x54cbe8['listsMap']=_0x39641b()[_0x287c25(0x2631)](_0x54cbe8[_0x287c25(0x1046)]['rows'],'id'),_0x549195[_0x287c25(0x22b1)][_0x287c25(0xbf7)]()['$promise'];})[_0x431d9a(0x1cb0)](function(_0xa2b0c5){const _0x197b21=_0x431d9a;_0x54cbe8[_0x197b21(0xfbf)]=_0xa2b0c5||{'count':0x0,'rows':[]};})[_0x431d9a(0x1cb0)](function(){const _0x3493df=_0x431d9a;_0x54cbe8[_0x3493df(0x789)]=_0x145664();})[_0x431d9a(0x1c4)](function(_0x3655b0){const _0x114488=_0x431d9a;console[_0x114488(0x218e)](_0x3655b0);})[_0x431d9a(0x2e0)](function(){const _0x5e2b11=_0x431d9a;let _0x191a22=_0x205c75[_0x5e2b11(0x1b83)][_0x5e2b11(0x2091)]('motion2.home.mycontacts:'+_0x54cbe8['currentUser']['id']);if(_0x191a22)try{_0x191a22=JSON[_0x5e2b11(0xefe)](_0x191a22);if(_0x191a22[_0x5e2b11(0xae2)]){_0x54cbe8[_0x5e2b11(0xae2)]=_0x39641b()[_0x5e2b11(0x9c1)](_0x54cbe8[_0x5e2b11(0xae2)],_0x191a22[_0x5e2b11(0xae2)]);if(_0x39641b()[_0x5e2b11(0xce9)](_0x54cbe8[_0x5e2b11(0x1046)][_0x5e2b11(0x2214)]))_0x54cbe8[_0x5e2b11(0xae2)][_0x5e2b11(0x20a6)]=[];else _0x39641b()[_0x5e2b11(0xce9)](_0x54cbe8[_0x5e2b11(0xae2)]['ListId'])&&(_0x54cbe8[_0x5e2b11(0xae2)][_0x5e2b11(0x20a6)]=_0x39641b()(_0x54cbe8[_0x5e2b11(0x1046)][_0x5e2b11(0x2214)])[_0x5e2b11(0x1de2)]('id')[_0x5e2b11(0x54f)]()[_0x5e2b11(0x327)]());}}catch(_0x2dad5a){console[_0x5e2b11(0x218e)](_0x2dad5a);}else _0x54cbe8['query'][_0x5e2b11(0x20a6)]=_0x39641b()[_0x5e2b11(0x1de2)](_0x54cbe8['lists']['rows'],'id');_0x54cbe8[_0x5e2b11(0x1e6c)]=_0x54cbe8[_0x5e2b11(0xae2)][_0x5e2b11(0x1c99)]?!![]:![],_0x305e91();});}function _0x145664(){const _0x1ad6e9=_0x28a658;return[{'name':_0x1ad6e9(0x23b0),'key':_0x1ad6e9(0x20a6),'type':_0x1ad6e9(0x1d50),'label':'CONTACTMANAGER.SELECT_LIST','options':_0x54cbe8[_0x1ad6e9(0x1046)]['rows'],'clearAll':![]},{'name':'Tag','key':'tag','type':_0x1ad6e9(0x1d50),'label':_0x1ad6e9(0x3c3),'options':_0x54cbe8['tags']['rows'],'placeholder':_0x1ad6e9(0x62b)}];}function _0x5a7f20(_0x36db6d){const _0x54db57=_0x28a658;_0x54cbe8[_0x54db57(0x16cb)]=![],_0x54cbe8[_0x54db57(0x7eb)]=_0x36db6d||{'count':0x0,'rows':[]};}function _0x305e91(_0x178e9d){const _0x8e6025=_0x28a658;_0x54cbe8['searchInProgress']=!![],_0x3c9bad();!_0x178e9d&&(_0x54cbe8[_0x8e6025(0xae2)][_0x8e6025(0x1c7b)]=0x1);_0x54cbe8[_0x8e6025(0xae2)][_0x8e6025(0x184b)]=(_0x54cbe8[_0x8e6025(0xae2)][_0x8e6025(0x1c7b)]-0x1)*_0x54cbe8[_0x8e6025(0xae2)][_0x8e6025(0x236)];let _0x417365=_0x205c75[_0x8e6025(0x1b83)][_0x8e6025(0x2091)]('motion2.home.mycontacts:'+_0x54cbe8[_0x8e6025(0xe76)]['id']);_0x417365=_0x417365?JSON[_0x8e6025(0xefe)](_0x417365):{},_0x417365[_0x8e6025(0xae2)]=_0x54cbe8[_0x8e6025(0xae2)],_0x205c75['localStorage'][_0x8e6025(0x13a8)](_0x8e6025(0x22e4)+_0x54cbe8['currentUser']['id'],JSON['stringify'](_0x417365));if(_0x54cbe8[_0x8e6025(0xae2)][_0x8e6025(0x24be)])_0x54cbe8['advancedQuery']=_0x39641b()[_0x8e6025(0x1bd8)](_0x54cbe8[_0x8e6025(0xae2)],'id');_0x54cbe8[_0x8e6025(0x2061)]=_0x54cbe8[_0x8e6025(0xae2)][_0x8e6025(0x24be)]?_0x549195[_0x8e6025(0xbe7)][_0x8e6025(0xbf7)](_0x54cbe8['advancedQuery'],_0x5a7f20)[_0x8e6025(0x1d77)]:_0x549195[_0x8e6025(0xebe)][_0x8e6025(0x1586)](_0x54cbe8[_0x8e6025(0xae2)],_0x5a7f20)[_0x8e6025(0x1d77)];}function _0x439390(_0x1821b5,_0x14b903){const _0x529980=_0x28a658;_0x14f710[_0x529980(0x17bc)]['vm'][_0x529980(0x1bac)](_0x14b903);}function _0x1a94e0(){_0x305e91();}function _0x45e81a(_0x24c21c,_0x195118){const _0x417bdc=_0x28a658;_0x4b7dbc[_0x417bdc(0xe27)]({'controller':_0x417bdc(0xc73),'controllerAs':'vm','templateUrl':_0x4e0982,'parent':angular['element'](_0x21b1d7['body']),'targetEvent':_0x24c21c,'clickOutsideToClose':!![],'resolve':{'contact':[_0x417bdc(0x1e0b),function(_0x493d9d){const _0x3b00fe=_0x417bdc;return _0x493d9d[_0x3b00fe(0x19a3)](_0x3b00fe(0x1095),{'id':_0x195118['id']});}],'lists':[_0x417bdc(0x1e0b),function(_0x442747){const _0x2e3576=_0x417bdc;return _0x442747[_0x2e3576(0x19a3)](_0x2e3576(0xbcf),{'id':_0x54cbe8['currentUser']['id'],'fields':_0x2e3576(0x43c)});}]},'locals':{'contacts':_0x54cbe8[_0x417bdc(0x7eb)][_0x417bdc(0x2214)]}});}function _0x212bd5(_0x44f7fd,_0x3cb1dc){const _0x5a4a8b=_0x28a658;_0x4b7dbc[_0x5a4a8b(0xe27)]({'controller':_0x5a4a8b(0x219f),'controllerAs':'vm','templateUrl':_0x860e6c,'parent':angular[_0x5a4a8b(0x1853)](_0x21b1d7[_0x5a4a8b(0x1ed9)]),'targetEvent':_0x44f7fd,'clickOutsideToClose':!![],'resolve':{'contact':[_0x5a4a8b(0x1e0b),function(_0x1d3a3c){const _0x179a5a=_0x5a4a8b;return _0x1d3a3c[_0x179a5a(0x19a3)](_0x179a5a(0x1095),{'id':_0x3cb1dc['id']});}],'lists':[_0x5a4a8b(0x1e0b),function(_0x4b295d){const _0x4b1dc3=_0x5a4a8b;return _0x4b295d[_0x4b1dc3(0x19a3)](_0x4b1dc3(0xbcf),{'id':_0x54cbe8[_0x4b1dc3(0xe76)]['id'],'fields':_0x4b1dc3(0x43c)});}]},'locals':{'contacts':_0x54cbe8[_0x5a4a8b(0x7eb)][_0x5a4a8b(0x2214)]}});}function _0x14744e(){const _0x431302=_0x28a658;_0x54cbe8[_0x431302(0x1e6c)]=![];}function _0x3b3b9f(){_0x54cbe8['isSearchBarVisible']=!![];}function _0x3c9bad(){const _0x1b906f=_0x28a658;return _0x549195[_0x1b906f(0xbe7)][_0x1b906f(0x1e57)]({'id':_0x54cbe8[_0x1b906f(0xae2)]['ListId']})['$promise']['then'](function(_0x248fdb){const _0x206528=_0x1b906f;return _0x39641b()(_0x248fdb[_0x206528(0x19b2)]())[_0x206528(0x1bd8)](_0x206528(0x21ab))['values']()[_0x206528(0x1de2)](function(_0x1d3296){return _0x4fbbb2(_0x1d3296);})[_0x206528(0x327)]();})[_0x1b906f(0x1cb0)](function(_0x65a303){const _0x157b3f=_0x1b906f;_0x54cbe8[_0x157b3f(0x16ad)]['fields']=_0x65a303;const _0x12845b={'name':_0x157b3f(0x190),'column':_0x157b3f(0xf2d),'type':_0x157b3f(0x1d50),'options':{'route':{'model':'tag','action':'get','params':{'nolimit':!![]}},'excludedOperators':[_0x157b3f(0x62d)]}};let _0x52f934=_0x39641b()[_0x157b3f(0x3c2)](_0x65a303,function(_0x192d72){const _0x25c784=_0x157b3f;return _0x39641b()['startsWith'](_0x192d72[_0x25c784(0xa9c)],_0x25c784(0x1e4b));})||_0x65a303[_0x157b3f(0xfd0)]-0x1;if(_0x52f934<0x0)_0x52f934=_0x65a303[_0x157b3f(0xfd0)];_0x54cbe8[_0x157b3f(0x16ad)][_0x157b3f(0x355)][_0x157b3f(0x159c)](_0x52f934,0x0,_0x12845b);})['catch'](function(_0x55ff1f){const _0xda4b5e=_0x1b906f;_0xdb2aa2[_0xda4b5e(0x218e)]({'title':_0x55ff1f[_0xda4b5e(0x291)]?_0xda4b5e(0xeb9)+_0x55ff1f['status']+_0xda4b5e(0x1657)+_0x55ff1f['statusText']:_0xda4b5e(0x2795),'msg':_0x55ff1f[_0xda4b5e(0x25c)]?JSON['stringify'](_0x55ff1f[_0xda4b5e(0x25c)]['message']):_0x55ff1f[_0xda4b5e(0x147f)]()});});}function _0x4fbbb2(_0x260e83){const _0x3b40b1=_0x28a658,_0x38a6ad={'name':_0x39641b()['startCase'](_0x260e83[_0x3b40b1(0x254b)]),'column':_0x260e83[_0x3b40b1(0x34f)],'options':{}};if(_0x260e83[_0x3b40b1(0x197c)])switch(_0x260e83['custom']['type']){case'text':_0x38a6ad[_0x3b40b1(0x66a)]='text';break;case _0x3b40b1(0x220f):_0x38a6ad['type']=_0x3b40b1(0x1d50),_0x38a6ad[_0x3b40b1(0x27aa)]=_0x39641b()[_0x3b40b1(0x1de2)](JSON[_0x3b40b1(0xefe)](_0x260e83[_0x3b40b1(0x197c)][_0x3b40b1(0x27aa)]),function(_0x59483a,_0x64e3ac){const _0x1717d5=_0x3b40b1;return{'id':_0x64e3ac,'name':_0x59483a[_0x1717d5(0x327)],'value':_0x59483a[_0x1717d5(0x327)]};}),_0x38a6ad[_0x3b40b1(0x2224)][_0x3b40b1(0x2198)]=_0x3b40b1(0x16b6);break;case _0x3b40b1(0x1f0e):_0x38a6ad[_0x3b40b1(0x66a)]=_0x3b40b1(0x220f),_0x38a6ad[_0x3b40b1(0x27aa)]=[{'id':0x1,'translate':_0x3b40b1(0x267d)},{'id':0x0,'translate':_0x3b40b1(0x2430)}],_0x38a6ad['options']['excludedOperators']=[_0x3b40b1(0x15ce)];break;case'number':_0x38a6ad[_0x3b40b1(0x66a)]=_0x3b40b1(0x83d);break;case'datetime':_0x38a6ad[_0x3b40b1(0x66a)]=_0x3b40b1(0x18ec);break;}else switch(_0x260e83[_0x3b40b1(0x34f)]){case _0x3b40b1(0xdda):_0x38a6ad['type']=_0x3b40b1(0x18ec);break;case _0x3b40b1(0x27ac):_0x38a6ad[_0x3b40b1(0x16b6)]=_0x3b40b1(0x1f99),_0x38a6ad[_0x3b40b1(0x66a)]=_0x3b40b1(0x19e0),_0x38a6ad[_0x3b40b1(0x2224)][_0x3b40b1(0x15d0)]={'model':'cmCompany','action':'get','params':{'fields':_0x3b40b1(0x43c),'nolimit':!![]}},_0x38a6ad[_0x3b40b1(0x2224)][_0x3b40b1(0x256c)]=['name'],_0x38a6ad[_0x3b40b1(0x2224)]['excludedOperators']=['$ne'];break;case _0x3b40b1(0x20a6):_0x38a6ad['name']='List',_0x38a6ad[_0x3b40b1(0x66a)]='autocomplete',_0x38a6ad['options'][_0x3b40b1(0x15d0)]={'model':_0x3b40b1(0xebe),'action':'getLists','params':{'id':_0x54cbe8[_0x3b40b1(0xe76)]['id'],'fields':_0x3b40b1(0x43c),'nolimit':!![]}},_0x38a6ad['options'][_0x3b40b1(0x256c)]=[_0x3b40b1(0x16b6)],_0x38a6ad[_0x3b40b1(0x2224)][_0x3b40b1(0x9db)]=['$ne'];break;default:if(_0x39641b()[_0x3b40b1(0x250a)](_0x260e83['type'][_0x3b40b1(0x1680)](),_0x3b40b1(0x68b)))_0x38a6ad[_0x3b40b1(0x66a)]='number';else _0x39641b()[_0x3b40b1(0x250a)](_0x260e83[_0x3b40b1(0x66a)][_0x3b40b1(0x1680)](),_0x3b40b1(0x18ec))?_0x38a6ad[_0x3b40b1(0x66a)]=_0x3b40b1(0x18ec):_0x38a6ad[_0x3b40b1(0x66a)]=_0x3b40b1(0x19d3);break;}return _0x38a6ad;}function _0x8a8cbf(){const _0x2435a1=_0x28a658;_0x54cbe8[_0x2435a1(0x1e6c)]=![],_0x4b7dbc[_0x2435a1(0xe27)]({'controller':_0x2435a1(0x15f7),'controllerAs':'vm','templateUrl':_0x3530d6,'parent':angular['element'](_0x21b1d7['body']),'clickOutsideToClose':![],'locals':{'color':_0x54cbe8['sectionColor'],'fields':_0x54cbe8[_0x2435a1(0x16ad)][_0x2435a1(0x355)],'storagePath':_0x2435a1(0x14da)},'fullscreen':!![]})[_0x2435a1(0x1cb0)](function(_0x39f730){const _0x48182e=_0x2435a1;_0x54cbe8[_0x48182e(0xae2)]['search']=_0x39f730===![]?undefined:_0x39f730;if(_0x39f730===![])_0x305e91();else _0x54cbe8[_0x48182e(0xae2)][_0x48182e(0x24be)]&&(_0x54cbe8['query'][_0x48182e(0x24be)]+='||User:=$eq['+_0x54cbe8[_0x48182e(0xe76)]['id']+']',_0x305e91());})['catch'](function(_0x1447ab){const _0x2a0e47=_0x2435a1;_0xdb2aa2[_0x2a0e47(0x218e)]({'title':_0x2a0e47(0x2260),'msg':_0x1447ab[_0x2a0e47(0x25c)]?JSON[_0x2a0e47(0x2701)](_0x1447ab[_0x2a0e47(0x25c)]['message']):_0x1447ab[_0x2a0e47(0x147f)]()});});}let _0x2511aa=!![],_0x5df94b=0x1;_0x14f710[_0x28a658(0x614)]('vm.query.filter',function(_0x4e56a1,_0x2946a0){const _0x357786=_0x28a658;_0x2511aa?_0x598c91(function(){_0x2511aa=![];}):(!_0x2946a0&&(_0x5df94b=_0x54cbe8[_0x357786(0xae2)]['page']),_0x4e56a1!==_0x2946a0&&(_0x54cbe8[_0x357786(0xae2)]['page']=0x1),!_0x4e56a1&&(_0x54cbe8[_0x357786(0xae2)][_0x357786(0x1c7b)]=_0x5df94b),_0x305e91());});}const _0x41df01=_0x105e77;;_0x4c33f8['$inject']=[_0x5537c6(0x1463),'$timeout',_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x1f10),_0x5537c6(0x1ae),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1986),'toasty',_0x5537c6(0x1b68)];function _0x4c33f8(_0x27d430,_0x2aa1b9,_0x1e0428,_0x330e19,_0x5608f7,_0x2849cf,_0x163c95,_0xab883d,_0x2f88f4,_0x1beb0a,_0x10a08a){const _0x474d70=_0x5537c6,_0x2b0b66=this;_0x2b0b66['user']=_0xab883d[_0x474d70(0x21e8)](),_0x2b0b66[_0x474d70(0x8a5)]={},_0x2b0b66[_0x474d70(0x9ca)]={},_0x2b0b66[_0x474d70(0xfbf)]={'count':0x0,'rows':[]},_0x2b0b66[_0x474d70(0x1e9c)]={'count':0x0,'rows':[]},_0x2b0b66['query']={'includeAll':!![],'sort':_0x474d70(0x282),'limit':0xa,'page':0x1,'read':null,'closed':null},_0x2b0b66[_0x474d70(0x16ad)]={'fields':[{'name':'Id','column':'id','type':'number'},{'name':_0x474d70(0x8d3),'column':_0x474d70(0x8d3),'type':'autocomplete','options':{'searchFields':['firstName',_0x474d70(0x1fbb),_0x474d70(0x1e19)],'route':{'model':_0x474d70(0xbe7),'action':_0x474d70(0xbf7),'params':{'fields':'id,firstName,lastName,email','Contact':_0x474d70(0xed6),'nolimit':!![]}},'extraOperators':[_0x474d70(0x1fb1)],'excludedOperators':['$ne']}},{'name':_0x474d70(0x269b),'column':_0x474d70(0x1ed9),'type':'text','options':{'excludedOperators':[_0x474d70(0x1c5e),'$ne']}},{'name':_0x474d70(0x938),'column':_0x474d70(0x22aa),'type':_0x474d70(0x220f),'values':[{'id':0x0,'translate':'DASHBOARDS.OPENED'},{'id':0x1,'translate':_0x474d70(0x191b)}],'options':{'excludedOperators':['$ne']}},{'name':_0x474d70(0x30e),'column':_0x474d70(0x1597),'type':_0x474d70(0x19e0),'options':{'table':'i','route':{'model':'user','action':_0x474d70(0xbf7),'params':{'role':_0x474d70(0x1eff),'fields':_0x474d70(0x1d34),'nolimit':!![]}},'searchFields':[_0x474d70(0x1d14),_0x474d70(0x16b6)],'extraOperators':[_0x474d70(0x1fb1)],'excludedOperators':['$ne']}},{'name':_0x474d70(0x1649),'column':_0x474d70(0x1d1e),'type':_0x474d70(0x1d50),'options':{'route':{'model':_0x474d70(0xebe),'action':_0x474d70(0x29c4),'params':{'id':_0x2b0b66[_0x474d70(0xebe)]['id'],'nolimit':!![]}}}},{'name':_0x474d70(0x190),'column':'Tag','type':'multiselect','options':{'route':{'model':'tag','action':_0x474d70(0xbf7),'params':{'nolimit':!![]}},'excludedOperators':[_0x474d70(0x62d)]}},{'name':_0x474d70(0x68d),'column':_0x474d70(0x24cb),'type':_0x474d70(0x18ec),'options':{'excludedOperators':['$ne']}},{'name':'Read','column':_0x474d70(0x1554),'type':_0x474d70(0x220f),'values':[{'id':0x1,'translate':_0x474d70(0x27a4)},{'id':0x0,'translate':_0x474d70(0x1115)}],'options':{'excludedOperators':['$ne']}}]},_0x2b0b66[_0x474d70(0xaee)]='amber',_0x2b0b66[_0x474d70(0x1a34)]=_0x374c78,_0x2b0b66[_0x474d70(0x829)]=_0x151668,_0x2b0b66[_0x474d70(0xd90)]=_0x342b98,_0x2b0b66[_0x474d70(0xb1b)]=_0x2268b7,_0x2b0b66[_0x474d70(0x679)]=_0x2870d5,_0x2b0b66['disposeInteraction']=_0x20383b,_0x2b0b66[_0x474d70(0x2929)]=_0x27297e,_0x2b0b66[_0x474d70(0x11e5)]=_0x32973d,_0x2b0b66[_0x474d70(0x2762)]=_0x5450b8,_0x2b0b66[_0x474d70(0x19cf)]=_0x4cba34,_0x2b0b66['onSearchBarCollapse']=_0x46fbb6,_0x2b0b66[_0x474d70(0x28a8)]=_0x3c7bc6,_0x2b0b66['openAdvancedSearch']=_0x44b81c;function _0x374c78(_0x125080,_0x378541){const _0x3fcdf9=_0x474d70;return _0x2b0b66[_0x3fcdf9(0x8a5)]=_0x125080,_0x2b0b66['setting']=_0x378541,_0x163c95[_0x3fcdf9(0xebe)][_0x3fcdf9(0xbf7)+_0x39641b()[_0x3fcdf9(0x277)](_0x3fcdf9(0xe5c))]({'id':_0x2b0b66[_0x3fcdf9(0xebe)]['id'],'nolimit':!![]})['$promise'][_0x3fcdf9(0x1cb0)](function(_0x7ace39){const _0xa92fc7=_0x3fcdf9;return _0x2b0b66[_0xa92fc7(0xe5c)]=_0x7ace39||{'count':0x0,'rows':[]},_0x163c95[_0xa92fc7(0x22b1)][_0xa92fc7(0xbf7)]()[_0xa92fc7(0x1d77)];})[_0x3fcdf9(0x1cb0)](function(_0x2ab138){const _0x48890b=_0x3fcdf9;_0x2b0b66[_0x48890b(0xfbf)]=_0x2ab138||{'count':0x0,'rows':[]};})['then'](function(){const _0x3e4680=_0x3fcdf9;_0x2b0b66[_0x3e4680(0x789)]=_0x5cfeed();})[_0x3fcdf9(0x1c4)](function(_0x41b066){const _0x28a62c=_0x3fcdf9;console[_0x28a62c(0x218e)](_0x41b066);})[_0x3fcdf9(0x2e0)](function(){const _0x30cd8d=_0x3fcdf9;let _0x1b497f=_0x5608f7[_0x30cd8d(0x1b83)]['getItem'](_0x30cd8d(0x1443)+_0x2b0b66['user']['id']);if(_0x1b497f)try{_0x1b497f=JSON[_0x30cd8d(0xefe)](_0x1b497f);if(_0x1b497f[_0x30cd8d(0xae2)]){_0x2b0b66[_0x30cd8d(0xae2)]=_0x39641b()[_0x30cd8d(0x9c1)](_0x2b0b66['query'],_0x1b497f['query']);if(_0x39641b()[_0x30cd8d(0xce9)](_0x2b0b66[_0x30cd8d(0xe5c)]['rows']))_0x2b0b66[_0x30cd8d(0xae2)]['OpenchannelAccountId']=[];else _0x39641b()[_0x30cd8d(0xce9)](_0x2b0b66[_0x30cd8d(0xae2)]['OpenchannelAccountId'])&&(_0x2b0b66[_0x30cd8d(0xae2)][_0x30cd8d(0x1d1e)]=_0x39641b()(_0x2b0b66['openchannelAccounts'][_0x30cd8d(0x2214)])[_0x30cd8d(0x1de2)]('id')['take']()['value']());_0x2b0b66[_0x30cd8d(0xae2)][_0x30cd8d(0x1381)]&&_0x2b0b66[_0x30cd8d(0xae2)][_0x30cd8d(0x1381)][_0x30cd8d(0xd8a)](_0x30cd8d(0x15d6))>=0x0&&(_0x2b0b66[_0x30cd8d(0xae2)]=_0x39641b()['omit'](_0x2b0b66['query'],_0x30cd8d(0x1381))),_0x2b0b66[_0x30cd8d(0xae2)]['includeAll']=!![],_0x2b0b66[_0x30cd8d(0x1e6c)]=_0x2b0b66[_0x30cd8d(0xae2)][_0x30cd8d(0x1c99)]?!![]:![];}}catch(_0x5ae5a4){console[_0x30cd8d(0x218e)](_0x5ae5a4);}else{const _0x4d165c={'$gte':_0x543b5a()()[_0x30cd8d(0x1be0)](_0x30cd8d(0x26ae))[_0x30cd8d(0x17d9)](0x0,!![])[_0x30cd8d(0x1f31)](),'$lte':_0x543b5a()()[_0x30cd8d(0x1b4)](_0x30cd8d(0x26ae))[_0x30cd8d(0x17d9)](0x0,!![])[_0x30cd8d(0x1f31)]()},_0x1ae82e={'OpenchannelAccountId':_0x39641b()[_0x30cd8d(0x1de2)](_0x2b0b66[_0x30cd8d(0xe5c)][_0x30cd8d(0x2214)],'id'),'createdAt':_0x4d165c};_0x2b0b66[_0x30cd8d(0xae2)]=_0x39641b()[_0x30cd8d(0x9c1)](_0x2b0b66[_0x30cd8d(0xae2)],_0x1ae82e);}_0x2f88f4['on']('openchannelInteraction:save',_0x169c98),_0x2f88f4['on'](_0x30cd8d(0xaf7),_0x169c98),_0x2f88f4['on'](_0x30cd8d(0x4e4),_0x345308),_0x2f88f4['on'](_0x30cd8d(0x624),_0x53f034),_0x2f88f4['on'](_0x30cd8d(0x1d91),_0x53f034),_0x2f88f4['on'](_0x30cd8d(0x8f1),_0x4da0c8),_0x2f88f4['on'](_0x30cd8d(0x1d10),_0x2c171d),_0x342b98(_0x2b0b66[_0x30cd8d(0xae2)][_0x30cd8d(0x1c7b)]);});}function _0x5cfeed(){const _0x3bfd1d=_0x474d70;return[{'name':_0x3bfd1d(0x68d),'key':_0x3bfd1d(0x24cb),'type':_0x3bfd1d(0x18ec),'label':_0x3bfd1d(0xf5e)},{'name':_0x3bfd1d(0x223c),'key':_0x3bfd1d(0xfc1),'type':_0x3bfd1d(0x220f),'label':_0x3bfd1d(0x9e5),'customOptions':[{'value':0x0,'translate':_0x3bfd1d(0x1115)},{'value':0x1,'translate':_0x3bfd1d(0x27a4)},{'value':null,'translate':'DASHBOARDS.ALL'}]},{'name':'Status','key':'closed','type':'select','label':'DASHBOARDS.SELECT_STATUS','customOptions':[{'value':0x0,'translate':_0x3bfd1d(0x1bbd)},{'value':0x1,'translate':_0x3bfd1d(0x191b)},{'value':null,'translate':_0x3bfd1d(0x2706)}]},{'name':_0x3bfd1d(0x1649),'key':_0x3bfd1d(0x1d1e),'type':_0x3bfd1d(0x1d50),'label':_0x3bfd1d(0xf86),'options':_0x2b0b66[_0x3bfd1d(0xe5c)]['rows'],'clearAll':![]},{'name':_0x3bfd1d(0x30e),'key':_0x3bfd1d(0x21ab),'type':_0x3bfd1d(0x220f),'label':_0x3bfd1d(0x7bb),'customOptions':[{'value':_0x2b0b66['user']['id'],'translate':_0x3bfd1d(0x1ea6)},{'value':_0x3bfd1d(0xd38),'translate':_0x3bfd1d(0x68f)},{'value':undefined,'translate':_0x3bfd1d(0x2706)}]},{'name':'Tag','key':_0x3bfd1d(0x22b1),'type':_0x3bfd1d(0x1d50),'label':_0x3bfd1d(0x3c3),'options':_0x2b0b66['tags'][_0x3bfd1d(0x2214)],'placeholder':_0x3bfd1d(0x62b)}];}function _0x151668(_0x5bb647){const _0x45850b=_0x474d70;_0x2b0b66[_0x45850b(0x16cb)]=![],_0x2b0b66[_0x45850b(0x1e9c)]=_0x5bb647||{'count':0x0,'rows':[]};for(let _0x1a4894=0x0;_0x1a4894<_0x2b0b66[_0x45850b(0x1e9c)][_0x45850b(0x2214)][_0x45850b(0xfd0)];_0x1a4894+=0x1){_0x27297e(_0x2b0b66['openchannelInteractions'][_0x45850b(0x2214)][_0x1a4894]);}}function _0x342b98(_0xbf932){const _0x724e9=_0x474d70;_0x2b0b66[_0x724e9(0x16cb)]=!![];!_0xbf932&&(_0x2b0b66[_0x724e9(0xae2)][_0x724e9(0x1c7b)]=0x1);_0x2b0b66[_0x724e9(0xae2)]['offset']=(_0x2b0b66['query'][_0x724e9(0x1c7b)]-0x1)*_0x2b0b66['query']['limit'];let _0x32cf5e=_0x5608f7[_0x724e9(0x1b83)]['getItem'](_0x724e9(0x1443)+_0x2b0b66[_0x724e9(0xebe)]['id']);_0x32cf5e=_0x32cf5e?JSON[_0x724e9(0xefe)](_0x32cf5e):{},_0x32cf5e[_0x724e9(0xae2)]=_0x2b0b66[_0x724e9(0xae2)],_0x5608f7['localStorage'][_0x724e9(0x13a8)]('motion2.home.openchannel:'+_0x2b0b66[_0x724e9(0xebe)]['id'],JSON[_0x724e9(0x2701)](_0x32cf5e)),_0x2b0b66[_0x724e9(0x2061)]=_0x163c95['openchannelInteraction'][_0x724e9(0xbf7)](_0x2b0b66[_0x724e9(0xae2)],_0x151668)[_0x724e9(0x1d77)];}function _0x2268b7(_0x353729,_0x2a7a21){const _0x46a02c=_0x474d70;_0x27d430[_0x46a02c(0x17bc)]['vm'][_0x46a02c(0x11d9)](_0x46a02c(0x15e0),_0x2a7a21);}function _0x2870d5(_0x53e74d,_0x2d87d1,_0x3a98cf){const _0x51fd04=_0x474d70;_0x163c95[_0x51fd04(0x1f5b)][_0x51fd04(0x26ec)]({'id':_0x2d87d1['id'],'attachments':_0x3a98cf})[_0x51fd04(0x1d77)][_0x51fd04(0x1cb0)](function(_0x59956a){const _0x2deb0c=_0x51fd04,_0x349184=[_0x59956a[_0x2deb0c(0xef0)]],_0x36d6c6='openchannel-interaction'+_0x2d87d1['id']+_0x2deb0c(0x1b16),_0xe0b857=new Blob(_0x349184,{'type':_0x59956a['type']}),_0x3f83e6=window[_0x2deb0c(0x1db8)][_0x2deb0c(0x8c6)]('a');_0x3f83e6[_0x2deb0c(0x23b9)](_0x2deb0c(0x105b),URL[_0x2deb0c(0x2247)](_0xe0b857)),_0x3f83e6['setAttribute']('download',_0x36d6c6),document['body'][_0x2deb0c(0x23de)](_0x3f83e6),_0x3f83e6[_0x2deb0c(0x20b8)]();})[_0x51fd04(0x1c4)](function(_0x52247a){const _0x175021=_0x51fd04;if(_0x52247a[_0x175021(0x25c)]&&_0x52247a[_0x175021(0x25c)][_0x175021(0x1a7c)]&&_0x52247a[_0x175021(0x25c)][_0x175021(0x1a7c)][_0x175021(0xfd0)])for(let _0x1bd6db=0x0;_0x1bd6db<_0x52247a[_0x175021(0x25c)][_0x175021(0x1a7c)][_0x175021(0xfd0)];_0x1bd6db+=0x1){_0x1beb0a[_0x175021(0x218e)]({'title':_0x52247a['data'][_0x175021(0x1a7c)][_0x1bd6db]['type'],'msg':_0x52247a[_0x175021(0x25c)][_0x175021(0x1a7c)][_0x1bd6db]['message']});}else _0x1beb0a['error']({'title':_0x52247a[_0x175021(0x291)]?_0x175021(0xeb9)+_0x52247a[_0x175021(0x291)]+'\x20-\x20'+_0x52247a[_0x175021(0xc22)]:_0x175021(0x679),'msg':_0x52247a['data']?JSON[_0x175021(0x2701)](_0x52247a['data'][_0x175021(0x155e)]):_0x52247a[_0x175021(0x147f)]()});});}function _0x20383b(_0x1dc0f2,_0xfccdd7){const _0x2f18be=_0x474d70,_0x4a4425=_0x2b0b66[_0x2f18be(0xebe)][_0x2f18be(0x2478)]||_0x2b0b66[_0x2f18be(0xebe)][_0x2f18be(0xf0c)]||_0x2b0b66[_0x2f18be(0xebe)][_0x2f18be(0x2035)]||_0x2b0b66['user']['openchannelPause']||_0x2b0b66[_0x2f18be(0xebe)]['faxPause'],_0x49d170=!_0xfccdd7['Account'][_0x2f18be(0x1935)];_0x1e0428[_0x2f18be(0xe27)]({'controller':'DisposeInteractionDialogController','controllerAs':'vm','templateUrl':_0x3769c1,'parent':angular[_0x2f18be(0x1853)](_0x330e19[_0x2f18be(0x1ed9)]),'clickOutsideToClose':_0x49d170,'escapeToClose':_0x49d170,'onRemoving':function(){const _0xb4d66f=_0x2f18be;_0x2b0b66['user']&&_0xfccdd7[_0xb4d66f(0x1649)][_0xb4d66f(0x1935)]&&!_0x4a4425&&_0x163c95['user'][_0xb4d66f(0xf5d)]({'id':_0x2b0b66[_0xb4d66f(0xebe)]['id']})['$promise'][_0xb4d66f(0x1c4)](function(_0x29eedd){const _0x94189b=_0xb4d66f;console[_0x94189b(0x218e)](_0x29eedd);});},'locals':{'user':_0x2b0b66[_0x2f18be(0xebe)],'channel':'openchannel','interaction':_0xfccdd7}});}function _0x27297e(_0x84ae7e){const _0x5027ed=_0x474d70;_0x84ae7e['contactName']===_0x5027ed(0x2087)&&(_0x84ae7e[_0x5027ed(0x1325)]=_0x2849cf[_0x5027ed(0x25cc)](_0x5027ed(0x2b9)));}function _0x32973d(_0x55c943){const _0x5f43b9=_0x474d70;if(_0x55c943['UserId'])return _0x55c943[_0x5f43b9(0x21ab)]===_0x2b0b66['user']['id']?_0x2849cf['instant']('DASHBOARDS.ME'):_0x55c943[_0x5f43b9(0x135d)]['fullname']+'\x20<'+_0x55c943[_0x5f43b9(0x135d)][_0x5f43b9(0xdbd)]+'>';return _0x2849cf[_0x5f43b9(0x25cc)](_0x5f43b9(0x68f));}function _0x5450b8(_0x4501cb){const _0x3da163=_0x474d70;if(_0x4501cb[_0x3da163(0x1649)])return _0x4501cb[_0x3da163(0x1649)][_0x3da163(0xee8)];return _0x2849cf[_0x3da163(0x25cc)](_0x3da163(0x2b9));}function _0x4cba34(_0x30a769,_0x3eaef6){const _0x3c5e92=_0x474d70;_0x1e0428['show']({'controller':'ComposeOpenchannelInteractionDialogController','controllerAs':'vm','templateUrl':_0x199694,'parent':angular[_0x3c5e92(0x1853)](_0x330e19['body']),'clickOutsideToClose':![],'locals':{'account':_0x3eaef6,'message':{},'type':_0x3c5e92(0x1295),'interaction':{}},'fullscreen':!![]})[_0x3c5e92(0x1cb0)](function(_0x1aeb60){const _0x1df786=_0x3c5e92;if(_0x1aeb60){if(_0x1aeb60[_0x1df786(0x155e)]&&_0x1aeb60[_0x1df786(0x155e)][_0x1df786(0x275)]){const _0x3463a1={'channel':_0x1df786(0x15e0),'account':_0x3eaef6,'message':_0x1aeb60[_0x1df786(0x155e)],'contact':_0x1aeb60[_0x1df786(0x8b1)]};_0x10a08a[_0x1df786(0x17a1)](_0x3463a1);}else{const _0x5943df=_0x1aeb60;return _0x163c95[_0x1df786(0x80e)][_0x1df786(0x199f)]({'id':_0x3eaef6['id']},_0x5943df)[_0x1df786(0x1d77)][_0x1df786(0x1cb0)](function(_0x9d0a4d){const _0x728d4=_0x1df786;_0x1beb0a['success']({'title':_0x728d4(0x113d),'msg':_0x728d4(0x71e)}),_0x27d430[_0x728d4(0x17bc)]['vm'][_0x728d4(0x11d9)]('openchannel',_0x9d0a4d);})[_0x1df786(0x1c4)](function(_0x38c72b){const _0x33c582=_0x1df786;if(_0x38c72b[_0x33c582(0x25c)]&&_0x38c72b[_0x33c582(0x25c)][_0x33c582(0x1a7c)]&&_0x38c72b[_0x33c582(0x25c)][_0x33c582(0x1a7c)][_0x33c582(0xfd0)])for(let _0x2c808e=0x0;_0x2c808e<_0x38c72b[_0x33c582(0x25c)]['errors'][_0x33c582(0xfd0)];_0x2c808e++){_0x1beb0a[_0x33c582(0x218e)]({'title':_0x38c72b[_0x33c582(0x25c)][_0x33c582(0x1a7c)][_0x2c808e]['type'],'msg':_0x38c72b[_0x33c582(0x25c)][_0x33c582(0x1a7c)][_0x2c808e]['message']});}else _0x1beb0a[_0x33c582(0x218e)]({'title':_0x38c72b['status']?_0x33c582(0xeb9)+_0x38c72b[_0x33c582(0x291)]+_0x33c582(0x1657)+_0x38c72b[_0x33c582(0xc22)]:_0x33c582(0x20f6),'msg':_0x38c72b['data']?JSON['stringify'](_0x38c72b[_0x33c582(0x25c)]['message']):_0x38c72b[_0x33c582(0x155e)]||_0x38c72b['toString']()});});}}});}function _0x4da0c8(_0x3be01f){const _0x538fe8=_0x474d70;let _0x1f8d61;const _0x1d45a6=_0x39641b()['find'](_0x2b0b66[_0x538fe8(0x1e9c)]['rows'],{'id':_0x3be01f[_0x538fe8(0xbac)]});_0x1d45a6&&_0x1d45a6[_0x538fe8(0x2653)]&&(_0x1f8d61=_0x39641b()['find'](_0x1d45a6['Users'],{'id':_0x3be01f['UserId']}),!_0x1f8d61&&_0x1d45a6['Users'][_0x538fe8(0x2785)]({'id':_0x3be01f[_0x538fe8(0x21ab)]}));}function _0x2c171d(_0x3ff45e){const _0x5a8f85=_0x474d70,_0x5d9803=_0x39641b()[_0x5a8f85(0x13b4)](_0x2b0b66[_0x5a8f85(0x1e9c)][_0x5a8f85(0x2214)],{'id':_0x3ff45e[_0x5a8f85(0xbac)]});_0x5d9803&&_0x5d9803['Users']&&_0x39641b()['remove'](_0x5d9803[_0x5a8f85(0x2653)],{'id':_0x3ff45e[_0x5a8f85(0x21ab)]});}function _0x169c98(_0x1c6f98){const _0x4496fe=_0x474d70;if(_0x1c6f98){const _0x4505b2=_0x39641b()[_0x4496fe(0x13b4)](_0x2b0b66['openchannelInteractions']['rows'],{'id':_0x1c6f98['id']});if(_0x4505b2){_0x4505b2['Users']=[];_0x1c6f98['closed']&&(_0x4505b2[_0x4496fe(0x1554)]=0x0);if(_0x2b0b66['query']['sort']){const _0x59cdba=_0x39641b()[_0x4496fe(0x1f2c)](_0x2b0b66['query'][_0x4496fe(0x1381)],'-')?_0x4496fe(0x20a5):_0x4496fe(0x24cc);_0x2b0b66[_0x4496fe(0x1e9c)][_0x4496fe(0x2214)]=_0x39641b()[_0x4496fe(0x17ca)](_0x2b0b66[_0x4496fe(0x1e9c)]['rows'],_0x39641b()['trimStart'](_0x2b0b66['query'][_0x4496fe(0x1381)],'-'),_0x59cdba);}_0x39641b()[_0x4496fe(0x9c1)](_0x4505b2,_0x39641b()[_0x4496fe(0x169b)](_0x1c6f98,_0x39641b()[_0x4496fe(0x1be5)](_0x4505b2)));}else _0x342b98(_0x2b0b66['query'][_0x4496fe(0x1c7b)]);}}function _0x345308(_0x6fb35){const _0x10d795=_0x474d70;if(_0x6fb35){const _0x3be74=_0x39641b()[_0x10d795(0x13b4)](_0x2b0b66[_0x10d795(0x1e9c)]['rows'],{'id':_0x6fb35['id']});_0x3be74&&(_0x3be74[_0x10d795(0x190)]=_0x6fb35[_0x10d795(0xfbf)]||[],_0x39641b()['merge'](_0x3be74,_0x39641b()[_0x10d795(0x169b)](_0x6fb35,_0x39641b()['keys'](_0x3be74))));}}function _0x53f034(_0x401e54){const _0x253fb7=_0x474d70;if(_0x401e54&&!_0x401e54[_0x253fb7(0x1105)]){const _0x4cf16a=_0x39641b()[_0x253fb7(0x13b4)](_0x2b0b66[_0x253fb7(0x1e9c)]['rows'],{'id':_0x401e54['OpenchannelInteractionId']});if(_0x4cf16a){const _0x14cc4f=_0x39641b()['find'](_0x4cf16a[_0x253fb7(0x223c)],{'id':_0x401e54['id']});_0x14cc4f?_0x39641b()[_0x253fb7(0x9c1)](_0x14cc4f,_0x39641b()[_0x253fb7(0x169b)](_0x401e54,_0x39641b()[_0x253fb7(0x1be5)](_0x14cc4f))):(_0x39641b()['isNil'](_0x4cf16a[_0x253fb7(0x223c)])&&(_0x4cf16a[_0x253fb7(0x223c)]={'count':0x0}),!_0x401e54['read']&&(_0x4cf16a[_0x253fb7(0x223c)][_0x253fb7(0x184d)]+=0x1));}}}function _0x46fbb6(){const _0x5acd72=_0x474d70;_0x2b0b66[_0x5acd72(0x1e6c)]=![];}function _0x3c7bc6(){_0x2b0b66['isSearchBarVisible']=!![];}function _0x44b81c(){const _0x341c24=_0x474d70;_0x2b0b66[_0x341c24(0x1e6c)]=![],_0x1e0428['show']({'controller':_0x341c24(0x15f7),'controllerAs':'vm','templateUrl':_0x3530d6,'parent':angular['element'](_0x330e19[_0x341c24(0x1ed9)]),'clickOutsideToClose':![],'locals':{'color':_0x2b0b66['sectionColor'],'fields':_0x2b0b66[_0x341c24(0x16ad)][_0x341c24(0x355)],'storagePath':_0x341c24(0x30b)},'fullscreen':!![]})[_0x341c24(0x1cb0)](function(_0xf28d73){const _0x5ca762=_0x341c24;_0x2b0b66[_0x5ca762(0xae2)][_0x5ca762(0x24be)]=_0xf28d73===![]?undefined:_0xf28d73;if(_0x2b0b66[_0x5ca762(0xae2)][_0x5ca762(0x24be)]||_0xf28d73===![])_0x342b98();})[_0x341c24(0x1c4)](function(_0x3d7a93){const _0x320468=_0x341c24;_0x1beb0a[_0x320468(0x218e)]({'title':_0x320468(0x2260),'msg':_0x3d7a93['data']?JSON[_0x320468(0x2701)](_0x3d7a93[_0x320468(0x25c)]['message']):_0x3d7a93[_0x320468(0x147f)]()});});}let _0x37cb8d=!![],_0xec4a27=0x1;_0x27d430[_0x474d70(0x614)](_0x474d70(0x957),function(_0x4b29cd,_0x2f02a5){const _0x29a0fe=_0x474d70;_0x37cb8d?_0x2aa1b9(function(){_0x37cb8d=![];}):(!_0x2f02a5&&(_0xec4a27=_0x2b0b66[_0x29a0fe(0xae2)]['page']),_0x4b29cd!==_0x2f02a5&&(_0x2b0b66[_0x29a0fe(0xae2)][_0x29a0fe(0x1c7b)]=0x1),!_0x4b29cd&&(_0x2b0b66['query'][_0x29a0fe(0x1c7b)]=_0xec4a27),_0x342b98(_0x2b0b66[_0x29a0fe(0xae2)][_0x29a0fe(0x1c7b)]));}),_0x27d430[_0x474d70(0x1d6)](_0x474d70(0x291c),function(){const _0xe02555=_0x474d70;_0x2f88f4[_0xe02555(0xfb8)](_0xe02555(0x624)),_0x2f88f4[_0xe02555(0xfb8)]('openchannelMessage:update'),_0x2f88f4[_0xe02555(0xfb8)](_0xe02555(0x673)),_0x2f88f4[_0xe02555(0xfb8)]('openchannelInteractionTags:save'),_0x2f88f4[_0xe02555(0xfb8)](_0xe02555(0xaf7)),_0x2f88f4[_0xe02555(0xfb8)](_0xe02555(0x8f1)),_0x2f88f4[_0xe02555(0xfb8)](_0xe02555(0x1d10));});}const _0x264dcc=_0x4c33f8;;_0x19bf7[_0x5537c6(0x15b6)]=['$document',_0x5537c6(0x1463),'$timeout',_0x5537c6(0xcb9),_0x5537c6(0x225c),_0x5537c6(0x254f),_0x5537c6(0x1774)];function _0x19bf7(_0x1c9913,_0x5a514b,_0x610c8,_0x2dd50a,_0x1a2e96,_0x318302,_0x1aa0cf){const _0x38550a=_0x5537c6,_0x32e941=this;_0x32e941[_0x38550a(0xe76)]=_0x1aa0cf[_0x38550a(0x21e8)](),_0x32e941[_0x38550a(0xfd2)]={};function _0x176ff2(_0x5a9f80,_0x5a7d72,_0x24a457){const _0x1d91e9=_0x38550a;_0x32e941[_0x1d91e9(0x8a5)]=_0x5a9f80,_0x32e941['setting']=_0x5a7d72,_0x32e941[_0x1d91e9(0xfd2)]=_0x24a457;}function _0x450638(){const _0xf65740=_0x38550a;return _0x318302['trustAsResourceUrl'](_0x32e941[_0xf65740(0xfd2)]['agentUrl']);}_0x5a514b[_0x38550a(0x1d6)](_0x38550a(0xcaa),function(_0x3aee2e,_0x56eec0){const _0x26bcef=_0x38550a;_0x32e941[_0x26bcef(0xfd2)]=_0x56eec0[_0x26bcef(0xfd2)];}),_0x32e941[_0x38550a(0x1a34)]=_0x176ff2,_0x32e941[_0x38550a(0xee2)]=_0x450638,_0x176ff2();}const _0x301d1e=_0x19bf7;;_0x5d7f8d['$inject']=['$scope','$window','$timeout','api','toasty','Auth'];function _0x5d7f8d(_0x43c905,_0x3954be,_0x156c7f,_0x2c9837,_0x3ccf2f,_0x48827b){const _0x10ebf8=_0x5537c6,_0x5378f3=this;_0x5378f3[_0x10ebf8(0xe76)]=_0x48827b[_0x10ebf8(0x21e8)](),_0x5378f3[_0x10ebf8(0x44e)]={},_0x5378f3[_0x10ebf8(0xc83)]=_0x10ebf8(0x44e),_0x5378f3[_0x10ebf8(0xae2)]={'UserId':_0x5378f3['currentUser']['id'],'fields':_0x10ebf8(0x5a2),'sort':_0x10ebf8(0x282),'limit':0xa,'page':0x1,'type':null},_0x5378f3['downloadfile']=_0x38d8d1,_0x5378f3['downloadtranscribe']=_0x43e02e,_0x5378f3[_0x10ebf8(0x829)]=_0x51de37,_0x5378f3[_0x10ebf8(0x82d)]=_0x6c3890,_0x5378f3[_0x10ebf8(0x1a34)]=_0x276bd1,_0x5378f3[_0x10ebf8(0x17c3)]=_0x87e70b,_0x5378f3[_0x10ebf8(0x28a8)]=_0x12345a;function _0x276bd1(){const _0x2e8465=_0x10ebf8;_0x5378f3[_0x2e8465(0x789)]=_0x2719e3();let _0x5da41f=_0x3954be['localStorage']['getItem'](_0x2e8465(0xdcb)+_0x5378f3[_0x2e8465(0xe76)]['id']);if(_0x5da41f)try{_0x5da41f=JSON[_0x2e8465(0xefe)](_0x5da41f),_0x5da41f['query']&&(_0x5378f3[_0x2e8465(0xae2)]=_0x39641b()[_0x2e8465(0x1bd8)](_0x5da41f['query'],[_0x2e8465(0x1c99),'id']),_0x5378f3[_0x2e8465(0xae2)][_0x2e8465(0x355)]=_0x2e8465(0xb85),_0x5378f3[_0x2e8465(0xae2)][_0x2e8465(0x21ab)]=_0x5378f3[_0x2e8465(0xe76)]['id']);}catch(_0x5354cd){console[_0x2e8465(0x218e)](_0x5354cd);}else{const _0x4c6ff9={'$gte':_0x543b5a()()[_0x2e8465(0x1be0)]('month')[_0x2e8465(0x17d9)](0x0,!![])[_0x2e8465(0x1f31)](),'$lte':_0x543b5a()()[_0x2e8465(0x1b4)](_0x2e8465(0x26ae))[_0x2e8465(0x17d9)](0x0,!![])[_0x2e8465(0x1f31)]()};_0x5378f3['query'][_0x2e8465(0x24cb)]=_0x4c6ff9;}_0x5378f3[_0x2e8465(0x1e6c)]=_0x5378f3[_0x2e8465(0xae2)][_0x2e8465(0x1c99)]?!![]:![],_0x5378f3[_0x2e8465(0x82d)]();}function _0x38d8d1(_0x2353f4){const _0x21eaa3=_0x10ebf8;return _0x2c9837[_0x21eaa3(0x23d1)][_0x21eaa3(0x26ec)]({'id':_0x2353f4['id'],'exists':!![]})[_0x21eaa3(0x1d77)][_0x21eaa3(0x1cb0)](function(_0x36e43e){const _0x4a2bb4=_0x21eaa3,_0x186bfb=[_0x36e43e[_0x4a2bb4(0xef0)]],_0x96163a=new Blob(_0x186bfb,{'type':_0x36e43e[_0x4a2bb4(0x66a)]}),_0x55fc35=window['document'][_0x4a2bb4(0x8c6)]('a');_0x55fc35[_0x4a2bb4(0x23b9)](_0x4a2bb4(0x105b),URL[_0x4a2bb4(0x2247)](_0x96163a)),_0x55fc35[_0x4a2bb4(0x23b9)](_0x4a2bb4(0x26ec),_0x2353f4[_0x4a2bb4(0x1425)]+_0x2353f4['format']),_0x55fc35[_0x4a2bb4(0x20b8)]();})[_0x21eaa3(0x1c4)](function(_0x5e3eee){const _0x95beda=_0x21eaa3;_0x3ccf2f[_0x95beda(0x218e)]({'title':_0x5e3eee[_0x95beda(0x291)]?_0x95beda(0xeb9)+_0x5e3eee[_0x95beda(0x291)]+_0x95beda(0x1657)+_0x5e3eee[_0x95beda(0xc22)]:_0x95beda(0x592),'msg':_0x5e3eee[_0x95beda(0x25c)]?JSON[_0x95beda(0x2701)](_0x5e3eee[_0x95beda(0x25c)]):_0x5e3eee[_0x95beda(0x147f)]()});});}function _0x43e02e(_0xbabf13){const _0x3d6668=_0x10ebf8;return _0x2c9837[_0x3d6668(0x23d1)][_0x3d6668(0x194e)]({'id':_0xbabf13['id']})[_0x3d6668(0x1d77)][_0x3d6668(0x1cb0)](function(_0x2a9ce9){const _0x2137ec=_0x3d6668,_0x3bad58=[_0x2a9ce9[_0x2137ec(0xef0)]];let _0x10d1a5='download';const _0x11b02d=new Blob(_0x3bad58,{'type':_0x2a9ce9[_0x2137ec(0x66a)]});_0x10d1a5=_0xbabf13[_0x2137ec(0x1425)];const _0x22e5f9=window[_0x2137ec(0x1db8)][_0x2137ec(0x8c6)]('a');_0x22e5f9[_0x2137ec(0x23b9)](_0x2137ec(0x105b),URL[_0x2137ec(0x2247)](_0x11b02d)),_0x22e5f9[_0x2137ec(0x23b9)]('download',_0x10d1a5),document[_0x2137ec(0x1ed9)][_0x2137ec(0x23de)](_0x22e5f9),_0x22e5f9[_0x2137ec(0x20b8)]();})[_0x3d6668(0x1c4)](function(_0x1ce3c6){const _0x473b55=_0x3d6668;_0x3ccf2f[_0x473b55(0x218e)]({'title':_0x1ce3c6[_0x473b55(0x291)]?_0x473b55(0xeb9)+_0x1ce3c6[_0x473b55(0x291)]+_0x473b55(0x1657)+_0x1ce3c6[_0x473b55(0xc22)]:'SYSTEM:GETvoiceRecording','msg':_0x1ce3c6[_0x473b55(0x25c)]?JSON['stringify'](_0x1ce3c6['data']):_0x1ce3c6[_0x473b55(0x147f)]()});});}function _0x2719e3(){const _0x5e5485=_0x10ebf8;return[{'name':_0x5e5485(0x68d),'key':_0x5e5485(0x24cb),'type':_0x5e5485(0x18ec),'label':_0x5e5485(0xf5e)},{'name':_0x5e5485(0x6fb),'key':_0x5e5485(0x66a),'type':_0x5e5485(0x220f),'label':_0x5e5485(0xc18),'customOptions':[{'value':_0x5e5485(0xdbd),'translate':'VOICE.INTERNAL'},{'value':_0x5e5485(0x26c0),'translate':_0x5e5485(0x32e)},{'value':_0x5e5485(0x895),'translate':_0x5e5485(0x1a0b)},{'value':_0x5e5485(0x14f7),'translate':'VOICE.DIALER'},{'value':null,'translate':_0x5e5485(0x1743)}]}];}function _0x51de37(_0x2f9ff4){const _0x121de6=_0x10ebf8;_0x5378f3[_0x121de6(0x16cb)]=![],_0x5378f3[_0x121de6(0x44e)]=_0x2f9ff4||{'count':0x0,'rows':[]};}function _0x6c3890(){const _0x3bbde7=_0x10ebf8;_0x5378f3[_0x3bbde7(0x16cb)]=!![],_0x5378f3[_0x3bbde7(0xae2)][_0x3bbde7(0x184b)]=(_0x5378f3[_0x3bbde7(0xae2)][_0x3bbde7(0x1c7b)]-0x1)*_0x5378f3['query']['limit'];const _0x54e3eb={'query':_0x5378f3['query']};_0x3954be[_0x3bbde7(0x1b83)][_0x3bbde7(0x13a8)](_0x3bbde7(0xdcb)+_0x5378f3[_0x3bbde7(0xe76)]['id'],JSON[_0x3bbde7(0x2701)](_0x54e3eb)),_0x5378f3[_0x3bbde7(0x2061)]=_0x2c9837['voiceRecording'][_0x3bbde7(0xbf7)](_0x5378f3[_0x3bbde7(0xae2)],_0x51de37)[_0x3bbde7(0x1d77)];}function _0x87e70b(){const _0x5dc1c2=_0x10ebf8;_0x5378f3[_0x5dc1c2(0xae2)][_0x5dc1c2(0x1c99)]=undefined,_0x5378f3['isSearchBarVisible']=![];}function _0x12345a(){const _0x45bb39=_0x10ebf8;_0x5378f3[_0x45bb39(0x1e6c)]=!![];}let _0x396cd8=!![],_0x12dd86=0x1;_0x43c905[_0x10ebf8(0x614)]('vm.query.filter',function(_0x1b4754,_0x5b5f3f){const _0x59c38d=_0x10ebf8;_0x396cd8?_0x156c7f(function(){_0x396cd8=![];}):(!_0x5b5f3f&&(_0x12dd86=_0x5378f3[_0x59c38d(0xae2)][_0x59c38d(0x1c7b)]),_0x1b4754!==_0x5b5f3f&&(_0x5378f3[_0x59c38d(0xae2)][_0x59c38d(0x1c7b)]=0x1),!_0x1b4754&&(_0x5378f3[_0x59c38d(0xae2)][_0x59c38d(0x1c7b)]=_0x12dd86),_0x5378f3['getVoiceRecordings']());});}const _0x2d5d32=_0x5d7f8d;;const _0x30626d=_0x5074a3['p']+_0x5537c6(0xa46);;_0x1e266b[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x1f10),'$timeout',_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x1774)];function _0x1e266b(_0x5842ca,_0x386ea8,_0x47bcb8,_0x2a170f,_0x1a1533,_0x52a635,_0x126b94,_0x575ed5){const _0x2d4a9e=_0x5537c6,_0x517241=this;_0x517241[_0x2d4a9e(0xe76)]=_0x575ed5[_0x2d4a9e(0x21e8)](),_0x517241[_0x2d4a9e(0x2815)]=[_0x2d4a9e(0x1d1c),_0x2d4a9e(0x1ec),_0x2d4a9e(0x274b),_0x2d4a9e(0x1173),_0x2d4a9e(0xc6c)],_0x517241[_0x2d4a9e(0x1b1a)]={'readOnly':![],'canEdit':!![],'canDelete':!![]},_0x517241[_0x2d4a9e(0x2894)]={},_0x517241['query']={'id':_0x517241['currentUser']['id'],'fields':_0x2d4a9e(0x120b),'sort':_0x2d4a9e(0x2251),'limit':0xa,'page':0x1},_0x517241[_0x2d4a9e(0x1f65)]={'rows':[],'count':0x0},_0x517241[_0x2d4a9e(0x829)]=_0x29a088,_0x517241['getScheduledCalls']=_0x4ec167,_0x517241[_0x2d4a9e(0x1a34)]=_0x3c9a67,_0x517241[_0x2d4a9e(0x2389)]=_0x393a75,_0x517241[_0x2d4a9e(0x26fa)]=_0x4c5555,_0x517241[_0x2d4a9e(0xb25)]=_0x4ef9c5;function _0x3c9a67(){const _0x28c1e8=_0x2d4a9e;_0x126b94[_0x28c1e8(0xebe)][_0x28c1e8(0x13e9)]({'id':_0x575ed5['getCurrentUser']()['id'],'fields':'id,name','channel':_0x28c1e8(0x1fd4),'type':_0x28c1e8(0x895),'nolimit':!![]})[_0x28c1e8(0x1d77)][_0x28c1e8(0x1cb0)](function(_0x506456){const _0x2605b1=_0x28c1e8;_0x517241[_0x2605b1(0x1f65)]=_0x506456||{'count':0x0,'rows':[]};})[_0x28c1e8(0x1cb0)](function(){_0x517241['quickFilters']=_0x44219e();})[_0x28c1e8(0x1c4)](function(_0x5a3339){const _0x2fe9ee=_0x28c1e8;console[_0x2fe9ee(0x218e)](_0x5a3339);})[_0x28c1e8(0x2e0)](function(){const _0x48c0a7=_0x28c1e8;let _0x479b60=_0x386ea8['localStorage'][_0x48c0a7(0x2091)]('motion2.home.scheduled:'+_0x517241[_0x48c0a7(0xe76)]['id']);if(_0x479b60)try{_0x479b60=JSON['parse'](_0x479b60),_0x479b60[_0x48c0a7(0xae2)]&&(_0x517241[_0x48c0a7(0xae2)]=_0x39641b()[_0x48c0a7(0x1bd8)](_0x479b60['query'],[_0x48c0a7(0x1c99)]));}catch(_0x3f3ec6){console[_0x48c0a7(0x218e)](_0x3f3ec6);}else{const _0x52f8bc={'$gte':_0x543b5a()()[_0x48c0a7(0x1be0)](_0x48c0a7(0x26ae))[_0x48c0a7(0x17d9)](0x0,!![])[_0x48c0a7(0x1f31)](),'$lte':_0x543b5a()()[_0x48c0a7(0x1b4)](_0x48c0a7(0x26ae))[_0x48c0a7(0x17d9)](0x0,!![])[_0x48c0a7(0x1f31)]()};_0x517241['query'][_0x48c0a7(0x24cb)]=_0x52f8bc;}_0x517241[_0x48c0a7(0x9ff)]();});}function _0x29a088(_0x31b11b){const _0x13232c=_0x2d4a9e;_0x517241[_0x13232c(0x16cb)]=![],_0x517241[_0x13232c(0x2894)]=_0x31b11b||{'count':0x0,'rows':[]};}function _0x44219e(){const _0x6d74ad=_0x2d4a9e;return[{'name':_0x6d74ad(0x68d),'key':_0x6d74ad(0x24cb),'type':'date','label':_0x6d74ad(0xf5e)},{'name':_0x6d74ad(0x1eb8),'key':_0x6d74ad(0x1116),'type':'multiselect','label':_0x6d74ad(0x1786),'options':_0x517241[_0x6d74ad(0x1f65)]['rows']}];}function _0x4ec167(){const _0x16b367=_0x2d4a9e;_0x517241['searchInProgress']=!![],_0x517241[_0x16b367(0xae2)]['id']=_0x517241[_0x16b367(0xe76)]['id'],_0x517241[_0x16b367(0xae2)][_0x16b367(0x11f0)]=!![],_0x517241[_0x16b367(0xae2)][_0x16b367(0x184b)]=(_0x517241[_0x16b367(0xae2)][_0x16b367(0x1c7b)]-0x1)*_0x517241['query']['limit'];const _0x51538c={'query':_0x517241[_0x16b367(0xae2)]};_0x386ea8[_0x16b367(0x1b83)]['setItem'](_0x16b367(0x9cc)+_0x517241['currentUser']['id'],JSON['stringify'](_0x51538c)),_0x517241[_0x16b367(0x2061)]=_0x126b94[_0x16b367(0xebe)][_0x16b367(0x9ff)](_0x517241['query'],_0x29a088)[_0x16b367(0x1d77)];}function _0x4c5555(_0x2c8ace,_0x49fe7c){const _0x3cd4de=_0x2d4a9e;_0x2a170f[_0x3cd4de(0xe27)]({'controller':_0x3cd4de(0x1acc),'controllerAs':'vm','templateUrl':_0x30626d,'parent':angular['element'](_0x1a1533['body']),'targetEvent':_0x2c8ace,'clickOutsideToClose':!![],'locals':{'queueCampaign':null,'hopper':_0x49fe7c,'hoppers':_0x517241[_0x3cd4de(0x2894)][_0x3cd4de(0x2214)],'license':null,'setting':null,'crudPermissions':_0x517241[_0x3cd4de(0x1b1a)]}});}function _0x5e4d02(_0x32614c){const _0x3af42b=_0x2d4a9e;_0x126b94[_0x3af42b(0x26bc)][_0x3af42b(0x111d)]({'id':_0x32614c['id']})[_0x3af42b(0x1d77)]['then'](function(){const _0x5271b0=_0x3af42b;_0x39641b()['remove'](_0x517241[_0x5271b0(0x2894)][_0x5271b0(0x2214)],{'id':_0x32614c['id']}),_0x517241[_0x5271b0(0x2894)][_0x5271b0(0x184d)]-=0x1,!_0x517241[_0x5271b0(0x2894)]['rows'][_0x5271b0(0xfd0)]&&_0x517241['getScheduledCalls'](),_0x52a635[_0x5271b0(0x829)]({'title':'Scheduled\x20call\x20deleted!','msg':_0x32614c['name']?_0x5271b0(0x2363):''});})['catch'](function(_0x1fa493){const _0x5c4298=_0x3af42b;if(_0x1fa493[_0x5c4298(0x25c)]&&_0x1fa493[_0x5c4298(0x25c)][_0x5c4298(0x1a7c)]&&_0x1fa493[_0x5c4298(0x25c)]['errors']['length']){_0x517241['errors']=_0x1fa493['data']['errors']||[{'message':_0x1fa493[_0x5c4298(0x147f)](),'type':_0x5c4298(0xe6a)}];for(let _0x257155=0x0;_0x257155<_0x1fa493[_0x5c4298(0x25c)][_0x5c4298(0x1a7c)][_0x5c4298(0xfd0)];_0x257155++){_0x52a635[_0x5c4298(0x218e)]({'title':_0x1fa493[_0x5c4298(0x25c)][_0x5c4298(0x1a7c)][_0x257155]['type'],'msg':_0x1fa493[_0x5c4298(0x25c)][_0x5c4298(0x1a7c)][_0x257155][_0x5c4298(0x155e)]});}}else _0x52a635['error']({'title':_0x1fa493[_0x5c4298(0x291)]?_0x5c4298(0xeb9)+_0x1fa493[_0x5c4298(0x291)]+_0x5c4298(0x1657)+_0x1fa493['statusText']:_0x5c4298(0xe6a),'msg':_0x1fa493[_0x5c4298(0x25c)]?JSON[_0x5c4298(0x2701)](_0x1fa493[_0x5c4298(0x25c)][_0x5c4298(0x155e)]):_0x1fa493[_0x5c4298(0x155e)]||_0x1fa493[_0x5c4298(0x147f)]()});});}function _0x4ef9c5(_0x4a317c,_0x5ae438){const _0x57da55=_0x2d4a9e,_0x338482=_0x2a170f[_0x57da55(0x1551)]()[_0x57da55(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20scheduled\x20call?')['htmlContent'](_0x57da55(0x5da)+_0x57da55(0x1b6))[_0x57da55(0x15ad)](_0x57da55(0x8fe))[_0x57da55(0x728)](_0x5ae438)['ok']('OK')['cancel'](_0x57da55(0x24ba));_0x2a170f[_0x57da55(0xe27)](_0x338482)[_0x57da55(0x1cb0)](function(){_0x5e4d02(_0x4a317c);},function(){const _0x198cae=_0x57da55;console[_0x198cae(0x1b4f)](_0x198cae(0x24ba));});}function _0x393a75(_0x1bfc84){return _0x543b5a()(_0x1bfc84)['isBefore'](_0x543b5a()());}let _0x2e3623=!![],_0x132adf=0x1;_0x5842ca[_0x2d4a9e(0x614)](_0x2d4a9e(0x957),function(_0x4c3581,_0x59e7c7){const _0x36d5b5=_0x2d4a9e;_0x2e3623?_0x47bcb8(function(){_0x2e3623=![];}):(!_0x59e7c7&&(_0x132adf=_0x517241[_0x36d5b5(0xae2)][_0x36d5b5(0x1c7b)]),_0x4c3581!==_0x59e7c7&&(_0x517241[_0x36d5b5(0xae2)][_0x36d5b5(0x1c7b)]=0x1),!_0x4c3581&&(_0x517241['query']['page']=_0x132adf),_0x517241[_0x36d5b5(0x9ff)]());});}const _0x5be5e7=_0x1e266b;;_0x341271['$inject']=['$scope','$timeout',_0x5537c6(0xcb9),'$document','$window','$translate','api',_0x5537c6(0x1774),_0x5537c6(0x1986),_0x5537c6(0x9bf),_0x5537c6(0x1b68)];function _0x341271(_0x418420,_0x3aa199,_0x5e878c,_0x2c45de,_0x27c4f0,_0x3dad64,_0x55f2a9,_0x473a75,_0x5c0c4a,_0x2420ba,_0x1b450e){const _0x599d03=_0x5537c6,_0x269cb=this;_0x269cb[_0x599d03(0xebe)]=_0x473a75[_0x599d03(0x21e8)](),_0x269cb[_0x599d03(0x8a5)]={},_0x269cb[_0x599d03(0x9ca)]={},_0x269cb[_0x599d03(0xfbf)]={'count':0x0,'rows':[]},_0x269cb[_0x599d03(0x25a5)]={'count':0x0,'rows':[]},_0x269cb[_0x599d03(0xae2)]={'includeAll':!![],'sort':_0x599d03(0x282),'limit':0xa,'page':0x1,'read':null,'closed':null},_0x269cb[_0x599d03(0x16ad)]={'fields':[{'name':'Id','column':'id','type':_0x599d03(0x83d)},{'name':_0x599d03(0x8d3),'column':_0x599d03(0x8d3),'type':_0x599d03(0x19e0),'options':{'searchFields':[_0x599d03(0x1491),_0x599d03(0x1fbb),_0x599d03(0x1e19)],'route':{'model':'cmContact','action':_0x599d03(0xbf7),'params':{'fields':_0x599d03(0x9b0),'Contact':_0x599d03(0xed6),'nolimit':!![]}},'extraOperators':[_0x599d03(0x1fb1)],'excludedOperators':[_0x599d03(0x15ce)]}},{'name':_0x599d03(0x269b),'column':_0x599d03(0x1ed9),'type':_0x599d03(0x19d3),'options':{'excludedOperators':[_0x599d03(0x1c5e),_0x599d03(0x15ce)]}},{'name':_0x599d03(0x938),'column':'closed','type':_0x599d03(0x220f),'values':[{'id':0x0,'translate':_0x599d03(0x1bbd)},{'id':0x1,'translate':_0x599d03(0x191b)}],'options':{'excludedOperators':[_0x599d03(0x15ce)]}},{'name':_0x599d03(0x30e),'column':_0x599d03(0x1597),'type':_0x599d03(0x19e0),'options':{'table':'i','route':{'model':_0x599d03(0xebe),'action':_0x599d03(0xbf7),'params':{'role':_0x599d03(0x1eff),'fields':'id,name,fullname','nolimit':!![]}},'searchFields':[_0x599d03(0x1d14),'name'],'extraOperators':[_0x599d03(0x1fb1)],'excludedOperators':[_0x599d03(0x15ce)]}},{'name':_0x599d03(0x1649),'column':_0x599d03(0x1a2),'type':_0x599d03(0x1d50),'options':{'route':{'model':'user','action':'getSmsAccounts','params':{'id':_0x269cb[_0x599d03(0xebe)]['id'],'nolimit':!![]}}}},{'name':_0x599d03(0x190),'column':'Tag','type':_0x599d03(0x1d50),'options':{'route':{'model':_0x599d03(0x22b1),'action':_0x599d03(0xbf7),'params':{'nolimit':!![]}},'excludedOperators':[_0x599d03(0x62d)]}},{'name':_0x599d03(0x68d),'column':_0x599d03(0x24cb),'type':_0x599d03(0x18ec),'options':{'excludedOperators':[_0x599d03(0x15ce)]}},{'name':_0x599d03(0x946),'column':'unreadMessages','type':_0x599d03(0x220f),'values':[{'id':0x1,'translate':_0x599d03(0x27a4)},{'id':0x0,'translate':_0x599d03(0x1115)}],'options':{'excludedOperators':[_0x599d03(0x15ce)]}}]},_0x269cb['sectionColor']='orange',_0x269cb[_0x599d03(0x1a34)]=_0x1c3bdf,_0x269cb['success']=_0x4d31ac,_0x269cb['getSmsInteractions']=_0x584461,_0x269cb[_0x599d03(0x264a)]=_0x2b8aec,_0x269cb[_0x599d03(0x10f5)]=_0x1b8680,_0x269cb[_0x599d03(0x493)]=_0xdbd260,_0x269cb[_0x599d03(0x2929)]=_0x199b8c,_0x269cb[_0x599d03(0x11e5)]=_0x3cfda3,_0x269cb[_0x599d03(0x2871)]=_0x432caf,_0x269cb[_0x599d03(0x13f2)]=_0xeee7e9,_0x269cb[_0x599d03(0x17c3)]=_0x2fd438,_0x269cb['onSearchBarExpand']=_0x267ba6,_0x269cb[_0x599d03(0x1ede)]=_0x3b9960;function _0x1c3bdf(_0x5c7ae1,_0x2fd053){const _0x29087d=_0x599d03;return _0x269cb[_0x29087d(0x8a5)]=_0x5c7ae1,_0x269cb['setting']=_0x2fd053,_0x55f2a9['user'][_0x29087d(0xbf7)+_0x39641b()[_0x29087d(0x277)]('smsAccounts')]({'id':_0x269cb[_0x29087d(0xebe)]['id'],'nolimit':!![]})[_0x29087d(0x1d77)][_0x29087d(0x1cb0)](function(_0x372f6a){const _0x2c8881=_0x29087d;return _0x269cb[_0x2c8881(0x1ac)]=_0x372f6a||{'count':0x0,'rows':[]},_0x55f2a9[_0x2c8881(0x22b1)][_0x2c8881(0xbf7)]()['$promise'];})[_0x29087d(0x1cb0)](function(_0x498f77){const _0x5c9934=_0x29087d;_0x269cb[_0x5c9934(0xfbf)]=_0x498f77||{'count':0x0,'rows':[]};})[_0x29087d(0x1cb0)](function(){const _0x37a1a1=_0x29087d;_0x269cb[_0x37a1a1(0x789)]=_0x121d0a();})[_0x29087d(0x1c4)](function(_0x41022e){const _0x529c71=_0x29087d;console[_0x529c71(0x218e)](_0x41022e);})[_0x29087d(0x2e0)](function(){const _0x5e0ebe=_0x29087d;let _0x4c66d1=_0x27c4f0[_0x5e0ebe(0x1b83)][_0x5e0ebe(0x2091)]('motion2.home.sms:'+_0x269cb[_0x5e0ebe(0xebe)]['id']);if(_0x4c66d1)try{_0x4c66d1=JSON[_0x5e0ebe(0xefe)](_0x4c66d1);if(_0x4c66d1['query']){_0x269cb[_0x5e0ebe(0xae2)]=_0x39641b()[_0x5e0ebe(0x9c1)](_0x269cb['query'],_0x4c66d1[_0x5e0ebe(0xae2)]);if(_0x39641b()[_0x5e0ebe(0xce9)](_0x269cb[_0x5e0ebe(0x1ac)]['rows']))_0x269cb['query']['SmsAccountId']=[];else _0x39641b()['isEmpty'](_0x269cb['query']['SmsAccountId'])&&(_0x269cb[_0x5e0ebe(0xae2)][_0x5e0ebe(0x1a2)]=_0x39641b()(_0x269cb[_0x5e0ebe(0x1ac)][_0x5e0ebe(0x2214)])[_0x5e0ebe(0x1de2)]('id')[_0x5e0ebe(0x54f)]()['value']());_0x269cb[_0x5e0ebe(0xae2)][_0x5e0ebe(0x1381)]&&_0x269cb['query'][_0x5e0ebe(0x1381)]['indexOf'](_0x5e0ebe(0x15d6))>=0x0&&(_0x269cb[_0x5e0ebe(0xae2)]=_0x39641b()[_0x5e0ebe(0x1bd8)](_0x269cb['query'],'sort')),_0x269cb[_0x5e0ebe(0xae2)][_0x5e0ebe(0x11f0)]=!![],_0x269cb['isSearchBarVisible']=_0x269cb[_0x5e0ebe(0xae2)][_0x5e0ebe(0x1c99)]?!![]:![];}}catch(_0x74fa99){console[_0x5e0ebe(0x218e)](_0x74fa99);}else{const _0xf4d9b0={'$gte':_0x543b5a()()[_0x5e0ebe(0x1be0)](_0x5e0ebe(0x26ae))[_0x5e0ebe(0x17d9)](0x0,!![])[_0x5e0ebe(0x1f31)](),'$lte':_0x543b5a()()['endOf'](_0x5e0ebe(0x26ae))[_0x5e0ebe(0x17d9)](0x0,!![])['format']()},_0x2d3c78={'SmsAccountId':_0x39641b()[_0x5e0ebe(0x1de2)](_0x269cb[_0x5e0ebe(0x1ac)][_0x5e0ebe(0x2214)],'id'),'createdAt':_0xf4d9b0};_0x269cb[_0x5e0ebe(0xae2)]=_0x39641b()[_0x5e0ebe(0x9c1)](_0x269cb[_0x5e0ebe(0xae2)],_0x2d3c78);}_0x5c0c4a['on']('smsInteraction:save',_0x4ee05a),_0x5c0c4a['on'](_0x5e0ebe(0x159f),_0x4ee05a),_0x5c0c4a['on'](_0x5e0ebe(0x1794),_0x241185),_0x5c0c4a['on']('smsMessage:save',_0x524228),_0x5c0c4a['on']('smsMessage:update',_0x524228),_0x5c0c4a['on'](_0x5e0ebe(0x129d),_0x23d003),_0x5c0c4a['on']('user_has_sms_interactions:remove',_0x2c5bcb),_0x584461(_0x269cb[_0x5e0ebe(0xae2)][_0x5e0ebe(0x1c7b)]);});}function _0x121d0a(){const _0x2df344=_0x599d03;return[{'name':_0x2df344(0x68d),'key':_0x2df344(0x24cb),'type':_0x2df344(0x18ec),'label':_0x2df344(0xf5e)},{'name':'Messages','key':_0x2df344(0xfc1),'type':_0x2df344(0x220f),'label':'DASHBOARDS.SELECT_READ_UNREAD','customOptions':[{'value':0x0,'translate':_0x2df344(0x1115)},{'value':0x1,'translate':_0x2df344(0x27a4)},{'value':null,'translate':_0x2df344(0x2706)}]},{'name':_0x2df344(0x938),'key':'closed','type':_0x2df344(0x220f),'label':_0x2df344(0x24b6),'customOptions':[{'value':0x0,'translate':_0x2df344(0x1bbd)},{'value':0x1,'translate':'DASHBOARDS.CLOSED'},{'value':null,'translate':_0x2df344(0x2706)}]},{'name':_0x2df344(0x1649),'key':_0x2df344(0x1a2),'type':'multiselect','label':_0x2df344(0xf86),'options':_0x269cb[_0x2df344(0x1ac)][_0x2df344(0x2214)],'clearAll':![]},{'name':_0x2df344(0x30e),'key':_0x2df344(0x21ab),'type':'select','label':_0x2df344(0x7bb),'customOptions':[{'value':_0x269cb['user']['id'],'translate':_0x2df344(0x1ea6)},{'value':'null','translate':_0x2df344(0x68f)},{'value':undefined,'translate':_0x2df344(0x2706)}]},{'name':_0x2df344(0xf2d),'key':_0x2df344(0x22b1),'type':_0x2df344(0x1d50),'label':_0x2df344(0x3c3),'options':_0x269cb[_0x2df344(0xfbf)][_0x2df344(0x2214)],'placeholder':_0x2df344(0x62b)}];}function _0x4d31ac(_0x53d40d){const _0x46b346=_0x599d03;_0x269cb[_0x46b346(0x16cb)]=![],_0x269cb[_0x46b346(0x25a5)]=_0x53d40d||{'count':0x0,'rows':[]};for(let _0x2bb362=0x0;_0x2bb362<_0x269cb[_0x46b346(0x25a5)][_0x46b346(0x2214)][_0x46b346(0xfd0)];_0x2bb362+=0x1){_0x199b8c(_0x269cb[_0x46b346(0x25a5)][_0x46b346(0x2214)][_0x2bb362]);}}function _0x584461(_0x129aa2){const _0x1ef8f3=_0x599d03;_0x269cb[_0x1ef8f3(0x16cb)]=!![];!_0x129aa2&&(_0x269cb[_0x1ef8f3(0xae2)][_0x1ef8f3(0x1c7b)]=0x1);_0x269cb[_0x1ef8f3(0xae2)]['offset']=(_0x269cb[_0x1ef8f3(0xae2)][_0x1ef8f3(0x1c7b)]-0x1)*_0x269cb['query']['limit'];let _0x14329d=_0x27c4f0[_0x1ef8f3(0x1b83)][_0x1ef8f3(0x2091)]('motion2.home.sms:'+_0x269cb['user']['id']);_0x14329d=_0x14329d?JSON[_0x1ef8f3(0xefe)](_0x14329d):{},_0x14329d[_0x1ef8f3(0xae2)]=_0x269cb[_0x1ef8f3(0xae2)],_0x27c4f0[_0x1ef8f3(0x1b83)]['setItem'](_0x1ef8f3(0x95e)+_0x269cb[_0x1ef8f3(0xebe)]['id'],JSON[_0x1ef8f3(0x2701)](_0x14329d)),_0x269cb['promise']=_0x55f2a9[_0x1ef8f3(0xa71)][_0x1ef8f3(0xbf7)](_0x269cb[_0x1ef8f3(0xae2)],_0x4d31ac)[_0x1ef8f3(0x1d77)];}function _0x2b8aec(_0x272caf,_0x3b3905){const _0x38aa45=_0x599d03;_0x418420[_0x38aa45(0x17bc)]['vm'][_0x38aa45(0x11d9)](_0x38aa45(0x689),_0x3b3905);}function _0x1b8680(_0x1a04e9,_0x342cc9,_0x3d7e8b){const _0x3f8d12=_0x599d03;_0x55f2a9[_0x3f8d12(0xa71)][_0x3f8d12(0x26ec)]({'id':_0x342cc9['id'],'attachments':_0x3d7e8b})[_0x3f8d12(0x1d77)][_0x3f8d12(0x1cb0)](function(_0x375a86){const _0x3f1a90=_0x3f8d12,_0x4cf7e1=[_0x375a86[_0x3f1a90(0xef0)]],_0x1b4c87=_0x3f1a90(0x89d)+_0x342cc9['id']+'.zip',_0x4e8ca2=new Blob(_0x4cf7e1,{'type':_0x375a86[_0x3f1a90(0x66a)]}),_0x4ae234=window[_0x3f1a90(0x1db8)][_0x3f1a90(0x8c6)]('a');_0x4ae234[_0x3f1a90(0x23b9)](_0x3f1a90(0x105b),URL['createObjectURL'](_0x4e8ca2)),_0x4ae234['setAttribute'](_0x3f1a90(0x26ec),_0x1b4c87),document['body'][_0x3f1a90(0x23de)](_0x4ae234),_0x4ae234['click']();})[_0x3f8d12(0x1c4)](function(_0x762377){const _0x45bbb2=_0x3f8d12;if(_0x762377[_0x45bbb2(0x25c)]&&_0x762377['data'][_0x45bbb2(0x1a7c)]&&_0x762377[_0x45bbb2(0x25c)][_0x45bbb2(0x1a7c)][_0x45bbb2(0xfd0)])for(let _0x39b0b2=0x0;_0x39b0b2<_0x762377[_0x45bbb2(0x25c)][_0x45bbb2(0x1a7c)][_0x45bbb2(0xfd0)];_0x39b0b2+=0x1){_0x2420ba[_0x45bbb2(0x218e)]({'title':_0x762377[_0x45bbb2(0x25c)][_0x45bbb2(0x1a7c)][_0x39b0b2][_0x45bbb2(0x66a)],'msg':_0x762377[_0x45bbb2(0x25c)][_0x45bbb2(0x1a7c)][_0x39b0b2][_0x45bbb2(0x155e)]});}else _0x2420ba['error']({'title':_0x762377[_0x45bbb2(0x291)]?_0x45bbb2(0xeb9)+_0x762377[_0x45bbb2(0x291)]+'\x20-\x20'+_0x762377['statusText']:_0x45bbb2(0x10f5),'msg':_0x762377[_0x45bbb2(0x25c)]?JSON[_0x45bbb2(0x2701)](_0x762377[_0x45bbb2(0x25c)]['message']):_0x762377[_0x45bbb2(0x147f)]()});});}function _0xdbd260(_0x2733ba,_0x53b090){const _0x3ec9d8=_0x599d03,_0x529185=_0x269cb[_0x3ec9d8(0xebe)][_0x3ec9d8(0x2478)]||_0x269cb['user'][_0x3ec9d8(0xf0c)]||_0x269cb['user'][_0x3ec9d8(0x2035)]||_0x269cb['user']['openchannelPause']||_0x269cb[_0x3ec9d8(0xebe)]['faxPause'],_0x4d1073=!_0x53b090[_0x3ec9d8(0x1649)][_0x3ec9d8(0x1935)];_0x5e878c['show']({'controller':'DisposeInteractionDialogController','controllerAs':'vm','templateUrl':_0x3769c1,'parent':angular[_0x3ec9d8(0x1853)](_0x2c45de[_0x3ec9d8(0x1ed9)]),'clickOutsideToClose':_0x4d1073,'escapeToClose':_0x4d1073,'onRemoving':function(){const _0xbda905=_0x3ec9d8;_0x269cb['user']&&_0x53b090['Account']['mandatoryDisposition']&&!_0x529185&&_0x55f2a9[_0xbda905(0xebe)][_0xbda905(0xf5d)]({'id':_0x269cb['user']['id']})[_0xbda905(0x1d77)][_0xbda905(0x1c4)](function(_0x2af1a9){console['error'](_0x2af1a9);});},'locals':{'user':_0x269cb['user'],'channel':'sms','interaction':_0x53b090}});}function _0x199b8c(_0x2c6e09){const _0x17df5d=_0x599d03;_0x2c6e09[_0x17df5d(0x28b6)]=_0x2c6e09[_0x17df5d(0x8d3)]?_0x2c6e09[_0x17df5d(0x8d3)][_0x17df5d(0x1ce6)]:undefined,_0x2c6e09[_0x17df5d(0x1325)]===_0x17df5d(0x2087)&&(_0x2c6e09[_0x17df5d(0x1325)]=_0x3dad64[_0x17df5d(0x25cc)](_0x17df5d(0x2b9)));}function _0x3cfda3(_0x3da34e){const _0x2a67c6=_0x599d03;if(_0x3da34e['UserId'])return _0x3da34e[_0x2a67c6(0x21ab)]===_0x269cb[_0x2a67c6(0xebe)]['id']?_0x3dad64['instant'](_0x2a67c6(0x1ea6)):_0x3da34e[_0x2a67c6(0x135d)][_0x2a67c6(0x1d14)]+'\x20<'+_0x3da34e[_0x2a67c6(0x135d)][_0x2a67c6(0xdbd)]+'>';return _0x3dad64['instant'](_0x2a67c6(0x68f));}function _0x432caf(_0x90a2ed){const _0x10667c=_0x599d03;if(_0x90a2ed[_0x10667c(0x1649)])return _0x90a2ed[_0x10667c(0x1649)][_0x10667c(0xee8)];return _0x3dad64[_0x10667c(0x25cc)]('APP.UNKNOWN');}function _0xeee7e9(_0x2d2dc7,_0x5c2f66){const _0x2e2bab=_0x599d03;_0x5e878c[_0x2e2bab(0xe27)]({'controller':'ComposeSmsInteractionDialogController','controllerAs':'vm','templateUrl':_0x11d871,'parent':angular[_0x2e2bab(0x1853)](_0x2c45de['body']),'clickOutsideToClose':![],'locals':{'account':_0x5c2f66,'message':{},'type':_0x2e2bab(0x1295),'interaction':{}},'fullscreen':!![]})['then'](function(_0x3027b3){const _0x84e987=_0x2e2bab;if(_0x3027b3){if(_0x3027b3['message']&&_0x3027b3[_0x84e987(0x155e)][_0x84e987(0x275)]){const _0x5d4577={'channel':_0x84e987(0x689),'account':_0x5c2f66,'message':_0x3027b3[_0x84e987(0x155e)],'contact':_0x3027b3['contact']};_0x1b450e[_0x84e987(0x17a1)](_0x5d4577);}else{const _0x393aae=_0x3027b3;return _0x55f2a9['smsAccount']['send']({'id':_0x5c2f66['id']},_0x393aae)[_0x84e987(0x1d77)][_0x84e987(0x1cb0)](function(_0x241728){const _0x16e657=_0x84e987;_0x2420ba[_0x16e657(0x829)]({'title':_0x16e657(0x113d),'msg':'Message\x20has\x20been\x20sent!'}),_0x418420['$parent']['vm'][_0x16e657(0x11d9)](_0x16e657(0x689),_0x241728);})[_0x84e987(0x1c4)](function(_0x5382aa){const _0x2ae0a7=_0x84e987;if(_0x5382aa[_0x2ae0a7(0x25c)]&&_0x5382aa[_0x2ae0a7(0x25c)][_0x2ae0a7(0x1a7c)]&&_0x5382aa[_0x2ae0a7(0x25c)][_0x2ae0a7(0x1a7c)][_0x2ae0a7(0xfd0)])for(let _0x2791c2=0x0;_0x2791c2<_0x5382aa[_0x2ae0a7(0x25c)][_0x2ae0a7(0x1a7c)][_0x2ae0a7(0xfd0)];_0x2791c2++){_0x2420ba['error']({'title':_0x5382aa[_0x2ae0a7(0x25c)][_0x2ae0a7(0x1a7c)][_0x2791c2]['type'],'msg':_0x5382aa[_0x2ae0a7(0x25c)]['errors'][_0x2791c2][_0x2ae0a7(0x155e)]});}else _0x2420ba[_0x2ae0a7(0x218e)]({'title':_0x5382aa[_0x2ae0a7(0x291)]?_0x2ae0a7(0xeb9)+_0x5382aa[_0x2ae0a7(0x291)]+'\x20-\x20'+_0x5382aa[_0x2ae0a7(0xc22)]:_0x2ae0a7(0x4a0),'msg':_0x5382aa[_0x2ae0a7(0x25c)]?JSON['stringify'](_0x5382aa[_0x2ae0a7(0x25c)][_0x2ae0a7(0x155e)]):_0x5382aa['message']||_0x5382aa[_0x2ae0a7(0x147f)]()});});}}});}function _0x23d003(_0x567a86){const _0x1afc96=_0x599d03;let _0x54e55b;const _0x349624=_0x39641b()[_0x1afc96(0x13b4)](_0x269cb['smsInteractions'][_0x1afc96(0x2214)],{'id':_0x567a86[_0x1afc96(0x1736)]});_0x349624&&_0x349624[_0x1afc96(0x2653)]&&(_0x54e55b=_0x39641b()[_0x1afc96(0x13b4)](_0x349624[_0x1afc96(0x2653)],{'id':_0x567a86['UserId']}),!_0x54e55b&&_0x349624[_0x1afc96(0x2653)][_0x1afc96(0x2785)]({'id':_0x567a86['UserId']}));}function _0x2c5bcb(_0x1a0a28){const _0x2b0201=_0x599d03,_0x29275c=_0x39641b()[_0x2b0201(0x13b4)](_0x269cb[_0x2b0201(0x25a5)]['rows'],{'id':_0x1a0a28[_0x2b0201(0x1736)]});_0x29275c&&_0x29275c['Users']&&_0x39641b()[_0x2b0201(0x152a)](_0x29275c[_0x2b0201(0x2653)],{'id':_0x1a0a28[_0x2b0201(0x21ab)]});}function _0x4ee05a(_0x51ab78){const _0x17c4d4=_0x599d03;if(_0x51ab78){const _0x2efcac=_0x39641b()[_0x17c4d4(0x13b4)](_0x269cb[_0x17c4d4(0x25a5)][_0x17c4d4(0x2214)],{'id':_0x51ab78['id']});if(_0x2efcac){_0x2efcac[_0x17c4d4(0x2653)]=[];_0x51ab78[_0x17c4d4(0x22aa)]&&(_0x2efcac[_0x17c4d4(0x1554)]=0x0);if(_0x269cb[_0x17c4d4(0xae2)]['sort']){const _0x5d9fe3=_0x39641b()[_0x17c4d4(0x1f2c)](_0x269cb[_0x17c4d4(0xae2)][_0x17c4d4(0x1381)],'-')?'desc':'asc';_0x269cb[_0x17c4d4(0x25a5)][_0x17c4d4(0x2214)]=_0x39641b()['orderBy'](_0x269cb['smsInteractions'][_0x17c4d4(0x2214)],_0x39641b()[_0x17c4d4(0x359)](_0x269cb[_0x17c4d4(0xae2)][_0x17c4d4(0x1381)],'-'),_0x5d9fe3);}_0x39641b()[_0x17c4d4(0x9c1)](_0x2efcac,_0x39641b()['pick'](_0x51ab78,_0x39641b()[_0x17c4d4(0x1be5)](_0x2efcac)));}else _0x584461(_0x269cb['query'][_0x17c4d4(0x1c7b)]);}}function _0x241185(_0x2f0c12){const _0x1c31cb=_0x599d03;if(_0x2f0c12){const _0x4934ff=_0x39641b()[_0x1c31cb(0x13b4)](_0x269cb[_0x1c31cb(0x25a5)]['rows'],{'id':_0x2f0c12['id']});_0x4934ff&&(_0x4934ff[_0x1c31cb(0x190)]=_0x2f0c12[_0x1c31cb(0xfbf)]||[],_0x39641b()['merge'](_0x4934ff,_0x39641b()[_0x1c31cb(0x169b)](_0x2f0c12,_0x39641b()[_0x1c31cb(0x1be5)](_0x4934ff))));}}function _0x524228(_0xddfc40){const _0x384584=_0x599d03;if(_0xddfc40&&!_0xddfc40[_0x384584(0x1105)]){const _0x3ddff4=_0x39641b()['find'](_0x269cb[_0x384584(0x25a5)]['rows'],{'id':_0xddfc40[_0x384584(0x1736)]});if(_0x3ddff4){const _0x4540b0=_0x39641b()[_0x384584(0x13b4)](_0x3ddff4[_0x384584(0x223c)],{'id':_0xddfc40['id']});_0x4540b0?_0x39641b()[_0x384584(0x9c1)](_0x4540b0,_0x39641b()[_0x384584(0x169b)](_0xddfc40,_0x39641b()[_0x384584(0x1be5)](_0x4540b0))):(_0x39641b()[_0x384584(0x958)](_0x3ddff4['Messages'])&&(_0x3ddff4[_0x384584(0x223c)]={'count':0x0}),!_0xddfc40[_0x384584(0xfc1)]&&(_0x3ddff4[_0x384584(0x223c)][_0x384584(0x184d)]+=0x1));}}}function _0x2fd438(){_0x269cb['isSearchBarVisible']=![];}function _0x267ba6(){const _0xc189ae=_0x599d03;_0x269cb[_0xc189ae(0x1e6c)]=!![];}function _0x3b9960(){const _0x38849f=_0x599d03;_0x269cb[_0x38849f(0x1e6c)]=![],_0x5e878c[_0x38849f(0xe27)]({'controller':_0x38849f(0x15f7),'controllerAs':'vm','templateUrl':_0x3530d6,'parent':angular[_0x38849f(0x1853)](_0x2c45de[_0x38849f(0x1ed9)]),'clickOutsideToClose':![],'locals':{'color':_0x269cb[_0x38849f(0xaee)],'fields':_0x269cb[_0x38849f(0x16ad)][_0x38849f(0x355)],'storagePath':_0x38849f(0x1fb9)},'fullscreen':!![]})[_0x38849f(0x1cb0)](function(_0x475b41){const _0x43f35a=_0x38849f;_0x269cb[_0x43f35a(0xae2)][_0x43f35a(0x24be)]=_0x475b41===![]?undefined:_0x475b41;if(_0x269cb[_0x43f35a(0xae2)][_0x43f35a(0x24be)]||_0x475b41===![])_0x584461();})[_0x38849f(0x1c4)](function(_0xb94f6e){const _0x38ee03=_0x38849f;_0x2420ba[_0x38ee03(0x218e)]({'title':_0x38ee03(0x2260),'msg':_0xb94f6e[_0x38ee03(0x25c)]?JSON[_0x38ee03(0x2701)](_0xb94f6e['data'][_0x38ee03(0x155e)]):_0xb94f6e[_0x38ee03(0x147f)]()});});}let _0x2c79c4=!![],_0x5bc679=0x1;_0x418420['$watch'](_0x599d03(0x957),function(_0x34f623,_0x3b5c04){const _0x5d17e8=_0x599d03;_0x2c79c4?_0x3aa199(function(){_0x2c79c4=![];}):(!_0x3b5c04&&(_0x5bc679=_0x269cb[_0x5d17e8(0xae2)][_0x5d17e8(0x1c7b)]),_0x34f623!==_0x3b5c04&&(_0x269cb[_0x5d17e8(0xae2)]['page']=0x1),!_0x34f623&&(_0x269cb[_0x5d17e8(0xae2)][_0x5d17e8(0x1c7b)]=_0x5bc679),_0x584461(_0x269cb['query'][_0x5d17e8(0x1c7b)]));}),_0x418420['$on'](_0x599d03(0x291c),function(){const _0x36ea7b=_0x599d03;_0x5c0c4a['removeAllListeners'](_0x36ea7b(0xbc7)),_0x5c0c4a[_0x36ea7b(0xfb8)](_0x36ea7b(0x2338)),_0x5c0c4a['removeAllListeners'](_0x36ea7b(0x1a16)),_0x5c0c4a['removeAllListeners']('smsInteractionTags:save'),_0x5c0c4a[_0x36ea7b(0xfb8)](_0x36ea7b(0x159f)),_0x5c0c4a['removeAllListeners'](_0x36ea7b(0x129d)),_0x5c0c4a['removeAllListeners']('user_has_sms_interactions:remove');});}const _0x5b9a44=_0x341271;;const _0x4e72a4=_0x5074a3['p']+_0x5537c6(0x1640);;_0x31a9b3[_0x5537c6(0x15b6)]=['$mdDialog',_0x5537c6(0x22bf),_0x5537c6(0x142b),_0x5537c6(0x9bf),'Auth',_0x5537c6(0x1f10),'$scope',_0x5537c6(0x2168)];function _0x31a9b3(_0x598fe5,_0x49c0da,_0x43d2fa,_0x5be40e,_0x10c468,_0x44b9d1,_0x213c9a,_0x34df53){const _0x428307=_0x5537c6,_0x3e9890=this;_0x3e9890[_0x428307(0xe76)]=_0x10c468['getCurrentUser'](),_0x3e9890[_0x428307(0x23fe)]={},_0x3e9890[_0x428307(0xc83)]=_0x428307(0x23fe),_0x3e9890[_0x428307(0xae2)]={'UserId':_0x3e9890[_0x428307(0xe76)]['id'],'fields':_0x428307(0x1d44),'sort':_0x428307(0x282),'limit':0xa,'page':0x1,'type':null},_0x3e9890['success']=_0x2c4a01,_0x3e9890['getVoiceCalls']=_0x148d57,_0x3e9890['onInit']=_0x5d09fe,_0x3e9890['showDetails']=_0x32514a,_0x3e9890['onSearchBarCollapse']=_0x377008,_0x3e9890['onSearchBarExpand']=_0x57f86b;function _0x5d09fe(){const _0x30990a=_0x428307;return _0x43d2fa[_0x30990a(0x22b1)][_0x30990a(0xbf7)]()['$promise'][_0x30990a(0x1cb0)](function(_0x3cc48c){const _0x51493d=_0x30990a;_0x3e9890[_0x51493d(0xfbf)]=_0x3cc48c||{'count':0x0,'rows':[]};})[_0x30990a(0x1cb0)](function(){_0x3e9890['quickFilters']=_0x396b07();})['catch'](function(_0x4ed111){const _0x16b28e=_0x30990a;_0x5be40e[_0x16b28e(0x218e)]({'title':'Init\x20error','msg':_0x4ed111['data']?JSON[_0x16b28e(0x2701)](_0x4ed111['data'][_0x16b28e(0x155e)]):_0x4ed111[_0x16b28e(0x155e)]||_0x4ed111[_0x16b28e(0x147f)]()}),_0x3e9890[_0x16b28e(0xfbf)]={'count':0x0,'rows':[]};})[_0x30990a(0x2e0)](function(){const _0x5b9077=_0x30990a;let _0xa1777b=_0x44b9d1[_0x5b9077(0x1b83)][_0x5b9077(0x2091)]('motion2.home.voice:'+_0x3e9890[_0x5b9077(0xe76)]['id']);if(_0xa1777b)try{_0xa1777b=JSON['parse'](_0xa1777b),_0xa1777b[_0x5b9077(0xae2)]&&(_0x3e9890[_0x5b9077(0xae2)]=_0x39641b()[_0x5b9077(0x1bd8)](_0xa1777b['query'],_0x5b9077(0x1c99)));}catch(_0x5efc54){console[_0x5b9077(0x218e)](_0x5efc54);}else{const _0x312d89={'$gte':_0x543b5a()()[_0x5b9077(0x1be0)]('month')[_0x5b9077(0x17d9)](0x0,!![])[_0x5b9077(0x1f31)](),'$lte':_0x543b5a()()[_0x5b9077(0x1b4)](_0x5b9077(0x26ae))['utcOffset'](0x0,!![])[_0x5b9077(0x1f31)]()};_0x3e9890['query'][_0x5b9077(0x24cb)]=_0x312d89;}_0x148d57();});}function _0x396b07(){const _0x186112=_0x428307;return[{'name':_0x186112(0x68d),'key':'createdAt','type':_0x186112(0x18ec),'label':_0x186112(0xf5e)},{'name':_0x186112(0x6fb),'key':_0x186112(0x66a),'type':'select','label':_0x186112(0xc18),'customOptions':[{'value':'internal','translate':_0x186112(0x1720)},{'value':_0x186112(0x26c0),'translate':'VOICE.INBOUND'},{'value':_0x186112(0x895),'translate':_0x186112(0x1a0b)},{'value':_0x186112(0x14f7),'translate':'VOICE.DIALER'},{'value':null,'translate':_0x186112(0x1743)}]}];}function _0x2c4a01(_0x44573c){const _0x7f077d=_0x428307;_0x3e9890[_0x7f077d(0x16cb)]=![],_0x3e9890[_0x7f077d(0x23fe)]=_0x44573c||{'count':0x0,'rows':[]};}function _0x148d57(){const _0xb1d0d4=_0x428307;_0x3e9890['searchInProgress']=!![],_0x3e9890[_0xb1d0d4(0xae2)][_0xb1d0d4(0x184b)]=(_0x3e9890[_0xb1d0d4(0xae2)][_0xb1d0d4(0x1c7b)]-0x1)*_0x3e9890['query'][_0xb1d0d4(0x236)];const _0x343bc7={'query':_0x3e9890[_0xb1d0d4(0xae2)]};_0x44b9d1[_0xb1d0d4(0x1b83)][_0xb1d0d4(0x13a8)](_0xb1d0d4(0x2764)+_0x3e9890[_0xb1d0d4(0xe76)]['id'],JSON['stringify'](_0x343bc7)),_0x3e9890[_0xb1d0d4(0x2061)]=_0x43d2fa['voiceCallReport'][_0xb1d0d4(0xbf7)](_0x3e9890[_0xb1d0d4(0xae2)],_0x2c4a01)['$promise'];}function _0x32514a(_0x362baf){const _0x1ea97e=_0x428307;_0x598fe5['show']({'controller':_0x1ea97e(0x71c),'controllerAs':'vm','templateUrl':_0x4e72a4,'parent':angular[_0x1ea97e(0x1853)](_0x49c0da[_0x1ea97e(0x1ed9)]),'clickOutsideToClose':!![],'locals':{'call':_0x362baf}});}function _0x377008(){const _0x248566=_0x428307;_0x3e9890['query']['filter']=undefined,_0x3e9890[_0x248566(0x1e6c)]=![];}function _0x57f86b(){_0x3e9890['isSearchBarVisible']=!![];}let _0x8f5db7=!![],_0x301efc=0x1;_0x213c9a[_0x428307(0x614)]('vm.query.filter',function(_0x5b4871,_0x3b186c){const _0x37bd4e=_0x428307;_0x8f5db7?_0x34df53(function(){_0x8f5db7=![];}):(!_0x3b186c&&(_0x301efc=_0x3e9890['query'][_0x37bd4e(0x1c7b)]),_0x5b4871!==_0x3b186c&&(_0x3e9890['query'][_0x37bd4e(0x1c7b)]=0x1),!_0x5b4871&&(_0x3e9890[_0x37bd4e(0xae2)][_0x37bd4e(0x1c7b)]=_0x301efc),_0x3e9890[_0x37bd4e(0x133e)]());});}const _0xdea51=_0x31a9b3;;_0xefad5c[_0x5537c6(0x15b6)]=['$mdDialog','call'];function _0xefad5c(_0x5bde4a,_0x3d4907){const _0x5d45e9=_0x5537c6,_0x35e79e=this;_0x35e79e[_0x5d45e9(0x205d)]=_0x3d4907;function _0x113691(){const _0x48bd5f=_0x5d45e9;_0x5bde4a[_0x48bd5f(0x1426)]();}_0x35e79e['closeDialog']=_0x113691;}const _0x29687f=_0xefad5c;;_0x125f2a[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x2168),'$mdDialog','$document',_0x5537c6(0x1f10),_0x5537c6(0x1ae),_0x5537c6(0x142b),'Auth',_0x5537c6(0x1986),'toasty',_0x5537c6(0x1b68)];function _0x125f2a(_0x4390ca,_0x2d08f3,_0x54b814,_0x114549,_0x2be0aa,_0xf03d99,_0xa84725,_0x457ef5,_0xdab09,_0x4151d8,_0x25c59f){const _0xde4151=_0x5537c6,_0x5b4af6=this;_0x5b4af6['user']=_0x457ef5[_0xde4151(0x21e8)](),_0x5b4af6['license']={},_0x5b4af6['setting']={},_0x5b4af6[_0xde4151(0xfbf)]={'count':0x0,'rows':[]},_0x5b4af6[_0xde4151(0xf49)]={'count':0x0,'rows':[]},_0x5b4af6[_0xde4151(0xae2)]={'includeAll':!![],'sort':_0xde4151(0x282),'limit':0xa,'page':0x1,'read':null,'closed':null},_0x5b4af6[_0xde4151(0x16ad)]={'fields':[{'name':'Id','column':'id','type':_0xde4151(0x83d)},{'name':_0xde4151(0x8d3),'column':_0xde4151(0x8d3),'type':_0xde4151(0x19e0),'options':{'searchFields':['firstName',_0xde4151(0x1fbb),'email'],'route':{'model':'cmContact','action':'get','params':{'fields':_0xde4151(0x9b0),'Contact':_0xde4151(0xed6),'nolimit':!![]}},'extraOperators':[_0xde4151(0x1fb1)],'excludedOperators':[_0xde4151(0x15ce)]}},{'name':_0xde4151(0x269b),'column':_0xde4151(0x1ed9),'type':_0xde4151(0x19d3),'options':{'excludedOperators':[_0xde4151(0x1c5e),'$ne']}},{'name':'Status','column':_0xde4151(0x22aa),'type':_0xde4151(0x220f),'values':[{'id':0x0,'translate':_0xde4151(0x1bbd)},{'id':0x1,'translate':_0xde4151(0x191b)}],'options':{'excludedOperators':[_0xde4151(0x15ce)]}},{'name':_0xde4151(0x30e),'column':_0xde4151(0x1597),'type':'autocomplete','options':{'table':'i','route':{'model':_0xde4151(0xebe),'action':'get','params':{'role':_0xde4151(0x1eff),'fields':_0xde4151(0x1d34),'nolimit':!![]}},'searchFields':[_0xde4151(0x1d14),_0xde4151(0x16b6)],'extraOperators':[_0xde4151(0x1fb1)],'excludedOperators':[_0xde4151(0x15ce)]}},{'name':_0xde4151(0x1649),'column':_0xde4151(0xfc8),'type':_0xde4151(0x1d50),'options':{'route':{'model':_0xde4151(0xebe),'action':_0xde4151(0x1758),'params':{'id':_0x5b4af6[_0xde4151(0xebe)]['id'],'nolimit':!![]}}}},{'name':_0xde4151(0x190),'column':'Tag','type':'multiselect','options':{'route':{'model':_0xde4151(0x22b1),'action':_0xde4151(0xbf7),'params':{'nolimit':!![]}},'excludedOperators':[_0xde4151(0x62d)]}},{'name':'Start\x20Date','column':_0xde4151(0x24cb),'type':'date','options':{'excludedOperators':[_0xde4151(0x15ce)]}},{'name':'Read','column':_0xde4151(0x1554),'type':'select','values':[{'id':0x1,'translate':'DASHBOARDS.READ'},{'id':0x0,'translate':'DASHBOARDS.UNREAD'}],'options':{'excludedOperators':['$ne']}}]},_0x5b4af6['sectionColor']=_0xde4151(0x1995),_0x5b4af6['onInit']=_0x4dc8c4,_0x5b4af6['success']=_0x233fa5,_0x5b4af6[_0xde4151(0x268e)]=_0x221a22,_0x5b4af6['showWhatsappInteraction']=_0x482f04,_0x5b4af6[_0xde4151(0x21bf)]=_0x8fc449,_0x5b4af6[_0xde4151(0x493)]=_0xde68ee,_0x5b4af6['getContactLabel']=_0x8fad65,_0x5b4af6['getAgentLabel']=_0x30bf27,_0x5b4af6[_0xde4151(0x59b)]=_0x140e9b,_0x5b4af6['composeWhatsappInteraction']=_0x16298d,_0x5b4af6[_0xde4151(0x17c3)]=_0x23794,_0x5b4af6['onSearchBarExpand']=_0x1deb05,_0x5b4af6[_0xde4151(0x1ede)]=_0x11c49c;function _0x4dc8c4(_0x5d80b8,_0x563ac6){const _0xb2d204=_0xde4151;return _0x5b4af6[_0xb2d204(0x8a5)]=_0x5d80b8,_0x5b4af6[_0xb2d204(0x9ca)]=_0x563ac6,_0xa84725[_0xb2d204(0xebe)][_0xb2d204(0xbf7)+_0x39641b()[_0xb2d204(0x277)](_0xb2d204(0xbb3))]({'id':_0x5b4af6[_0xb2d204(0xebe)]['id'],'nolimit':!![]})['$promise']['then'](function(_0x3ec656){const _0x2234ae=_0xb2d204;return _0x5b4af6[_0x2234ae(0xbb3)]=_0x3ec656||{'count':0x0,'rows':[]},_0xa84725[_0x2234ae(0x22b1)]['get']()[_0x2234ae(0x1d77)];})[_0xb2d204(0x1cb0)](function(_0x47e84e){_0x5b4af6['tags']=_0x47e84e||{'count':0x0,'rows':[]};})[_0xb2d204(0x1cb0)](function(){_0x5b4af6['quickFilters']=_0x53621e();})[_0xb2d204(0x1c4)](function(_0x6c481d){const _0x1ccd0a=_0xb2d204;console[_0x1ccd0a(0x218e)](_0x6c481d);})['finally'](function(){const _0x5e5dc7=_0xb2d204;let _0x3a718d=_0x2be0aa[_0x5e5dc7(0x1b83)]['getItem']('motion2.home.whatsapp:'+_0x5b4af6['user']['id']);if(_0x3a718d)try{_0x3a718d=JSON['parse'](_0x3a718d);if(_0x3a718d['query']){_0x5b4af6[_0x5e5dc7(0xae2)]=_0x39641b()['merge'](_0x5b4af6['query'],_0x3a718d[_0x5e5dc7(0xae2)]);if(_0x39641b()[_0x5e5dc7(0xce9)](_0x5b4af6['whatsappAccounts']['rows']))_0x5b4af6[_0x5e5dc7(0xae2)][_0x5e5dc7(0xfc8)]=[];else _0x39641b()[_0x5e5dc7(0xce9)](_0x5b4af6[_0x5e5dc7(0xae2)][_0x5e5dc7(0xfc8)])&&(_0x5b4af6[_0x5e5dc7(0xae2)][_0x5e5dc7(0xfc8)]=_0x39641b()(_0x5b4af6[_0x5e5dc7(0xbb3)][_0x5e5dc7(0x2214)])[_0x5e5dc7(0x1de2)]('id')[_0x5e5dc7(0x54f)]()[_0x5e5dc7(0x327)]());_0x5b4af6[_0x5e5dc7(0xae2)][_0x5e5dc7(0x1381)]&&_0x5b4af6[_0x5e5dc7(0xae2)][_0x5e5dc7(0x1381)][_0x5e5dc7(0xd8a)](_0x5e5dc7(0x15d6))>=0x0&&(_0x5b4af6[_0x5e5dc7(0xae2)]=_0x39641b()[_0x5e5dc7(0x1bd8)](_0x5b4af6['query'],'sort')),_0x5b4af6[_0x5e5dc7(0xae2)][_0x5e5dc7(0x11f0)]=!![],_0x5b4af6['isSearchBarVisible']=_0x5b4af6[_0x5e5dc7(0xae2)]['filter']?!![]:![];}}catch(_0x36ea89){console[_0x5e5dc7(0x218e)](_0x36ea89);}else{const _0x4e952f={'$gte':_0x543b5a()()[_0x5e5dc7(0x1be0)](_0x5e5dc7(0x26ae))[_0x5e5dc7(0x17d9)](0x0,!![])[_0x5e5dc7(0x1f31)](),'$lte':_0x543b5a()()[_0x5e5dc7(0x1b4)](_0x5e5dc7(0x26ae))[_0x5e5dc7(0x17d9)](0x0,!![])[_0x5e5dc7(0x1f31)]()},_0x4cdb67={'WhatsappAccountId':_0x39641b()[_0x5e5dc7(0x1de2)](_0x5b4af6[_0x5e5dc7(0xbb3)][_0x5e5dc7(0x2214)],'id'),'createdAt':_0x4e952f};_0x5b4af6['query']=_0x39641b()[_0x5e5dc7(0x9c1)](_0x5b4af6['query'],_0x4cdb67);}_0xdab09['on'](_0x5e5dc7(0xa3e),_0x5c6bb4),_0xdab09['on'](_0x5e5dc7(0x1b85),_0x5c6bb4),_0xdab09['on'](_0x5e5dc7(0x555),_0x23e97d),_0xdab09['on']('whatsappMessage:save',_0x18e4be),_0xdab09['on'](_0x5e5dc7(0x2126),_0x18e4be),_0xdab09['on'](_0x5e5dc7(0x1f52),_0x312239),_0xdab09['on'](_0x5e5dc7(0xe51),_0xff33f2),_0x221a22(_0x5b4af6['query'][_0x5e5dc7(0x1c7b)]);});}function _0x53621e(){const _0x3e6ffa=_0xde4151;return[{'name':_0x3e6ffa(0x68d),'key':_0x3e6ffa(0x24cb),'type':'date','label':_0x3e6ffa(0xf5e)},{'name':_0x3e6ffa(0x223c),'key':_0x3e6ffa(0xfc1),'type':_0x3e6ffa(0x220f),'label':'DASHBOARDS.SELECT_READ_UNREAD','customOptions':[{'value':0x0,'translate':_0x3e6ffa(0x1115)},{'value':0x1,'translate':_0x3e6ffa(0x27a4)},{'value':null,'translate':'DASHBOARDS.ALL'}]},{'name':'Status','key':_0x3e6ffa(0x22aa),'type':_0x3e6ffa(0x220f),'label':'DASHBOARDS.SELECT_STATUS','customOptions':[{'value':0x0,'translate':_0x3e6ffa(0x1bbd)},{'value':0x1,'translate':_0x3e6ffa(0x191b)},{'value':null,'translate':'DASHBOARDS.ALL'}]},{'name':_0x3e6ffa(0x1649),'key':_0x3e6ffa(0xfc8),'type':_0x3e6ffa(0x1d50),'label':_0x3e6ffa(0xf86),'options':_0x5b4af6[_0x3e6ffa(0xbb3)]['rows'],'clearAll':![]},{'name':_0x3e6ffa(0x30e),'key':'UserId','type':'select','label':'DASHBOARDS.SELECT_AGENT','customOptions':[{'value':_0x5b4af6[_0x3e6ffa(0xebe)]['id'],'translate':_0x3e6ffa(0x1ea6)},{'value':_0x3e6ffa(0xd38),'translate':_0x3e6ffa(0x68f)},{'value':undefined,'translate':_0x3e6ffa(0x2706)}]},{'name':_0x3e6ffa(0xf2d),'key':_0x3e6ffa(0x22b1),'type':'multiselect','label':_0x3e6ffa(0x3c3),'options':_0x5b4af6[_0x3e6ffa(0xfbf)][_0x3e6ffa(0x2214)],'placeholder':_0x3e6ffa(0x62b)}];}function _0x233fa5(_0x74b4fe){const _0x13b9b9=_0xde4151;_0x5b4af6[_0x13b9b9(0x16cb)]=![],_0x5b4af6[_0x13b9b9(0xf49)]=_0x74b4fe||{'count':0x0,'rows':[]};for(let _0x165bce=0x0;_0x165bce<_0x5b4af6['whatsappInteractions'][_0x13b9b9(0x2214)]['length'];_0x165bce+=0x1){_0x8fad65(_0x5b4af6[_0x13b9b9(0xf49)]['rows'][_0x165bce]);}}function _0x221a22(_0x311e1f){const _0x1f0db4=_0xde4151;_0x5b4af6[_0x1f0db4(0x16cb)]=!![];!_0x311e1f&&(_0x5b4af6[_0x1f0db4(0xae2)][_0x1f0db4(0x1c7b)]=0x1);_0x5b4af6[_0x1f0db4(0xae2)][_0x1f0db4(0x184b)]=(_0x5b4af6[_0x1f0db4(0xae2)][_0x1f0db4(0x1c7b)]-0x1)*_0x5b4af6[_0x1f0db4(0xae2)][_0x1f0db4(0x236)];let _0x54ee7f=_0x2be0aa[_0x1f0db4(0x1b83)][_0x1f0db4(0x2091)](_0x1f0db4(0x1d71)+_0x5b4af6['user']['id']);_0x54ee7f=_0x54ee7f?JSON['parse'](_0x54ee7f):{},_0x54ee7f[_0x1f0db4(0xae2)]=_0x5b4af6[_0x1f0db4(0xae2)],_0x2be0aa[_0x1f0db4(0x1b83)][_0x1f0db4(0x13a8)](_0x1f0db4(0x1d71)+_0x5b4af6[_0x1f0db4(0xebe)]['id'],JSON['stringify'](_0x54ee7f)),_0x5b4af6[_0x1f0db4(0x2061)]=_0xa84725[_0x1f0db4(0x197a)][_0x1f0db4(0xbf7)](_0x5b4af6[_0x1f0db4(0xae2)],_0x233fa5)[_0x1f0db4(0x1d77)];}function _0x482f04(_0xd1136c,_0x170bb5){const _0xf05971=_0xde4151;_0x4390ca[_0xf05971(0x17bc)]['vm'][_0xf05971(0x11d9)](_0xf05971(0x2476),_0x170bb5);}function _0x8fc449(_0x1b87eb,_0x6ebb2b,_0x440cc2){const _0x5837c3=_0xde4151;_0xa84725['whatsappInteraction'][_0x5837c3(0x26ec)]({'id':_0x6ebb2b['id'],'attachments':_0x440cc2})[_0x5837c3(0x1d77)]['then'](function(_0x26546b){const _0x564971=_0x5837c3,_0x2e1444=[_0x26546b[_0x564971(0xef0)]],_0x336955=_0x564971(0x167a)+_0x6ebb2b['id']+_0x564971(0x1b16),_0x4a309e=new Blob(_0x2e1444,{'type':_0x26546b[_0x564971(0x66a)]}),_0x57c5=window[_0x564971(0x1db8)][_0x564971(0x8c6)]('a');_0x57c5[_0x564971(0x23b9)](_0x564971(0x105b),URL[_0x564971(0x2247)](_0x4a309e)),_0x57c5[_0x564971(0x23b9)](_0x564971(0x26ec),_0x336955),document[_0x564971(0x1ed9)][_0x564971(0x23de)](_0x57c5),_0x57c5[_0x564971(0x20b8)]();})[_0x5837c3(0x1c4)](function(_0x3b5391){const _0x31e548=_0x5837c3;if(_0x3b5391[_0x31e548(0x25c)]&&_0x3b5391[_0x31e548(0x25c)][_0x31e548(0x1a7c)]&&_0x3b5391[_0x31e548(0x25c)][_0x31e548(0x1a7c)]['length'])for(let _0x2a1c3c=0x0;_0x2a1c3c<_0x3b5391[_0x31e548(0x25c)][_0x31e548(0x1a7c)][_0x31e548(0xfd0)];_0x2a1c3c+=0x1){_0x4151d8[_0x31e548(0x218e)]({'title':_0x3b5391[_0x31e548(0x25c)][_0x31e548(0x1a7c)][_0x2a1c3c][_0x31e548(0x66a)],'msg':_0x3b5391['data'][_0x31e548(0x1a7c)][_0x2a1c3c][_0x31e548(0x155e)]});}else _0x4151d8[_0x31e548(0x218e)]({'title':_0x3b5391[_0x31e548(0x291)]?_0x31e548(0xeb9)+_0x3b5391[_0x31e548(0x291)]+'\x20-\x20'+_0x3b5391[_0x31e548(0xc22)]:_0x31e548(0x21bf),'msg':_0x3b5391['data']?JSON[_0x31e548(0x2701)](_0x3b5391[_0x31e548(0x25c)]['message']):_0x3b5391[_0x31e548(0x147f)]()});});}function _0xde68ee(_0x32441c,_0x65823a){const _0x3dbe57=_0xde4151,_0x359b4b=_0x5b4af6[_0x3dbe57(0xebe)][_0x3dbe57(0x2478)]||_0x5b4af6[_0x3dbe57(0xebe)][_0x3dbe57(0xf0c)]||_0x5b4af6[_0x3dbe57(0xebe)][_0x3dbe57(0x2035)]||_0x5b4af6[_0x3dbe57(0xebe)][_0x3dbe57(0x1c7d)]||_0x5b4af6['user'][_0x3dbe57(0x1ce)],_0xe92b9f=!_0x65823a[_0x3dbe57(0x1649)]['mandatoryDisposition'];_0x54b814['show']({'controller':_0x3dbe57(0x209d),'controllerAs':'vm','templateUrl':_0x3769c1,'parent':angular['element'](_0x114549[_0x3dbe57(0x1ed9)]),'clickOutsideToClose':_0xe92b9f,'escapeToClose':_0xe92b9f,'onRemoving':function(){const _0x42611c=_0x3dbe57;_0x5b4af6['user']&&_0x65823a[_0x42611c(0x1649)][_0x42611c(0x1935)]&&!_0x359b4b&&_0xa84725[_0x42611c(0xebe)][_0x42611c(0xf5d)]({'id':_0x5b4af6['user']['id']})[_0x42611c(0x1d77)][_0x42611c(0x1c4)](function(_0x5a0641){const _0x13960e=_0x42611c;console[_0x13960e(0x218e)](_0x5a0641);});},'locals':{'user':_0x5b4af6[_0x3dbe57(0xebe)],'channel':_0x3dbe57(0x2476),'interaction':_0x65823a}});}function _0x8fad65(_0x3ccb97){const _0x39957b=_0xde4151;_0x3ccb97[_0x39957b(0x28b6)]=_0x3ccb97[_0x39957b(0x8d3)]?_0x3ccb97[_0x39957b(0x8d3)][_0x39957b(0x1ce6)]:undefined,_0x3ccb97[_0x39957b(0x1325)]===_0x39957b(0x2087)&&(_0x3ccb97[_0x39957b(0x1325)]=_0xf03d99['instant'](_0x39957b(0x2b9)));}function _0x30bf27(_0x3f0ebe){const _0x3a9134=_0xde4151;if(_0x3f0ebe['UserId'])return _0x3f0ebe['UserId']===_0x5b4af6[_0x3a9134(0xebe)]['id']?_0xf03d99['instant'](_0x3a9134(0x1ea6)):_0x3f0ebe[_0x3a9134(0x135d)][_0x3a9134(0x1d14)]+'\x20<'+_0x3f0ebe[_0x3a9134(0x135d)][_0x3a9134(0xdbd)]+'>';return _0xf03d99[_0x3a9134(0x25cc)](_0x3a9134(0x68f));}function _0x140e9b(_0x32a0e7){const _0x4164f0=_0xde4151;if(_0x32a0e7['Account'])return _0x32a0e7[_0x4164f0(0x1649)]['key'];return _0xf03d99[_0x4164f0(0x25cc)](_0x4164f0(0x2b9));}function _0x16298d(_0xcda268,_0x50bb92){const _0x18a714=_0xde4151;_0x54b814[_0x18a714(0xe27)]({'controller':_0x18a714(0xc53),'controllerAs':'vm','templateUrl':_0x2dd24c,'parent':angular[_0x18a714(0x1853)](_0x114549['body']),'clickOutsideToClose':![],'locals':{'account':_0x50bb92,'message':{},'type':_0x18a714(0x1295),'interaction':{}},'fullscreen':!![]})['then'](function(_0x22637d){const _0x513839=_0x18a714;if(_0x22637d){if(_0x22637d[_0x513839(0x155e)]&&_0x22637d['message'][_0x513839(0x275)]){const _0x51c845={'channel':_0x513839(0x2476),'account':_0x50bb92,'message':_0x22637d['message'],'contact':_0x22637d[_0x513839(0x8b1)]};_0x25c59f[_0x513839(0x17a1)](_0x51c845);}else{const _0x47675f=_0x22637d;return _0xa84725[_0x513839(0x83a)][_0x513839(0x199f)]({'id':_0x50bb92['id']},_0x47675f)[_0x513839(0x1d77)][_0x513839(0x1cb0)](function(_0x97fb7e){const _0x7a51d0=_0x513839;_0x4151d8[_0x7a51d0(0x829)]({'title':_0x7a51d0(0x113d),'msg':'Message\x20has\x20been\x20sent!'}),_0x4390ca['$parent']['vm'][_0x7a51d0(0x11d9)](_0x7a51d0(0x2476),_0x97fb7e);})[_0x513839(0x1c4)](function(_0x38d2c5){const _0x4633cc=_0x513839;if(_0x38d2c5[_0x4633cc(0x25c)]&&_0x38d2c5[_0x4633cc(0x25c)][_0x4633cc(0x1a7c)]&&_0x38d2c5[_0x4633cc(0x25c)][_0x4633cc(0x1a7c)][_0x4633cc(0xfd0)])for(let _0x26534e=0x0;_0x26534e<_0x38d2c5[_0x4633cc(0x25c)][_0x4633cc(0x1a7c)][_0x4633cc(0xfd0)];_0x26534e++){_0x4151d8['error']({'title':_0x38d2c5[_0x4633cc(0x25c)]['errors'][_0x26534e][_0x4633cc(0x66a)],'msg':_0x38d2c5[_0x4633cc(0x25c)][_0x4633cc(0x1a7c)][_0x26534e][_0x4633cc(0x155e)]});}else _0x4151d8['error']({'title':_0x38d2c5[_0x4633cc(0x291)]?_0x4633cc(0xeb9)+_0x38d2c5[_0x4633cc(0x291)]+_0x4633cc(0x1657)+_0x38d2c5[_0x4633cc(0xc22)]:_0x4633cc(0x24b3),'msg':_0x38d2c5['data']?JSON['stringify'](_0x38d2c5[_0x4633cc(0x25c)][_0x4633cc(0x155e)]):_0x38d2c5[_0x4633cc(0x155e)]||_0x38d2c5[_0x4633cc(0x147f)]()});});}}});}function _0x312239(_0x6cefe7){const _0x74cc4e=_0xde4151;let _0x208323;const _0x482a40=_0x39641b()[_0x74cc4e(0x13b4)](_0x5b4af6['whatsappInteractions'][_0x74cc4e(0x2214)],{'id':_0x6cefe7[_0x74cc4e(0x2333)]});_0x482a40&&_0x482a40[_0x74cc4e(0x2653)]&&(_0x208323=_0x39641b()[_0x74cc4e(0x13b4)](_0x482a40[_0x74cc4e(0x2653)],{'id':_0x6cefe7[_0x74cc4e(0x21ab)]}),!_0x208323&&_0x482a40['Users'][_0x74cc4e(0x2785)]({'id':_0x6cefe7[_0x74cc4e(0x21ab)]}));}function _0xff33f2(_0x5cbc39){const _0x10b2fd=_0xde4151,_0x455d62=_0x39641b()[_0x10b2fd(0x13b4)](_0x5b4af6[_0x10b2fd(0xf49)][_0x10b2fd(0x2214)],{'id':_0x5cbc39['WhatsappInteractionId']});_0x455d62&&_0x455d62[_0x10b2fd(0x2653)]&&_0x39641b()[_0x10b2fd(0x152a)](_0x455d62[_0x10b2fd(0x2653)],{'id':_0x5cbc39[_0x10b2fd(0x21ab)]});}function _0x5c6bb4(_0x21b051){const _0x4a8dd3=_0xde4151;if(_0x21b051){const _0x20e71c=_0x39641b()[_0x4a8dd3(0x13b4)](_0x5b4af6[_0x4a8dd3(0xf49)][_0x4a8dd3(0x2214)],{'id':_0x21b051['id']});if(_0x20e71c){_0x20e71c['Users']=[];_0x21b051[_0x4a8dd3(0x22aa)]&&(_0x20e71c[_0x4a8dd3(0x1554)]=0x0);if(_0x5b4af6[_0x4a8dd3(0xae2)]['sort']){const _0x2681ff=_0x39641b()[_0x4a8dd3(0x1f2c)](_0x5b4af6[_0x4a8dd3(0xae2)][_0x4a8dd3(0x1381)],'-')?_0x4a8dd3(0x20a5):'asc';_0x5b4af6['whatsappInteractions'][_0x4a8dd3(0x2214)]=_0x39641b()[_0x4a8dd3(0x17ca)](_0x5b4af6[_0x4a8dd3(0xf49)]['rows'],_0x39641b()[_0x4a8dd3(0x359)](_0x5b4af6[_0x4a8dd3(0xae2)]['sort'],'-'),_0x2681ff);}_0x39641b()[_0x4a8dd3(0x9c1)](_0x20e71c,_0x39641b()[_0x4a8dd3(0x169b)](_0x21b051,_0x39641b()[_0x4a8dd3(0x1be5)](_0x20e71c)));}else _0x221a22(_0x5b4af6['query'][_0x4a8dd3(0x1c7b)]);}}function _0x23e97d(_0x3d98a0){const _0x130e96=_0xde4151;if(_0x3d98a0){const _0x40ab36=_0x39641b()[_0x130e96(0x13b4)](_0x5b4af6['whatsappInteractions'][_0x130e96(0x2214)],{'id':_0x3d98a0['id']});_0x40ab36&&(_0x40ab36[_0x130e96(0x190)]=_0x3d98a0[_0x130e96(0xfbf)]||[],_0x39641b()[_0x130e96(0x9c1)](_0x40ab36,_0x39641b()[_0x130e96(0x169b)](_0x3d98a0,_0x39641b()[_0x130e96(0x1be5)](_0x40ab36))));}}function _0x18e4be(_0xbaf7f){const _0x1a4018=_0xde4151;if(_0xbaf7f&&!_0xbaf7f[_0x1a4018(0x1105)]){const _0x44c695=_0x39641b()[_0x1a4018(0x13b4)](_0x5b4af6[_0x1a4018(0xf49)][_0x1a4018(0x2214)],{'id':_0xbaf7f[_0x1a4018(0x2333)]});if(_0x44c695){const _0x1fa037=_0x39641b()['find'](_0x44c695[_0x1a4018(0x223c)],{'id':_0xbaf7f['id']});_0x1fa037?_0x39641b()[_0x1a4018(0x9c1)](_0x1fa037,_0x39641b()[_0x1a4018(0x169b)](_0xbaf7f,_0x39641b()[_0x1a4018(0x1be5)](_0x1fa037))):(_0x39641b()[_0x1a4018(0x958)](_0x44c695[_0x1a4018(0x223c)])&&(_0x44c695[_0x1a4018(0x223c)]={'count':0x0}),!_0xbaf7f[_0x1a4018(0xfc1)]&&(_0x44c695[_0x1a4018(0x223c)][_0x1a4018(0x184d)]+=0x1));}}}function _0x23794(){const _0x46cd6d=_0xde4151;_0x5b4af6[_0x46cd6d(0x1e6c)]=![];}function _0x1deb05(){_0x5b4af6['isSearchBarVisible']=!![];}function _0x11c49c(){const _0x416fe2=_0xde4151;_0x5b4af6[_0x416fe2(0x1e6c)]=![],_0x54b814[_0x416fe2(0xe27)]({'controller':_0x416fe2(0x15f7),'controllerAs':'vm','templateUrl':_0x3530d6,'parent':angular[_0x416fe2(0x1853)](_0x114549[_0x416fe2(0x1ed9)]),'clickOutsideToClose':![],'locals':{'color':_0x5b4af6['sectionColor'],'fields':_0x5b4af6[_0x416fe2(0x16ad)][_0x416fe2(0x355)],'storagePath':_0x416fe2(0x163)},'fullscreen':!![]})[_0x416fe2(0x1cb0)](function(_0x5a8c4d){const _0x83de25=_0x416fe2;_0x5b4af6[_0x83de25(0xae2)][_0x83de25(0x24be)]=_0x5a8c4d===![]?undefined:_0x5a8c4d;if(_0x5b4af6['query'][_0x83de25(0x24be)]||_0x5a8c4d===![])_0x221a22();})[_0x416fe2(0x1c4)](function(_0x1ff9ab){const _0x5c1aac=_0x416fe2;_0x4151d8[_0x5c1aac(0x218e)]({'title':_0x5c1aac(0x2260),'msg':_0x1ff9ab['data']?JSON[_0x5c1aac(0x2701)](_0x1ff9ab[_0x5c1aac(0x25c)][_0x5c1aac(0x155e)]):_0x1ff9ab[_0x5c1aac(0x147f)]()});});}let _0x329ba6=!![],_0x48c59d=0x1;_0x4390ca[_0xde4151(0x614)]('vm.query.filter',function(_0x573b4c,_0x34d838){const _0x41d050=_0xde4151;_0x329ba6?_0x2d08f3(function(){_0x329ba6=![];}):(!_0x34d838&&(_0x48c59d=_0x5b4af6['query'][_0x41d050(0x1c7b)]),_0x573b4c!==_0x34d838&&(_0x5b4af6[_0x41d050(0xae2)]['page']=0x1),!_0x573b4c&&(_0x5b4af6[_0x41d050(0xae2)][_0x41d050(0x1c7b)]=_0x48c59d),_0x221a22(_0x5b4af6[_0x41d050(0xae2)][_0x41d050(0x1c7b)]));}),_0x4390ca['$on']('$destroy',function(){const _0x41665f=_0xde4151;_0xdab09['removeAllListeners'](_0x41665f(0x1341)),_0xdab09['removeAllListeners']('whatsappMessage:update'),_0xdab09[_0x41665f(0xfb8)]('whatsappInteraction:save'),_0xdab09[_0x41665f(0xfb8)](_0x41665f(0x555)),_0xdab09['removeAllListeners'](_0x41665f(0x1b85)),_0xdab09[_0x41665f(0xfb8)](_0x41665f(0x1f52)),_0xdab09[_0x41665f(0xfb8)](_0x41665f(0xe51));});}const _0x321fa8=_0x125f2a;;_0x14cd32['$inject']=[_0x5537c6(0x1463),'$sce'];function _0x14cd32(_0x394bb5,_0x49407e){const _0xd2f875=_0x5537c6,_0x1f0556=this;_0x1f0556['setting']={},_0x1f0556[_0xd2f875(0xab2)]={'count':0x0,'rows':[]},_0x1f0556['showInteraction']=![];function _0x45eec4(_0x32826f,_0x23f40c){const _0x4f0539=_0xd2f875;_0x1f0556[_0x4f0539(0x9ca)]=_0x23f40c,_0x1f0556[_0x4f0539(0x291e)]=_0x32826f,_0x1f0556['tab'][_0x4f0539(0x167f)]=!![];}function _0x1df4e3(){const _0x3ad091=_0xd2f875;return _0x49407e[_0x3ad091(0x149b)](_0x1f0556[_0x3ad091(0x291e)]['src']);}_0x1f0556[_0xd2f875(0x1a8e)]=_0x45eec4,_0x1f0556[_0xd2f875(0xee2)]=_0x1df4e3;}const _0x18a89c=_0x14cd32;;const _0x387b31=_0x5074a3['p']+_0x5537c6(0xddb);;const _0x16aba3=_0x5074a3['p']+'src/js/modules/main/apps/dashboards/views/general/agent/interaction/transfer/dialog.html/dialog.html';;_0x1fc5fa[_0x5537c6(0x15b6)]=['$sce'],_0x3ac7a5[_0x5537c6(0x15b6)]=[_0x5537c6(0x173),_0x5537c6(0x2168),'$document',_0x5537c6(0x1463),_0x5537c6(0xcb9),'$q','$location',_0x5537c6(0x1ae),_0x5537c6(0x142b),_0x5537c6(0x9bf),'Auth',_0x5537c6(0x1986),'msUtils',_0x5537c6(0x1b68)];function _0x3ac7a5(_0x301b37,_0x3851db,_0x5f2a3a,_0x573418,_0x259122,_0x26b39e,_0x3453f7,_0xff3f48,_0x12162a,_0x26e195,_0xb55dea,_0x125029,_0x4a8f56,_0x99560){const _0x2df477=_0x5537c6,_0x21b89d=this,_0x4f9506=0x19,_0x28f096=_0x4f9506*0x400*0x400;_0x21b89d[_0x2df477(0x2613)]=![],_0x21b89d[_0x2df477(0x12d7)]=![],_0x21b89d[_0x2df477(0xebe)]=_0xb55dea[_0x2df477(0x21e8)](),_0x21b89d[_0x2df477(0x21b9)]=_0x4a8f56['detectBrowser'](),_0x21b89d['journey']=[],_0x21b89d[_0x2df477(0x394)]=[],_0x21b89d[_0x2df477(0xee9)]=[_0x2df477(0x2651),_0x2df477(0x174c),_0x2df477(0x689),_0x2df477(0x15e0),_0x2df477(0x1944),_0x2df477(0x2476)],_0x21b89d[_0x2df477(0x15e8)]={'target':'api/attachments','singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0x28f096,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x21b89d[_0x2df477(0x125a)]={'flow':{}},_0x21b89d['selectedMailShowDetails']={},_0x21b89d[_0x2df477(0xdc8)]={},_0x21b89d[_0x2df477(0x9ca)]={},_0x21b89d[_0x2df477(0x7df)]={},_0x21b89d[_0x2df477(0x15d7)]={'fontSmiley':!![],'sanitizeHtml':!![],'emoji':!![],'link':!![],'linkTarget':_0x2df477(0x22ac)},_0x21b89d[_0x2df477(0x1a58)]=new Date(_0x543b5a()()[_0x2df477(0x1be0)](_0x2df477(0x26ae))[_0x2df477(0x1030)](0x1,_0x2df477(0x479))[_0x2df477(0x17d9)](0x0,!![])[_0x2df477(0x1f31)]()),_0x21b89d['lastDayMonth']=new Date(_0x543b5a()()[_0x2df477(0x1b4)](_0x2df477(0x26ae))['subtract'](0x1,'day')[_0x2df477(0x17d9)](0x0,!![])[_0x2df477(0x1f31)]()),_0x21b89d[_0x2df477(0x1a8e)]=_0x25e578,_0x21b89d[_0x2df477(0x22dd)]=_0x5d7279,_0x21b89d[_0x2df477(0x122c)]=_0x5580c8,_0x21b89d[_0x2df477(0x81e)]=_0x1f9b7f,_0x21b89d['disposeInteraction']=_0x5f4093,_0x21b89d[_0x2df477(0xde2)]=_0x2076b6,_0x21b89d[_0x2df477(0x18e)]=_0x261bc9,_0x21b89d['composeFaxInteraction']=_0x5a4bdd,_0x21b89d[_0x2df477(0x13f2)]=_0x259421,_0x21b89d[_0x2df477(0x7d9)]=_0x54934e,_0x21b89d[_0x2df477(0xb92)]=_0x20349e,_0x21b89d[_0x2df477(0x21e4)]=_0x5a2780,_0x21b89d[_0x2df477(0x290c)]=_0x2fbd8f,_0x21b89d[_0x2df477(0xb10)]=_0x508d93,_0x21b89d['createVidaooSession']=_0xd7468d,_0x21b89d['getMailAccounts']=_0xf9e0c0,_0x21b89d[_0x2df477(0x8e2)]=_0x314691,_0x21b89d[_0x2df477(0x856)]=_0x52b43d,_0x21b89d[_0x2df477(0x1758)]=_0x1beb01,_0x21b89d[_0x2df477(0x29c4)]=_0x3d0fd6,_0x21b89d[_0x2df477(0x1cb7)]=_0x5b9820,_0x21b89d[_0x2df477(0x1fa7)]=_0x26b2dd,_0x21b89d[_0x2df477(0x134e)]=_0x43a57d,_0x21b89d[_0x2df477(0x1c97)]=_0x35dcce,_0x21b89d[_0x2df477(0x15c2)]=_0x5e9a20,_0x21b89d[_0x2df477(0x200f)]=_0xd8733f,_0x21b89d[_0x2df477(0x2842)]=_0x321ebc,_0x21b89d['onInteractionSave']=_0xff2b1,_0x21b89d[_0x2df477(0x1190)]=_0x19322c,_0x21b89d[_0x2df477(0x2244)]=_0x4fd955,_0x21b89d[_0x2df477(0x24ab)]=_0xcd0a66,_0x21b89d[_0x2df477(0x879)]=_0x4d2d8d,_0x21b89d['getColorByItem']=_0x7738aa,_0x21b89d[_0x2df477(0x5ae)]=_0x24f757,_0x21b89d[_0x2df477(0x1043)]=![],_0x21b89d['changeDisplayCannedAnswerInputValue']=_0x302c9c,_0x21b89d['setAs']=_0x93f965,_0x21b89d['markAsUnread']=_0x349bc4,_0x21b89d[_0x2df477(0x209e)]=_0x33e4ed,_0x21b89d[_0x2df477(0x27d2)]=_0x2687ae,_0x21b89d[_0x2df477(0x1aa6)]=_0xa1f3b7,_0x21b89d[_0x2df477(0x87e)]=_0xfd4c1e,_0x21b89d[_0x2df477(0x27f4)]=_0x2410ff,_0x21b89d[_0x2df477(0xe4c)]=_0x41c10d,_0x21b89d[_0x2df477(0x1a93)]=_0x43a734,_0x21b89d[_0x2df477(0x1245)]={'scrollEl':_0x2df477(0x645)};function _0x5b9820(){const _0x5bb866=_0x2df477;_0x12162a[_0x5bb866(0xebe)][_0x5bb866(0x1cb7)]({'id':_0x21b89d['user']['id'],'nolimit':!![]})['$promise'][_0x5bb866(0x1cb0)](function(_0x250530){_0x21b89d['chatAccounts']=_0x250530||{'count':0x0,'rows':[]};});}function _0x26b2dd(){const _0x38ffe4=_0x2df477;_0x12162a[_0x38ffe4(0xebe)][_0x38ffe4(0x1fa7)]({'id':_0x21b89d[_0x38ffe4(0xebe)]['id'],'nolimit':!![]})[_0x38ffe4(0x1d77)][_0x38ffe4(0x1cb0)](function(_0x21662c){const _0x52d714=_0x38ffe4;_0x21b89d[_0x52d714(0x2042)]=_0x21662c||{'count':0x0,'rows':[]};});}function _0x3d0fd6(){const _0x240a85=_0x2df477;_0x12162a[_0x240a85(0xebe)][_0x240a85(0x29c4)]({'id':_0x21b89d[_0x240a85(0xebe)]['id'],'nolimit':!![]})[_0x240a85(0x1d77)][_0x240a85(0x1cb0)](function(_0x523f9e){const _0xf9411b=_0x240a85;_0x21b89d[_0xf9411b(0xe5c)]=_0x523f9e||{'count':0x0,'rows':[]};})[_0x240a85(0x1c4)](function(_0x4f7156){const _0x345ae9=_0x240a85;if(_0x4f7156[_0x345ae9(0x25c)]&&_0x4f7156['data'][_0x345ae9(0x1a7c)]&&_0x4f7156['data'][_0x345ae9(0x1a7c)]['length']){_0x21b89d[_0x345ae9(0x1a7c)]=_0x4f7156['data'][_0x345ae9(0x1a7c)]||[{'message':_0x4f7156['toString'](),'type':'api.mail.account'}];for(let _0x534205=0x0;_0x534205<_0x4f7156[_0x345ae9(0x25c)][_0x345ae9(0x1a7c)][_0x345ae9(0xfd0)];_0x534205+=0x1){_0x26e195[_0x345ae9(0x218e)]({'title':_0x4f7156[_0x345ae9(0x25c)][_0x345ae9(0x1a7c)][_0x534205]['type'],'msg':_0x4f7156['data'][_0x345ae9(0x1a7c)][_0x534205][_0x345ae9(0x155e)]});}}else _0x26e195[_0x345ae9(0x218e)]({'title':_0x4f7156[_0x345ae9(0x291)]?_0x345ae9(0xeb9)+_0x4f7156[_0x345ae9(0x291)]+_0x345ae9(0x1657)+_0x4f7156[_0x345ae9(0xc22)]:_0x345ae9(0x2003),'msg':_0x4f7156[_0x345ae9(0x25c)]?JSON[_0x345ae9(0x2701)](_0x4f7156[_0x345ae9(0x25c)][_0x345ae9(0x155e)]):_0x4f7156['toString']()});});}function _0xf9e0c0(){const _0x4c6536=_0x2df477;_0x12162a[_0x4c6536(0xebe)][_0x4c6536(0xe96)]({'id':_0x21b89d['user']['id'],'nolimit':!![]})[_0x4c6536(0x1d77)][_0x4c6536(0x1cb0)](function(_0x525dfc){const _0x2d4c9b=_0x4c6536;_0x21b89d[_0x2d4c9b(0x228e)]=_0x525dfc||{'count':0x0,'rows':[]};});}function _0x314691(){const _0x466e9d=_0x2df477;_0x12162a[_0x466e9d(0xebe)][_0x466e9d(0x8e2)]({'id':_0x21b89d[_0x466e9d(0xebe)]['id'],'nolimit':!![]})[_0x466e9d(0x1d77)][_0x466e9d(0x1cb0)](function(_0x10b61a){_0x21b89d['faxAccounts']=_0x10b61a||{'count':0x0,'rows':[]};});}function _0x52b43d(){const _0x361ca4=_0x2df477;_0x12162a[_0x361ca4(0xebe)][_0x361ca4(0x856)]({'id':_0x21b89d[_0x361ca4(0xebe)]['id'],'nolimit':!![]})[_0x361ca4(0x1d77)][_0x361ca4(0x1cb0)](function(_0x49cad1){const _0x54ea51=_0x361ca4;_0x21b89d[_0x54ea51(0x1ac)]=_0x49cad1||{'count':0x0,'rows':[]};});}function _0x1beb01(){const _0x2f6912=_0x2df477;_0x12162a['user'][_0x2f6912(0x1758)]({'id':_0x21b89d[_0x2f6912(0xebe)]['id'],'nolimit':!![]})[_0x2f6912(0x1d77)][_0x2f6912(0x1cb0)](function(_0x48823a){_0x21b89d['whatsappAccounts']=_0x48823a||{'count':0x0,'rows':[]};});}function _0x43a734(){const _0x3a2f12=_0x2df477,_0x343daa=_0x26b39e['defer']();if(_0x21b89d['queryMessage'])return _0x21b89d[_0x3a2f12(0x17d4)][_0x3a2f12(0x184b)]=_0x21b89d['messages'][_0x3a2f12(0x2214)][_0x3a2f12(0xfd0)],_0x12162a[_0x21b89d[_0x3a2f12(0x291e)][_0x3a2f12(0x1072)]+_0x3a2f12(0x2735)]['getMessages'](_0x21b89d[_0x3a2f12(0x17d4)])[_0x3a2f12(0x1d77)][_0x3a2f12(0x1cb0)](function(_0x46e2dd){const _0x29b0bd=_0x3a2f12;for(let _0x5e43e1=0x0;_0x5e43e1<_0x46e2dd[_0x29b0bd(0x2214)][_0x29b0bd(0xfd0)];_0x5e43e1+=0x1){_0x21b89d[_0x29b0bd(0xab2)][_0x29b0bd(0x2214)][_0x29b0bd(0x2785)](_0x46e2dd[_0x29b0bd(0x2214)][_0x5e43e1]),!_0x21b89d[_0x29b0bd(0x10e4)][_0x29b0bd(0x22aa)]&&_0x46e2dd[_0x29b0bd(0x2214)][_0x5e43e1][_0x29b0bd(0x979)]==='in'&&!_0x46e2dd['rows'][_0x5e43e1][_0x29b0bd(0xfc1)]&&(_0x12162a[_0x21b89d['tab'][_0x29b0bd(0x1072)]+_0x29b0bd(0x27df)]['accept']({'id':_0x46e2dd['rows'][_0x5e43e1]['id'],'UserId':_0x21b89d['user']['id']}),_0x21b89d[_0x29b0bd(0x394)]['push'](_0x46e2dd['rows'][_0x5e43e1]['id']));}return _0x343daa[_0x29b0bd(0x19a3)](_0x46e2dd);})[_0x3a2f12(0x1c4)](function(_0x1920e7){const _0x46a747=_0x3a2f12;return _0x343daa[_0x46a747(0x988)](_0x1920e7);});return _0x343daa['reject']();}function _0x25e578(_0x5ba29e,_0x2b9268){const _0x1055fc=_0x2df477;_0x21b89d['tab']=_0x5ba29e,_0x21b89d['tab']['saved']=!![],_0x21b89d['tab'][_0x1055fc(0xd2c)]=0x0,_0x21b89d[_0x1055fc(0x9ca)]=_0x2b9268||{},_0x21b89d[_0x1055fc(0x291e)]&&_0x21b89d[_0x1055fc(0x291e)][_0x1055fc(0x1072)]&&_0x21b89d[_0x1055fc(0x291e)]['interaction']&&(_0x21b89d['interaction']=_0x21b89d['tab'][_0x1055fc(0x10e4)],_0x12162a[_0x21b89d[_0x1055fc(0x291e)][_0x1055fc(0x1072)]+_0x1055fc(0x2735)][_0x1055fc(0xbf7)]({'id':_0x21b89d[_0x1055fc(0x291e)]['interaction']['id'],'includeAll':!![]})[_0x1055fc(0x1d77)][_0x1055fc(0x1cb0)](function(_0x4f9910){const _0x4f6b65=_0x1055fc;return _0x4f9910&&(_0x21b89d[_0x4f6b65(0x10e4)]=_0x4f9910,_0x21b89d[_0x4f6b65(0x291e)][_0x4f6b65(0x10e4)]=_0x4f9910),_0x21b89d[_0x4f6b65(0x291e)][_0x4f6b65(0x167f)]=_0x21b89d[_0x4f6b65(0x10e4)][_0x4f6b65(0x22aa)],_0x21b89d[_0x4f6b65(0x17d4)]={'id':_0x21b89d[_0x4f6b65(0x10e4)]['id'],'includeAll':!![]},_0x21b89d[_0x4f6b65(0x291e)][_0x4f6b65(0x1072)]==='mail'?(_0x21b89d[_0x4f6b65(0x17d4)]['sort']=_0x4f6b65(0x7d0),_0x21b89d['queryMessage'][_0x4f6b65(0x184b)]=0x0,_0x21b89d[_0x4f6b65(0x17d4)][_0x4f6b65(0x236)]=0x3):(_0x21b89d['queryMessage'][_0x4f6b65(0x1381)]='id',_0x21b89d[_0x4f6b65(0x17d4)][_0x4f6b65(0x2282)]=!![]),_0x12162a[_0x21b89d[_0x4f6b65(0x291e)][_0x4f6b65(0x1072)]+_0x4f6b65(0x2735)][_0x4f6b65(0x10d6)](_0x21b89d['queryMessage'])[_0x4f6b65(0x1d77)];})[_0x1055fc(0x1cb0)](function(_0x42a0f9){const _0x520a30=_0x1055fc;return _0x21b89d['messages']=_0x42a0f9||{'count':0x0,'rows':[]},_0x12162a[_0x21b89d[_0x520a30(0x291e)][_0x520a30(0x1072)]===_0x520a30(0x174c)?_0x520a30(0x226c):_0x21b89d[_0x520a30(0x291e)]['channel']+'Account']['get']({'id':_0x21b89d[_0x520a30(0x10e4)][_0x21b89d[_0x520a30(0x291e)][_0x520a30(0x1072)]===_0x520a30(0x174c)?_0x520a30(0x67c):_0x39641b()[_0x520a30(0x432)](_0x21b89d[_0x520a30(0x291e)][_0x520a30(0x1072)])+'AccountId']})[_0x520a30(0x1d77)];})[_0x1055fc(0x1cb0)](function(_0x301875){const _0x2067ae=_0x1055fc;_0x21b89d[_0x2067ae(0x1539)]=_0x301875,_0x21b89d[_0x2067ae(0x291e)]['title']=_0x21b89d[_0x2067ae(0x1539)]['key']||_0x21b89d[_0x2067ae(0x1539)][_0x2067ae(0x16b6)];if(_0x21b89d[_0x2067ae(0x10e4)][_0x2067ae(0xb96)])return _0x12162a[_0x2067ae(0xbe7)][_0x2067ae(0xbf7)]({'id':_0x21b89d[_0x2067ae(0x10e4)]['ContactId']})[_0x2067ae(0x1d77)];})[_0x1055fc(0x1cb0)](function(_0x260af9){const _0x2b1ef5=_0x1055fc;return _0x260af9&&(_0x21b89d['contact']=_0x260af9),_0x21b89d[_0x2b1ef5(0x12d7)]=!![],_0x21b89d[_0x2b1ef5(0x8b1)]?(_0x2e45bd(_0x21b89d[_0x2b1ef5(0x8b1)]),_0x21b89d[_0x2b1ef5(0x291e)][_0x2b1ef5(0x1386)]+=_0x2b1ef5(0x1657)+_0x21b89d['contact'][_0x2b1ef5(0x1491)]):_0x21b89d[_0x2b1ef5(0x291e)][_0x2b1ef5(0x1386)]+=_0x2b1ef5(0x1657)+_0xff3f48[_0x2b1ef5(0x25cc)](_0x21b89d[_0x2b1ef5(0x291e)][_0x2b1ef5(0x1072)]['toUpperCase']()+_0x2b1ef5(0x1ba0)),_0x12162a[_0x2b1ef5(0xebe)][_0x2b1ef5(0xbf7)]({'fields':_0x2b1ef5(0x1cc4),'nolimit':!![]})[_0x2b1ef5(0x1d77)];})[_0x1055fc(0x1cb0)](function(_0x591d41){const _0x483e87=_0x1055fc;_0x21b89d['users']=_0x591d41||{'count':0x0,'rows':[]},_0x21b89d['usersMap']=_0x39641b()[_0x483e87(0x1db)](_0x39641b()[_0x483e87(0x2631)](_0x21b89d[_0x483e87(0x1e30)][_0x483e87(0x2214)],'id'),_0x483e87(0x1d14));})[_0x1055fc(0x1cb0)](function(){const _0x45f806=_0x1055fc;if(_0x21b89d[_0x45f806(0x291e)][_0x45f806(0x1072)]&&_0x21b89d[_0x45f806(0x10e4)])return _0x12162a[_0x45f806(0xebe)][_0x45f806(0x17a1)+_0x39641b()[_0x45f806(0x277)](_0x21b89d[_0x45f806(0x291e)][_0x45f806(0x1072)])+_0x45f806(0xd9a)]({'id':_0x21b89d[_0x45f806(0xebe)]['id'],'ids':[_0x21b89d[_0x45f806(0x10e4)]['id']]});})[_0x1055fc(0x1cb0)](function(){const _0x3cc295=_0x1055fc;return _0x12162a[_0x3cc295(0xdbe)][_0x3cc295(0xbf7)]({'sort':_0x3cc295(0x16b6),'nolimit':!![]})[_0x3cc295(0x1d77)];})[_0x1055fc(0x1cb0)](function(_0x3f1b50){const _0x2ec8bb=_0x1055fc;_0x21b89d[_0x2ec8bb(0x16a)]=_0x3f1b50||{'count':0x0,'rows':[]};})['then'](function(){const _0x109ef0=_0x1055fc;return _0x21b89d[_0x109ef0(0xe96)]();})[_0x1055fc(0x1cb0)](function(){const _0x4b4ab8=_0x1055fc;return _0x21b89d[_0x4b4ab8(0x8e2)]();})[_0x1055fc(0x1cb0)](function(){const _0x5a6062=_0x1055fc;return _0x21b89d[_0x5a6062(0x856)]();})['then'](function(){return _0x21b89d['getWhatsappAccounts']();})[_0x1055fc(0x1cb0)](function(){const _0x1840ba=_0x1055fc;return _0x21b89d[_0x1840ba(0x29c4)]();})['then'](function(){const _0x36703c=_0x1055fc;return _0x21b89d[_0x36703c(0x1cb7)]();})['then'](function(){const _0x3e8a3b=_0x1055fc;return _0x21b89d[_0x3e8a3b(0x1fa7)]();})[_0x1055fc(0x1c4)](function(){const _0x11c73e=_0x1055fc;_0x21b89d[_0x11c73e(0x12d7)]=!![];})[_0x1055fc(0x2e0)](function(){const _0x389d3a=_0x1055fc;_0x3603a5(),_0x433b24(),_0x125029['on'](_0x21b89d[_0x389d3a(0x291e)][_0x389d3a(0x1072)]+_0x389d3a(0x2937),_0x21b89d[_0x389d3a(0x2842)]),_0x125029['on'](_0x21b89d[_0x389d3a(0x291e)][_0x389d3a(0x1072)]+'Message:update',_0x21b89d[_0x389d3a(0x2842)]),_0x125029['on'](_0x21b89d['tab'][_0x389d3a(0x1072)]+_0x389d3a(0x1842),_0x21b89d[_0x389d3a(0xbda)]),_0x125029['on'](_0x21b89d['tab'][_0x389d3a(0x1072)]+'Interaction:update',_0x21b89d['onInteractionSave']),_0x125029['on'](_0x389d3a(0x28ed)+_0x21b89d['tab']['channel']+_0x389d3a(0x1d9d),_0x21b89d[_0x389d3a(0x27f4)]),_0x125029['on'](_0x389d3a(0x28ed)+_0x21b89d[_0x389d3a(0x291e)][_0x389d3a(0x1072)]+_0x389d3a(0x1ef7),_0x21b89d['onInteractionClose']),_0x21b89d[_0x389d3a(0x291e)]['areaText']=_0x21b89d[_0x389d3a(0x291e)][_0x389d3a(0x2131)]?_0x21b89d[_0x389d3a(0x291e)][_0x389d3a(0x2131)]:'',_0x21b89d['showFooter']=!![],_0x21b89d[_0x389d3a(0x291e)][_0x389d3a(0x1072)]===_0x389d3a(0x2651)&&(_0x21b89d['draft']=_0x99560[_0x389d3a(0x8b7)](_0x21b89d[_0x389d3a(0x291e)]['interaction']['id']),_0x21b89d[_0x389d3a(0x291e)][_0x389d3a(0xd34)]&&(_0x21b89d[_0x389d3a(0x291e)][_0x389d3a(0x10e4)]['closed']?(_0x99560['removeByInteraction'](_0x21b89d[_0x389d3a(0x291e)][_0x389d3a(0x10e4)]['id']),_0x21b89d[_0x389d3a(0x1440)]=null):_0x508d93()));}));}function _0x33e4ed(_0xd12686){const _0x2a7811=_0x2df477;if(_0xd12686['FaxAccountId'])return _0x39641b()[_0x2a7811(0x250a)](_0x39641b()['map'](_0x21b89d[_0x2a7811(0x29de)]?_0x21b89d['faxAccounts'][_0x2a7811(0x2214)]:[],'id'),_0xd12686[_0x2a7811(0x18e9)]);else{if(_0xd12686['OpenchannelAccountId'])return _0x39641b()[_0x2a7811(0x250a)](_0x39641b()[_0x2a7811(0x1de2)](_0x21b89d[_0x2a7811(0xe5c)]?_0x21b89d[_0x2a7811(0xe5c)][_0x2a7811(0x2214)]:[],'id'),_0xd12686[_0x2a7811(0x1d1e)]);else{if(_0xd12686[_0x2a7811(0x1a2)])return _0x39641b()[_0x2a7811(0x250a)](_0x39641b()['map'](_0x21b89d[_0x2a7811(0x1ac)]?_0x21b89d[_0x2a7811(0x1ac)][_0x2a7811(0x2214)]:[],'id'),_0xd12686[_0x2a7811(0x1a2)]);else{if(_0xd12686['WhatsappAccountId'])return _0x39641b()[_0x2a7811(0x250a)](_0x39641b()[_0x2a7811(0x1de2)](_0x21b89d[_0x2a7811(0xbb3)]?_0x21b89d[_0x2a7811(0xbb3)]['rows']:[],'id'),_0xd12686['WhatsappAccountId']);else{if(_0xd12686[_0x2a7811(0x1285)])return _0x39641b()[_0x2a7811(0x250a)](_0x39641b()['map'](_0x21b89d['mailAccounts']?_0x21b89d[_0x2a7811(0x228e)][_0x2a7811(0x2214)]:[],'id'),_0xd12686[_0x2a7811(0x1285)]);else{if(_0xd12686[_0x2a7811(0x67c)])return _0x39641b()[_0x2a7811(0x250a)](_0x39641b()[_0x2a7811(0x1de2)](_0x21b89d[_0x2a7811(0x1ad1)]?_0x21b89d[_0x2a7811(0x1ad1)][_0x2a7811(0x2214)]:[],'id'),_0xd12686[_0x2a7811(0x67c)]);else{if(_0xd12686[_0x2a7811(0x1451)])return!![];}}}}}}return![];}function _0x2410ff(_0x1be78e){const _0x24328e=_0x2df477;let _0x54ec4b;_0x21b89d[_0x24328e(0x10e4)]['id']==_0x1be78e[_0x39641b()['upperFirst'](_0x21b89d[_0x24328e(0x291e)][_0x24328e(0x1072)])+_0x24328e(0x9e2)]&&(_0x21b89d[_0x24328e(0x10e4)][_0x24328e(0x2653)]&&(_0x54ec4b=_0x39641b()[_0x24328e(0x13b4)](_0x21b89d['interaction']['Users'],{'id':_0x1be78e[_0x24328e(0x21ab)]})),!_0x54ec4b&&_0x21b89d['interaction'][_0x24328e(0x2653)][_0x24328e(0x2785)]({'id':_0x1be78e[_0x24328e(0x21ab)]}));}function _0x41c10d(_0x1d26b0){const _0x12520a=_0x2df477;_0x21b89d[_0x12520a(0x10e4)]['id']==_0x1d26b0[_0x39641b()[_0x12520a(0x277)](_0x21b89d[_0x12520a(0x291e)][_0x12520a(0x1072)])+_0x12520a(0x9e2)]&&(_0x21b89d[_0x12520a(0x10e4)][_0x12520a(0x2653)]&&_0x39641b()[_0x12520a(0x152a)](_0x21b89d[_0x12520a(0x10e4)][_0x12520a(0x2653)],{'id':_0x1d26b0[_0x12520a(0x21ab)]}));}function _0x4fd955(_0x24ebd7){const _0x588233=_0x2df477;if(_0x24ebd7){try{_0x39641b()[_0x588233(0xc7f)][_0x588233(0xe08)]=/{{([\s\S]+?)}}/g;const _0x358aca=_0x39641b()[_0x588233(0x2330)](_0x24ebd7[_0x588233(0x327)]),_0x252b06={'user':_0x21b89d[_0x588233(0xebe)],'account':_0x21b89d['account'],'interaction':_0x21b89d[_0x588233(0x10e4)],'contact':_0x21b89d[_0x588233(0x10e4)]&&_0x21b89d[_0x588233(0x10e4)]['Contact']?_0x21b89d['interaction'][_0x588233(0x8d3)]:{}};_0x21b89d[_0x588233(0x291e)]['areaText']+='\x20'+_0x358aca(_0x252b06),_0x21b89d[_0x588233(0x7df)]['setText'](_0x21b89d[_0x588233(0x291e)][_0x588233(0x2131)]);}catch(_0x342ebc){_0x21b89d[_0x588233(0x291e)][_0x588233(0x2131)]+='\x20'+_0x24ebd7[_0x588233(0x327)],_0x21b89d[_0x588233(0x7df)][_0x588233(0x1e34)](_0x21b89d['tab'][_0x588233(0x2131)]);}_0x21b89d['selectedItem']=null,_0x21b89d['changeDisplayCannedAnswerInputValue']();}}function _0x19322c(_0xf0b1ce){const _0x28d44d=_0x2df477,_0x403e84=_0x26b39e[_0x28d44d(0x11f4)]();let _0x122e97=[];const _0x7a93ee={},_0x2f4e24=_0x21b89d[_0x28d44d(0x291e)]['channel']===_0x28d44d(0x174c)?_0x28d44d(0x67c):_0x39641b()[_0x28d44d(0x432)](_0x21b89d[_0x28d44d(0x291e)][_0x28d44d(0x1072)])+'AccountId';return _0x7a93ee[_0x28d44d(0x1c99)]=_0xf0b1ce,_0x7a93ee[_0x2f4e24]=_0x21b89d[_0x28d44d(0x1539)]['id'],_0x12162a[_0x28d44d(0x1c25)][_0x28d44d(0xbf7)](_0x7a93ee)[_0x28d44d(0x1d77)]['then'](function(_0x489e40){const _0x39bd9b=_0x28d44d;return _0x122e97=_0x39641b()[_0x39bd9b(0x298a)](_0x122e97,_0x489e40[_0x39bd9b(0x2214)]),_0x12162a[_0x39bd9b(0x1c25)][_0x39bd9b(0xbf7)]({'filter':_0xf0b1ce})['$promise'];})[_0x28d44d(0x1cb0)](function(_0x1ae5a4){const _0x123391=_0x28d44d;_0x122e97=_0x39641b()['concat'](_0x122e97,_0x39641b()[_0x123391(0x1c99)](_0x1ae5a4[_0x123391(0x2214)],function(_0x5535f4){const _0x522beb=_0x123391;return!_0x5535f4[_0x522beb(0x1285)]&&!_0x5535f4['FaxAccountId']&&!_0x5535f4[_0x522beb(0x1a2)]&&!_0x5535f4[_0x522beb(0xfc8)]&&!_0x5535f4[_0x522beb(0x1d1e)]&&!_0x5535f4[_0x522beb(0x67c)];})),_0x403e84[_0x123391(0x19a3)](_0x122e97);})[_0x28d44d(0x1c4)](function(_0x5d7d74){const _0x583f64=_0x28d44d;_0x403e84[_0x583f64(0x988)](_0x5d7d74);}),_0x403e84[_0x28d44d(0x2061)];}function _0x5580c8(_0x1aefed,_0x5f4174){const _0x274794=_0x2df477;try{_0x21b89d[_0x274794(0x291e)]&&!_0x21b89d['tab']['spy']&&_0x573418[_0x274794(0x17bc)][_0x274794(0x17bc)][_0x274794(0x17bc)]['vm']['addContactTab'](_0x5f4174||_0x21b89d[_0x274794(0x8b1)]);}catch(_0x3ce6ac){_0x26e195[_0x274794(0x218e)]({'title':_0x274794(0x41e),'msg':_0x274794(0x24e8)});}}function _0x1f9b7f(_0x11ba64,_0x3e72eb){const _0x54016d=_0x2df477;try{if(_0x3e72eb[_0x54016d(0x1285)])return _0x573418[_0x54016d(0x17bc)][_0x54016d(0x17bc)][_0x54016d(0x17bc)]['vm'][_0x54016d(0x11d9)](_0x54016d(0x2651),_0x3e72eb);else{if(_0x3e72eb[_0x54016d(0x67c)])return _0x573418[_0x54016d(0x17bc)][_0x54016d(0x17bc)][_0x54016d(0x17bc)]['vm'][_0x54016d(0x11d9)](_0x54016d(0x174c),_0x3e72eb);else{if(_0x3e72eb[_0x54016d(0x1a2)])return _0x573418[_0x54016d(0x17bc)][_0x54016d(0x17bc)]['$parent']['vm'][_0x54016d(0x11d9)](_0x54016d(0x689),_0x3e72eb);else{if(_0x3e72eb[_0x54016d(0xfc8)])return _0x573418['$parent'][_0x54016d(0x17bc)]['$parent']['vm'][_0x54016d(0x11d9)](_0x54016d(0x2476),_0x3e72eb);else{if(_0x3e72eb[_0x54016d(0x1d1e)])return _0x573418['$parent'][_0x54016d(0x17bc)][_0x54016d(0x17bc)]['vm'][_0x54016d(0x11d9)](_0x54016d(0x15e0),_0x3e72eb);else{if(_0x3e72eb[_0x54016d(0x18e9)])return _0x573418['$parent'][_0x54016d(0x17bc)]['$parent']['vm'][_0x54016d(0x11d9)](_0x54016d(0x1944),_0x3e72eb);}}}}}}catch(_0x5d5194){_0x26e195[_0x54016d(0x218e)]({'title':_0x54016d(0x1fd1),'msg':_0x54016d(0x1d4b)});}}function _0x2076b6(){const _0x14f56b=_0x2df477;_0x259122[_0x14f56b(0xe27)]({'controller':_0x14f56b(0x1726),'controllerAs':'vm','templateUrl':_0x387b31,'parent':angular[_0x14f56b(0x1853)](_0x5f2a3a[_0x14f56b(0x1ed9)]),'clickOutsideToClose':!![],'skipHide':Boolean(_0x21b89d[_0x14f56b(0x291e)][_0x14f56b(0x1e1e)]),'resolve':{'tags':[_0x14f56b(0x1e0b),function(_0x45efa5){const _0x39356b=_0x14f56b;return _0x45efa5['resolve'](_0x39356b(0x1f1),{'sort':_0x39356b(0x16b6),'nolimit':!![],'fields':_0x39356b(0x1352)});}]},'locals':{'channel':_0x21b89d[_0x14f56b(0x291e)][_0x14f56b(0x1072)],'interaction':_0x21b89d[_0x14f56b(0x291e)][_0x14f56b(0x10e4)]}});}function _0x5f4093(){const _0x3794b3=_0x2df477,_0x2e2e05=_0x21b89d[_0x3794b3(0xebe)][_0x3794b3(0x2478)]||_0x21b89d['user']['chatPause']||_0x21b89d['user'][_0x3794b3(0x2035)]||_0x21b89d[_0x3794b3(0xebe)]['openchannelPause']||_0x21b89d[_0x3794b3(0xebe)][_0x3794b3(0x1ce)],_0x103485=!_0x21b89d[_0x3794b3(0x291e)]['interaction'][_0x3794b3(0x1649)][_0x3794b3(0x1935)];_0x259122[_0x3794b3(0xe27)]({'controller':'DisposeInteractionDialogController','controllerAs':'vm','templateUrl':_0x3769c1,'parent':angular[_0x3794b3(0x1853)](_0x5f2a3a[_0x3794b3(0x1ed9)]),'clickOutsideToClose':_0x103485,'escapeToClose':_0x103485,'onRemoving':function(){const _0x3c029f=_0x3794b3;_0x21b89d[_0x3c029f(0xebe)]&&_0x21b89d[_0x3c029f(0x10e4)][_0x3c029f(0x1649)][_0x3c029f(0x1935)]&&!_0x2e2e05&&_0x12162a[_0x3c029f(0xebe)][_0x3c029f(0xf5d)]({'id':_0x21b89d[_0x3c029f(0xebe)]['id']})['$promise'][_0x3c029f(0x1c4)](function(_0x3599cb){const _0x47d418=_0x3c029f;console[_0x47d418(0x218e)](_0x3599cb);});},'locals':{'user':_0x21b89d[_0x3794b3(0xebe)],'channel':_0x21b89d[_0x3794b3(0x291e)][_0x3794b3(0x1072)],'interaction':_0x21b89d['tab'][_0x3794b3(0x10e4)]}})[_0x3794b3(0x1cb0)](function(_0x29923c){const _0x188904=_0x3794b3;_0x21b89d[_0x188904(0x291e)][_0x188904(0x167f)]=Boolean(_0x29923c),_0x29923c&&_0x573418['$parent'][_0x188904(0x17bc)][_0x188904(0x17bc)]['vm']['closeTab'](_0x21b89d[_0x188904(0x291e)]);});}function _0x212d0c(_0x13f46f,_0x3c0873){const _0xbf0380=_0x2df477;_0x21b89d[_0xbf0380(0x291e)][_0xbf0380(0x155e)]=_0x3c0873,_0x259122[_0xbf0380(0xe27)]({'controller':_0xbf0380(0x2f9),'controllerAs':'vm','templateUrl':_0x1c8a4d,'parent':angular[_0xbf0380(0x1853)](_0x5f2a3a['body']),'clickOutsideToClose':![],'locals':{'account':_0x21b89d[_0xbf0380(0x1539)],'message':_0x21b89d['tab'][_0xbf0380(0x155e)],'type':_0x13f46f,'interaction':_0x21b89d[_0xbf0380(0x10e4)]},'fullscreen':!![],'skipHide':Boolean(_0x21b89d['tab'][_0xbf0380(0x1e1e)])})[_0xbf0380(0x1cb0)](function(_0xec9114){const _0x13cf6d=_0xbf0380;if(_0xec9114){if(_0xec9114['message']&&_0xec9114[_0x13cf6d(0x155e)][_0x13cf6d(0x275)])_0x21b89d['draft']?_0x99560['update']({'id':_0x21b89d[_0x13cf6d(0x1440)]['id'],'channel':_0x13cf6d(0x2651),'account':_0x21b89d[_0x13cf6d(0x1539)],'message':_0xec9114['message'],'contact':_0x21b89d[_0x13cf6d(0x8b1)],'interaction':_0x21b89d['interaction']}):_0x99560[_0x13cf6d(0x17a1)]({'channel':_0x13cf6d(0x2651),'account':_0x21b89d['account'],'message':_0xec9114[_0x13cf6d(0x155e)],'contact':_0x21b89d[_0x13cf6d(0x8b1)],'interaction':_0x21b89d[_0x13cf6d(0x10e4)]}),_0x21b89d[_0x13cf6d(0x1440)]=_0x99560[_0x13cf6d(0x8b7)](_0x21b89d[_0x13cf6d(0x291e)][_0x13cf6d(0x10e4)]['id']);else{const _0x3f08f0=_0xec9114;_0x3f08f0&&_0x2f5afd(_0x3f08f0),_0x3f08f0[_0x13cf6d(0x2735)]&&_0x21b89d['interaction']&&_0x21b89d[_0x13cf6d(0x10e4)]['id']&&(_0x3f08f0['Interaction']['id']=_0x21b89d[_0x13cf6d(0x10e4)]['id'],_0x12162a[_0x13cf6d(0x8e9)]['update'](_0x3f08f0[_0x13cf6d(0x2735)])['$promise'][_0x13cf6d(0x1cb0)](function(_0x280b49){const _0x17fcb9=_0x13cf6d;if(_0x280b49&&_0x280b49[_0x17fcb9(0x22aa)])_0x21b89d[_0x17fcb9(0x291e)]['saved']=!![],_0x21b89d['interaction']['closed']=!![],_0x5f4093();else _0x3f08f0[_0x17fcb9(0x2735)][_0x17fcb9(0x20ed)]!==null&&(_0x21b89d[_0x17fcb9(0x291e)]['saved']=!![],_0x21b89d[_0x17fcb9(0x291e)][_0x17fcb9(0x10e4)][_0x17fcb9(0x20ed)]=_0x3f08f0[_0x17fcb9(0x2735)][_0x17fcb9(0x20ed)],_0x573418[_0x17fcb9(0x17bc)][_0x17fcb9(0x17bc)][_0x17fcb9(0x17bc)]['vm']['closeTab'](_0x21b89d['tab']));})[_0x13cf6d(0x1c4)](function(_0x5f3af3){const _0x282bd7=_0x13cf6d;console[_0x282bd7(0x218e)](_0x5f3af3);}));}}})[_0xbf0380(0x2e0)](function(){const _0x4d1096=_0xbf0380;_0x21b89d[_0x4d1096(0x291e)][_0x4d1096(0xd34)]=![];});}function _0x261bc9(_0x52f93f,_0x59cd24){const _0x6ef754=_0x2df477;if(_0x59cd24[_0x6ef754(0x26da)]){const _0x19cd11=_0x39641b()[_0x6ef754(0x13b4)](_0x21b89d['messages'][_0x6ef754(0x2214)],{'messageId':_0x59cd24[_0x6ef754(0x26da)]});if(_0x19cd11)_0x212d0c(_0x52f93f,_0x19cd11);else return _0x12162a[_0x6ef754(0x17aa)][_0x6ef754(0xbf7)]({'messageId':_0x59cd24[_0x6ef754(0x26da)]})['$promise'][_0x6ef754(0x1cb0)](function(_0x2b6502){const _0x3719dc=_0x6ef754;_0x212d0c(_0x52f93f,_0x2b6502&&_0x2b6502[_0x3719dc(0x184d)]?_0x2b6502[_0x3719dc(0x2214)][0x0]:undefined);})['catch'](function(_0x3e15f9){const _0x28df0c=_0x6ef754;console[_0x28df0c(0x218e)](_0x3e15f9),_0x212d0c(_0x52f93f,undefined);});}else _0x212d0c(_0x52f93f,_0x59cd24);}function _0x5a4bdd(_0x4afff0){const _0xd54d06=_0x2df477,_0x3e648f={'to':[]};_0x3e648f['to'][_0xd54d06(0x2785)](_0x21b89d['contact'][_0xd54d06(0x1944)]),_0x259122['show']({'controller':_0xd54d06(0x1e49),'controllerAs':'vm','templateUrl':_0x993bbb,'parent':angular[_0xd54d06(0x1853)](_0x5f2a3a[_0xd54d06(0x1ed9)]),'clickOutsideToClose':![],'locals':{'account':_0x21b89d[_0xd54d06(0x1539)],'message':_0x3e648f,'type':_0x4afff0},'fullscreen':!![]})['then'](function(_0x5e5a56){const _0x5e5ecd=_0xd54d06;return _0x12162a[_0x5e5ecd(0xb91)][_0x5e5ecd(0x199f)]({'id':_0x21b89d['account']['id']},_0x5e5a56)[_0x5e5ecd(0x1d77)]['then'](function(_0x4b0924){const _0x3e6867=_0x5e5ecd;_0x26e195[_0x3e6867(0x829)]({'title':_0x3e6867(0x113d),'msg':_0x3e6867(0x71e)}),_0x573418[_0x3e6867(0x17bc)]['vm'][_0x3e6867(0x11d9)](_0x3e6867(0x1944),_0x4b0924);})[_0x5e5ecd(0x1c4)](function(_0x214d95){const _0x1d75c5=_0x5e5ecd;if(_0x214d95['data']&&_0x214d95[_0x1d75c5(0x25c)]['errors']&&_0x214d95[_0x1d75c5(0x25c)][_0x1d75c5(0x1a7c)][_0x1d75c5(0xfd0)])for(let _0x3415ae=0x0;_0x3415ae<_0x214d95[_0x1d75c5(0x25c)]['errors'][_0x1d75c5(0xfd0)];_0x3415ae++){_0x26e195[_0x1d75c5(0x218e)]({'title':_0x214d95[_0x1d75c5(0x25c)][_0x1d75c5(0x1a7c)][_0x3415ae][_0x1d75c5(0x66a)],'msg':_0x214d95[_0x1d75c5(0x25c)][_0x1d75c5(0x1a7c)][_0x3415ae]['message']});}else _0x26e195[_0x1d75c5(0x218e)]({'title':_0x1d75c5(0x23d7),'msg':_0x214d95[_0x1d75c5(0x25c)]?JSON[_0x1d75c5(0x2701)](_0x214d95['data']):_0x214d95[_0x1d75c5(0x155e)]||_0x214d95[_0x1d75c5(0x147f)]()});});});}function _0x259421(_0x2de12d,_0x2d475c){const _0x50b210=_0x2df477;_0x259122[_0x50b210(0xe27)]({'controller':'ComposeSmsInteractionDialogController','controllerAs':'vm','templateUrl':_0x11d871,'parent':angular[_0x50b210(0x1853)](_0x5f2a3a[_0x50b210(0x1ed9)]),'clickOutsideToClose':![],'locals':{'account':_0x21b89d[_0x50b210(0x1539)],'message':_0x2d475c,'type':_0x2de12d},'fullscreen':!![],'skipHide':Boolean(_0x21b89d[_0x50b210(0x291e)][_0x50b210(0x1e1e)])})[_0x50b210(0x1cb0)](function(_0x543369){_0x543369&&_0x2f5afd(_0x543369);});}function _0x54934e(_0x7ecebf,_0x2c4828){const _0xd811bd=_0x2df477;_0x259122[_0xd811bd(0xe27)]({'controller':_0xd811bd(0xc53),'controllerAs':'vm','templateUrl':_0x2dd24c,'parent':angular[_0xd811bd(0x1853)](_0x5f2a3a[_0xd811bd(0x1ed9)]),'clickOutsideToClose':![],'locals':{'account':_0x21b89d[_0xd811bd(0x1539)],'message':_0x2c4828,'type':_0x7ecebf},'fullscreen':!![],'skipHide':Boolean(_0x21b89d[_0xd811bd(0x291e)][_0xd811bd(0x1e1e)])})[_0xd811bd(0x1cb0)](function(_0x257b7c){_0x257b7c&&_0x2f5afd(_0x257b7c);});}function _0x20349e(){const _0x24839e=_0x2df477;if(_0x21b89d[_0x24839e(0x10e4)]){const _0x16a4dc=_0x39641b()[_0x24839e(0x235b)](_0x21b89d[_0x24839e(0xab2)][_0x24839e(0x2214)],function(_0xcb0b51){const _0x485eef=_0x24839e;return _0xcb0b51[_0x485eef(0x979)]==='in';});_0x16a4dc?_0x259122[_0x24839e(0xe27)]({'controller':_0x24839e(0xae8),'controllerAs':'vm','templateUrl':_0x16aba3,'parent':angular[_0x24839e(0x1853)](_0x5f2a3a[_0x24839e(0x1ed9)]),'clickOutsideToClose':![],'locals':{'account':_0x21b89d[_0x24839e(0x1539)],'interaction':_0x21b89d[_0x24839e(0x10e4)],'message':_0x16a4dc,'channel':_0x21b89d[_0x24839e(0x291e)]['channel']}})[_0x24839e(0x1cb0)](function(_0xf93063){const _0xfa7632=_0x24839e;_0x21b89d[_0xfa7632(0x291e)]['saved']=Boolean(_0xf93063),_0xf93063&&_0x573418[_0xfa7632(0x17bc)][_0xfa7632(0x17bc)][_0xfa7632(0x17bc)]['vm']['closeTab'](_0x21b89d[_0xfa7632(0x291e)]);}):_0x26e195[_0x24839e(0x218e)]({'title':_0x24839e(0x2216),'msg':_0x24839e(0x20d8)});}}function _0x43d370(_0x23ac7e){const _0x1d74ac=_0x2df477,_0x2ee6ee={},_0x18b0db=_0x21b89d[_0x1d74ac(0x291e)][_0x1d74ac(0x1072)]===_0x1d74ac(0x174c)?'ChatWebsiteId':_0x39641b()[_0x1d74ac(0x432)](_0x21b89d['tab'][_0x1d74ac(0x1072)])+_0x1d74ac(0xbff);return _0x2ee6ee[_0x18b0db]=_0x21b89d[_0x1d74ac(0x10e4)][_0x18b0db][_0x1d74ac(0x147f)](),_0x2ee6ee[_0x39641b()[_0x1d74ac(0x432)](_0x21b89d[_0x1d74ac(0x291e)][_0x1d74ac(0x1072)])+'InteractionId']=_0x21b89d[_0x1d74ac(0x10e4)]['id'],(_0x21b89d['tab'][_0x1d74ac(0x1072)]==='sms'||_0x21b89d[_0x1d74ac(0x291e)]['channel']===_0x1d74ac(0x2476))&&(_0x2ee6ee[_0x1d74ac(0x1340)]=_0x21b89d[_0x1d74ac(0x10e4)]['phone']),_0x23ac7e&&(_0x2ee6ee[_0x1d74ac(0x21ab)]=_0x21b89d[_0x1d74ac(0xebe)]['id'],_0x2ee6ee[_0x1d74ac(0xb96)]=_0x21b89d[_0x1d74ac(0x10e4)][_0x1d74ac(0xb96)],_0x2ee6ee['body']=_0x23ac7e),_0x2ee6ee;}function _0x5e9a20(_0x376ef6){const _0x78e668=_0x2df477;if(_0x376ef6[_0x78e668(0x1813)]>_0x28f096)return _0x26e195[_0x78e668(0x218e)]({'title':_0x78e668(0x2749),'msg':_0x78e668(0xe61)+_0x4f9506+'MB'}),![];return!![];}function _0xd8733f(_0xc3b449,_0x22ff35){const _0x72580=_0x2df477,_0x4ff0f4=JSON[_0x72580(0xefe)](_0x22ff35);let _0x5261c2=_0x4ff0f4[_0x72580(0x16b6)];_0x21b89d[_0x72580(0x291e)]['channel']===_0x72580(0x174c)&&(_0x5261c2=_0x72580(0x1fc)+(_0x21b89d[_0x72580(0x1539)][_0x72580(0x115f)]?_0x21b89d[_0x72580(0x1539)][_0x72580(0x115f)]:_0x3453f7[_0x72580(0x2276)]()+'://'+_0x3453f7['host']())+_0x72580(0x28b5)+_0x21b89d[_0x72580(0x10e4)]['id']+'/attachment_download?attachId='+_0x4ff0f4['id']+_0x72580(0x4f5)+_0x21b89d[_0x72580(0x1539)][_0x72580(0xae3)]+_0x72580(0x8eb)+_0x4ff0f4[_0x72580(0x16b6)]+''),_0x2f5afd({'body':_0x5261c2,'AttachmentId':_0x4ff0f4['id']});}function _0x35dcce(){const _0x1d7e6b=_0x2df477;_0x21b89d['ngFlow'][_0x1d7e6b(0xacf)][_0x1d7e6b(0xd56)][_0x1d7e6b(0x5e7)]={'X-Requested-With':_0x1d7e6b(0x2711),'Authorization':_0x1d7e6b(0x1923)+_0x301b37[_0x1d7e6b(0xbf7)](_0x1d7e6b(0x1b26))},_0x21b89d[_0x1d7e6b(0x125a)]['flow'][_0x1d7e6b(0x1c97)]();}function _0x43a57d(_0x29a0e7,_0x390d7c){const _0x369ccb=_0x2df477;if(_0x29a0e7&&_0x29a0e7['keyCode']===0xd&&_0x29a0e7[_0x369ccb(0x83e)])return;if(_0x29a0e7&&_0x29a0e7['keyCode']!==0xd)return;if(_0x390d7c[_0x369ccb(0x1730)]()==='')return;_0x2f5afd(_0x43d370(_0x390d7c[_0x369ccb(0x288f)](/\n$/,'')));}function _0x433b24(){const _0x14dd81=_0x2df477;_0x21b89d[_0x14dd81(0x291e)][_0x14dd81(0x1072)]!==_0x14dd81(0x2651)&&_0x3851db(function(){const _0x5ab47d=_0x14dd81,_0x3bec5d=angular['element'](_0x5f2a3a[_0x5ab47d(0x13b4)](_0x5ab47d(0x1157)+_0x21b89d[_0x5ab47d(0x291e)]['id']+'\x27]'));_0x3bec5d&&_0x3bec5d[0x0]&&(_0x3bec5d[0x0]['scrollTop']=_0x3bec5d[0x0][_0x5ab47d(0x11fd)]);},0x0);}function _0x5d7279(_0x319b7b){const _0x452d16=_0x2df477,_0x42fead=_0x452d16(0x1974);if(_0x319b7b){if(_0x319b7b[_0x452d16(0x979)]==='in')return _0x319b7b[_0x452d16(0x8d3)]?_0x319b7b[_0x452d16(0x8d3)][_0x452d16(0x1491)]||_0x452d16(0xdfe):_0x42fead;else{if(_0x319b7b[_0x452d16(0x21ab)])return _0x21b89d[_0x452d16(0x176)]?_0x21b89d[_0x452d16(0x176)][_0x319b7b['UserId']]:_0x42fead;}return'System'||0x0;}}function _0x5a2780(_0x90bafd,_0x17c2e7){const _0x2e4e15=_0x2df477;_0x21b89d[_0x2e4e15(0x291e)][_0x2e4e15(0x1072)]&&_0x90bafd['id']&&_0x12162a[_0x21b89d['tab'][_0x2e4e15(0x1072)]+_0x2e4e15(0x27df)]['download']({'id':_0x90bafd['id'],'attachments':_0x17c2e7})[_0x2e4e15(0x1d77)][_0x2e4e15(0x1cb0)](function(_0x1b5f13){const _0x4c4274=_0x2e4e15,_0x109494=[_0x1b5f13[_0x4c4274(0xef0)]];let _0x3d8a37='download';const _0x78f7c7=new Blob(_0x109494,{'type':_0x1b5f13[_0x4c4274(0x66a)]});_0x3d8a37=_0x21b89d[_0x4c4274(0x291e)][_0x4c4274(0x1072)]+_0x4c4274(0x2664)+_0x90bafd['id']+'.eml';const _0x25c70d=window['document'][_0x4c4274(0x8c6)]('a');_0x25c70d[_0x4c4274(0x23b9)](_0x4c4274(0x105b),URL[_0x4c4274(0x2247)](_0x78f7c7)),_0x25c70d[_0x4c4274(0x23b9)](_0x4c4274(0x26ec),_0x3d8a37),document['body'][_0x4c4274(0x23de)](_0x25c70d),_0x25c70d[_0x4c4274(0x20b8)]();})[_0x2e4e15(0x1c4)](function(_0x56c4df){const _0x25e43d=_0x2e4e15;if(_0x56c4df['data']&&_0x56c4df[_0x25e43d(0x25c)][_0x25e43d(0x1a7c)]&&_0x56c4df[_0x25e43d(0x25c)][_0x25e43d(0x1a7c)]['length'])for(let _0x4da299=0x0;_0x4da299<_0x56c4df[_0x25e43d(0x25c)][_0x25e43d(0x1a7c)][_0x25e43d(0xfd0)];_0x4da299+=0x1){_0x26e195[_0x25e43d(0x218e)]({'title':_0x56c4df['data'][_0x25e43d(0x1a7c)][_0x4da299][_0x25e43d(0x66a)],'msg':_0x56c4df[_0x25e43d(0x25c)][_0x25e43d(0x1a7c)][_0x4da299]['message']});}else _0x26e195[_0x25e43d(0x218e)]({'title':_0x56c4df[_0x25e43d(0x291)]?_0x25e43d(0xeb9)+_0x56c4df[_0x25e43d(0x291)]+'\x20-\x20'+_0x56c4df[_0x25e43d(0xc22)]:_0x25e43d(0xb63),'msg':_0x56c4df['data']?JSON[_0x25e43d(0x2701)](_0x56c4df[_0x25e43d(0x25c)][_0x25e43d(0x155e)]):_0x56c4df[_0x25e43d(0x147f)]()});});}function _0x2fbd8f(_0x1bc6ab,_0x117fcd){const _0x9b0d98=_0x2df477;_0x21b89d[_0x9b0d98(0x291e)][_0x9b0d98(0x1072)]&&_0x1bc6ab['id']&&_0x12162a[_0x21b89d[_0x9b0d98(0x291e)]['channel']+_0x9b0d98(0x2735)]['download']({'id':_0x1bc6ab['id'],'attachments':_0x117fcd})[_0x9b0d98(0x1d77)][_0x9b0d98(0x1cb0)](function(_0x3be738){const _0x441f95=_0x9b0d98,_0x597e31=[_0x3be738[_0x441f95(0xef0)]],_0x37ada6=_0x21b89d[_0x441f95(0x291e)][_0x441f95(0x1072)]+_0x441f95(0x1b0e)+_0x1bc6ab['id']+'.zip',_0x19a23c=new Blob(_0x597e31,{'type':_0x3be738[_0x441f95(0x66a)]}),_0xa9f70f=window[_0x441f95(0x1db8)]['createElement']('a');_0xa9f70f[_0x441f95(0x23b9)](_0x441f95(0x105b),URL[_0x441f95(0x2247)](_0x19a23c)),_0xa9f70f[_0x441f95(0x23b9)](_0x441f95(0x26ec),_0x37ada6),document[_0x441f95(0x1ed9)]['appendChild'](_0xa9f70f),_0xa9f70f[_0x441f95(0x20b8)]();})[_0x9b0d98(0x1c4)](function(_0x1c5477){const _0x43dfb4=_0x9b0d98;if(_0x1c5477['data']&&_0x1c5477[_0x43dfb4(0x25c)][_0x43dfb4(0x1a7c)]&&_0x1c5477[_0x43dfb4(0x25c)][_0x43dfb4(0x1a7c)]['length'])for(let _0x5030ad=0x0;_0x5030ad<_0x1c5477[_0x43dfb4(0x25c)][_0x43dfb4(0x1a7c)]['length'];_0x5030ad+=0x1){_0x26e195[_0x43dfb4(0x218e)]({'title':_0x1c5477[_0x43dfb4(0x25c)][_0x43dfb4(0x1a7c)][_0x5030ad][_0x43dfb4(0x66a)],'msg':_0x1c5477[_0x43dfb4(0x25c)][_0x43dfb4(0x1a7c)][_0x5030ad][_0x43dfb4(0x155e)]});}else _0x26e195[_0x43dfb4(0x218e)]({'title':_0x1c5477[_0x43dfb4(0x291)]?'API:'+_0x1c5477[_0x43dfb4(0x291)]+_0x43dfb4(0x1657)+_0x1c5477[_0x43dfb4(0xc22)]:_0x43dfb4(0x187c),'msg':_0x1c5477[_0x43dfb4(0x25c)]?JSON[_0x43dfb4(0x2701)](_0x1c5477[_0x43dfb4(0x25c)][_0x43dfb4(0x155e)]):_0x1c5477[_0x43dfb4(0x147f)]()});});}function _0x2f5afd(_0x314506){const _0x3d508c=_0x2df477;if(_0x314506)return _0x314506[_0x21b89d[_0x3d508c(0x291e)][_0x3d508c(0x1072)]===_0x3d508c(0x174c)?_0x3d508c(0x67c):_0x39641b()[_0x3d508c(0x432)](_0x21b89d['tab']['channel'])+'AccountId']=_0x21b89d[_0x3d508c(0x10e4)][_0x21b89d['tab'][_0x3d508c(0x1072)]===_0x3d508c(0x174c)?_0x3d508c(0x67c):_0x39641b()[_0x3d508c(0x432)](_0x21b89d['tab'][_0x3d508c(0x1072)])+'AccountId'],_0x314506[_0x39641b()['capitalize'](_0x21b89d[_0x3d508c(0x291e)][_0x3d508c(0x1072)])+_0x3d508c(0x9e2)]=_0x21b89d[_0x3d508c(0x10e4)]['id'],_0x314506[_0x3d508c(0x21ab)]=_0x21b89d[_0x3d508c(0xebe)]['id'],_0x314506[_0x3d508c(0xb96)]=_0x21b89d[_0x3d508c(0x10e4)][_0x3d508c(0xb96)],_0x314506[_0x3d508c(0x979)]=_0x3d508c(0x2500),_0x314506['secret']=Boolean(_0x21b89d[_0x3d508c(0x291e)]['spy']||_0x314506['secret']),_0x12162a[_0x21b89d[_0x3d508c(0x291e)][_0x3d508c(0x1072)]+_0x3d508c(0x27df)]['save'](_0x314506)[_0x3d508c(0x1d77)][_0x3d508c(0x1cb0)](function(_0x23b8d0){const _0x152db1=_0x3d508c;if(_0x23b8d0&&_0x23b8d0['id']){if(!_0x39641b()[_0x152db1(0xce9)](_0x314506['attachments'])){const _0x51a8dc=[];for(let _0x43ac81=0x0;_0x43ac81<_0x314506[_0x152db1(0x1abb)]['length'];_0x43ac81++){const _0x319627=_0x314506['attachments'][_0x43ac81];_0x319627[_0x152db1(0x2262)]?_0x51a8dc['push'](_0x12162a[_0x152db1(0x21d7)][_0x152db1(0x11bc)]({'id':_0x319627['id']},{'name':_0x319627['name'],'basename':_0x319627['basename'],'type':_0x319627[_0x152db1(0x66a)],'MailMessageId':_0x23b8d0['id']})[_0x152db1(0x1d77)]):_0x51a8dc[_0x152db1(0x2785)](_0x12162a[_0x152db1(0x21d7)][_0x152db1(0x687)]({'id':_0x319627['id']},{'MailMessageId':_0x23b8d0['id']})[_0x152db1(0x1d77)]);}return _0x26b39e['all'](_0x51a8dc);}}})['catch'](function(_0x4e5d5d){const _0x20f9e7=_0x3d508c;_0x26e195[_0x20f9e7(0x218e)]({'title':_0x4e5d5d[_0x20f9e7(0x291)]?'API:'+_0x4e5d5d['status']+_0x20f9e7(0x1657)+_0x4e5d5d[_0x20f9e7(0xc22)]:_0x20f9e7(0x241b),'msg':_0x4e5d5d[_0x20f9e7(0x25c)]?JSON[_0x20f9e7(0x2701)](_0x4e5d5d[_0x20f9e7(0x25c)]):_0x4e5d5d[_0x20f9e7(0x147f)]()});})[_0x3d508c(0x2e0)](function(){const _0x4f8521=_0x3d508c;_0x21b89d['tab'][_0x4f8521(0x1072)]===_0x4f8521(0x2651)&&(_0x314506[_0x4f8521(0xd34)]&&(_0x99560['removeByInteraction'](_0x21b89d['interaction']['id']),_0x21b89d[_0x4f8521(0x1440)]=null)),_0x21b89d[_0x4f8521(0x291e)]['areaText']='',_0x433b24();});}function _0x93f965(_0x5a0f31){const _0x217e78=_0x2df477;_0x21b89d[_0x217e78(0x291e)][_0x217e78(0x1072)]&&_0x21b89d[_0x217e78(0x10e4)]&&_0x21b89d['interaction']['id']&&_0x12162a[_0x21b89d[_0x217e78(0x291e)][_0x217e78(0x1072)]+_0x217e78(0x2735)][_0x217e78(0x687)]({'id':_0x21b89d[_0x217e78(0x10e4)]['id'],'substatus':_0x5a0f31})[_0x217e78(0x1d77)][_0x217e78(0x1c4)](function(_0x211cb9){const _0x2dacbc=_0x217e78;console[_0x2dacbc(0x218e)](_0x211cb9);});}function _0x349bc4(){const _0x5732a5=_0x2df477;if(_0x21b89d['tab'][_0x5732a5(0x1072)]&&_0x21b89d[_0x5732a5(0x10e4)]&&_0x21b89d[_0x5732a5(0x10e4)]['id']){if(_0x21b89d['acceptedMessages'][_0x5732a5(0xfd0)])for(let _0x39f4b5=0x0;_0x39f4b5<_0x21b89d[_0x5732a5(0x394)]['length'];_0x39f4b5+=0x1){_0x12162a[_0x21b89d[_0x5732a5(0x291e)][_0x5732a5(0x1072)]+_0x5732a5(0x27df)][_0x5732a5(0x687)]({'id':_0x21b89d[_0x5732a5(0x394)][_0x39f4b5],'read':![],'UserId':null});}else for(let _0x1cca06=0x0;_0x1cca06<_0x21b89d[_0x5732a5(0xab2)]['rows'][_0x5732a5(0xfd0)];_0x1cca06+=0x1){if(_0x21b89d['messages'][_0x5732a5(0x2214)][_0x1cca06][_0x5732a5(0x979)]==='in'){_0x21b89d['messages']['rows'][_0x1cca06][_0x5732a5(0x21ab)]===_0x21b89d[_0x5732a5(0xebe)]['id']?_0x12162a[_0x21b89d[_0x5732a5(0x291e)]['channel']+'Message'][_0x5732a5(0x687)]({'id':_0x21b89d[_0x5732a5(0xab2)][_0x5732a5(0x2214)][_0x1cca06]['id'],'read':![],'UserId':null}):_0x26e195['error']({'title':_0x5732a5(0x21e5),'msg':_0x5732a5(0x75e)});break;}}}_0x21b89d[_0x5732a5(0x291e)][_0x5732a5(0x167f)]=!![],_0x573418[_0x5732a5(0x17bc)]['$parent'][_0x5732a5(0x17bc)]['vm'][_0x5732a5(0x1670)](_0x21b89d[_0x5732a5(0x291e)]);}function _0xff2b1(_0x487983){const _0x557cb6=_0x2df477;if(_0x487983&&_0x21b89d[_0x557cb6(0x10e4)]['id']!==_0x487983['id'])return;_0x487983['closed']&&(_0x21b89d[_0x557cb6(0x291e)][_0x557cb6(0x167f)]=!![]),_0x39641b()[_0x557cb6(0x9c1)](_0x21b89d['interaction'],_0x487983);}function _0x321ebc(_0x2ccded){const _0x2aa117=_0x2df477;if(_0x2ccded&&_0x21b89d[_0x2aa117(0x10e4)]['id']!=_0x2ccded[_0x39641b()[_0x2aa117(0x432)](_0x21b89d[_0x2aa117(0x291e)][_0x2aa117(0x1072)])+_0x2aa117(0x9e2)])return;let _0x26f19a=![];_0x21b89d['messages']?_0x26f19a=_0x39641b()['find'](_0x21b89d[_0x2aa117(0xab2)][_0x2aa117(0x2214)],{'id':_0x2ccded['id']}):_0x21b89d['messages']={'rows':[],'count':0x0},_0x26f19a?_0x39641b()[_0x2aa117(0x9c1)](_0x26f19a,_0x2ccded):(_0x21b89d['tab'][_0x2aa117(0x1072)]===_0x2aa117(0x2651)?_0x21b89d['messages'][_0x2aa117(0x2214)][_0x2aa117(0xf63)](_0x2ccded):_0x21b89d['messages'][_0x2aa117(0x2214)][_0x2aa117(0x2785)](_0x2ccded),_0x433b24());}function _0xcd0a66(_0x331807){const _0x16a6de=_0x2df477;switch(_0x331807){case _0x16a6de(0x174c):return'icon-hangouts';case'mail':return _0x16a6de(0xfbd);case _0x16a6de(0x689):return _0x16a6de(0x1cce);case _0x16a6de(0x2476):return _0x16a6de(0x213d);case _0x16a6de(0x15e0):return _0x16a6de(0x694);case _0x16a6de(0x1944):return _0x16a6de(0x16d3);default:return'icon-hangouts';}}function _0x4d2d8d(_0x578025){const _0x4cc3cb=_0x2df477;switch(_0x578025){case _0x4cc3cb(0x174c):return _0x4cc3cb(0x7b5);case _0x4cc3cb(0x2651):return'teal';case'sms':return'orange';case _0x4cc3cb(0x15e0):return _0x4cc3cb(0x1f1f);case _0x4cc3cb(0x1944):return'blue-grey-A200';case _0x4cc3cb(0x2476):return _0x4cc3cb(0x1995);default:return'blue-A700';}}function _0x7738aa(_0x67b543){const _0x27367f=_0x2df477;let _0x110246='';if(_0x67b543[_0x27367f(0x18e9)])_0x110246=_0x27367f(0x1944);else{if(_0x67b543[_0x27367f(0x1d1e)])_0x110246=_0x27367f(0x15e0);else{if(_0x67b543['SmsAccountId'])_0x110246='sms';else{if(_0x67b543['WhatsappAccountId'])_0x110246='whatsapp';else{if(_0x67b543[_0x27367f(0x1285)])_0x110246='mail';else{if(_0x67b543['ChatWebsiteId'])_0x110246=_0x27367f(0x174c);else _0x67b543['amaflags']&&(_0x110246=_0x27367f(0x1fd4));}}}}}return _0x4d2d8d(_0x110246);}function _0x24f757(_0x424218){const _0x3528a3=_0x2df477;if(_0x424218[_0x3528a3(0x1105)]&&_0x21b89d[_0x3528a3(0xebe)]['id']!=_0x424218[_0x3528a3(0x21ab)])return'in';switch(_0x21b89d[_0x3528a3(0x9ca)]['messagesAlignment']){case'left':if(_0x424218['direction']=='in')return _0x424218[_0x3528a3(0x979)];return _0x3528a3(0x221a);case _0x3528a3(0x15d2):if(_0x424218[_0x3528a3(0x979)]=='in')return _0x3528a3(0x24d7);return _0x424218[_0x3528a3(0x979)];default:return _0x424218[_0x3528a3(0x979)];}}function _0x302c9c(){const _0x6934ae=_0x2df477;_0x21b89d['displayCannedAnswerInput']=!_0x21b89d[_0x6934ae(0x1043)];}function _0x2687ae(_0x41a64c){const _0x58282b=_0x2df477;return _0x12162a[_0x58282b(0x21d7)][_0x58282b(0xbf7)]({'MailMessageId':_0x41a64c['id']})['$promise']['then'](function(_0x18b1ed){const _0x4279db=_0x58282b;_0x18b1ed&&_0x18b1ed[_0x4279db(0x2214)]&&(_0x41a64c[_0x4279db(0x1abb)]=_0x18b1ed[_0x4279db(0x2214)]);})[_0x58282b(0x1c4)](function(_0x25d1f6){const _0x7dc73=_0x58282b;_0x26e195[_0x7dc73(0x218e)]({'title':_0x25d1f6[_0x7dc73(0x291)]?_0x7dc73(0xeb9)+_0x25d1f6[_0x7dc73(0x291)]+_0x7dc73(0x1657)+_0x25d1f6[_0x7dc73(0xc22)]:_0x7dc73(0x30d),'msg':_0x25d1f6[_0x7dc73(0x25c)]?JSON[_0x7dc73(0x2701)](_0x25d1f6[_0x7dc73(0x25c)]):_0x25d1f6[_0x7dc73(0x147f)]()});});}function _0xa1f3b7(_0x179d87){const _0x166ff8=_0x2df477;return _0x12162a[_0x166ff8(0x21d7)][_0x166ff8(0x26ec)]({'id':_0x179d87['id']})[_0x166ff8(0x1d77)][_0x166ff8(0x1cb0)](function(_0x4c67ba){const _0x599bfe=_0x166ff8;if(_0x4c67ba){const _0x51ad87=new Blob([_0x4c67ba[_0x599bfe(0xef0)]],{'type':_0x4c67ba[_0x599bfe(0x66a)]}),_0x386e95=document[_0x599bfe(0x8c6)]('a'),_0x330a8c=window[_0x599bfe(0x237e)][_0x599bfe(0x2247)](_0x51ad87);_0x386e95[_0x599bfe(0x105b)]=_0x330a8c,_0x386e95[_0x599bfe(0x251d)]=_0x599bfe(0x1d9f),_0x386e95[_0x599bfe(0x26ec)]=_0x179d87[_0x599bfe(0x16b6)],document[_0x599bfe(0x1ed9)][_0x599bfe(0x23de)](_0x386e95),_0x386e95[_0x599bfe(0x20b8)](),setTimeout(function(){const _0x69708d=_0x599bfe;document[_0x69708d(0x1ed9)][_0x69708d(0x26d3)](_0x386e95),window[_0x69708d(0x237e)]['revokeObjectURL'](_0x330a8c);},0x64);}})[_0x166ff8(0x1c4)](function(_0x5d6c41){const _0x1bcc52=_0x166ff8;_0x26e195['error']({'title':_0x5d6c41[_0x1bcc52(0x291)]?_0x1bcc52(0xeb9)+_0x5d6c41[_0x1bcc52(0x291)]+_0x1bcc52(0x1657)+_0x5d6c41[_0x1bcc52(0xc22)]:_0x1bcc52(0x30d),'msg':_0x5d6c41['data']?JSON['stringify'](_0x5d6c41[_0x1bcc52(0x25c)]):_0x5d6c41['toString']()});});}function _0x3603a5(){const _0x5acfae=_0x2df477;if(!_0x21b89d[_0x5acfae(0x291e)][_0x5acfae(0x1e1e)]&&!_0x21b89d['interaction'][_0x5acfae(0x22aa)])for(let _0x398984=0x0;_0x398984<_0x21b89d[_0x5acfae(0xab2)][_0x5acfae(0x2214)][_0x5acfae(0xfd0)];_0x398984+=0x1){_0x21b89d['messages'][_0x5acfae(0x2214)][_0x398984][_0x5acfae(0x979)]==='in'&&!_0x21b89d[_0x5acfae(0xab2)]['rows'][_0x398984][_0x5acfae(0xfc1)]&&(_0x12162a[_0x21b89d['tab'][_0x5acfae(0x1072)]+_0x5acfae(0x27df)][_0x5acfae(0xb60)]({'id':_0x21b89d[_0x5acfae(0xab2)][_0x5acfae(0x2214)][_0x398984]['id'],'UserId':_0x21b89d[_0x5acfae(0xebe)]['id']}),_0x21b89d[_0x5acfae(0x394)]['push'](_0x21b89d[_0x5acfae(0xab2)][_0x5acfae(0x2214)][_0x398984]['id']));}}function _0x1ab960(_0x1c6475){const _0x5b48fb=_0x2df477;if(!_0x1c6475['disposition'])return'('+_0xff3f48[_0x5b48fb(0x25cc)](_0x5b48fb(0x334))+')';const _0x348a27=_0x39641b()[_0x5b48fb(0xc67)]([_0x1c6475[_0x5b48fb(0x1746)],_0x1c6475[_0x5b48fb(0x15b4)],_0x1c6475[_0x5b48fb(0x24fc)]]);return'('+_0x348a27[_0x5b48fb(0x1f66)](_0x5b48fb(0x1657))+')';}function _0x817425(_0x4d6fc6){const _0x36ab52=_0x2df477;_0x4d6fc6&&_0x4d6fc6[_0x36ab52(0x184d)]&&_0x4d6fc6[_0x36ab52(0x2214)][_0x36ab52(0xfd0)]&&(_0x21b89d['journey']=_0x39641b()['concat'](_0x21b89d[_0x36ab52(0x11a7)],_0x4d6fc6[_0x36ab52(0x2214)]),_0x21b89d[_0x36ab52(0x11a7)]['forEach'](function(_0x185f2a){const _0x219d83=_0x36ab52;_0x185f2a[_0x219d83(0x172c)]=_0x1ab960(_0x185f2a);}));}function _0x2e45bd(_0x19edd1){const _0x568379=_0x2df477;if(_0x19edd1&&_0x19edd1['id']){for(let _0x491404=0x0;_0x491404<_0x21b89d[_0x568379(0xee9)][_0x568379(0xfd0)];_0x491404++){_0x12162a[_0x21b89d['omniChannels'][_0x491404]+_0x568379(0x2735)]['get']({'ContactId':_0x19edd1['id'],'journey':!![],'includeAll':!![]})[_0x568379(0x1d77)][_0x568379(0x1cb0)](_0x817425);}_0x12162a[_0x568379(0x11f2)]['get']({'ContactId':_0x19edd1['id'],'includeAll':!![]})[_0x568379(0x1d77)][_0x568379(0x1cb0)](_0x817425);}}function _0xfd4c1e(_0x28ce6f){return _0x28ce6f?String(_0x28ce6f)['replace'](/<[^>]+>/gm,''):'';}function _0x508d93(_0x184a5d){const _0x552d77=_0x2df477;switch(_0x21b89d[_0x552d77(0x291e)][_0x552d77(0x1072)]){case'mail':_0x21b89d['draft'][_0x552d77(0x862)][_0x552d77(0x2980)]=_0x184a5d?_0x39641b()[_0x552d77(0x13b4)](_0x21b89d[_0x552d77(0x10e4)][_0x552d77(0x223c)],['id',_0x184a5d]):_0x21b89d[_0x552d77(0x10e4)][_0x552d77(0x223c)][_0x21b89d[_0x552d77(0x10e4)][_0x552d77(0x223c)]['length']-0x1],_0x212d0c(_0x21b89d[_0x552d77(0x1440)]['type'],_0x21b89d[_0x552d77(0x1440)][_0x552d77(0x862)]);break;case _0x552d77(0x1944):break;case _0x552d77(0x15e0):break;case'sms':break;case _0x552d77(0x2476):break;}}_0x573418[_0x2df477(0x1d6)]('$destroy',function(){const _0x372a79=_0x2df477;_0x125029[_0x372a79(0xfb8)](_0x21b89d[_0x372a79(0x291e)][_0x372a79(0x1072)]+_0x372a79(0x2937)),_0x125029[_0x372a79(0xfb8)](_0x21b89d[_0x372a79(0x291e)][_0x372a79(0x1072)]+'Message:update'),_0x125029[_0x372a79(0xfb8)](_0x21b89d[_0x372a79(0x291e)][_0x372a79(0x1072)]+'Interaction:save'),_0x125029[_0x372a79(0xfb8)](_0x21b89d['tab'][_0x372a79(0x1072)]+_0x372a79(0x1a08)),_0x125029[_0x372a79(0xfb8)]('user_has_'+_0x21b89d[_0x372a79(0x291e)][_0x372a79(0x1072)]+_0x372a79(0x1d9d)),_0x125029[_0x372a79(0xfb8)](_0x372a79(0x28ed)+_0x21b89d[_0x372a79(0x291e)][_0x372a79(0x1072)]+'_interactions:remove');});function _0xd7468d(_0x4002df){const _0x388ef5=_0x2df477,_0xf49527={'id':_0x4002df['id']};return _0x12162a[_0x388ef5(0x115b)]['createVidaooSession'](_0xf49527)[_0x388ef5(0x1d77)]['then'](function(){const _0x2d6b50=_0x388ef5;_0x26e195[_0x2d6b50(0x829)]({'title':_0x2d6b50(0x23f4),'msg':'Session\x20properly\x20created.'});})['catch'](function(){const _0x40ed00=_0x388ef5;_0x26e195[_0x40ed00(0x218e)]({'title':_0x40ed00(0x23f4),'msg':_0x40ed00(0xee5)});});}}function _0x1fc5fa(_0x1b3a8d){const _0x59aaaa=_0x5537c6;return{'scope':{'ngBindHtmlUnsafe':'='},'template':_0x59aaaa(0x24dd),'link':function(_0x5ef0ec){const _0x4d257e=_0x59aaaa;function _0x2bda3c(){const _0x4c8142=a0_0x5cbd,_0x446cc6=_0x4c8142(0x1229)+Math[_0x4c8142(0x3aa)](Math[_0x4c8142(0x47f)]()*0x270f+0x1);return _0x4c8142(0xfee)+_0x446cc6+_0x4c8142(0x1ece)+_0x446cc6+'\x22\x20style=\x22display:none\x22';}_0x5ef0ec['updateView']=function(){const _0x4bab=a0_0x5cbd,_0x44cd1b=_0x39641b()[_0x4bab(0x288f)](_0x5ef0ec[_0x4bab(0x1958)],_0x4bab(0x11ec),_0x2bda3c());_0x5ef0ec[_0x4bab(0x1217)]=_0x1b3a8d[_0x4bab(0xdfc)](_0x44cd1b);},_0x5ef0ec[_0x4d257e(0x614)](_0x4d257e(0x1958),function(_0x288533){const _0x518316=_0x4d257e;_0x5ef0ec[_0x518316(0x742)](_0x288533);});}};}function _0x307a39(){return function(_0x294b81,_0x67a8cf,_0x358ff2,_0x17c234){const _0x4404d9=a0_0x5cbd,_0x37683e=[];for(let _0x582aed=0x0;_0x582aed<_0x294b81[_0x4404d9(0xfd0)];_0x582aed+=0x1){let _0x4cabbf=!![];switch(_0x67a8cf){case'voice':_0x4cabbf=Boolean(_0x294b81[_0x582aed][_0x4404d9(0x1425)]);break;case _0x4404d9(0x174c):_0x4cabbf=Boolean(_0x294b81[_0x582aed][_0x4404d9(0x67c)]);break;case _0x4404d9(0x2651):_0x4cabbf=Boolean(_0x294b81[_0x582aed][_0x4404d9(0x1285)]);break;case _0x4404d9(0x689):_0x4cabbf=Boolean(_0x294b81[_0x582aed][_0x4404d9(0x1a2)]);break;case _0x4404d9(0x2476):_0x4cabbf=Boolean(_0x294b81[_0x582aed][_0x4404d9(0xfc8)]);break;case'fax':_0x4cabbf=Boolean(_0x294b81[_0x582aed][_0x4404d9(0x18e9)]);break;case'openchannel':_0x4cabbf=Boolean(_0x294b81[_0x582aed][_0x4404d9(0x1d1e)]);break;default:_0x4cabbf=!![];break;}_0x4cabbf&&(_0x358ff2&&_0x17c234&&(_0x4cabbf=_0x543b5a()(_0x294b81[_0x582aed][_0x4404d9(0x24cb)])[_0x4404d9(0x480)](_0x543b5a()(_0x358ff2)[_0x4404d9(0x17d9)](0x0,!![]),_0x543b5a()(_0x17c234)[_0x4404d9(0x17d9)](0x0,!![])['add'](0x17,_0x4404d9(0x1de0))[_0x4404d9(0x17a1)](0x3b,_0x4404d9(0x22d))[_0x4404d9(0x17a1)](0x3b,_0x4404d9(0x479))))),_0x4cabbf&&_0x37683e[_0x4404d9(0x2785)](_0x294b81[_0x582aed]);}return _0x37683e;};};function _0x187c3e(){const _0x5172db=_0x5537c6;return{'watchEmbedData':![],'sanitizeHtml':![],'fontSmiley':![],'emoji':!![],'link':!![],'linkTarget':_0x5172db(0x22ac),'pdf':{'embed':!![]},'image':{'embed':!![]},'audio':{'embed':!![]},'basicVideo':![],'gdevAuth':_0x5172db(0x1afb),'video':{'embed':![],'width':null,'height':null,'ytTheme':'dark','details':![],'thumbnailQuality':_0x5172db(0x8bd),'autoPlay':!![]},'twitchtvEmbed':!![],'dailymotionEmbed':!![],'tedEmbed':!![],'dotsubEmbed':!![],'liveleakEmbed':!![],'ustreamEmbed':!![],'soundCloudEmbed':!![],'soundCloudOptions':{'height':0xa0,'themeColor':'f50000','autoPlay':![],'hideRelated':![],'showComments':!![],'showUser':!![],'showReposts':![],'visual':![],'download':![]},'spotifyEmbed':!![],'tweetEmbed':!![],'tweetOptions':{'maxWidth':0x226,'hideMedia':![],'hideThread':![],'align':'none','lang':'en'},'code':{'highlight':!![],'lineNumbers':![]},'codepenEmbed':!![],'codepenHeight':0x12c,'jsfiddleEmbed':!![],'jsfiddleHeight':0x12c,'jsbinEmbed':!![],'jsbinHeight':0x12c,'plunkerEmbed':!![],'githubgistEmbed':!![],'ideoneEmbed':!![],'ideoneHeight':0x12c};}const _0x4bdcb4=_0x187c3e;;_0x97f349[_0x5537c6(0x15b6)]=[_0x5537c6(0x173),_0x5537c6(0x1f10),_0x5537c6(0xcb9),_0x5537c6(0x5f0),_0x5537c6(0x1539),'message',_0x5537c6(0x66a),_0x5537c6(0x142b),_0x5537c6(0x9bf)];function _0x97f349(_0xd3731a,_0x38183c,_0x21f274,_0x317569,_0x14b0f3,_0x7e44b2,_0x24f5fa,_0x708cf7,_0x2b124a){const _0x27f36e=_0x5537c6,_0x4a4794=this,_0x238afd=0x19,_0x447b09=_0x238afd*0x400*0x400;_0x4a4794['separatorKeys']=[_0x317569[_0x27f36e(0xefa)][_0x27f36e(0x1582)],_0x317569[_0x27f36e(0xefa)][_0x27f36e(0x1247)],_0x317569[_0x27f36e(0xefa)]['TAB']],_0x4a4794[_0x27f36e(0x155e)]={'from':_0x14b0f3[_0x27f36e(0x2343)],'to':_0x39641b()[_0x27f36e(0x958)](_0x7e44b2['to'])||_0x39641b()[_0x27f36e(0xce9)](_0x7e44b2['to'])?[]:_0x7e44b2['to'],'attachments':[]},_0x4a4794['ngFlowOptions']={'target':'api/attachments','singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0x447b09,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x4a4794[_0x27f36e(0x125a)]={'flow':{}},_0x4a4794[_0x27f36e(0x199f)]=_0x1ba480,_0x4a4794[_0x27f36e(0x1c97)]=_0x1e8361,_0x4a4794[_0x27f36e(0x200f)]=_0x22001a,_0x4a4794[_0x27f36e(0x15c2)]=_0x2eb756,_0x4a4794[_0x27f36e(0x1879)]=_0x34a696,_0x4a4794[_0x27f36e(0x980)]=_0xcef192,_0x4a4794['transformContact']=_0x1c4d83,_0x4a4794[_0x27f36e(0xda0)]=_0xede175;function _0x14fb1e(){const _0x523646=_0x27f36e;_0x21f274[_0x523646(0x1426)]({'from':_0x4a4794[_0x523646(0x155e)]['from'],'to':_0x4a4794[_0x523646(0x155e)]['to'],'attach':_0x4a4794['message']['attachments'][_0x523646(0xfd0)],'attachments':_0x4a4794[_0x523646(0x155e)][_0x523646(0x1abb)]});}function _0x1ba480(){const _0x43b4b8=_0x27f36e;_0x4a4794[_0x43b4b8(0x155e)][_0x43b4b8(0x1abb)][_0x43b4b8(0xfd0)]===0x1?_0x4a4794['message']['to'][_0x43b4b8(0xfd0)]?(_0x7e44b2[_0x43b4b8(0x1440)]=undefined,_0x14fb1e()):_0x2b124a[_0x43b4b8(0x218e)](_0x43b4b8(0x1e9e)):_0x2b124a[_0x43b4b8(0x218e)](_0x43b4b8(0x25d));}function _0x1e8361(){const _0x1cf6ff=_0x27f36e;_0x4a4794['ngFlow']['flow'][_0x1cf6ff(0xd56)][_0x1cf6ff(0x5e7)]={'X-Requested-With':_0x1cf6ff(0x2711),'Authorization':_0x1cf6ff(0x1923)+_0xd3731a[_0x1cf6ff(0xbf7)](_0x1cf6ff(0x1b26))},_0x4a4794[_0x1cf6ff(0x125a)][_0x1cf6ff(0xacf)]['upload']();}function _0x2eb756(_0x40a998){const _0x2aff4f=_0x27f36e,_0x141cf1=[_0x2aff4f(0x570),'tif'];if(!_0x39641b()[_0x2aff4f(0x250a)](_0x141cf1,_0x40a998[_0x2aff4f(0x1cb1)]()))return _0x2b124a[_0x2aff4f(0x218e)]({'title':_0x2aff4f(0x14d4)+_0x40a998[_0x2aff4f(0x1cb1)](),'msg':_0x2aff4f(0xb38)+_0x141cf1['join']()}),![];if(_0x40a998[_0x2aff4f(0x1813)]>_0x447b09)return _0x2b124a[_0x2aff4f(0x218e)]({'title':'File\x20too\x20big','msg':_0x2aff4f(0xe61)+_0x238afd+'MB'}),![];return!![];}function _0x22001a(_0x54b198,_0x49876e){const _0xbe1de6=_0x27f36e;try{_0x49876e=JSON['parse'](_0x49876e),_0x49876e[_0xbe1de6(0x1813)]=_0x54b198[_0xbe1de6(0x1813)],_0x4a4794[_0xbe1de6(0x155e)][_0xbe1de6(0x1abb)][_0xbe1de6(0x2785)](_0x49876e);}catch(_0x5375bd){console['error'](_0x5375bd);}}function _0x34a696(_0x57b4c8){const _0x521030=_0x27f36e;let _0x5df859='';return _0x57b4c8[_0x521030(0x1491)]&&_0x57b4c8[_0x521030(0x1491)]!==_0x57b4c8[_0x521030(0x1944)]&&(_0x5df859+=_0x57b4c8['firstName']+'\x20'),_0x57b4c8[_0x521030(0x1fbb)]&&_0x57b4c8[_0x521030(0x1fbb)]!==_0x57b4c8['fax']&&(_0x5df859+=_0x57b4c8['lastName']+'\x20'),_0x5df859+='<'+_0x57b4c8[_0x521030(0x1944)]+'>';}function _0x1c4d83(_0x5d04d2){const _0x542d7b=_0x27f36e,_0x1042d0=_0x5d04d2;if(_0x39641b()['isObject'](_0x5d04d2))return _0x5d04d2[_0x542d7b(0x1944)];if(_0x865bbd(_0x1042d0))return _0x1042d0;return _0x2b124a['error'](_0x542d7b(0x42d)+_0x1042d0+_0x542d7b(0x1144)),null;}function _0x865bbd(_0x55f516){const _0x3073e0=_0x27f36e,_0x52823d=/[&/\\#,()$~%.'":;*?<>{}\s\\|a-zA-Z\pS!òàùè…_§°çéì-]/;return!_0x52823d[_0x3073e0(0x26a1)](_0x55f516);}function _0xcef192(_0x4ca3c4){const _0x139375=_0x27f36e;return _0x14b0f3&&_0x14b0f3['ListId']?_0x708cf7[_0x139375(0xbe7)]['get']({'ListId':_0x14b0f3[_0x139375(0x20a6)],'nolimit':!![],'filter':_0x4ca3c4,'fields':'firstName,lastName,fax'})[_0x139375(0x1d77)]['then'](function(_0x16f173){const _0xdf984c=_0x139375;return _0x16f173[_0xdf984c(0x2214)];}):[];}function _0xede175(){const _0x497217=_0x27f36e;_0x7e44b2[_0x497217(0x1440)]=_0x4a4794['message'],_0x21f274['cancel']();}}const _0x2f3ace=_0x97f349;;_0x42c1f9[_0x5537c6(0x15b6)]=[_0x5537c6(0x173),_0x5537c6(0x1f10),_0x5537c6(0xcb9),_0x5537c6(0x5f0),_0x5537c6(0x1ae),_0x5537c6(0x1539),'message',_0x5537c6(0x66a),_0x5537c6(0x142b),_0x5537c6(0x9bf),'$q','Auth',_0x5537c6(0x10e4),_0x5537c6(0x1b68)];function _0x42c1f9(_0xa3402a,_0x466f2f,_0x411b0a,_0x4d7c8b,_0x210e33,_0x3ab6ae,_0x5d8849,_0x33988c,_0xa603ef,_0x54be55,_0x856690,_0x2b34d7,_0x5a840b,_0x4ad302){const _0x485e58=_0x5537c6,_0x4d043f=this,_0x4dc654=0x19,_0x31929c=_0x4dc654*0x400*0x400;_0x4d043f[_0x485e58(0xe76)]=_0x2b34d7[_0x485e58(0x21e8)](),_0x4d043f[_0x485e58(0x1105)]=_0x33988c==='note'?!![]:![],_0x4d043f[_0x485e58(0xe8c)]=!![],_0x4d043f[_0x485e58(0x178d)]=!![],_0x4d043f[_0x485e58(0x268a)]=!![],_0x4d043f[_0x485e58(0x1a7c)]=[],_0x4d043f[_0x485e58(0x25d5)]=[_0x4d7c8b[_0x485e58(0xefa)][_0x485e58(0x1582)],_0x4d7c8b[_0x485e58(0xefa)]['COMMA'],_0x4d7c8b[_0x485e58(0xefa)][_0x485e58(0xcd5)]],_0x4d043f['searchTextChange']=_0x246a4d,_0x4d043f[_0x485e58(0x2244)]=_0x27cc12,_0x4d043f[_0x485e58(0x2c8)]=_0x36abd1,_0x39641b()[_0x485e58(0xc7f)][_0x485e58(0xe08)]=/{{([\s\S]+?)}}/g,_0x4d043f['fromTemplate']=_0x39641b()[_0x485e58(0x2330)](_0x485e58(0x27a2)),_0x4d043f[_0x485e58(0x77b)]=_0x39641b()['template'](_0x485e58(0x2553)),_0x4d043f[_0x485e58(0x193b)]=_0x39641b()[_0x485e58(0x2330)](_0x485e58(0x601)),_0x4d043f[_0x485e58(0x155e)]={'from':_0x4d043f[_0x485e58(0x2106)]({'name':_0x3ab6ae[_0x485e58(0x16b6)],'email':_0x3ab6ae[_0x485e58(0x1e19)]||_0x3ab6ae['Smtp'][_0x485e58(0xebe)]}),'to':[],'cc':[],'bcc':[],'body':_0x2a6239(),'type':_0x33988c,'attachments':[],'showLastMessage':!![]},_0x4d043f[_0x485e58(0x1440)]=null,_0x4d043f['ckeditor']={'skin':'office2013','startupFocus':!![],'language':'en','allowedContent':!![],'extraPlugins':_0x485e58(0x1fc7),'toolbarGroups':[{'name':_0x485e58(0x29c6),'groups':[_0x485e58(0x29c6)]},{'name':_0x485e58(0x9c3),'groups':[_0x485e58(0x1336)]},{'name':_0x485e58(0x22bc),'groups':[_0x485e58(0x22bc)]},{'name':'basicstyles','groups':[_0x485e58(0xdf5)]},{'name':_0x485e58(0x3ba),'groups':[_0x485e58(0x240),'indent',_0x485e58(0xfcf)]}],'autoGrow_onStartup':!![],'autoGrow_minHeight':_0x4d043f['secret']?0xa0:0x12c,'autoGrow_maxHeight':_0x466f2f[_0x485e58(0x308)]-0x190,'autocorrect_enabled':!![],'disableNativeSpellChecker':![],'enterMode':window[_0x485e58(0x136b)][_0x485e58(0x1429)],'font_defaultLabel':_0x3ab6ae[_0x485e58(0x198c)]?_0x3ab6ae[_0x485e58(0x198c)][_0x485e58(0xbe1)](',')[0x0]:'Arial','fontSize_defaultLabel':_0x3ab6ae[_0x485e58(0x41d)]||'12','removePlugins':_0x485e58(0xb1d)};const _0x14162f=[];for(let _0x43ff90=0x8;_0x43ff90<=0x48;_0x43ff90++){_0x14162f[_0x485e58(0x2785)](_0x43ff90+'/'+_0x43ff90+'pt');}_0x4d043f['ckeditor'][_0x485e58(0x22f6)]=_0x14162f[_0x485e58(0x1f66)](';'),_0x4d043f[_0x485e58(0x15e8)]={'target':'api/attachments','singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0x31929c,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x4d043f[_0x485e58(0x125a)]={'flow':{}},_0x4d043f[_0x485e58(0x134e)]=_0x5ef345,_0x4d043f[_0x485e58(0x471)]=_0x490db7,_0x4d043f[_0x485e58(0xaf1)]=_0x1742da,_0x4d043f['editDraft']=_0x2ad1b6,_0x4d043f['send']=_0x81f372,_0x4d043f['note']=_0x180d32,_0x4d043f[_0x485e58(0x147e)]=_0x2a6d10,_0x4d043f[_0x485e58(0x1c97)]=_0x4536ee,_0x4d043f['fileSuccess']=_0x502e04,_0x4d043f[_0x485e58(0x1772)]=_0x3254c9,_0x4d043f[_0x485e58(0x15c2)]=_0x5833ac,_0x4d043f[_0x485e58(0x1879)]=_0x33de93,_0x4d043f[_0x485e58(0x980)]=_0x1d50b0,_0x4d043f[_0x485e58(0x203a)]=_0x814ecb,_0x4d043f[_0x485e58(0x160e)]=_0x9f31fa,_0x4d043f['closeDialog']=_0x13689f,_0x4d043f[_0x485e58(0x1c74)]=_0x57161f;switch(_0x33988c){case _0x485e58(0x134e):_0x4d043f['reply'](![]);break;case _0x485e58(0x1dc9):_0x4d043f[_0x485e58(0x134e)](!![]);break;case _0x485e58(0xaf1):_0x4d043f[_0x485e58(0xaf1)]();break;case _0x485e58(0x1440):_0x4d043f[_0x485e58(0x1656)]();break;case _0x485e58(0x1295):_0x4d043f[_0x485e58(0x471)]();break;case _0x485e58(0xbe2):_0x4d043f[_0x485e58(0xbe2)]();break;}_0xa603ef['mailSubstatus'][_0x485e58(0xbf7)]({'nolimit':!![]})[_0x485e58(0x1d77)][_0x485e58(0x1cb0)](function(_0x58e556){const _0x50ea84=_0x485e58;_0x4d043f[_0x50ea84(0x16a)]=_0x58e556||{'count':0x0,'rows':[]};})['catch'](function(_0x3d141e){console['error'](_0x3d141e);});!_0x4d043f['secret']&&_0xe8e9cb();function _0x5ef345(_0x1e2fbc){const _0x5e2779=_0x485e58;angular[_0x5e2779(0x13b8)](_0x5d8849)&&(_0x4d043f[_0x5e2779(0x155e)]['type']=_0x5e2779(0x134e),_0x4d043f[_0x5e2779(0x155e)]['to']=_0x5d8849['direction']==='in'?_0x5d8849[_0x5e2779(0x174d)][_0x5e2779(0xbe1)](','):_0x5d8849['to']['split'](','),_0x4d043f['message'][_0x5e2779(0x1e5d)]=_0x39641b()[_0x5e2779(0x1f2c)](_0x5d8849[_0x5e2779(0x1e5d)],_0x5e2779(0x2891))?_0x5d8849['subject']:_0x5e2779(0x2024)+_0x5d8849['subject'],_0x4d043f['message'][_0x5e2779(0x26da)]=_0x5d8849['messageId'],_0x4d043f[_0x5e2779(0x155e)][_0x5e2779(0x1ed9)]=_0x2a6239(),_0x4d043f['message'][_0x5e2779(0x1abb)]=[],_0x1e2fbc&&_0x5d8849['cc']&&(_0x4d043f[_0x5e2779(0xe8c)]=![],_0x4d043f[_0x5e2779(0x155e)]['cc']=_0x5d8849['cc']['split'](',')),_0x4d043f[_0x5e2779(0x155e)][_0x5e2779(0x1b17)]=![]);}function _0x490db7(){const _0x1520a0=_0x485e58;angular['isDefined'](_0x5d8849)&&(_0x4d043f[_0x1520a0(0x155e)][_0x1520a0(0x66a)]=_0x1520a0(0x1295),_0x4d043f[_0x1520a0(0x155e)]['to']=_0x5d8849['to']?_0x5d8849['to']['split'](','):[]);}function _0x2ad1b6(){const _0x4ade30=_0x485e58;_0x4d043f[_0x4ade30(0xd34)]=!![],angular[_0x4ade30(0x13b8)](_0x5d8849)&&(_0x5d8849['savedAsDraft']=![],_0x4d043f[_0x4ade30(0x155e)]=angular[_0x4ade30(0x17fe)](_0x5d8849),!_0x39641b()[_0x4ade30(0xce9)](_0x4d043f[_0x4ade30(0x155e)]['cc'])&&(_0x4d043f[_0x4ade30(0xe8c)]=![]),!_0x39641b()[_0x4ade30(0xce9)](_0x4d043f['message'][_0x4ade30(0xd11)])&&(_0x4d043f[_0x4ade30(0x178d)]=![]));}function _0x1742da(){const _0x1419b8=_0x485e58;if(angular[_0x1419b8(0x13b8)](_0x5d8849)){_0x4d043f[_0x1419b8(0x155e)][_0x1419b8(0x66a)]=_0x1419b8(0xaf1),_0x4d043f[_0x1419b8(0x155e)][_0x1419b8(0x1e5d)]=_0x39641b()[_0x1419b8(0x1f2c)](_0x5d8849[_0x1419b8(0x1e5d)],_0x1419b8(0xfda))?_0x5d8849[_0x1419b8(0x1e5d)]:'Fwd:\x20'+_0x5d8849[_0x1419b8(0x1e5d)],_0x4d043f[_0x1419b8(0x155e)]['body']=_0x2a6239();if(_0x5d8849[_0x1419b8(0x21a3)]&&_0x5d8849[_0x1419b8(0x21a3)][_0x1419b8(0xfd0)])for(let _0x4b2049=0x0;_0x4b2049<_0x5d8849[_0x1419b8(0x21a3)][_0x1419b8(0xfd0)];_0x4b2049++){_0x4d043f[_0x1419b8(0x155e)]['attachments']['push'](_0x5d8849['Attachments'][_0x4b2049]);}_0x4d043f[_0x1419b8(0x155e)]['showLastMessage']=![];}}function _0x180d32(){const _0x3f7dc9=_0x485e58;_0x4d043f[_0x3f7dc9(0x66a)]=_0x3f7dc9(0xbe2),_0x4d043f[_0x3f7dc9(0x1105)]=!![],_0x4d043f[_0x3f7dc9(0x155e)][_0x3f7dc9(0x1ed9)]=_0x3f7dc9(0x2685)+_0x3ab6ae[_0x3f7dc9(0x198c)]+_0x3f7dc9(0x759)+_0x3ab6ae[_0x3f7dc9(0x41d)]+_0x3f7dc9(0xe99);}function _0x3880f6(_0x131901,_0x1930df){const _0x580092=_0x485e58,_0x5973b8={'from':_0x4d043f['message'][_0x580092(0x174d)],'to':_0x4d043f[_0x580092(0x155e)]['to'][_0x580092(0x1f66)](','),'cc':_0x4d043f[_0x580092(0x155e)]['cc']['join'](','),'bcc':_0x4d043f[_0x580092(0x155e)][_0x580092(0xd11)][_0x580092(0x1f66)](','),'subject':_0x4d043f[_0x580092(0x155e)]['subject'],'body':_0x4d043f[_0x580092(0x155e)][_0x580092(0x1b17)]?_0x4d043f['message'][_0x580092(0x1ed9)]:_0x4d043f['message'][_0x580092(0x1ed9)]+_0x3b0eeb(_0x4d043f[_0x580092(0x155e)][_0x580092(0x66a)]),'inReplyTo':_0x4d043f[_0x580092(0x155e)]['inReplyTo'],'attach':_0x4d043f[_0x580092(0x155e)]['attachments'][_0x580092(0xfd0)],'attachments':_0x4d043f['message'][_0x580092(0x1abb)],'Interaction':{'lastMsgDirection':_0x580092(0x2500),'lastMsgBody':_0x4d043f['message'][_0x580092(0x1ed9)],'substatus':_0x1930df},'isDraft':_0x4d043f[_0x580092(0xd34)]};_0x5973b8['html']=_0x580092(0x469)+_0x39641b()[_0x580092(0x288f)](_0x5973b8[_0x580092(0x1ed9)],'_0x31929c)return _0x54be55[_0x4f7f97(0x218e)]({'title':'File\x20too\x20big','msg':_0x4f7f97(0xe61)+_0x4dc654+'MB'}),![];return!![];}function _0x4536ee(){const _0x24fc2a=_0x485e58;_0x4d043f[_0x24fc2a(0x125a)][_0x24fc2a(0xacf)]['opts'][_0x24fc2a(0x5e7)]={'X-Requested-With':_0x24fc2a(0x2711),'Authorization':_0x24fc2a(0x1923)+_0xa3402a[_0x24fc2a(0xbf7)](_0x24fc2a(0x1b26))},_0x4d043f['ngFlow'][_0x24fc2a(0xacf)]['upload']();}function _0x502e04(_0xd39d9a,_0x38a607){const _0x95db41=_0x485e58;try{_0x38a607=JSON[_0x95db41(0xefe)](_0x38a607),_0x38a607['size']=_0xd39d9a[_0x95db41(0x1813)],_0x4d043f[_0x95db41(0x155e)][_0x95db41(0x1abb)][_0x95db41(0x2785)](_0x38a607);}catch(_0x385f84){console['error'](_0x385f84);}}function _0x3254c9(_0x25a5fb){const _0x5c2f3f=_0x485e58;_0x4d043f[_0x5c2f3f(0x155e)]['attachments']=_0x39641b()[_0x5c2f3f(0x988)](_0x4d043f[_0x5c2f3f(0x155e)][_0x5c2f3f(0x1abb)],{'id':_0x25a5fb['id']}),!_0x25a5fb[_0x5c2f3f(0x2262)]&&_0xa603ef[_0x5c2f3f(0x21d7)][_0x5c2f3f(0x111d)]({'id':_0x25a5fb['id']})[_0x5c2f3f(0x1d77)][_0x5c2f3f(0x1c4)](function(_0x54c5d3){const _0x50932e=_0x5c2f3f;_0x54be55[_0x50932e(0x218e)]({'title':_0x54c5d3['status']?_0x50932e(0xeb9)+_0x54c5d3[_0x50932e(0x291)]+_0x50932e(0x1657)+_0x54c5d3[_0x50932e(0xc22)]:_0x50932e(0x10d2),'msg':_0x54c5d3[_0x50932e(0x25c)]?JSON[_0x50932e(0x2701)](_0x54c5d3[_0x50932e(0x25c)]['message']):_0x54c5d3[_0x50932e(0x147f)]()});});}function _0x33de93(_0x2ff046){const _0x59dc14=_0x485e58;let _0x15c15e='';return _0x2ff046[_0x59dc14(0x1491)]&&_0x2ff046[_0x59dc14(0x1491)]!==_0x2ff046[_0x59dc14(0x1e19)]&&(_0x15c15e+=_0x2ff046[_0x59dc14(0x1491)]+'\x20'),_0x2ff046[_0x59dc14(0x1fbb)]&&_0x2ff046[_0x59dc14(0x1fbb)]!==_0x2ff046['email']&&(_0x15c15e+=_0x2ff046[_0x59dc14(0x1fbb)]+'\x20'),_0x15c15e+='<'+_0x2ff046[_0x59dc14(0x1e19)]+'>';}function _0x814ecb(_0x5573be,_0x222b9b){const _0x135d8d=_0x485e58;if(_0x39641b()[_0x135d8d(0x1b5c)](_0x5573be))return _0x4d043f['contact']=_0x5573be,_0x5573be['email'];if(_0x39641b()[_0x135d8d(0x28e2)](_0x5573be)){if(_0x519977(_0x5573be))return _0x4d043f[_0x135d8d(0x8b1)]={'email':_0x5573be},_0x5573be;else{const _0x3126d7=_0x5573be[_0x135d8d(0xbe1)](',');for(let _0x362006=0x0;_0x362006<_0x3126d7[_0x135d8d(0xfd0)];_0x362006+=0x1){_0x519977(_0x3126d7[_0x362006])?_0x39641b()['isArray'](_0x222b9b)&&_0x39641b()['indexOf'](_0x222b9b,_0x3126d7[_0x362006])<0x0&&_0x222b9b[_0x135d8d(0x2785)](_0x3126d7[_0x362006]):_0x54be55[_0x135d8d(0x218e)](_0x135d8d(0x2710)+_0x3126d7[_0x362006]+_0x135d8d(0x1144));}return _0x4d043f['contact']={'email':_0x5573be[_0x135d8d(0xbe1)](',')[0x0]},null;}}return _0x54be55[_0x135d8d(0x218e)](_0x135d8d(0x294d)),null;}function _0x1d50b0(_0x2a5cba){const _0x997977=_0x485e58;return _0x3ab6ae&&_0x3ab6ae['ListId']?_0xa603ef['cmContact'][_0x997977(0xbf7)]({'ListId':_0x3ab6ae[_0x997977(0x20a6)],'nolimit':!![],'filter':_0x2a5cba,'fields':_0x997977(0x2771)})[_0x997977(0x1d77)][_0x997977(0x1cb0)](function(_0x435545){const _0x416c59=_0x997977;return _0x435545[_0x416c59(0x2214)];}):[];}function _0x9f31fa(){const _0x1a6429=_0x485e58;_0x4d043f[_0x1a6429(0x155e)][_0x1a6429(0x275)]=!![],_0x4d043f[_0x1a6429(0x1440)]=_0x4ad302[_0x1a6429(0x8b7)](_0x5a840b['id']);const _0x331a38={'account':_0x3ab6ae,'message':_0x4d043f[_0x1a6429(0x155e)],'contact':_0x4d043f[_0x1a6429(0x8b1)],'interaction':_0x5a840b};if(_0x4d043f[_0x1a6429(0x1440)]&&!_0x4d043f[_0x1a6429(0xd34)]){const _0x493f4d=_0x411b0a[_0x1a6429(0x1551)]({'skipHide':!![]})['title'](_0x210e33[_0x1a6429(0x25cc)]('DASHBOARDS.DRAFT_SAVE_WARNING_TITLE'))['content'](_0x210e33[_0x1a6429(0x25cc)]('DASHBOARDS.DRAFT_SAVE_WARNING_MESSAGE'))['ariaLabel'](_0x1a6429(0x29dc))['ok'](_0x210e33[_0x1a6429(0x25cc)](_0x1a6429(0x2977)))[_0x1a6429(0x696)](_0x210e33['instant'](_0x1a6429(0x2768)));_0x411b0a[_0x1a6429(0xe27)](_0x493f4d)['then'](function(){const _0x577f50=_0x1a6429;_0x411b0a[_0x577f50(0x1426)](_0x331a38);});}else _0x411b0a['hide'](_0x331a38);}function _0x13689f(){const _0x44dd47=_0x485e58;_0x411b0a[_0x44dd47(0x696)]();}function _0x519977(_0x22d623){const _0x565f12=_0x485e58,_0x2ba118=/^(([^<>()[\]\\.,;:\s@"]+(\.[^<>()[\]\\.,;:\s@"]+)*)|(".+"))@((\[[0-9]{1,3}\.[0-9]{1,3}\.[0-9]{1,3}\.[0-9]{1,3}\])|(([a-zA-Z\-0-9]+\.)+[a-zA-Z]{2,}))$/;return _0x2ba118[_0x565f12(0x26a1)](_0x22d623);}function _0x5d4b71(_0x4135e9){const _0x1eebf3=/]*>([^]*)<\/body/m,_0x1250ca=_0x4135e9['match'](_0x1eebf3);if(_0x1250ca)return _0x1250ca[0x1];return _0x4135e9;}function _0x274a56(){const _0x488b4a=_0x485e58;if(_0x39641b()[_0x488b4a(0x958)](_0x3ab6ae[_0x488b4a(0x2330)]))return'';try{const _0x21a672=_0x39641b()[_0x488b4a(0x2330)](_0x3ab6ae[_0x488b4a(0x2330)]),_0x2ca3b4={'user':_0x4d043f[_0x488b4a(0xe76)],'account':_0x3ab6ae,'interaction':_0x5a840b,'contact':_0x5a840b&&_0x5a840b[_0x488b4a(0x8d3)]?_0x5a840b[_0x488b4a(0x8d3)]:{}};return _0x21a672(_0x2ca3b4);}catch(_0x5b13ca){return console[_0x488b4a(0x218e)](_0x5b13ca),'';}}function _0x2a6239(){const _0x2e2974=_0x485e58;return _0x2e2974(0x2685)+_0x3ab6ae[_0x2e2974(0x198c)]+_0x2e2974(0x759)+_0x3ab6ae[_0x2e2974(0x41d)]+'pt\x22>'+_0x274a56()+_0x2e2974(0x1461);}function _0x3b0eeb(_0x37e33f){const _0x34170c=_0x485e58;let _0x296867=_0x34170c(0x1b82);switch(_0x37e33f){case _0x34170c(0x134e):case'replyAll':_0x296867+=_0x4d043f['replyTemplate']({'date':_0x543b5a()(_0x5d8849['createdAt'])['format']('D\x20MMM\x20YYYY')||'','time':_0x543b5a()(_0x5d8849[_0x34170c(0x24cb)])[_0x34170c(0x1f31)](_0x34170c(0x1ed6))||'','from':_0x39641b()[_0x34170c(0x20af)](_0x5d8849[_0x34170c(0x174d)])||''});break;case'forward':_0x296867+=_0x4d043f[_0x34170c(0x193b)]({'date':_0x543b5a()(_0x5d8849[_0x34170c(0x24cb)])[_0x34170c(0x1f31)](_0x34170c(0x253c))||'','time':_0x543b5a()(_0x5d8849['createdAt'])[_0x34170c(0x1f31)](_0x34170c(0x1ed6))||'','from':_0x39641b()['escape'](_0x5d8849[_0x34170c(0x174d)])||'','to':_0x39641b()[_0x34170c(0x20af)](_0x5d8849['to'])||'','subject':_0x39641b()[_0x34170c(0x20af)](_0x5d8849[_0x34170c(0x1e5d)])||''});break;}const _0x422a1c=_0x4d043f[_0x34170c(0x155e)][_0x34170c(0x1b17)]&&_0x5d8849[_0x34170c(0x2980)]?_0x5d8849['originalMessage'][_0x34170c(0x1ed9)]:_0x5d8849[_0x34170c(0x1ed9)];return _0x296867+=_0x34170c(0x1fd2),_0x296867+=_0x5d4b71(_0x422a1c?_0x39641b()['replace'](_0x422a1c,_0x34170c(0x11ec),_0x34170c(0x1c29)):''),_0x296867+=_0x34170c(0x7f1),_0x37e33f?_0x296867:'';}function _0x57161f(){const _0x46b012=_0x485e58;_0x4d043f[_0x46b012(0x155e)][_0x46b012(0x1ed9)]+=_0x3b0eeb(_0x4d043f[_0x46b012(0x155e)]['type']);}}const _0x3e476a=_0x42c1f9;;_0x44259a[_0x5537c6(0x15b6)]=[_0x5537c6(0x173),_0x5537c6(0x2168),_0x5537c6(0x1f10),_0x5537c6(0xcb9),_0x5537c6(0x5f0),_0x5537c6(0x1539),_0x5537c6(0x155e),_0x5537c6(0x66a),_0x5537c6(0x142b),_0x5537c6(0x9bf),'$q',_0x5537c6(0x1ae),_0x5537c6(0x1774)];function _0x44259a(_0x397c1b,_0x5ecd10,_0x32cb5f,_0x39d13c,_0x20a381,_0x176fd6,_0x57b29a,_0x29d25f,_0xe67d5f,_0x49c348,_0x30190f,_0x3bdd0b,_0x4b3576){const _0x534f8f=_0x5537c6,_0xaead3e=this;_0xaead3e[_0x534f8f(0xe76)]=_0x4b3576['getCurrentUser'](),_0xaead3e[_0x534f8f(0x1a7c)]=[],_0xaead3e[_0x534f8f(0x25d5)]=[_0x20a381['KEY_CODE'][_0x534f8f(0x1582)],_0x20a381[_0x534f8f(0xefa)]['COMMA'],_0x20a381['KEY_CODE'][_0x534f8f(0xcd5)]],_0xaead3e[_0x534f8f(0x1190)]=_0x5c9a47,_0xaead3e[_0x534f8f(0x2244)]=_0x59883d,_0xaead3e[_0x534f8f(0xbe2)]=_0x780f84,_0xaead3e[_0x534f8f(0x147e)]=_0x26eb21,_0xaead3e['ctrlMethods']={},_0xaead3e[_0x534f8f(0x155e)]={'from':_0x176fd6['phone'],'to':_0x39641b()[_0x534f8f(0x958)](_0x57b29a['to'])||_0x39641b()[_0x534f8f(0xce9)](_0x57b29a['to'])?[]:_0x57b29a['to'],'body':''},_0xaead3e[_0x534f8f(0x199f)]=_0x23d813,_0xaead3e[_0x534f8f(0x1879)]=_0x28c6cc,_0xaead3e[_0x534f8f(0xda0)]=_0xb9470e,_0xaead3e['contactSearch']=_0x248b76,_0xaead3e[_0x534f8f(0x203a)]=_0x27ff43;switch(_0x29d25f){case _0x534f8f(0xbe2):_0xaead3e[_0x534f8f(0xbe2)]();break;}function _0x1a5f3d(_0x5dee40){const _0x325fc9=_0x534f8f;_0x39d13c[_0x325fc9(0x1426)]({'to':_0xaead3e[_0x325fc9(0x155e)]['to']['join'](','),'body':_0x5dee40});}function _0x23d813(){const _0x361440=_0x534f8f;_0xaead3e[_0x361440(0x155e)]['to']&&_0xaead3e[_0x361440(0x155e)][_0x361440(0x1ed9)]&&(_0xaead3e[_0x361440(0x155e)]['to'][_0x361440(0xfd0)]?_0x1a5f3d(_0xaead3e[_0x361440(0x155e)]['body']):_0x49c348[_0x361440(0x218e)]('To\x20is\x20mandatory'));}function _0x59883d(_0x2d8625){const _0x3fd8c8=_0x534f8f;if(_0x2d8625){try{_0x39641b()[_0x3fd8c8(0xc7f)][_0x3fd8c8(0xe08)]=/{{([\s\S]+?)}}/g;const _0xe750b6=_0x39641b()[_0x3fd8c8(0x2330)](_0x2d8625[_0x3fd8c8(0x327)]),_0x1bed6b={'user':_0xaead3e['currentUser'],'account':_0x176fd6};_0xaead3e['message'][_0x3fd8c8(0x1ed9)]+='\x20'+_0xe750b6(_0x1bed6b),_0xaead3e[_0x3fd8c8(0x7df)][_0x3fd8c8(0x1e34)](_0xaead3e[_0x3fd8c8(0x155e)]['body']);}catch(_0x3581b0){_0xaead3e[_0x3fd8c8(0x155e)][_0x3fd8c8(0x1ed9)]+='\x20'+_0x2d8625[_0x3fd8c8(0x327)],_0xaead3e[_0x3fd8c8(0x7df)][_0x3fd8c8(0x1e34)](_0xaead3e[_0x3fd8c8(0x155e)][_0x3fd8c8(0x1ed9)]);}_0xaead3e[_0x3fd8c8(0x5fa)]=null;}}function _0x5c9a47(_0x2efcd4){const _0x29b1fe=_0x534f8f,_0x1d3820=_0x30190f[_0x29b1fe(0x11f4)]();let _0xb65639=[];return _0xe67d5f[_0x29b1fe(0x1c25)][_0x29b1fe(0xbf7)]({'filter':_0x2efcd4,'OpenchannelAccountId':_0x176fd6['id']})[_0x29b1fe(0x1d77)][_0x29b1fe(0x1cb0)](function(_0x14f252){const _0x4dacf9=_0x29b1fe;return _0xb65639=_0x39641b()[_0x4dacf9(0x298a)](_0xb65639,_0x14f252[_0x4dacf9(0x2214)]),_0xe67d5f[_0x4dacf9(0x1c25)][_0x4dacf9(0xbf7)]({'filter':_0x2efcd4})[_0x4dacf9(0x1d77)];})['then'](function(_0x28c1ba){const _0x5bbb02=_0x29b1fe;_0xb65639=_0x39641b()[_0x5bbb02(0x298a)](_0xb65639,_0x39641b()['filter'](_0x28c1ba[_0x5bbb02(0x2214)],function(_0xb2d6a1){const _0x43f22a=_0x5bbb02;return!_0xb2d6a1[_0x43f22a(0x1285)]&&!_0xb2d6a1[_0x43f22a(0x18e9)]&&!_0xb2d6a1['SmsAccountId']&&!_0xb2d6a1[_0x43f22a(0xfc8)]&&!_0xb2d6a1[_0x43f22a(0x1d1e)]&&!_0xb2d6a1[_0x43f22a(0x67c)];})),_0x1d3820[_0x5bbb02(0x19a3)](_0xb65639);})[_0x29b1fe(0x1c4)](function(_0x573072){const _0x37d93f=_0x29b1fe;_0x1d3820[_0x37d93f(0x988)](_0x573072);}),_0x1d3820['promise'];}function _0x28c6cc(_0x18845a){const _0x366e1f=_0x534f8f;let _0x46f9c0='';return _0x18845a[_0x366e1f(0x1491)]&&_0x18845a[_0x366e1f(0x1491)]!==_0x18845a[_0x176fd6[_0x366e1f(0x635)]]&&(_0x46f9c0+=_0x18845a[_0x366e1f(0x1491)]+'\x20'),_0x18845a[_0x366e1f(0x1fbb)]&&_0x18845a['lastName']!==_0x18845a[_0x176fd6['mapKey']]&&(_0x46f9c0+=_0x18845a[_0x366e1f(0x1fbb)]+'\x20'),_0x46f9c0+='<'+_0x18845a[_0x176fd6[_0x366e1f(0x635)]]+'>';}function _0x27ff43(_0x510895){const _0x34ac43=_0x534f8f,_0xa4fb60=_0x510895;if(_0x39641b()[_0x34ac43(0x1b5c)](_0xa4fb60))return _0x510895[_0x176fd6['mapKey']];return _0xa4fb60;}function _0x248b76(_0x57511f){const _0x43441b=_0x534f8f;if(_0x176fd6&&_0x176fd6[_0x43441b(0x20a6)]){let _0x25955e=_0x43441b(0x1dda);return _0x176fd6[_0x43441b(0x635)]&&(_0x25955e+=','+_0x176fd6[_0x43441b(0x635)]),_0xe67d5f[_0x43441b(0xbe7)][_0x43441b(0xbf7)]({'ListId':_0x176fd6[_0x43441b(0x20a6)],'nolimit':!![],'filter':_0x57511f,'fields':_0x25955e})['$promise'][_0x43441b(0x1cb0)](function(_0x29ae6e){const _0x130c41=_0x43441b;return _0x29ae6e[_0x130c41(0x2214)];});}return[];}function _0xb9470e(){_0x39d13c['cancel']();}function _0x780f84(){const _0x29683d=_0x534f8f;_0xaead3e[_0x29683d(0x1105)]=!![];}function _0x26eb21(){const _0x3eb475=_0x534f8f;_0xaead3e[_0x3eb475(0x155e)][_0x3eb475(0x1ed9)]&&_0x39d13c[_0x3eb475(0x1426)]({'secret':!![],'body':_0xaead3e[_0x3eb475(0x155e)][_0x3eb475(0x1ed9)]});}}const _0x22c083=_0x44259a;;_0x1812b0[_0x5537c6(0x15b6)]=[_0x5537c6(0x173),_0x5537c6(0x2168),_0x5537c6(0x1f10),_0x5537c6(0xcb9),_0x5537c6(0x5f0),_0x5537c6(0x1539),'message',_0x5537c6(0x66a),_0x5537c6(0x142b),_0x5537c6(0x9bf),'$q',_0x5537c6(0x1ae),_0x5537c6(0x1774)];function _0x1812b0(_0x33cad5,_0x28a647,_0x330673,_0x35b52c,_0x2f59a7,_0x248103,_0x8bd474,_0x36a013,_0x5700b0,_0x255e61,_0x338a23,_0x46be4f,_0x5ac3bb){const _0x1f22cd=_0x5537c6,_0x45c80b=this;_0x45c80b[_0x1f22cd(0xe76)]=_0x5ac3bb[_0x1f22cd(0x21e8)](),_0x45c80b[_0x1f22cd(0x1a7c)]=[],_0x45c80b[_0x1f22cd(0x25d5)]=[_0x2f59a7[_0x1f22cd(0xefa)][_0x1f22cd(0x1582)],_0x2f59a7[_0x1f22cd(0xefa)][_0x1f22cd(0x1247)],_0x2f59a7['KEY_CODE'][_0x1f22cd(0xcd5)]],_0x45c80b[_0x1f22cd(0x1190)]=_0x481f1c,_0x45c80b[_0x1f22cd(0x2244)]=_0x5600e7,_0x45c80b[_0x1f22cd(0xbe2)]=_0x3a40d5,_0x45c80b['addNote']=_0x5494c7,_0x45c80b['ctrlMethods']={},_0x45c80b['message']={'from':_0x248103[_0x1f22cd(0x1340)],'to':_0x39641b()['isNil'](_0x8bd474['to'])||_0x39641b()[_0x1f22cd(0xce9)](_0x8bd474['to'])?[]:_0x8bd474['to'],'body':''},_0x45c80b[_0x1f22cd(0x199f)]=_0x1d7a7c,_0x45c80b['getContact']=_0x2671ca,_0x45c80b[_0x1f22cd(0xda0)]=_0x4948a6,_0x45c80b[_0x1f22cd(0x980)]=_0x442972,_0x45c80b['transformContact']=_0x3b57f2;switch(_0x36a013){case _0x1f22cd(0xbe2):_0x45c80b[_0x1f22cd(0xbe2)]();break;}function _0xf13117(_0x4969e6){const _0x33e5c2=_0x1f22cd;_0x35b52c['hide']({'to':_0x45c80b[_0x33e5c2(0x155e)]['to'][_0x33e5c2(0x1f66)](','),'body':_0x4969e6});}function _0x1d7a7c(){const _0x2d3bb8=_0x1f22cd;_0x45c80b['message']['to']&&_0x45c80b[_0x2d3bb8(0x155e)]['body']&&(_0x45c80b[_0x2d3bb8(0x155e)]['to'][_0x2d3bb8(0xfd0)]?_0xf13117(_0x45c80b[_0x2d3bb8(0x155e)][_0x2d3bb8(0x1ed9)]):_0x255e61['error'](_0x2d3bb8(0x1e9e)));}function _0x5600e7(_0x33baf5){const _0x25cb9e=_0x1f22cd;if(_0x33baf5){try{_0x39641b()['templateSettings'][_0x25cb9e(0xe08)]=/{{([\s\S]+?)}}/g;const _0x88ae56=_0x39641b()[_0x25cb9e(0x2330)](_0x33baf5['value']),_0x1daf82={'user':_0x45c80b[_0x25cb9e(0xe76)],'account':_0x248103};_0x45c80b[_0x25cb9e(0x155e)][_0x25cb9e(0x1ed9)]+='\x20'+_0x88ae56(_0x1daf82),_0x45c80b[_0x25cb9e(0x7df)]['setText'](_0x45c80b[_0x25cb9e(0x155e)]['body']);}catch(_0x23325f){_0x45c80b[_0x25cb9e(0x155e)][_0x25cb9e(0x1ed9)]+='\x20'+_0x33baf5[_0x25cb9e(0x327)],_0x45c80b[_0x25cb9e(0x7df)][_0x25cb9e(0x1e34)](_0x45c80b[_0x25cb9e(0x155e)][_0x25cb9e(0x1ed9)]);}_0x45c80b['selectedItem']=null;}}function _0x481f1c(_0x37bfce){const _0x303714=_0x1f22cd,_0x11ac8f=_0x338a23[_0x303714(0x11f4)]();let _0x44fb33=[];return _0x5700b0['cannedAnswer'][_0x303714(0xbf7)]({'filter':_0x37bfce,'SmsAccountId':_0x248103['id']})[_0x303714(0x1d77)][_0x303714(0x1cb0)](function(_0x57fa87){const _0x383ae4=_0x303714;return _0x44fb33=_0x39641b()[_0x383ae4(0x298a)](_0x44fb33,_0x57fa87['rows']),_0x5700b0[_0x383ae4(0x1c25)][_0x383ae4(0xbf7)]({'filter':_0x37bfce})[_0x383ae4(0x1d77)];})[_0x303714(0x1cb0)](function(_0x5a73a1){const _0x2e0d4d=_0x303714;_0x44fb33=_0x39641b()[_0x2e0d4d(0x298a)](_0x44fb33,_0x39641b()['filter'](_0x5a73a1[_0x2e0d4d(0x2214)],function(_0x148ed4){const _0x2b68da=_0x2e0d4d;return!_0x148ed4[_0x2b68da(0x1285)]&&!_0x148ed4[_0x2b68da(0x18e9)]&&!_0x148ed4['SmsAccountId']&&!_0x148ed4[_0x2b68da(0x1d1e)]&&!_0x148ed4['ChatWebsiteId'];})),_0x11ac8f['resolve'](_0x44fb33);})[_0x303714(0x1c4)](function(_0xd4119c){const _0x4e4739=_0x303714;_0x11ac8f[_0x4e4739(0x988)](_0xd4119c);}),_0x11ac8f[_0x303714(0x2061)];}function _0x2671ca(_0x49b8d8){const _0x3a3703=_0x1f22cd;let _0x431899='';return _0x49b8d8[_0x3a3703(0x1491)]&&_0x49b8d8[_0x3a3703(0x1491)]!==_0x49b8d8[_0x3a3703(0x1ce6)]&&(_0x431899+=_0x49b8d8['firstName']+'\x20'),_0x49b8d8['lastName']&&_0x49b8d8['lastName']!==_0x49b8d8[_0x3a3703(0x1ce6)]&&(_0x431899+=_0x49b8d8[_0x3a3703(0x1fbb)]+'\x20'),_0x431899+='<'+_0x49b8d8[_0x3a3703(0x1ce6)]+'>';}function _0x3b57f2(_0x870cd4){const _0x1d5f0a=_0x1f22cd,_0x5b7092=_0x870cd4;if(_0x39641b()[_0x1d5f0a(0x1b5c)](_0x5b7092))return _0x870cd4[_0x1d5f0a(0x1ce6)];if(_0x45a96a(_0x5b7092))return _0x5b7092;return _0x255e61[_0x1d5f0a(0x218e)](_0x1d5f0a(0x140d)+_0x5b7092+_0x1d5f0a(0x1144)),null;}function _0x442972(_0x4cafdf){const _0x2888e7=_0x1f22cd;if(_0x248103&&_0x248103[_0x2888e7(0x20a6)])return _0x5700b0[_0x2888e7(0xbe7)][_0x2888e7(0xbf7)]({'ListId':_0x248103[_0x2888e7(0x20a6)],'nolimit':!![],'filter':_0x4cafdf,'fields':_0x2888e7(0x78d)})[_0x2888e7(0x1d77)][_0x2888e7(0x1cb0)](function(_0x243a1c){return _0x243a1c['rows'];});return[];}function _0x4948a6(){_0x35b52c['cancel']();}function _0x45a96a(_0x529ff3){const _0x2910b6=_0x1f22cd,_0x5b5e67=/^(\+|\d)[0-9]{7,16}$/;return _0x5b5e67[_0x2910b6(0x26a1)](_0x529ff3);}function _0x3a40d5(){const _0x51a653=_0x1f22cd;_0x45c80b[_0x51a653(0x1105)]=!![];}function _0x5494c7(){const _0x424715=_0x1f22cd;_0x45c80b[_0x424715(0x155e)][_0x424715(0x1ed9)]&&_0x35b52c['hide']({'secret':!![],'body':_0x45c80b['message']['body']});}}const _0xe23721=_0x1812b0;;_0x320624[_0x5537c6(0x15b6)]=[_0x5537c6(0x173),_0x5537c6(0x2168),_0x5537c6(0x1f10),_0x5537c6(0xcb9),'$mdConstant',_0x5537c6(0x1539),_0x5537c6(0x155e),_0x5537c6(0x66a),'api',_0x5537c6(0x9bf),'$q',_0x5537c6(0x1ae),'Auth'];function _0x320624(_0x476ebf,_0x5062a9,_0x2c6588,_0x1c240a,_0x3302b9,_0x479752,_0x5403b0,_0x1452ca,_0x4b10cb,_0x3f87a5,_0x11288f,_0x5ba14d,_0x400944){const _0x63f3e1=_0x5537c6,_0x5bfe4a=this;_0x5bfe4a[_0x63f3e1(0xe76)]=_0x400944['getCurrentUser'](),_0x5bfe4a['errors']=[],_0x5bfe4a[_0x63f3e1(0x25d5)]=[_0x3302b9[_0x63f3e1(0xefa)]['ENTER'],_0x3302b9['KEY_CODE'][_0x63f3e1(0x1247)],_0x3302b9['KEY_CODE'][_0x63f3e1(0xcd5)]],_0x5bfe4a[_0x63f3e1(0x1190)]=_0x279ba2,_0x5bfe4a['selectedItemChange']=_0x4a785b,_0x5bfe4a[_0x63f3e1(0xbe2)]=_0x426c37,_0x5bfe4a[_0x63f3e1(0x147e)]=_0x57946e,_0x5bfe4a['ctrlMethods']={},_0x5bfe4a[_0x63f3e1(0x155e)]={'from':_0x479752[_0x63f3e1(0x1340)],'to':_0x39641b()['isNil'](_0x5403b0['to'])||_0x39641b()[_0x63f3e1(0xce9)](_0x5403b0['to'])?[]:_0x5403b0['to'],'body':''},_0x5bfe4a[_0x63f3e1(0x199f)]=_0x3fe5e1,_0x5bfe4a['getContact']=_0x41a938,_0x5bfe4a['closeDialog']=_0x3a0cf4,_0x5bfe4a[_0x63f3e1(0x980)]=_0xd6eb49,_0x5bfe4a[_0x63f3e1(0x203a)]=_0x4a65b1;switch(_0x1452ca){case _0x63f3e1(0xbe2):_0x5bfe4a['note']();break;}function _0x4fa9e7(_0x19eb44){const _0x41807d=_0x63f3e1;_0x1c240a[_0x41807d(0x1426)]({'to':_0x5bfe4a[_0x41807d(0x155e)]['to'][_0x41807d(0x1f66)](','),'body':_0x19eb44});}function _0x3fe5e1(){const _0xf4911f=_0x63f3e1;_0x5bfe4a[_0xf4911f(0x155e)]['to']&&_0x5bfe4a['message'][_0xf4911f(0x1ed9)]&&(_0x5bfe4a['message']['to'][_0xf4911f(0xfd0)]?_0x4fa9e7(_0x5bfe4a['message']['body']):_0x3f87a5[_0xf4911f(0x218e)]('To\x20is\x20mandatory'));}function _0x4a785b(_0x43c67e){const _0x4f7c40=_0x63f3e1;if(_0x43c67e){try{_0x39641b()[_0x4f7c40(0xc7f)][_0x4f7c40(0xe08)]=/{{([\s\S]+?)}}/g;const _0x2b692c=_0x39641b()[_0x4f7c40(0x2330)](_0x43c67e[_0x4f7c40(0x327)]),_0x50a58f={'user':_0x5bfe4a[_0x4f7c40(0xe76)],'account':_0x479752};_0x5bfe4a[_0x4f7c40(0x155e)][_0x4f7c40(0x1ed9)]+='\x20'+_0x2b692c(_0x50a58f),_0x5bfe4a[_0x4f7c40(0x7df)][_0x4f7c40(0x1e34)](_0x5bfe4a[_0x4f7c40(0x155e)][_0x4f7c40(0x1ed9)]);}catch(_0x22d5b9){_0x5bfe4a[_0x4f7c40(0x155e)]['body']+='\x20'+_0x43c67e[_0x4f7c40(0x327)],_0x5bfe4a[_0x4f7c40(0x7df)][_0x4f7c40(0x1e34)](_0x5bfe4a[_0x4f7c40(0x155e)][_0x4f7c40(0x1ed9)]);}_0x5bfe4a[_0x4f7c40(0x5fa)]=null;}}function _0x279ba2(_0x17bad7){const _0x5331c4=_0x63f3e1,_0x3ff815=_0x11288f[_0x5331c4(0x11f4)]();let _0x4655c8=[];return _0x4b10cb[_0x5331c4(0x1c25)][_0x5331c4(0xbf7)]({'filter':_0x17bad7,'WhatsappAccountId':_0x479752['id']})[_0x5331c4(0x1d77)]['then'](function(_0x6200d0){const _0x3a4ca0=_0x5331c4;return _0x4655c8=_0x39641b()[_0x3a4ca0(0x298a)](_0x4655c8,_0x6200d0['rows']),_0x4b10cb[_0x3a4ca0(0x1c25)][_0x3a4ca0(0xbf7)]({'filter':_0x17bad7})[_0x3a4ca0(0x1d77)];})[_0x5331c4(0x1cb0)](function(_0x223b3e){const _0x4d948f=_0x5331c4;_0x4655c8=_0x39641b()[_0x4d948f(0x298a)](_0x4655c8,_0x39641b()[_0x4d948f(0x1c99)](_0x223b3e[_0x4d948f(0x2214)],function(_0x3bfefb){const _0x89847c=_0x4d948f;return!_0x3bfefb['MailAccountId']&&!_0x3bfefb[_0x89847c(0x18e9)]&&!_0x3bfefb[_0x89847c(0xfc8)]&&!_0x3bfefb[_0x89847c(0x1d1e)]&&!_0x3bfefb[_0x89847c(0x67c)];})),_0x3ff815[_0x4d948f(0x19a3)](_0x4655c8);})[_0x5331c4(0x1c4)](function(_0xb6830f){const _0x20b509=_0x5331c4;_0x3ff815[_0x20b509(0x988)](_0xb6830f);}),_0x3ff815[_0x5331c4(0x2061)];}function _0x41a938(_0x3bc439){const _0x1225e6=_0x63f3e1;let _0x358762='';return _0x3bc439[_0x1225e6(0x1491)]&&_0x3bc439['firstName']!==_0x3bc439[_0x1225e6(0x1ce6)]&&(_0x358762+=_0x3bc439[_0x1225e6(0x1491)]+'\x20'),_0x3bc439['lastName']&&_0x3bc439[_0x1225e6(0x1fbb)]!==_0x3bc439[_0x1225e6(0x1ce6)]&&(_0x358762+=_0x3bc439[_0x1225e6(0x1fbb)]+'\x20'),_0x358762+='<'+_0x3bc439[_0x1225e6(0x1ce6)]+'>';}function _0x4a65b1(_0x49b878){const _0x145c00=_0x63f3e1,_0x4d982c=_0x49b878;if(_0x39641b()[_0x145c00(0x1b5c)](_0x4d982c))return _0x49b878[_0x145c00(0x1ce6)];if(_0x1bc70d(_0x4d982c))return _0x4d982c;return _0x3f87a5[_0x145c00(0x218e)](_0x145c00(0x140d)+_0x4d982c+'\x20is\x20not\x20valid'),null;}function _0xd6eb49(_0x430c72){const _0x46c314=_0x63f3e1;if(_0x479752&&_0x479752['ListId'])return _0x4b10cb[_0x46c314(0xbe7)][_0x46c314(0xbf7)]({'ListId':_0x479752[_0x46c314(0x20a6)],'nolimit':!![],'filter':_0x430c72,'fields':_0x46c314(0x78d)})[_0x46c314(0x1d77)][_0x46c314(0x1cb0)](function(_0x388bd3){const _0x3d0d49=_0x46c314;return _0x388bd3[_0x3d0d49(0x2214)];});return[];}function _0x3a0cf4(){const _0x504cf7=_0x63f3e1;_0x1c240a[_0x504cf7(0x696)]();}function _0x1bc70d(_0xbf2268){const _0x3f3686=_0x63f3e1,_0x444ea8=/^(\+|\d)[0-9]{7,16}$/;return _0x444ea8[_0x3f3686(0x26a1)](_0xbf2268);}function _0x426c37(){const _0x324861=_0x63f3e1;_0x5bfe4a[_0x324861(0x1105)]=!![];}function _0x57946e(){const _0x1fc0d6=_0x63f3e1;_0x5bfe4a[_0x1fc0d6(0x155e)][_0x1fc0d6(0x1ed9)]&&_0x1c240a[_0x1fc0d6(0x1426)]({'secret':!![],'body':_0x5bfe4a[_0x1fc0d6(0x155e)]['body']});}}const _0x5f5c57=_0x320624;;_0x5f2c5d['$inject']=[_0x5537c6(0xcb9),_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x10e4),_0x5537c6(0x1072),'user',_0x5537c6(0x1b68)];function _0x5f2c5d(_0x63b031,_0x7cf85,_0x2afea1,_0x481320,_0x48e62a,_0x45436d,_0x1166fc){const _0x427d32=_0x5537c6,_0x229ce6=this;_0x229ce6[_0x427d32(0x1a7c)]=[],_0x229ce6[_0x427d32(0xdf2)]=[],_0x229ce6[_0x427d32(0x10e4)]=angular[_0x427d32(0x17fe)](_0x481320);!_0x229ce6['interaction'][_0x427d32(0x1746)]&&(_0x229ce6[_0x427d32(0x10e4)][_0x427d32(0x1746)]=null);_0x229ce6['channel']=_0x48e62a,_0x229ce6['apiRoute']=_0x229ce6['channel']+'Interaction',_0x229ce6['apiAccountRoute']=_0x229ce6['channel']+(_0x229ce6[_0x427d32(0x1072)]===_0x427d32(0x174c)?'Website':_0x427d32(0x1649)),_0x229ce6['accountProperty']=_0x39641b()[_0x427d32(0x432)](_0x229ce6['channel'])+(_0x229ce6[_0x427d32(0x1072)]===_0x427d32(0x174c)?_0x427d32(0x11aa):_0x427d32(0x1649))+'Id',_0x229ce6['firstLevelDisposition']=null,_0x229ce6[_0x427d32(0x1935)]=![],_0x229ce6[_0x427d32(0x12a0)]='',_0x229ce6[_0x427d32(0x5c8)]=_0x45436d[_0x427d32(0x2478)]||_0x45436d['chatPause']||_0x45436d[_0x427d32(0x2035)]||_0x45436d[_0x427d32(0x1c7d)]||_0x45436d['faxPause'],_0x229ce6['onDispositionChange']=_0x3f387e,_0x229ce6[_0x427d32(0x1a49)]=_0x449927,_0x229ce6[_0x427d32(0x1471)]=_0x2692de,_0x229ce6[_0x427d32(0xda0)]=_0x2d36da;function _0xc62b98(){const _0x3f6ce2=_0x427d32;let _0x467c5d=[];const _0x18e021={'fields':_0x3f6ce2(0x2999),'sort':_0x3f6ce2(0x16b6),'nolimit':!![]};_0x18e021[_0x229ce6['accountProperty']]=_0x481320[_0x229ce6[_0x3f6ce2(0x1738)]],_0x2afea1[_0x229ce6[_0x3f6ce2(0xd4b)]]['get']({'id':_0x481320[_0x229ce6[_0x3f6ce2(0x1738)]]})['$promise'][_0x3f6ce2(0x1cb0)](function(_0x34d432){const _0x6c649f=_0x3f6ce2;return _0x229ce6[_0x6c649f(0x1935)]=_0x34d432[_0x6c649f(0x1935)],_0x229ce6[_0x6c649f(0x1935)]&&(_0x229ce6['mandatoryDispositionPause']=_0x34d432[_0x6c649f(0x12a0)][_0x6c649f(0x16b6)]),_0x2afea1[_0x6c649f(0x1746)][_0x6c649f(0xbf7)](_0x18e021)['$promise'];})[_0x3f6ce2(0x1cb0)](function(_0xfdd15d){const _0x5415bb=_0x3f6ce2;return _0xfdd15d[_0x5415bb(0x2214)][_0x5415bb(0xfd0)]&&(_0x467c5d=_0x39641b()[_0x5415bb(0x298a)](_0x467c5d,_0xfdd15d[_0x5415bb(0x2214)])),_0x2afea1[_0x5415bb(0x1746)][_0x5415bb(0xbf7)]({'MailAccountId':_0x5415bb(0xd38),'FaxAccountId':_0x5415bb(0xd38),'SmsAccountId':'null','WhatsappAccountId':_0x5415bb(0xd38),'OpenchannelAccountId':'null','ChatWebsiteId':'null','ListId':_0x5415bb(0xd38)})['$promise'];})[_0x3f6ce2(0x1cb0)](function(_0x396e0f){const _0x33932f=_0x3f6ce2;_0x396e0f[_0x33932f(0x2214)][_0x33932f(0xfd0)]&&(_0x467c5d=_0x39641b()[_0x33932f(0x298a)](_0x467c5d,_0x396e0f['rows']));})['catch'](function(_0x446a81){console['error'](_0x446a81);})['finally'](function(){const _0x39b2b7=_0x3f6ce2;_0x229ce6[_0x39b2b7(0xdf2)]=_0x39641b()[_0x39b2b7(0x17ca)](_0x467c5d,[_0x39b2b7(0x16b6)],[_0x39b2b7(0x24cc)]),_0x535eb3();});}function _0x535eb3(){const _0xe67370=_0x427d32;_0x229ce6['mandatoryDisposition']&&!_0x229ce6['wasUserInPause']&&_0x2afea1['user'][_0xe67370(0x785)]({'id':_0x45436d['id'],'type':_0x229ce6[_0xe67370(0x12a0)]||_0xe67370(0x12c2)})[_0xe67370(0x1d77)][_0xe67370(0x1cb0)](function(){})[_0xe67370(0x1c4)](function(_0x35ea64){const _0xf6570e=_0xe67370;console[_0xf6570e(0x218e)](_0x35ea64);});}function _0x3f387e(_0x3f16a4){const _0x18490a=_0x427d32;if(_0x3f16a4==='first'){_0x229ce6[_0x18490a(0x18fe)]=_0x229ce6[_0x18490a(0x8ba)]?_0x39641b()['some'](_0x229ce6['dispositions'],[_0x18490a(0x55e),_0x229ce6[_0x18490a(0x8ba)]['id']]):![];if(!_0x229ce6[_0x18490a(0x8ba)])_0x229ce6[_0x18490a(0x1aeb)]=undefined;}else _0x3f16a4==='second'&&(_0x229ce6[_0x18490a(0x1d98)]=_0x39641b()[_0x18490a(0x727)](_0x229ce6[_0x18490a(0xdf2)],['ParentId',_0x229ce6[_0x18490a(0x1aeb)]['id']]));}function _0x449927(_0x16579d){const _0x34ec1f=_0x427d32;if(_0x16579d===_0x34ec1f(0x1e82))_0x229ce6[_0x34ec1f(0x1aeb)]=undefined,_0x229ce6[_0x34ec1f(0x20cf)]=undefined;else _0x16579d===_0x34ec1f(0x1dd0)&&(_0x229ce6[_0x34ec1f(0x20cf)]=undefined);}function _0x2692de(){const _0x2558f6=_0x427d32;_0x229ce6['errors']=[],_0x229ce6[_0x2558f6(0x10e4)][_0x2558f6(0x21ab)]=_0x45436d['id'],_0x229ce6['interaction'][_0x2558f6(0x22aa)]=!![],_0x229ce6[_0x2558f6(0x10e4)][_0x2558f6(0xbd2)]=_0x2558f6(0x1eff),_0x229ce6[_0x2558f6(0x10e4)][_0x2558f6(0x1746)]=_0x229ce6[_0x2558f6(0x8ba)]?_0x229ce6[_0x2558f6(0x8ba)][_0x2558f6(0x16b6)]:null,_0x229ce6[_0x2558f6(0x10e4)][_0x2558f6(0x15b4)]=_0x229ce6['secondLevelDisposition']?_0x229ce6['secondLevelDisposition'][_0x2558f6(0x16b6)]:undefined,_0x229ce6[_0x2558f6(0x10e4)][_0x2558f6(0x24fc)]=_0x229ce6['thirdLevelDisposition']?_0x229ce6['thirdLevelDisposition']['name']:undefined,_0x2afea1[_0x229ce6[_0x2558f6(0x2847)]]?_0x2afea1[_0x229ce6[_0x2558f6(0x2847)]][_0x2558f6(0x687)](_0x39641b()[_0x2558f6(0x169b)](_0x229ce6['interaction'],[_0x2558f6(0x1285),'FaxAccountId','SmsAccountId',_0x2558f6(0xfc8),'ChatWebsiteId','OpenchannelAccountId',_0x2558f6(0x22aa),_0x2558f6(0xbd2),_0x2558f6(0x1746),'secondDisposition',_0x2558f6(0x24fc),'id',_0x2558f6(0xbe2),_0x2558f6(0x21ab)]))[_0x2558f6(0x1d77)][_0x2558f6(0x1cb0)](function(_0x546236){const _0x28e127=_0x2558f6;_0x229ce6['interaction']=_0x546236,_0x229ce6[_0x28e127(0x1072)]===_0x28e127(0x2651)&&_0x1166fc[_0x28e127(0x13bc)](_0x229ce6[_0x28e127(0x10e4)]['id']),_0x7cf85[_0x28e127(0x829)]({'title':_0x28e127(0x284b),'msg':_0x28e127(0x7c1)});})[_0x2558f6(0x1cb0)](function(){_0x2d36da(!![]);})[_0x2558f6(0x1c4)](function(_0x2adcc3){const _0x4c8572=_0x2558f6;if(_0x2adcc3['data']&&_0x2adcc3[_0x4c8572(0x25c)][_0x4c8572(0x1a7c)]&&_0x2adcc3[_0x4c8572(0x25c)][_0x4c8572(0x1a7c)][_0x4c8572(0xfd0)]){_0x229ce6[_0x4c8572(0x1a7c)]=_0x2adcc3['data']['errors']||[{'message':_0x2adcc3['toString'](),'type':_0x4c8572(0xd23)}];for(let _0x543181=0x0;_0x543181<_0x2adcc3['data'][_0x4c8572(0x1a7c)][_0x4c8572(0xfd0)];_0x543181++){_0x7cf85[_0x4c8572(0x218e)]({'title':_0x2adcc3['data']['errors'][_0x543181]['type'],'msg':_0x2adcc3[_0x4c8572(0x25c)][_0x4c8572(0x1a7c)][_0x543181]['message']});}}else _0x7cf85[_0x4c8572(0x218e)]({'title':_0x2adcc3[_0x4c8572(0x291)]?_0x4c8572(0xeb9)+_0x2adcc3[_0x4c8572(0x291)]+_0x4c8572(0x1657)+_0x2adcc3[_0x4c8572(0xc22)]:'api.variable.update','msg':_0x2adcc3[_0x4c8572(0x25c)]?JSON[_0x4c8572(0x2701)](_0x2adcc3[_0x4c8572(0x25c)]['message']):_0x2adcc3[_0x4c8572(0x147f)]()});}):_0x2d36da(![]);}function _0x2d36da(_0xbc7aca){const _0x584c98=_0x427d32;_0x63b031[_0x584c98(0x1426)](_0xbc7aca);}_0xc62b98();}const _0x1f4033=_0x5f2c5d;;_0x1d2296['$inject']=[_0x5537c6(0xcb9),_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x10e4),'channel',_0x5537c6(0xfbf)];function _0x1d2296(_0xa777af,_0x36e3d5,_0x29de5d,_0x2ef0df,_0x45ab98,_0x32f7be){const _0x211d95=_0x5537c6,_0x1fb175=this;_0x1fb175[_0x211d95(0x1a7c)]=[],_0x1fb175[_0x211d95(0xfbf)]=_0x32f7be,_0x1fb175[_0x211d95(0x10e4)]=angular[_0x211d95(0x17fe)](_0x2ef0df),_0x1fb175[_0x211d95(0x10e4)][_0x211d95(0x190)]=_0x39641b()[_0x211d95(0x1de2)](_0x1fb175[_0x211d95(0x10e4)]['Tags'],function(_0x179df5){const _0x3acd60=_0x211d95;return{'id':_0x179df5['id'],'name':_0x179df5[_0x3acd60(0x16b6)],'color':_0x179df5[_0x3acd60(0x28b8)]};}),_0x1fb175[_0x211d95(0x1072)]=_0x45ab98,_0x1fb175['autocompleteRequireMatch']=!![],_0x1fb175[_0x211d95(0xea4)]=_0x441516,_0x1fb175['closeDialog']=_0x4aae74,_0x1fb175[_0x211d95(0x2847)]=_0x1fb175[_0x211d95(0x1072)]+_0x211d95(0x2735);function _0x441516(){const _0x43ea1b=_0x211d95;_0x1fb175[_0x43ea1b(0x1a7c)]=[];if(_0x29de5d[_0x1fb175[_0x43ea1b(0x2847)]])return _0x29de5d[_0x1fb175[_0x43ea1b(0x2847)]]['addTags']({'id':_0x1fb175[_0x43ea1b(0x10e4)]['id'],'ids':_0x39641b()['map'](_0x1fb175[_0x43ea1b(0x10e4)][_0x43ea1b(0x190)],'id')})[_0x43ea1b(0x1d77)][_0x43ea1b(0x1cb0)](function(){const _0x5c6cb7=_0x43ea1b;_0x36e3d5[_0x5c6cb7(0x829)]({'title':_0x5c6cb7(0x685),'msg':_0x5c6cb7(0x1006)}),_0x2ef0df[_0x5c6cb7(0x190)]=_0x1fb175[_0x5c6cb7(0x10e4)]['Tags'],_0x4aae74(!![]);})[_0x43ea1b(0x1c4)](function(_0x4cdbe9){const _0x57bc2f=_0x43ea1b;if(_0x4cdbe9[_0x57bc2f(0x25c)]&&_0x4cdbe9[_0x57bc2f(0x25c)]['errors']&&_0x4cdbe9['data'][_0x57bc2f(0x1a7c)]['length'])for(let _0x31c500=0x0;_0x31c500<_0x4cdbe9[_0x57bc2f(0x25c)][_0x57bc2f(0x1a7c)]['length'];_0x31c500+=0x1){_0x36e3d5['error']({'title':_0x4cdbe9[_0x57bc2f(0x25c)][_0x57bc2f(0x1a7c)][_0x31c500][_0x57bc2f(0x66a)],'msg':_0x4cdbe9[_0x57bc2f(0x25c)][_0x57bc2f(0x1a7c)][_0x31c500]['message']});}else _0x36e3d5[_0x57bc2f(0x218e)]({'title':_0x4cdbe9['status']?_0x57bc2f(0xeb9)+_0x4cdbe9[_0x57bc2f(0x291)]+_0x57bc2f(0x1657)+_0x4cdbe9[_0x57bc2f(0xc22)]:_0x57bc2f(0xd23),'msg':_0x4cdbe9[_0x57bc2f(0x25c)]?JSON[_0x57bc2f(0x2701)](_0x4cdbe9[_0x57bc2f(0x25c)]['message']):_0x4cdbe9[_0x57bc2f(0x147f)]()});});else _0x4aae74(![]);}function _0x4aae74(_0x178c3b){const _0x55d868=_0x211d95;_0xa777af[_0x55d868(0x1426)](_0x178c3b);}}const _0x387f77=_0x1d2296;;_0x5e52e7[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$timeout',_0x5537c6(0x1774),_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x1072),_0x5537c6(0x155e),_0x5537c6(0x1539)];function _0x5e52e7(_0x4c748f,_0x121590,_0x2d1619,_0x4de1a4,_0x31bcb2,_0x58974b,_0x8c82ca,_0x519146){const _0x5eda88=_0x5537c6,_0x1c98b2=this;_0x1c98b2['currentUser']=_0x2d1619[_0x5eda88(0x21e8)](),_0x1c98b2[_0x5eda88(0x1072)]=_0x58974b[_0x5eda88(0x1680)](),_0x1c98b2[_0x5eda88(0x155e)]=_0x8c82ca,_0x1c98b2['account']=_0x519146,_0x1c98b2[_0x5eda88(0x29b3)]={'id':0x0,'type':_0x5eda88(0x11cf)},_0x1c98b2['queues']={'count':0x0,'rows':[]},_0x1c98b2[_0x5eda88(0xc12)]=_0x1c98b2[_0x5eda88(0x971)],_0x1c98b2[_0x5eda88(0xbcb)]=_0x1c98b2['channel']+_0x5eda88(0x2687),_0x1c98b2[_0x5eda88(0xec8)]=_0x1c98b2[_0x5eda88(0x1072)]+_0x5eda88(0x13a5),_0x1c98b2['onTransfer']=_0x450a21,_0x1c98b2[_0x5eda88(0xda0)]=_0x17d160,_0x1c98b2[_0x5eda88(0x1a8e)]=_0x1a701e,_0x1c98b2[_0x5eda88(0x1a8e)]();function _0x1a701e(){const _0x8f5d85=_0x5eda88;return _0x4de1a4[_0x8f5d85(0xebe)][_0x8f5d85(0xbf7)]({'fields':_0x8f5d85(0x43c),'role':'agent','sort':'name','nolimit':!![]})['$promise'][_0x8f5d85(0x1cb0)](function(_0x4d1aa0){const _0x46db8e=_0x8f5d85;return _0x1c98b2[_0x46db8e(0xc12)]=_0x4d1aa0||{'count':0x0,'rows':[]},_0x4de1a4[_0x1c98b2[_0x46db8e(0xbcb)]][_0x46db8e(0xbf7)]({'fields':'id,name','sort':'name','nolimit':!![]})[_0x46db8e(0x1d77)];})[_0x8f5d85(0x1cb0)](function(_0x51a87b){const _0xb275bd=_0x8f5d85;_0x1c98b2[_0xb275bd(0x971)]=_0x51a87b||{'count':0x0,'rows':[]};})[_0x8f5d85(0x1c4)](function(_0xf49592){console['error'](_0xf49592);});}function _0x17d160(_0x84bdec){_0x4c748f['hide'](_0x84bdec);}function _0x450a21(){_0x17d160(!![]),_0x121590(function(){const _0x58dcdf=a0_0x5cbd;return _0x4de1a4[_0x58dcdf(0x1a00)][_0x1c98b2[_0x58dcdf(0x29b3)][_0x58dcdf(0x66a)]==='agent'?_0x58dcdf(0x3e5):_0x1c98b2['queueNotifyMethod']]({'id':_0x1c98b2[_0x58dcdf(0x29b3)]['id'],'messageId':_0x1c98b2[_0x58dcdf(0x155e)]['id'],'channel':_0x1c98b2[_0x58dcdf(0x1072)]})['$promise']['then'](function(){const _0x96c528=_0x58dcdf;_0x31bcb2[_0x96c528(0x829)]({'title':_0x96c528(0x4b3),'msg':_0x96c528(0x19fb)+_0x1c98b2[_0x96c528(0x29b3)][_0x96c528(0x66a)]+'\x20has\x20been\x20done!'});})[_0x58dcdf(0x1c4)](function(_0x2d8335){const _0x20de97=_0x58dcdf;if(_0x2d8335['data']&&_0x2d8335['data'][_0x20de97(0x1a7c)]&&_0x2d8335[_0x20de97(0x25c)][_0x20de97(0x1a7c)][_0x20de97(0xfd0)]){_0x1c98b2[_0x20de97(0x1a7c)]=_0x2d8335[_0x20de97(0x25c)]['errors']||[{'message':_0x2d8335[_0x20de97(0x147f)](),'type':_0x20de97(0x1ad3)}];for(let _0x8597ea=0x0;_0x8597ea<_0x2d8335[_0x20de97(0x25c)][_0x20de97(0x1a7c)][_0x20de97(0xfd0)];_0x8597ea++){_0x31bcb2[_0x20de97(0x218e)]({'title':_0x2d8335['data'][_0x20de97(0x1a7c)][_0x8597ea][_0x20de97(0x66a)],'msg':_0x2d8335['data'][_0x20de97(0x1a7c)][_0x8597ea][_0x20de97(0x155e)]});}}else _0x31bcb2[_0x20de97(0x218e)]({'title':_0x2d8335[_0x20de97(0x291)]?_0x20de97(0xeb9)+_0x2d8335[_0x20de97(0x291)]+_0x20de97(0x1657)+_0x2d8335[_0x20de97(0xc22)]:'api.rpc.notify','msg':_0x2d8335[_0x20de97(0x25c)]?JSON[_0x20de97(0x2701)](_0x2d8335[_0x20de97(0x25c)][_0x20de97(0x155e)]):_0x2d8335[_0x20de97(0x147f)]()});});},0x1388);}}const _0x18bad7=_0x5e52e7;;_0x23f407[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$q','$mdDialog',_0x5537c6(0x2766),'api',_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x1ae),'$rootScope','$timeout',_0x5537c6(0xde8)];function _0x23f407(_0x237e69,_0x159259,_0x5f06a6,_0x4813cf,_0x7302e6,_0x24ea1c,_0x545b90,_0x4539d2,_0x509582,_0x476ed6,_0x3fc017){const _0xe248c0=_0x5537c6,_0x4558ac=this;_0x4558ac[_0xe248c0(0xf4e)]={},_0x4558ac[_0xe248c0(0x17a2)]=!![],_0x4558ac[_0xe248c0(0xd37)]=![],_0x4558ac[_0xe248c0(0x21b9)]=_0x3fc017[_0xe248c0(0x21b9)](),_0x4558ac[_0xe248c0(0x1160)]=_0x5c3c82,_0x4558ac[_0xe248c0(0x122c)]=_0x25cf48,_0x4558ac['isJsonString']=_0xc9f1c3,_0x4558ac[_0xe248c0(0x9e3)]=_0x40f911,_0x4558ac[_0xe248c0(0x1d78)]=_0xe4558e,_0x4558ac[_0xe248c0(0xe5a)]=_0x33469e,_0x4558ac[_0xe248c0(0x172f)]=_0x4b264d,_0x4558ac[_0xe248c0(0x1a8e)]=_0x21a720,_0x4558ac[_0xe248c0(0x2130)]=function(_0x32419d){const _0x56bd0d=_0xe248c0;if(_0x4558ac[_0x56bd0d(0xf4e)][_0x56bd0d(0x28d5)]){const _0x5ceedd=_0x5f06a6[_0x56bd0d(0x1551)]()[_0x56bd0d(0x1386)](_0x4539d2[_0x56bd0d(0x25cc)](_0x56bd0d(0x1707)))[_0x56bd0d(0x862)](_0x4539d2[_0x56bd0d(0x25cc)](_0x56bd0d(0x173e)))[_0x56bd0d(0x1152)]('')[_0x56bd0d(0x15ad)](_0x56bd0d(0xbce))[_0x56bd0d(0x728)](_0x32419d)['ok'](_0x4539d2[_0x56bd0d(0x25cc)](_0x56bd0d(0x1668)))[_0x56bd0d(0x696)](_0x4539d2[_0x56bd0d(0x25cc)](_0x56bd0d(0x1091)));_0x5f06a6[_0x56bd0d(0xe27)](_0x5ceedd)[_0x56bd0d(0x1cb0)](function(){const _0x4d4706=_0x56bd0d;_0x4558ac[_0x4d4706(0xd37)]=![],_0x4558ac[_0x4d4706(0x12a7)]['currentPage']=undefined,_0xbb2b25(),_0x4558ac[_0x4d4706(0xf4e)]['reset']();});}};function _0xbb2b25(){const _0x18c702=_0xe248c0;try{_0x237e69['$parent'][_0x18c702(0x17bc)][_0x18c702(0x17bc)]['vm'][_0x18c702(0x1c7c)]();}catch(_0x136260){_0x24ea1c['error']({'title':'ERROR\x20UPDATE\x20LOCAL\x20TABS','msg':_0x18c702(0x1ab7)});}}function _0x21a720(_0x2884e3){const _0x24ba41=_0xe248c0;_0x4558ac[_0x24ba41(0x291e)]=_0x2884e3;if(_0x4558ac[_0x24ba41(0x291e)]){_0x4558ac['call']=_0x4558ac[_0x24ba41(0x291e)][_0x24ba41(0x205d)],_0x4558ac['starttime']=_0x4558ac[_0x24ba41(0x291e)][_0x24ba41(0x85f)],_0x4558ac[_0x24ba41(0x8b1)]=_0x4558ac[_0x24ba41(0x291e)][_0x24ba41(0x8b1)],_0x4558ac[_0x24ba41(0x12a7)]=_0x4558ac[_0x24ba41(0x291e)][_0x24ba41(0x12a7)];if(_0x4558ac[_0x24ba41(0x12a7)]){if(_0x39641b()['isObjectLike'](_0x4558ac[_0x24ba41(0x12a7)][_0x24ba41(0x1b8c)])){}else _0x4558ac['isJsonString'](_0x4558ac['project'][_0x24ba41(0x1b8c)])?_0x4558ac[_0x24ba41(0x12a7)][_0x24ba41(0x1b8c)]=JSON['parse'](_0x4558ac['project'][_0x24ba41(0x1b8c)]):_0x4558ac[_0x24ba41(0x12a7)][_0x24ba41(0x1b8c)]=undefined;_0x4558ac[_0x24ba41(0x12a7)][_0x24ba41(0x1ea1)]=_0x4558ac[_0x24ba41(0x12a7)][_0x24ba41(0x1ea1)]||{},_0x4558ac[_0x24ba41(0x12a7)][_0x24ba41(0x46a)]!==undefined&&_0x476ed6(function(){const _0x31cfc4=_0x24ba41;_0x509582[_0x31cfc4(0x692)](_0x31cfc4(0x24d1),{'page':_0x4558ac[_0x31cfc4(0x12a7)]['currentPage']});}),_0x237e69['$on'](_0x24ba41(0x2748),function(_0x3eccd8,_0x423368){const _0x1e741c=_0x24ba41;if(_0x423368[_0x1e741c(0x46a)]){_0x4558ac[_0x1e741c(0x12a7)][_0x1e741c(0x46a)]=_0x423368[_0x1e741c(0x46a)][_0x1e741c(0x83d)]-0x1,_0xbb2b25();_0x4558ac[_0x1e741c(0x12a7)][_0x1e741c(0x2375)]&&(_0x423368[_0x1e741c(0x46a)][_0x1e741c(0x83d)]>=0x1&&_0x423368['currentPage']['number']<_0x4558ac[_0x1e741c(0x12a7)][_0x1e741c(0x1b8c)][_0x1e741c(0x1064)][_0x1e741c(0xfd0)]?_0x4558ac[_0x1e741c(0xd37)]=!![]:_0x4558ac[_0x1e741c(0xd37)]=![]);if(_0x4558ac['project'][_0x1e741c(0x1b8c)]&&_0x4558ac[_0x1e741c(0x12a7)][_0x1e741c(0x1b8c)][_0x1e741c(0x1064)])for(let _0x1b5f01=0x0,_0x1d10dc=_0x4558ac[_0x1e741c(0x12a7)]['formData'][_0x1e741c(0x1064)]['length'];_0x1b5f01<_0x1d10dc;_0x1b5f01++){if(_0x423368[_0x1e741c(0x46a)][_0x1e741c(0x83d)]<_0x1b5f01+0x1&&_0x4558ac['project'][_0x1e741c(0x1b8c)]['pages'][_0x1b5f01][_0x1e741c(0x263)])for(let _0x3c93cd=0x0,_0x33e7e6=_0x4558ac[_0x1e741c(0x12a7)][_0x1e741c(0x1b8c)][_0x1e741c(0x1064)][_0x1b5f01]['elements'][_0x1e741c(0xfd0)];_0x3c93cd<_0x33e7e6;_0x3c93cd++){_0x4558ac['project'][_0x1e741c(0x1b8c)][_0x1e741c(0x1064)][_0x1b5f01]['elements'][_0x3c93cd]['type']===_0x1e741c(0x2823)&&_0x4558ac['project'][_0x1e741c(0x1b8c)][_0x1e741c(0x1064)][_0x1b5f01]['elements'][_0x3c93cd][_0x1e741c(0x2823)]&&delete _0x4558ac['project'][_0x1e741c(0x1ea1)][_0x4558ac['project'][_0x1e741c(0x1b8c)][_0x1e741c(0x1064)][_0x1b5f01][_0x1e741c(0x263)][_0x3c93cd][_0x1e741c(0x2823)]['id']];}}}});}}}function _0xc9f1c3(_0x4e34a7){const _0x33f1e0=_0xe248c0;if(_0x39641b()[_0x33f1e0(0x958)](_0x4e34a7))return![];try{JSON[_0x33f1e0(0xefe)](_0x4e34a7);}catch(_0x1709bc){return![];}return!![];}function _0x25cf48(_0x52832c,_0x1f3b7a){const _0x561022=_0xe248c0;try{_0x237e69[_0x561022(0x17bc)][_0x561022(0x17bc)][_0x561022(0x17bc)]['vm'][_0x561022(0x1bac)](_0x1f3b7a);}catch(_0x2bece5){_0x24ea1c[_0x561022(0x218e)]({'title':_0x561022(0x41e),'msg':_0x561022(0x2798)});}}function _0x405ea1(_0xd63c2f){const _0x1e5c41=_0xe248c0,_0x5f3a41=_0x159259['defer']();return _0x4558ac[_0x1e5c41(0x12a7)][_0x1e5c41(0x1098)]?_0x7302e6['user'][_0x1e5c41(0xf5d)]({'id':_0xd63c2f['id']})[_0x1e5c41(0x1d77)][_0x1e5c41(0x1cb0)](function(){const _0x42992f=_0x1e5c41;_0x5f3a41[_0x42992f(0x19a3)]();})[_0x1e5c41(0x1c4)](function(){const _0xe4eefe=_0x1e5c41;console['log'](_0xe4eefe(0x12d5)),_0x5f3a41[_0xe4eefe(0x19a3)]();}):_0x5f3a41[_0x1e5c41(0x19a3)](),_0x5f3a41['promise'];}function _0x487c27(_0xf506,_0x51bb7a){const _0x1313bf=_0xe248c0,_0x127c71=[],_0x28c690=[],_0x2410f1=_0x545b90['getCurrentUser']();_0x405ea1(_0x2410f1)[_0x1313bf(0x1cb0)](function(){const _0xf47043=_0x1313bf;return _0x7302e6[_0xf47043(0x425)][_0xf47043(0x1c3f)]({'starttime':_0x4558ac[_0xf47043(0x22df)],'membername':_0x4558ac['call']&&_0x4558ac['call'][_0xf47043(0xcbf)]?_0x4558ac['call']['membername']:_0x2410f1[_0xf47043(0x16b6)],'calleridname':_0x4558ac[_0xf47043(0x205d)]&&_0x4558ac['call'][_0xf47043(0xbb5)]?_0x4558ac['call']['calleridname']:undefined,'calleridnum':_0x4558ac['call']&&_0x4558ac['call'][_0xf47043(0x17d3)]?_0x4558ac[_0xf47043(0x205d)][_0xf47043(0x17d3)]:undefined,'queue':_0x4558ac[_0xf47043(0x205d)]&&_0x4558ac[_0xf47043(0x205d)][_0xf47043(0x11cf)]?_0x4558ac[_0xf47043(0x205d)][_0xf47043(0x11cf)]:undefined,'uniqueid':_0x4558ac[_0xf47043(0x205d)]&&_0x4558ac[_0xf47043(0x205d)][_0xf47043(0x1425)]?_0x4558ac[_0xf47043(0x205d)][_0xf47043(0x1425)]:undefined,'VoiceQueueId':_0x4558ac[_0xf47043(0x205d)]&&_0x4558ac['call']['xmd-voicequeueid']?_0x4558ac[_0xf47043(0x205d)]['xmd-voicequeueid']:undefined,'ProjectId':_0x4558ac[_0xf47043(0x12a7)]&&_0x4558ac[_0xf47043(0x12a7)]['id']?_0x4558ac[_0xf47043(0x12a7)]['id']:undefined,'projectname':_0x4558ac['project']&&_0x4558ac['project'][_0xf47043(0x16b6)]?_0x4558ac[_0xf47043(0x12a7)]['name']:undefined,'ContactId':_0x4558ac['contact']&&_0x4558ac[_0xf47043(0x8b1)]['id']?_0x4558ac[_0xf47043(0x8b1)]['id']:undefined,'completed':_0x51bb7a})['$promise'];})['then'](function(_0x2d3ba5){const _0x4ad633=_0x1313bf;if(_0x2d3ba5){const _0x27745d=_0x4558ac[_0x4ad633(0xe5a)](),_0x4783f8=_0x4558ac[_0x4ad633(0x1d78)](),_0x33eace=_0x4558ac[_0x4ad633(0x172f)]();for(let _0x4393a5=0x0;_0x4393a5<_0x27745d['length'];_0x4393a5+=0x1){if(!_0x39641b()[_0x4ad633(0xce9)](String(_0x4783f8[_0x4393a5]))){try{_0x127c71[_0x4ad633(0x2785)](_0x7302e6[_0x4ad633(0x10ad)]['save']({'question':_0x27745d[_0x4393a5],'answer':_0x33eace[_0x4393a5]['type']===_0x4ad633(0x18ec)?_0x543b5a()(String(_0x4783f8[_0x4393a5]))['format']('YYYY-MM-DD'):_0x33eace[_0x4393a5][_0x4ad633(0x66a)]===_0x4ad633(0x161a)?_0x543b5a()(String(_0x4783f8[_0x4393a5]))[_0x4ad633(0x1f31)]('HH:mm'):String(_0x4783f8[_0x4393a5]),'questionId':_0x33eace[_0x4393a5]['id'],'membername':_0x4558ac['call']&&_0x4558ac[_0x4ad633(0x205d)][_0x4ad633(0xcbf)]?_0x4558ac['call'][_0x4ad633(0xcbf)]:_0x2410f1[_0x4ad633(0x16b6)],'calleridname':_0x4558ac[_0x4ad633(0x205d)]&&_0x4558ac[_0x4ad633(0x205d)][_0x4ad633(0xbb5)]?_0x4558ac[_0x4ad633(0x205d)]['calleridname']:undefined,'calleridnum':_0x4558ac['call']&&_0x4558ac[_0x4ad633(0x205d)]['calleridnum']?_0x4558ac[_0x4ad633(0x205d)][_0x4ad633(0x17d3)]:undefined,'queue':_0x4558ac[_0x4ad633(0x205d)]&&_0x4558ac[_0x4ad633(0x205d)][_0x4ad633(0x11cf)]?_0x4558ac['call']['queue']:undefined,'uniqueid':_0x4558ac['call']&&_0x4558ac[_0x4ad633(0x205d)][_0x4ad633(0x1425)]?_0x4558ac[_0x4ad633(0x205d)]['uniqueid']:undefined,'SessionId':_0x2d3ba5['id'],'ProjectId':_0x4558ac[_0x4ad633(0x12a7)]['id'],'projectname':_0x4558ac[_0x4ad633(0x12a7)][_0x4ad633(0x16b6)]}));}catch(_0x4f5d23){console[_0x4ad633(0x218e)]('Unable\x20to\x20convert\x20question',_0x4f5d23);}const _0x10c998=String(_0x4783f8[_0x4393a5])[_0x4ad633(0xbe1)](';');for(let _0x1d70b3=0x0;_0x1d70b3<_0x10c998[_0x4ad633(0xfd0)];_0x1d70b3+=0x1){const _0x538124=_0x10c998[_0x1d70b3][_0x4ad633(0x1730)]();try{_0x28c690['push'](_0x7302e6[_0x4ad633(0x1d59)][_0x4ad633(0x1c3f)]({'question':_0x27745d[_0x4393a5],'questionId':_0x33eace[_0x4393a5]['id'],'answer':_0x33eace[_0x4393a5][_0x4ad633(0x66a)]==='date'?_0x543b5a()(_0x538124)['format'](_0x4ad633(0x17ac)):_0x33eace[_0x4393a5][_0x4ad633(0x66a)]==='time'?_0x543b5a()(_0x538124)[_0x4ad633(0x1f31)](_0x4ad633(0x1ed6)):_0x538124,'membername':_0x4558ac[_0x4ad633(0x205d)]&&_0x4558ac[_0x4ad633(0x205d)][_0x4ad633(0xcbf)]?_0x4558ac[_0x4ad633(0x205d)]['membername']:_0x2410f1['name'],'calleridname':_0x4558ac[_0x4ad633(0x205d)]&&_0x4558ac['call'][_0x4ad633(0xbb5)]?_0x4558ac[_0x4ad633(0x205d)][_0x4ad633(0xbb5)]:undefined,'calleridnum':_0x4558ac[_0x4ad633(0x205d)]&&_0x4558ac[_0x4ad633(0x205d)][_0x4ad633(0x17d3)]?_0x4558ac['call'][_0x4ad633(0x17d3)]:undefined,'queue':_0x4558ac['call']&&_0x4558ac['call'][_0x4ad633(0x11cf)]?_0x4558ac[_0x4ad633(0x205d)]['queue']:undefined,'uniqueid':_0x4558ac[_0x4ad633(0x205d)]&&_0x4558ac[_0x4ad633(0x205d)][_0x4ad633(0x1425)]?_0x4558ac[_0x4ad633(0x205d)][_0x4ad633(0x1425)]:undefined,'SessionId':_0x2d3ba5['id'],'ProjectId':_0x4558ac['project']['id'],'projectname':_0x4558ac[_0x4ad633(0x12a7)][_0x4ad633(0x16b6)]}));}catch(_0xa4a290){console['error']('Unable\x20to\x20convert\x20answer',_0xa4a290);}}}}}return _0x159259[_0x4ad633(0x223b)](_0x127c71)[_0x4ad633(0x1cb0)](function(){const _0x21b42b=_0x4ad633;return _0x159259[_0x21b42b(0x223b)](_0x28c690)[_0x21b42b(0x1cb0)](function(){return _0x2d3ba5;});});})[_0x1313bf(0x1cb0)](function(_0x401d81){const _0x1132a1=_0x1313bf;_0x401d81?_0xf506['resolve'](!![]):_0xf506[_0x1132a1(0x988)]();})[_0x1313bf(0x1c4)](function(_0x153514){const _0x648548=_0x1313bf;if(_0x153514[_0x648548(0x25c)]&&_0x153514[_0x648548(0x25c)]['errors']&&_0x153514[_0x648548(0x25c)][_0x648548(0x1a7c)][_0x648548(0xfd0)]){_0x4558ac[_0x648548(0x1a7c)]=_0x153514[_0x648548(0x25c)][_0x648548(0x1a7c)]||[{'message':_0x153514[_0x648548(0x147f)](),'type':_0x648548(0x9a0)}];for(let _0x16f349=0x0;_0x16f349<_0x153514[_0x648548(0x25c)][_0x648548(0x1a7c)][_0x648548(0xfd0)];_0x16f349+=0x1){_0x24ea1c[_0x648548(0x218e)]({'title':_0x153514[_0x648548(0x25c)][_0x648548(0x1a7c)][_0x16f349][_0x648548(0x66a)],'msg':_0x153514[_0x648548(0x25c)][_0x648548(0x1a7c)][_0x16f349][_0x648548(0x155e)]});}}else _0x24ea1c[_0x648548(0x218e)]({'title':_0x153514[_0x648548(0x291)]?_0x648548(0xeb9)+_0x153514[_0x648548(0x291)]+'\x20-\x20'+_0x153514[_0x648548(0xc22)]:_0x648548(0x9a0),'msg':_0x153514[_0x648548(0x25c)]?JSON['stringify'](_0x153514[_0x648548(0x25c)][_0x648548(0x155e)]):_0x153514[_0x648548(0x147f)]()});_0xf506[_0x648548(0x988)]();});}function _0xa883c9(_0x3d8e73){const _0x2838b5=_0xe248c0;try{_0x237e69[_0x2838b5(0x17bc)][_0x2838b5(0x17bc)]['$parent']['vm'][_0x2838b5(0x85e)](_0x3d8e73);}catch(_0x3a024c){_0x24ea1c['error']({'title':_0x2838b5(0x9d5),'msg':'Error\x20while\x20removing\x20tab'});}}function _0x5c3c82(_0x213a71,_0x5733ee){const _0x19f018=_0xe248c0,_0x38e81a=_0x159259[_0x19f018(0x11f4)]();if(_0x5733ee){const _0x345956=_0x5f06a6['confirm']()[_0x19f018(0x1386)](_0x19f018(0x1542))[_0x19f018(0x1152)]('')[_0x19f018(0x15ad)](_0x19f018(0xbce))[_0x19f018(0x728)](_0x213a71)['ok'](_0x19f018(0x2439))[_0x19f018(0x696)]('NO');_0x5f06a6[_0x19f018(0xe27)](_0x345956)[_0x19f018(0x1cb0)](function(){_0x487c27(_0x38e81a,![]);},function(){const _0x3ecec8=_0x19f018;_0x38e81a[_0x3ecec8(0x19a3)]();});}else _0x487c27(_0x38e81a,!![]);return _0x38e81a['promise']['then'](function(_0x4e7944){const _0x4fb2d3=_0x19f018;_0x4e7944&&(_0x24ea1c[_0x4fb2d3(0x829)]({'title':'Session\x20saved','msg':_0x4fb2d3(0x23a0)}),_0xa883c9(_0x4558ac[_0x4fb2d3(0x291e)]));})[_0x19f018(0x1c4)](function(){const _0x8cc4f1=_0x19f018;_0x24ea1c[_0x8cc4f1(0x218e)]({'title':_0x8cc4f1(0x2911),'msg':_0x8cc4f1(0x296a)});});}function _0x40f911(){const _0xa8d768=_0xe248c0;return _0x4813cf[_0xa8d768(0x9e3)](_0x4558ac[_0xa8d768(0x12a7)]['formData'],_0x4558ac['project'][_0xa8d768(0x1ea1)],![]);}function _0xe4558e(){const _0x40dfe4=_0xe248c0;return _0x4813cf['getResponseSheetRow'](_0x4558ac[_0x40dfe4(0x12a7)][_0x40dfe4(0x1b8c)],_0x4558ac['project'][_0x40dfe4(0x1ea1)]);}function _0x33469e(){const _0x562425=_0xe248c0;return _0x4813cf[_0x562425(0xe5a)](_0x4558ac['project']['formData'],![]);}function _0x4b264d(){const _0x367ea4=_0xe248c0;return _0x4813cf[_0x367ea4(0x172f)](_0x4558ac[_0x367ea4(0x12a7)]['formData'],_0x4558ac['project']['responseData']);}}const _0x5a63d1=_0x23f407;;_0x614638[_0x5537c6(0x15b6)]=[_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x163c),_0x5537c6(0x142b),_0x5537c6(0x1774)];function _0x614638(_0x52643a,_0x33da7e,_0x1cf561,_0x18b27d,_0x3860d2){const _0x24d60=_0x5537c6,_0x587e36=this;_0x587e36[_0x24d60(0x163c)]=_0x1cf561,_0x587e36[_0x24d60(0xebe)]=_0x3860d2[_0x24d60(0x21e8)]();function _0xeafa26(){return function(_0x15dae9){const _0xabd0ce=a0_0x5cbd;if(_0x15dae9[_0xabd0ce(0x291)]===0x194)_0x33da7e[_0xabd0ce(0x28c7)]({'title':_0x52643a['instant'](_0xabd0ce(0x108f)),'msg':_0x52643a[_0xabd0ce(0x25cc)](_0xabd0ce(0x12ef))});else{if(_0x15dae9[_0xabd0ce(0x25c)]&&_0x15dae9[_0xabd0ce(0x25c)][_0xabd0ce(0x1a7c)]&&_0x15dae9['data'][_0xabd0ce(0x1a7c)]['length']){_0x587e36[_0xabd0ce(0x1a7c)]=_0x15dae9[_0xabd0ce(0x25c)][_0xabd0ce(0x1a7c)]||[{'message':_0x15dae9[_0xabd0ce(0x147f)](),'type':'api.openchannelMessage.accept'}];for(let _0x4fa039=0x0;_0x4fa039<_0x15dae9['data'][_0xabd0ce(0x1a7c)][_0xabd0ce(0xfd0)];_0x4fa039+=0x1){_0x33da7e[_0xabd0ce(0x218e)]({'title':_0x15dae9[_0xabd0ce(0x25c)][_0xabd0ce(0x1a7c)][_0x4fa039][_0xabd0ce(0x66a)],'msg':_0x15dae9[_0xabd0ce(0x25c)][_0xabd0ce(0x1a7c)][_0x4fa039][_0xabd0ce(0x155e)]});}}else _0x33da7e['error']({'title':_0x15dae9[_0xabd0ce(0x291)]?_0xabd0ce(0xeb9)+_0x15dae9['status']+_0xabd0ce(0x1657)+_0x15dae9[_0xabd0ce(0xc22)]:_0xabd0ce(0x10ed),'msg':_0x15dae9[_0xabd0ce(0x25c)]?JSON['stringify'](_0x15dae9[_0xabd0ce(0x25c)][_0xabd0ce(0x155e)]):_0x15dae9[_0xabd0ce(0x147f)]()});}};}function _0x1f627f(){const _0x446958=_0x24d60;if(_0x18b27d[_0x587e36['notification'][_0x446958(0x1072)]+_0x446958(0x27df)])return _0x18b27d[_0x587e36[_0x446958(0x163c)][_0x446958(0x1072)]+_0x446958(0x27df)][_0x446958(0xb60)]({'id':_0x587e36[_0x446958(0x163c)]['id'],'UserId':_0x587e36[_0x446958(0xebe)]['id'],'manual':!![]})[_0x446958(0x1d77)]['then'](function(){const _0x1b66e7=_0x446958;_0x33da7e[_0x1b66e7(0x829)]({'title':_0x1b66e7(0xeff),'msg':_0x1b66e7(0x25e9)});})[_0x446958(0x1c4)](_0xeafa26());else _0x33da7e['error']({'title':'API:ERROR','msg':_0x446958(0x1cad)+_0x587e36[_0x446958(0x163c)][_0x446958(0x1072)]+_0x446958(0x27df)});}function _0x4f3316(){const _0x553f4f=_0x24d60;if(_0x18b27d[_0x587e36[_0x553f4f(0x163c)][_0x553f4f(0x1072)]+_0x553f4f(0x27df)])return _0x18b27d[_0x587e36[_0x553f4f(0x163c)]['channel']+'Message'][_0x553f4f(0x988)]({'id':_0x587e36[_0x553f4f(0x163c)]['id'],'UserId':_0x587e36['user']['id']})[_0x553f4f(0x1d77)][_0x553f4f(0x1cb0)](function(){const _0x138a2d=_0x553f4f;_0x33da7e[_0x138a2d(0x829)]({'title':_0x138a2d(0x32c),'msg':'Message\x20has\x20been\x20rejected!'});})[_0x553f4f(0x1c4)](_0xeafa26());else _0x33da7e[_0x553f4f(0x218e)]({'title':_0x553f4f(0x7d3),'msg':_0x553f4f(0x81f)+_0x587e36[_0x553f4f(0x163c)][_0x553f4f(0x1072)]+'Message'});}_0x587e36[_0x24d60(0xb60)]=_0x1f627f,_0x587e36[_0x24d60(0x988)]=_0x4f3316;}const _0x4d6d7b=_0x614638;;function _0x1df716(){const _0x3d21d8=this;function _0x59f85c(_0xdacec2){const _0x3ba3fd=a0_0x5cbd;_0x3d21d8['tab']=_0xdacec2,_0x3d21d8['tab']&&(_0x3d21d8[_0x3ba3fd(0x291e)]['saved']=!![],_0x3d21d8[_0x3ba3fd(0x1d35)]=_0x3d21d8[_0x3ba3fd(0x291e)]['html']);}_0x3d21d8['init']=_0x59f85c;}const _0x30ae6e=_0x1df716;;const _0x13d087=_0x5074a3['p']+_0x5537c6(0x2541);;const _0x5d7417=_0x5074a3['p']+'src/js/modules/main/apps/dashboards/views/general/agent/agent.general.html/agent.general.html';;_0x2d4419[_0x5537c6(0x15b6)]=[_0x5537c6(0xbd6)];function _0x2d4419(_0x9ace42){const _0x299864=_0x5537c6;_0x9ace42[_0x299864(0x27e0)](_0x299864(0x1647),{'url':'/general','views':{'content@app':{'templateProvider':[_0x299864(0x225c),_0x299864(0x17be),_0x299864(0x1774),function(_0xdbf771,_0x568541,_0x1e4d9e){const _0x30a4e4=_0x299864;return _0x1e4d9e[_0x30a4e4(0xfbb)]()?_0x568541['fromUrl'](_0x5d7417,_0xdbf771):_0x568541[_0x30a4e4(0xd5f)](_0x13d087,_0xdbf771);}],'controllerProvider':[_0x299864(0x1774),function(_0x5baf80){const _0x426a4d=_0x299864;return _0x5baf80[_0x426a4d(0xfbb)]()?_0x426a4d(0x1ae6):'UserDashboardGeneralController\x20as\x20vm';}]}},'resolve':{'globalUserLists':['apiResolver','Auth',function(_0x4cbc2d,_0x44dd92){const _0x1961fe=_0x299864;return _0x4cbc2d[_0x1961fe(0x19a3)](_0x1961fe(0xbcf),{'id':_0x44dd92['getCurrentUser']()['id'],'sort':_0x1961fe(0x16b6),'nolimit':!![]});}],'globalLists':['apiResolver',function(_0x20b82a){const _0xc214cb=_0x299864;return _0x20b82a[_0xc214cb(0x19a3)](_0xc214cb(0x1b1f),{'sort':_0xc214cb(0x16b6),'nolimit':!![]});}],'globalTags':[_0x299864(0x1e0b),function(_0xca82fb){const _0x786717=_0x299864;return _0xca82fb[_0x786717(0x19a3)](_0x786717(0x1f1),{'sort':_0x786717(0x16b6),'nolimit':!![]});}],'globalCompanies':['apiResolver',function(_0x5a6521){const _0x56c354=_0x299864;return _0x5a6521[_0x56c354(0x19a3)](_0x56c354(0x60d),{'sort':'name','nolimit':!![]});}]},'authenticate':!![],'bodyClass':_0x299864(0xd41)});}angular[_0x5537c6(0x9ab)]('app.dashboards.general',[_0x5537c6(0xf94),'app.dashboards.general.user'])[_0x5537c6(0xa60)](_0x2d4419)[_0x5537c6(0x6e5)](_0x5537c6(0xf33),_0x152a73)[_0x5537c6(0x234a)](_0x5537c6(0x1b68),_0x1447cc)[_0x5537c6(0x12ab)](_0x5537c6(0x2089),_0x5a0917)[_0x5537c6(0x12ab)](_0x5537c6(0x1014),_0x1f67c9)[_0x5537c6(0x12bd)](_0x5537c6(0x1a94),_0x53dcfb)[_0x5537c6(0x6e5)](_0x5537c6(0x154f),_0x2fa412)[_0x5537c6(0x6e5)](_0x5537c6(0x987),_0x1c7030)[_0x5537c6(0x6e5)]('DisposeCallDialogController',_0x578aff)[_0x5537c6(0x6e5)](_0x5537c6(0x186f),_0x189289)[_0x5537c6(0x6e5)](_0x5537c6(0x254d),_0x55c586)[_0x5537c6(0x6e5)](_0x5537c6(0x1ccd),_0x29904c)[_0x5537c6(0x6e5)]('AgentHomeAbandonedBullController',_0x32440b)['controller'](_0x5537c6(0x1c11),_0x2bc6c0)[_0x5537c6(0x6e5)](_0x5537c6(0x52e),_0x14c980)[_0x5537c6(0x6e5)](_0x5537c6(0x42e),_0x15c1fb)[_0x5537c6(0x6e5)](_0x5537c6(0x2775),_0x15b912)[_0x5537c6(0x6e5)](_0x5537c6(0x1fd6),_0x270056)[_0x5537c6(0x6e5)](_0x5537c6(0x2511),_0x13d566)[_0x5537c6(0x6e5)](_0x5537c6(0xfc7),_0x1553dd)[_0x5537c6(0x6e5)]('AgentHomeDashboardMultiChannelChatController',_0x5b0315)[_0x5537c6(0x6e5)](_0x5537c6(0x2341),_0x5d5e2c)['controller'](_0x5537c6(0x3ac),_0x48d5ee)['controller'](_0x5537c6(0x1a06),_0x234344)[_0x5537c6(0x6e5)](_0x5537c6(0x2136),_0x3c2e99)['controller'](_0x5537c6(0x1dcd),_0x48a4c6)['controller'](_0x5537c6(0x1b38),_0x2d0a6c)[_0x5537c6(0x6e5)](_0x5537c6(0x19c),_0x241c44)['controller'](_0x5537c6(0x1453),_0x4d2313)[_0x5537c6(0x6e5)](_0x5537c6(0xb35),_0x41df01)[_0x5537c6(0x6e5)](_0x5537c6(0x1c6c),_0x264dcc)[_0x5537c6(0x6e5)](_0x5537c6(0x1e1f),_0x301d1e)[_0x5537c6(0x6e5)](_0x5537c6(0x1d82),_0x2d5d32)[_0x5537c6(0x6e5)](_0x5537c6(0x5c0),_0x5be5e7)['controller'](_0x5537c6(0xf43),_0x5b9a44)[_0x5537c6(0x6e5)](_0x5537c6(0x2487),_0xdea51)['controller'](_0x5537c6(0x71c),_0x29687f)[_0x5537c6(0x6e5)](_0x5537c6(0x1abe),_0x321fa8)['controller'](_0x5537c6(0x1bed),_0x18a89c)[_0x5537c6(0x2838)](_0x5537c6(0x1958),_0x1fc5fa)[_0x5537c6(0x1c99)](_0x5537c6(0x7b7),_0x307a39)[_0x5537c6(0x6e5)](_0x5537c6(0x4b2),_0x3ac7a5)[_0x5537c6(0x234a)](_0x5537c6(0x19be),_0x4bdcb4)[_0x5537c6(0x6e5)](_0x5537c6(0x1e49),_0x2f3ace)[_0x5537c6(0x6e5)]('ComposeMailInteractionDialogController',_0x3e476a)[_0x5537c6(0x6e5)]('ComposeOpenchannelInteractionDialogController',_0x22c083)[_0x5537c6(0x6e5)](_0x5537c6(0x1959),_0xe23721)[_0x5537c6(0x6e5)]('ComposeWhatsappInteractionDialogController',_0x5f5c57)[_0x5537c6(0x6e5)](_0x5537c6(0x209d),_0x1f4033)[_0x5537c6(0x6e5)](_0x5537c6(0x1726),_0x387f77)[_0x5537c6(0x6e5)](_0x5537c6(0xae8),_0x18bad7)[_0x5537c6(0x6e5)](_0x5537c6(0x6cf),_0x5a63d1)[_0x5537c6(0x6e5)](_0x5537c6(0x2643),_0x4d6d7b)[_0x5537c6(0x6e5)](_0x5537c6(0x1ec4),_0x30ae6e);;const _0x44bb45=_0x5074a3['p']+_0x5537c6(0x1a3d);;_0x476cc6[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),'$interval',_0x5537c6(0x1463),_0x5537c6(0x142b),_0x5537c6(0x1986),_0x5537c6(0x1774),_0x5537c6(0x278a),_0x5537c6(0x44a),_0x5537c6(0x2199)];function _0x476cc6(_0x4c52ca,_0x31af14,_0x10a705,_0x305aa6,_0x347eab,_0x579001,_0x4fdaab,_0x6ed5f2,_0x5021fd){const _0x99cb54=_0x5537c6,_0x5470a1=this;_0x5470a1[_0x99cb54(0xe76)]=_0x579001[_0x99cb54(0x21e8)](),_0x5470a1[_0x99cb54(0x44a)]=_0x6ed5f2,_0x5470a1[_0x99cb54(0x2199)]=_0x5021fd&&_0x5021fd[_0x99cb54(0x184d)]==0x1?_0x5021fd[_0x99cb54(0x2214)][0x0]:null,_0x5470a1[_0x99cb54(0x1b1a)]=_0x579001[_0x99cb54(0x14ea)](_0x5470a1[_0x99cb54(0x2199)]?_0x5470a1['userProfileSection'][_0x99cb54(0x1b1a)]:null),_0x5470a1['customDashboard']={},_0x5470a1[_0x99cb54(0x278a)]=_0x4fdaab&&_0x4fdaab[_0x99cb54(0x184d)]?_0x4fdaab:{'count':0x0,'rows':[]},_0x5470a1[_0x99cb54(0x246a)]=_0x4fdaab&&_0x4fdaab['count']?_0x39641b()[_0x99cb54(0x1de2)](_0x4fdaab[_0x99cb54(0x2214)],'id'):[],_0x5470a1['interval']=_0x31af14(function(){},0x3e8),_0x5470a1[_0x99cb54(0x2144)]=_0x2b451e,_0x5470a1[_0x99cb54(0x1cec)]=_0x50f10a,_0x347eab[_0x99cb54(0x16b7)](_0x99cb54(0x82a),_0x511344),_0x305aa6[_0x99cb54(0x1312)][_0x99cb54(0xbf7)]({'id':_0x4c52ca[_0x99cb54(0x1dfe)]['id']})[_0x99cb54(0x1d77)][_0x99cb54(0x1cb0)](function(_0x1e7c25){const _0x584091=_0x99cb54;if(_0x1e7c25)return _0x5470a1[_0x584091(0x2821)]=_0x1e7c25,_0x5470a1[_0x584091(0x2821)]['widgets']=[],_0x5470a1[_0x584091(0x2821)][_0x584091(0x2772)]=!![],_0x5470a1[_0x584091(0x2821)][_0x584091(0x2599)]={'enabled':![]},_0x5470a1[_0x584091(0x2821)][_0x584091(0x486)]={'enabled':![]},_0x305aa6['dashboard'][_0x584091(0x1c15)]({'id':_0x5470a1[_0x584091(0x2821)]['id']})[_0x584091(0x1d77)];})[_0x99cb54(0x1cb0)](function(_0x2fa18e){const _0x244ec4=_0x99cb54;if(_0x2fa18e&&_0x2fa18e['count']&&_0x2fa18e[_0x244ec4(0x2214)])for(let _0x20931a=0x0;_0x20931a<_0x2fa18e[_0x244ec4(0x2214)][_0x244ec4(0xfd0)];_0x20931a+=0x1){_0x2fa18e[_0x244ec4(0x2214)][_0x20931a][_0x244ec4(0x1da6)]=_0x244ec4(0x2862)+_0x2fa18e[_0x244ec4(0x2214)][_0x20931a][_0x244ec4(0x66a)]+_0x244ec4(0x1d66)+_0x2fa18e[_0x244ec4(0x2214)][_0x20931a][_0x244ec4(0x66a)]+_0x244ec4(0x109f),_0x2fa18e['rows'][_0x20931a][_0x244ec4(0x278a)]=_0x5470a1[_0x244ec4(0x278a)],_0x2fa18e[_0x244ec4(0x2214)][_0x20931a][_0x244ec4(0x246a)]=_0x5470a1[_0x244ec4(0x246a)],_0x5470a1[_0x244ec4(0x2821)][_0x244ec4(0x23d2)][_0x244ec4(0x2785)](_0x2fa18e[_0x244ec4(0x2214)][_0x20931a]);}})[_0x99cb54(0x1c4)](function(){const _0x19e94c=_0x99cb54;_0x5470a1[_0x19e94c(0x2821)]=undefined;});function _0x511344(_0x4dfebe){const _0x3ab0f0=_0x99cb54,_0x38176d=_0x39641b()[_0x3ab0f0(0x13b4)](_0x5470a1[_0x3ab0f0(0x278a)]['rows'],{'id':_0x4dfebe['id']});_0x38176d&&_0x39641b()[_0x3ab0f0(0x9c1)](_0x38176d,_0x39641b()[_0x3ab0f0(0x169b)](_0x4dfebe,_0x39641b()['keys'](_0x38176d)));}function _0x2b451e(){const _0x52d01e=_0x99cb54;for(let _0x138775=0x0;_0x138775<_0x5470a1[_0x52d01e(0x2821)][_0x52d01e(0x23d2)][_0x52d01e(0xfd0)];_0x138775+=0x1){_0x5470a1[_0x52d01e(0x2821)][_0x52d01e(0x23d2)][_0x138775][_0x52d01e(0x246a)]=_0x5470a1[_0x52d01e(0x246a)];}}function _0x50f10a(){const _0x4a15ba=_0x99cb54;_0x4c52ca['go'](_0x4a15ba(0x1632),{'id':_0x5470a1['customDashboard']['id'],'tab':0x1});}_0x10a705[_0x99cb54(0x1d6)](_0x99cb54(0x291c),function(){const _0xe82d18=_0x99cb54;_0x347eab[_0xe82d18(0xfb8)](_0xe82d18(0x82a)),_0x5470a1[_0xe82d18(0x1ac8)]&&_0x31af14[_0xe82d18(0x696)](_0x5470a1[_0xe82d18(0x1ac8)]);});}const _0x14a471=_0x476cc6;;_0x4790b3['$inject']=['$stateProvider'];function _0x4790b3(_0x70e479){const _0x473684=_0x5537c6;_0x70e479[_0x473684(0x27e0)](_0x473684(0x7ae),{'url':_0x473684(0x232d),'views':{'content@app':{'templateUrl':_0x44bb45,'controller':_0x473684(0x16bc)}},'resolve':{'voiceQueues':[_0x473684(0x1e0b),_0x473684(0x1774),function(_0x1de575,_0x5b3195){const _0x8e1b83=_0x473684;let _0xdb4d31;const _0x4e56ad=_0x5b3195['hasRole'](_0x8e1b83(0x1c60))?_0x1de575[_0x8e1b83(0x19a3)](_0x8e1b83(0x1c4d),{'fields':_0x8e1b83(0x43c),'nolimit':!![]}):_0x1de575[_0x8e1b83(0x19a3)](_0x8e1b83(0x12da),{'id':_0x5b3195[_0x8e1b83(0x21e8)]()[_0x8e1b83(0x13c1)],'section':_0x8e1b83(0x2382),'mergeResources':!![],'fields':_0x8e1b83(0x43c),'channel':_0x8e1b83(0x1fd4),'nolimit':!![]});return _0x4e56ad[_0x8e1b83(0x1cb0)](function(_0x3ad32d){const _0x9c7bbf=_0x8e1b83;return _0xdb4d31=_0x3ad32d,_0x1de575['resolve'](_0x9c7bbf(0xe6d));})[_0x8e1b83(0x1cb0)](function(_0x3866c3){const _0x1972d4=_0x8e1b83;if(_0x3866c3)for(let _0x178ca3=0x0,_0x2515b3;_0x178ca3<_0x3866c3[_0x1972d4(0x2214)][_0x1972d4(0xfd0)];_0x178ca3+=0x1){_0x2515b3=_0x39641b()[_0x1972d4(0x13b4)](_0xdb4d31[_0x1972d4(0x2214)],{'id':_0x3866c3[_0x1972d4(0x2214)][_0x178ca3]['id']}),_0x2515b3&&_0x39641b()[_0x1972d4(0x9c1)](_0x2515b3,_0x39641b()[_0x1972d4(0x169b)](_0x3866c3[_0x1972d4(0x2214)][_0x178ca3],[_0x1972d4(0x26b6),_0x1972d4(0x181c),'talking',_0x1972d4(0x1175),_0x1972d4(0x89f),_0x1972d4(0x20fe)]));}return _0xdb4d31;});}],'userProfile':[_0x473684(0x1e0b),_0x473684(0x1774),function(_0x36ea5d,_0xe560b5){const _0x4de133=_0x473684;return _0x36ea5d[_0x4de133(0x19a3)](_0x4de133(0x9ae),{'fields':'id,name,crudPermissions','id':_0xe560b5[_0x4de133(0x21e8)]()[_0x4de133(0x13c1)]});}],'userProfileSection':[_0x473684(0x1e0b),_0x473684(0x1774),function(_0x25d14f,_0x3a5c3e){const _0x5de4b4=_0x473684;return _0x25d14f[_0x5de4b4(0x19a3)](_0x5de4b4(0x2182),{'fields':_0x5de4b4(0x1f5f),'userProfileId':_0x3a5c3e[_0x5de4b4(0x21e8)]()[_0x5de4b4(0x13c1)],'sectionId':0x64});}]},'authenticate':!![],'bodyClass':_0x473684(0xd41)});}angular[_0x5537c6(0x9ab)](_0x5537c6(0x7ae),[])['config'](_0x4790b3)[_0x5537c6(0x6e5)](_0x5537c6(0x655),_0x14a471);;_0x2cd9f2[_0x5537c6(0x15b6)]=[_0x5537c6(0xbd6),_0x5537c6(0x1f2a)];function _0x2cd9f2(_0x276cd7,_0x43c511){const _0x518218=_0x5537c6;_0x276cd7[_0x518218(0x27e0)]('app.dashboards',{'abstract':!![],'url':_0x518218(0x1b14)}),_0x43c511[_0x518218(0x4e7)](_0x518218(0x2725));}angular[_0x5537c6(0x9ab)]('app.dashboards',[_0x5537c6(0x2770),'ngPassword',_0x5537c6(0x1260),_0x5537c6(0xacf),_0x5537c6(0x18f6),_0x5537c6(0xd19),_0x5537c6(0x44c),_0x5537c6(0x2ec),_0x5537c6(0x1890),_0x5537c6(0x167d),'mwFormBuilder',_0x5537c6(0x1b65),_0x5537c6(0x2166),_0x5537c6(0x3b9),_0x5537c6(0x7c9),_0x5537c6(0xeb5),_0x5537c6(0x27af),_0x5537c6(0x13b6),_0x5537c6(0x1cbd),_0x5537c6(0xd01),_0x5537c6(0x1647),'app.dashboards.custom'])['config'](_0x2cd9f2);;const _0x4582b7=_0x5074a3['p']+_0x5537c6(0x1990);;const _0x28dac6=_0x5074a3['p']+_0x5537c6(0x2ab);;_0x1ab792[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$window',_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),'$document','$timeout','$translate',_0x5537c6(0xc12),_0x5537c6(0x44a),'userProfileSection',_0x5537c6(0x142b),'msUtils','toasty',_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting'];function _0x1ab792(_0x2ccc2e,_0x38503,_0x57233,_0x327a94,_0x584bd9,_0x208d57,_0x51ff54,_0x5916db,_0x259ab9,_0x449f99,_0x14a223,_0x501dfe,_0x42e701,_0xd8b6a5,_0x1bc7dd,_0x14d67f,_0x51861e){const _0x242bbe=_0x5537c6,_0x2f85b0=this;_0x2f85b0[_0x242bbe(0x8a5)]=_0x14d67f,_0x2f85b0[_0x242bbe(0x9ca)]=_0x51861e,_0x2f85b0[_0x242bbe(0xe76)]=_0x1bc7dd[_0x242bbe(0x21e8)](),_0x2f85b0[_0x242bbe(0xc12)]=_0x259ab9||{'count':0x0,'rows':[]},_0x2f85b0['userProfile']=_0x449f99,_0x2f85b0['userProfileSection']=_0x14a223&&_0x14a223[_0x242bbe(0x184d)]==0x1?_0x14a223[_0x242bbe(0x2214)][0x0]:null,_0x2f85b0['crudPermissions']=_0x1bc7dd[_0x242bbe(0x14ea)](_0x2f85b0[_0x242bbe(0x2199)]?_0x2f85b0[_0x242bbe(0x2199)][_0x242bbe(0x1b1a)]:null),_0x2f85b0[_0x242bbe(0xc83)]=_0x242bbe(0xc12),_0x2f85b0['listOrder']='',_0x2f85b0['listOrderAsc']=null,_0x2f85b0[_0x242bbe(0x137b)]=[],_0x2f85b0[_0x242bbe(0xae2)]={'fields':_0x242bbe(0xb95),'role':_0x242bbe(0x1eff),'sort':_0x242bbe(0x282),'limit':0xa,'page':0x1},_0x2f85b0[_0x242bbe(0xfde)]=_0x39641b()[_0x242bbe(0x2631)]([{'option':_0x242bbe(0x12b3),'value':'0'},{'option':_0x242bbe(0x1592),'value':'1'},{'option':_0x242bbe(0xed1),'value':'2'}],function(_0x4841dc){const _0x502510=_0x242bbe;return _0x39641b()[_0x502510(0x288f)](_0x4841dc[_0x502510(0x327)],new RegExp('\x27','g'),'');}),_0x2f85b0[_0x242bbe(0x1a88)]=_0x39641b()[_0x242bbe(0x2631)]([{'option':_0x242bbe(0xa9d),'value':_0x242bbe(0x378)},{'option':_0x242bbe(0x2828),'value':_0x242bbe(0xd76)},{'option':'ws','value':_0x242bbe(0x2127),'ngIf':'vm.agent.showWebBar\x20==\x201'},{'option':'wss','value':_0x242bbe(0x49d),'ngIf':'vm.agent.showWebBar\x20==\x201'},{'option':_0x242bbe(0x132c),'value':_0x242bbe(0x1393),'ngIf':'vm.agent.showWebBar\x20==\x201'}],function(_0x1d292c){const _0x3ba2be=_0x242bbe;return _0x39641b()['replace'](_0x1d292c[_0x3ba2be(0x327)],new RegExp('\x27','g'),'');}),_0x2f85b0[_0x242bbe(0x571)]=_0x39641b()[_0x242bbe(0x2631)]([{'option':'force_rport','value':'\x27force_rport\x27'},{'option':_0x242bbe(0x18c7),'value':'\x27comedia\x27'},{'option':_0x242bbe(0x150d),'value':'\x27yes\x27'},{'option':'no','value':_0x242bbe(0x15b9)},{'option':'never','value':_0x242bbe(0x1d2c)},{'option':_0x242bbe(0x15d0),'value':_0x242bbe(0x1fcc)}],function(_0x1c5591){const _0x240e4b=_0x242bbe;return _0x39641b()[_0x240e4b(0x288f)](_0x1c5591[_0x240e4b(0x327)],new RegExp('\x27','g'),'');}),_0x2f85b0[_0x242bbe(0x101d)]=_0x39641b()[_0x242bbe(0x2631)]([{'option':'Friend','value':'\x27friend\x27'},{'option':'User','value':_0x242bbe(0xe2f)},{'option':_0x242bbe(0x2810),'value':_0x242bbe(0x83c)}],function(_0x1470b5){const _0x38bb76=_0x242bbe;return _0x39641b()['replace'](_0x1470b5[_0x38bb76(0x327)],new RegExp('\x27','g'),'');}),_0x2f85b0[_0x242bbe(0x1462)]=_0x39641b()[_0x242bbe(0x2631)]([{'option':_0x242bbe(0xaba),'value':_0x242bbe(0x2079)},{'option':_0x242bbe(0x128d),'value':_0x242bbe(0x217a)},{'option':'gsm','value':_0x242bbe(0x24ce)},{'option':'g722','value':'\x27g722\x27'},{'option':_0x242bbe(0x1a92),'value':'\x27g729\x27'},{'option':_0x242bbe(0x14cc),'value':'\x27opus\x27'},{'option':_0x242bbe(0x278c),'value':'\x27h264\x27'}],function(_0x2e371b){const _0x280e35=_0x242bbe;return _0x39641b()[_0x280e35(0x288f)](_0x2e371b[_0x280e35(0x327)],new RegExp('\x27','g'),'');}),_0x2f85b0['arrayvideosupport']=_0x39641b()[_0x242bbe(0x2631)]([{'option':_0x242bbe(0x1ab8),'value':'\x27yes\x27'},{'option':'No','value':_0x242bbe(0x15b9)},{'option':_0x242bbe(0x1288),'value':_0x242bbe(0x2a2)}],function(_0x18d994){const _0x47c163=_0x242bbe;return _0x39641b()[_0x47c163(0x288f)](_0x18d994['value'],new RegExp('\x27','g'),'');}),_0x2f85b0[_0x242bbe(0x1677)]=_0x39641b()[_0x242bbe(0x2631)]([{'option':_0x242bbe(0x210b),'value':'\x27\x27'},{'option':_0x242bbe(0xcab),'value':_0x242bbe(0x2329)},{'option':_0x242bbe(0x1f63),'value':_0x242bbe(0x51b)},{'option':_0x242bbe(0x2331),'value':_0x242bbe(0x24ce)}],function(_0x94ca61){const _0x3d2ab6=_0x242bbe;return _0x39641b()[_0x3d2ab6(0x288f)](_0x94ca61[_0x3d2ab6(0x327)],new RegExp('\x27','g'),'');}),_0x2f85b0['editstate']=_0x4a2256,_0x2f85b0[_0x242bbe(0x1d63)]=_0xd675ea,_0x2f85b0[_0x242bbe(0x27fe)]=_0x69dfba,_0x2f85b0[_0x242bbe(0x829)]=_0x307c04,_0x2f85b0[_0x242bbe(0x2348)]=_0xc57214,_0x2f85b0[_0x242bbe(0x216f)]=_0x2ea5c3,_0x2f85b0['deleteAgent']=_0x5e6a18,_0x2f85b0[_0x242bbe(0xa8c)]=_0x4c9260,_0x2f85b0[_0x242bbe(0xdd3)]=_0x53535a,_0x2f85b0[_0x242bbe(0x15af)]=_0xd2c96f,_0x2f85b0[_0x242bbe(0xc55)]=_0x1c2556;function _0x4a2256(_0x38015a){const _0x159957=_0x242bbe;_0x57233['go']('app.staff.agents.edit',{'id':_0x38015a['id'],'agent':_0x38015a,'crudPermissions':_0x2f85b0[_0x159957(0x1b1a)]});}function _0xd675ea(_0x2edd87,_0x3db17a){const _0xd17bce=_0x242bbe;_0x584bd9[_0xd17bce(0xe27)]({'controller':'AgentteamaddController','controllerAs':'vm','templateUrl':_0x4582b7,'parent':angular[_0xd17bce(0x1853)](_0x208d57['body']),'targetEvent':_0x3db17a,'clickOutsideToClose':!![],'locals':{'agent':_0x2edd87,'agents':_0x2f85b0[_0xd17bce(0xc12)]?_0x2f85b0['agents'][_0xd17bce(0x2214)]:[],'crudPermissions':_0x2f85b0['crudPermissions']}});}function _0x69dfba(_0x2b13d1,_0x497f8f){const _0x581af1=_0x242bbe,_0x5b2278=_0x584bd9[_0x581af1(0x1551)]()[_0x581af1(0x1386)](_0x581af1(0x140b)+_0x39641b()[_0x581af1(0xa75)]('agent')+'?')[_0x581af1(0x49e)](''+(_0x2b13d1[_0x581af1(0x16b6)]||'agent')+''+_0x581af1(0x1b6))[_0x581af1(0x15ad)](_0x581af1(0x1e2e))[_0x581af1(0x728)](_0x497f8f)['ok']('OK')['cancel'](_0x581af1(0x24ba));_0x584bd9[_0x581af1(0xe27)](_0x5b2278)['then'](function(){_0x5e6a18(_0x2b13d1);},function(){const _0x531f36=_0x581af1;console[_0x531f36(0x1b4f)]('CANCEL');});}let _0x40289b=!![],_0xdaaaaa=0x1;_0x2ccc2e[_0x242bbe(0x614)](_0x242bbe(0x957),function(_0x954b52,_0x2bf8ea){const _0x4f1157=_0x242bbe;_0x40289b?_0x51ff54(function(){_0x40289b=![];}):(!_0x2bf8ea&&(_0xdaaaaa=_0x2f85b0['query'][_0x4f1157(0x1c7b)]),_0x954b52!==_0x2bf8ea&&(_0x2f85b0[_0x4f1157(0xae2)][_0x4f1157(0x1c7b)]=0x1),!_0x954b52&&(_0x2f85b0[_0x4f1157(0xae2)]['page']=_0xdaaaaa),_0x2f85b0[_0x4f1157(0x2348)]());});function _0x307c04(_0x4b51d9){_0x2f85b0['agents']=_0x4b51d9||{'count':0x0,'rows':[]};}function _0xc57214(){const _0x3b2d00=_0x242bbe;_0x2f85b0[_0x3b2d00(0xae2)][_0x3b2d00(0x184b)]=(_0x2f85b0[_0x3b2d00(0xae2)][_0x3b2d00(0x1c7b)]-0x1)*_0x2f85b0[_0x3b2d00(0xae2)][_0x3b2d00(0x236)],_0x1bc7dd[_0x3b2d00(0x22b6)](_0x3b2d00(0x1c60))?_0x2f85b0['promise']=_0x501dfe[_0x3b2d00(0xebe)][_0x3b2d00(0xbf7)](_0x2f85b0[_0x3b2d00(0xae2)],_0x307c04)[_0x3b2d00(0x1d77)]:(_0x2f85b0[_0x3b2d00(0xae2)]['id']=_0x2f85b0[_0x3b2d00(0x44a)]['id'],_0x2f85b0['query']['section']=_0x3b2d00(0x2536),_0x2f85b0[_0x3b2d00(0x2061)]=_0x501dfe[_0x3b2d00(0x44a)][_0x3b2d00(0x1810)](_0x2f85b0['query'],_0x307c04)[_0x3b2d00(0x1d77)]);}function _0x2ea5c3(_0x30beed,_0xaa2867){const _0x42bd8e=_0x242bbe;_0x584bd9['show']({'controller':'CreateOrEditAgentDialogController','controllerAs':'vm','templateUrl':_0x28dac6,'parent':angular[_0x42bd8e(0x1853)](_0x208d57['body']),'targetEvent':_0x30beed,'clickOutsideToClose':!![],'locals':{'agent':_0xaa2867,'agents':_0x2f85b0[_0x42bd8e(0xc12)][_0x42bd8e(0x2214)],'license':_0x2f85b0[_0x42bd8e(0x8a5)],'setting':_0x2f85b0['setting'],'crudPermissions':_0x2f85b0[_0x42bd8e(0x1b1a)]}});}function _0x5e6a18(_0x58aff7){const _0x33f2af=_0x242bbe;_0x501dfe[_0x33f2af(0xebe)][_0x33f2af(0x111d)]({'id':_0x58aff7['id']})[_0x33f2af(0x1d77)][_0x33f2af(0x1cb0)](function(){const _0x4214c6=_0x33f2af;_0x39641b()[_0x4214c6(0x152a)](_0x2f85b0[_0x4214c6(0xc12)]['rows'],{'id':_0x58aff7['id']}),_0x2f85b0[_0x4214c6(0xc12)][_0x4214c6(0x184d)]-=0x1,!_0x2f85b0['agents'][_0x4214c6(0x2214)]['length']&&_0x2f85b0[_0x4214c6(0x2348)](),_0xd8b6a5[_0x4214c6(0x829)]({'title':_0x39641b()['startCase'](_0x4214c6(0x30e))+_0x4214c6(0x2663),'msg':_0x58aff7[_0x4214c6(0x16b6)]?_0x58aff7[_0x4214c6(0x16b6)]+'\x20has\x20been\x20deleted!':''});})[_0x33f2af(0x1c4)](function(_0x58e29f){const _0x36635f=_0x33f2af;if(_0x58e29f[_0x36635f(0x25c)]&&_0x58e29f[_0x36635f(0x25c)][_0x36635f(0x1a7c)]&&_0x58e29f[_0x36635f(0x25c)][_0x36635f(0x1a7c)][_0x36635f(0xfd0)]){_0x2f85b0[_0x36635f(0x1a7c)]=_0x58e29f[_0x36635f(0x25c)][_0x36635f(0x1a7c)]||[{'message':_0x58e29f[_0x36635f(0x147f)](),'type':_0x36635f(0xcec)}];for(let _0x5591f6=0x0;_0x5591f6<_0x58e29f[_0x36635f(0x25c)]['errors'][_0x36635f(0xfd0)];_0x5591f6++){_0xd8b6a5['error']({'title':_0x58e29f['data']['errors'][_0x5591f6][_0x36635f(0x66a)],'msg':_0x58e29f[_0x36635f(0x25c)][_0x36635f(0x1a7c)][_0x5591f6][_0x36635f(0x155e)]});}}else _0xd8b6a5[_0x36635f(0x218e)]({'title':_0x58e29f[_0x36635f(0x291)]?_0x36635f(0xeb9)+_0x58e29f[_0x36635f(0x291)]+_0x36635f(0x1657)+_0x58e29f[_0x36635f(0xc22)]:'SYSTEM:DELETEuser','msg':_0x58e29f[_0x36635f(0x25c)]?JSON[_0x36635f(0x2701)](_0x58e29f['data'][_0x36635f(0x155e)]):_0x58e29f['message']||_0x58e29f[_0x36635f(0x147f)]()});});}function _0x4c9260(){const _0x9adda0=_0x242bbe,_0x479447=angular[_0x9adda0(0x17fe)](_0x2f85b0[_0x9adda0(0x137b)]);return _0x2f85b0[_0x9adda0(0x137b)]=[],_0x479447;}function _0x53535a(_0x3ed284){const _0x394736=_0x242bbe,_0x4293b8=_0x584bd9[_0x394736(0x1551)]()[_0x394736(0x1386)](_0x394736(0x2698))[_0x394736(0x49e)](_0x394736(0x204d)+_0x2f85b0['selectedAgents'][_0x394736(0xfd0)]+'\x20selected'+'\x20will\x20be\x20deleted.')[_0x394736(0x15ad)](_0x394736(0x2734))[_0x394736(0x728)](_0x3ed284)['ok']('OK')[_0x394736(0x696)](_0x394736(0x24ba));_0x584bd9[_0x394736(0xe27)](_0x4293b8)[_0x394736(0x1cb0)](function(){const _0x15fa65=_0x394736;_0x2f85b0[_0x15fa65(0x137b)][_0x15fa65(0xf90)](function(_0x44aa22){_0x5e6a18(_0x44aa22);}),_0x2f85b0[_0x15fa65(0x137b)]=[];});}function _0xd2c96f(){const _0x26726a=_0x242bbe;_0x2f85b0[_0x26726a(0x137b)]=[];}function _0x1c2556(){const _0x2a72b7=_0x242bbe;_0x2f85b0[_0x2a72b7(0x137b)]=_0x2f85b0['agents'][_0x2a72b7(0x2214)];}}const _0x2e0301=_0x1ab792;;_0x16c37b[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q','$translate',_0x5537c6(0x9bf),'agents',_0x5537c6(0x1eff),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x16c37b(_0x487090,_0x1ded77,_0x41c9ad,_0x3963cb,_0x15aa22,_0x820b72,_0x3412c9,_0x4b954c,_0xd5ffdd,_0x5c0cda,_0x505435,_0x944807,_0x4c47f9,_0x512568){const _0x3037f0=_0x5537c6,_0x3c87ce=this;_0x3c87ce['currentUser']=_0x505435[_0x3037f0(0x21e8)](),_0x3c87ce['errors']=[],_0x3c87ce[_0x3037f0(0x9ca)]=_0x4c47f9,_0x3c87ce[_0x3037f0(0x8a5)]=_0x944807,_0x3c87ce['crudPermissions']=_0x512568,_0x3c87ce[_0x3037f0(0xf4c)]={},_0x3c87ce['passwordPattern']=_0x3c87ce['setting']&&_0x3c87ce['setting'][_0x3037f0(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x3c87ce[_0x3037f0(0x1386)]='STAFF.EDIT_AGENT',_0x3c87ce[_0x3037f0(0x1eff)]=angular['copy'](_0xd5ffdd),_0x3c87ce[_0x3037f0(0xc12)]=_0x4b954c,_0x3c87ce['newAgent']=![];!_0x3c87ce[_0x3037f0(0x1eff)]&&(_0x3c87ce['agent']={'role':_0x3037f0(0x1eff),'showWebBar':'0','autointernal':!![],'type':_0x3037f0(0x1f1d),'context':_0x3037f0(0x156f),'phoneBarRemoteControl':!![],'phoneBarRemoteControlPort':0x26a0,'allowmessenger':!![]},_0x3c87ce[_0x3037f0(0x1386)]='STAFF.NEW_AGENT',_0x3c87ce['newAgent']=!![]);_0x3c87ce['addNewAgent']=_0x2c32e6,_0x3c87ce['saveAgent']=_0x21a4ca,_0x3c87ce[_0x3037f0(0x7e8)]=_0x291643,_0x3c87ce[_0x3037f0(0x2c4)]=_0x1e7b1c,_0x3c87ce[_0x3037f0(0xda0)]=_0x954b73;function _0x2c32e6(){const _0x5a8cba=_0x3037f0;_0x3c87ce['errors']=[],_0x5c0cda[_0x5a8cba(0xebe)][_0x5a8cba(0x1c3f)](_0x3c87ce[_0x5a8cba(0x1eff)])['$promise'][_0x5a8cba(0x1cb0)](function(_0x3ce649){const _0x253a43=_0x5a8cba;_0x3c87ce[_0x253a43(0xc12)][_0x253a43(0xf63)](_0x3ce649[_0x253a43(0x19b2)]()),_0x3412c9[_0x253a43(0x829)]({'title':_0x253a43(0x11c4),'msg':_0x3c87ce[_0x253a43(0x1eff)][_0x253a43(0x16b6)]?_0x3c87ce['agent'][_0x253a43(0x16b6)]+_0x253a43(0x470):''}),_0x954b73(_0x3ce649);})[_0x5a8cba(0x1c4)](function(_0x3869c9){const _0x57af7d=_0x5a8cba;if(_0x3869c9[_0x57af7d(0x25c)]&&_0x3869c9['data'][_0x57af7d(0x1a7c)]&&_0x3869c9[_0x57af7d(0x25c)][_0x57af7d(0x1a7c)][_0x57af7d(0xfd0)]){_0x3c87ce[_0x57af7d(0x1a7c)]=_0x3869c9['data']['errors']||[{'message':_0x3869c9[_0x57af7d(0x147f)](),'type':_0x57af7d(0x102d)}];for(let _0x5e47a7=0x0;_0x5e47a7<_0x3869c9[_0x57af7d(0x25c)]['errors'][_0x57af7d(0xfd0)];_0x5e47a7+=0x1){_0x3412c9['error']({'title':_0x3869c9[_0x57af7d(0x25c)][_0x57af7d(0x1a7c)][_0x5e47a7][_0x57af7d(0x66a)],'msg':_0x3869c9[_0x57af7d(0x25c)]['errors'][_0x5e47a7][_0x57af7d(0x155e)]});}}else _0x3412c9[_0x57af7d(0x218e)]({'title':_0x3869c9[_0x57af7d(0x291)]?_0x57af7d(0xeb9)+_0x3869c9[_0x57af7d(0x291)]+_0x57af7d(0x1657)+_0x3869c9[_0x57af7d(0xc22)]:_0x57af7d(0x102d),'msg':_0x3869c9[_0x57af7d(0x25c)]?JSON[_0x57af7d(0x2701)](_0x3869c9[_0x57af7d(0x25c)][_0x57af7d(0x155e)]):_0x3869c9[_0x57af7d(0x147f)]()});});}function _0x21a4ca(){const _0x4de85e=_0x3037f0;_0x3c87ce['errors']=[],_0x5c0cda[_0x4de85e(0xebe)][_0x4de85e(0x687)]({'id':_0x3c87ce['agent']['id']},_0x3c87ce[_0x4de85e(0x1eff)])[_0x4de85e(0x1d77)][_0x4de85e(0x1cb0)](function(_0x353228){const _0x472b34=_0x4de85e,_0x34fd61=_0x39641b()[_0x472b34(0x13b4)](_0x3c87ce['agents'],{'id':_0x353228['id']});_0x34fd61&&_0x39641b()['merge'](_0x34fd61,_0x39641b()[_0x472b34(0x169b)](_0x353228['toJSON'](),_0x39641b()['keys'](_0x34fd61))),_0x3412c9[_0x472b34(0x829)]({'title':_0x472b34(0x1a6d),'msg':_0x3c87ce[_0x472b34(0x1eff)][_0x472b34(0x16b6)]?_0x3c87ce['agent']['name']+_0x472b34(0xedb):''}),_0x954b73(_0x353228);})['catch'](function(_0x492668){const _0x324e07=_0x4de85e;if(_0x492668[_0x324e07(0x25c)]&&_0x492668[_0x324e07(0x25c)][_0x324e07(0x1a7c)]&&_0x492668[_0x324e07(0x25c)][_0x324e07(0x1a7c)]['length']){_0x3c87ce[_0x324e07(0x1a7c)]=_0x492668[_0x324e07(0x25c)][_0x324e07(0x1a7c)]||[{'message':_0x492668['toString'](),'type':_0x324e07(0x498)}];for(let _0x59f5b4=0x0;_0x59f5b4<_0x492668['data'][_0x324e07(0x1a7c)][_0x324e07(0xfd0)];_0x59f5b4++){_0x3412c9['error']({'title':_0x492668[_0x324e07(0x25c)][_0x324e07(0x1a7c)][_0x59f5b4][_0x324e07(0x66a)],'msg':_0x492668[_0x324e07(0x25c)][_0x324e07(0x1a7c)][_0x59f5b4][_0x324e07(0x155e)]});}}else _0x3412c9[_0x324e07(0x218e)]({'title':_0x492668[_0x324e07(0x291)]?_0x324e07(0xeb9)+_0x492668[_0x324e07(0x291)]+_0x324e07(0x1657)+_0x492668[_0x324e07(0xc22)]:'api.user.update','msg':_0x492668[_0x324e07(0x25c)]?JSON['stringify'](_0x492668[_0x324e07(0x25c)][_0x324e07(0x155e)]):_0x492668[_0x324e07(0x147f)]()});});}function _0x291643(_0x386290){const _0x37a181=_0x3037f0;_0x3c87ce[_0x37a181(0x1a7c)]=[];const _0x592651=_0x3963cb['confirm']()['title']('Are\x20you\x20sure?')[_0x37a181(0x862)](_0x37a181(0x253f))[_0x37a181(0x15ad)](_0x37a181(0x9c5))['ok'](_0x37a181(0x2594))['cancel']('Cancel')[_0x37a181(0x728)](_0x386290);_0x3963cb['show'](_0x592651)[_0x37a181(0x1cb0)](function(){const _0x9345bc=_0x37a181;_0x5c0cda[_0x9345bc(0xebe)][_0x9345bc(0x111d)]({'id':_0x3c87ce[_0x9345bc(0x1eff)]['id']})['$promise'][_0x9345bc(0x1cb0)](function(){const _0x4b7bc2=_0x9345bc;_0x39641b()['remove'](_0x3c87ce[_0x4b7bc2(0xc12)],{'id':_0x3c87ce[_0x4b7bc2(0x1eff)]['id']}),_0x3412c9['success']({'title':'Agent\x20properly\x20deleted!','msg':(_0x3c87ce[_0x4b7bc2(0x1eff)][_0x4b7bc2(0x16b6)]||_0x4b7bc2(0x1eff))+_0x4b7bc2(0x3f5)}),_0x954b73(_0x3c87ce['agent']);})['catch'](function(_0x16abb7){const _0x4d181b=_0x9345bc;if(_0x16abb7[_0x4d181b(0x25c)]&&_0x16abb7[_0x4d181b(0x25c)][_0x4d181b(0x1a7c)]&&_0x16abb7['data']['errors']['length']){_0x3c87ce['errors']=_0x16abb7[_0x4d181b(0x25c)]['errors']||[{'message':_0x16abb7[_0x4d181b(0x147f)](),'type':_0x4d181b(0x180a)}];for(let _0x472d54=0x0;_0x472d54<_0x16abb7[_0x4d181b(0x25c)][_0x4d181b(0x1a7c)]['length'];_0x472d54++){_0x3412c9['error']({'title':_0x16abb7[_0x4d181b(0x25c)][_0x4d181b(0x1a7c)][_0x472d54]['type'],'msg':_0x16abb7[_0x4d181b(0x25c)][_0x4d181b(0x1a7c)][_0x472d54][_0x4d181b(0x155e)]});}}else _0x3412c9['error']({'title':_0x16abb7['status']?_0x4d181b(0xeb9)+_0x16abb7['status']+_0x4d181b(0x1657)+_0x16abb7[_0x4d181b(0xc22)]:_0x4d181b(0x180a),'msg':_0x16abb7[_0x4d181b(0x25c)]?JSON[_0x4d181b(0x2701)](_0x16abb7[_0x4d181b(0x25c)][_0x4d181b(0x155e)]):_0x16abb7[_0x4d181b(0x155e)]||_0x16abb7[_0x4d181b(0x147f)]()});});},function(){});}function _0x1e7b1c(_0x30b9ba){return _0x30b9ba===null?undefined:new Date(_0x30b9ba);}function _0x954b73(_0x1d5db4){const _0x57bde1=_0x3037f0;_0x3963cb[_0x57bde1(0x1426)](_0x1d5db4);}}const _0x35aab0=_0x16c37b;;_0x330662[_0x5537c6(0x15b6)]=['$cookies',_0x5537c6(0x9bf)];function _0x330662(_0xc99841,_0x251a23){const _0x191bd0=_0x5537c6,_0x5e579d=this,_0x3adea4=0x8,_0x599d20=_0x3adea4*0x400*0x400;_0x5e579d[_0x191bd0(0x1eff)]={},_0x5e579d['crudPermissions'],_0x5e579d[_0x191bd0(0x15e8)]={'singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0x599d20,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x5e579d[_0x191bd0(0x125a)]={'flow':{}},_0x5e579d['dropping']=![],_0x5e579d['fileAdded']=_0x4d0c32,_0x5e579d[_0x191bd0(0x1c97)]=_0x2458fe,_0x5e579d[_0x191bd0(0x200f)]=_0xe58200,_0x5e579d[_0x191bd0(0x1a8e)]=_0x181fe9;function _0x181fe9(_0x387eed,_0x4466a3){const _0x5a21b6=_0x191bd0;_0x5e579d['agent']=angular[_0x5a21b6(0x17fe)](_0x387eed),_0x5e579d['crudPermissions']=typeof _0x4466a3!=='undefined'?_0x4466a3:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x5e579d[_0x5a21b6(0x15e8)][_0x5a21b6(0x251d)]=_0x5a21b6(0x254)+_0x5e579d['agent']['id']+_0x5a21b6(0x790),_0x5e579d[_0x5a21b6(0x1eff)]['images']=[];}function _0x4d0c32(_0x817080){const _0x700d9a=_0x191bd0,_0xad93c3=['png',_0x700d9a(0xb97)];if(!_0x39641b()[_0x700d9a(0x250a)](_0xad93c3,_0x817080[_0x700d9a(0x1cb1)]()))return _0x251a23[_0x700d9a(0x218e)]({'title':'Invalid\x20extension:\x20'+_0x817080[_0x700d9a(0x1cb1)](),'msg':_0x700d9a(0x4d4)+_0xad93c3[_0x700d9a(0x1f66)]()}),![];if(_0x817080['size']>_0x599d20)return _0x251a23[_0x700d9a(0x218e)]({'title':_0x700d9a(0x2749),'msg':_0x700d9a(0xe61)+_0x3adea4+'MB'}),![];const _0x5c437e={'id':_0x817080[_0x700d9a(0x27c2)],'file':_0x817080,'type':_0x700d9a(0x2989)};return _0x5e579d['agent'][_0x700d9a(0x105c)][_0x700d9a(0xf63)](_0x5c437e),!![];}function _0x2458fe(){const _0x2bf972=_0x191bd0;_0x5e579d['ngFlow']['flow']['opts']['headers']={'X-Requested-With':_0x2bf972(0x2711),'Authorization':_0x2bf972(0x1923)+_0xc99841[_0x2bf972(0xbf7)]('motion.token')},_0x5e579d[_0x2bf972(0x125a)][_0x2bf972(0xacf)][_0x2bf972(0x1c97)]();}function _0xe58200(_0x50a8b6){const _0x3ff567=_0x191bd0;angular['forEach'](_0x5e579d[_0x3ff567(0x1eff)][_0x3ff567(0x105c)],function(_0x442c65){const _0x16d40b=_0x3ff567;if(_0x442c65['id']===_0x50a8b6[_0x16d40b(0x27c2)]){const _0x2fdddc=new FileReader();_0x2fdddc[_0x16d40b(0x245d)](_0x442c65[_0x16d40b(0x1ac4)]['file']),_0x2fdddc[_0x16d40b(0x198d)]=function(_0x4da121){const _0x401d6d=_0x16d40b;_0x442c65[_0x401d6d(0x135b)]=_0x4da121[_0x401d6d(0x251d)]['result'];},_0x442c65[_0x16d40b(0x66a)]=_0x16d40b(0x499);}});}}const _0x255392=_0x330662;;_0x24854b[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0xcb9),_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x1eff),_0x5537c6(0x9ca),_0x5537c6(0x1774)];function _0x24854b(_0xc921af,_0x50a7e4,_0x4df216,_0x439b4c,_0x4df838,_0x2b6792,_0x151b69){const _0x31f08f=_0x5537c6,_0x5be705=this;_0x5be705[_0x31f08f(0xe76)]=_0x151b69['getCurrentUser'](),_0x5be705[_0x31f08f(0x1a7c)]=[],_0x5be705[_0x31f08f(0x9ca)]=_0x2b6792,_0x5be705[_0x31f08f(0x1b0c)]=_0x5be705[_0x31f08f(0x9ca)][_0x31f08f(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x5be705[_0x31f08f(0x1eff)]=_0x4df838,_0x5be705[_0x31f08f(0x381)]='',_0x5be705[_0x31f08f(0xf0f)]='',_0x5be705['savePassword']=_0x59ad40,_0x5be705[_0x31f08f(0xda0)]=_0x4f0cb0,_0xc921af[_0x31f08f(0x614)](_0x31f08f(0x81a),function(_0xfa172c){const _0x403f7c=_0x31f08f;!_0x39641b()[_0x403f7c(0x958)](_0x5be705[_0x403f7c(0xf0f)])&&(_0xfa172c===_0x5be705[_0x403f7c(0xf0f)]?_0xc921af[_0x403f7c(0x33d)]['password'][_0x403f7c(0x92f)](_0x403f7c(0x1e13),![]):_0xc921af[_0x403f7c(0x33d)]['password'][_0x403f7c(0x92f)]('oldPasswordMatch',!![]));});function _0x59ad40(){const _0x5b0c07=_0x31f08f;_0x5be705[_0x5b0c07(0x1a7c)]=[];const _0x503c89={'id':_0x5be705['agent']['id'],'newPassword':_0x5be705[_0x5b0c07(0x381)]};_0x5be705[_0x5b0c07(0xe76)]['id']==_0x5be705[_0x5b0c07(0x1eff)]['id']&&(_0x503c89[_0x5b0c07(0xf0f)]=_0x5be705['oldPassword']),_0x439b4c[_0x5b0c07(0xebe)][_0x5b0c07(0xcad)](_0x503c89)[_0x5b0c07(0x1d77)][_0x5b0c07(0x1cb0)](function(){const _0x4ede2c=_0x5b0c07;_0x4df216[_0x4ede2c(0x829)]({'title':_0x4ede2c(0x190a)}),_0x4f0cb0();})[_0x5b0c07(0x1c4)](function(_0x44a2bf){const _0x56eac1=_0x5b0c07;_0x4df216[_0x56eac1(0x218e)]({'title':_0x44a2bf[_0x56eac1(0x291)]?_0x56eac1(0xeb9)+_0x44a2bf[_0x56eac1(0x291)]+_0x56eac1(0x1657)+_0x44a2bf['statusText']:_0x56eac1(0x372),'msg':_0x44a2bf[_0x56eac1(0x25c)]?JSON['stringify'](_0x44a2bf[_0x56eac1(0x25c)]['message']):_0x44a2bf[_0x56eac1(0x147f)]()}),_0x5be705['errors']=_0x44a2bf[_0x56eac1(0x25c)]['errors']||[{'message':_0x44a2bf['toString'](),'type':'api.agent.changePassword'}];});}function _0x4f0cb0(){const _0x1166e4=_0x31f08f;_0x50a7e4[_0x1166e4(0x1426)]();}}const _0x20d3de=_0x24854b;;_0x2b70e0[_0x5537c6(0x15b6)]=[_0x5537c6(0x22bf),_0x5537c6(0xcb9),_0x5537c6(0x142b),'msNavigationAgentService','toasty'];function _0x2b70e0(_0xb4bbcf,_0xceb0f9,_0x2811c4,_0x46247a,_0x3da7df){const _0x5f1502=_0x5537c6,_0x541205=this;_0x541205['agent']={},_0x541205[_0x5f1502(0x511)]=_0x46247a[_0x5f1502(0x221)](),_0x541205[_0x5f1502(0x1b1a)],_0x541205[_0x5f1502(0x1a8e)]=_0x1755d5,_0x541205[_0x5f1502(0x108e)]=_0xb64156;function _0x1755d5(_0x55e937,_0x204de0){const _0x34a254=_0x5f1502;_0x541205[_0x34a254(0x1eff)]=_0x55e937,_0x541205[_0x34a254(0x1b1a)]=typeof _0x204de0!=='undefined'?_0x204de0:{'readOnly':!![],'canEdit':![],'canDelete':![]};}function _0xb64156(_0x593470,_0x4c037f){const _0x56aeaf=_0x5f1502,_0x4fc2aa=_0x4c037f||_0x593470;if(_0x4fc2aa[_0x56aeaf(0x281c)]){if(_0x4c037f)_0x4c037f[_0x56aeaf(0x281c)]=!![],_0x541205[_0x56aeaf(0x1eff)][_0x56aeaf(0x6ef)][_0x56aeaf(0x2785)](_0x4c037f['id']);else for(let _0x1a8b45=0x0;_0x1a8b45<_0x593470[_0x56aeaf(0x27a5)][_0x56aeaf(0xfd0)];_0x1a8b45++){_0x593470[_0x56aeaf(0x27a5)][_0x1a8b45][_0x56aeaf(0x281c)]=!![],_0x541205[_0x56aeaf(0x1eff)][_0x56aeaf(0x6ef)][_0x56aeaf(0x2785)](_0x593470['children'][_0x1a8b45]['id']);}_0x593470['enabled']=!![],_0x541205[_0x56aeaf(0x1eff)][_0x56aeaf(0x6ef)][_0x56aeaf(0x2785)](_0x593470['id']);}else{if(_0x4c037f)_0x4c037f[_0x56aeaf(0x281c)]=![],_0x39641b()[_0x56aeaf(0x152a)](_0x541205[_0x56aeaf(0x1eff)]['permissions'],function(_0x23ca4e){return _0x4c037f['id']===_0x23ca4e;}),_0x39641b()[_0x56aeaf(0x1c99)](_0x593470[_0x56aeaf(0x27a5)],{'enabled':![]})[_0x56aeaf(0xfd0)]===_0x593470[_0x56aeaf(0x27a5)][_0x56aeaf(0xfd0)]&&(_0x593470[_0x56aeaf(0x281c)]=![],_0x39641b()['remove'](_0x541205[_0x56aeaf(0x1eff)][_0x56aeaf(0x6ef)],function(_0x18c2b1){return _0x593470['id']===_0x18c2b1;}));else{_0x593470[_0x56aeaf(0x281c)]=![],_0x39641b()[_0x56aeaf(0x152a)](_0x541205[_0x56aeaf(0x1eff)]['permissions'],function(_0x224265){return _0x593470['id']===_0x224265;});for(let _0x11bb01=0x0;_0x11bb01<_0x593470[_0x56aeaf(0x27a5)][_0x56aeaf(0xfd0)];_0x11bb01++){_0x593470[_0x56aeaf(0x27a5)][_0x11bb01][_0x56aeaf(0x281c)]=![],_0x39641b()[_0x56aeaf(0x152a)](_0x541205[_0x56aeaf(0x1eff)]['permissions'],function(_0x528078){const _0x24a470=_0x56aeaf;return _0x593470[_0x24a470(0x27a5)][_0x11bb01]['id']===_0x528078;});}}}return _0x2811c4['user'][_0x56aeaf(0x687)]({'id':_0x541205[_0x56aeaf(0x1eff)]['id'],'permissions':_0x39641b()[_0x56aeaf(0x14bc)](_0x541205[_0x56aeaf(0x1eff)][_0x56aeaf(0x6ef)])})[_0x56aeaf(0x1d77)][_0x56aeaf(0x1cb0)](function(){const _0x5ad996=_0x56aeaf;_0x3da7df[_0x5ad996(0x829)]({'title':_0x5ad996(0x20c6),'msg':_0x541205[_0x5ad996(0x1eff)]['name']?_0x541205[_0x5ad996(0x1eff)][_0x5ad996(0x16b6)]+_0x5ad996(0x1068):''});})[_0x56aeaf(0x1c4)](function(_0x28440f){const _0x98494a=_0x56aeaf;console[_0x98494a(0x218e)](_0x28440f);});}}const _0x208147=_0x2b70e0;;_0x22c83a['$inject']=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x1eff),_0x5537c6(0x1072),_0x5537c6(0x979),_0x5537c6(0x1ae),'Auth',_0x5537c6(0x1b1a)];function _0x22c83a(_0x4423d3,_0x16f45d,_0x51e51e,_0x2f37ea,_0x22cf6d,_0x5bd386,_0x32496d,_0x492c64,_0x5c13b9,_0x3dfc7e){const _0x24fc95=_0x5537c6,_0x12e24e=this;_0x12e24e[_0x24fc95(0xe76)]=_0x5c13b9[_0x24fc95(0x21e8)](),_0x12e24e[_0x24fc95(0x1eff)]=_0x22cf6d,_0x12e24e[_0x24fc95(0x1b1a)]=_0x3dfc7e,_0x12e24e[_0x24fc95(0x1cbc)]=0x0,_0x12e24e['channel']=_0x5bd386,_0x12e24e[_0x24fc95(0x979)]=_0x32496d,_0x12e24e['apiName']=_0x12e24e[_0x24fc95(0x1072)]+_0x24fc95(0x2687),_0x12e24e[_0x24fc95(0x1386)]=_0x492c64[_0x24fc95(0x25cc)](_0x24fc95(0x20b9)+_0x12e24e['channel']['toUpperCase']()+'_'+_0x12e24e[_0x24fc95(0x979)]['toUpperCase']()),_0x12e24e[_0x24fc95(0x122f)]=[],_0x12e24e[_0x24fc95(0x1372)]=[],_0x12e24e[_0x24fc95(0x20bb)]=[],_0x12e24e[_0x24fc95(0xbd5)]=[],_0x12e24e[_0x24fc95(0x1456)]=[],_0x12e24e['pendingChanges']=![],_0x12e24e[_0x24fc95(0x18c0)]={'readOnly':!_0x12e24e[_0x24fc95(0x1b1a)][_0x24fc95(0xb3d)],'allowedItems':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x24fc95(0x16b6),'line1':'name','line2':_0x24fc95(0x66a),'line3':_0x12e24e['channel']===_0x24fc95(0x1fd4)?'penalty':'','labelAll':_0x492c64[_0x24fc95(0x25cc)](_0x24fc95(0x16b8)+_0x12e24e[_0x24fc95(0x1072)][_0x24fc95(0x2335)]()+'_'+_0x12e24e[_0x24fc95(0x979)][_0x24fc95(0x2335)]()),'labelSelected':_0x492c64[_0x24fc95(0x25cc)](_0x24fc95(0x27ee)+_0x12e24e['channel'][_0x24fc95(0x2335)]()+'_'+_0x12e24e[_0x24fc95(0x979)][_0x24fc95(0x2335)]()),'transferCallback':function(_0x2bf0d7,_0x5db914){const _0x72504e=_0x24fc95;_0x5db914?_0x2bf0d7[_0x72504e(0xf90)](function(_0x26dccf){_0x26dccf['penalty']=undefined;}):_0x2bf0d7[_0x72504e(0xf90)](function(_0x2d4e18){const _0x55034b=_0x72504e;_0x2d4e18[_0x55034b(0x1cbc)]=_0x55034b(0x455)+_0x12e24e[_0x55034b(0x1cbc)];});const _0x6d1741=_0x39641b()['xorWith'](_0x12e24e[_0x72504e(0x1456)],_0x12e24e[_0x72504e(0x20bb)],function(_0x236653,_0x30dbac){const _0x1cba6b=_0x72504e;return _0x236653['id']===_0x30dbac['id']&&_0x236653[_0x1cba6b(0x1cbc)]===_0x30dbac[_0x1cba6b(0x1cbc)];});_0x12e24e[_0x72504e(0x50c)]=_0x39641b()[_0x72504e(0xce9)](_0x6d1741)?![]:!![];}},_0x12e24e[_0x24fc95(0x1a34)]=_0x7ec448,_0x12e24e[_0x24fc95(0x1ac3)]=_0xe7afee,_0x12e24e[_0x24fc95(0xda0)]=_0x2356e2;function _0x7ec448(){const _0x37d697=_0x24fc95;return _0x5c13b9['hasRole'](_0x37d697(0x1c60))?_0x11f816()[_0x37d697(0x1c4)](function(_0x43d0ea){const _0x3ff4d5=_0x37d697;_0x51e51e[_0x3ff4d5(0x218e)]({'title':_0x43d0ea[_0x3ff4d5(0x291)]?'API:'+_0x43d0ea[_0x3ff4d5(0x291)]+'\x20-\x20'+_0x43d0ea[_0x3ff4d5(0xc22)]:'SYSTEM:GET_QUEUES','msg':_0x43d0ea[_0x3ff4d5(0x291)]?JSON[_0x3ff4d5(0x2701)](_0x43d0ea[_0x3ff4d5(0x25c)]):_0x43d0ea[_0x3ff4d5(0x147f)]()});}):_0x3f2dbf()[_0x37d697(0x1cb0)](function(_0x212655){const _0x569e8f=_0x37d697;return _0x12e24e[_0x569e8f(0x1f74)]=_0x212655,_0x11f816();})['catch'](function(_0x4d6f18){const _0x2234c5=_0x37d697;_0x51e51e['error']({'title':_0x4d6f18[_0x2234c5(0x291)]?_0x2234c5(0xeb9)+_0x4d6f18['status']+_0x2234c5(0x1657)+_0x4d6f18[_0x2234c5(0xc22)]:_0x2234c5(0x277c),'msg':_0x4d6f18[_0x2234c5(0x291)]?JSON['stringify'](_0x4d6f18['data']):_0x4d6f18['toString']()});});}function _0x3f2dbf(){return _0x16f45d(function(_0x36e230,_0xd0fa50){const _0x56bedc=a0_0x5cbd;let _0x5290d4;switch(_0x12e24e[_0x56bedc(0x1072)]){case _0x56bedc(0x1fd4):switch(_0x12e24e[_0x56bedc(0x979)]){case _0x56bedc(0x26c0):_0x5290d4=_0x56bedc(0x2382);break;case'outbound':_0x5290d4=_0x56bedc(0x1e28);break;}break;case'chat':_0x5290d4=_0x56bedc(0x23a4);break;case _0x56bedc(0x2651):_0x5290d4='MailQueues';break;case _0x56bedc(0x689):_0x5290d4=_0x56bedc(0x14ad);break;case _0x56bedc(0x15e0):_0x5290d4=_0x56bedc(0x1765);break;case _0x56bedc(0x1944):_0x5290d4=_0x56bedc(0x1972);break;}_0x2f37ea['userProfileSection'][_0x56bedc(0xbf7)]({'userProfileId':_0x12e24e['currentUser']['userProfileId'],'name':_0x5290d4})[_0x56bedc(0x1d77)][_0x56bedc(0x1cb0)](function(_0x5bebbe){const _0x3f9635=_0x56bedc,_0x7e6ce0=_0x5bebbe&&_0x5bebbe['rows']?_0x5bebbe[_0x3f9635(0x2214)][0x0]:null;_0x36e230(_0x7e6ce0);})[_0x56bedc(0x1c4)](function(_0x59fb57){_0xd0fa50(_0x59fb57);});});}function _0x11f816(){return _0x16f45d(function(_0x42ebaa,_0x3588f5){const _0x1a07d9=a0_0x5cbd;return _0x2d7dd7()[_0x1a07d9(0x1cb0)](function(_0x1e1f2b){const _0x3a9f74=_0x1a07d9;return _0x12e24e[_0x3a9f74(0x122f)]=_0x1e1f2b[_0x3a9f74(0x2214)]?_0x1e1f2b['rows']:[],_0x5c13b9['hasRole'](_0x3a9f74(0x1c60))?_0x1e1f2b:_0x12e24e[_0x3a9f74(0x1f74)]?_0x12e24e['section'][_0x3a9f74(0x12f4)]?_0x1e1f2b:_0x3dad31():null;})['then'](function(_0x3efbe4){const _0x1ed813=_0x1a07d9,_0xba6ee2=_0x3efbe4&&_0x3efbe4[_0x1ed813(0x2214)]?_0x3efbe4[_0x1ed813(0x2214)]:[];return _0x12e24e[_0x1ed813(0x1372)]=_0x39641b()[_0x1ed813(0x1de2)](_0xba6ee2,function(_0x346ec7){const _0x2cc6ad=_0x1ed813;return _0x39641b()[_0x2cc6ad(0x13b4)](_0x12e24e[_0x2cc6ad(0x122f)],{'id':_0x5c13b9['hasRole'](_0x2cc6ad(0x1c60))||_0x12e24e[_0x2cc6ad(0x1f74)][_0x2cc6ad(0x12f4)]?_0x346ec7['id']:_0x346ec7[_0x2cc6ad(0x2982)]});}),_0x12e24e[_0x1ed813(0xbd5)]=angular[_0x1ed813(0x17fe)](_0x12e24e[_0x1ed813(0x1372)]),_0x12e24e[_0x1ed813(0x122f)][_0x1ed813(0xf90)](function(_0xe91c5c){const _0x3a23d6=_0x1ed813,_0x3cca56=_0x39641b()[_0x3a23d6(0x13b4)](_0x12e24e['allowedItems'],{'id':_0xe91c5c['id']});_0x5c13b9[_0x3a23d6(0x22b6)](_0x3a23d6(0x1c60))?_0xe91c5c[_0x3a23d6(0x1a4f)]=!![]:_0xe91c5c['isValid']=typeof _0x3cca56!=='undefined'?!![]:![];}),_0x187bde();})[_0x1a07d9(0x1cb0)](function(_0x450856){const _0x2e18d7=_0x1a07d9,_0x7c884d=_0x450856&&_0x450856[_0x2e18d7(0x2214)]?_0x450856[_0x2e18d7(0x2214)]:[];_0x12e24e[_0x2e18d7(0x20bb)]=_0x39641b()[_0x2e18d7(0x1de2)](_0x7c884d,function(_0x111c13){const _0x2fbfff=_0x2e18d7,_0x18a88c=_0x39641b()['find'](_0x12e24e['items'],{'id':_0x111c13['id']});return _0x12e24e['channel']==='voice'&&(_0x18a88c['penalty']=typeof _0x18a88c!=='undefined'&&typeof _0x111c13['UserVoiceQueue']!==_0x2fbfff(0x16b5)?'penalty\x20'+_0x111c13[_0x2fbfff(0x2071)]['penalty']:'penalty\x20'+0x0),_0x18a88c;}),_0x12e24e['startingSelectedItems']=angular['copy'](_0x12e24e[_0x2e18d7(0x20bb)]),_0x12e24e[_0x2e18d7(0x18c0)][_0x2e18d7(0x20bb)]=_0x12e24e[_0x2e18d7(0x20bb)],_0x12e24e[_0x2e18d7(0x18c0)][_0x2e18d7(0x122f)]=_0x39641b()[_0x2e18d7(0x2128)](_0x12e24e[_0x2e18d7(0x1372)],_0x12e24e[_0x2e18d7(0x18c0)][_0x2e18d7(0x20bb)],'id'),_0x42ebaa();})[_0x1a07d9(0x1c4)](function(_0xdfe3a8){_0x3588f5(_0xdfe3a8);});});}function _0x3dad31(){return _0x16f45d(function(_0xdf8a87,_0x43908b){const _0x4aa489=a0_0x5cbd;return _0x2f37ea['userProfileResource'][_0x4aa489(0xbf7)]({'sectionId':_0x12e24e[_0x4aa489(0x1f74)]['id'],'nolimit':!![]})[_0x4aa489(0x1d77)]['then'](function(_0x1f42fd){_0xdf8a87(_0x1f42fd);})['catch'](function(_0xba3722){_0x43908b(_0xba3722);});});}function _0x187bde(){return _0x16f45d(function(_0x3e66f4,_0x4f633d){const _0x219c01=a0_0x5cbd;return _0x2f37ea[_0x219c01(0xebe)][_0x219c01(0x13e9)]({'id':_0x12e24e['agent']['id'],'fields':'id,name,strategy,type,penalty','nolimit':!![],'type':_0x12e24e[_0x219c01(0x979)],'channel':_0x12e24e[_0x219c01(0x1072)]})['$promise']['then'](function(_0x2c3904){_0x3e66f4(_0x2c3904);})[_0x219c01(0x1c4)](function(_0x38356e){_0x4f633d(_0x38356e);});});}function _0x2d7dd7(){return _0x16f45d(function(_0x1cbec7,_0x4ebf11){const _0x148724=a0_0x5cbd;return _0x2f37ea[_0x12e24e['apiName']][_0x148724(0xbf7)]({'fields':_0x148724(0x267e),'channel':_0x12e24e[_0x148724(0x1072)]==='bull'?_0x148724(0x1fd4):_0x12e24e[_0x148724(0x1072)],'type':_0x12e24e[_0x148724(0x979)],'nolimit':!![]})[_0x148724(0x1d77)]['then'](function(_0x43d76b){_0x1cbec7(_0x43d76b);})[_0x148724(0x1c4)](function(_0x4ecab3){_0x4ebf11(_0x4ecab3);});});}function _0x1b2134(_0xc65864){return _0x16f45d(function(_0x4e5d94,_0x2736a7){const _0x35661f=a0_0x5cbd;if(_0x39641b()[_0x35661f(0xce9)](_0xc65864))_0x4e5d94();else{if(_0x12e24e[_0x35661f(0x1072)]===_0x35661f(0x1fd4)){const _0x4f64ac=[],_0x38506c=_0x39641b()['groupBy'](_0xc65864,'penalty');for(let _0x4b8e5b=0x0;_0x4b8e5b':'',_0x567c32;}),_0x2271bb[_0x6b158d(0x1456)]=angular[_0x6b158d(0x17fe)](_0x2271bb['selectedItems']),_0x2271bb['dualMultiselectOptions'][_0x6b158d(0x20bb)]=_0x2271bb[_0x6b158d(0x20bb)],_0x2271bb[_0x6b158d(0x18c0)][_0x6b158d(0x122f)]=_0x39641b()[_0x6b158d(0x2128)](_0x2271bb[_0x6b158d(0x1372)],_0x2271bb[_0x6b158d(0x18c0)]['selectedItems'],'id'),_0xcab6e0();})[_0x5dcef6(0x1c4)](function(_0x100b49){_0x5db2b7(_0x100b49);});});}function _0x3de7ce(){return _0x5795f5(function(_0x20fb8b,_0x21dd8e){const _0x48de29=a0_0x5cbd;return _0x156e38[_0x48de29(0x1198)]['get']({'sectionId':_0x2271bb[_0x48de29(0x1f74)]['id'],'nolimit':!![]})['$promise'][_0x48de29(0x1cb0)](function(_0x2505e6){_0x20fb8b(_0x2505e6);})[_0x48de29(0x1c4)](function(_0x18efc6){_0x21dd8e(_0x18efc6);});});}function _0x4f589a(){return _0x5795f5(function(_0x3e4d03,_0x429282){const _0x16de37=a0_0x5cbd;return _0x156e38[_0x16de37(0xdfd)][_0x16de37(0x2348)]({'id':_0x2271bb['team']['id'],'fields':'id,name,internal,fullname','nolimit':!![],'role':'agent'})[_0x16de37(0x1d77)][_0x16de37(0x1cb0)](function(_0x3f1cf1){_0x3e4d03(_0x3f1cf1);})[_0x16de37(0x1c4)](function(_0x404e11){_0x429282(_0x404e11);});});}function _0x2167ed(){return _0x5795f5(function(_0x223f35,_0x1914d5){const _0xe4cb21=a0_0x5cbd;return _0x156e38[_0xe4cb21(0xebe)][_0xe4cb21(0xbf7)]({'fields':'id,name,internal,fullname','nolimit':!![],'role':_0xe4cb21(0x1eff)})[_0xe4cb21(0x1d77)]['then'](function(_0x2d68f4){_0x223f35(_0x2d68f4);})[_0xe4cb21(0x1c4)](function(_0x12b51b){_0x1914d5(_0x12b51b);});});}function _0x314965(_0x11b83b){return _0x5795f5(function(_0x26658f,_0xb9b8ba){const _0x3477b7=a0_0x5cbd;_0x39641b()[_0x3477b7(0xce9)](_0x11b83b)?_0x26658f():_0x156e38['team']['addAgents']({'id':_0x2271bb['team']['id'],'ids':_0x39641b()[_0x3477b7(0x1de2)](_0x11b83b,'id')})[_0x3477b7(0x1d77)][_0x3477b7(0x1cb0)](function(){_0x26658f();})[_0x3477b7(0x1c4)](function(_0x4e312d){_0xb9b8ba(_0x4e312d);});});}function _0x2063c5(_0x3f7594){return _0x5795f5(function(_0x417af4,_0x1430d8){const _0x119e70=a0_0x5cbd;_0x39641b()[_0x119e70(0xce9)](_0x3f7594)?_0x417af4():_0x156e38['team'][_0x119e70(0x223f)]({'id':_0x2271bb[_0x119e70(0xdfd)]['id'],'ids':_0x39641b()[_0x119e70(0x1de2)](_0x3f7594,'id')})[_0x119e70(0x1d77)]['then'](function(){_0x417af4();})[_0x119e70(0x1c4)](function(_0x57c277){_0x1430d8(_0x57c277);});});}function _0x86808b(){const _0x3f2021=_0x6c3ece,_0x3fa58a=_0x39641b()[_0x3f2021(0x2128)](_0x2271bb[_0x3f2021(0x1456)],_0x2271bb['selectedItems'],'id'),_0x1117ec=_0x39641b()[_0x3f2021(0x2128)](_0x2271bb[_0x3f2021(0x20bb)],_0x2271bb[_0x3f2021(0x1456)],'id');return _0x2063c5(_0x3fa58a)[_0x3f2021(0x1cb0)](function(){return _0x314965(_0x1117ec);})[_0x3f2021(0x1cb0)](function(){const _0x73429c=_0x3f2021;_0x2271bb[_0x73429c(0x50c)]=![],_0x2271bb[_0x73429c(0xbd5)]=angular[_0x73429c(0x17fe)](_0x2271bb[_0x73429c(0x1372)]),_0x2271bb[_0x73429c(0x1456)]=angular[_0x73429c(0x17fe)](_0x2271bb[_0x73429c(0x20bb)]),_0xc964ab[_0x73429c(0x829)]({'title':_0x73429c(0x201),'msg':_0x73429c(0x976)});})['catch'](function(_0x4c729b){const _0x103697=_0x3f2021;_0xc964ab[_0x103697(0x218e)]({'title':_0x4c729b[_0x103697(0x291)]?'API:'+_0x4c729b[_0x103697(0x291)]+'\x20-\x20'+_0x4c729b[_0x103697(0xc22)]:'SYSTEM:LISTS_ASSOCIATION','msg':_0x4c729b[_0x103697(0x291)]?JSON[_0x103697(0x2701)](_0x4c729b[_0x103697(0x25c)]):_0x4c729b['toString']()});});}function _0x3240e3(){const _0x2c3003=_0x6c3ece;_0xb4af37[_0x2c3003(0x1426)]();}}const _0x8d0bee=_0x9d146b;;const _0x339348=_0x5074a3['p']+_0x5537c6(0x268);;const _0x46eb7c=_0x5074a3['p']+_0x5537c6(0x1a8);;_0x1e9374[_0x5537c6(0x15b6)]=['$scope','$window','$state',_0x5537c6(0x417),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x2168),_0x5537c6(0x1ae),'teams',_0x5537c6(0x44a),'userProfileSection','api',_0x5537c6(0xde8),'toasty',_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting'];function _0x1e9374(_0x59adbc,_0x1a8621,_0x17460a,_0x2850af,_0x2a6f8a,_0x109291,_0x2c2c1e,_0x547a5c,_0x35821a,_0x5c1ad1,_0x1158b0,_0x4273da,_0x33e4b8,_0x23323f,_0x2c1f08,_0x20ca98,_0x265ef1){const _0x46362d=_0x5537c6,_0xb9fffd=this;_0xb9fffd[_0x46362d(0x8a5)]=_0x20ca98,_0xb9fffd[_0x46362d(0x9ca)]=_0x265ef1,_0xb9fffd[_0x46362d(0xe76)]=_0x2c1f08['getCurrentUser'](),_0xb9fffd[_0x46362d(0xef9)]=_0x35821a||{'count':0x0,'rows':[]},_0xb9fffd[_0x46362d(0x44a)]=_0x5c1ad1,_0xb9fffd[_0x46362d(0x2199)]=_0x1158b0&&_0x1158b0['count']==0x1?_0x1158b0[_0x46362d(0x2214)][0x0]:null,_0xb9fffd[_0x46362d(0x1b1a)]=_0x2c1f08[_0x46362d(0x14ea)](_0xb9fffd[_0x46362d(0x2199)]?_0xb9fffd[_0x46362d(0x2199)]['crudPermissions']:null),_0xb9fffd[_0x46362d(0xc83)]=_0x46362d(0xef9),_0xb9fffd[_0x46362d(0x1d20)]='',_0xb9fffd[_0x46362d(0x1cdf)]=null,_0xb9fffd[_0x46362d(0xf2a)]=[],_0xb9fffd['query']={'fields':_0x46362d(0x12e8),'sort':'-updatedAt','limit':0xa,'page':0x1},_0xb9fffd[_0x46362d(0xc93)]=_0x108191,_0xb9fffd[_0x46362d(0x1379)]=_0x1f4bfc,_0xb9fffd[_0x46362d(0x27fe)]=_0x4ef60c,_0xb9fffd[_0x46362d(0x829)]=_0x37d325,_0xb9fffd[_0x46362d(0x1407)]=_0x3a2c0a,_0xb9fffd[_0x46362d(0x234e)]=_0x84cca7,_0xb9fffd[_0x46362d(0x29b9)]=_0x3c549a,_0xb9fffd[_0x46362d(0x1900)]=_0x189a48,_0xb9fffd[_0x46362d(0xa8f)]=_0x47b9f9,_0xb9fffd[_0x46362d(0x2fe)]=_0x19851f,_0xb9fffd['selectAllTeams']=_0x1ddbb3;function _0x108191(_0x5bdfbc,_0x577db5){const _0x1c84db=_0x46362d;_0x2a6f8a['show']({'controller':'CreateOrEditTeamDialogController','controllerAs':'vm','templateUrl':_0x339348,'parent':angular[_0x1c84db(0x1853)](_0x109291[_0x1c84db(0x1ed9)]),'targetEvent':_0x577db5,'clickOutsideToClose':!![],'locals':{'team':_0x5bdfbc,'teams':_0xb9fffd[_0x1c84db(0xef9)][_0x1c84db(0x2214)],'license':_0xb9fffd[_0x1c84db(0x8a5)],'setting':null,'crudPermissions':_0xb9fffd[_0x1c84db(0x1b1a)]}});}function _0x1f4bfc(_0x57125c,_0x3c9663){const _0x34a1c3=_0x46362d;_0x2a6f8a[_0x34a1c3(0xe27)]({'controller':_0x34a1c3(0xffa),'controllerAs':'vm','templateUrl':_0x46eb7c,'parent':angular[_0x34a1c3(0x1853)](_0x109291[_0x34a1c3(0x1ed9)]),'targetEvent':_0x3c9663,'clickOutsideToClose':!![],'locals':{'team':_0x57125c,'teams':_0xb9fffd[_0x34a1c3(0xef9)]?_0xb9fffd['teams'][_0x34a1c3(0x2214)]:[],'crudPermissions':_0xb9fffd[_0x34a1c3(0x1b1a)],'realtime':![]}});}function _0x4ef60c(_0x4551f1,_0x1b491a){const _0x51d8bd=_0x46362d,_0x3c2b73=_0x2a6f8a['confirm']()[_0x51d8bd(0x1386)](_0x51d8bd(0x140b)+_0x39641b()[_0x51d8bd(0xa75)](_0x51d8bd(0xdfd))+'?')[_0x51d8bd(0x49e)](_0x51d8bd(0x204d)+(_0x4551f1[_0x51d8bd(0x16b6)]||_0x51d8bd(0xdfd))+_0x51d8bd(0x1200)+_0x51d8bd(0x1b6))[_0x51d8bd(0x15ad)](_0x51d8bd(0x1ef2))[_0x51d8bd(0x728)](_0x1b491a)['ok']('OK')['cancel'](_0x51d8bd(0x24ba));_0x2a6f8a[_0x51d8bd(0xe27)](_0x3c2b73)[_0x51d8bd(0x1cb0)](function(){_0x3c549a(_0x4551f1);},function(){const _0x42daa9=_0x51d8bd;console[_0x42daa9(0x1b4f)]('CANCEL');});}let _0x2b737c=!![],_0x8a40f6=0x1;_0x59adbc[_0x46362d(0x614)]('vm.query.filter',function(_0x3d01f1,_0x2acb1a){const _0x2162a4=_0x46362d;_0x2b737c?_0x2c2c1e(function(){_0x2b737c=![];}):(!_0x2acb1a&&(_0x8a40f6=_0xb9fffd[_0x2162a4(0xae2)]['page']),_0x3d01f1!==_0x2acb1a&&(_0xb9fffd[_0x2162a4(0xae2)][_0x2162a4(0x1c7b)]=0x1),!_0x3d01f1&&(_0xb9fffd['query'][_0x2162a4(0x1c7b)]=_0x8a40f6),_0xb9fffd[_0x2162a4(0x1407)]());});function _0x37d325(_0x44109b){const _0xb0f24b=_0x46362d;_0xb9fffd[_0xb0f24b(0xef9)]=_0x44109b||{'count':0x0,'rows':[]};}function _0x3a2c0a(){const _0x5ceff2=_0x46362d;_0xb9fffd[_0x5ceff2(0xae2)][_0x5ceff2(0x184b)]=(_0xb9fffd['query'][_0x5ceff2(0x1c7b)]-0x1)*_0xb9fffd[_0x5ceff2(0xae2)]['limit'],_0x2c1f08['hasRole']('admin')?_0xb9fffd[_0x5ceff2(0x2061)]=_0x4273da[_0x5ceff2(0xdfd)][_0x5ceff2(0xbf7)](_0xb9fffd['query'],_0x37d325)[_0x5ceff2(0x1d77)]:(_0xb9fffd[_0x5ceff2(0xae2)]['id']=_0xb9fffd['userProfile']['id'],_0xb9fffd[_0x5ceff2(0xae2)][_0x5ceff2(0x1f74)]=_0x5ceff2(0x492),_0xb9fffd[_0x5ceff2(0x2061)]=_0x4273da[_0x5ceff2(0x44a)]['getResources'](_0xb9fffd[_0x5ceff2(0xae2)],_0x37d325)['$promise']);}function _0x84cca7(_0x51218a,_0x5a7aba){const _0x443e8a=_0x46362d;_0x2a6f8a[_0x443e8a(0xe27)]({'controller':_0x443e8a(0x3e7),'controllerAs':'vm','templateUrl':_0x339348,'parent':angular[_0x443e8a(0x1853)](_0x109291[_0x443e8a(0x1ed9)]),'targetEvent':_0x51218a,'clickOutsideToClose':!![],'locals':{'team':_0x5a7aba,'teams':_0xb9fffd[_0x443e8a(0xef9)][_0x443e8a(0x2214)],'license':_0xb9fffd['license'],'setting':_0xb9fffd[_0x443e8a(0x9ca)],'crudPermissions':_0xb9fffd[_0x443e8a(0x1b1a)]}});}function _0x3c549a(_0x2ae56c){const _0x108e69=_0x46362d;_0x4273da[_0x108e69(0xdfd)]['delete']({'id':_0x2ae56c['id']})[_0x108e69(0x1d77)]['then'](function(){const _0x317a92=_0x108e69;_0x39641b()[_0x317a92(0x152a)](_0xb9fffd[_0x317a92(0xef9)][_0x317a92(0x2214)],{'id':_0x2ae56c['id']}),_0xb9fffd[_0x317a92(0xef9)][_0x317a92(0x184d)]-=0x1,!_0xb9fffd[_0x317a92(0xef9)][_0x317a92(0x2214)][_0x317a92(0xfd0)]&&_0xb9fffd[_0x317a92(0x1407)](),_0x23323f['success']({'title':_0x39641b()[_0x317a92(0xa75)](_0x317a92(0xa33))+_0x317a92(0x2663),'msg':_0x2ae56c[_0x317a92(0x16b6)]?_0x2ae56c[_0x317a92(0x16b6)]+_0x317a92(0x3f5):''});})[_0x108e69(0x1c4)](function(_0x2e00ad){const _0x16cbe0=_0x108e69;if(_0x2e00ad[_0x16cbe0(0x25c)]&&_0x2e00ad[_0x16cbe0(0x25c)]['errors']&&_0x2e00ad['data'][_0x16cbe0(0x1a7c)]['length']){_0xb9fffd[_0x16cbe0(0x1a7c)]=_0x2e00ad['data'][_0x16cbe0(0x1a7c)]||[{'message':_0x2e00ad[_0x16cbe0(0x147f)](),'type':_0x16cbe0(0x1c46)}];for(let _0x4655cf=0x0;_0x4655cf<_0x2e00ad[_0x16cbe0(0x25c)][_0x16cbe0(0x1a7c)]['length'];_0x4655cf++){_0x23323f[_0x16cbe0(0x218e)]({'title':_0x2e00ad[_0x16cbe0(0x25c)][_0x16cbe0(0x1a7c)][_0x4655cf][_0x16cbe0(0x66a)],'msg':_0x2e00ad[_0x16cbe0(0x25c)][_0x16cbe0(0x1a7c)][_0x4655cf]['message']});}}else _0x23323f['error']({'title':_0x2e00ad['status']?_0x16cbe0(0xeb9)+_0x2e00ad[_0x16cbe0(0x291)]+_0x16cbe0(0x1657)+_0x2e00ad[_0x16cbe0(0xc22)]:_0x16cbe0(0x1c46),'msg':_0x2e00ad[_0x16cbe0(0x25c)]?JSON['stringify'](_0x2e00ad[_0x16cbe0(0x25c)][_0x16cbe0(0x155e)]):_0x2e00ad[_0x16cbe0(0x155e)]||_0x2e00ad[_0x16cbe0(0x147f)]()});});}function _0x189a48(){const _0x247a94=_0x46362d,_0x20b44d=angular['copy'](_0xb9fffd[_0x247a94(0xf2a)]);return _0xb9fffd[_0x247a94(0xf2a)]=[],_0x20b44d;}function _0x47b9f9(_0x3b024a){const _0xb0dfe5=_0x46362d,_0xab9d94=_0x2a6f8a[_0xb0dfe5(0x1551)]()[_0xb0dfe5(0x1386)](_0xb0dfe5(0xa4b))[_0xb0dfe5(0x49e)](_0xb0dfe5(0x204d)+_0xb9fffd[_0xb0dfe5(0xf2a)][_0xb0dfe5(0xfd0)]+_0xb0dfe5(0x1d6c)+_0xb0dfe5(0x1b6))[_0xb0dfe5(0x15ad)]('delete\x20Teams')[_0xb0dfe5(0x728)](_0x3b024a)['ok']('OK')[_0xb0dfe5(0x696)]('CANCEL');_0x2a6f8a[_0xb0dfe5(0xe27)](_0xab9d94)[_0xb0dfe5(0x1cb0)](function(){const _0x5f2b5c=_0xb0dfe5;_0xb9fffd['selectedTeams'][_0x5f2b5c(0xf90)](function(_0x329de0){_0x3c549a(_0x329de0);}),_0xb9fffd[_0x5f2b5c(0xf2a)]=[];});}function _0x19851f(){_0xb9fffd['selectedTeams']=[];}function _0x1ddbb3(){const _0x3afa57=_0x46362d;_0xb9fffd['selectedTeams']=_0xb9fffd[_0x3afa57(0xef9)][_0x3afa57(0x2214)];}}const _0x585d64=_0x1e9374;;_0x1ffa90[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),'$location',_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),'telephones',_0x5537c6(0x2922),_0x5537c6(0x142b),'Auth','license',_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x1ffa90(_0xa870f5,_0xc40f58,_0x3bbae3,_0x383785,_0x7d625e,_0x4126dc,_0x2fa1bc,_0x221581,_0x25f7a1,_0x24c760,_0x2412ba,_0x1a36bb,_0x51a13e,_0x2ba6ed){const _0x4b4740=_0x5537c6,_0xce14e0=this;_0xce14e0[_0x4b4740(0xe76)]=_0x2412ba[_0x4b4740(0x21e8)](),_0xce14e0[_0x4b4740(0x1a7c)]=[],_0xce14e0[_0x4b4740(0x9ca)]=_0x51a13e,_0xce14e0[_0x4b4740(0x8a5)]=_0x1a36bb,_0xce14e0[_0x4b4740(0x1b1a)]=_0x2ba6ed,_0xce14e0[_0x4b4740(0xf4c)]={},_0xce14e0[_0x4b4740(0x1b0c)]=_0xce14e0[_0x4b4740(0x9ca)]&&_0xce14e0[_0x4b4740(0x9ca)][_0x4b4740(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0xce14e0['title']=_0x4b4740(0x27ce),_0xce14e0['telephone']=angular[_0x4b4740(0x17fe)](_0x25f7a1),_0xce14e0[_0x4b4740(0x5f8)]=_0x221581,_0xce14e0[_0x4b4740(0x2236)]=![];!_0xce14e0['telephone']&&(_0xce14e0[_0x4b4740(0x2922)]={'role':_0x4b4740(0x2922),'autointernal':!![],'context':_0x4b4740(0x156f)},_0xce14e0[_0x4b4740(0x1386)]=_0x4b4740(0x256d),_0xce14e0['newTelephone']=!![]);_0xce14e0['addNewTelephone']=_0xf66b06,_0xce14e0['saveTelephone']=_0x1f1ef8,_0xce14e0[_0x4b4740(0x16c1)]=_0x4c0303,_0xce14e0['getDateFromString']=_0x1d4390,_0xce14e0[_0x4b4740(0xda0)]=_0x442b2b;function _0xf66b06(){const _0x4c8b63=_0x4b4740;_0xce14e0[_0x4c8b63(0x1a7c)]=[],_0x24c760['user'][_0x4c8b63(0x1c3f)](_0xce14e0[_0x4c8b63(0x2922)])[_0x4c8b63(0x1d77)]['then'](function(_0x1263ea){const _0x3bd9e1=_0x4c8b63;_0xce14e0['telephones'][_0x3bd9e1(0xf63)](_0x1263ea[_0x3bd9e1(0x19b2)]()),_0x2fa1bc[_0x3bd9e1(0x829)]({'title':_0x3bd9e1(0x2112),'msg':_0xce14e0['telephone']['name']?_0xce14e0[_0x3bd9e1(0x2922)][_0x3bd9e1(0x16b6)]+_0x3bd9e1(0x470):''}),_0x442b2b(_0x1263ea);})['catch'](function(_0x45c67b){const _0x3f557d=_0x4c8b63;if(_0x45c67b[_0x3f557d(0x25c)]&&_0x45c67b[_0x3f557d(0x25c)][_0x3f557d(0x1a7c)]&&_0x45c67b[_0x3f557d(0x25c)][_0x3f557d(0x1a7c)][_0x3f557d(0xfd0)]){_0xce14e0[_0x3f557d(0x1a7c)]=_0x45c67b[_0x3f557d(0x25c)][_0x3f557d(0x1a7c)]||[{'message':_0x45c67b[_0x3f557d(0x147f)](),'type':_0x3f557d(0x102d)}];for(let _0x4011e2=0x0;_0x4011e2<_0x45c67b[_0x3f557d(0x25c)][_0x3f557d(0x1a7c)][_0x3f557d(0xfd0)];_0x4011e2+=0x1){_0x2fa1bc[_0x3f557d(0x218e)]({'title':_0x45c67b[_0x3f557d(0x25c)][_0x3f557d(0x1a7c)][_0x4011e2][_0x3f557d(0x66a)],'msg':_0x45c67b[_0x3f557d(0x25c)][_0x3f557d(0x1a7c)][_0x4011e2][_0x3f557d(0x155e)]});}}else _0x2fa1bc['error']({'title':_0x45c67b[_0x3f557d(0x291)]?_0x3f557d(0xeb9)+_0x45c67b[_0x3f557d(0x291)]+_0x3f557d(0x1657)+_0x45c67b[_0x3f557d(0xc22)]:_0x3f557d(0x102d),'msg':_0x45c67b['data']?JSON[_0x3f557d(0x2701)](_0x45c67b[_0x3f557d(0x25c)][_0x3f557d(0x155e)]):_0x45c67b[_0x3f557d(0x147f)]()});});}function _0x1f1ef8(){const _0x5b438d=_0x4b4740;_0xce14e0['errors']=[],_0x24c760['user'][_0x5b438d(0x687)]({'id':_0xce14e0[_0x5b438d(0x2922)]['id']},_0xce14e0[_0x5b438d(0x2922)])[_0x5b438d(0x1d77)][_0x5b438d(0x1cb0)](function(_0xb14f8a){const _0x52e156=_0x5b438d,_0x536bb0=_0x39641b()[_0x52e156(0x13b4)](_0xce14e0['telephones'],{'id':_0xb14f8a['id']});_0x536bb0&&_0x39641b()[_0x52e156(0x9c1)](_0x536bb0,_0x39641b()[_0x52e156(0x169b)](_0xb14f8a[_0x52e156(0x19b2)](),_0x39641b()[_0x52e156(0x1be5)](_0x536bb0))),_0x2fa1bc[_0x52e156(0x829)]({'title':'Telephone\x20properly\x20saved!','msg':_0xce14e0[_0x52e156(0x2922)][_0x52e156(0x16b6)]?_0xce14e0[_0x52e156(0x2922)][_0x52e156(0x16b6)]+_0x52e156(0xedb):''}),_0x442b2b(_0xb14f8a);})['catch'](function(_0x10a0c7){const _0x150e39=_0x5b438d;if(_0x10a0c7[_0x150e39(0x25c)]&&_0x10a0c7['data'][_0x150e39(0x1a7c)]&&_0x10a0c7[_0x150e39(0x25c)][_0x150e39(0x1a7c)][_0x150e39(0xfd0)]){_0xce14e0['errors']=_0x10a0c7[_0x150e39(0x25c)][_0x150e39(0x1a7c)]||[{'message':_0x10a0c7[_0x150e39(0x147f)](),'type':_0x150e39(0x498)}];for(let _0x3b1303=0x0;_0x3b1303<_0x10a0c7[_0x150e39(0x25c)][_0x150e39(0x1a7c)][_0x150e39(0xfd0)];_0x3b1303++){_0x2fa1bc[_0x150e39(0x218e)]({'title':_0x10a0c7[_0x150e39(0x25c)][_0x150e39(0x1a7c)][_0x3b1303][_0x150e39(0x66a)],'msg':_0x10a0c7[_0x150e39(0x25c)]['errors'][_0x3b1303][_0x150e39(0x155e)]});}}else _0x2fa1bc[_0x150e39(0x218e)]({'title':_0x10a0c7[_0x150e39(0x291)]?_0x150e39(0xeb9)+_0x10a0c7['status']+_0x150e39(0x1657)+_0x10a0c7[_0x150e39(0xc22)]:_0x150e39(0x498),'msg':_0x10a0c7[_0x150e39(0x25c)]?JSON[_0x150e39(0x2701)](_0x10a0c7[_0x150e39(0x25c)]['message']):_0x10a0c7[_0x150e39(0x147f)]()});});}function _0x4c0303(_0xd5435c){const _0x2ce3ff=_0x4b4740;_0xce14e0['errors']=[];const _0x16d964=_0x383785[_0x2ce3ff(0x1551)]()[_0x2ce3ff(0x1386)](_0x2ce3ff(0x1a2e))['content'](_0x2ce3ff(0x12d0))['ariaLabel']('Delete\x20Telephone')['ok'](_0x2ce3ff(0x2594))['cancel'](_0x2ce3ff(0xde1))[_0x2ce3ff(0x728)](_0xd5435c);_0x383785[_0x2ce3ff(0xe27)](_0x16d964)[_0x2ce3ff(0x1cb0)](function(){const _0x1bd3e0=_0x2ce3ff;_0x24c760['user'][_0x1bd3e0(0x111d)]({'id':_0xce14e0[_0x1bd3e0(0x2922)]['id']})[_0x1bd3e0(0x1d77)]['then'](function(){const _0x1463f5=_0x1bd3e0;_0x39641b()[_0x1463f5(0x152a)](_0xce14e0['telephones'],{'id':_0xce14e0[_0x1463f5(0x2922)]['id']}),_0x2fa1bc['success']({'title':_0x1463f5(0x2b1),'msg':(_0xce14e0[_0x1463f5(0x2922)][_0x1463f5(0x16b6)]||'telephone')+_0x1463f5(0x3f5)}),_0x442b2b(_0xce14e0[_0x1463f5(0x2922)]);})[_0x1bd3e0(0x1c4)](function(_0x326118){const _0x5dac21=_0x1bd3e0;if(_0x326118[_0x5dac21(0x25c)]&&_0x326118[_0x5dac21(0x25c)][_0x5dac21(0x1a7c)]&&_0x326118[_0x5dac21(0x25c)][_0x5dac21(0x1a7c)][_0x5dac21(0xfd0)]){_0xce14e0[_0x5dac21(0x1a7c)]=_0x326118['data'][_0x5dac21(0x1a7c)]||[{'message':_0x326118[_0x5dac21(0x147f)](),'type':'api.user.delete'}];for(let _0x133471=0x0;_0x133471<_0x326118[_0x5dac21(0x25c)][_0x5dac21(0x1a7c)][_0x5dac21(0xfd0)];_0x133471++){_0x2fa1bc[_0x5dac21(0x218e)]({'title':_0x326118[_0x5dac21(0x25c)][_0x5dac21(0x1a7c)][_0x133471][_0x5dac21(0x66a)],'msg':_0x326118['data'][_0x5dac21(0x1a7c)][_0x133471][_0x5dac21(0x155e)]});}}else _0x2fa1bc[_0x5dac21(0x218e)]({'title':_0x326118['status']?_0x5dac21(0xeb9)+_0x326118[_0x5dac21(0x291)]+_0x5dac21(0x1657)+_0x326118[_0x5dac21(0xc22)]:_0x5dac21(0x180a),'msg':_0x326118[_0x5dac21(0x25c)]?JSON[_0x5dac21(0x2701)](_0x326118[_0x5dac21(0x25c)][_0x5dac21(0x155e)]):_0x326118[_0x5dac21(0x155e)]||_0x326118['toString']()});});},function(){});}function _0x1d4390(_0xe4abd4){return _0xe4abd4===null?undefined:new Date(_0xe4abd4);}function _0x442b2b(_0xfe8d){const _0x4db94f=_0x4b4740;_0x383785[_0x4db94f(0x1426)](_0xfe8d);}}const _0x8bd3ab=_0x1ffa90;;_0x5d896e['$inject']=[_0x5537c6(0x1463),_0x5537c6(0xcb9),_0x5537c6(0x9bf),'api',_0x5537c6(0x2922),_0x5537c6(0x9ca),'Auth'];function _0x5d896e(_0x1be94e,_0x3dec72,_0x48c6f2,_0x4706c8,_0xed6b9b,_0x595158,_0x13c7d4){const _0x1a0467=_0x5537c6,_0x480739=this;_0x480739[_0x1a0467(0xe76)]=_0x13c7d4[_0x1a0467(0x21e8)](),_0x480739[_0x1a0467(0x1a7c)]=[],_0x480739[_0x1a0467(0x9ca)]=_0x595158,_0x480739[_0x1a0467(0x1b0c)]=_0x480739['setting']['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x480739[_0x1a0467(0x2922)]=_0xed6b9b,_0x480739['password']='',_0x480739['oldPassword']='',_0x480739[_0x1a0467(0x15a4)]=_0x469cba,_0x480739[_0x1a0467(0xda0)]=_0x43efee,_0x1be94e['$watch'](_0x1a0467(0x81a),function(_0x550475){const _0x23b4b4=_0x1a0467;!_0x39641b()[_0x23b4b4(0x958)](_0x480739[_0x23b4b4(0xf0f)])&&(_0x550475===_0x480739[_0x23b4b4(0xf0f)]?_0x1be94e[_0x23b4b4(0xc54)][_0x23b4b4(0x381)][_0x23b4b4(0x92f)]('oldPasswordMatch',![]):_0x1be94e[_0x23b4b4(0xc54)][_0x23b4b4(0x381)][_0x23b4b4(0x92f)]('oldPasswordMatch',!![]));});function _0x469cba(){const _0x59b7dc=_0x1a0467;_0x480739['errors']=[];const _0x252cde={'id':_0x480739[_0x59b7dc(0x2922)]['id'],'newPassword':_0x480739[_0x59b7dc(0x381)]};_0x480739[_0x59b7dc(0xe76)]['id']==_0x480739['telephone']['id']&&(_0x252cde[_0x59b7dc(0xf0f)]=_0x480739[_0x59b7dc(0xf0f)]),_0x4706c8[_0x59b7dc(0xebe)][_0x59b7dc(0xcad)](_0x252cde)[_0x59b7dc(0x1d77)]['then'](function(){const _0xb725a8=_0x59b7dc;_0x48c6f2[_0xb725a8(0x829)]({'title':_0xb725a8(0x1576)}),_0x43efee();})[_0x59b7dc(0x1c4)](function(_0x46898e){const _0x54fbb3=_0x59b7dc;_0x48c6f2[_0x54fbb3(0x218e)]({'title':_0x46898e[_0x54fbb3(0x291)]?_0x54fbb3(0xeb9)+_0x46898e[_0x54fbb3(0x291)]+'\x20-\x20'+_0x46898e[_0x54fbb3(0xc22)]:_0x54fbb3(0x13f7),'msg':_0x46898e[_0x54fbb3(0x25c)]?JSON[_0x54fbb3(0x2701)](_0x46898e[_0x54fbb3(0x25c)][_0x54fbb3(0x155e)]):_0x46898e['toString']()}),_0x480739[_0x54fbb3(0x1a7c)]=_0x46898e[_0x54fbb3(0x25c)][_0x54fbb3(0x1a7c)]||[{'message':_0x46898e[_0x54fbb3(0x147f)](),'type':_0x54fbb3(0x1cee)}];});}function _0x43efee(){_0x3dec72['hide']();}}const _0x35045d=_0x5d896e;;const _0x423738=_0x5074a3['p']+_0x5537c6(0x93e);;_0x2e6525[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x1ae),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x142b),'toasty',_0x5537c6(0x1774),_0x5537c6(0x2922),_0x5537c6(0x2199)];function _0x2e6525(_0x3a5574,_0x27164b,_0x2af2fd,_0x176a7d,_0x4308d3,_0x21b9e4,_0x30aa86,_0x2004ea,_0x37b205,_0x4e370b,_0xb8e6be,_0x23b258){const _0x2e15ee=_0x5537c6,_0x19369f=this;_0x19369f[_0x2e15ee(0xe76)]=_0x4e370b[_0x2e15ee(0x21e8)](),_0x19369f['license']=_0x21b9e4,_0x19369f[_0x2e15ee(0x9ca)]=_0x30aa86,_0x19369f[_0x2e15ee(0x1b0c)]=_0x19369f[_0x2e15ee(0x9ca)][_0x2e15ee(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x19369f[_0x2e15ee(0x2404)]=_0x27164b[_0x2e15ee(0x2276)]()+'://'+_0x27164b['host'](),_0x19369f[_0x2e15ee(0x2922)]=_0xb8e6be||_0x3a5574[_0x2e15ee(0x1dfe)]['telephone']||{},_0x19369f[_0x2e15ee(0x2199)]=_0x23b258&&_0x23b258[_0x2e15ee(0x184d)]==0x1?_0x23b258[_0x2e15ee(0x2214)][0x0]:null,_0x19369f[_0x2e15ee(0x1b1a)]=_0x4e370b[_0x2e15ee(0x14ea)](_0x19369f[_0x2e15ee(0x2199)]?_0x19369f[_0x2e15ee(0x2199)]['crudPermissions']:null),_0x19369f['hasModulePermissions']={},_0x19369f['selectedTab']=_0x3a5574[_0x2e15ee(0x1dfe)][_0x2e15ee(0x291e)]||0x0,_0x19369f[_0x2e15ee(0x28aa)]=_0x2df166,_0x19369f[_0x2e15ee(0x494)]=_0x37b205[_0x2e15ee(0x28c7)],_0x19369f[_0x2e15ee(0x227f)]=_0x3604f1,_0x19369f[_0x2e15ee(0x2461)]=_0xa38e5e,_0x4e370b[_0x2e15ee(0x22b6)](_0x2e15ee(0x1c60))?_0x2004ea['voiceContext'][_0x2e15ee(0xbf7)]({'fields':_0x2e15ee(0x43c),'sort':'name'})[_0x2e15ee(0x1d77)]['then'](function(_0x5e57ff){const _0x494682=_0x2e15ee;_0x19369f[_0x494682(0x14f6)]=_0x5e57ff[_0x494682(0x2214)]||[];})[_0x2e15ee(0x1c4)](function(_0x26fb44){const _0xb4526d=_0x2e15ee;_0x37b205[_0xb4526d(0x218e)]({'title':_0x26fb44[_0xb4526d(0x291)]?'API:'+_0x26fb44[_0xb4526d(0x291)]+_0xb4526d(0x1657)+_0x26fb44[_0xb4526d(0xc22)]:'SYSTEM:GET_CONTEXTS','msg':_0x26fb44[_0xb4526d(0x25c)]?JSON[_0xb4526d(0x2701)](_0x26fb44[_0xb4526d(0x25c)]):_0x26fb44[_0xb4526d(0x147f)]()});}):_0x2004ea['voiceContext']['get']({'fields':'id,name','sort':'name'})['$promise'][_0x2e15ee(0x1cb0)](function(_0x459677){const _0x5be87f=_0x2e15ee;_0x19369f[_0x5be87f(0x14f6)]=_0x459677[_0x5be87f(0x2214)]||[];})['then'](function(){const _0x1d8a4e=_0x2e15ee;return _0x2004ea[_0x1d8a4e(0x2199)]['get']({'userProfileId':_0x19369f[_0x1d8a4e(0xe76)][_0x1d8a4e(0x13c1)],'sectionId':0x195})[_0x1d8a4e(0x1d77)];})[_0x2e15ee(0x1cb0)](function(_0x397346){const _0x59ed6d=_0x2e15ee,_0x1b6800=_0x397346&&_0x397346[_0x59ed6d(0x2214)]?_0x397346[_0x59ed6d(0x2214)][0x0]:null;if(!_0x1b6800)return _0x2004ea['voiceContext'][_0x59ed6d(0xbf7)]({'fields':_0x59ed6d(0x43c),'sort':'name','defaultEntry':0x1})['$promise']['then'](function(_0x2ed078){const _0x127b95=_0x59ed6d;_0x19369f['contexts']=_0x2ed078[_0x127b95(0x2214)]||[];});else{if(!_0x1b6800['autoAssociation'])return _0x2004ea[_0x59ed6d(0x1198)][_0x59ed6d(0xbf7)]({'sectionId':_0x1b6800['id']})[_0x59ed6d(0x1d77)][_0x59ed6d(0x1cb0)](function(_0x5724ab){const _0x3e4359=_0x59ed6d,_0x2d4acd=_0x39641b()[_0x3e4359(0x1de2)](_0x5724ab[_0x3e4359(0x2214)],function(_0x172d83){const _0xc01ce3=_0x3e4359;return _0x39641b()[_0xc01ce3(0x13b4)](_0x19369f[_0xc01ce3(0x14f6)],{'id':_0x172d83[_0xc01ce3(0x2982)]});});let _0x180a62=null;_0x19369f['telephone']&&(_0x180a62=_0x39641b()['find'](_0x19369f['contexts'],{'name':_0x19369f[_0x3e4359(0x2922)][_0x3e4359(0x2056)]}));if(_0x180a62&&!_0x39641b()[_0x3e4359(0x727)](_0x2d4acd,['id',_0x180a62['id']])){const _0x1957b2=_0x39641b()[_0x3e4359(0x13b4)](_0x19369f[_0x3e4359(0x14f6)],{'id':_0x180a62['id']});_0x1957b2[_0x3e4359(0x15da)]=![],_0x2d4acd['push'](_0x1957b2);}_0x19369f['contexts']=_0x2d4acd;});}})[_0x2e15ee(0x1c4)](function(_0x50129f){const _0x3f9e28=_0x2e15ee;_0x37b205['error']({'title':_0x50129f['status']?_0x3f9e28(0xeb9)+_0x50129f[_0x3f9e28(0x291)]+_0x3f9e28(0x1657)+_0x50129f['statusText']:'SYSTEM:GETcontexts','msg':_0x50129f['data']?JSON[_0x3f9e28(0x2701)](_0x50129f[_0x3f9e28(0x25c)]):_0x50129f[_0x3f9e28(0x147f)]()});});function _0x2df166(_0x261f13,_0x517c17){const _0x45fe0c=_0x2e15ee;_0x2af2fd[_0x45fe0c(0xe27)]({'controller':_0x45fe0c(0x2976),'controllerAs':'vm','templateUrl':_0x423738,'parent':angular[_0x45fe0c(0x1853)](_0x176a7d['body']),'targetEvent':_0x517c17,'clickOutsideToClose':!![],'locals':{'telephone':_0x261f13,'setting':_0x30aa86,'telephones':_0x19369f[_0x45fe0c(0x5f8)]?_0x19369f[_0x45fe0c(0x5f8)][_0x45fe0c(0x2214)]:[],'crudPermissions':_0x19369f[_0x45fe0c(0x1b1a)]}});}function _0x3604f1(){const _0x37a08e=_0x2e15ee;_0x3a5574['go'](_0x37a08e(0x7a3),{},{'reload':_0x37a08e(0x7a3)});}function _0xa38e5e(){const _0xe851ea=_0x2e15ee;_0x2004ea[_0xe851ea(0xebe)]['update']({'id':_0x19369f['telephone']['id']},_0x19369f[_0xe851ea(0x2922)])[_0xe851ea(0x1d77)][_0xe851ea(0x1cb0)](function(){const _0x33fa15=_0xe851ea;_0x37b205[_0x33fa15(0x829)]({'title':_0x33fa15(0x2ad),'msg':_0x19369f[_0x33fa15(0x2922)][_0x33fa15(0x16b6)]?_0x19369f[_0x33fa15(0x2922)]['name']+'\x20has\x20been\x20updated!':''});})['catch'](function(_0x1537a7){const _0x2390f4=_0xe851ea;_0x37b205[_0x2390f4(0x218e)]({'title':_0x1537a7[_0x2390f4(0x291)]?_0x2390f4(0xeb9)+_0x1537a7[_0x2390f4(0x291)]+_0x2390f4(0x1657)+_0x1537a7[_0x2390f4(0xc22)]:_0x2390f4(0x12ba),'msg':_0x1537a7['data']?JSON[_0x2390f4(0x2701)](_0x1537a7[_0x2390f4(0x25c)]):_0x1537a7[_0x2390f4(0x147f)]()});});}}const _0x2cd253=_0x2e6525;;const _0x1c4b48=_0x5074a3['p']+_0x5537c6(0x28d4);;_0x3a490e[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x2168),'$translate','telephones','userProfile','userProfileSection',_0x5537c6(0x142b),'msUtils','toasty','Auth',_0x5537c6(0x8a5),'setting'];function _0x3a490e(_0x5b844f,_0x404142,_0x68ee2b,_0x20dfee,_0x5a01f5,_0x1a7413,_0x5d18bd,_0x28782a,_0x685cce,_0x1bd510,_0x49c401,_0x418c88,_0x354778,_0x226ef1,_0x1b4b57,_0xaad4f4,_0x400ace){const _0x5e105b=_0x5537c6,_0x2d5329=this;_0x2d5329['license']=_0xaad4f4,_0x2d5329[_0x5e105b(0x9ca)]=_0x400ace,_0x2d5329[_0x5e105b(0xe76)]=_0x1b4b57[_0x5e105b(0x21e8)](),_0x2d5329[_0x5e105b(0x5f8)]=_0x685cce||{'count':0x0,'rows':[]},_0x2d5329[_0x5e105b(0x44a)]=_0x1bd510,_0x2d5329[_0x5e105b(0x2199)]=_0x49c401&&_0x49c401[_0x5e105b(0x184d)]==0x1?_0x49c401[_0x5e105b(0x2214)][0x0]:null,_0x2d5329[_0x5e105b(0x1b1a)]=_0x1b4b57[_0x5e105b(0x14ea)](_0x2d5329[_0x5e105b(0x2199)]?_0x2d5329[_0x5e105b(0x2199)][_0x5e105b(0x1b1a)]:null),_0x2d5329[_0x5e105b(0xc83)]=_0x5e105b(0x5f8),_0x2d5329[_0x5e105b(0x1d20)]='',_0x2d5329[_0x5e105b(0x1cdf)]=null,_0x2d5329['selectedTelephones']=[],_0x2d5329[_0x5e105b(0xae2)]={'fields':_0x5e105b(0x235f),'role':_0x5e105b(0x2922),'sort':_0x5e105b(0x282),'limit':0xa,'page':0x1},_0x2d5329['arraytransport']=_0x39641b()[_0x5e105b(0x2631)]([{'option':_0x5e105b(0xa9d),'value':'\x27udp\x27'},{'option':'tcp','value':_0x5e105b(0xd76)},{'option':'ws','value':'\x27ws\x27'},{'option':'wss','value':_0x5e105b(0x49d)},{'option':_0x5e105b(0x132c),'value':_0x5e105b(0x1393)}],function(_0x111e65){const _0x366047=_0x5e105b;return _0x39641b()['replace'](_0x111e65[_0x366047(0x327)],new RegExp('\x27','g'),'');}),_0x2d5329[_0x5e105b(0x571)]=_0x39641b()[_0x5e105b(0x2631)]([{'option':_0x5e105b(0xd71),'value':'\x27force_rport\x27'},{'option':_0x5e105b(0x18c7),'value':_0x5e105b(0xf60)},{'option':_0x5e105b(0x150d),'value':_0x5e105b(0x27e4)},{'option':'no','value':_0x5e105b(0x15b9)},{'option':_0x5e105b(0x382),'value':_0x5e105b(0x1d2c)},{'option':_0x5e105b(0x15d0),'value':'\x27route\x27'}],function(_0x3ae991){const _0x3ee829=_0x5e105b;return _0x39641b()[_0x3ee829(0x288f)](_0x3ae991[_0x3ee829(0x327)],new RegExp('\x27','g'),'');}),_0x2d5329[_0x5e105b(0x1462)]=_0x39641b()['keyBy']([{'option':_0x5e105b(0xaba),'value':_0x5e105b(0x2079)},{'option':_0x5e105b(0x128d),'value':'\x27alaw\x27'},{'option':_0x5e105b(0x2331),'value':_0x5e105b(0x24ce)},{'option':'g722','value':_0x5e105b(0x28ca)},{'option':_0x5e105b(0x1a92),'value':'\x27g729\x27'},{'option':_0x5e105b(0x14cc),'value':_0x5e105b(0x102f)},{'option':_0x5e105b(0x278c),'value':_0x5e105b(0x1ca5)}],function(_0x2b625b){const _0xd20af9=_0x5e105b;return _0x39641b()[_0xd20af9(0x288f)](_0x2b625b['value'],new RegExp('\x27','g'),'');}),_0x2d5329[_0x5e105b(0x1b79)]=_0x39641b()[_0x5e105b(0x2631)]([{'option':_0x5e105b(0x1ab8),'value':_0x5e105b(0x27e4)},{'option':'No','value':_0x5e105b(0x15b9)},{'option':_0x5e105b(0x1288),'value':'\x27always\x27'}],function(_0xb4da99){const _0xe03120=_0x5e105b;return _0x39641b()['replace'](_0xb4da99[_0xe03120(0x327)],new RegExp('\x27','g'),'');}),_0x2d5329['arrayencryption']=_0x39641b()[_0x5e105b(0x2631)]([{'option':'yes','value':_0x5e105b(0x27e4)},{'option':'no','value':_0x5e105b(0x15b9)}],function(_0x4305b6){const _0x35da94=_0x5e105b;return _0x39641b()['replace'](_0x4305b6[_0x35da94(0x327)],new RegExp('\x27','g'),'');}),_0x2d5329[_0x5e105b(0x235d)]=_0x255e0a,_0x2d5329[_0x5e105b(0x27fe)]=_0x408bce,_0x2d5329[_0x5e105b(0x829)]=_0x12d626,_0x2d5329[_0x5e105b(0x114a)]=_0x604d51,_0x2d5329[_0x5e105b(0xdff)]=_0x4cc844,_0x2d5329[_0x5e105b(0x16c1)]=_0x29fef0,_0x2d5329[_0x5e105b(0xf78)]=_0x5342d7,_0x2d5329[_0x5e105b(0x1a9f)]=_0x4f064f,_0x2d5329[_0x5e105b(0x1a64)]=_0x3d2034,_0x2d5329[_0x5e105b(0x596)]=_0x380596;function _0x255e0a(_0x4f1c45){const _0x4eba00=_0x5e105b;_0x68ee2b['go'](_0x4eba00(0xe14),{'id':_0x4f1c45['id'],'telephone':_0x4f1c45,'crudPermissions':_0x2d5329[_0x4eba00(0x1b1a)]});}function _0x408bce(_0x31a44d,_0x26249d){const _0x392426=_0x5e105b,_0x12b8b6=_0x5a01f5['confirm']()[_0x392426(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20'+_0x39641b()[_0x392426(0xa75)](_0x392426(0x2922))+'?')[_0x392426(0x49e)](''+(_0x31a44d[_0x392426(0x16b6)]||_0x392426(0x2922))+_0x392426(0x1200)+_0x392426(0x1b6))[_0x392426(0x15ad)](_0x392426(0x16c6))[_0x392426(0x728)](_0x26249d)['ok']('OK')['cancel'](_0x392426(0x24ba));_0x5a01f5[_0x392426(0xe27)](_0x12b8b6)[_0x392426(0x1cb0)](function(){_0x29fef0(_0x31a44d);},function(){const _0x111e6a=_0x392426;console[_0x111e6a(0x1b4f)]('CANCEL');});}let _0x12075f=!![],_0x1f22ae=0x1;_0x5b844f[_0x5e105b(0x614)](_0x5e105b(0x957),function(_0x59789e,_0x34e584){const _0x558d2a=_0x5e105b;_0x12075f?_0x5d18bd(function(){_0x12075f=![];}):(!_0x34e584&&(_0x1f22ae=_0x2d5329['query']['page']),_0x59789e!==_0x34e584&&(_0x2d5329[_0x558d2a(0xae2)][_0x558d2a(0x1c7b)]=0x1),!_0x59789e&&(_0x2d5329[_0x558d2a(0xae2)]['page']=_0x1f22ae),_0x2d5329['getTelephones']());});function _0x12d626(_0x5f58f0){const _0x16e404=_0x5e105b;_0x2d5329[_0x16e404(0x5f8)]=_0x5f58f0||{'count':0x0,'rows':[]};}function _0x604d51(){const _0x1a4641=_0x5e105b;_0x2d5329['query'][_0x1a4641(0x184b)]=(_0x2d5329[_0x1a4641(0xae2)][_0x1a4641(0x1c7b)]-0x1)*_0x2d5329[_0x1a4641(0xae2)][_0x1a4641(0x236)],_0x1b4b57[_0x1a4641(0x22b6)](_0x1a4641(0x1c60))?_0x2d5329[_0x1a4641(0x2061)]=_0x418c88[_0x1a4641(0xebe)]['get'](_0x2d5329[_0x1a4641(0xae2)],_0x12d626)[_0x1a4641(0x1d77)]:(_0x2d5329[_0x1a4641(0xae2)]['id']=_0x2d5329[_0x1a4641(0x44a)]['id'],_0x2d5329[_0x1a4641(0xae2)][_0x1a4641(0x1f74)]=_0x1a4641(0x16d1),_0x2d5329['promise']=_0x418c88['userProfile'][_0x1a4641(0x1810)](_0x2d5329[_0x1a4641(0xae2)],_0x12d626)[_0x1a4641(0x1d77)]);}function _0x4cc844(_0x1c5446,_0x5665fa){const _0x5495c9=_0x5e105b;_0x5a01f5['show']({'controller':'CreateOrEditTelephoneDialogController','controllerAs':'vm','templateUrl':_0x1c4b48,'parent':angular[_0x5495c9(0x1853)](_0x1a7413[_0x5495c9(0x1ed9)]),'targetEvent':_0x1c5446,'clickOutsideToClose':!![],'locals':{'telephone':_0x5665fa,'telephones':_0x2d5329['telephones']['rows'],'license':_0x2d5329[_0x5495c9(0x8a5)],'setting':_0x2d5329[_0x5495c9(0x9ca)],'crudPermissions':_0x2d5329[_0x5495c9(0x1b1a)]}});}function _0x29fef0(_0x578317){const _0x1ec0ce=_0x5e105b;_0x418c88[_0x1ec0ce(0xebe)][_0x1ec0ce(0x111d)]({'id':_0x578317['id']})[_0x1ec0ce(0x1d77)]['then'](function(){const _0x4b40d2=_0x1ec0ce;_0x39641b()[_0x4b40d2(0x152a)](_0x2d5329[_0x4b40d2(0x5f8)][_0x4b40d2(0x2214)],{'id':_0x578317['id']}),_0x2d5329[_0x4b40d2(0x5f8)][_0x4b40d2(0x184d)]-=0x1,!_0x2d5329[_0x4b40d2(0x5f8)][_0x4b40d2(0x2214)]['length']&&_0x2d5329[_0x4b40d2(0x114a)](),_0x226ef1['success']({'title':_0x39641b()[_0x4b40d2(0xa75)](_0x4b40d2(0x1f35))+_0x4b40d2(0x2663),'msg':_0x578317[_0x4b40d2(0x16b6)]?_0x578317[_0x4b40d2(0x16b6)]+_0x4b40d2(0x3f5):''});})[_0x1ec0ce(0x1c4)](function(_0x50f961){const _0xd80b63=_0x1ec0ce;if(_0x50f961[_0xd80b63(0x25c)]&&_0x50f961['data'][_0xd80b63(0x1a7c)]&&_0x50f961[_0xd80b63(0x25c)][_0xd80b63(0x1a7c)][_0xd80b63(0xfd0)]){_0x2d5329[_0xd80b63(0x1a7c)]=_0x50f961[_0xd80b63(0x25c)][_0xd80b63(0x1a7c)]||[{'message':_0x50f961[_0xd80b63(0x147f)](),'type':_0xd80b63(0xcec)}];for(let _0x251c5b=0x0;_0x251c5b<_0x50f961[_0xd80b63(0x25c)][_0xd80b63(0x1a7c)][_0xd80b63(0xfd0)];_0x251c5b++){_0x226ef1[_0xd80b63(0x218e)]({'title':_0x50f961[_0xd80b63(0x25c)][_0xd80b63(0x1a7c)][_0x251c5b]['type'],'msg':_0x50f961['data'][_0xd80b63(0x1a7c)][_0x251c5b]['message']});}}else _0x226ef1[_0xd80b63(0x218e)]({'title':_0x50f961['status']?_0xd80b63(0xeb9)+_0x50f961[_0xd80b63(0x291)]+_0xd80b63(0x1657)+_0x50f961['statusText']:_0xd80b63(0xcec),'msg':_0x50f961[_0xd80b63(0x25c)]?JSON[_0xd80b63(0x2701)](_0x50f961['data'][_0xd80b63(0x155e)]):_0x50f961[_0xd80b63(0x155e)]||_0x50f961[_0xd80b63(0x147f)]()});});}function _0x5342d7(){const _0x36ae12=_0x5e105b,_0x18a42a=angular[_0x36ae12(0x17fe)](_0x2d5329[_0x36ae12(0xca7)]);return _0x2d5329[_0x36ae12(0xca7)]=[],_0x18a42a;}function _0x4f064f(_0x239d8c){const _0x1bd23d=_0x5e105b,_0xc3553e=_0x5a01f5[_0x1bd23d(0x1551)]()['title'](_0x1bd23d(0x16c0))['htmlContent'](_0x1bd23d(0x204d)+_0x2d5329['selectedTelephones'][_0x1bd23d(0xfd0)]+_0x1bd23d(0x1d6c)+_0x1bd23d(0x1b6))[_0x1bd23d(0x15ad)](_0x1bd23d(0x126a))[_0x1bd23d(0x728)](_0x239d8c)['ok']('OK')['cancel'](_0x1bd23d(0x24ba));_0x5a01f5[_0x1bd23d(0xe27)](_0xc3553e)[_0x1bd23d(0x1cb0)](function(){const _0x508ee4=_0x1bd23d;_0x2d5329[_0x508ee4(0xca7)][_0x508ee4(0xf90)](function(_0x163c2a){_0x29fef0(_0x163c2a);}),_0x2d5329[_0x508ee4(0xca7)]=[];});}function _0x3d2034(){const _0x3eb902=_0x5e105b;_0x2d5329[_0x3eb902(0xca7)]=[];}function _0x380596(){const _0x49449d=_0x5e105b;_0x2d5329['selectedTelephones']=_0x2d5329[_0x49449d(0x5f8)]['rows'];}}const _0x1d70fc=_0x3a490e;;_0x3cec13[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$state',_0x5537c6(0x21c8),'$mdDialog','$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),'userProfiles',_0x5537c6(0x44a),'api',_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x3cec13(_0xefb043,_0x13c2c3,_0x2093d0,_0x3e75bf,_0x485894,_0x253995,_0x29cd03,_0x72ce64,_0x34731c,_0x2f2101,_0x4bd01c,_0xe14936,_0x1a862b,_0x1210e9){const _0x551916=_0x5537c6,_0x5e5516=this;_0x5e5516[_0x551916(0xe76)]=_0x4bd01c['getCurrentUser'](),_0x5e5516[_0x551916(0x1a7c)]=[],_0x5e5516['setting']=_0x1a862b,_0x5e5516['license']=_0xe14936,_0x5e5516['crudPermissions']=_0x1210e9,_0x5e5516['hasModulePermissions']={},_0x5e5516['passwordPattern']=_0x5e5516[_0x551916(0x9ca)]&&_0x5e5516[_0x551916(0x9ca)][_0x551916(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x5e5516[_0x551916(0x1386)]='STAFF.EDIT_USERPROFILE',_0x5e5516['userProfile']=angular[_0x551916(0x17fe)](_0x34731c),_0x5e5516[_0x551916(0x1606)]=_0x72ce64,_0x5e5516[_0x551916(0x758)]=![];!_0x5e5516[_0x551916(0x44a)]&&(_0x5e5516[_0x551916(0x44a)]={'crudPermissions':['r']},_0x5e5516[_0x551916(0x1386)]='STAFF.NEW_USERPROFILE',_0x5e5516[_0x551916(0x758)]=!![]);_0x5e5516[_0x551916(0x28a)]=_0x51cae7,_0x5e5516[_0x551916(0x2f3)]=_0x27a772,_0x5e5516['deleteUserProfile']=_0x21b1b9,_0x5e5516['getDateFromString']=_0x16828c,_0x5e5516['closeDialog']=_0x54103a;function _0x51cae7(){const _0x3320dd=_0x551916;_0x5e5516[_0x3320dd(0x1a7c)]=[],_0x2f2101[_0x3320dd(0x44a)][_0x3320dd(0x1c3f)](_0x5e5516['userProfile'])[_0x3320dd(0x1d77)][_0x3320dd(0x1cb0)](function(_0x5c80ef){const _0x5dcc52=_0x3320dd;_0x160503(_0x5c80ef),_0x5e5516[_0x5dcc52(0x1606)][_0x5dcc52(0xf63)](_0x5c80ef[_0x5dcc52(0x19b2)]()),_0x29cd03[_0x5dcc52(0x829)]({'title':_0x5dcc52(0x2852),'msg':_0x5e5516[_0x5dcc52(0x44a)][_0x5dcc52(0x16b6)]?_0x5e5516[_0x5dcc52(0x44a)][_0x5dcc52(0x16b6)]+_0x5dcc52(0x470):''}),_0x54103a(_0x5c80ef);})[_0x3320dd(0x1c4)](function(_0x1776f2){const _0x3fb56a=_0x3320dd;if(_0x1776f2['data']&&_0x1776f2[_0x3fb56a(0x25c)][_0x3fb56a(0x1a7c)]&&_0x1776f2[_0x3fb56a(0x25c)]['errors']['length']){_0x5e5516[_0x3fb56a(0x1a7c)]=_0x1776f2[_0x3fb56a(0x25c)]['errors']||[{'message':_0x1776f2['toString'](),'type':_0x3fb56a(0x10b6)}];for(let _0x5bc0fd=0x0;_0x5bc0fd<_0x1776f2[_0x3fb56a(0x25c)][_0x3fb56a(0x1a7c)][_0x3fb56a(0xfd0)];_0x5bc0fd+=0x1){_0x29cd03[_0x3fb56a(0x218e)]({'title':_0x1776f2[_0x3fb56a(0x25c)][_0x3fb56a(0x1a7c)][_0x5bc0fd][_0x3fb56a(0x66a)],'msg':_0x1776f2[_0x3fb56a(0x25c)][_0x3fb56a(0x1a7c)][_0x5bc0fd][_0x3fb56a(0x155e)]});}}else _0x29cd03[_0x3fb56a(0x218e)]({'title':_0x1776f2['status']?_0x3fb56a(0xeb9)+_0x1776f2[_0x3fb56a(0x291)]+_0x3fb56a(0x1657)+_0x1776f2[_0x3fb56a(0xc22)]:_0x3fb56a(0x10b6),'msg':_0x1776f2['data']?JSON[_0x3fb56a(0x2701)](_0x1776f2[_0x3fb56a(0x25c)]['message']):_0x1776f2[_0x3fb56a(0x147f)]()});});}function _0x27a772(){const _0x3bb0a5=_0x551916;_0x5e5516[_0x3bb0a5(0x1a7c)]=[],_0x2f2101['userProfile'][_0x3bb0a5(0x687)]({'id':_0x5e5516['userProfile']['id']},_0x5e5516[_0x3bb0a5(0x44a)])[_0x3bb0a5(0x1d77)][_0x3bb0a5(0x1cb0)](function(_0x53255e){const _0x35c437=_0x3bb0a5,_0x542bb8=_0x39641b()[_0x35c437(0x13b4)](_0x5e5516[_0x35c437(0x1606)],{'id':_0x53255e['id']});_0x542bb8&&_0x39641b()[_0x35c437(0x9c1)](_0x542bb8,_0x39641b()[_0x35c437(0x169b)](_0x53255e[_0x35c437(0x19b2)](),_0x39641b()[_0x35c437(0x1be5)](_0x542bb8))),_0x29cd03[_0x35c437(0x829)]({'title':_0x35c437(0xbdf),'msg':_0x5e5516[_0x35c437(0x44a)][_0x35c437(0x16b6)]?_0x5e5516[_0x35c437(0x44a)][_0x35c437(0x16b6)]+_0x35c437(0xedb):''}),_0x54103a(_0x53255e);})[_0x3bb0a5(0x1c4)](function(_0x5f50bd){const _0x24cd42=_0x3bb0a5;if(_0x5f50bd[_0x24cd42(0x25c)]&&_0x5f50bd[_0x24cd42(0x25c)][_0x24cd42(0x1a7c)]&&_0x5f50bd[_0x24cd42(0x25c)][_0x24cd42(0x1a7c)]['length']){_0x5e5516[_0x24cd42(0x1a7c)]=_0x5f50bd[_0x24cd42(0x25c)]['errors']||[{'message':_0x5f50bd['toString'](),'type':_0x24cd42(0x15a7)}];for(let _0x1fb06b=0x0;_0x1fb06b<_0x5f50bd[_0x24cd42(0x25c)][_0x24cd42(0x1a7c)][_0x24cd42(0xfd0)];_0x1fb06b++){_0x29cd03[_0x24cd42(0x218e)]({'title':_0x5f50bd[_0x24cd42(0x25c)][_0x24cd42(0x1a7c)][_0x1fb06b][_0x24cd42(0x66a)],'msg':_0x5f50bd[_0x24cd42(0x25c)][_0x24cd42(0x1a7c)][_0x1fb06b][_0x24cd42(0x155e)]});}}else _0x29cd03['error']({'title':_0x5f50bd['status']?_0x24cd42(0xeb9)+_0x5f50bd[_0x24cd42(0x291)]+_0x24cd42(0x1657)+_0x5f50bd[_0x24cd42(0xc22)]:_0x24cd42(0x15a7),'msg':_0x5f50bd['data']?JSON['stringify'](_0x5f50bd['data'][_0x24cd42(0x155e)]):_0x5f50bd[_0x24cd42(0x147f)]()});});}function _0x21b1b9(_0x5603d9){const _0x18a268=_0x551916;_0x5e5516[_0x18a268(0x1a7c)]=[];const _0x5b929e=_0x3e75bf['confirm']()[_0x18a268(0x1386)](_0x18a268(0x1a2e))[_0x18a268(0x862)]('The\x20userProfile\x20will\x20be\x20deleted.')[_0x18a268(0x15ad)](_0x18a268(0x173d))['ok'](_0x18a268(0x2594))[_0x18a268(0x696)](_0x18a268(0xde1))['targetEvent'](_0x5603d9);_0x3e75bf[_0x18a268(0xe27)](_0x5b929e)[_0x18a268(0x1cb0)](function(){const _0x20b741=_0x18a268;_0x2f2101[_0x20b741(0x44a)]['delete']({'id':_0x5e5516[_0x20b741(0x44a)]['id']})[_0x20b741(0x1d77)][_0x20b741(0x1cb0)](function(){const _0xdf2a1c=_0x20b741;_0x39641b()[_0xdf2a1c(0x152a)](_0x5e5516[_0xdf2a1c(0x1606)],{'id':_0x5e5516['userProfile']['id']}),_0x29cd03[_0xdf2a1c(0x829)]({'title':_0xdf2a1c(0x2302),'msg':(_0x5e5516[_0xdf2a1c(0x44a)][_0xdf2a1c(0x16b6)]||'userProfile')+_0xdf2a1c(0x3f5)}),_0x54103a(_0x5e5516[_0xdf2a1c(0x44a)]);})['catch'](function(_0x1ca32d){const _0x27a0a5=_0x20b741;if(_0x1ca32d[_0x27a0a5(0x25c)]&&_0x1ca32d[_0x27a0a5(0x25c)][_0x27a0a5(0x1a7c)]&&_0x1ca32d[_0x27a0a5(0x25c)][_0x27a0a5(0x1a7c)][_0x27a0a5(0xfd0)]){_0x5e5516['errors']=_0x1ca32d[_0x27a0a5(0x25c)]['errors']||[{'message':_0x1ca32d[_0x27a0a5(0x147f)](),'type':_0x27a0a5(0x1497)}];for(let _0x49c9b5=0x0;_0x49c9b5<_0x1ca32d[_0x27a0a5(0x25c)][_0x27a0a5(0x1a7c)][_0x27a0a5(0xfd0)];_0x49c9b5++){_0x29cd03[_0x27a0a5(0x218e)]({'title':_0x1ca32d['data'][_0x27a0a5(0x1a7c)][_0x49c9b5][_0x27a0a5(0x66a)],'msg':_0x1ca32d['data']['errors'][_0x49c9b5][_0x27a0a5(0x155e)]});}}else _0x29cd03[_0x27a0a5(0x218e)]({'title':_0x1ca32d['status']?_0x27a0a5(0xeb9)+_0x1ca32d[_0x27a0a5(0x291)]+'\x20-\x20'+_0x1ca32d[_0x27a0a5(0xc22)]:'api.userProfile.delete','msg':_0x1ca32d[_0x27a0a5(0x25c)]?JSON[_0x27a0a5(0x2701)](_0x1ca32d[_0x27a0a5(0x25c)]['message']):_0x1ca32d['message']||_0x1ca32d[_0x27a0a5(0x147f)]()});});},function(){});}function _0x160503(_0x4bd574){const _0x486421=_0x551916,_0x313d33=[];_0x4bd574[_0x486421(0x1b1a)][_0x486421(0xf90)](function(_0x4c9d05){const _0x3f777a=_0x486421;let _0xd56f3d;switch(_0x4c9d05){case'r':_0xd56f3d=_0x3f777a(0x260e);break;case'e':_0xd56f3d=_0x3f777a(0x945);break;case'd':_0xd56f3d=_0x3f777a(0x26d6);break;}_0x313d33[_0x3f777a(0x2785)](_0x253995['instant'](_0x3f777a(0xe52)+_0xd56f3d));}),_0x4bd574['selectedcrudPermissions']=_0x313d33[_0x486421(0x1f66)](',\x20');}function _0x16828c(_0x3eeb90){return _0x3eeb90===null?undefined:new Date(_0x3eeb90);}function _0x54103a(_0x3d65cc){_0x3e75bf['hide'](_0x3d65cc);}}const _0x28dd7d=_0x3cec13;;_0x7a9ddb[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$state','toasty',_0x5537c6(0x142b),_0x5537c6(0x44a),_0x5537c6(0x1606),_0x5537c6(0x1ae)];function _0x7a9ddb(_0x4ec711,_0x2094a5,_0x54c4e4,_0x3f67bd,_0x2cb3c3,_0x69149b,_0x48af0e){const _0x35a426=_0x5537c6,_0x223575=this;_0x223575[_0x35a426(0x758)]=!![],_0x223575[_0x35a426(0x44a)]=angular[_0x35a426(0x17fe)](_0x2cb3c3),_0x223575[_0x35a426(0x1606)]=_0x69149b,_0x223575[_0x35a426(0x1386)]=_0x48af0e['instant']('STAFF.CLONE_USERPROFILE');_0x2cb3c3&&_0x2cb3c3['name']&&(_0x223575['title']+=':\x20'+_0x2cb3c3['name']);_0x223575['cloneUserProfile']=_0x350ace,_0x223575[_0x35a426(0xda0)]=_0xade253;function _0x350ace(){const _0x2bb78d=_0x35a426;return _0x3f67bd[_0x2bb78d(0x44a)]['clone']({'id':_0x2cb3c3['id']},_0x223575[_0x2bb78d(0x44a)])[_0x2bb78d(0x1d77)]['then'](function(_0x2d926c){const _0x2dbf84=_0x2bb78d;_0x242209(_0x2d926c),_0x223575['userProfiles'][_0x2dbf84(0xf63)](_0x2d926c[_0x2dbf84(0x19b2)]()),_0x54c4e4['success']({'title':_0x2dbf84(0x1ea5),'msg':_0x223575[_0x2dbf84(0x44a)][_0x2dbf84(0x16b6)]?_0x223575['userProfile'][_0x2dbf84(0x16b6)]+_0x2dbf84(0x2204):''}),_0x2094a5['go'](_0x2dbf84(0x15f4),{'id':_0x2d926c['id']}),_0xade253(_0x2d926c);})['catch'](function(_0x2fe96d){const _0x414b3c=_0x2bb78d;if(_0x2fe96d['data']&&_0x2fe96d[_0x414b3c(0x25c)]['errors']&&_0x2fe96d[_0x414b3c(0x25c)][_0x414b3c(0x1a7c)]['length']){_0x223575[_0x414b3c(0x1a7c)]=_0x2fe96d['data'][_0x414b3c(0x1a7c)]||[{'message':_0x2fe96d[_0x414b3c(0x147f)](),'type':_0x414b3c(0x25d6)}];for(let _0x42c5e8=0x0;_0x42c5e8<_0x2fe96d[_0x414b3c(0x25c)][_0x414b3c(0x1a7c)][_0x414b3c(0xfd0)];_0x42c5e8+=0x1){_0x54c4e4[_0x414b3c(0x218e)]({'title':_0x2fe96d[_0x414b3c(0x25c)]['errors'][_0x42c5e8]['type'],'msg':_0x2fe96d[_0x414b3c(0x25c)][_0x414b3c(0x1a7c)][_0x42c5e8][_0x414b3c(0x155e)]});}}else _0x54c4e4['error']({'title':_0x2fe96d['status']?'API:'+_0x2fe96d[_0x414b3c(0x291)]+_0x414b3c(0x1657)+_0x2fe96d['statusText']:'api.userProfile.clone','msg':_0x2fe96d['data']?JSON[_0x414b3c(0x2701)](_0x2fe96d[_0x414b3c(0x25c)][_0x414b3c(0x155e)]):_0x2fe96d[_0x414b3c(0x147f)]()});});}function _0x242209(_0x46f0ed){const _0xfb11f3=_0x35a426,_0x3a4755=[];_0x46f0ed[_0xfb11f3(0x1b1a)]['forEach'](function(_0x60e27c){const _0x25d870=_0xfb11f3;let _0x51a4c9;switch(_0x60e27c){case'r':_0x51a4c9=_0x25d870(0x260e);break;case'e':_0x51a4c9=_0x25d870(0x945);break;case'd':_0x51a4c9='DELETE_PERMISSION';break;}_0x3a4755[_0x25d870(0x2785)](_0x48af0e[_0x25d870(0x25cc)](_0x25d870(0xe52)+_0x51a4c9));}),_0x46f0ed[_0xfb11f3(0x1adf)]=_0x3a4755[_0xfb11f3(0x1f66)](',\x20');}function _0xade253(_0x231c68){const _0x354c77=_0x35a426;_0x4ec711[_0x354c77(0x1426)](_0x231c68);}}const _0x466cb8=_0x7a9ddb;;const _0xd8c0fc=_0x5074a3['p']+_0x5537c6(0xc9a);;_0x3a23c9[_0x5537c6(0x15b6)]=[_0x5537c6(0x22bf),_0x5537c6(0xcb9),'$translate','$q',_0x5537c6(0x142b),_0x5537c6(0x1d5f),_0x5537c6(0x1774),_0x5537c6(0x9bf)];function _0x3a23c9(_0x185e60,_0x454dd7,_0x34d624,_0x1a00e7,_0x51e63f,_0x1d943f,_0x31eed3,_0x5c0990){const _0x10620a=_0x5537c6,_0x3a8aaf=this;_0x3a8aaf[_0x10620a(0x44a)]={},_0x3a8aaf[_0x10620a(0x8a5)]={},_0x3a8aaf['navigation']=_0x1d943f[_0x10620a(0x221)](),_0x3a8aaf[_0x10620a(0x98d)]=_0x3a8aaf[_0x10620a(0x511)][0x0]['children'],_0x3a8aaf['statusList']=[{'id':0x0,'name':_0x10620a(0x18a8),'color':_0x10620a(0x10a7),'info':_0x10620a(0x1f5a),'tag':_0x10620a(0x10ca)},{'id':0x1,'name':'Partially\x20Enabled','color':'orange-A700-bg','info':_0x10620a(0x27d9),'tag':_0x10620a(0xe88)},{'id':0x2,'name':_0x10620a(0x2043),'color':_0x10620a(0x14ed),'info':_0x10620a(0x12bb),'tag':'disabled'},{'id':0x3,'name':_0x10620a(0x510),'color':_0x10620a(0x20ee),'info':'Module\x20not\x20included\x20in\x20the\x20license','tag':_0x10620a(0x1099)},{'id':0x4,'name':_0x10620a(0x2087),'color':_0x10620a(0x250d),'info':'Unknown\x20status','tag':_0x10620a(0x1c9d)}],_0x3a8aaf[_0x10620a(0x19f8)]=[{'title':'Dashboards','translate':_0x10620a(0x1f06),'id':0x64}],_0x3a8aaf[_0x10620a(0x1a8e)]=_0x5615b1,_0x3a8aaf['onChangeEnableAllResources']=_0x1feb52,_0x3a8aaf[_0x10620a(0x20f8)]=_0x17bee0,_0x3a8aaf['checkSectionResources']=_0x128c95;function _0x5615b1(_0x443ef8,_0x1183ec){const _0x5f4c9d=_0x10620a;_0x3a8aaf[_0x5f4c9d(0x44a)]=_0x443ef8,_0x3a8aaf['license']=_0x1183ec;}function _0x1feb52(_0x4e50a9){const _0x1ed67c=_0x10620a,_0xdf5cca=typeof _0x4e50a9[_0x1ed67c(0x6ef)]!==_0x1ed67c(0x16b5)&&!_0x4e50a9['permissions'][_0x1ed67c(0x277f)]?!![]:![],_0x4512c3=_0x4e50a9[_0x1ed67c(0x1386)]===_0x1ed67c(0x2222)?'AppZone':_0x4e50a9['title'];if(_0x4e50a9['status'][_0x1ed67c(0x16b6)]!=='Fully\x20Enabled'){const _0x5b55cf=[];if(!_0xdf5cca){const _0x225dec=_0x454dd7['confirm']({'skipHide':!![]})['title'](_0x1ed67c(0xf74))[_0x1ed67c(0x1152)](_0x34d624[_0x1ed67c(0x25cc)](_0x1ed67c(0x25cb),{'sectionName':_0x34d624[_0x1ed67c(0x25cc)](_0x4e50a9[_0x1ed67c(0x9fe)])}))[_0x1ed67c(0x15ad)]('Warning')['ok'](_0x34d624[_0x1ed67c(0x25cc)](_0x1ed67c(0x1359)))[_0x1ed67c(0x696)](_0x34d624[_0x1ed67c(0x25cc)]('APP.CANCEL'));_0x454dd7['show'](_0x225dec)['then'](function(){const _0x279bc7=_0x1ed67c;switch(_0x4512c3){case'Dashboards':_0x39641b()['map'](_0x3a8aaf['dashboardSections'],function(_0x3fd9fc){const _0x56e141=a0_0x5cbd;_0x5b55cf[_0x56e141(0x2785)]({'category':_0x4512c3,'sectionId':_0x3fd9fc['id'],'name':_0x3fd9fc[_0x56e141(0x1386)],'enabled':!![],'autoAssociation':!![],'crudPermissions':_0x39641b()[_0x56e141(0x1de2)](_0x3a8aaf[_0x56e141(0x44a)]['crudPermissions'])[_0x56e141(0x1f66)]('')});});break;default:_0x39641b()['map'](_0x4e50a9[_0x279bc7(0x27a5)],function(_0x103720){const _0x5eae66=_0x279bc7,_0x39fe93=typeof _0x103720['permissions']!=_0x5eae66(0x16b5)&&_0x103720[_0x5eae66(0x6ef)]['hidden']?!![]:![];if(!_0x39fe93){let _0x246c76=_0x103720[_0x5eae66(0x1386)];switch(_0x4512c3){case _0x5eae66(0x1ff3):_0x246c76=_0x246c76===_0x5eae66(0x871)?_0x5eae66(0x1165):_0x246c76;break;}_0x5b55cf[_0x5eae66(0x2785)]({'category':_0x4512c3,'sectionId':_0x103720['id'],'name':_0x246c76,'enabled':!![],'autoAssociation':!![],'crudPermissions':_0x39641b()[_0x5eae66(0x1de2)](_0x3a8aaf[_0x5eae66(0x44a)]['crudPermissions'])['join']('')});}});break;}return _0x51e63f['userProfile'][_0x279bc7(0x2a6)]({'id':_0x3a8aaf[_0x279bc7(0x44a)]['id']},_0x5b55cf)['$promise'][_0x279bc7(0x1cb0)](function(){const _0x350b07=_0x279bc7;_0x4e50a9[_0x350b07(0x291)]=_0x2ec872(_0x4e50a9,'full'),_0x5c0990[_0x350b07(0x829)]({'title':_0x34d624[_0x350b07(0x25cc)](_0x350b07(0x60f),{'sectionName':_0x34d624['instant'](_0x4e50a9[_0x350b07(0x9fe)]),'userProfileName':_0x3a8aaf[_0x350b07(0x44a)][_0x350b07(0x16b6)]}),'msg':_0x34d624['instant'](_0x350b07(0x7e9),{'sectionName':_0x34d624[_0x350b07(0x25cc)](_0x4e50a9[_0x350b07(0x9fe)]),'userProfileName':_0x3a8aaf['userProfile'][_0x350b07(0x16b6)]})});});})[_0x1ed67c(0x1c4)](function(_0x3611d5){const _0x41a7b7=_0x1ed67c;_0x3611d5?_0x5c0990[_0x41a7b7(0x218e)]({'title':_0x3611d5[_0x41a7b7(0x291)]?'API:'+_0x3611d5[_0x41a7b7(0x291)]+_0x41a7b7(0x1657)+_0x3611d5[_0x41a7b7(0xc22)]:_0x41a7b7(0x1ee9),'msg':_0x3611d5[_0x41a7b7(0x291)]?JSON[_0x41a7b7(0x2701)](_0x3611d5[_0x41a7b7(0x25c)]):_0x3611d5['toString']()}):_0x4e50a9[_0x41a7b7(0x291)]=_0x2ec872(_0x4e50a9,_0x4e50a9[_0x41a7b7(0x291)][_0x41a7b7(0x22b1)]);});}else return _0x5b55cf[_0x1ed67c(0x2785)]({'category':_0x4512c3,'sectionId':_0x4e50a9['id'],'name':_0x4512c3,'enabled':!![],'crudPermissions':_0x1ed67c(0x1a72)}),_0x51e63f[_0x1ed67c(0x44a)][_0x1ed67c(0x2a6)]({'id':_0x3a8aaf['userProfile']['id']},_0x5b55cf)[_0x1ed67c(0x1d77)][_0x1ed67c(0x1cb0)](function(){const _0x12ebac=_0x1ed67c;_0x4e50a9[_0x12ebac(0x291)]=_0x2ec872(_0x4e50a9,_0x12ebac(0x10ca)),_0x5c0990[_0x12ebac(0x829)]({'title':_0x34d624[_0x12ebac(0x25cc)](_0x12ebac(0x60f),{'sectionName':_0x34d624[_0x12ebac(0x25cc)](_0x4e50a9['translate']),'userProfileName':_0x3a8aaf[_0x12ebac(0x44a)]['name']}),'msg':_0x34d624[_0x12ebac(0x25cc)]('STAFF.NOTIFICATIONS.PERMISSIONS_ENABLED_ALL_SUCCESS_MESSAGE_NO_RESOURCES',{'sectionName':_0x34d624[_0x12ebac(0x25cc)](_0x4e50a9[_0x12ebac(0x9fe)]),'userProfileName':_0x3a8aaf[_0x12ebac(0x44a)][_0x12ebac(0x16b6)]})});})[_0x1ed67c(0x1c4)](function(_0x22a1bf){const _0x66c619=_0x1ed67c;_0x5c0990[_0x66c619(0x218e)]({'title':_0x22a1bf[_0x66c619(0x291)]?'API:'+_0x22a1bf[_0x66c619(0x291)]+_0x66c619(0x1657)+_0x22a1bf[_0x66c619(0xc22)]:_0x66c619(0x1ee9),'msg':_0x22a1bf[_0x66c619(0x291)]?JSON[_0x66c619(0x2701)](_0x22a1bf[_0x66c619(0x25c)]):_0x22a1bf['toString']()});});}else{if(_0x4e50a9['status'][_0x1ed67c(0x16b6)]===_0x1ed67c(0x18a8)){_0x4e50a9['status']=_0x2ec872(_0x4e50a9,_0x1ed67c(0x379));let _0x22b4f7=[];switch(_0x4512c3){case _0x1ed67c(0x1fd8):case _0x1ed67c(0x28cf):_0x22b4f7=[_0x4e50a9['id']];break;case _0x1ed67c(0x6a5):_0x22b4f7=_0x39641b()[_0x1ed67c(0x1de2)](_0x3a8aaf[_0x1ed67c(0x19f8)],function(_0x11cba1){return _0x11cba1['id'];});break;default:_0x22b4f7=_0x39641b()[_0x1ed67c(0x1de2)](_0x4e50a9[_0x1ed67c(0x27a5)],function(_0x514c7d){return _0x514c7d['id'];});break;}return _0x51e63f[_0x1ed67c(0x44a)][_0x1ed67c(0x23a2)]({'id':_0x3a8aaf[_0x1ed67c(0x44a)]['id'],'ids':_0x22b4f7})['$promise'][_0x1ed67c(0x1cb0)](function(){const _0xb6bef5=_0x1ed67c;_0x5c0990[_0xb6bef5(0x829)]({'title':_0x34d624['instant']('STAFF.NOTIFICATIONS.PERMISSIONS_DISABLED_ALL_SUCCESS_TITLE',{'sectionName':_0x34d624[_0xb6bef5(0x25cc)](_0x4e50a9[_0xb6bef5(0x9fe)]),'userProfileName':_0x3a8aaf[_0xb6bef5(0x44a)][_0xb6bef5(0x16b6)]}),'msg':_0x34d624[_0xb6bef5(0x25cc)](_0xb6bef5(0x1832),{'sectionName':_0x34d624[_0xb6bef5(0x25cc)](_0x4e50a9['translate']),'userProfileName':_0x3a8aaf[_0xb6bef5(0x44a)][_0xb6bef5(0x16b6)]})});})[_0x1ed67c(0x1c4)](function(_0x53c935){const _0x5f21e7=_0x1ed67c;_0x5c0990[_0x5f21e7(0x218e)]({'title':_0x53c935['status']?_0x5f21e7(0xeb9)+_0x53c935[_0x5f21e7(0x291)]+'\x20-\x20'+_0x53c935[_0x5f21e7(0xc22)]:_0x5f21e7(0x1d38),'msg':_0x53c935['status']?JSON['stringify'](_0x53c935[_0x5f21e7(0x25c)]):_0x53c935[_0x5f21e7(0x147f)]()});});}}}function _0x17bee0(_0x4c10a2,_0x493cf8){const _0xb3b951=_0x10620a,_0x342f13=_0x454dd7[_0xb3b951(0xe27)]({'controller':_0xb3b951(0xbc0),'controllerAs':'vm','templateUrl':_0xd8c0fc,'parent':angular[_0xb3b951(0x1853)](_0x185e60[_0xb3b951(0x1ed9)]),'targetEvent':_0x493cf8,'clickOutsideToClose':![],'locals':{'userProfile':_0x3a8aaf[_0xb3b951(0x44a)],'userProfiles':[],'section':_0x4c10a2}});_0x342f13[_0xb3b951(0x1cb0)](function(){_0x128c95(_0x4c10a2);});}function _0x2ec872(_0x36e4fe,_0x5b756b){const _0x501493=_0x10620a;_0x36e4fe[_0x501493(0xb98)]=_0x29b798(_0x5b756b);switch(_0x5b756b){case _0x501493(0x10ca):return _0x39641b()[_0x501493(0x13b4)](_0x3a8aaf['statusList'],['tag',_0x501493(0x10ca)]);case'partial':return _0x39641b()['find'](_0x3a8aaf[_0x501493(0x236f)],[_0x501493(0x22b1),'partial']);case _0x501493(0x379):return _0x39641b()[_0x501493(0x13b4)](_0x3a8aaf[_0x501493(0x236f)],[_0x501493(0x22b1),'disabled']);case _0x501493(0x1099):return _0x39641b()['find'](_0x3a8aaf[_0x501493(0x236f)],[_0x501493(0x22b1),_0x501493(0x1099)]);case'unknown':return _0x39641b()['find'](_0x3a8aaf['statusList'],['tag',_0x501493(0x1c9d)]);default:return _0x39641b()['find'](_0x3a8aaf['statusList'],['tag','unknown']);}}function _0x331bbd(_0x5a12ee){return _0x1a00e7(function(_0x2cc35b,_0x5d79e9){const _0x3babf6=a0_0x5cbd,_0x21cf0b=typeof _0x5a12ee[_0x3babf6(0x1072)]!=='undefined'&&_0x39641b()[_0x3babf6(0x250a)](_0x5a12ee[_0x3babf6(0x15d0)],_0x3babf6(0x2687));_0x21cf0b?_0x2f7509(_0x5a12ee)[_0x3babf6(0x1cb0)](function(_0xe0f19a){_0x2cc35b(_0xe0f19a);})['catch'](function(_0x5ce4ef){_0x5d79e9(_0x5ce4ef);}):_0x4e9f86(_0x5a12ee)[_0x3babf6(0x1cb0)](function(_0x57f051){_0x2cc35b(_0x57f051);})['catch'](function(_0x5c43fc){_0x5d79e9(_0x5c43fc);});});}function _0x2f7509(_0x25f2de){return _0x1a00e7(function(_0x5d7315,_0x1c6bb4){const _0xf994de=a0_0x5cbd,_0x242e2f=typeof _0x25f2de[_0xf994de(0x1072)]!==_0xf994de(0x16b5)&&_0x25f2de[_0xf994de(0x1072)]==='bull'?_0xf994de(0x895):_0xf994de(0x26c0);return _0x51e63f[_0x25f2de[_0xf994de(0x15d0)]]['get']({'fields':_0xf994de(0x267e),'channel':_0x25f2de[_0xf994de(0x1072)]===_0xf994de(0xb23)?_0xf994de(0x1fd4):_0x25f2de[_0xf994de(0x1072)],'type':_0x242e2f,'nolimit':!![]})[_0xf994de(0x1d77)][_0xf994de(0x1cb0)](function(_0x475e75){_0x5d7315(_0x475e75);})[_0xf994de(0x1c4)](function(_0x345388){_0x1c6bb4(_0x345388);});});}function _0x4e9f86(_0x30a79e){return _0x1a00e7(function(_0x39788d,_0x1533ea){const _0x50fccf=a0_0x5cbd;let _0x54bc85,_0x4939fb,_0x436746=![],_0x2d8567=![];switch(_0x30a79e['route']){case _0x50fccf(0x1059):switch(_0x30a79e[_0x50fccf(0x1386)]){case _0x50fccf(0x23e2):_0x54bc85=_0x50fccf(0x26c0);break;case _0x50fccf(0x257c):_0x54bc85=_0x50fccf(0x895);break;case _0x50fccf(0x6fc):_0x54bc85=_0x50fccf(0xdbd);break;}break;case _0x50fccf(0x1ac8):_0x436746=!![];break;case'disposition':_0x2d8567=!![];break;case _0x50fccf(0xebe):switch(_0x30a79e['title']){case _0x50fccf(0x2536):_0x4939fb=_0x50fccf(0x1eff);break;case _0x50fccf(0x16d1):_0x4939fb=_0x50fccf(0x2922);break;case _0x50fccf(0x2653):_0x4939fb=_0x50fccf(0xebe);break;}break;}if(_0x30a79e['title']===_0x50fccf(0x94d)){if(_0x30a79e[_0x50fccf(0x1ffd)])switch(_0x30a79e[_0x50fccf(0x1ffd)]){case _0x50fccf(0x24c8):_0x30a79e[_0x50fccf(0x15d0)]=_0x50fccf(0x1f0c);break;case _0x50fccf(0x197c):_0x30a79e[_0x50fccf(0x15d0)]=_0x50fccf(0x1e32);break;}else return _0x51e63f[_0x50fccf(0x1f0c)][_0x50fccf(0xbf7)]({'nolimit':!![]})[_0x50fccf(0x1d77)][_0x50fccf(0x1cb0)](function(_0x593329){const _0x35f6fa=_0x50fccf;_0x51e63f['analyticCustomReport']['get']({'nolimit':!![]})[_0x35f6fa(0x1d77)]['then'](function(_0x3a24c2){const _0x43c72f=_0x35f6fa,_0x3f4153=_0x39641b()[_0x43c72f(0xa6f)](_0x593329['rows'],_0x3a24c2[_0x43c72f(0x2214)]);_0x39788d({'count':_0x3f4153[_0x43c72f(0xfd0)],'rows':_0x3f4153[_0x43c72f(0x2214)]});});})[_0x50fccf(0x1c4)](function(_0x1bf6ca){_0x1533ea(_0x1bf6ca);});}return _0x51e63f[_0x30a79e['route']][_0x50fccf(0xbf7)]({'role':_0x4939fb?_0x4939fb:undefined,'type':_0x54bc85?_0x54bc85:undefined,'VoiceExtensionId':_0x54bc85?_0x50fccf(0xd38):undefined,'IntervalId':_0x436746?'null':undefined,'MailAccountId':_0x2d8567?_0x50fccf(0xd38):undefined,'FaxAccountId':_0x2d8567?_0x50fccf(0xd38):undefined,'SmsAccountId':_0x2d8567?_0x50fccf(0xd38):undefined,'OpenchannelAccountId':_0x2d8567?'null':undefined,'ChatWebsiteId':_0x2d8567?'null':undefined,'WhatsappAccountId':_0x2d8567?_0x50fccf(0xd38):undefined,'ListId':_0x2d8567?_0x50fccf(0xd38):undefined,'nolimit':!![]})[_0x50fccf(0x1d77)][_0x50fccf(0x1cb0)](function(_0x290fa1){_0x39788d(_0x290fa1);})[_0x50fccf(0x1c4)](function(_0x5c8043){_0x1533ea(_0x5c8043);});});}function _0x518683(_0x4ed0d1,_0x1a623f){return _0x1a00e7(function(_0x1829ce,_0x1bbf77){const _0x22f4e0=a0_0x5cbd;_0x51e63f['userProfileResource'][_0x22f4e0(0xbf7)]({'sectionId':_0x1a623f})[_0x22f4e0(0x1d77)][_0x22f4e0(0x1cb0)](function(_0x3b39d9){const _0x308db7=_0x22f4e0;return _0x331bbd(_0x4ed0d1)[_0x308db7(0x1cb0)](function(_0x4538e8){const _0x587111=_0x308db7;return _0x39641b()[_0x587111(0x2128)](_0x3b39d9['rows'],_0x4538e8[_0x587111(0x2214)],'id');});})[_0x22f4e0(0x1cb0)](function(_0x1b44fb){const _0xc11394=_0x22f4e0;_0x39641b()[_0xc11394(0xce9)](_0x1b44fb)?_0x1829ce():_0x1bbf77();})['catch'](function(_0x4ed110){_0x1bbf77(_0x4ed110);});});}function _0x24c988(_0x3769be,_0x43f7e6,_0x423650){const _0x534b75=_0x10620a,_0x1c46a4=_0x3769be&&_0x3769be[_0x534b75(0xfd0)]>0x0?_0x3769be[0x0]:null;if(!_0x1c46a4)return _0x423650;const _0x4d2078=_0x39641b()[_0x534b75(0x13b4)](_0x43f7e6,[_0x534b75(0xec6),_0x1c46a4['id']]);if(_0x4d2078)return _0x43f7e6=_0x39641b()[_0x534b75(0x152a)](_0x43f7e6,[_0x534b75(0xec6),_0x1c46a4['id']]),_0x518683(_0x1c46a4,_0x4d2078['id'])[_0x534b75(0x1cb0)](function(){const _0x3d0f51=_0x534b75;return _0x423650=_0x3d0f51(0x10ca),_0x24c988(_0x3769be[_0x3d0f51(0x1945)](),_0x43f7e6,_0x423650);})[_0x534b75(0x1c4)](function(_0x1c5072){const _0x5a9deb=_0x534b75;return _0x1a00e7[_0x5a9deb(0x988)](_0x1c5072);});}function _0x128c95(_0x39fef0){const _0xd45c6f=_0x10620a,_0x49c523=_0x39fef0['title']===_0xd45c6f(0x2222)?_0xd45c6f(0x295c):_0x39fef0[_0xd45c6f(0x1386)];if(!_0x3a8aaf[_0xd45c6f(0x8a5)]){_0x39fef0[_0xd45c6f(0x291)]=_0x2ec872(_0x39fef0,_0xd45c6f(0x1c9d));return;}typeof _0x39fef0[_0xd45c6f(0x6ef)]!==_0xd45c6f(0x16b5)&&!_0x39fef0[_0xd45c6f(0x6ef)]['association']?_0x51e63f[_0xd45c6f(0x2199)][_0xd45c6f(0xbf7)]({'userProfileId':_0x3a8aaf[_0xd45c6f(0x44a)]['id'],'sectionId':_0x39fef0['id']})[_0xd45c6f(0x1d77)][_0xd45c6f(0x1cb0)](function(_0x162375){const _0x5e4818=_0xd45c6f,_0xc006d3=_0x162375&&_0x162375[_0x5e4818(0x2214)][_0x5e4818(0xfd0)]===0x1?_0x162375[_0x5e4818(0x2214)][0x0]:null,_0x2e6bd4=_0xc006d3?_0x5e4818(0x10ca):_0x5e4818(0x379);_0x39fef0[_0x5e4818(0x291)]=_0x2ec872(_0x39fef0,_0x2e6bd4);}):typeof _0x39fef0[_0xd45c6f(0x8a5)]!==_0xd45c6f(0x16b5)&&!_0x3a8aaf['license'][_0x39fef0[_0xd45c6f(0x8a5)]]?_0x39fef0[_0xd45c6f(0x291)]=_0x2ec872(_0x39fef0,'unlicensed'):_0x51e63f['userProfileSection'][_0xd45c6f(0xbf7)]({'userProfileId':_0x3a8aaf[_0xd45c6f(0x44a)]['id'],'category':_0x49c523})[_0xd45c6f(0x1d77)][_0xd45c6f(0x1cb0)](function(_0x9fd06a){const _0x25dfff=_0xd45c6f,_0x3bce0c=_0x9fd06a&&_0x9fd06a[_0x25dfff(0x2214)]&&_0x9fd06a[_0x25dfff(0x2214)][_0x25dfff(0xfd0)]>0x0?_0x9fd06a[_0x25dfff(0x2214)]:[];if(_0x39fef0[_0x25dfff(0x1386)]===_0x25dfff(0x6a5))return _0x39641b()['isEmpty'](_0x3bce0c)?_0x25dfff(0x379):_0x3bce0c[0x0][_0x25dfff(0x12f4)]?_0x25dfff(0x10ca):_0x51e63f[_0x25dfff(0x1198)][_0x25dfff(0xbf7)]({'sectionId':_0x3bce0c[0x0]['id'],'resourceId':0x65})[_0x25dfff(0x1d77)]['then'](function(_0x3d6ebc){const _0x1a12e6=_0x25dfff,_0x38c322=_0x3d6ebc&&_0x3d6ebc['rows']?_0x3d6ebc[_0x1a12e6(0x2214)][0x0]:null;return _0x38c322?_0x1a12e6(0x10ca):_0x1a12e6(0xe88);});else{const _0x4f50c1=_0x39641b()[_0x25dfff(0x1c99)](_0x39fef0['children'],function(_0x171835){const _0x54dece=_0x25dfff;return typeof _0x171835['permissions']!==_0x54dece(0x16b5)&&_0x171835['permissions']['hidden']?undefined:_0x171835;});if(_0x3bce0c[_0x25dfff(0xfd0)]===_0x4f50c1['length']){if(_0x39641b()[_0x25dfff(0x1aa3)](_0x3bce0c,[_0x25dfff(0x12f4),!![]]))return _0x25dfff(0x10ca);else{const _0x55d795=_0x39641b()['filter'](_0x4f50c1,function(_0x32783d){const _0x551779=_0x25dfff;return typeof _0x32783d[_0x551779(0x6ef)]!==_0x551779(0x16b5)&&!_0x32783d[_0x551779(0x6ef)]['association']?undefined:_0x32783d;});return _0x24c988(_0x55d795,_0x3bce0c,_0x25dfff(0xe88));}}else return _0x3bce0c[_0x25dfff(0xfd0)]===0x0?_0x25dfff(0x379):_0x25dfff(0xe88);}})[_0xd45c6f(0x1cb0)](function(_0x4ca8b7){const _0x3edb8c=_0xd45c6f;_0x39fef0[_0x3edb8c(0x291)]=_0x2ec872(_0x39fef0,_0x4ca8b7);})[_0xd45c6f(0x1c4)](function(_0x393963){const _0x1bc5e5=_0xd45c6f,_0x277e8e=_0x393963?_0x1bc5e5(0x1c9d):_0x1bc5e5(0xe88);_0x39fef0[_0x1bc5e5(0x291)]=_0x2ec872(_0x39fef0,_0x277e8e),_0x393963&&_0x5c0990[_0x1bc5e5(0x218e)]({'title':_0x393963[_0x1bc5e5(0x291)]?'API:'+_0x393963['status']+_0x1bc5e5(0x1657)+_0x393963[_0x1bc5e5(0xc22)]:'USERPROFILE:GETRESOURCES('+_0x49c523['toUpperCase']()+')','msg':_0x393963['status']?JSON[_0x1bc5e5(0x2701)](_0x393963['data']):_0x393963[_0x1bc5e5(0x147f)]()});});}function _0x29b798(_0x8efab2){const _0x4d3b40=_0x10620a;return _0x8efab2===_0x4d3b40(0x10ca)?!![]:![];}}const _0x12a080=_0x3a23c9;;_0x1afebc[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$mdDialog','$q',_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x44a),'userProfiles',_0x5537c6(0x1ae),'Auth',_0x5537c6(0x1f74)];function _0x1afebc(_0x1b40b7,_0x2298c4,_0x537c40,_0x16644c,_0x3afde7,_0x1521dd,_0x1549b5,_0x1f4a9c,_0x115a6c,_0x3d37b8){const _0xf0c727=_0x5537c6,_0x915137=this;_0x915137[_0xf0c727(0x44a)]=_0x1521dd,_0x915137[_0xf0c727(0x1cbc)]=0x0,_0x915137[_0xf0c727(0x1f74)]=angular[_0xf0c727(0x17fe)](_0x3d37b8),_0x915137['title']=_0x1f4a9c[_0xf0c727(0x25cc)](_0xf0c727(0x2350),{'sectionName':_0x1f4a9c['instant'](_0x915137[_0xf0c727(0x1f74)][_0xf0c727(0x9fe)])}),_0x915137[_0xf0c727(0x122f)]=[],_0x915137[_0xf0c727(0x20bb)]=[],_0x915137['subsections']=_0x39641b()[_0xf0c727(0x1c99)](_0x915137[_0xf0c727(0x1f74)]['children'],function(_0x110d2f){const _0x1bc85b=_0xf0c727;return typeof _0x110d2f[_0x1bc85b(0x6ef)]!=_0x1bc85b(0x16b5)&&_0x110d2f[_0x1bc85b(0x6ef)][_0x1bc85b(0x3f8)]?undefined:_0x110d2f;}),_0x915137['dashboardSections']=[{'title':_0xf0c727(0x6a5),'translate':_0xf0c727(0x1f06),'id':0x64}],_0x915137[_0xf0c727(0x2607)]=[];_0x915137[_0xf0c727(0x1f74)][_0xf0c727(0x1386)]===_0xf0c727(0x6a5)&&(_0x915137[_0xf0c727(0x2607)]=_0x915137['subsections'],_0x915137['subsections']=_0x915137[_0xf0c727(0x19f8)]);_0x915137[_0xf0c727(0x1a1a)]=null,_0x915137[_0xf0c727(0x1b59)]=null,_0x915137[_0xf0c727(0xaae)]=[],_0x915137[_0xf0c727(0xda0)]=_0x32c5f3,_0x915137[_0xf0c727(0x27bb)]=_0x16d53d,_0x915137[_0xf0c727(0x12ad)]=_0x57d4f3,_0x915137['onChangeAutoAssociation']=_0x284ff3,_0x915137[_0xf0c727(0x1ed2)]=_0x346c94,_0x915137[_0xf0c727(0x1a3a)]=_0x32922c,_0x915137[_0xf0c727(0x141f)]=_0x3911f1,_0x915137[_0xf0c727(0x18c0)]={'readOnly':![],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0xf0c727(0x16b6),'line1':_0xf0c727(0x16b6),'line2':'','line3':'','line4':'fullPath','labelAll':_0x1f4a9c['instant'](_0xf0c727(0x118b)),'labelSelected':_0x1f4a9c[_0xf0c727(0x25cc)](_0xf0c727(0x2023)),'labelSearch':_0x1f4a9c[_0xf0c727(0x25cc)](_0xf0c727(0x1f72)),'transferCallback':function(_0x36124e,_0x5f20c2){const _0x42b4bb=_0xf0c727;_0x409ac8(!![]),_0x5f20c2?(_0x915137['selectedSection'][_0x42b4bb(0x20bb)]=_0x39641b()['pullAllBy'](_0x915137[_0x42b4bb(0x1a1a)][_0x42b4bb(0x20bb)],_0x36124e,'id'),_0x915137['selectedSection'][_0x42b4bb(0x12f4)]=![]):_0x915137[_0x42b4bb(0x1a1a)][_0x42b4bb(0x20bb)]=_0x39641b()[_0x42b4bb(0x16ef)](_0x915137['selectedSection'][_0x42b4bb(0x20bb)],_0x36124e,'id'),_0x915137['selectedSection']['title']===_0x42b4bb(0x94d)&&_0x1c497c();}};function _0x567e28(){return _0x537c40(function(_0x1d5612,_0x4eee30){const _0x3ad748=a0_0x5cbd,_0x14248d=typeof _0x915137[_0x3ad748(0x1a1a)][_0x3ad748(0x1072)]!=='undefined'&&_0x915137[_0x3ad748(0x1a1a)][_0x3ad748(0x1072)]===_0x3ad748(0xb23)?_0x3ad748(0x895):_0x3ad748(0x26c0);return _0x3afde7[_0x915137[_0x3ad748(0x1a1a)][_0x3ad748(0x15d0)]][_0x3ad748(0xbf7)]({'fields':_0x3ad748(0x267e),'channel':_0x915137[_0x3ad748(0x1a1a)][_0x3ad748(0x1072)]===_0x3ad748(0xb23)?'voice':_0x915137['selectedSection'][_0x3ad748(0x1072)],'type':_0x14248d,'nolimit':!![]})[_0x3ad748(0x1d77)][_0x3ad748(0x1cb0)](function(_0x4dc558){_0x1d5612(_0x4dc558);})[_0x3ad748(0x1c4)](function(_0x5e0855){_0x4eee30(_0x5e0855);});});}function _0x22c627(){return _0x537c40(function(_0x47ce0b,_0x229080){const _0x1125c0=a0_0x5cbd;let _0x13d9eb,_0x3c59ef,_0x582b9a=![],_0x2de5c8=![];if(_0x915137['selectedSection']['route']===_0x1125c0(0x1059))switch(_0x915137['selectedSection'][_0x1125c0(0x1386)]){case _0x1125c0(0x23e2):_0x13d9eb=_0x1125c0(0x26c0);break;case _0x1125c0(0x257c):_0x13d9eb=_0x1125c0(0x895);break;case'InternalRoutes':_0x13d9eb=_0x1125c0(0xdbd);break;}if(_0x915137[_0x1125c0(0x1a1a)]['route']==='user')switch(_0x915137[_0x1125c0(0x1a1a)]['title']){case'Agents':_0x3c59ef=_0x1125c0(0x1eff);break;case _0x1125c0(0x16d1):_0x3c59ef=_0x1125c0(0x2922);break;case'Users':_0x3c59ef='user';break;}_0x915137[_0x1125c0(0x1a1a)][_0x1125c0(0x15d0)]===_0x1125c0(0x1ac8)&&(_0x582b9a=!![]);_0x915137[_0x1125c0(0x1a1a)]['route']==='disposition'&&(_0x2de5c8=!![]);_0x915137[_0x1125c0(0x1a1a)]['title']===_0x1125c0(0x94d)&&(_0x915137[_0x1125c0(0x1a1a)][_0x1125c0(0x15d0)]=_0x915137[_0x1125c0(0x1a1a)][_0x1125c0(0x1ffd)]===_0x1125c0(0x24c8)?_0x1125c0(0x1f0c):_0x1125c0(0x1e32));if(_0x915137['selectedSection'][_0x1125c0(0x1386)]==='Dashboards')_0x47ce0b({'count':0x1,'rows':[{'id':0x65,'name':_0x1f4a9c[_0x1125c0(0x25cc)](_0x1125c0(0x76a))}]});else return _0x3afde7[_0x915137[_0x1125c0(0x1a1a)][_0x1125c0(0x15d0)]][_0x1125c0(0xbf7)]({'role':_0x3c59ef?_0x3c59ef:undefined,'type':_0x13d9eb?_0x13d9eb:undefined,'VoiceExtensionId':_0x13d9eb?_0x1125c0(0xd38):undefined,'IntervalId':_0x582b9a?'null':undefined,'MailAccountId':_0x2de5c8?_0x1125c0(0xd38):undefined,'FaxAccountId':_0x2de5c8?_0x1125c0(0xd38):undefined,'SmsAccountId':_0x2de5c8?_0x1125c0(0xd38):undefined,'OpenchannelAccountId':_0x2de5c8?_0x1125c0(0xd38):undefined,'ChatWebsiteId':_0x2de5c8?_0x1125c0(0xd38):undefined,'WhatsappAccountId':_0x2de5c8?'null':undefined,'ListId':_0x2de5c8?_0x1125c0(0xd38):undefined,'nolimit':!![]})[_0x1125c0(0x1d77)]['then'](function(_0x29a063){_0x47ce0b(_0x29a063);})[_0x1125c0(0x1c4)](function(_0x2a1e19){_0x229080(_0x2a1e19);});});}function _0x326825(){const _0x245ba3=_0xf0c727,_0xe1322=typeof _0x915137[_0x245ba3(0x1a1a)][_0x245ba3(0xdb1)]!='undefined'?_0x915137['selectedSection']['pending']:![];return _0x537c40(function(_0x161f0f,_0x2ddc75){const _0x5a5651=_0x245ba3;_0x3afde7['userProfileSection']['get']({'userProfileId':_0x915137['userProfile']['id'],'sectionId':_0x915137[_0x5a5651(0x1a1a)]['id']})[_0x5a5651(0x1d77)][_0x5a5651(0x1cb0)](function(_0xd6bc37){const _0x5dbd06=_0x5a5651,_0x9bf309=_0xd6bc37&&_0xd6bc37[_0x5dbd06(0x2214)]?_0xd6bc37[_0x5dbd06(0x2214)][0x0]:null;!_0xe1322&&(_0x915137[_0x5dbd06(0x1a1a)][_0x5dbd06(0x281c)]=_0x9bf309?!!_0x9bf309[_0x5dbd06(0x281c)]:![],_0x915137[_0x5dbd06(0x1a1a)]['autoAssociation']=_0x9bf309?!!_0x9bf309['autoAssociation']:![],_0x915137[_0x5dbd06(0x1a1a)][_0x5dbd06(0x1b1a)]=_0x9bf309?_0x26f0a3(_0x9bf309[_0x5dbd06(0x1b1a)]):_0x26f0a3(_0x915137['userProfile'][_0x5dbd06(0x1b1a)])),_0x161f0f(_0x9bf309);})[_0x5a5651(0x1c4)](function(_0x438c08){_0x2ddc75(_0x438c08);});});}function _0x229a73(){return _0x537c40(function(_0x3c6a76,_0x354675){const _0x1faa1b=a0_0x5cbd;!_0x915137['loadedSection']?_0x3c6a76(null):_0x3afde7[_0x1faa1b(0x1198)][_0x1faa1b(0xbf7)]({'sectionId':_0x915137[_0x1faa1b(0x1b59)]['id'],'nolimit':!![]})[_0x1faa1b(0x1d77)][_0x1faa1b(0x1cb0)](function(_0x1261b7){_0x3c6a76(_0x1261b7);})[_0x1faa1b(0x1c4)](function(_0x36f067){_0x354675(_0x36f067);});});}function _0x540450(){return _0x537c40(function(_0x587be4,_0x40249c){const _0x3ab9f9=a0_0x5cbd,_0x5cc0cf=typeof _0x915137[_0x3ab9f9(0x1a1a)]['channel']!==_0x3ab9f9(0x16b5)&&_0x39641b()[_0x3ab9f9(0x250a)](_0x915137[_0x3ab9f9(0x1a1a)][_0x3ab9f9(0x15d0)],_0x3ab9f9(0x2687));_0x5cc0cf?_0x567e28()[_0x3ab9f9(0x1cb0)](function(_0x3bb5a2){_0x587be4(_0x3bb5a2);})['catch'](function(_0x14d9dc){_0x40249c(_0x14d9dc);}):_0x22c627()[_0x3ab9f9(0x1cb0)](function(_0x50b54d){_0x587be4(_0x50b54d);})[_0x3ab9f9(0x1c4)](function(_0x229015){_0x40249c(_0x229015);});});}function _0x28fe35(){const _0x49935c=_0xf0c727,_0x36255e=typeof _0x915137[_0x49935c(0x1a1a)][_0x49935c(0xdb1)]!=_0x49935c(0x16b5)?_0x915137[_0x49935c(0x1a1a)]['pending']:![];let _0x47a317=[];return _0x229a73()['then'](function(_0x5cf2fa){const _0x22bf32=_0x49935c;return _0x47a317=_0x5cf2fa&&_0x5cf2fa[_0x22bf32(0x2214)]?_0x5cf2fa['rows']:[],_0x915137[_0x22bf32(0x1a1a)]['title']===_0x22bf32(0x94d)&&(_0x915137[_0x22bf32(0x1807)]=!_0x915137[_0x22bf32(0x1807)]?_0x47a317:_0x915137[_0x22bf32(0x1807)],_0x47a317=_0x10e619(_0x915137[_0x22bf32(0x1807)])),typeof _0x915137[_0x22bf32(0x1a1a)][_0x22bf32(0x6ef)]!==_0x22bf32(0x16b5)&&!_0x915137[_0x22bf32(0x1a1a)][_0x22bf32(0x6ef)][_0x22bf32(0x277f)]?{'rows':[]}:_0x540450();})[_0x49935c(0x1cb0)](function(_0x276a3d){const _0x23d05d=_0x49935c;_0x915137[_0x23d05d(0x122f)]=_0x276a3d[_0x23d05d(0x2214)]?_0x39641b()[_0x23d05d(0x1de2)](_0x276a3d[_0x23d05d(0x2214)],function(_0x3fcbea){const _0x1e7fec=_0x23d05d;return _0x915137[_0x1e7fec(0x1a1a)][_0x1e7fec(0x1386)]===_0x1e7fec(0x94d)&&(_0x3fcbea['fullPath']=_0x34f194(_0x3fcbea[_0x1e7fec(0xbfb)])[_0x1e7fec(0x288f)]('\x20','_')),_0x3fcbea[_0x1e7fec(0x1a4f)]=!![],_0x3fcbea;}):[];!_0x36255e&&(_0x915137['selectedSection'][_0x23d05d(0x20bb)]=_0x39641b()[_0x23d05d(0x1de2)](_0x47a317,function(_0x1ec7ee){const _0x9b67c=_0x23d05d;return _0x39641b()[_0x9b67c(0x13b4)](_0x915137[_0x9b67c(0x122f)],{'id':_0x1ec7ee[_0x9b67c(0x2982)]});}));const _0x3f4d04=_0x39641b()['differenceBy'](_0x915137[_0x23d05d(0x1a1a)]['selectedItems'],_0x915137['items'],'id');!_0x39641b()[_0x23d05d(0xce9)](_0x3f4d04)&&(_0x915137['selectedSection'][_0x23d05d(0x20bb)]=_0x39641b()['pullAllBy'](_0x915137['selectedSection']['selectedItems'],_0x3f4d04,'id'));let _0x1bf7ec;switch(_0x915137['selectedSection'][_0x23d05d(0x1386)]){case _0x23d05d(0x208a):_0x915137[_0x23d05d(0x1a1a)][_0x23d05d(0x20bb)]=_0x39641b()['filter'](_0x915137[_0x23d05d(0x122f)],function(_0x326bba){const _0xe4fc84=_0x23d05d;if(_0x326bba[_0xe4fc84(0xa0d)])return _0x326bba[_0xe4fc84(0x1a4f)]=![],_0x326bba;else{const _0x4f5db3=_0x39641b()[_0xe4fc84(0x13b4)](_0x47a317,{'resourceId':_0x326bba['id']});if(typeof _0x4f5db3!==_0xe4fc84(0x16b5))return _0x326bba[_0xe4fc84(0x1a4f)]=!![],_0x326bba;}});break;case'MusicOnHolds':_0x915137['selectedSection']['selectedItems']=_0x39641b()[_0x23d05d(0x1c99)](_0x915137[_0x23d05d(0x122f)],function(_0x29cde1){const _0x262825=_0x23d05d;if(_0x29cde1[_0x262825(0xa0d)])return _0x29cde1[_0x262825(0x1a4f)]=![],_0x29cde1;else{const _0x323883=_0x39641b()[_0x262825(0x13b4)](_0x47a317,{'resourceId':_0x29cde1['id']});if(typeof _0x323883!==_0x262825(0x16b5))return _0x29cde1[_0x262825(0x1a4f)]=!![],_0x29cde1;}});break;case _0x23d05d(0x94d):_0x1bf7ec=_0x26bda2(_0x915137[_0x23d05d(0xaae)]),_0x915137[_0x23d05d(0x1a1a)][_0x23d05d(0x20bb)]=_0x22d6df(_0x915137['selectedSection'][_0x23d05d(0x20bb)]),_0x915137[_0x23d05d(0x1a1a)]['selectedItems']=_0x39641b()[_0x23d05d(0x16ef)](_0x915137['selectedSection']['selectedItems'],_0x1bf7ec,'id');break;}_0x915137[_0x23d05d(0x18c0)][_0x23d05d(0x20bb)]=_0x915137[_0x23d05d(0x1a1a)][_0x23d05d(0x20bb)],_0x915137[_0x23d05d(0x18c0)][_0x23d05d(0x122f)]=_0x39641b()['differenceBy'](_0x915137['items'],_0x915137[_0x23d05d(0x18c0)]['selectedItems'],'id');})['catch'](function(_0x3c7cdf){const _0x4ba88d=_0x49935c;_0x16644c[_0x4ba88d(0x218e)]({'title':_0x3c7cdf['status']?_0x4ba88d(0xeb9)+_0x3c7cdf[_0x4ba88d(0x291)]+_0x4ba88d(0x1657)+_0x3c7cdf['statusText']:_0x4ba88d(0x383)+_0x915137[_0x4ba88d(0x1a1a)]['title'][_0x4ba88d(0x2335)](),'msg':_0x3c7cdf[_0x4ba88d(0x291)]?JSON[_0x4ba88d(0x2701)](_0x3c7cdf[_0x4ba88d(0x25c)]):_0x3c7cdf[_0x4ba88d(0x147f)]()});});}function _0x16d53d(){const _0x4a02dd=_0xf0c727;return _0x326825()[_0x4a02dd(0x1cb0)](function(_0x3fa0f6){const _0x3e4bec=_0x4a02dd;_0x915137['loadedSection']=_0x3fa0f6;if(_0x915137['selectedSection'][_0x3e4bec(0x1386)]===_0x3e4bec(0x94d))return _0x22c62f()[_0x3e4bec(0x1cb0)](function(){const _0x18d38f=_0x3e4bec;if(!_0x915137[_0x18d38f(0x1a1a)][_0x18d38f(0x1ffd)])return _0x229a73()[_0x18d38f(0x1cb0)](function(_0x4b7dc9){const _0x502611=_0x18d38f;_0x915137[_0x502611(0xaae)]=_0x4b7dc9?_0x39641b()['map'](_0x4b7dc9[_0x502611(0x2214)],function(_0x1cac6d){const _0x44032a=_0x502611;return{'id':_0x1cac6d[_0x44032a(0x2982)],'name':_0x1cac6d[_0x44032a(0x16b6)],'type':_0x1cac6d[_0x44032a(0x66a)]};}):[];});})[_0x3e4bec(0x1c4)](function(_0x1771bf){const _0x1dd914=_0x3e4bec;_0x16644c[_0x1dd914(0x218e)]({'title':_0x1771bf[_0x1dd914(0x291)]?_0x1dd914(0xeb9)+_0x1771bf['status']+_0x1dd914(0x1657)+_0x1771bf[_0x1dd914(0xc22)]:_0x1dd914(0x2972),'msg':_0x1771bf[_0x1dd914(0x25c)]?JSON[_0x1dd914(0x2701)](_0x1771bf['data']):_0x1771bf[_0x1dd914(0x147f)]()});});else _0x28fe35();})['catch'](function(_0x17b117){const _0x2a97d3=_0x4a02dd;_0x16644c[_0x2a97d3(0x218e)]({'title':_0x17b117[_0x2a97d3(0x291)]?_0x2a97d3(0xeb9)+_0x17b117['status']+'\x20-\x20'+_0x17b117[_0x2a97d3(0xc22)]:_0x2a97d3(0x383)+_0x915137[_0x2a97d3(0x1a1a)][_0x2a97d3(0x1386)][_0x2a97d3(0x2335)](),'msg':_0x17b117[_0x2a97d3(0x291)]?JSON['stringify'](_0x17b117[_0x2a97d3(0x25c)]):_0x17b117[_0x2a97d3(0x147f)]()});});}function _0x26f0a3(_0x184fac){const _0x48faca=_0xf0c727;return _0x184fac&&(_0x915137['selectedSection'][_0x48faca(0x11af)]=_0x39641b()[_0x48faca(0x250a)](_0x184fac,'e')?!![]:![],_0x915137[_0x48faca(0x1a1a)][_0x48faca(0xc65)]=_0x39641b()[_0x48faca(0x250a)](_0x184fac,'d')?!![]:![]),_0x1b84f1();}function _0x1b84f1(){const _0xaba44a=_0xf0c727,_0x314a90=['r'];if(_0x915137[_0xaba44a(0x1a1a)][_0xaba44a(0x11af)])_0x314a90[_0xaba44a(0x2785)]('e');else{const _0x2ffabe=_0x39641b()[_0xaba44a(0xd8a)](_0x314a90,['e']);_0x2ffabe>0x0&&_0x915137['selectedSection']['crudPermissions'][_0xaba44a(0x288f)]('e','');}if(_0x915137[_0xaba44a(0x1a1a)][_0xaba44a(0xc65)])_0x314a90['push']('d');else{const _0x1d5e5a=_0x39641b()['indexOf'](_0x314a90,['d']);_0x1d5e5a>0x0&&_0x915137[_0xaba44a(0x1a1a)][_0xaba44a(0x1b1a)]['replace']('d','');}return _0x314a90;}function _0x57d4f3(){const _0x14766a=_0xf0c727;_0x915137[_0x14766a(0x1a1a)][_0x14766a(0x281c)]=!_0x915137['selectedSection'][_0x14766a(0x281c)],_0x409ac8(!![]);}function _0x284ff3(){const _0x29ccee=_0xf0c727;if(!_0x915137['selectedSection'][_0x29ccee(0x12f4)]){const _0x301fa0=[];for(let _0x4b2a1e=0x0;_0x4b2a1e<_0x915137[_0x29ccee(0x18c0)][_0x29ccee(0x122f)][_0x29ccee(0xfd0)];_0x4b2a1e++){_0x301fa0[_0x29ccee(0x2785)](_0x915137['dualMultiselectOptions'][_0x29ccee(0x122f)][_0x4b2a1e]),_0x915137[_0x29ccee(0x18c0)][_0x29ccee(0x20bb)][_0x29ccee(0x2785)](_0x915137['dualMultiselectOptions'][_0x29ccee(0x122f)][_0x4b2a1e]);}_0x915137[_0x29ccee(0x18c0)][_0x29ccee(0x122f)]=[],_0x915137[_0x29ccee(0x18c0)][_0x29ccee(0x2741)](_0x301fa0,![]);}_0x409ac8(!![]);}function _0x346c94(){const _0x4867ae=_0xf0c727;_0x915137[_0x4867ae(0x8af)]=_0x2de88a(),_0x915137[_0x4867ae(0x1a1a)][_0x4867ae(0x20bb)]&&(_0x915137[_0x4867ae(0xaae)]=_0x22d6df(_0x915137['allReportsSelected']),_0x1c497c()),_0x28fe35();}function _0x32922c(_0x2da95e){const _0xd620e9=_0xf0c727;if(_0x2da95e===_0xd620e9(0xe09))_0x915137[_0xd620e9(0x1a1a)][_0xd620e9(0x11af)]=!_0x915137[_0xd620e9(0x1a1a)][_0xd620e9(0x11af)];else _0x2da95e===_0xd620e9(0x111d)&&(_0x915137[_0xd620e9(0x1a1a)]['allowDelete']=!_0x915137[_0xd620e9(0x1a1a)][_0xd620e9(0xc65)]);_0x915137[_0xd620e9(0x1a1a)][_0xd620e9(0x1b1a)]=_0x26f0a3(),_0x409ac8(!![]);}function _0x3911f1(){const _0x55b5b4=_0xf0c727,_0x1eb8f5=_0x915137[_0x55b5b4(0x1f74)][_0x55b5b4(0x1386)]==='Marketplace'?_0x55b5b4(0x295c):_0x915137[_0x55b5b4(0x1f74)][_0x55b5b4(0x1386)],_0x30eb0a=_0x39641b()(_0x915137[_0x55b5b4(0x26fd)])[_0x55b5b4(0x1c99)]({'pending':!![]})[_0x55b5b4(0x1de2)](function(_0x8df9b7){const _0x436c76=_0x55b5b4,_0x1fd4c1=_0x1eb8f5===_0x436c76(0x1ff3)&&_0x8df9b7['title']==='Projects'?_0x436c76(0x1165):_0x8df9b7[_0x436c76(0x1386)],_0x37e6c1=_0x1fd4c1==='Reports'?_0x915137[_0x436c76(0xaae)]:_0x8df9b7[_0x436c76(0x20bb)];return _0x1eb8f5===_0x436c76(0x1294)&&(_0x8df9b7['autoAssociation']=!![]),{'category':_0x1eb8f5,'sectionId':_0x8df9b7['id'],'name':_0x1fd4c1,'enabled':_0x8df9b7['enabled'],'autoAssociation':_0x8df9b7['autoAssociation'],'crudPermissions':_0x39641b()[_0x436c76(0x1de2)](_0x8df9b7[_0x436c76(0x1b1a)])[_0x436c76(0x1f66)](''),'Resources':_0x39641b()[_0x436c76(0x1de2)](_0x37e6c1,function(_0x13645a){const _0x3e7974=_0x436c76;return{'name':_0x13645a[_0x3e7974(0x16b6)],'resourceId':_0x13645a['id'],'type':_0x4e7541(_0x1fd4c1,_0x13645a)};})};})['value']();return _0x3afde7['userProfile'][_0x55b5b4(0x2a6)]({'id':_0x915137[_0x55b5b4(0x44a)]['id']},_0x30eb0a)[_0x55b5b4(0x1d77)][_0x55b5b4(0x1cb0)](function(){const _0x4f36a6=_0x55b5b4;_0x915137['subsections'][_0x4f36a6(0xf90)](function(_0xe6b235){const _0x4a3d1f=_0x4f36a6;_0xe6b235[_0x4a3d1f(0xdb1)]=undefined;}),_0x915137[_0x4f36a6(0x1807)]=null,_0x13af2e(),_0x915137['selectedSection'][_0x4f36a6(0x1386)]===_0x4f36a6(0x94d)&&!_0x915137[_0x4f36a6(0x1a1a)]['reportType']&&_0x1b40b7[_0x4f36a6(0x725)][_0x4f36a6(0x1187)](),_0x16644c[_0x4f36a6(0x829)]({'title':_0x1f4a9c[_0x4f36a6(0x25cc)](_0x4f36a6(0x1a6b),{'sectionName':_0x1f4a9c['instant'](_0x1eb8f5[_0x4f36a6(0x9fe)])}),'msg':_0x1f4a9c['instant'](_0x4f36a6(0x28c))});})[_0x55b5b4(0x1cb0)](function(){return _0x326825()['then'](function(_0x16912b){const _0x12df7d=a0_0x5cbd;_0x915137[_0x12df7d(0x1b59)]=_0x16912b;});})[_0x55b5b4(0x1c4)](function(_0x4800a4){const _0x4948b3=_0x55b5b4;_0x16644c[_0x4948b3(0x218e)]({'title':_0x4800a4[_0x4948b3(0x291)]?_0x4948b3(0xeb9)+_0x4800a4[_0x4948b3(0x291)]+_0x4948b3(0x1657)+_0x4800a4['statusText']:_0x4948b3(0x1ee9),'msg':_0x4800a4[_0x4948b3(0x291)]?JSON['stringify'](_0x4800a4['data']):_0x4800a4[_0x4948b3(0x147f)]()});});}function _0x409ac8(_0x44f891){const _0x1af8d6=_0xf0c727,_0x50e18e=_0x39641b()[_0x1af8d6(0x3c2)](_0x915137[_0x1af8d6(0x26fd)],function(_0x4e7dd9){const _0x562ad4=_0x1af8d6;return _0x4e7dd9['id']==_0x915137[_0x562ad4(0x1a1a)]['id'];});_0x915137[_0x1af8d6(0x26fd)][_0x50e18e]['pending']=_0x44f891,_0x13af2e();}function _0x13af2e(){const _0x5bce72=_0xf0c727;return _0x915137[_0x5bce72(0x50c)]=_0x39641b()['some'](_0x915137['subsections'],[_0x5bce72(0xdb1),!![]]);}function _0x2de88a(){const _0x52d2fc=_0xf0c727;let _0xb6d951={};return _0x915137[_0x52d2fc(0x1a1a)][_0x52d2fc(0x1ffd)]==='default'?_0xb6d951=_0x915137['treeReports'][0x0]?angular['fromJson'](_0x915137[_0x52d2fc(0x1871)][0x0]['tree']):[]:_0xb6d951=_0x915137[_0x52d2fc(0x1871)][0x1]?angular[_0x52d2fc(0x284c)](_0x915137[_0x52d2fc(0x1871)][0x1][_0x52d2fc(0x1bd)]):[],_0xb6d951;}function _0x22c62f(){return _0x537c40(function(_0x5b2d1f,_0x4af299){const _0x44c5d9=a0_0x5cbd;_0x3afde7['analyticTreeReport'][_0x44c5d9(0xbf7)]({})[_0x44c5d9(0x1d77)][_0x44c5d9(0x1cb0)](function(_0x3d5c91){const _0x6909f2=_0x44c5d9;_0x915137[_0x6909f2(0x1871)]=_0x3d5c91[_0x6909f2(0x2214)]||[],_0x5b2d1f(_0x915137[_0x6909f2(0x1871)]);})[_0x44c5d9(0x1c4)](function(_0x4f8591){_0x4af299(_0x4f8591);});});}function _0x34f194(_0x881e84){const _0x110971=_0xf0c727;let _0x473a53=_0x915137['treeStructure'][0x0][_0x110971(0x19d3)];return _0x473a53=_0x3d92ab(_0x473a53,_0x881e84,_0x915137['treeStructure'][0x0]),_0x473a53;}function _0x3d92ab(_0x3259f9,_0x3712d7,_0x4a3768){const _0xb1080f=_0xf0c727;if(_0x4a3768&&!_0x39641b()['isEmpty'](_0x4a3768['children']))_0x4a3768=_0x39641b()['find'](_0x4a3768['children'],function(_0x14c69a){const _0x3d986c=a0_0x5cbd;return _0x39641b()[_0x3d986c(0x250a)](_0x3712d7,_0x14c69a['id'])?_0x14c69a:undefined;}),_0x3259f9+=_0x4a3768?'/'+_0x4a3768[_0xb1080f(0x19d3)]:'';else return _0x3259f9;return _0x3d92ab(_0x3259f9,_0x3712d7,_0x4a3768);}function _0x10e619(_0x1a1c73){const _0x35ce19=_0xf0c727;return _0x39641b()[_0x35ce19(0x1c99)](_0x1a1c73,{'type':_0x915137[_0x35ce19(0x1a1a)][_0x35ce19(0x1ffd)]===_0x35ce19(0x24c8)?_0x35ce19(0x1f5d):_0x35ce19(0xe44)});}function _0x26bda2(_0x49936e){const _0x5eed79=_0xf0c727;return _0x39641b()[_0x5eed79(0x1c99)](_0x49936e,function(_0xfc63e2){const _0x4a85c4=_0x5eed79;return _0x39641b()[_0x4a85c4(0x1f2c)](_0xfc63e2[_0x4a85c4(0xbfb)],_0x915137[_0x4a85c4(0x1a1a)][_0x4a85c4(0x1ffd)]===_0x4a85c4(0x24c8)?_0x4a85c4(0x2232):_0x4a85c4(0x197c));});}function _0x22d6df(_0x24520e){return _0x39641b()['remove'](_0x24520e,function(_0x1cc3e8){const _0x5a2e8a=a0_0x5cbd;return _0x39641b()[_0x5a2e8a(0x1f2c)](_0x1cc3e8[_0x5a2e8a(0xbfb)],_0x915137[_0x5a2e8a(0x1a1a)]['reportType']===_0x5a2e8a(0x24c8)?_0x5a2e8a(0x2232):'custom');});}function _0x1c497c(){const _0x313255=_0xf0c727;_0x39641b()[_0x313255(0xce9)](_0x915137['selectedSection'][_0x313255(0x20bb)])?_0x915137[_0x313255(0xaae)]=_0x39641b()[_0x313255(0x152a)](_0x915137[_0x313255(0xaae)],function(_0x39bfc0){const _0x298d42=_0x313255;return _0x39bfc0[_0x298d42(0x66a)]===(_0x915137['selectedSection'][_0x298d42(0x1ffd)]===_0x298d42(0x24c8)?'CustomReports':_0x298d42(0x1f5d));}):_0x915137[_0x313255(0x1a1a)][_0x313255(0x20bb)][_0x313255(0xf90)](function(_0x24bbe3){const _0x2ccc9a=_0x313255,_0x12130a=_0x39641b()[_0x2ccc9a(0x727)](_0x915137[_0x2ccc9a(0xaae)],{'id':_0x24bbe3['id'],'parent':_0x24bbe3[_0x2ccc9a(0xbfb)]});!_0x12130a&&_0x915137[_0x2ccc9a(0xaae)][_0x2ccc9a(0x2785)](_0x24bbe3);});}function _0x4e7541(_0xdaab0,_0x5ccd40){const _0x58ae38=_0xf0c727;if(_0xdaab0===_0x58ae38(0x94d)){if(_0x5ccd40[_0x58ae38(0xbfb)]){const _0xf43b1a=_0x39641b()[_0x58ae38(0x1f2c)](_0x5ccd40[_0x58ae38(0xbfb)],_0x58ae38(0x2232))?_0x58ae38(0x2986):'Custom';return _0xf43b1a+_0xdaab0;}else return _0x5ccd40[_0x58ae38(0x66a)];}return _0xdaab0;}function _0x32c5f3(_0x4d4f2c){const _0x32e412=_0xf0c727;if(_0x915137['pendingChanges']){const _0x50de12=_0x2298c4[_0x32e412(0x1551)]({'skipHide':!![]})['title'](_0x32e412(0xf74))[_0x32e412(0x1152)](_0x1f4a9c[_0x32e412(0x25cc)](_0x32e412(0x210c)))[_0x32e412(0x15ad)](_0x32e412(0xf74))['targetEvent'](_0x4d4f2c)['ok'](_0x1f4a9c[_0x32e412(0x25cc)](_0x32e412(0x2226)))[_0x32e412(0x696)](_0x1f4a9c['instant'](_0x32e412(0x2768)));_0x2298c4[_0x32e412(0xe27)](_0x50de12)[_0x32e412(0x1cb0)](function(){const _0x4d6674=_0x32e412;_0x2298c4[_0x4d6674(0x1426)]();});}else _0x2298c4[_0x32e412(0x1426)]();}}const _0xce9e36=_0x1afebc;;const _0x26a427=_0x5074a3['p']+_0x5537c6(0x1ec6);;_0x4f973e[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x22bf),'$translate',_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x142b),'toasty',_0x5537c6(0x1774),_0x5537c6(0x44a),_0x5537c6(0x2199)];function _0x4f973e(_0x50e77f,_0x3221cc,_0x4ea7b8,_0x16a038,_0x5ad8f2,_0x3a1ffa,_0x11f4e5,_0x44b615,_0xc36977,_0x23355f,_0x1b3149,_0xfe1ece){const _0x305327=_0x5537c6,_0x5d75c4=this;_0x5d75c4['currentUser']=_0x23355f[_0x305327(0x21e8)](),_0x5d75c4[_0x305327(0x8a5)]=_0x3a1ffa,_0x5d75c4['setting']=_0x11f4e5,_0x5d75c4['passwordPattern']=_0x5d75c4['setting'][_0x305327(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x5d75c4[_0x305327(0x2404)]=_0x3221cc['protocol']()+_0x305327(0x138b)+_0x3221cc[_0x305327(0x17d8)](),_0x5d75c4[_0x305327(0x44a)]=_0x1b3149||_0x50e77f['params'][_0x305327(0x44a)]||{},_0x5d75c4[_0x305327(0x2199)]=_0xfe1ece&&_0xfe1ece[_0x305327(0x184d)]==0x1?_0xfe1ece[_0x305327(0x2214)][0x0]:null,_0x5d75c4[_0x305327(0x1b1a)]=_0x23355f['parseCrudPermissions'](_0x5d75c4[_0x305327(0x2199)]?_0x5d75c4['userProfileSection'][_0x305327(0x1b1a)]:null),_0x5d75c4[_0x305327(0xf4c)]={},_0x5d75c4[_0x305327(0x8ec)]=_0x50e77f['params'][_0x305327(0x291e)]||0x0,_0x5d75c4['permissionsmanagedialog']=_0x326fc1,_0x5d75c4['clonedialog']=_0x5f42b4,_0x5d75c4[_0x305327(0x494)]=_0xc36977['info'],_0x5d75c4[_0x305327(0xb4d)]=_0x3e05ee,_0x5d75c4[_0x305327(0x2f3)]=_0xa87571;function _0x326fc1(_0x13e58f,_0x1185f3){const _0x22ac2c=_0x305327;_0x4ea7b8[_0x22ac2c(0xe27)]({'controller':_0x22ac2c(0xbc0),'controllerAs':'vm','templateUrl':_0xd8c0fc,'parent':angular[_0x22ac2c(0x1853)](_0x16a038[_0x22ac2c(0x1ed9)]),'targetEvent':_0x1185f3,'clickOutsideToClose':!![],'locals':{'userProfile':_0x13e58f,'userProfiles':_0x5d75c4[_0x22ac2c(0x1606)]?_0x5d75c4[_0x22ac2c(0x1606)][_0x22ac2c(0x2214)]:[],'crudPermissions':_0x5d75c4[_0x22ac2c(0x1b1a)]}});}function _0x5f42b4(_0x262bd9,_0x42f896){const _0x34391c=_0x305327;_0x4ea7b8[_0x34391c(0xe27)]({'controller':'UserProfilecloneController','controllerAs':'vm','templateUrl':_0x26a427,'parent':angular[_0x34391c(0x1853)](_0x16a038['body']),'targetEvent':_0x42f896,'clickOutsideToClose':!![],'locals':{'userProfile':_0x262bd9,'userProfiles':_0x5d75c4[_0x34391c(0x1606)]?_0x5d75c4[_0x34391c(0x1606)][_0x34391c(0x2214)]:[],'crudPermissions':_0x5d75c4['crudPermissions']}});}function _0x3e05ee(){const _0xd8b392=_0x305327;_0x50e77f['go']('app.staff.userProfiles',{},{'reload':_0xd8b392(0x11a9)});}function _0xa87571(){const _0x4b4c20=_0x305327;_0x44b615[_0x4b4c20(0x44a)][_0x4b4c20(0x687)]({'id':_0x5d75c4[_0x4b4c20(0x44a)]['id']},_0x5d75c4['userProfile'])[_0x4b4c20(0x1d77)][_0x4b4c20(0x1cb0)](function(){const _0x9a2c1c=_0x4b4c20;_0xc36977[_0x9a2c1c(0x829)]({'title':'UserProfile\x20updated!','msg':_0x5d75c4['userProfile'][_0x9a2c1c(0x16b6)]?_0x5d75c4['userProfile'][_0x9a2c1c(0x16b6)]+_0x9a2c1c(0x1068):''});})[_0x4b4c20(0x1c4)](function(_0x2c7ab6){const _0x1b13e2=_0x4b4c20;_0xc36977[_0x1b13e2(0x218e)]({'title':_0x2c7ab6[_0x1b13e2(0x291)]?_0x1b13e2(0xeb9)+_0x2c7ab6[_0x1b13e2(0x291)]+_0x1b13e2(0x1657)+_0x2c7ab6[_0x1b13e2(0xc22)]:_0x1b13e2(0x2987),'msg':_0x2c7ab6[_0x1b13e2(0x25c)]?JSON[_0x1b13e2(0x2701)](_0x2c7ab6[_0x1b13e2(0x25c)]):_0x2c7ab6[_0x1b13e2(0x147f)]()});});}}const _0x383c7a=_0x4f973e;;const _0x3a5030=_0x5074a3['p']+_0x5537c6(0x17f0);;_0x4d1afc[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),'$mdSidenav','$mdDialog',_0x5537c6(0x22bf),_0x5537c6(0x2168),_0x5537c6(0x1ae),'userProfiles',_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x142b),'msUtils','toasty','Auth','license','setting'];function _0x4d1afc(_0x17e3e0,_0x7d10b2,_0x31d14e,_0x5707c3,_0x3d576e,_0x40d20f,_0xada017,_0x3d09d3,_0x31ad0d,_0x56572e,_0x4b5d91,_0x30d1e9,_0x4d3177,_0x378638,_0x1f11a3,_0x3978be,_0x9b6b92){const _0x4fdff7=_0x5537c6,_0x287160=this;_0x287160['license']=_0x3978be,_0x287160[_0x4fdff7(0x9ca)]=_0x9b6b92,_0x287160[_0x4fdff7(0xe76)]=_0x1f11a3[_0x4fdff7(0x21e8)](),_0x287160['userProfiles']=_0x31ad0d||{'count':0x0,'rows':[]},_0x287160[_0x4fdff7(0x44a)]=_0x56572e,_0x287160[_0x4fdff7(0x2199)]=_0x4b5d91&&_0x4b5d91[_0x4fdff7(0x184d)]==0x1?_0x4b5d91[_0x4fdff7(0x2214)][0x0]:null,_0x287160[_0x4fdff7(0x1b1a)]=_0x1f11a3[_0x4fdff7(0x14ea)](_0x287160[_0x4fdff7(0x2199)]?_0x287160['userProfileSection'][_0x4fdff7(0x1b1a)]:null),_0x287160[_0x4fdff7(0xc83)]='userProfiles',_0x287160[_0x4fdff7(0x1d20)]='',_0x287160[_0x4fdff7(0x1cdf)]=null,_0x287160[_0x4fdff7(0x2307)]=[],_0x287160['query']={'fields':_0x4fdff7(0xc56),'sort':'-updatedAt','limit':0xa,'page':0x1},_0x287160['arraycrudPermissions']=_0x39641b()[_0x4fdff7(0x2631)]([{'option':_0x4fdff7(0x16fb),'value':_0x4fdff7(0x377),'disabled':!![]},{'option':'Edit_permission','value':_0x4fdff7(0x1ff)},{'option':_0x4fdff7(0x29e),'value':'\x27d\x27'}],function(_0x38602a){const _0x3121e1=_0x4fdff7;return _0x39641b()[_0x3121e1(0x288f)](_0x38602a[_0x3121e1(0x327)],new RegExp('\x27','g'),'');});_0x287160[_0x4fdff7(0x1606)]&&_0x287160[_0x4fdff7(0x1606)][_0x4fdff7(0x184d)]>0x0&&_0x287160[_0x4fdff7(0x1606)]['rows'][_0x4fdff7(0xf90)](function(_0x22f132){const _0x10eab2=_0x4fdff7,_0x44c441=[];_0x22f132[_0x10eab2(0x1b1a)][_0x10eab2(0xf90)](function(_0x3ace2f){const _0x4c8b37=_0x10eab2;_0x44c441[_0x4c8b37(0x2785)](_0x287160[_0x4c8b37(0xf7a)][_0x3ace2f][_0x4c8b37(0x10cc)]);});const _0x34fd12=[];_0x44c441['forEach'](function(_0x21f457){const _0x2a5f95=_0x10eab2;_0x34fd12[_0x2a5f95(0x2785)](_0x3d09d3['instant'](_0x2a5f95(0xe52)+_0x21f457[_0x2a5f95(0x2335)]()));}),_0x22f132[_0x10eab2(0x1adf)]=_0x34fd12[_0x10eab2(0x1f66)](',\x20');});_0x287160[_0x4fdff7(0x235d)]=_0x332510,_0x287160[_0x4fdff7(0x388)]=_0x403585,_0x287160[_0x4fdff7(0x27fe)]=_0x55de55,_0x287160[_0x4fdff7(0x829)]=_0x15b9eb,_0x287160[_0x4fdff7(0x7c6)]=_0x499ffd,_0x287160[_0x4fdff7(0x1dee)]=_0x39b5ca,_0x287160[_0x4fdff7(0x28e4)]=_0x190fe7,_0x287160[_0x4fdff7(0x28c6)]=_0x396d55,_0x287160['deleteSelectedUserProfiles']=_0x514c40,_0x287160[_0x4fdff7(0x2669)]=_0x3d26e1,_0x287160['selectAllUserProfiles']=_0xed700b;function _0x332510(_0x553e44){const _0x41146a=_0x4fdff7;_0x31d14e['go'](_0x41146a(0x15f4),{'id':_0x553e44['id'],'userProfile':_0x553e44,'crudPermissions':_0x287160[_0x41146a(0x1b1a)]});}function _0x403585(_0x5859ae,_0x521d04){const _0x5e15df=_0x4fdff7;_0x3d576e[_0x5e15df(0xe27)]({'controller':_0x5e15df(0x4fb),'controllerAs':'vm','templateUrl':_0x26a427,'parent':angular[_0x5e15df(0x1853)](_0x40d20f[_0x5e15df(0x1ed9)]),'targetEvent':_0x521d04,'clickOutsideToClose':!![],'locals':{'userProfile':_0x5859ae,'userProfiles':_0x287160[_0x5e15df(0x1606)]?_0x287160[_0x5e15df(0x1606)][_0x5e15df(0x2214)]:[],'crudPermissions':_0x287160['crudPermissions']}});}function _0x55de55(_0x46451a,_0x504366){const _0x46e4b1=_0x4fdff7,_0xd3f60e=_0x3d576e[_0x46e4b1(0x1551)]()[_0x46e4b1(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20'+_0x39641b()[_0x46e4b1(0xa75)](_0x46e4b1(0x44a))+'?')[_0x46e4b1(0x49e)](''+(_0x46451a[_0x46e4b1(0x16b6)]||'userProfile')+_0x46e4b1(0x1200)+_0x46e4b1(0x1b6))[_0x46e4b1(0x15ad)](_0x46e4b1(0x1c17))[_0x46e4b1(0x728)](_0x504366)['ok']('OK')[_0x46e4b1(0x696)](_0x46e4b1(0x24ba));_0x3d576e[_0x46e4b1(0xe27)](_0xd3f60e)[_0x46e4b1(0x1cb0)](function(){_0x190fe7(_0x46451a);},function(){const _0x2095c8=_0x46e4b1;console['log'](_0x2095c8(0x24ba));});}let _0x361e70=!![],_0x1f10a7=0x1;_0x17e3e0[_0x4fdff7(0x614)](_0x4fdff7(0x957),function(_0x1f21f3,_0x335fc3){const _0x11a757=_0x4fdff7;_0x361e70?_0xada017(function(){_0x361e70=![];}):(!_0x335fc3&&(_0x1f10a7=_0x287160[_0x11a757(0xae2)][_0x11a757(0x1c7b)]),_0x1f21f3!==_0x335fc3&&(_0x287160[_0x11a757(0xae2)]['page']=0x1),!_0x1f21f3&&(_0x287160[_0x11a757(0xae2)][_0x11a757(0x1c7b)]=_0x1f10a7),_0x287160[_0x11a757(0x7c6)]());});function _0x15b9eb(_0x47fdad){const _0xc242af=_0x4fdff7;_0x287160[_0xc242af(0x1606)]=_0x47fdad||{'count':0x0,'rows':[]};}function _0x499ffd(){const _0x25f823=_0x4fdff7;_0x287160['query']['offset']=(_0x287160[_0x25f823(0xae2)][_0x25f823(0x1c7b)]-0x1)*_0x287160[_0x25f823(0xae2)][_0x25f823(0x236)],_0x1f11a3['hasRole']('admin')?_0x287160[_0x25f823(0x2061)]=_0x30d1e9[_0x25f823(0x44a)][_0x25f823(0xbf7)](_0x287160['query'],_0x15b9eb)['$promise']:(_0x287160[_0x25f823(0xae2)]['id']=_0x287160['userProfile']['id'],_0x287160[_0x25f823(0xae2)]['section']=_0x25f823(0xb78),_0x287160[_0x25f823(0x2061)]=_0x30d1e9[_0x25f823(0x44a)][_0x25f823(0x1810)](_0x287160[_0x25f823(0xae2)],_0x15b9eb)[_0x25f823(0x1d77)]);}function _0x39b5ca(_0x1724bb,_0x49ae85){const _0x1a58ba=_0x4fdff7;_0x3d576e[_0x1a58ba(0xe27)]({'controller':_0x1a58ba(0xb73),'controllerAs':'vm','templateUrl':_0x3a5030,'parent':angular['element'](_0x40d20f[_0x1a58ba(0x1ed9)]),'targetEvent':_0x1724bb,'clickOutsideToClose':!![],'locals':{'userProfile':_0x49ae85,'userProfiles':_0x287160[_0x1a58ba(0x1606)][_0x1a58ba(0x2214)],'license':_0x287160['license'],'setting':_0x287160[_0x1a58ba(0x9ca)],'crudPermissions':_0x287160[_0x1a58ba(0x1b1a)]}});}function _0x190fe7(_0x1ef654){const _0x4ef737=_0x4fdff7;_0x30d1e9[_0x4ef737(0x44a)][_0x4ef737(0x111d)]({'id':_0x1ef654['id']})[_0x4ef737(0x1d77)][_0x4ef737(0x1cb0)](function(){const _0x5d81ac=_0x4ef737;_0x39641b()['remove'](_0x287160[_0x5d81ac(0x1606)][_0x5d81ac(0x2214)],{'id':_0x1ef654['id']}),_0x287160[_0x5d81ac(0x1606)]['count']-=0x1,!_0x287160[_0x5d81ac(0x1606)][_0x5d81ac(0x2214)][_0x5d81ac(0xfd0)]&&_0x287160[_0x5d81ac(0x7c6)](),_0x378638[_0x5d81ac(0x829)]({'title':_0x39641b()[_0x5d81ac(0xa75)](_0x5d81ac(0x2470))+'\x20deleted!','msg':_0x1ef654[_0x5d81ac(0x16b6)]?_0x1ef654[_0x5d81ac(0x16b6)]+_0x5d81ac(0x3f5):''});})[_0x4ef737(0x1c4)](function(_0x51ebe3){const _0x40043d=_0x4ef737;if(_0x51ebe3[_0x40043d(0x25c)]&&_0x51ebe3[_0x40043d(0x25c)][_0x40043d(0x1a7c)]&&_0x51ebe3['data']['errors']['length']){_0x287160['errors']=_0x51ebe3[_0x40043d(0x25c)][_0x40043d(0x1a7c)]||[{'message':_0x51ebe3[_0x40043d(0x147f)](),'type':_0x40043d(0x1c47)}];for(let _0x200245=0x0;_0x200245<_0x51ebe3[_0x40043d(0x25c)][_0x40043d(0x1a7c)][_0x40043d(0xfd0)];_0x200245++){_0x378638[_0x40043d(0x218e)]({'title':_0x51ebe3['data'][_0x40043d(0x1a7c)][_0x200245][_0x40043d(0x66a)],'msg':_0x51ebe3[_0x40043d(0x25c)][_0x40043d(0x1a7c)][_0x200245]['message']});}}else _0x378638['error']({'title':_0x51ebe3[_0x40043d(0x291)]?_0x40043d(0xeb9)+_0x51ebe3[_0x40043d(0x291)]+_0x40043d(0x1657)+_0x51ebe3[_0x40043d(0xc22)]:'SYSTEM:DELETEuserProfile','msg':_0x51ebe3['data']?JSON[_0x40043d(0x2701)](_0x51ebe3[_0x40043d(0x25c)][_0x40043d(0x155e)]):_0x51ebe3[_0x40043d(0x155e)]||_0x51ebe3[_0x40043d(0x147f)]()});});}function _0x396d55(){const _0x5d9718=_0x4fdff7,_0x43d3d3=angular[_0x5d9718(0x17fe)](_0x287160[_0x5d9718(0x2307)]);return _0x287160[_0x5d9718(0x2307)]=[],_0x43d3d3;}function _0x514c40(_0x5a730e){const _0x2141c0=_0x4fdff7,_0xd04e14=_0x3d576e[_0x2141c0(0x1551)]()['title'](_0x2141c0(0x17a0))['htmlContent'](_0x2141c0(0x204d)+_0x287160[_0x2141c0(0x2307)][_0x2141c0(0xfd0)]+_0x2141c0(0x1d6c)+_0x2141c0(0x1b6))[_0x2141c0(0x15ad)](_0x2141c0(0x1527))[_0x2141c0(0x728)](_0x5a730e)['ok']('OK')[_0x2141c0(0x696)](_0x2141c0(0x24ba));_0x3d576e[_0x2141c0(0xe27)](_0xd04e14)[_0x2141c0(0x1cb0)](function(){const _0x29b1fb=_0x2141c0;_0x287160['selectedUserProfiles'][_0x29b1fb(0xf90)](function(_0x23cea4){_0x190fe7(_0x23cea4);}),_0x287160[_0x29b1fb(0x2307)]=[];});}function _0x3d26e1(){const _0x499d29=_0x4fdff7;_0x287160[_0x499d29(0x2307)]=[];}function _0xed700b(){const _0x3d8636=_0x4fdff7;_0x287160[_0x3d8636(0x2307)]=_0x287160[_0x3d8636(0x1606)][_0x3d8636(0x2214)];}}const _0x4d2faf=_0x4d1afc;;_0x727282[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x406),'$location','$mdDialog','$q','$translate','toasty',_0x5537c6(0x1e30),_0x5537c6(0xebe),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting',_0x5537c6(0x1b1a)];function _0x727282(_0x5906c9,_0x3e43a1,_0x10a66f,_0x2ef71,_0x44760f,_0x1f8bb8,_0x583246,_0x4d404d,_0x57c2f3,_0x35cb8b,_0x2f1c3f,_0x1f3d79,_0x1bc90f,_0x2ba171){const _0x37f2ab=_0x5537c6,_0x2b425c=this;_0x2b425c[_0x37f2ab(0xe76)]=_0x2f1c3f[_0x37f2ab(0x21e8)](),_0x2b425c[_0x37f2ab(0x1a7c)]=[],_0x2b425c[_0x37f2ab(0x9ca)]=_0x1bc90f,_0x2b425c[_0x37f2ab(0x8a5)]=_0x1f3d79,_0x2b425c['crudPermissions']=_0x2ba171,_0x2b425c[_0x37f2ab(0xf4c)]={},_0x2b425c[_0x37f2ab(0x1b0c)]=_0x2b425c[_0x37f2ab(0x9ca)]&&_0x2b425c[_0x37f2ab(0x9ca)][_0x37f2ab(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x2b425c[_0x37f2ab(0x1386)]='STAFF.EDIT_USER',_0x2b425c[_0x37f2ab(0xebe)]=angular[_0x37f2ab(0x17fe)](_0x57c2f3),_0x2b425c[_0x37f2ab(0x1e30)]=_0x4d404d,_0x2b425c['newUser']=![];!_0x2b425c[_0x37f2ab(0xebe)]&&(_0x2b425c[_0x37f2ab(0xebe)]={'role':'user','autointernal':!![],'context':_0x37f2ab(0x156f)},_0x2b425c[_0x37f2ab(0x1386)]='STAFF.NEW_USER',_0x2b425c['newUser']=!![]);_0x2b425c[_0x37f2ab(0x169e)]=_0x1f37f5,_0x2b425c[_0x37f2ab(0x1af5)]=_0x98aa3d,_0x2b425c[_0x37f2ab(0x2683)]=_0x214d88,_0x2b425c[_0x37f2ab(0x2c4)]=_0xc19241,_0x2b425c[_0x37f2ab(0xda0)]=_0x127ab3,_0x35cb8b[_0x37f2ab(0x44a)][_0x37f2ab(0xbf7)]({'fields':_0x37f2ab(0x43c),'sort':'name'})['$promise'][_0x37f2ab(0x1cb0)](function(_0x235537){const _0x1a6634=_0x37f2ab;_0x2b425c[_0x1a6634(0x1606)]=_0x235537[_0x1a6634(0x2214)]||[];})[_0x37f2ab(0x1c4)](function(_0x169e15){const _0x47c5e0=_0x37f2ab;_0x583246[_0x47c5e0(0x218e)]({'title':_0x169e15[_0x47c5e0(0x291)]?_0x47c5e0(0xeb9)+_0x169e15[_0x47c5e0(0x291)]+'\x20-\x20'+_0x169e15['statusText']:'SYSTEM:GET_USERPROFILES','msg':_0x169e15[_0x47c5e0(0x25c)]?JSON[_0x47c5e0(0x2701)](_0x169e15[_0x47c5e0(0x25c)]):_0x169e15[_0x47c5e0(0x147f)]()});});function _0x1f37f5(){const _0x548704=_0x37f2ab;_0x2b425c[_0x548704(0x1a7c)]=[],_0x35cb8b[_0x548704(0xebe)]['save'](_0x2b425c[_0x548704(0xebe)])[_0x548704(0x1d77)][_0x548704(0x1cb0)](function(_0x8e6338){const _0x33725a=_0x548704;_0x2b425c[_0x33725a(0x1e30)]['unshift'](_0x8e6338['toJSON']()),_0x583246[_0x33725a(0x829)]({'title':'User\x20properly\x20created','msg':_0x2b425c[_0x33725a(0xebe)][_0x33725a(0x16b6)]?_0x2b425c[_0x33725a(0xebe)][_0x33725a(0x16b6)]+'\x20has\x20been\x20created!':''}),_0x127ab3(_0x8e6338);})[_0x548704(0x1c4)](function(_0x425478){const _0x1e1d4e=_0x548704;if(_0x425478[_0x1e1d4e(0x25c)]&&_0x425478[_0x1e1d4e(0x25c)][_0x1e1d4e(0x1a7c)]&&_0x425478['data']['errors'][_0x1e1d4e(0xfd0)]){_0x2b425c[_0x1e1d4e(0x1a7c)]=_0x425478[_0x1e1d4e(0x25c)][_0x1e1d4e(0x1a7c)]||[{'message':_0x425478[_0x1e1d4e(0x147f)](),'type':_0x1e1d4e(0x102d)}];for(let _0x38eaa0=0x0;_0x38eaa0<_0x425478[_0x1e1d4e(0x25c)][_0x1e1d4e(0x1a7c)][_0x1e1d4e(0xfd0)];_0x38eaa0+=0x1){_0x583246[_0x1e1d4e(0x218e)]({'title':_0x425478['data']['errors'][_0x38eaa0][_0x1e1d4e(0x66a)],'msg':_0x425478[_0x1e1d4e(0x25c)][_0x1e1d4e(0x1a7c)][_0x38eaa0][_0x1e1d4e(0x155e)]});}}else _0x583246[_0x1e1d4e(0x218e)]({'title':_0x425478['status']?'API:'+_0x425478['status']+_0x1e1d4e(0x1657)+_0x425478[_0x1e1d4e(0xc22)]:_0x1e1d4e(0x102d),'msg':_0x425478[_0x1e1d4e(0x25c)]?JSON[_0x1e1d4e(0x2701)](_0x425478[_0x1e1d4e(0x25c)][_0x1e1d4e(0x155e)]):_0x425478[_0x1e1d4e(0x147f)]()});});}function _0x98aa3d(){const _0x4f0874=_0x37f2ab;_0x2b425c[_0x4f0874(0x1a7c)]=[],_0x35cb8b[_0x4f0874(0xebe)][_0x4f0874(0x687)]({'id':_0x2b425c[_0x4f0874(0xebe)]['id']},_0x2b425c[_0x4f0874(0xebe)])[_0x4f0874(0x1d77)][_0x4f0874(0x1cb0)](function(_0x3579b4){const _0x10e7f3=_0x4f0874,_0x1f7dd4=_0x39641b()[_0x10e7f3(0x13b4)](_0x2b425c[_0x10e7f3(0x1e30)],{'id':_0x3579b4['id']});_0x1f7dd4&&_0x39641b()['merge'](_0x1f7dd4,_0x39641b()[_0x10e7f3(0x169b)](_0x3579b4[_0x10e7f3(0x19b2)](),_0x39641b()[_0x10e7f3(0x1be5)](_0x1f7dd4))),_0x583246[_0x10e7f3(0x829)]({'title':_0x10e7f3(0xbea),'msg':_0x2b425c['user'][_0x10e7f3(0x16b6)]?_0x2b425c[_0x10e7f3(0xebe)]['name']+_0x10e7f3(0xedb):''}),_0x127ab3(_0x3579b4);})['catch'](function(_0x7fa895){const _0x226276=_0x4f0874;if(_0x7fa895[_0x226276(0x25c)]&&_0x7fa895['data'][_0x226276(0x1a7c)]&&_0x7fa895[_0x226276(0x25c)][_0x226276(0x1a7c)][_0x226276(0xfd0)]){_0x2b425c['errors']=_0x7fa895[_0x226276(0x25c)][_0x226276(0x1a7c)]||[{'message':_0x7fa895[_0x226276(0x147f)](),'type':_0x226276(0x498)}];for(let _0x39e3a9=0x0;_0x39e3a9<_0x7fa895[_0x226276(0x25c)][_0x226276(0x1a7c)][_0x226276(0xfd0)];_0x39e3a9++){_0x583246[_0x226276(0x218e)]({'title':_0x7fa895['data'][_0x226276(0x1a7c)][_0x39e3a9][_0x226276(0x66a)],'msg':_0x7fa895[_0x226276(0x25c)][_0x226276(0x1a7c)][_0x39e3a9][_0x226276(0x155e)]});}}else _0x583246[_0x226276(0x218e)]({'title':_0x7fa895[_0x226276(0x291)]?_0x226276(0xeb9)+_0x7fa895[_0x226276(0x291)]+_0x226276(0x1657)+_0x7fa895[_0x226276(0xc22)]:'api.user.update','msg':_0x7fa895[_0x226276(0x25c)]?JSON[_0x226276(0x2701)](_0x7fa895['data']['message']):_0x7fa895['toString']()});});}function _0x214d88(_0x1fccdd){const _0x3ab13d=_0x37f2ab,_0x20e186=_0x2f1c3f['getCurrentUser']();if(_0x20e186['id']===_0x2b425c[_0x3ab13d(0xebe)]['id'])return _0x583246['error']({'title':_0x3ab13d(0xffe),'msg':_0x3ab13d(0x12b5)});_0x2b425c[_0x3ab13d(0x1a7c)]=[];const _0x1d5fd5=_0x2ef71[_0x3ab13d(0x1551)]()[_0x3ab13d(0x1386)]('Are\x20you\x20sure?')[_0x3ab13d(0x862)](_0x3ab13d(0x20b0))[_0x3ab13d(0x15ad)](_0x3ab13d(0x171f))['ok']('Delete')[_0x3ab13d(0x696)](_0x3ab13d(0xde1))['targetEvent'](_0x1fccdd);_0x2ef71[_0x3ab13d(0xe27)](_0x1d5fd5)['then'](function(){const _0x36b837=_0x3ab13d;_0x35cb8b[_0x36b837(0xebe)][_0x36b837(0x111d)]({'id':_0x2b425c[_0x36b837(0xebe)]['id']})[_0x36b837(0x1d77)][_0x36b837(0x1cb0)](function(){const _0x3799a3=_0x36b837;_0x39641b()[_0x3799a3(0x152a)](_0x2b425c[_0x3799a3(0x1e30)],{'id':_0x2b425c['user']['id']}),_0x583246[_0x3799a3(0x829)]({'title':'User\x20properly\x20deleted!','msg':(_0x2b425c[_0x3799a3(0xebe)][_0x3799a3(0x16b6)]||'user')+_0x3799a3(0x3f5)}),_0x127ab3(_0x2b425c['user']);})[_0x36b837(0x1c4)](function(_0x4c8a41){const _0x2d4b0b=_0x36b837;if(_0x4c8a41['data']&&_0x4c8a41[_0x2d4b0b(0x25c)][_0x2d4b0b(0x1a7c)]&&_0x4c8a41[_0x2d4b0b(0x25c)][_0x2d4b0b(0x1a7c)]['length']){_0x2b425c[_0x2d4b0b(0x1a7c)]=_0x4c8a41['data'][_0x2d4b0b(0x1a7c)]||[{'message':_0x4c8a41[_0x2d4b0b(0x147f)](),'type':_0x2d4b0b(0x180a)}];for(let _0x2eb4dd=0x0;_0x2eb4dd<_0x4c8a41[_0x2d4b0b(0x25c)][_0x2d4b0b(0x1a7c)][_0x2d4b0b(0xfd0)];_0x2eb4dd++){_0x583246['error']({'title':_0x4c8a41['data'][_0x2d4b0b(0x1a7c)][_0x2eb4dd]['type'],'msg':_0x4c8a41[_0x2d4b0b(0x25c)]['errors'][_0x2eb4dd]['message']});}}else _0x583246['error']({'title':_0x4c8a41[_0x2d4b0b(0x291)]?_0x2d4b0b(0xeb9)+_0x4c8a41[_0x2d4b0b(0x291)]+'\x20-\x20'+_0x4c8a41['statusText']:'api.user.delete','msg':_0x4c8a41[_0x2d4b0b(0x25c)]?JSON[_0x2d4b0b(0x2701)](_0x4c8a41[_0x2d4b0b(0x25c)]['message']):_0x4c8a41[_0x2d4b0b(0x155e)]||_0x4c8a41[_0x2d4b0b(0x147f)]()});});},function(){});}function _0xc19241(_0x51c448){return _0x51c448===null?undefined:new Date(_0x51c448);}function _0x127ab3(_0x45565b){_0x2ef71['hide'](_0x45565b);}}const _0x34bb2d=_0x727282;;_0x324a8c['$inject']=['$mdDialog',_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0xebe),_0x5537c6(0x1774)];function _0x324a8c(_0x99b16d,_0x1dce8e,_0x26818e,_0x28aa0c,_0x4bacf5){const _0xb8c87d=_0x5537c6,_0x5c806a=this;_0x5c806a[_0xb8c87d(0xe76)]=_0x4bacf5['getCurrentUser'](),_0x5c806a[_0xb8c87d(0x1a7c)]=[],_0x5c806a[_0xb8c87d(0xebe)]=_0x28aa0c,_0x5c806a[_0xb8c87d(0xce0)]=_0x2166c3,_0x5c806a[_0xb8c87d(0x16f5)]=_0x9d3947,_0x5c806a['closeDialog']=_0x56b9b5;function _0x2166c3(){const _0x1d5220=_0xb8c87d;_0x5c806a[_0x1d5220(0x1a7c)]=[],_0x26818e[_0x1d5220(0xebe)]['createApiKey']({'id':_0x5c806a['user']['id']})['$promise'][_0x1d5220(0x1cb0)](function(_0x1829de){const _0x3908eb=_0x1d5220;_0x5c806a[_0x3908eb(0xebe)]['apiKey']=_0x1829de[_0x3908eb(0x2a7)]||'N/A',_0x1dce8e[_0x3908eb(0x829)]({'title':_0x3908eb(0xfed)}),_0x56b9b5();})[_0x1d5220(0x1c4)](function(_0x368447){const _0x4080e7=_0x1d5220;_0x1dce8e[_0x4080e7(0x218e)]({'title':_0x368447[_0x4080e7(0x291)]?'API:'+_0x368447[_0x4080e7(0x291)]+'\x20-\x20'+_0x368447['statusText']:_0x4080e7(0x209b),'msg':_0x368447[_0x4080e7(0x25c)]?JSON['stringify'](_0x368447[_0x4080e7(0x25c)][_0x4080e7(0x155e)]):_0x368447[_0x4080e7(0x147f)]()}),_0x5c806a[_0x4080e7(0x1a7c)]=_0x368447[_0x4080e7(0x25c)]['errors']||[{'message':_0x368447[_0x4080e7(0x147f)](),'type':_0x4080e7(0x209b)}];});}function _0x9d3947(){const _0x385a87=_0xb8c87d;_0x5c806a[_0x385a87(0x1a7c)]=[],_0x26818e[_0x385a87(0xebe)][_0x385a87(0x16f5)]({'id':_0x5c806a[_0x385a87(0xebe)]['id']})[_0x385a87(0x1d77)]['then'](function(){const _0x20c263=_0x385a87;_0x5c806a[_0x20c263(0xebe)][_0x20c263(0x17d7)]=_0x20c263(0x145b),_0x1dce8e[_0x20c263(0x829)]({'title':_0x20c263(0x1f8b)}),_0x56b9b5();})['catch'](function(_0x36cc49){const _0x5d05ad=_0x385a87;_0x1dce8e['error']({'title':_0x36cc49['status']?_0x5d05ad(0xeb9)+_0x36cc49[_0x5d05ad(0x291)]+_0x5d05ad(0x1657)+_0x36cc49['statusText']:_0x5d05ad(0x19e1),'msg':_0x36cc49[_0x5d05ad(0x25c)]?JSON[_0x5d05ad(0x2701)](_0x36cc49[_0x5d05ad(0x25c)][_0x5d05ad(0x155e)]):_0x36cc49[_0x5d05ad(0x147f)]()}),_0x5c806a[_0x5d05ad(0x1a7c)]=_0x36cc49[_0x5d05ad(0x25c)]['errors']||[{'message':_0x36cc49[_0x5d05ad(0x147f)](),'type':_0x5d05ad(0x19e1)}];});}function _0x56b9b5(){_0x99b16d['hide']();}}const _0x4d8e54=_0x324a8c;;_0x421ebd['$inject']=[_0x5537c6(0x173),'toasty'];function _0x421ebd(_0xbb27bf,_0x34e180){const _0x7630d8=_0x5537c6,_0x4174b4=this,_0x1807a9=0x8,_0x11bea9=_0x1807a9*0x400*0x400;_0x4174b4[_0x7630d8(0xebe)]={},_0x4174b4[_0x7630d8(0x1b1a)],_0x4174b4[_0x7630d8(0x15e8)]={'singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0x11bea9,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x4174b4[_0x7630d8(0x125a)]={'flow':{}},_0x4174b4['dropping']=![],_0x4174b4['fileAdded']=_0x37cc9d,_0x4174b4[_0x7630d8(0x1c97)]=_0x492df0,_0x4174b4[_0x7630d8(0x200f)]=_0x29f992,_0x4174b4['init']=_0x1c625f;function _0x1c625f(_0x3f60a3,_0x3cd39b){const _0x1d4b0f=_0x7630d8;_0x4174b4[_0x1d4b0f(0xebe)]=angular[_0x1d4b0f(0x17fe)](_0x3f60a3),_0x4174b4[_0x1d4b0f(0x1b1a)]=typeof _0x3cd39b!==_0x1d4b0f(0x16b5)?_0x3cd39b:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x4174b4[_0x1d4b0f(0x15e8)][_0x1d4b0f(0x251d)]=_0x1d4b0f(0x254)+_0x4174b4['user']['id']+'/avatar',_0x4174b4[_0x1d4b0f(0xebe)][_0x1d4b0f(0x105c)]=[];}function _0x37cc9d(_0x545967){const _0x450476=_0x7630d8,_0x5b38a0=[_0x450476(0x844),_0x450476(0xb97)];if(!_0x39641b()['includes'](_0x5b38a0,_0x545967['getExtension']()))return _0x34e180['error']({'title':_0x450476(0x14d4)+_0x545967[_0x450476(0x1cb1)](),'msg':_0x450476(0x4d4)+_0x5b38a0['join']()}),![];if(_0x545967[_0x450476(0x1813)]>_0x11bea9)return _0x34e180[_0x450476(0x218e)]({'title':_0x450476(0x2749),'msg':_0x450476(0xe61)+_0x1807a9+'MB'}),![];const _0x63b054={'id':_0x545967['uniqueIdentifier'],'file':_0x545967,'type':'uploading'};return _0x4174b4['user'][_0x450476(0x105c)]['unshift'](_0x63b054),!![];}function _0x492df0(){const _0x21f003=_0x7630d8;_0x4174b4[_0x21f003(0x125a)][_0x21f003(0xacf)]['opts'][_0x21f003(0x5e7)]={'X-Requested-With':_0x21f003(0x2711),'Authorization':_0x21f003(0x1923)+_0xbb27bf[_0x21f003(0xbf7)](_0x21f003(0x1b26))},_0x4174b4['ngFlow'][_0x21f003(0xacf)][_0x21f003(0x1c97)]();}function _0x29f992(_0x5d4cac){const _0x2c3525=_0x7630d8;angular[_0x2c3525(0xf90)](_0x4174b4[_0x2c3525(0xebe)][_0x2c3525(0x105c)],function(_0x480218){const _0x10c829=_0x2c3525;if(_0x480218['id']===_0x5d4cac['uniqueIdentifier']){const _0xba3a3c=new FileReader();_0xba3a3c[_0x10c829(0x245d)](_0x480218[_0x10c829(0x1ac4)][_0x10c829(0x1ac4)]),_0xba3a3c[_0x10c829(0x198d)]=function(_0x195fff){const _0x9bd9a0=_0x10c829;_0x480218[_0x9bd9a0(0x135b)]=_0x195fff[_0x9bd9a0(0x251d)][_0x9bd9a0(0x1205)];},_0x480218[_0x10c829(0x66a)]='image';}});}}const _0x37f8aa=_0x421ebd;;_0x363589['$inject']=['$scope',_0x5537c6(0xcb9),_0x5537c6(0x9bf),'api',_0x5537c6(0xebe),'setting',_0x5537c6(0x1774)];function _0x363589(_0x372492,_0x165e51,_0x50f77a,_0x515fb7,_0x37a0b3,_0x5a5402,_0x440f89){const _0x116961=_0x5537c6,_0x55aaf3=this;_0x55aaf3['currentUser']=_0x440f89[_0x116961(0x21e8)](),_0x55aaf3[_0x116961(0x1a7c)]=[],_0x55aaf3[_0x116961(0x9ca)]=_0x5a5402,_0x55aaf3[_0x116961(0x1b0c)]=_0x55aaf3[_0x116961(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x55aaf3['user']=_0x37a0b3,_0x55aaf3[_0x116961(0x381)]='',_0x55aaf3[_0x116961(0xf0f)]='',_0x55aaf3['savePassword']=_0x424691,_0x55aaf3['closeDialog']=_0x1dd361,_0x372492[_0x116961(0x614)](_0x116961(0x81a),function(_0x576c47){const _0x4998be=_0x116961;!_0x39641b()[_0x4998be(0x958)](_0x55aaf3[_0x4998be(0xf0f)])&&(_0x576c47===_0x55aaf3[_0x4998be(0xf0f)]?_0x372492[_0x4998be(0x22cd)][_0x4998be(0x381)]['$setValidity']('oldPasswordMatch',![]):_0x372492[_0x4998be(0x22cd)][_0x4998be(0x381)][_0x4998be(0x92f)](_0x4998be(0x1e13),!![]));});function _0x424691(){const _0x25fb7a=_0x116961;_0x55aaf3[_0x25fb7a(0x1a7c)]=[];const _0x30ade3={'id':_0x55aaf3[_0x25fb7a(0xebe)]['id'],'newPassword':_0x55aaf3['password']};_0x55aaf3['currentUser']['id']==_0x55aaf3[_0x25fb7a(0xebe)]['id']&&(_0x30ade3[_0x25fb7a(0xf0f)]=_0x55aaf3[_0x25fb7a(0xf0f)]),_0x515fb7[_0x25fb7a(0xebe)][_0x25fb7a(0xcad)](_0x30ade3)[_0x25fb7a(0x1d77)]['then'](function(){const _0x5eb991=_0x25fb7a;_0x50f77a[_0x5eb991(0x829)]({'title':_0x5eb991(0x203b)}),_0x1dd361();})['catch'](function(_0x1773e4){const _0x328ea3=_0x25fb7a;_0x50f77a[_0x328ea3(0x218e)]({'title':_0x1773e4['status']?'API:'+_0x1773e4['status']+'\x20-\x20'+_0x1773e4[_0x328ea3(0xc22)]:_0x328ea3(0x102d),'msg':_0x1773e4[_0x328ea3(0x25c)]?JSON[_0x328ea3(0x2701)](_0x1773e4[_0x328ea3(0x25c)]['message']):_0x1773e4[_0x328ea3(0x147f)]()}),_0x55aaf3[_0x328ea3(0x1a7c)]=_0x1773e4['data']['errors']||[{'message':_0x1773e4['toString'](),'type':_0x328ea3(0xd28)}];});}function _0x1dd361(){_0x165e51['hide']();}}const _0x4771b3=_0x363589;;const _0xffdbc7=_0x5074a3['p']+'src/js/modules/main/apps/staff/views/users/edit/apikeymanager/apikeymanager.html/apikeymanager.html';;const _0x481312=_0x5074a3['p']+_0x5537c6(0x1844);;_0x3dc2f0[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x22bf),'$translate',_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0xebe),_0x5537c6(0x2199)];function _0x3dc2f0(_0x1203c3,_0x29ef57,_0x3fc696,_0x44e276,_0x366864,_0x4978b2,_0x24a420,_0x35b662,_0x341fa3,_0x4e36bb,_0x59b0aa,_0x2f2a94){const _0x4de033=_0x5537c6,_0x11d856=this;_0x11d856[_0x4de033(0xe76)]=_0x4e36bb[_0x4de033(0x21e8)](),_0x11d856[_0x4de033(0x8a5)]=_0x4978b2,_0x11d856[_0x4de033(0x9ca)]=_0x24a420,_0x11d856['passwordPattern']=_0x11d856[_0x4de033(0x9ca)][_0x4de033(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x11d856[_0x4de033(0x2404)]=_0x29ef57[_0x4de033(0x2276)]()+'://'+_0x29ef57[_0x4de033(0x17d8)](),_0x11d856['user']=_0x59b0aa||_0x1203c3[_0x4de033(0x1dfe)]['user']||{},_0x11d856[_0x4de033(0x2199)]=_0x2f2a94&&_0x2f2a94['count']==0x1?_0x2f2a94[_0x4de033(0x2214)][0x0]:null,_0x11d856[_0x4de033(0x1b1a)]=_0x4e36bb[_0x4de033(0x14ea)](_0x11d856[_0x4de033(0x2199)]?_0x11d856[_0x4de033(0x2199)]['crudPermissions']:null),_0x11d856[_0x4de033(0xf4c)]={},_0x11d856[_0x4de033(0x8ec)]=_0x1203c3[_0x4de033(0x1dfe)][_0x4de033(0x291e)]||0x0,_0x11d856[_0x4de033(0x2489)]=_0x30b134,_0x11d856[_0x4de033(0x28aa)]=_0x2ca936,_0x11d856[_0x4de033(0x494)]=_0x341fa3[_0x4de033(0x28c7)],_0x11d856[_0x4de033(0x265f)]=_0x114799,_0x11d856[_0x4de033(0x1af5)]=_0x3a10fd,_0x35b662[_0x4de033(0x1da5)][_0x4de033(0xbf7)]({'fields':_0x4de033(0x43c),'sort':_0x4de033(0x16b6)})[_0x4de033(0x1d77)][_0x4de033(0x1cb0)](function(_0x2cde3c){const _0x44a153=_0x4de033;_0x11d856[_0x44a153(0x14f6)]=_0x2cde3c['rows']||[];})[_0x4de033(0x1c4)](function(_0x375179){const _0x556876=_0x4de033;_0x341fa3[_0x556876(0x218e)]({'title':_0x375179[_0x556876(0x291)]?'API:'+_0x375179[_0x556876(0x291)]+_0x556876(0x1657)+_0x375179[_0x556876(0xc22)]:'SYSTEM:GET_CONTEXTS','msg':_0x375179[_0x556876(0x25c)]?JSON[_0x556876(0x2701)](_0x375179[_0x556876(0x25c)]):_0x375179['toString']()});}),_0x35b662[_0x4de033(0x44a)]['get']({'fields':_0x4de033(0x43c),'sort':'name'})['$promise'][_0x4de033(0x1cb0)](function(_0x5760fb){const _0x5a058f=_0x4de033;_0x11d856[_0x5a058f(0x1606)]=_0x5760fb[_0x5a058f(0x2214)]||[];})[_0x4de033(0x1c4)](function(_0x5b825e){const _0x42cd5c=_0x4de033;_0x341fa3[_0x42cd5c(0x218e)]({'title':_0x5b825e[_0x42cd5c(0x291)]?'API:'+_0x5b825e[_0x42cd5c(0x291)]+_0x42cd5c(0x1657)+_0x5b825e['statusText']:_0x42cd5c(0x2f2),'msg':_0x5b825e['data']?JSON[_0x42cd5c(0x2701)](_0x5b825e[_0x42cd5c(0x25c)]):_0x5b825e[_0x42cd5c(0x147f)]()});}),_0x35b662[_0x4de033(0xebe)]['getApiKey']({'id':_0x11d856['user']['id']})[_0x4de033(0x1d77)]['then'](function(_0x4f0fe4){const _0x81042b=_0x4de033;_0x11d856[_0x81042b(0xebe)]['apiKey']=_0x4f0fe4[_0x81042b(0x2a7)]?_0x4f0fe4['api_key']:'N/A';})['catch'](function(_0x25e7ef){const _0x205ec3=_0x4de033;_0x341fa3[_0x205ec3(0x218e)]({'title':_0x25e7ef[_0x205ec3(0x291)]?'API:'+_0x25e7ef[_0x205ec3(0x291)]+_0x205ec3(0x1657)+_0x25e7ef[_0x205ec3(0xc22)]:_0x205ec3(0x1bad),'msg':_0x25e7ef[_0x205ec3(0x25c)]?JSON[_0x205ec3(0x2701)](_0x25e7ef[_0x205ec3(0x25c)]):_0x25e7ef[_0x205ec3(0x147f)]()});});function _0x30b134(_0x2ba18d,_0x37baad){const _0x41be6c=_0x4de033;_0x3fc696[_0x41be6c(0xe27)]({'controller':_0x41be6c(0x1c92),'controllerAs':'vm','templateUrl':_0xffdbc7,'parent':angular[_0x41be6c(0x1853)](_0x44e276[_0x41be6c(0x1ed9)]),'targetEvent':_0x37baad,'clickOutsideToClose':!![],'locals':{'user':_0x2ba18d,'users':_0x11d856[_0x41be6c(0x1e30)]?_0x11d856[_0x41be6c(0x1e30)][_0x41be6c(0x2214)]:[],'crudPermissions':_0x11d856[_0x41be6c(0x1b1a)]}});}function _0x2ca936(_0x7a0951,_0x2be654){const _0xd58984=_0x4de033;_0x3fc696[_0xd58984(0xe27)]({'controller':_0xd58984(0x26d5),'controllerAs':'vm','templateUrl':_0x481312,'parent':angular[_0xd58984(0x1853)](_0x44e276[_0xd58984(0x1ed9)]),'targetEvent':_0x2be654,'clickOutsideToClose':!![],'locals':{'user':_0x7a0951,'setting':_0x24a420,'users':_0x11d856['users']?_0x11d856[_0xd58984(0x1e30)][_0xd58984(0x2214)]:[],'crudPermissions':_0x11d856['crudPermissions']}});}function _0x114799(){const _0x285d2f=_0x4de033;_0x1203c3['go'](_0x285d2f(0x2416),{},{'reload':_0x285d2f(0x2416)});}function _0x3a10fd(){const _0x498a42=_0x4de033;_0x11d856[_0x498a42(0xebe)]=_0x39641b()[_0x498a42(0x1bd8)](_0x11d856['user'],_0x498a42(0x70a)),_0x35b662[_0x498a42(0xebe)]['update']({'id':_0x11d856[_0x498a42(0xebe)]['id']},_0x11d856['user'])[_0x498a42(0x1d77)]['then'](function(){const _0x2a3be5=_0x498a42;_0x341fa3['success']({'title':_0x2a3be5(0x1d53),'msg':_0x11d856['user']['name']?_0x11d856['user'][_0x2a3be5(0x16b6)]+_0x2a3be5(0x1068):''});})[_0x498a42(0x1c4)](function(_0x1dab05){const _0x465706=_0x498a42;_0x341fa3[_0x465706(0x218e)]({'title':_0x1dab05[_0x465706(0x291)]?_0x465706(0xeb9)+_0x1dab05['status']+_0x465706(0x1657)+_0x1dab05[_0x465706(0xc22)]:_0x465706(0x12ba),'msg':_0x1dab05['data']?JSON[_0x465706(0x2701)](_0x1dab05['data']):_0x1dab05['toString']()});});}}const _0x25a2da=_0x3dc2f0;;const _0xe654fa=_0x5074a3['p']+_0x5537c6(0x293c);;_0x2f0134[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),_0x5537c6(0x22bf),'$timeout',_0x5537c6(0x1ae),_0x5537c6(0x1e30),_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x142b),_0x5537c6(0xde8),_0x5537c6(0x9bf),'Auth',_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x2f0134(_0x177cb5,_0x96bb27,_0x2b0dd4,_0x46ac62,_0x50e08d,_0x4741e7,_0x346ceb,_0x50d42b,_0x5d9d13,_0x28ae09,_0x57230a,_0x1b78bb,_0x1d472c,_0x1351ad,_0x534650,_0x2fd292,_0x51ddc7){const _0x573e4f=_0x5537c6,_0x4a69c4=this;_0x4a69c4[_0x573e4f(0x8a5)]=_0x2fd292,_0x4a69c4['setting']=_0x51ddc7,_0x4a69c4[_0x573e4f(0xe76)]=_0x534650[_0x573e4f(0x21e8)](),_0x4a69c4[_0x573e4f(0x1e30)]=_0x5d9d13||{'count':0x0,'rows':[]},_0x4a69c4[_0x573e4f(0x44a)]=_0x28ae09,_0x4a69c4['userProfileSection']=_0x57230a&&_0x57230a['count']==0x1?_0x57230a[_0x573e4f(0x2214)][0x0]:null,_0x4a69c4[_0x573e4f(0x1b1a)]=_0x534650[_0x573e4f(0x14ea)](_0x4a69c4[_0x573e4f(0x2199)]?_0x4a69c4[_0x573e4f(0x2199)][_0x573e4f(0x1b1a)]:null),_0x4a69c4[_0x573e4f(0xc83)]=_0x573e4f(0x1e30),_0x4a69c4['listOrder']='',_0x4a69c4['listOrderAsc']=null,_0x4a69c4[_0x573e4f(0x17e9)]=[],_0x4a69c4[_0x573e4f(0xae2)]={'fields':_0x573e4f(0x6e7),'role':_0x573e4f(0xd1e),'sort':_0x573e4f(0x282),'limit':0xa,'page':0x1},_0x4a69c4[_0x573e4f(0x133d)]=_0x39641b()[_0x573e4f(0x2631)]([{'option':_0x573e4f(0x163d),'value':_0x573e4f(0x1902)},{'option':'User','value':_0x573e4f(0xe2f)}],function(_0xdeaea2){return _0x39641b()['replace'](_0xdeaea2['value'],new RegExp('\x27','g'),'');}),_0x4a69c4[_0x573e4f(0x1a88)]=_0x39641b()[_0x573e4f(0x2631)]([{'option':_0x573e4f(0xa9d),'value':_0x573e4f(0x378)},{'option':_0x573e4f(0x2828),'value':'\x27tcp\x27'},{'option':'ws','value':_0x573e4f(0x2127)},{'option':_0x573e4f(0x1e14),'value':_0x573e4f(0x49d)},{'option':'tls','value':_0x573e4f(0x1393)}],function(_0x38afeb){const _0xe2ad99=_0x573e4f;return _0x39641b()[_0xe2ad99(0x288f)](_0x38afeb[_0xe2ad99(0x327)],new RegExp('\x27','g'),'');}),_0x4a69c4[_0x573e4f(0x571)]=_0x39641b()[_0x573e4f(0x2631)]([{'option':_0x573e4f(0xd71),'value':_0x573e4f(0x1f64)},{'option':_0x573e4f(0x18c7),'value':_0x573e4f(0xf60)},{'option':_0x573e4f(0x150d),'value':_0x573e4f(0x27e4)},{'option':'no','value':_0x573e4f(0x15b9)},{'option':_0x573e4f(0x382),'value':_0x573e4f(0x1d2c)},{'option':_0x573e4f(0x15d0),'value':'\x27route\x27'}],function(_0x2eb6d4){const _0x2d8d27=_0x573e4f;return _0x39641b()[_0x2d8d27(0x288f)](_0x2eb6d4['value'],new RegExp('\x27','g'),'');}),_0x4a69c4[_0x573e4f(0x1462)]=_0x39641b()[_0x573e4f(0x2631)]([{'option':'ulaw','value':_0x573e4f(0x2079)},{'option':_0x573e4f(0x128d),'value':'\x27alaw\x27'},{'option':_0x573e4f(0x2331),'value':'\x27gsm\x27'},{'option':_0x573e4f(0x1dfa),'value':_0x573e4f(0x28ca)},{'option':_0x573e4f(0x1a92),'value':_0x573e4f(0x1b75)},{'option':_0x573e4f(0x14cc),'value':_0x573e4f(0x102f)},{'option':_0x573e4f(0x278c),'value':'\x27h264\x27'}],function(_0xeaa83d){const _0x245186=_0x573e4f;return _0x39641b()[_0x245186(0x288f)](_0xeaa83d['value'],new RegExp('\x27','g'),'');}),_0x4a69c4[_0x573e4f(0x1b79)]=_0x39641b()[_0x573e4f(0x2631)]([{'option':'Yes','value':_0x573e4f(0x27e4)},{'option':'No','value':'\x27no\x27'},{'option':_0x573e4f(0x1288),'value':_0x573e4f(0x2a2)}],function(_0x493fa8){const _0x56dab5=_0x573e4f;return _0x39641b()[_0x56dab5(0x288f)](_0x493fa8[_0x56dab5(0x327)],new RegExp('\x27','g'),'');}),_0x4a69c4[_0x573e4f(0x235d)]=_0x567d26,_0x4a69c4['deleteconfirm']=_0x33726c,_0x4a69c4[_0x573e4f(0x829)]=_0x5b10a1,_0x4a69c4[_0x573e4f(0x8e7)]=_0x5c6dfd,_0x4a69c4['createOrEditUser']=_0x2989c6,_0x4a69c4[_0x573e4f(0x2683)]=_0x20d200,_0x4a69c4[_0x573e4f(0x13e4)]=_0x55b807,_0x4a69c4['deleteSelectedUsers']=_0x2ac97b,_0x4a69c4[_0x573e4f(0x20c5)]=_0x55aebe,_0x4a69c4[_0x573e4f(0x2840)]=_0x6d6571,_0x1b78bb[_0x573e4f(0x44a)][_0x573e4f(0xbf7)]({'fields':_0x573e4f(0x43c),'sort':_0x573e4f(0x16b6)})[_0x573e4f(0x1d77)][_0x573e4f(0x1cb0)](function(_0x377600){_0x4a69c4['userProfiles']=_0x377600['rows']||[];})[_0x573e4f(0x1c4)](function(_0x26ebf8){const _0x3be245=_0x573e4f;_0x1351ad[_0x3be245(0x218e)]({'title':_0x26ebf8[_0x3be245(0x291)]?'API:'+_0x26ebf8[_0x3be245(0x291)]+'\x20-\x20'+_0x26ebf8['statusText']:_0x3be245(0x2f2),'msg':_0x26ebf8['data']?JSON['stringify'](_0x26ebf8[_0x3be245(0x25c)]):_0x26ebf8[_0x3be245(0x147f)]()});});function _0x567d26(_0x4522eb){const _0x3728c9=_0x573e4f;_0x2b0dd4['go'](_0x3728c9(0x193e),{'id':_0x4522eb['id'],'user':_0x4522eb,'crudPermissions':_0x4a69c4[_0x3728c9(0x1b1a)]});}function _0x33726c(_0x2c47e0,_0x5befc0){const _0x130f97=_0x573e4f,_0x23d124=_0x50e08d[_0x130f97(0x1551)]()[_0x130f97(0x1386)](_0x130f97(0x140b)+_0x39641b()[_0x130f97(0xa75)](_0x130f97(0xebe))+'?')['htmlContent'](_0x130f97(0x204d)+(_0x2c47e0[_0x130f97(0x16b6)]||_0x130f97(0xebe))+_0x130f97(0x1200)+_0x130f97(0x1b6))[_0x130f97(0x15ad)]('delete\x20user')[_0x130f97(0x728)](_0x5befc0)['ok']('OK')['cancel'](_0x130f97(0x24ba));_0x50e08d['show'](_0x23d124)[_0x130f97(0x1cb0)](function(){_0x20d200(_0x2c47e0);},function(){const _0x2a77ce=_0x130f97;console['log'](_0x2a77ce(0x24ba));});}let _0x13222b=!![],_0x19cb45=0x1;_0x177cb5[_0x573e4f(0x614)]('vm.query.filter',function(_0x235862,_0x35bd50){const _0x18b794=_0x573e4f;_0x13222b?_0x346ceb(function(){_0x13222b=![];}):(!_0x35bd50&&(_0x19cb45=_0x4a69c4['query']['page']),_0x235862!==_0x35bd50&&(_0x4a69c4[_0x18b794(0xae2)][_0x18b794(0x1c7b)]=0x1),!_0x235862&&(_0x4a69c4[_0x18b794(0xae2)][_0x18b794(0x1c7b)]=_0x19cb45),_0x4a69c4['getUsers']());});function _0x5b10a1(_0x5adb6f){const _0x4b5ca4=_0x573e4f;_0x4a69c4[_0x4b5ca4(0x1e30)]=_0x5adb6f||{'count':0x0,'rows':[]};}function _0x5c6dfd(){const _0x5807b5=_0x573e4f;_0x4a69c4[_0x5807b5(0xae2)]['offset']=(_0x4a69c4['query'][_0x5807b5(0x1c7b)]-0x1)*_0x4a69c4['query'][_0x5807b5(0x236)],_0x534650[_0x5807b5(0x22b6)](_0x5807b5(0x1c60))?_0x4a69c4['promise']=_0x1b78bb['user'][_0x5807b5(0xbf7)](_0x4a69c4[_0x5807b5(0xae2)],_0x5b10a1)[_0x5807b5(0x1d77)]:(_0x4a69c4[_0x5807b5(0xae2)]['id']=_0x4a69c4[_0x5807b5(0x44a)]['id'],_0x4a69c4[_0x5807b5(0xae2)]['section']='Users',_0x4a69c4[_0x5807b5(0x2061)]=_0x1b78bb[_0x5807b5(0x44a)][_0x5807b5(0x1810)](_0x4a69c4[_0x5807b5(0xae2)],_0x5b10a1)[_0x5807b5(0x1d77)]);}function _0x2989c6(_0x3c8e65,_0x101eaf){const _0x4d08c7=_0x573e4f;_0x50e08d['show']({'controller':_0x4d08c7(0x167c),'controllerAs':'vm','templateUrl':_0xe654fa,'parent':angular[_0x4d08c7(0x1853)](_0x4741e7['body']),'targetEvent':_0x3c8e65,'clickOutsideToClose':!![],'locals':{'user':_0x101eaf,'users':_0x4a69c4[_0x4d08c7(0x1e30)][_0x4d08c7(0x2214)],'license':_0x4a69c4[_0x4d08c7(0x8a5)],'setting':_0x4a69c4[_0x4d08c7(0x9ca)],'crudPermissions':_0x4a69c4['crudPermissions']}});}function _0x20d200(_0x355811){const _0x57f451=_0x573e4f;_0x1b78bb[_0x57f451(0xebe)][_0x57f451(0x111d)]({'id':_0x355811['id']})[_0x57f451(0x1d77)][_0x57f451(0x1cb0)](function(){const _0x203a08=_0x57f451;_0x39641b()[_0x203a08(0x152a)](_0x4a69c4[_0x203a08(0x1e30)]['rows'],{'id':_0x355811['id']}),_0x4a69c4[_0x203a08(0x1e30)]['count']-=0x1,!_0x4a69c4[_0x203a08(0x1e30)][_0x203a08(0x2214)][_0x203a08(0xfd0)]&&_0x4a69c4[_0x203a08(0x8e7)](),_0x1351ad[_0x203a08(0x829)]({'title':_0x39641b()[_0x203a08(0xa75)](_0x203a08(0x1597))+_0x203a08(0x2663),'msg':_0x355811[_0x203a08(0x16b6)]?_0x355811[_0x203a08(0x16b6)]+'\x20has\x20been\x20deleted!':''});})[_0x57f451(0x1c4)](function(_0x14156e){const _0x48ec42=_0x57f451;if(_0x14156e[_0x48ec42(0x25c)]&&_0x14156e[_0x48ec42(0x25c)][_0x48ec42(0x1a7c)]&&_0x14156e[_0x48ec42(0x25c)][_0x48ec42(0x1a7c)][_0x48ec42(0xfd0)]){_0x4a69c4[_0x48ec42(0x1a7c)]=_0x14156e[_0x48ec42(0x25c)]['errors']||[{'message':_0x14156e[_0x48ec42(0x147f)](),'type':'SYSTEM:DELETEuser'}];for(let _0x18ab19=0x0;_0x18ab19<_0x14156e[_0x48ec42(0x25c)]['errors'][_0x48ec42(0xfd0)];_0x18ab19++){_0x1351ad[_0x48ec42(0x218e)]({'title':_0x14156e['data']['errors'][_0x18ab19]['type'],'msg':_0x14156e[_0x48ec42(0x25c)]['errors'][_0x18ab19]['message']});}}else _0x1351ad['error']({'title':_0x14156e[_0x48ec42(0x291)]?_0x48ec42(0xeb9)+_0x14156e[_0x48ec42(0x291)]+_0x48ec42(0x1657)+_0x14156e['statusText']:_0x48ec42(0xcec),'msg':_0x14156e[_0x48ec42(0x25c)]?JSON[_0x48ec42(0x2701)](_0x14156e[_0x48ec42(0x25c)][_0x48ec42(0x155e)]):_0x14156e[_0x48ec42(0x155e)]||_0x14156e[_0x48ec42(0x147f)]()});});}function _0x55b807(){const _0x3da9d5=_0x573e4f,_0xcb5dff=angular['copy'](_0x4a69c4[_0x3da9d5(0x17e9)]);return _0x4a69c4['selectedUsers']=[],_0xcb5dff;}function _0x2ac97b(_0x411f84){const _0x14f4be=_0x573e4f,_0x1cf91c=_0x50e08d[_0x14f4be(0x1551)]()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20users?')[_0x14f4be(0x49e)](_0x14f4be(0x204d)+_0x4a69c4[_0x14f4be(0x17e9)][_0x14f4be(0xfd0)]+_0x14f4be(0x1d6c)+_0x14f4be(0x1b6))[_0x14f4be(0x15ad)](_0x14f4be(0xa43))[_0x14f4be(0x728)](_0x411f84)['ok']('OK')[_0x14f4be(0x696)](_0x14f4be(0x24ba));_0x50e08d['show'](_0x1cf91c)[_0x14f4be(0x1cb0)](function(){const _0x148900=_0x14f4be;_0x4a69c4[_0x148900(0x17e9)][_0x148900(0xf90)](function(_0x46777d){_0x20d200(_0x46777d);}),_0x4a69c4['selectedUsers']=[];});}function _0x55aebe(){const _0x2e0d38=_0x573e4f;_0x4a69c4[_0x2e0d38(0x17e9)]=[];}function _0x6d6571(){const _0x2bfb4d=_0x573e4f;_0x4a69c4['selectedUsers']=_0x4a69c4[_0x2bfb4d(0x1e30)]['rows'];}}const _0x5d4fb1=_0x2f0134;;const _0x32fb44=_0x5074a3['p']+_0x5537c6(0x306);;const _0x46eccb=_0x5074a3['p']+'src/js/modules/main/apps/staff/views/users/edit/view.html/view.html';;const _0x2eb82f=_0x5074a3['p']+_0x5537c6(0x29b8);;const _0x3f977f=_0x5074a3['p']+_0x5537c6(0x12e9);;const _0x16149e=_0x5074a3['p']+'src/js/modules/main/apps/staff/views/telephones/telephones.html/telephones.html';;const _0x49b945=_0x5074a3['p']+_0x5537c6(0x2716);;const _0x31d01d=_0x5074a3['p']+_0x5537c6(0x26c4);;const _0x33a869=_0x5074a3['p']+'src/js/modules/main/apps/staff/views/userProfiles/userProfiles.html/userProfiles.html';;const _0x45236b=_0x5074a3['p']+_0x5537c6(0x29a9);;_0x2657a4['$inject']=[_0x5537c6(0xbd6),'$translatePartialLoaderProvider'];function _0x2657a4(_0x2cc905,_0x1346d6){const _0x3b4085=_0x5537c6;_0x2cc905[_0x3b4085(0x27e0)](_0x3b4085(0x323),{'abstract':!![],'url':'/staff'})[_0x3b4085(0x27e0)](_0x3b4085(0x2416),{'url':_0x3b4085(0x148a),'views':{'content@app':{'templateUrl':_0x32fb44,'controller':_0x3b4085(0x92e)}},'resolve':{'users':[_0x3b4085(0x1e0b),function(_0x4b528e){const _0x17391a=_0x3b4085;return _0x4b528e[_0x17391a(0x19a3)](_0x17391a(0x1c86),{'fields':_0x17391a(0x6e7),'role':_0x17391a(0xd1e),'sort':_0x17391a(0x282),'limit':0xa,'offset':0x0});}],'userProfile':[_0x3b4085(0x1e0b),_0x3b4085(0x1774),function(_0x2a8d58,_0x534d45){const _0x2b2cb2=_0x3b4085;return _0x534d45[_0x2b2cb2(0x22b6)](_0x2b2cb2(0x1c60))?null:_0x2a8d58[_0x2b2cb2(0x19a3)]('userProfile@get',{'fields':_0x2b2cb2(0x279),'id':_0x534d45[_0x2b2cb2(0x21e8)]()[_0x2b2cb2(0x13c1)]});}],'userProfileSection':[_0x3b4085(0x1e0b),'Auth',function(_0x255e6c,_0x1c05d0){const _0x5ccd53=_0x3b4085;return _0x1c05d0[_0x5ccd53(0x22b6)](_0x5ccd53(0x1c60))?null:_0x255e6c[_0x5ccd53(0x19a3)](_0x5ccd53(0x2182),{'fields':_0x5ccd53(0x1f5f),'userProfileId':_0x1c05d0[_0x5ccd53(0x21e8)]()[_0x5ccd53(0x13c1)],'sectionId':0xc9});}]},'authenticate':!![],'permissionId':0xc9,'bodyClass':_0x3b4085(0x173b)})['state'](_0x3b4085(0x193e),{'url':_0x3b4085(0x1bf2),'params':{'user':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x46eccb,'controller':'UserController\x20as\x20vm'}},'resolve':{'user':[_0x3b4085(0x1e0b),'$stateParams',function(_0x2352aa,_0x4073b3){const _0x57ab88=_0x3b4085;return _0x2352aa[_0x57ab88(0x19a3)](_0x57ab88(0x1c86),{'fields':'createdAt,updatedAt,role,userProfileId,id,fullname,name,email,phone,mobile,userpic,password,description,autointernal,internal,transport,nat,allow,context,callgroup,pickupgroup,passwordResetAt,apiKey,videosupport,disabled,blocked','id':_0x4073b3['id']});}],'userProfileSection':[_0x3b4085(0x1e0b),_0x3b4085(0x1774),function(_0x105c4e,_0x255a7f){const _0x3ce90b=_0x3b4085;return _0x105c4e[_0x3ce90b(0x19a3)](_0x3ce90b(0x2182),{'fields':_0x3ce90b(0x1f5f),'userProfileId':_0x255a7f[_0x3ce90b(0x21e8)]()[_0x3ce90b(0x13c1)],'sectionId':0xc9});}]},'authenticate':!![],'permissionId':0xc9,'bodyClass':_0x3b4085(0x173b)})[_0x3b4085(0x27e0)](_0x3b4085(0x23ab),{'url':_0x3b4085(0xefc),'views':{'content@app':{'templateUrl':_0x2eb82f,'controller':'AgentsController\x20as\x20vm'}},'resolve':{'agents':['apiResolver','Auth',function(_0x2fb6c1,_0x2e2a11){const _0x4c8ea8=_0x3b4085;return _0x2e2a11[_0x4c8ea8(0x22b6)](_0x4c8ea8(0x1c60))?_0x2fb6c1[_0x4c8ea8(0x19a3)](_0x4c8ea8(0x1c86),{'fields':_0x4c8ea8(0xb95),'role':_0x4c8ea8(0x1eff),'sort':_0x4c8ea8(0x282),'limit':0xa,'offset':0x0}):_0x2fb6c1[_0x4c8ea8(0x19a3)](_0x4c8ea8(0x12da),{'id':_0x2e2a11[_0x4c8ea8(0x21e8)]()[_0x4c8ea8(0x13c1)],'section':_0x4c8ea8(0x2536),'fields':'createdAt,updatedAt,id,role,fullname,name,alias,showWebBar,email,phone,mobile,userpic,password,hotdesk,autointernal,internal,loginInPause,description,dtlscertfile,dtlsprivatekey,voicemail,transport,host,nat,type,allow,callerid,context,callgroup,pickupgroup,chanspy,videosupport,extensionMonitor,chatCapacity,mailCapacity,smsCapacity,openchannelCapacity,faxCapacity,whatsappCapacity,phoneBarEnableSettings,phoneBarAutoAnswer,phoneBarAutoAnswerDelay,phoneBarRingInUse,phoneBarEnableRecording,phoneBarEnableDtmfTone,phoneBarDnd,phoneBarUnansweredCallBadge,phoneBarEnableJaws,phoneBarOutboundProxy,phoneBarUnconditional,phoneBarUnconditionalNumber,phoneBarRemoteControl,phoneBarRemoteControlPort,phoneBarExpires,phoneBarListenPort,permissions,allowmessenger,phoneBarPrefixRequired,passwordResetAt,disabled,blocked,phoneBarEnableScreenRecordingByAgent,phoneBarEnableAutomaticScreenRecording','role':_0x4c8ea8(0x1eff),'sort':_0x4c8ea8(0x282),'limit':0xa,'offset':0x0});}],'userProfile':[_0x3b4085(0x1e0b),_0x3b4085(0x1774),function(_0x4dd9ed,_0x5cea75){const _0x5815f4=_0x3b4085;return _0x5cea75[_0x5815f4(0x22b6)](_0x5815f4(0x1c60))?null:_0x4dd9ed['resolve'](_0x5815f4(0x9ae),{'fields':_0x5815f4(0x279),'id':_0x5cea75['getCurrentUser']()['userProfileId']});}],'userProfileSection':[_0x3b4085(0x1e0b),_0x3b4085(0x1774),function(_0x285963,_0x370ee6){const _0x172e54=_0x3b4085;return _0x370ee6[_0x172e54(0x22b6)](_0x172e54(0x1c60))?null:_0x285963[_0x172e54(0x19a3)](_0x172e54(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x370ee6[_0x172e54(0x21e8)]()[_0x172e54(0x13c1)],'sectionId':0xca});}]},'authenticate':!![],'permissionId':0xca,'bodyClass':_0x3b4085(0x173b)})[_0x3b4085(0x27e0)]('app.staff.agents.edit',{'url':'/:id?tab','params':{'agent':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x3f977f,'controller':'AgentController\x20as\x20vm'}},'resolve':{'agent':[_0x3b4085(0x1e0b),_0x3b4085(0x225c),function(_0x800a9b,_0xe80953){const _0xa7f2cf=_0x3b4085;return _0x800a9b[_0xa7f2cf(0x19a3)](_0xa7f2cf(0x1c86),{'fields':_0xa7f2cf(0xb95),'id':_0xe80953['id']});}],'userProfileSection':[_0x3b4085(0x1e0b),_0x3b4085(0x1774),function(_0x399d36,_0x26716c){const _0x440d19=_0x3b4085;return _0x399d36['resolve'](_0x440d19(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x26716c[_0x440d19(0x21e8)]()['userProfileId'],'sectionId':0xca});}]},'authenticate':!![],'permissionId':0xca,'bodyClass':_0x3b4085(0x173b)})['state']('app.staff.telephones',{'url':_0x3b4085(0x23d3),'views':{'content@app':{'templateUrl':_0x16149e,'controller':_0x3b4085(0x207b)}},'resolve':{'telephones':[_0x3b4085(0x1e0b),_0x3b4085(0x1774),function(_0x3f09d4,_0x4dace4){const _0x3bb3dc=_0x3b4085;return _0x4dace4[_0x3bb3dc(0x22b6)](_0x3bb3dc(0x1c60))?_0x3f09d4[_0x3bb3dc(0x19a3)](_0x3bb3dc(0x1c86),{'fields':_0x3bb3dc(0x235f),'role':_0x3bb3dc(0x2922),'sort':_0x3bb3dc(0x282),'limit':0xa,'offset':0x0}):_0x3f09d4[_0x3bb3dc(0x19a3)](_0x3bb3dc(0x12da),{'id':_0x4dace4[_0x3bb3dc(0x21e8)]()[_0x3bb3dc(0x13c1)],'section':_0x3bb3dc(0x16d1),'fields':_0x3bb3dc(0x235f),'role':_0x3bb3dc(0x2922),'sort':_0x3bb3dc(0x282),'limit':0xa,'offset':0x0});}],'userProfile':[_0x3b4085(0x1e0b),'Auth',function(_0x30626f,_0x3aa091){const _0x3ae180=_0x3b4085;return _0x3aa091[_0x3ae180(0x22b6)]('admin')?null:_0x30626f['resolve'](_0x3ae180(0x9ae),{'fields':_0x3ae180(0x279),'id':_0x3aa091[_0x3ae180(0x21e8)]()[_0x3ae180(0x13c1)]});}],'userProfileSection':[_0x3b4085(0x1e0b),_0x3b4085(0x1774),function(_0xe441a5,_0x4418e7){const _0xda158d=_0x3b4085;return _0x4418e7[_0xda158d(0x22b6)](_0xda158d(0x1c60))?null:_0xe441a5[_0xda158d(0x19a3)](_0xda158d(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x4418e7[_0xda158d(0x21e8)]()[_0xda158d(0x13c1)],'sectionId':0xcb});}]},'authenticate':!![],'permissionId':0xcb,'bodyClass':_0x3b4085(0x173b)})['state'](_0x3b4085(0xe14),{'url':_0x3b4085(0x1bf2),'params':{'telephone':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x49b945,'controller':_0x3b4085(0x7de)}},'resolve':{'telephone':[_0x3b4085(0x1e0b),'$stateParams',function(_0x25af0a,_0x48e4dd){const _0x18e7e0=_0x3b4085;return _0x25af0a[_0x18e7e0(0x19a3)](_0x18e7e0(0x1c86),{'fields':_0x18e7e0(0x235f),'id':_0x48e4dd['id']});}],'userProfileSection':[_0x3b4085(0x1e0b),'Auth',function(_0x1bc0fc,_0x407516){const _0x1f8a42=_0x3b4085;return _0x1bc0fc[_0x1f8a42(0x19a3)](_0x1f8a42(0x2182),{'fields':_0x1f8a42(0x1f5f),'userProfileId':_0x407516[_0x1f8a42(0x21e8)]()['userProfileId'],'sectionId':0xcb});}]},'authenticate':!![],'permissionId':0xcb,'bodyClass':_0x3b4085(0x173b)})[_0x3b4085(0x27e0)](_0x3b4085(0x2811),{'url':_0x3b4085(0x27a),'views':{'content@app':{'templateUrl':_0x31d01d,'controller':_0x3b4085(0x26e9)}},'resolve':{'teams':['apiResolver',_0x3b4085(0x1774),function(_0x2e5c4c,_0x2bbd1c){const _0x3b07a2=_0x3b4085;return _0x2bbd1c[_0x3b07a2(0x22b6)](_0x3b07a2(0x1c60))?_0x2e5c4c[_0x3b07a2(0x19a3)](_0x3b07a2(0xb6f),{'fields':_0x3b07a2(0x12e8),'sort':'-updatedAt','limit':0xa,'offset':0x0}):_0x2e5c4c['resolve'](_0x3b07a2(0x12da),{'id':_0x2bbd1c[_0x3b07a2(0x21e8)]()[_0x3b07a2(0x13c1)],'section':'Teams','fields':_0x3b07a2(0x12e8),'sort':_0x3b07a2(0x282),'limit':0xa,'offset':0x0});}],'userProfile':[_0x3b4085(0x1e0b),'Auth',function(_0x225f1b,_0x309d9a){const _0x265448=_0x3b4085;return _0x309d9a['hasRole'](_0x265448(0x1c60))?null:_0x225f1b['resolve']('userProfile@get',{'fields':_0x265448(0x279),'id':_0x309d9a[_0x265448(0x21e8)]()[_0x265448(0x13c1)]});}],'userProfileSection':[_0x3b4085(0x1e0b),_0x3b4085(0x1774),function(_0x462866,_0x478e3a){const _0x28458a=_0x3b4085;return _0x478e3a[_0x28458a(0x22b6)]('admin')?null:_0x462866[_0x28458a(0x19a3)](_0x28458a(0x2182),{'fields':_0x28458a(0x1f5f),'userProfileId':_0x478e3a[_0x28458a(0x21e8)]()['userProfileId'],'sectionId':0xcc});}]},'authenticate':!![],'permissionId':0xcc,'bodyClass':_0x3b4085(0x173b)})['state'](_0x3b4085(0x11a9),{'url':_0x3b4085(0x436),'views':{'content@app':{'templateUrl':_0x33a869,'controller':'UserProfilesController\x20as\x20vm'}},'resolve':{'userProfiles':[_0x3b4085(0x1e0b),function(_0xbb0e2b){const _0x3e57f0=_0x3b4085;return _0xbb0e2b['resolve'](_0x3e57f0(0x9ae),{'fields':_0x3e57f0(0xc56),'sort':'-updatedAt','limit':0xa,'offset':0x0});}],'userProfile':[_0x3b4085(0x1e0b),_0x3b4085(0x1774),function(_0xc6989c,_0x20deff){const _0x309d59=_0x3b4085;return _0x20deff[_0x309d59(0x22b6)]('admin')?null:_0xc6989c['resolve'](_0x309d59(0x9ae),{'fields':_0x309d59(0x279),'id':_0x20deff[_0x309d59(0x21e8)]()['userProfileId']});}],'userProfileSection':[_0x3b4085(0x1e0b),_0x3b4085(0x1774),function(_0x3b64bc,_0x5bfbe4){const _0x212b71=_0x3b4085;return _0x5bfbe4[_0x212b71(0x22b6)](_0x212b71(0x1c60))?null:_0x3b64bc[_0x212b71(0x19a3)](_0x212b71(0x2182),{'fields':_0x212b71(0x1f5f),'userProfileId':_0x5bfbe4[_0x212b71(0x21e8)]()[_0x212b71(0x13c1)],'sectionId':0xcd});}]},'authenticate':!![],'permissionId':0xcd,'bodyClass':'staff'})['state'](_0x3b4085(0x15f4),{'url':_0x3b4085(0x1bf2),'params':{'userProfile':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x45236b,'controller':_0x3b4085(0x14d2)}},'resolve':{'userProfile':[_0x3b4085(0x1e0b),'$stateParams',function(_0x548b51,_0x17ef2e){const _0x1545bb=_0x3b4085;return _0x548b51[_0x1545bb(0x19a3)](_0x1545bb(0x9ae),{'fields':_0x1545bb(0xc56),'id':_0x17ef2e['id']});}],'userProfileSection':[_0x3b4085(0x1e0b),_0x3b4085(0x1774),function(_0x4e3d9f,_0x467e42){const _0x2448bc=_0x3b4085;return _0x4e3d9f['resolve'](_0x2448bc(0x2182),{'fields':_0x2448bc(0x1f5f),'userProfileId':_0x467e42[_0x2448bc(0x21e8)]()[_0x2448bc(0x13c1)],'sectionId':0xcd});}]},'authenticate':!![],'permissionId':0xcd,'bodyClass':_0x3b4085(0x173b)}),_0x1346d6[_0x3b4085(0x4e7)](_0x3b4085(0x8f5));}angular[_0x5537c6(0x9ab)](_0x5537c6(0x323),[_0x5537c6(0x2770),_0x5537c6(0x2135),_0x5537c6(0x1260),_0x5537c6(0xacf),_0x5537c6(0x18f6),_0x5537c6(0xd19),_0x5537c6(0x44c),'ngAria',_0x5537c6(0x1890),_0x5537c6(0x167d),_0x5537c6(0x208f),_0x5537c6(0x1b65),_0x5537c6(0x2166),_0x5537c6(0x3b9),_0x5537c6(0x7c9),_0x5537c6(0xeb5),_0x5537c6(0x27af),_0x5537c6(0x13b6),_0x5537c6(0x1cbd),'angular.filter'])[_0x5537c6(0xa60)](_0x2657a4)[_0x5537c6(0x6e5)](_0x5537c6(0xcce),_0x2e0301)['controller'](_0x5537c6(0x1a68),_0x35aab0)[_0x5537c6(0x6e5)](_0x5537c6(0x20ea),_0x255392)[_0x5537c6(0x6e5)]('AgentchangepasswordController',_0x20d3de)['controller']('AgentPermissionsController',_0x208147)[_0x5537c6(0x6e5)]('AgentqueueaddController',_0x42f68c)[_0x5537c6(0x6e5)]('AgentteamaddController',_0x213cf6)['controller'](_0x5537c6(0x297e),_0x465477)[_0x5537c6(0x6e5)](_0x5537c6(0x3e7),_0x38dcbf)[_0x5537c6(0x6e5)](_0x5537c6(0xffa),_0x8d0bee)[_0x5537c6(0x6e5)]('TeamsController',_0x585d64)[_0x5537c6(0x6e5)](_0x5537c6(0x26d4),_0x8bd3ab)[_0x5537c6(0x6e5)]('TelephonechangepasswordController',_0x35045d)[_0x5537c6(0x6e5)](_0x5537c6(0x1adc),_0x2cd253)['controller'](_0x5537c6(0x26f6),_0x1d70fc)[_0x5537c6(0x6e5)](_0x5537c6(0xb73),_0x28dd7d)[_0x5537c6(0x6e5)](_0x5537c6(0x4fb),_0x466cb8)[_0x5537c6(0x6e5)](_0x5537c6(0x991),_0x12a080)['controller'](_0x5537c6(0xbc0),_0xce9e36)[_0x5537c6(0x6e5)](_0x5537c6(0xa29),_0x383c7a)[_0x5537c6(0x6e5)](_0x5537c6(0xb36),_0x4d2faf)[_0x5537c6(0x6e5)](_0x5537c6(0x167c),_0x34bb2d)[_0x5537c6(0x6e5)](_0x5537c6(0x1c92),_0x4d8e54)[_0x5537c6(0x6e5)](_0x5537c6(0x8c9),_0x37f8aa)[_0x5537c6(0x6e5)](_0x5537c6(0x26d5),_0x4771b3)[_0x5537c6(0x6e5)](_0x5537c6(0xbc1),_0x25a2da)['controller'](_0x5537c6(0x3b2),_0x5d4fb1);;const _0x14c573=_0x5074a3['p']+_0x5537c6(0x143c);;const _0x4f1710=_0x5074a3['p']+_0x5537c6(0xcd9);;_0x470dfd[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x22bf),'$timeout','$state',_0x5537c6(0x225c),_0x5537c6(0xcb9),'$q','userProfile',_0x5537c6(0x2199),_0x5537c6(0x142b),_0x5537c6(0x7eb),'lists','listsMap',_0x5537c6(0xe1a),_0x5537c6(0x10d1),_0x5537c6(0xfbf),_0x5537c6(0x9bf),_0x5537c6(0x1774),'license'];function _0x470dfd(_0x42d027,_0x23b375,_0x36b38d,_0x51230a,_0x5b40f6,_0x37f890,_0x3a2c49,_0x2c6a99,_0x7de2f0,_0x10cecc,_0xfdbb8e,_0x497e7b,_0x2b84d1,_0x451e26,_0x3ae0ba,_0x591cbe,_0xa3367f,_0x106706,_0x29a62c){const _0x1accd7=_0x5537c6,_0x337e7a=this;_0x337e7a[_0x1accd7(0x29ae)]=_0x10cecc[_0x1accd7(0x29ae)],_0x337e7a['currentUser']=_0x106706[_0x1accd7(0x21e8)](),_0x337e7a['license']=_0x29a62c,_0x337e7a[_0x1accd7(0x44a)]=_0x2c6a99,_0x337e7a['userProfileSection']=_0x7de2f0&&_0x7de2f0[_0x1accd7(0x184d)]==0x1?_0x7de2f0['rows'][0x0]:null,_0x337e7a[_0x1accd7(0x1b1a)]=_0x106706[_0x1accd7(0x14ea)](_0x337e7a[_0x1accd7(0x2199)]?_0x337e7a[_0x1accd7(0x2199)][_0x1accd7(0x1b1a)]:null);const _0xcbf8e0=0x3c,_0x502de3=_0xcbf8e0*0x400*0x400;_0x337e7a[_0x1accd7(0x15e8)]={'target':_0x337e7a['baseUrl']+'api/cm/contacts/upload','singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0x502de3,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x337e7a[_0x1accd7(0x7eb)]=_0xfdbb8e||{'count':0x0,'rows':[]},_0x337e7a[_0x1accd7(0x2224)]={'hidden':![],'hover':![],'isOpen':![]},_0x337e7a['table']=_0x1accd7(0x282a),_0x337e7a['selectedContacts']=[],_0x337e7a[_0x1accd7(0x1046)]=_0x497e7b||{'rows':[],'count':0x0},_0x337e7a[_0x1accd7(0xe1a)]=_0x451e26||{'rows':[],'count':0x0},_0x337e7a[_0x1accd7(0xfbf)]=_0x591cbe||{'rows':[],'count':0x0},_0x337e7a[_0x1accd7(0x1db7)]=_0x2b84d1,_0x337e7a[_0x1accd7(0x10d1)]=_0x3ae0ba,_0x337e7a[_0x1accd7(0xfae)]=[],_0x337e7a[_0x1accd7(0x9af)]=null,_0x337e7a[_0x1accd7(0x1383)]=null,_0x337e7a[_0x1accd7(0xae2)]=_0x39641b()[_0x1accd7(0x9c1)]({'includeAll':!![],'sort':'-updatedAt','limit':0xa,'offset':0x0,'page':0x1},_0x5b40f6),_0x337e7a[_0x1accd7(0xc93)]=_0xef85b1,_0x337e7a[_0x1accd7(0x27fe)]=_0x5cfb0f,_0x337e7a[_0x1accd7(0x829)]=_0xce25f3,_0x337e7a['getContacts']=_0x36a96f,_0x337e7a[_0x1accd7(0x1834)]=_0x26dc57,_0x337e7a[_0x1accd7(0x1ecc)]=_0x68f004,_0x337e7a['duplicateContact']=_0x55869f,_0x337e7a[_0x1accd7(0x19d6)]=_0x236817,_0x337e7a['deleteContact']=_0x1f6306,_0x337e7a['exportSelectedContacts']=_0x3b5dff,_0x337e7a[_0x1accd7(0x1679)]=_0x48b26b,_0x337e7a[_0x1accd7(0x375)]=_0x4cde94,_0x337e7a['selectAllContacts']=_0x577e56,_0x337e7a['createTag']=_0x275aae,_0x337e7a['createList']=_0x5692ce,_0x337e7a[_0x1accd7(0x26df)]=_0x541b46,_0x337e7a[_0x1accd7(0x1bcb)]=_0x515a3e,_0x337e7a[_0x1accd7(0x39e)]=_0x3bb194,_0x337e7a[_0x1accd7(0x15c2)]=_0xe29713;_0x51230a[_0x1accd7(0x1dfe)][_0x1accd7(0x20a6)]&&(_0x337e7a[_0x1accd7(0x9af)]=_0x51230a[_0x1accd7(0x1dfe)][_0x1accd7(0x20a6)]);_0x51230a[_0x1accd7(0x1dfe)][_0x1accd7(0x27ac)]&&(_0x337e7a['selectedCompany']=_0x51230a[_0x1accd7(0x1dfe)][_0x1accd7(0x27ac)]);_0x42d027[_0x1accd7(0x614)]('vm.options.isOpen',function(_0x449846){const _0x3cc76e=_0x1accd7;_0x449846?_0x36b38d(function(){const _0x530a4b=a0_0x5cbd;_0x42d027[_0x530a4b(0x29b)]=_0x337e7a[_0x530a4b(0x2224)][_0x530a4b(0x1666)];},0x258):_0x42d027[_0x3cc76e(0x29b)]=_0x337e7a[_0x3cc76e(0x2224)][_0x3cc76e(0x1666)];});function _0x26dc57(){const _0x41c426=_0x1accd7;if(!_0x106706[_0x41c426(0x22b6)](_0x41c426(0x1c60))){const _0x91ebbf=_0x39641b()(_0x337e7a['contacts'][_0x41c426(0x2214)])[_0x41c426(0x1de2)](function(_0x42ff15){return{'id':_0x42ff15['CompanyId']};})[_0x41c426(0x1724)]('id')[_0x41c426(0x1c99)](function(_0x390c5c){return _0x390c5c['id']&&_0x390c5c['id']>0x0;})[_0x41c426(0x1172)](_0x337e7a[_0x41c426(0xe1a)][_0x41c426(0x2214)],'id')[_0x41c426(0x327)]();if(!_0x39641b()[_0x41c426(0xce9)](_0x91ebbf)){const _0x4486dc=[];_0x91ebbf[_0x41c426(0xf90)](function(_0xc00dcc){const _0x22496b=_0x41c426;_0x4486dc['push'](_0x10cecc['cmCompany'][_0x22496b(0xbf7)]({'id':_0xc00dcc['id'],'fields':_0x22496b(0x43c)})['$promise']);}),_0x3a2c49[_0x41c426(0x223b)](_0x4486dc)[_0x41c426(0x1cb0)](function(_0x23f7dc){const _0x4a57a3=_0x41c426;_0x23f7dc[_0x4a57a3(0xf90)](function(_0x1b58d3){const _0x5c3e38=_0x4a57a3,_0x473e93=_0x1b58d3[_0x5c3e38(0x19b2)]();_0x473e93['canSelect']=![],_0x337e7a[_0x5c3e38(0xe1a)][_0x5c3e38(0x2214)][_0x5c3e38(0x2785)](_0x473e93);const _0x37ed30={};_0x37ed30[_0x473e93['id']]=_0x473e93['name'],_0x337e7a[_0x5c3e38(0x10d1)]=_0x39641b()[_0x5c3e38(0x1b62)](_0x337e7a[_0x5c3e38(0x10d1)],_0x37ed30);});})[_0x41c426(0x1c4)](function(_0x227259){const _0x56ba45=_0x41c426;_0xa3367f[_0x56ba45(0x218e)]({'title':_0x227259[_0x56ba45(0x291)]?_0x56ba45(0xeb9)+_0x227259[_0x56ba45(0x291)]+'\x20-\x20'+_0x227259[_0x56ba45(0xc22)]:'GET_COMPANY','msg':_0x227259['data']?JSON[_0x56ba45(0x2701)](_0x227259[_0x56ba45(0x25c)]['message']):_0x227259[_0x56ba45(0x147f)]()});});}}}function _0x5692ce(_0x385d68){const _0x38f45d=_0x1accd7,_0x269d10=_0x37f890[_0x38f45d(0x2344)]()[_0x38f45d(0x1386)](_0x38f45d(0x693))[_0x38f45d(0x161f)](_0x38f45d(0x18b1))[_0x38f45d(0x15ad)]('List\x20name')[_0x38f45d(0x728)](_0x385d68)['ok']('Ok')[_0x38f45d(0x696)](_0x38f45d(0xde1));return _0x37f890[_0x38f45d(0xe27)](_0x269d10)['then'](function(_0x4c0692){const _0x3b3afc=_0x38f45d;if(_0x4c0692)return _0x10cecc['cmList'][_0x3b3afc(0x1c3f)]({'name':_0x4c0692});else _0xa3367f[_0x3b3afc(0x218e)]({'title':_0x3b3afc(0x1d17),'msg':_0x3b3afc(0x2372)});})[_0x38f45d(0x1cb0)](function(_0x7a21eb){const _0xd2a51=_0x38f45d;_0x7a21eb&&(_0x337e7a['lists'][_0xd2a51(0x2214)][_0xd2a51(0x2785)](_0x7a21eb),_0xa3367f[_0xd2a51(0x829)]({'title':_0xd2a51(0x186c),'msg':_0x7a21eb[_0xd2a51(0x16b6)]?_0x7a21eb['name']+'\x20has\x20been\x20created!':''}));});}function _0x3bb194(){const _0x46517e=_0x1accd7;return _0x106706[_0x46517e(0x39e)](0x12f)||_0x106706[_0x46517e(0x22b6)](_0x46517e(0x1c60));}function _0x541b46(_0x3b5ae1){const _0x2acc87=_0x1accd7,_0x17582c=_0x37f890['prompt']()[_0x2acc87(0x1386)](_0x2acc87(0x287c))['placeholder'](_0x2acc87(0x22bb))['ariaLabel']('Company\x20name')[_0x2acc87(0x728)](_0x3b5ae1)['ok']('Ok')[_0x2acc87(0x696)](_0x2acc87(0xde1));return _0x37f890['show'](_0x17582c)['then'](function(_0x5ceb03){const _0x52a710=_0x2acc87;if(_0x5ceb03)return _0x10cecc[_0x52a710(0x107a)][_0x52a710(0x1c3f)]({'name':_0x5ceb03});else _0xa3367f['error']({'title':'Error\x20creating\x20company!','msg':_0x52a710(0x208e)});})[_0x2acc87(0x1cb0)](function(_0x412755){const _0x1b5540=_0x2acc87;_0x412755&&(_0x337e7a['companies'][_0x1b5540(0x2214)][_0x1b5540(0x2785)](_0x412755),_0xa3367f[_0x1b5540(0x829)]({'title':'Company\x20properly\x20created!','msg':_0x412755['name']?_0x412755[_0x1b5540(0x16b6)]+'\x20has\x20been\x20created!':''}));});}function _0x275aae(_0x32f41f){const _0xab794d=_0x1accd7,_0x19d1e9=_0x37f890[_0xab794d(0x2344)]()[_0xab794d(0x1386)](_0xab794d(0x13d8))[_0xab794d(0x161f)](_0xab794d(0x1b3c))[_0xab794d(0x15ad)](_0xab794d(0x1b3c))[_0xab794d(0x728)](_0x32f41f)['ok']('Ok')['cancel'](_0xab794d(0xde1));return _0x37f890[_0xab794d(0xe27)](_0x19d1e9)[_0xab794d(0x1cb0)](function(_0x298719){const _0x220118=_0xab794d;if(_0x298719)return _0x10cecc['tag'][_0x220118(0x1c3f)]({'name':_0x298719});else _0xa3367f[_0x220118(0x218e)]({'title':'Error\x20creating\x20tag!','msg':'Invalid\x20tag\x20name.'});})['then'](function(_0x1dfc8a){const _0x604a54=_0xab794d;_0x1dfc8a&&(_0x337e7a[_0x604a54(0xfbf)][_0x604a54(0x2214)][_0x604a54(0x2785)](_0x1dfc8a),_0xa3367f['success']({'title':_0x604a54(0x4a1),'msg':_0x1dfc8a['tag']?_0x1dfc8a[_0x604a54(0x16b6)]+'\x20has\x20been\x20created!':''}));});}function _0x515a3e(_0x4a4479,_0x12964f){const _0x5447e6=_0x1accd7,_0x1f26d2=JSON[_0x5447e6(0xefe)](_0x12964f);_0x37f890[_0x5447e6(0xe27)]({'controller':'ImportContactsDialogController','controllerAs':'vm','templateUrl':_0x14c573,'parent':angular['element'](_0x23b375[_0x5447e6(0x1ed9)]),'clickOutsideToClose':![],'locals':{'lists':_0x497e7b,'companies':_0x451e26,'file':_0x1f26d2['file'],'fields':_0x1f26d2[_0x5447e6(0x355)],'license':_0x337e7a[_0x5447e6(0x8a5)]}})['then'](function(){_0x337e7a['getContacts']();})[_0x5447e6(0x1c4)](function(){console['error']();});}function _0xef85b1(_0x3e766a){const _0x5bd9de=_0x1accd7;_0x51230a['go']('app.contactmanager.contacts.edit',{'id':_0x3e766a['id'],'crudPermissions':_0x337e7a[_0x5bd9de(0x1b1a)]});}function _0x5cfb0f(_0x3922f5,_0x1eb824){const _0x3dd663=_0x1accd7,_0x7ca576=_0x37f890[_0x3dd663(0x1551)]()[_0x3dd663(0x1386)](_0x3dd663(0x2108))['htmlContent'](_0x3dd663(0x204d)+(_0x3922f5['firstName']||_0x3922f5[_0x3dd663(0x1e19)]||_0x3922f5[_0x3dd663(0x1340)]||_0x3dd663(0x8d3))+_0x3dd663(0x1200)+_0x3dd663(0x1b6))[_0x3dd663(0x15ad)](_0x3dd663(0xb11))['targetEvent'](_0x1eb824)['ok']('OK')[_0x3dd663(0x696)]('CANCEL');_0x37f890[_0x3dd663(0xe27)](_0x7ca576)[_0x3dd663(0x1cb0)](function(){_0x1f6306(_0x3922f5);});}function _0xe29713(_0x353056){const _0x17905f=_0x1accd7,_0x10a70f=[_0x17905f(0x1a0d)];if(!_0x39641b()[_0x17905f(0x250a)](_0x10a70f,_0x353056['getExtension']()))return _0xa3367f[_0x17905f(0x218e)]({'title':_0x17905f(0x14d4)+_0x353056['getExtension'](),'msg':_0x17905f(0x4d4)+_0x10a70f[_0x17905f(0x1f66)]()}),![];if(_0x353056[_0x17905f(0x1813)]>_0x502de3)return _0xa3367f[_0x17905f(0x218e)]({'title':_0x17905f(0x2749),'msg':_0x17905f(0xe61)+_0xcbf8e0+'MB'}),![];return!![];}let _0x398cee=!![],_0x427d45=0x1;_0x42d027[_0x1accd7(0x614)](_0x1accd7(0x957),function(_0x22a60c,_0x665a42){const _0x20e391=_0x1accd7;_0x398cee?_0x36b38d(function(){_0x398cee=![];}):(!_0x665a42&&(_0x427d45=_0x337e7a[_0x20e391(0xae2)]['page']),_0x22a60c!==_0x665a42&&(_0x337e7a[_0x20e391(0xae2)][_0x20e391(0x1c7b)]=0x1),!_0x22a60c&&(_0x337e7a[_0x20e391(0xae2)]['page']=_0x427d45),_0x337e7a[_0x20e391(0x1586)]());},!![]),_0x42d027['$watch']('vm.selectedList',function(_0x220d31,_0x23f163){const _0x13775d=_0x1accd7;_0x220d31!==_0x23f163&&(_0x337e7a[_0x13775d(0xae2)][_0x13775d(0x20a6)]=_0x337e7a[_0x13775d(0x9af)]||undefined,_0x337e7a[_0x13775d(0x1586)]());}),_0x42d027[_0x1accd7(0x614)]('vm.selectedCompany',function(_0x5bfc86,_0x25f6b9){const _0x55a65e=_0x1accd7;_0x5bfc86!==_0x25f6b9&&(_0x337e7a[_0x55a65e(0xae2)][_0x55a65e(0x27ac)]=_0x337e7a[_0x55a65e(0x1383)]||undefined,_0x337e7a['getContacts']());}),_0x42d027[_0x1accd7(0x614)](_0x1accd7(0x2796),function(_0x49a7b6,_0x3b50f5){const _0x18529e=_0x1accd7;(_0x49a7b6['length']||_0x3b50f5[_0x18529e(0xfd0)])&&_0x337e7a['getContacts']();});function _0xce25f3(_0x4d47fa){_0x337e7a['contacts']=_0x4d47fa||{'count':0x0,'rows':[]};}function _0x36a96f(){const _0x2dc588=_0x1accd7;_0x337e7a[_0x2dc588(0xae2)][_0x2dc588(0x184b)]=(_0x337e7a[_0x2dc588(0xae2)]['page']-0x1)*_0x337e7a[_0x2dc588(0xae2)][_0x2dc588(0x236)],_0x106706[_0x2dc588(0x22b6)](_0x2dc588(0x1c60))?_0x337e7a[_0x2dc588(0x2061)]=_0x10cecc[_0x2dc588(0xbe7)][_0x2dc588(0xbf7)](_0x337e7a[_0x2dc588(0xae2)],_0xce25f3)[_0x2dc588(0x1d77)]:(_0x337e7a[_0x2dc588(0xae2)]['id']=_0x337e7a[_0x2dc588(0x44a)]['id'],_0x337e7a['query'][_0x2dc588(0x20a6)]=!_0x337e7a[_0x2dc588(0xae2)][_0x2dc588(0x20a6)]?_0x39641b()[_0x2dc588(0x1de2)](_0x337e7a[_0x2dc588(0x1046)]['rows'],'id'):_0x337e7a[_0x2dc588(0xae2)][_0x2dc588(0x20a6)],_0x337e7a[_0x2dc588(0xae2)][_0x2dc588(0x27ac)]=!_0x337e7a[_0x2dc588(0xae2)][_0x2dc588(0x27ac)]?_0x39641b()(_0x337e7a[_0x2dc588(0xe1a)][_0x2dc588(0x2214)])['filter']({'canSelect':undefined})[_0x2dc588(0x1de2)]('id')[_0x2dc588(0x327)]():_0x337e7a[_0x2dc588(0xae2)]['CompanyId'],_0x337e7a[_0x2dc588(0xae2)][_0x2dc588(0x1f74)]=_0x2dc588(0x20a4),_0x337e7a[_0x2dc588(0x2061)]=_0x10cecc[_0x2dc588(0x44a)][_0x2dc588(0x1810)](_0x337e7a[_0x2dc588(0xae2)],_0xce25f3)[_0x2dc588(0x1d77)]);}function _0x236817(_0xd7f78b,_0x7fcf41){const _0x47e390=_0x1accd7;_0x7fcf41&&_0x7fcf41['dateOfBirth']&&(_0x7fcf41[_0x47e390(0xdda)]=new Date(_0x7fcf41[_0x47e390(0xdda)])),_0x37f890['show']({'controller':'CreateOrEditContactDialogController','controllerAs':'vm','templateUrl':_0x4f1710,'parent':angular['element'](_0x23b375['body']),'targetEvent':_0xd7f78b,'clickOutsideToClose':!![],'locals':{'ListId':_0x337e7a['query'][_0x47e390(0x20a6)]||_0x51230a[_0x47e390(0x1dfe)]['ListId'],'CompanyId':_0x337e7a[_0x47e390(0xae2)][_0x47e390(0x27ac)]||_0x51230a[_0x47e390(0x1dfe)]['CompanyId'],'contact':_0x7fcf41,'contacts':_0x337e7a['contacts'][_0x47e390(0x2214)],'companies':_0x337e7a[_0x47e390(0xe1a)],'lists':_0x337e7a[_0x47e390(0x1046)],'setting':null,'crudPermissions':_0x337e7a[_0x47e390(0x1b1a)]}});}function _0x55869f(_0x3695b3,_0x5975e9){const _0x46436f=_0x1accd7;_0x37f890[_0x46436f(0xe27)]({'controller':'DuplicateContactDialogController','controllerAs':'vm','templateUrl':_0x4e0982,'parent':angular[_0x46436f(0x1853)](_0x23b375['body']),'targetEvent':_0x3695b3,'clickOutsideToClose':!![],'resolve':{'contact':['apiResolver',function(_0x3468e0){const _0x3bbbf3=_0x46436f;return _0x3468e0['resolve'](_0x3bbbf3(0x1095),{'id':_0x5975e9['id']});}],'lists':['apiResolver','Auth',function(_0x5c1047,_0x5eadbf){const _0x2468d1=_0x46436f;return _0x5eadbf['hasRole']('admin')?_0x5c1047[_0x2468d1(0x19a3)]('cmList@get',{'fields':'id,name','nolimit':!![]}):_0x5c1047[_0x2468d1(0x19a3)]('userProfile@getResources',{'id':_0x5eadbf['getCurrentUser']()[_0x2468d1(0x13c1)],'section':_0x2468d1(0x191f),'fields':_0x2468d1(0x43c),'nolimit':!![]});}]},'locals':{'contacts':_0x337e7a[_0x46436f(0x7eb)][_0x46436f(0x2214)]}});}function _0x68f004(_0x52ede2,_0x2a0fc9){const _0x4b9c9c=_0x1accd7;_0x37f890['show']({'controller':_0x4b9c9c(0x219f),'controllerAs':'vm','templateUrl':_0x860e6c,'parent':angular['element'](_0x23b375[_0x4b9c9c(0x1ed9)]),'targetEvent':_0x52ede2,'clickOutsideToClose':!![],'resolve':{'contact':[_0x4b9c9c(0x1e0b),function(_0x2ebab1){const _0x43b582=_0x4b9c9c;return _0x2ebab1['resolve'](_0x43b582(0x1095),{'id':_0x2a0fc9['id']});}],'lists':[_0x4b9c9c(0x1e0b),_0x4b9c9c(0x1774),function(_0x2c1315,_0x23582a){const _0x542052=_0x4b9c9c;return _0x23582a[_0x542052(0x22b6)](_0x542052(0x1c60))?_0x2c1315[_0x542052(0x19a3)](_0x542052(0x1b1f),{'fields':'id,name','nolimit':!![]}):_0x2c1315[_0x542052(0x19a3)](_0x542052(0x12da),{'id':_0x23582a[_0x542052(0x21e8)]()['userProfileId'],'section':_0x542052(0x191f),'fields':_0x542052(0x43c),'nolimit':!![]});}]},'locals':{'contacts':_0x337e7a[_0x4b9c9c(0x7eb)]['rows']}});}function _0x1f6306(_0x42ff1d){const _0x54b0bb=_0x1accd7;_0x10cecc[_0x54b0bb(0xbe7)][_0x54b0bb(0x111d)]({'id':_0x42ff1d['id']})[_0x54b0bb(0x1d77)][_0x54b0bb(0x1cb0)](function(){const _0x5043e6=_0x54b0bb;_0x39641b()[_0x5043e6(0x152a)](_0x337e7a[_0x5043e6(0x7eb)][_0x5043e6(0x2214)],{'id':_0x42ff1d['id']}),_0x337e7a[_0x5043e6(0x7eb)][_0x5043e6(0x184d)]-=0x1,!_0x337e7a[_0x5043e6(0x7eb)]['rows']['length']&&_0x337e7a[_0x5043e6(0x1586)](),_0xa3367f['success']({'title':'Contact\x20deleted!','msg':_0x42ff1d[_0x5043e6(0x16b6)]?_0x42ff1d['name']+_0x5043e6(0x3f5):''});})[_0x54b0bb(0x1c4)](function(_0x2ade53){const _0x388f96=_0x54b0bb;if(_0x2ade53['data']&&_0x2ade53['data'][_0x388f96(0x1a7c)]&&_0x2ade53['data'][_0x388f96(0x1a7c)][_0x388f96(0xfd0)]){_0x337e7a[_0x388f96(0x1a7c)]=_0x2ade53[_0x388f96(0x25c)][_0x388f96(0x1a7c)]||[{'message':_0x2ade53[_0x388f96(0x147f)](),'type':_0x388f96(0x24e)}];for(let _0x1adfa5=0x0;_0x1adfa5<_0x2ade53[_0x388f96(0x25c)][_0x388f96(0x1a7c)][_0x388f96(0xfd0)];_0x1adfa5++){_0xa3367f[_0x388f96(0x218e)]({'title':_0x2ade53['data'][_0x388f96(0x1a7c)][_0x1adfa5][_0x388f96(0x66a)],'msg':_0x2ade53[_0x388f96(0x25c)][_0x388f96(0x1a7c)][_0x1adfa5][_0x388f96(0x155e)]});}}else _0xa3367f[_0x388f96(0x218e)]({'title':_0x2ade53[_0x388f96(0x291)]?_0x388f96(0xeb9)+_0x2ade53['status']+_0x388f96(0x1657)+_0x2ade53[_0x388f96(0xc22)]:_0x388f96(0x24e),'msg':_0x2ade53[_0x388f96(0x25c)]?JSON['stringify'](_0x2ade53['data'][_0x388f96(0x155e)]):_0x2ade53[_0x388f96(0x155e)]||_0x2ade53[_0x388f96(0x147f)]()});});}function _0x3b5dff(){const _0xb1ca04=_0x1accd7,_0x4dd033=angular[_0xb1ca04(0x17fe)](_0x337e7a[_0xb1ca04(0x1f53)]);return _0x337e7a[_0xb1ca04(0x1f53)]=[],_0x4dd033;}function _0x48b26b(_0x3e1f00){const _0x4dfa80=_0x1accd7,_0x23cd32=_0x37f890[_0x4dfa80(0x1551)]()['title'](_0x4dfa80(0xd9e))[_0x4dfa80(0x49e)](_0x4dfa80(0x204d)+_0x337e7a[_0x4dfa80(0x1f53)][_0x4dfa80(0xfd0)]+_0x4dfa80(0x1d6c)+_0x4dfa80(0x1b6))[_0x4dfa80(0x15ad)](_0x4dfa80(0x19a0))[_0x4dfa80(0x728)](_0x3e1f00)['ok']('OK')[_0x4dfa80(0x696)](_0x4dfa80(0x24ba));_0x37f890[_0x4dfa80(0xe27)](_0x23cd32)[_0x4dfa80(0x1cb0)](function(){const _0x177b85=_0x4dfa80;_0x337e7a[_0x177b85(0x1f53)]['forEach'](function(_0x2adb52){_0x1f6306(_0x2adb52);}),_0x337e7a['selectedContacts']=[];});}function _0x4cde94(){const _0x4868e7=_0x1accd7;_0x337e7a[_0x4868e7(0x1f53)]=[];}function _0x577e56(){const _0x416167=_0x1accd7;_0x337e7a[_0x416167(0x1f53)]=_0x337e7a['contacts'][_0x416167(0x2214)];}}const _0x5a48bc=_0x470dfd;;_0x3f0c16[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),_0x5537c6(0x9bf),_0x5537c6(0x7eb),_0x5537c6(0x1046),_0x5537c6(0xe1a),'contact',_0x5537c6(0x20a6),_0x5537c6(0x27ac),_0x5537c6(0x142b),'crudPermissions'];function _0x3f0c16(_0x3f6c56,_0x187896,_0x48f220,_0x5367fd,_0x544916,_0x375027,_0x493682,_0x58cc22,_0x1f155b,_0x345d2c){const _0x41c6ae=_0x5537c6,_0x52bcbe=this;_0x52bcbe['errors']=[],_0x52bcbe[_0x41c6ae(0x1386)]=_0x41c6ae(0x26b7),_0x52bcbe['crudPermissions']=_0x345d2c,_0x52bcbe['contact']=angular[_0x41c6ae(0x17fe)](_0x375027),_0x52bcbe[_0x41c6ae(0x7eb)]=_0x48f220||{'rows':[],'count':0x0},_0x52bcbe[_0x41c6ae(0x1046)]=_0x5367fd||{'rows':[],'count':0x0},_0x52bcbe['companies']=_0x544916||{'rows':[],'count':0x0},_0x52bcbe[_0x41c6ae(0x2242)]={'rows':[],'count':0x0},_0x52bcbe[_0x41c6ae(0x2617)]=![];!_0x52bcbe[_0x41c6ae(0x8b1)]?(_0x52bcbe[_0x41c6ae(0x8b1)]={'ListId':_0x493682?parseInt(_0x493682,0xa):undefined,'CompanyId':_0x58cc22?parseInt(_0x58cc22,0xa):undefined},_0x52bcbe[_0x41c6ae(0x1386)]=_0x41c6ae(0x2030),_0x52bcbe[_0x41c6ae(0x2617)]=!![]):(delete _0x52bcbe[_0x41c6ae(0x8b1)][_0x41c6ae(0x23b0)],_0x52bcbe[_0x41c6ae(0x20a6)]=_0x52bcbe[_0x41c6ae(0x8b1)][_0x41c6ae(0x20a6)]||undefined);_0x52bcbe['addNewContact']=_0x43e1af,_0x52bcbe[_0x41c6ae(0xab3)]=_0x5f0f96,_0x52bcbe[_0x41c6ae(0x2839)]=_0x2814a2,_0x52bcbe[_0x41c6ae(0xda0)]=_0x5097f0,_0x52bcbe['getCustomFields']=_0x3d4b7f,_0x52bcbe[_0x41c6ae(0x2c4)]=_0x3c1c50;function _0x43e1af(){const _0x501722=_0x41c6ae;_0x52bcbe['errors']=[],_0x1f155b[_0x501722(0xbe7)][_0x501722(0x1c3f)](_0x52bcbe[_0x501722(0x8b1)])[_0x501722(0x1d77)]['then'](function(_0x124ef9){const _0x3e4b45=_0x501722;_0x52bcbe['contacts']['unshift'](_0x124ef9),_0x187896[_0x3e4b45(0x829)]({'title':_0x3e4b45(0xe69),'msg':_0x52bcbe[_0x3e4b45(0x8b1)][_0x3e4b45(0x16b6)]?_0x52bcbe[_0x3e4b45(0x8b1)]['name']+_0x3e4b45(0x470):''}),_0x5097f0();})[_0x501722(0x1c4)](function(_0x5a2cc1){const _0x545404=_0x501722;if(_0x5a2cc1[_0x545404(0x25c)]&&_0x5a2cc1[_0x545404(0x25c)][_0x545404(0x1a7c)]&&_0x5a2cc1['data'][_0x545404(0x1a7c)][_0x545404(0xfd0)]){_0x52bcbe['errors']=_0x5a2cc1[_0x545404(0x25c)][_0x545404(0x1a7c)]||[{'message':_0x5a2cc1[_0x545404(0x147f)](),'type':_0x545404(0x102d)}];for(let _0x18026a=0x0;_0x18026a<_0x5a2cc1[_0x545404(0x25c)][_0x545404(0x1a7c)][_0x545404(0xfd0)];_0x18026a++){_0x187896['error']({'title':_0x5a2cc1[_0x545404(0x25c)][_0x545404(0x1a7c)][_0x18026a][_0x545404(0x66a)],'msg':_0x5a2cc1['data']['errors'][_0x18026a][_0x545404(0x155e)]});}}else _0x187896['error']({'title':_0x5a2cc1[_0x545404(0x291)]?'API:'+_0x5a2cc1[_0x545404(0x291)]+'\x20-\x20'+_0x5a2cc1['statusText']:'api.contacts.save','msg':_0x5a2cc1['data']?JSON[_0x545404(0x2701)](_0x5a2cc1['data'][_0x545404(0x155e)]):_0x5a2cc1[_0x545404(0x147f)]()});});}function _0x5f0f96(){const _0x305432=_0x41c6ae;_0x52bcbe[_0x305432(0x1a7c)]=[],_0x1f155b[_0x305432(0xbe7)][_0x305432(0x687)]({'id':_0x52bcbe['contact']['id']},_0x52bcbe[_0x305432(0x8b1)])[_0x305432(0x1d77)][_0x305432(0x1cb0)](function(_0x368a17){const _0x18b3e4=_0x305432,_0x240d75=_0x39641b()['find'](_0x52bcbe['contacts'],{'id':_0x368a17['id']});_0x240d75&&_0x39641b()[_0x18b3e4(0x9c1)](_0x240d75,_0x368a17),_0x187896[_0x18b3e4(0x829)]({'title':_0x18b3e4(0x1a8f),'msg':_0x52bcbe['contact'][_0x18b3e4(0x16b6)]?_0x52bcbe[_0x18b3e4(0x8b1)][_0x18b3e4(0x16b6)]+_0x18b3e4(0xedb):''}),_0x5097f0();})['catch'](function(_0x205cec){const _0x3c4ca9=_0x305432;if(_0x205cec[_0x3c4ca9(0x25c)]&&_0x205cec[_0x3c4ca9(0x25c)][_0x3c4ca9(0x1a7c)]&&_0x205cec[_0x3c4ca9(0x25c)][_0x3c4ca9(0x1a7c)][_0x3c4ca9(0xfd0)]){_0x52bcbe[_0x3c4ca9(0x1a7c)]=_0x205cec[_0x3c4ca9(0x25c)][_0x3c4ca9(0x1a7c)]||[{'message':_0x205cec[_0x3c4ca9(0x147f)](),'type':'api.contact.update'}];for(let _0x5d4ac2=0x0;_0x5d4ac2<_0x205cec[_0x3c4ca9(0x25c)]['errors'][_0x3c4ca9(0xfd0)];_0x5d4ac2++){_0x187896[_0x3c4ca9(0x218e)]({'title':_0x205cec[_0x3c4ca9(0x25c)][_0x3c4ca9(0x1a7c)][_0x5d4ac2][_0x3c4ca9(0x66a)],'msg':_0x205cec[_0x3c4ca9(0x25c)][_0x3c4ca9(0x1a7c)][_0x5d4ac2][_0x3c4ca9(0x155e)]});}}else _0x187896[_0x3c4ca9(0x218e)]({'title':_0x205cec[_0x3c4ca9(0x291)]?_0x3c4ca9(0xeb9)+_0x205cec[_0x3c4ca9(0x291)]+_0x3c4ca9(0x1657)+_0x205cec[_0x3c4ca9(0xc22)]:_0x3c4ca9(0xf65),'msg':_0x205cec[_0x3c4ca9(0x25c)]?JSON['stringify'](_0x205cec[_0x3c4ca9(0x25c)][_0x3c4ca9(0x155e)]):_0x205cec[_0x3c4ca9(0x147f)]()});});}function _0x3d4b7f(_0x3fb66a){const _0xfbbb5f=_0x41c6ae;if(_0x3fb66a)return _0x1f155b[_0xfbbb5f(0x22f2)][_0xfbbb5f(0x178f)]({'id':_0x3fb66a})[_0xfbbb5f(0x1d77)][_0xfbbb5f(0x1cb0)](function(_0x1179ab){const _0x153928=_0xfbbb5f;if(_0x1179ab){_0x52bcbe[_0x153928(0x2242)]=_0x1179ab;const _0x354149=_0x39641b()['map'](_0x52bcbe[_0x153928(0x2242)][_0x153928(0x2214)],function(_0xfc84b2){const _0x16f26d=_0x153928;return _0x16f26d(0x1e4b)+_0xfc84b2['id'];});_0x1f155b[_0x153928(0xbe7)][_0x153928(0xbf7)]({'id':_0x52bcbe['contact']['id'],'attributes':_0x354149,'raw':!![]})['$promise'][_0x153928(0x1cb0)](function(_0x516f7d){const _0x3e2420=_0x153928;_0x52bcbe[_0x3e2420(0x8b1)]=_0x39641b()[_0x3e2420(0x9c1)](_0x52bcbe['contact'],_0x516f7d);});}})[_0xfbbb5f(0x1c4)](function(_0x238898){const _0xd55a0=_0xfbbb5f;console[_0xd55a0(0x218e)](_0x238898);});else _0x52bcbe[_0xfbbb5f(0x2242)]={'rows':[],'count':0x0};}function _0x2814a2(_0x4011e6){const _0x3c2edb=_0x41c6ae;_0x52bcbe[_0x3c2edb(0x1a7c)]=[];const _0x139ba0=_0x3f6c56[_0x3c2edb(0x1551)]()[_0x3c2edb(0x1386)](_0x3c2edb(0x1a2e))[_0x3c2edb(0x862)](_0x3c2edb(0x318))[_0x3c2edb(0x15ad)](_0x3c2edb(0x2539))['ok'](_0x3c2edb(0x2594))['cancel'](_0x3c2edb(0xde1))[_0x3c2edb(0x728)](_0x4011e6);_0x3f6c56[_0x3c2edb(0xe27)](_0x139ba0)[_0x3c2edb(0x1cb0)](function(){const _0x145bab=_0x3c2edb;_0x1f155b['cmContact']['delete']({'id':_0x52bcbe['contact']['id']})[_0x145bab(0x1d77)]['then'](function(){const _0xddcc57=_0x145bab;_0x39641b()['remove'](_0x52bcbe[_0xddcc57(0x7eb)],{'id':_0x52bcbe['contact']['id']}),_0x187896[_0xddcc57(0x829)]({'title':'Contact\x20properly\x20deleted!','msg':_0x52bcbe[_0xddcc57(0x8b1)]['name']?_0x52bcbe[_0xddcc57(0x8b1)][_0xddcc57(0x16b6)]+_0xddcc57(0x3f5):''}),_0x5097f0();})[_0x145bab(0x1c4)](function(_0x42d82c){const _0x548346=_0x145bab;console['error'](_0x42d82c),_0x52bcbe[_0x548346(0x1a7c)]=_0x42d82c[_0x548346(0x25c)][_0x548346(0x1a7c)]||[{'message':_0x42d82c[_0x548346(0x147f)](),'type':_0x548346(0x24e)}];});},function(){});}function _0x3c1c50(_0x53c8f2){return _0x53c8f2===null?undefined:new Date(_0x53c8f2);}function _0x5097f0(){const _0x523f66=_0x41c6ae;_0x3f6c56[_0x523f66(0x1426)]();}}const _0x47b913=_0x3f0c16;;_0x47f6e1[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),_0x5537c6(0x9bf),_0x5537c6(0x8b1),'contacts','lists',_0x5537c6(0x142b)];function _0x47f6e1(_0x4a3dd9,_0x4d2492,_0x3286ba,_0x3accb7,_0x556836,_0x54e485){const _0x61f911=_0x5537c6,_0x1e3928=this;_0x1e3928[_0x61f911(0x1a7c)]=[],_0x1e3928[_0x61f911(0x1386)]=_0x61f911(0x17cb),_0x1e3928[_0x61f911(0x8b1)]=angular[_0x61f911(0x17fe)](_0x3286ba),_0x1e3928['lists']=_0x556836||{'rows':[],'count':0x0},_0x1e3928['addNewContact']=_0x2e9e48,_0x1e3928['closeDialog']=_0x2b8770;function _0x2e9e48(){const _0x528d58=_0x61f911;_0x1e3928[_0x528d58(0x1a7c)]=[],delete _0x1e3928[_0x528d58(0x8b1)]['id'],_0x1e3928[_0x528d58(0x8b1)][_0x528d58(0xfbf)]&&!_0x1e3928[_0x528d58(0x8b1)][_0x528d58(0xfbf)]['length']&&delete _0x1e3928[_0x528d58(0x8b1)][_0x528d58(0xfbf)],_0x54e485[_0x528d58(0xbe7)][_0x528d58(0x1c3f)](_0x1e3928['contact'])[_0x528d58(0x1d77)][_0x528d58(0x1cb0)](function(_0xbdefc8){const _0x2b685c=_0x528d58;_0x3accb7[_0x2b685c(0xf63)](_0xbdefc8),_0x4d2492[_0x2b685c(0x829)]({'title':_0x2b685c(0xe69),'msg':_0x1e3928[_0x2b685c(0x8b1)]['name']?_0x1e3928[_0x2b685c(0x8b1)][_0x2b685c(0x16b6)]+_0x2b685c(0x470):''}),_0x2b8770();})[_0x528d58(0x1c4)](function(_0x595ec8){const _0x3a5bc2=_0x528d58;console['error'](_0x595ec8),_0x1e3928[_0x3a5bc2(0x1a7c)]=_0x595ec8[_0x3a5bc2(0x25c)][_0x3a5bc2(0x1a7c)]||[{'message':_0x595ec8[_0x3a5bc2(0x147f)](),'type':_0x3a5bc2(0x9a0)}];});}function _0x2b8770(){const _0x24bb56=_0x61f911;_0x4a3dd9[_0x24bb56(0x1426)]();}}const _0x31aa26=_0x47f6e1;;_0x583784[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$state',_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x9bf),'hoppers','hopper','api','crudPermissions'];function _0x583784(_0x6b5a6f,_0xbe5419,_0x282d2b,_0x3bbdeb,_0x4db47a,_0x3d7712,_0x12b206,_0x18c4d4,_0x18b626){const _0x2e791e=_0x5537c6,_0x4033d2=this;_0x4033d2[_0x2e791e(0x1a7c)]=[],_0x4033d2[_0x2e791e(0x1386)]=_0x2e791e(0x25f5),_0x4033d2[_0x2e791e(0x2151)]=angular[_0x2e791e(0x17fe)](_0x12b206),_0x4033d2[_0x2e791e(0xe3c)]=_0x3d7712,_0x4033d2[_0x2e791e(0x25f0)]=![],_0x4033d2[_0x2e791e(0x1b1a)]=_0x18b626;!_0x4033d2[_0x2e791e(0x2151)]&&(_0x4033d2[_0x2e791e(0x2151)]={},_0x4033d2[_0x2e791e(0x1386)]=_0x2e791e(0x17bb),_0x4033d2[_0x2e791e(0x25f0)]=!![]);_0x4033d2[_0x2e791e(0x1f48)]=_0x261295,_0x4033d2[_0x2e791e(0x2c4)]=_0x462e84,_0x4033d2[_0x2e791e(0xda0)]=_0x158971;function _0x261295(){const _0x1ccea8=_0x2e791e;_0x4033d2['errors']=[],_0x18c4d4[_0x1ccea8(0x26bc)][_0x1ccea8(0x687)]({'id':_0x4033d2[_0x1ccea8(0x2151)]['id']},_0x4033d2[_0x1ccea8(0x2151)])['$promise'][_0x1ccea8(0x1cb0)](function(_0x5e0957){const _0x5b7de6=_0x1ccea8,_0x4ed56d=_0x39641b()['find'](_0x4033d2[_0x5b7de6(0xe3c)],{'id':_0x5e0957['id']});_0x4ed56d&&_0x39641b()[_0x5b7de6(0x9c1)](_0x4ed56d,_0x5e0957),_0x4db47a['success']({'title':'Hopper\x20properly\x20saved!','msg':_0x4033d2['hopper']['name']?_0x4033d2[_0x5b7de6(0x2151)]['name']+_0x5b7de6(0xedb):''}),_0x158971(_0x5e0957);})['catch'](function(_0x328653){const _0x427337=_0x1ccea8;console[_0x427337(0x218e)](_0x328653),_0x4033d2[_0x427337(0x1a7c)]=_0x328653[_0x427337(0x25c)][_0x427337(0x1a7c)]||[{'message':_0x328653[_0x427337(0x147f)](),'type':_0x427337(0xfec)}];});}function _0x462e84(_0x118350){return _0x118350===null?undefined:new Date(_0x118350);}function _0x158971(_0x4cc090){const _0x5485c4=_0x2e791e;_0x3bbdeb[_0x5485c4(0x1426)](_0x4cc090);}}const _0x259d3a=_0x583784;;const _0xf0b411=_0x5074a3['p']+_0x5537c6(0xbe0);;_0x1c1ef1['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x2168),'$state',_0x5537c6(0x22bf),'$mdDialog',_0x5537c6(0x1ae),'toasty','api',_0x5537c6(0x8b1),'hoppers',_0x5537c6(0x91e),'histories',_0x5537c6(0x7eb),_0x5537c6(0xe1a),_0x5537c6(0x1046),_0x5537c6(0x2242),'tags',_0x5537c6(0x2817),_0x5537c6(0x8a5),_0x5537c6(0x1774),_0x5537c6(0x2199)];function _0x1c1ef1(_0x44dd53,_0x11056f,_0x371769,_0x2c1d83,_0x1d6e23,_0x4ff9af,_0x58707d,_0x20867f,_0x593bab,_0x53579d,_0x16e8c9,_0x4cb95f,_0x39f26f,_0x2d4469,_0x1cac48,_0x13d27f,_0xe0027d,_0x4259cc,_0x688f5a,_0x27df5f,_0x5cc076){const _0x4df53e=_0x5537c6,_0x3ff5ab=this;_0x3ff5ab[_0x4df53e(0xe76)]=_0x27df5f['getCurrentUser'](),_0x3ff5ab['license']=_0x688f5a,_0x3ff5ab[_0x4df53e(0x11a7)]=[],_0x3ff5ab[_0x4df53e(0x8b1)]=_0x593bab||{},_0x3ff5ab[_0x4df53e(0xe3c)]=_0x53579d||{'rows':[],'count':0x0},_0x3ff5ab['finals']=_0x16e8c9||{'rows':[],'count':0x0},_0x3ff5ab[_0x4df53e(0x13a4)]=_0x4cb95f||{'rows':[],'count':0x0},_0x3ff5ab[_0x4df53e(0xe1a)]=_0x2d4469||{'rows':[],'count':0x0},_0x3ff5ab[_0x4df53e(0x1046)]=_0x1cac48||{'rows':[],'count':0x0},_0x3ff5ab[_0x4df53e(0x2242)]=_0x13d27f||{'rows':[],'count':0x0},_0x3ff5ab[_0x4df53e(0xfbf)]=_0xe0027d||{'rows':[],'count':0x0},_0x3ff5ab[_0x4df53e(0x2817)]=_0x4259cc||{'rows':[],'count':0x0},_0x3ff5ab[_0x4df53e(0x1245)]={'scrollEl':_0x4df53e(0x645)},_0x3ff5ab[_0x4df53e(0x1a58)]=new Date(_0x543b5a()()['startOf'](_0x4df53e(0x26ae))[_0x4df53e(0x1030)](0x1,_0x4df53e(0x479))[_0x4df53e(0x17d9)](0x0,!![])[_0x4df53e(0x1f31)]()),_0x3ff5ab[_0x4df53e(0x15bb)]=new Date(_0x543b5a()()[_0x4df53e(0x1b4)](_0x4df53e(0x26ae))[_0x4df53e(0x1030)](0x1,_0x4df53e(0x1a5))[_0x4df53e(0x17d9)](0x0,!![])[_0x4df53e(0x1f31)]()),_0x3ff5ab[_0x4df53e(0x2199)]=_0x5cc076&&_0x5cc076[_0x4df53e(0x184d)]==0x1?_0x5cc076[_0x4df53e(0x2214)][0x0]:null,_0x3ff5ab[_0x4df53e(0x1b1a)]=_0x27df5f['parseCrudPermissions'](_0x3ff5ab['userProfileSection']?_0x3ff5ab[_0x4df53e(0x2199)][_0x4df53e(0x1b1a)]:null),_0x3ff5ab[_0x4df53e(0x234b)]=_0x39641b()[_0x4df53e(0x2631)]([{'option':_0x4df53e(0xc6c),'value':_0x4df53e(0x4d3)},{'option':_0x4df53e(0x1173),'value':_0x4df53e(0xf37)},{'option':_0x4df53e(0x274b),'value':_0x4df53e(0x1f8d)},{'option':_0x4df53e(0x1ec),'value':_0x4df53e(0x11e4)},{'option':'Lowest','value':'\x270\x27'}],function(_0x25107c){const _0x22fa68=_0x4df53e;return _0x39641b()[_0x22fa68(0x288f)](_0x25107c[_0x22fa68(0x327)],new RegExp('\x27','g'),'');}),_0x3ff5ab[_0x4df53e(0x103b)]={'fields':_0x4df53e(0x2876),'sort':'-updatedAt','limit':0xa,'page':0x1},_0x3ff5ab[_0x4df53e(0x588)]={'fields':_0x4df53e(0x9f2),'sort':_0x4df53e(0x7d0),'limit':0xa,'page':0x1},_0x3ff5ab[_0x4df53e(0x2530)]={'fields':_0x4df53e(0x30c),'sort':_0x4df53e(0x7d0),'limit':0xa,'page':0x1},_0x3ff5ab[_0x4df53e(0xb05)]={'fields':'id,starttime,endtime,membername,projectname','sort':_0x4df53e(0x104c),'limit':0xa,'page':0x1},_0x3ff5ab[_0x4df53e(0x8ec)]=_0x371769[_0x4df53e(0x1dfe)][_0x4df53e(0x291e)]||0x0,_0x3ff5ab[_0x4df53e(0x1a34)]=_0x3756f8,_0x3ff5ab['gotoContacts']=_0x4c34a4,_0x3ff5ab[_0x4df53e(0x211a)]=_0x4e3937,_0x3ff5ab['saveContact']=_0x53ab36,_0x3ff5ab[_0x4df53e(0x1dcc)]=_0x5b35ca,_0x3ff5ab[_0x4df53e(0x247c)]=_0x38657e,_0x3ff5ab['onSelectListSuccess']=_0x239e1d,_0x3ff5ab[_0x4df53e(0x2c4)]=_0x123dfe,_0x3ff5ab[_0x4df53e(0xb46)]=_0x319a11,_0x3ff5ab[_0x4df53e(0x27cd)]=_0x3066da,_0x3ff5ab[_0x4df53e(0xa3b)]=_0x5e3673,_0x3ff5ab[_0x4df53e(0x6b5)]=_0x274002,_0x3ff5ab[_0x4df53e(0x27fe)]=_0x3eb972,_0x3ff5ab['editHopper']=_0x10de80,_0x3ff5ab[_0x4df53e(0x19c2)]=_0x63c4b4,_0x3ff5ab[_0x4df53e(0x296)]=_0x2099b3;let _0x34953b=!![],_0x3ad097=0x1;_0x44dd53['$watch'](_0x4df53e(0x25e8),function(_0xc93e80,_0x2ff15d){const _0x594afb=_0x4df53e;_0x34953b?_0x11056f(function(){_0x34953b=![];}):(!_0x2ff15d&&(_0x3ad097=_0x3ff5ab['queryHopper']['page']),_0xc93e80!==_0x2ff15d&&(_0x3ff5ab[_0x594afb(0x103b)][_0x594afb(0x1c7b)]=0x1),!_0xc93e80&&(_0x3ff5ab[_0x594afb(0x103b)][_0x594afb(0x1c7b)]=_0x3ad097),_0x3ff5ab[_0x594afb(0xb46)]());}),_0x44dd53['$watch'](_0x4df53e(0x1182),function(_0xd98e60,_0x529561){const _0x3e9289=_0x4df53e;_0x34953b?_0x11056f(function(){_0x34953b=![];}):(!_0x529561&&(_0x3ad097=_0x3ff5ab[_0x3e9289(0x588)][_0x3e9289(0x1c7b)]),_0xd98e60!==_0x529561&&(_0x3ff5ab[_0x3e9289(0x588)][_0x3e9289(0x1c7b)]=0x1),!_0xd98e60&&(_0x3ff5ab[_0x3e9289(0x588)][_0x3e9289(0x1c7b)]=_0x3ad097),_0x3ff5ab[_0x3e9289(0x27cd)]());}),_0x44dd53[_0x4df53e(0x614)](_0x4df53e(0x1a6c),function(_0x51a30b,_0x187417){const _0x323827=_0x4df53e;_0x34953b?_0x11056f(function(){_0x34953b=![];}):(!_0x187417&&(_0x3ad097=_0x3ff5ab[_0x323827(0x2530)][_0x323827(0x1c7b)]),_0x51a30b!==_0x187417&&(_0x3ff5ab['queryHistory'][_0x323827(0x1c7b)]=0x1),!_0x51a30b&&(_0x3ff5ab[_0x323827(0x2530)][_0x323827(0x1c7b)]=_0x3ad097),_0x3ff5ab[_0x323827(0xa3b)]());});function _0x3ebe3e(_0x174f86){const _0x4cab88=_0x4df53e;switch(_0x174f86){case _0x4cab88(0x174c):return _0x4cab88(0x7b5);case'mail':return _0x4cab88(0x15f2);case _0x4cab88(0x689):return _0x4cab88(0x1373);case _0x4cab88(0x15e0):return _0x4cab88(0x1f1f);case _0x4cab88(0x1944):return _0x4cab88(0x2080);case _0x4cab88(0x2476):return _0x4cab88(0x1995);default:return _0x4cab88(0x292e);}}function _0x2099b3(_0x42adc1){const _0x2a85f9=_0x4df53e;let _0x58ca66='';if(_0x42adc1[_0x2a85f9(0x18e9)])_0x58ca66=_0x2a85f9(0x1944);else{if(_0x42adc1['OpenchannelAccountId'])_0x58ca66=_0x2a85f9(0x15e0);else{if(_0x42adc1[_0x2a85f9(0x1a2)])_0x58ca66=_0x2a85f9(0x689);else{if(_0x42adc1[_0x2a85f9(0x1285)])_0x58ca66='mail';else{if(_0x42adc1[_0x2a85f9(0x67c)])_0x58ca66='chat';else _0x42adc1[_0x2a85f9(0x1451)]&&(_0x58ca66=_0x2a85f9(0x1fd4));}}}}return _0x3ebe3e(_0x58ca66);}function _0x47d3df(_0x2b6119){return function(_0x5b9ba1){_0x3ff5ab[_0x2b6119]=_0x5b9ba1||{'count':0x0,'rows':[]};};}function _0x3756f8(){const _0x4c683c=_0x4df53e;return!_0x27df5f[_0x4c683c(0x22b6)](_0x4c683c(0x1c60))&&(_0x53e484(),_0x561acd()),_0x20867f[_0x4c683c(0xebe)][_0x4c683c(0xbf7)]({'fields':_0x4c683c(0x1cc4),'nolimit':!![]})[_0x4c683c(0x1d77)][_0x4c683c(0x1cb0)](function(_0x3055a5){const _0x5541fc=_0x4c683c;_0x3ff5ab['users']=_0x3055a5||{'count':0x0,'rows':[]},_0x3ff5ab[_0x5541fc(0x176)]=_0x39641b()['mapValues'](_0x39641b()[_0x5541fc(0x2631)](_0x3ff5ab[_0x5541fc(0x1e30)]['rows'],'id'),_0x5541fc(0x1d14)),_0x3ff5ab[_0x5541fc(0x190)]=_0x39641b()[_0x5541fc(0x1de2)](_0x3ff5ab[_0x5541fc(0x8b1)]['Tags'],'id');})[_0x4c683c(0x1cb0)](_0x2344be(_0x593bab));}function _0x53e484(){const _0x181f1b=_0x4df53e,_0x576964=_0x39641b()[_0x181f1b(0x727)](_0x3ff5ab[_0x181f1b(0xe1a)][_0x181f1b(0x2214)],{'id':_0x3ff5ab[_0x181f1b(0x8b1)][_0x181f1b(0x27ac)]});if(!_0x576964)return _0x20867f[_0x181f1b(0x107a)]['get']({'id':_0x3ff5ab[_0x181f1b(0x8b1)]['CompanyId'],'fields':_0x181f1b(0x43c)})['$promise']['then'](function(_0x4c136b){const _0x2f8979=_0x181f1b,_0x483eb1=_0x4c136b[_0x2f8979(0x19b2)]();_0x483eb1[_0x2f8979(0x15da)]=![],_0x3ff5ab[_0x2f8979(0xe1a)][_0x2f8979(0x2214)]['push'](_0x483eb1);})[_0x181f1b(0x1c4)](function(_0x11f894){const _0x1a7896=_0x181f1b;_0x58707d[_0x1a7896(0x218e)]({'title':_0x11f894[_0x1a7896(0x291)]?_0x1a7896(0xeb9)+_0x11f894['status']+'\x20-\x20'+_0x11f894[_0x1a7896(0xc22)]:_0x1a7896(0x1f2),'msg':_0x11f894[_0x1a7896(0x25c)]?JSON[_0x1a7896(0x2701)](_0x11f894['data'][_0x1a7896(0x155e)]):_0x11f894[_0x1a7896(0x147f)]()});});}function _0x561acd(){const _0x5ffcbf=_0x4df53e,_0x33195b=_0x39641b()[_0x5ffcbf(0x1de2)](_0x3ff5ab['contact'][_0x5ffcbf(0x190)],function(_0x209b88){return{'id':_0x209b88['id'],'name':_0x209b88['name']};});if(!_0x39641b()[_0x5ffcbf(0xce9)](_0x33195b))for(let _0x4974f6=0x0;_0x4974f6<_0x33195b['length'];_0x4974f6++){!_0x39641b()[_0x5ffcbf(0x727)](_0x3ff5ab[_0x5ffcbf(0xfbf)]['rows'],{'id':_0x33195b['id']})&&(_0x33195b[_0x4974f6][_0x5ffcbf(0x15da)]=![],_0x3ff5ab[_0x5ffcbf(0xfbf)][_0x5ffcbf(0x2214)]['push'](_0x33195b[_0x4974f6]));}}function _0x44e143(_0x530270){const _0x1a284b=_0x4df53e;if(!_0x530270['disposition'])return'('+_0x4ff9af[_0x1a284b(0x25cc)](_0x1a284b(0x334))+')';const _0x25502b=_0x39641b()[_0x1a284b(0xc67)]([_0x530270[_0x1a284b(0x1746)],_0x530270['secondDisposition'],_0x530270['thirdDisposition']]);return'('+_0x25502b['join']('\x20-\x20')+')';}function _0x2f6305(_0x290b4c){const _0x56c529=_0x4df53e;_0x290b4c&&_0x290b4c[_0x56c529(0x184d)]&&_0x290b4c[_0x56c529(0x2214)][_0x56c529(0xfd0)]&&(_0x3ff5ab['journey']=_0x39641b()[_0x56c529(0x298a)](_0x3ff5ab[_0x56c529(0x11a7)],_0x290b4c[_0x56c529(0x2214)]),_0x3ff5ab[_0x56c529(0x11a7)]['forEach'](function(_0x11a7d0){_0x11a7d0['dispositionsValues']=_0x44e143(_0x11a7d0);}));}function _0x2344be(_0x596663){const _0x2411c2=_0x4df53e,_0x2ac4b4=['mail',_0x2411c2(0x174c),_0x2411c2(0x689),_0x2411c2(0x15e0),_0x2411c2(0x1944)];if(_0x596663&&_0x596663['id']){for(let _0xec471e=0x0;_0xec471e<_0x2ac4b4[_0x2411c2(0xfd0)];_0xec471e++){_0x20867f[_0x2ac4b4[_0xec471e]+'Interaction'][_0x2411c2(0xbf7)]({'ContactId':_0x596663['id'],'includeAll':!![]})[_0x2411c2(0x1d77)][_0x2411c2(0x1cb0)](_0x2f6305);}_0x20867f[_0x2411c2(0x11f2)][_0x2411c2(0xbf7)]({'ContactId':_0x596663['id'],'includeAll':!![]})[_0x2411c2(0x1d77)]['then'](_0x2f6305)[_0x2411c2(0x1c4)](function(_0x472d1d){const _0x3ffb6d=_0x2411c2;console[_0x3ffb6d(0x218e)](_0x472d1d);});}}function _0x10de80(_0x42e7ef,_0x3cb231){const _0x1d3fb9=_0x4df53e;_0x1d6e23['show']({'controller':_0x1d3fb9(0x1acc),'controllerAs':'vm','templateUrl':_0x30626d,'parent':angular[_0x1d3fb9(0x1853)](_0x2c1d83[_0x1d3fb9(0x1ed9)]),'targetEvent':_0x42e7ef,'clickOutsideToClose':!![],'locals':{'hopper':_0x3cb231,'hoppers':_0x3ff5ab[_0x1d3fb9(0xe3c)][_0x1d3fb9(0x2214)],'license':null,'setting':null,'crudPermissions':_0x3ff5ab[_0x1d3fb9(0x1b1a)]}});}function _0x63c4b4(_0x55f3a0,_0x5a8eba){const _0x114f2c=_0x4df53e;_0x1d6e23[_0x114f2c(0xe27)]({'controller':_0x114f2c(0x1601),'controllerAs':'vm','templateUrl':_0xf0b411,'parent':angular[_0x114f2c(0x1853)](_0x2c1d83[_0x114f2c(0x1ed9)]),'targetEvent':_0x55f3a0,'clickOutsideToClose':!![],'locals':{'hopper':_0x5a8eba,'hoppers':_0x3ff5ab[_0x114f2c(0xe3c)][_0x114f2c(0x2214)],'license':null,'setting':null,'crudPermissions':_0x3ff5ab[_0x114f2c(0x1b1a)]}});}function _0x3eb972(_0x3b9a85,_0x1c767b){const _0x223b15=_0x4df53e,_0x575116=_0x1d6e23[_0x223b15(0x1551)]()[_0x223b15(0x1386)](_0x223b15(0x626))['htmlContent'](_0x223b15(0x204d)+(_0x3b9a85['phone']||_0x223b15(0x2151))+_0x223b15(0x1200)+_0x223b15(0x1b6))['ariaLabel']('delete\x20hopper')[_0x223b15(0x728)](_0x1c767b)['ok']('OK')['cancel'](_0x223b15(0x24ba));_0x1d6e23[_0x223b15(0xe27)](_0x575116)[_0x223b15(0x1cb0)](function(){_0x50f753(_0x3b9a85);},function(){const _0x10ee92=_0x223b15;console[_0x10ee92(0x1b4f)]('CANCEL');});}function _0x50f753(_0x66c122){const _0x2739f9=_0x4df53e;return _0x20867f[_0x2739f9(0x26bc)][_0x2739f9(0x111d)]({'id':_0x66c122['id']})['$promise']['then'](function(){const _0x2cdc74=_0x2739f9;_0x39641b()[_0x2cdc74(0x152a)](_0x3ff5ab[_0x2cdc74(0xe3c)]['rows'],{'id':_0x66c122['id']}),_0x3ff5ab[_0x2cdc74(0xe3c)][_0x2cdc74(0x184d)]--,!_0x3ff5ab[_0x2cdc74(0xe3c)][_0x2cdc74(0x2214)][_0x2cdc74(0xfd0)]&&_0x3ff5ab[_0x2cdc74(0xb46)](),_0x58707d['success']({'title':_0x2cdc74(0x1aaa),'msg':_0x66c122[_0x2cdc74(0x1340)]?_0x66c122['phone']+'\x20has\x20been\x20deleted!':''});})['catch'](function(_0x43ec7f){const _0x593699=_0x2739f9;_0x58707d['error']({'title':_0x43ec7f[_0x593699(0x291)]?_0x593699(0xeb9)+_0x43ec7f[_0x593699(0x291)]+_0x593699(0x1657)+_0x43ec7f[_0x593699(0xc22)]:'SYSTEM:DELETEhopper','msg':_0x43ec7f[_0x593699(0x25c)]?JSON['stringify'](_0x43ec7f['data']):_0x43ec7f[_0x593699(0x147f)]()});});}function _0x319a11(){const _0xe508e5=_0x4df53e;_0x3ff5ab[_0xe508e5(0x103b)][_0xe508e5(0x184b)]=(_0x3ff5ab[_0xe508e5(0x103b)][_0xe508e5(0x1c7b)]-0x1)*_0x3ff5ab[_0xe508e5(0x103b)]['limit'],_0x3ff5ab[_0xe508e5(0x103b)]['id']=_0x3ff5ab[_0xe508e5(0x8b1)]['id'],_0x3ff5ab[_0xe508e5(0x2061)]=_0x20867f[_0xe508e5(0xbe7)]['getHoppers'](_0x3ff5ab[_0xe508e5(0x103b)],_0x47d3df(_0xe508e5(0xe3c)))[_0xe508e5(0x1d77)];}function _0x3066da(){const _0x31a9c4=_0x4df53e;_0x3ff5ab[_0x31a9c4(0x588)][_0x31a9c4(0x184b)]=(_0x3ff5ab['queryFinal'][_0x31a9c4(0x1c7b)]-0x1)*_0x3ff5ab[_0x31a9c4(0x588)][_0x31a9c4(0x236)],_0x3ff5ab[_0x31a9c4(0x588)]['id']=_0x3ff5ab['contact']['id'],_0x3ff5ab[_0x31a9c4(0x2061)]=_0x20867f['cmContact'][_0x31a9c4(0x64e)](_0x3ff5ab[_0x31a9c4(0x588)],_0x47d3df(_0x31a9c4(0x91e)))[_0x31a9c4(0x1d77)];}function _0x5e3673(){const _0x359265=_0x4df53e;_0x3ff5ab['queryHistory'][_0x359265(0x184b)]=(_0x3ff5ab[_0x359265(0x2530)][_0x359265(0x1c7b)]-0x1)*_0x3ff5ab['queryHistory'][_0x359265(0x236)],_0x3ff5ab['queryHistory']['id']=_0x3ff5ab['contact']['id'],_0x3ff5ab[_0x359265(0x2061)]=_0x20867f[_0x359265(0xbe7)][_0x359265(0x116a)](_0x3ff5ab[_0x359265(0x2530)],_0x47d3df(_0x359265(0x13a4)))[_0x359265(0x1d77)];}function _0x274002(){const _0x1aae7b=_0x4df53e;_0x3ff5ab[_0x1aae7b(0xb05)][_0x1aae7b(0x184b)]=(_0x3ff5ab[_0x1aae7b(0xb05)][_0x1aae7b(0x1c7b)]-0x1)*_0x3ff5ab[_0x1aae7b(0xb05)][_0x1aae7b(0x236)],_0x3ff5ab[_0x1aae7b(0xb05)]['id']=_0x3ff5ab[_0x1aae7b(0x8b1)]['id'],_0x3ff5ab[_0x1aae7b(0x2061)]=_0x20867f['cmContact']['getJscriptySessions'](_0x3ff5ab[_0x1aae7b(0xb05)],_0x47d3df(_0x1aae7b(0x2817)))[_0x1aae7b(0x1d77)];}function _0x38657e(_0x2cf59d){const _0x2c56b8=_0x4df53e;if(_0x3ff5ab[_0x2c56b8(0x8b1)][_0x2c56b8(0x20a6)]){const _0x3254b6=_0x1d6e23[_0x2c56b8(0x1551)]()[_0x2c56b8(0x1386)](_0x2c56b8(0x213e)+_0x3ff5ab[_0x2c56b8(0x8b1)][_0x2c56b8(0x20a6)])['textContent']('Warning!\x20You\x20won\x27t\x20select\x20a\x20different\x20list\x20for\x20the\x20contact\x20'+_0x3ff5ab['contact'][_0x2c56b8(0x1491)]||0x0||0x0)[_0x2c56b8(0x728)](_0x2cf59d)['ok']('Ok')[_0x2c56b8(0x696)](_0x2c56b8(0xde1));return _0x1d6e23[_0x2c56b8(0xe27)](_0x3254b6)[_0x2c56b8(0x1cb0)](_0x3ff5ab[_0x2c56b8(0x2cf)])[_0x2c56b8(0x1c4)](function(){const _0x8439dc=_0x2c56b8;_0x3ff5ab[_0x8439dc(0x8b1)][_0x8439dc(0x20a6)]=null;});}}function _0x239e1d(){const _0x1cca94=_0x4df53e;return _0x20867f['cmList'][_0x1cca94(0x178f)]({'id':_0x3ff5ab[_0x1cca94(0x8b1)][_0x1cca94(0x20a6)]})[_0x1cca94(0x1d77)][_0x1cca94(0x1cb0)](function(_0x4425be){_0x3ff5ab['customFields']=_0x4425be||{'rows':[],'count':0x0};})[_0x1cca94(0x1c4)](function(_0x1741de){const _0x5204df=_0x1cca94;console[_0x5204df(0x218e)](_0x1741de);});}function _0x46f79f(){const _0x1dea8d=_0x4df53e;return _0x20867f[_0x1dea8d(0x2199)][_0x1dea8d(0xbf7)]({'userProfileId':_0x3ff5ab[_0x1dea8d(0xe76)]['userProfileId'],'sectionId':0x12e})[_0x1dea8d(0x1d77)][_0x1dea8d(0x1cb0)](function(_0x549ced){const _0x2d4004=_0x1dea8d,_0x49e5fc=_0x549ced[_0x2d4004(0x2214)]?_0x549ced[_0x2d4004(0x2214)][0x0]:null;return _0x27df5f[_0x2d4004(0x14ea)](_0x49e5fc?_0x49e5fc['crudPermissions']:null);})[_0x1dea8d(0x1c4)](function(_0x1cba35){const _0x44535d=_0x1dea8d;_0x58707d[_0x44535d(0x218e)]({'title':_0x1cba35[_0x44535d(0x291)]?_0x44535d(0xeb9)+_0x1cba35[_0x44535d(0x291)]+_0x44535d(0x1657)+_0x1cba35[_0x44535d(0xc22)]:_0x44535d(0x18b5),'msg':_0x1cba35[_0x44535d(0x25c)]?JSON[_0x44535d(0x2701)](_0x1cba35[_0x44535d(0x25c)]):_0x1cba35[_0x44535d(0x147f)]()});});}function _0x5b35ca(_0x4593eb,_0xdd386d){const _0x223a03=_0x4df53e,_0x34660b=_0x39641b()[_0x223a03(0x13b4)](_0x3ff5ab['companies']['rows'],{'id':_0xdd386d});_0x1d6e23[_0x223a03(0xe27)]({'controller':_0x223a03(0xeec),'controllerAs':'vm','templateUrl':_0x4f1710,'parent':angular['element'](_0x2c1d83['body']),'targetEvent':_0x4593eb,'clickOutsideToClose':!![],'locals':{'companies':[],'license':null,'setting':null,'crudPermissions':_0x34660b[_0x223a03(0x15da)]===![]?{'readOnly':!![],'canEdit':![],'canDelete':![]}:_0x46f79f()},'resolve':{'company':[_0x223a03(0x1e0b),function(_0x195784){const _0x5a944c=_0x223a03;return _0x195784['resolve'](_0x5a944c(0x60d),{'id':_0xdd386d});}]}});}function _0x4e3937(_0x4dd281){const _0x48fafd=_0x4df53e;_0x1d6e23[_0x48fafd(0xe27)]({'controller':_0x48fafd(0xc73),'controllerAs':'vm','templateUrl':_0x4e0982,'parent':angular[_0x48fafd(0x1853)](_0x2c1d83[_0x48fafd(0x1ed9)]),'targetEvent':_0x4dd281,'clickOutsideToClose':!![],'resolve':{'lists':[_0x48fafd(0x1e0b),_0x48fafd(0x1774),function(_0x4c8b18,_0x574945){const _0x314186=_0x48fafd;return _0x574945[_0x314186(0x22b6)]('admin')?_0x4c8b18['resolve'](_0x314186(0x1b1f),{'fields':_0x314186(0x43c)}):_0x4c8b18[_0x314186(0x19a3)]('userProfile@getResources',{'id':_0x3ff5ab[_0x314186(0xe76)]['userProfileId'],'section':'Lists','fields':_0x314186(0x43c)});}]},'locals':{'contacts':_0x39f26f['rows'],'contact':_0x593bab}});}function _0x53ab36(){const _0x23e5bc=_0x4df53e;_0x20867f[_0x23e5bc(0xbe7)][_0x23e5bc(0x687)]({'id':_0x3ff5ab[_0x23e5bc(0x8b1)]['id']},_0x3ff5ab['contact'])['$promise'][_0x23e5bc(0x1cb0)](function(){const _0x2979eb=_0x23e5bc;_0x58707d[_0x2979eb(0x829)]({'title':_0x2979eb(0x1728),'msg':_0x3ff5ab[_0x2979eb(0x8b1)]['name']?_0x3ff5ab[_0x2979eb(0x8b1)][_0x2979eb(0x16b6)]+_0x2979eb(0x1068):''});})[_0x23e5bc(0x1cb0)](function(){const _0xdc8947=_0x23e5bc;return _0x20867f['cmContact'][_0xdc8947(0xe53)]({'id':_0x3ff5ab[_0xdc8947(0x8b1)]['id'],'ids':_0x3ff5ab[_0xdc8947(0x190)]})[_0xdc8947(0x1d77)];})['catch'](function(_0x284948){const _0x1ad92d=_0x23e5bc;_0x58707d['error']({'title':_0x284948[_0x1ad92d(0x291)]?_0x1ad92d(0xeb9)+_0x284948[_0x1ad92d(0x291)]+_0x1ad92d(0x1657)+_0x284948[_0x1ad92d(0xc22)]:'SYSTEM:UPDATEcontact','msg':_0x284948[_0x1ad92d(0x25c)]?JSON[_0x1ad92d(0x2701)](_0x284948[_0x1ad92d(0x25c)]):_0x284948['toString']()});});}function _0x123dfe(_0x37e309){return _0x37e309===null?undefined:new Date(_0x37e309);}function _0x4c34a4(){_0x371769['go']('app.contactmanager.contacts',{},{'reload':!![],'notify':!![]});}}const _0xb232f=_0x1c1ef1;;_0x13f6d8[_0x5537c6(0x15b6)]=[_0x5537c6(0x173),_0x5537c6(0xcb9),_0x5537c6(0x1714),'$q',_0x5537c6(0x2168),_0x5537c6(0x1046),_0x5537c6(0xe1a),_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x1986),_0x5537c6(0x1463),'file',_0x5537c6(0x355),_0x5537c6(0x8a5)];function _0x13f6d8(_0x4940b6,_0x3217b0,_0x1c0b96,_0x25cd1d,_0x3bf50f,_0x8349cc,_0x4a0c3f,_0x37ec59,_0x48e3a3,_0x4be3d3,_0x4877a4,_0x214ef5,_0x495a9b,_0x23254f){const _0x359047=_0x5537c6,_0x5a553c=this;_0x5a553c[_0x359047(0x1302)]=![],_0x5a553c['stopping']=!![],_0x5a553c['license']=_0x23254f,_0x5a553c[_0x359047(0x2d5)]=0x0,_0x5a553c[_0x359047(0x1205)]={'finish':![],'rows':0x0,'affectedRows':0x0,'failedRows':0x0,'duplicatedRows':0x0,'errors':[]},_0x5a553c['contactFields']=[{'key':_0x359047(0x1491),'required':!![]},{'key':_0x359047(0x1fbb),'required':![]},{'key':_0x359047(0x1340),'required':!![]},{'key':_0x359047(0x1ce6),'required':![]},{'key':_0x359047(0x1e19),'required':![]},{'key':_0x359047(0xdda),'required':![]},{'key':_0x359047(0x5c4),'required':![]},{'key':_0x359047(0x8e8),'required':![]},{'key':'street','required':![]},{'key':_0x359047(0xda7),'required':![]},{'key':'fax','required':![]}],_0x5a553c['motionBullFields']=[{'key':_0x359047(0x21ab),'required':![]},{'key':_0x359047(0x2251),'required':![]},{'key':_0x359047(0x521),'required':![]}],_0x5a553c[_0x359047(0x13d7)]={},_0x5a553c[_0x359047(0xcde)]=[],_0x5a553c[_0x359047(0x1046)]=_0x8349cc||{'rows':[],'count':0x0},_0x5a553c['companies']=_0x4a0c3f||{'rows':[],'count':0x0},_0x5a553c['customFields']={'rows':[],'count':0x0},_0x5a553c[_0x359047(0x355)]=_0x495a9b||[],_0x5a553c[_0x359047(0xda0)]=_0x197055,_0x5a553c[_0x359047(0x23ee)]=_0x2f9f52,_0x5a553c[_0x359047(0xd0e)]=_0x1c812c,_0x5a553c[_0x359047(0x2a3)]=_0x533b09,_0x5a553c['getArrayErrors']=_0x9222fe,_0x5a553c[_0x359047(0x35a)]=_0x1668ef;const _0x4fe784=_0x543b5a()()[_0x359047(0x2939)]();_0x4be3d3['on'](_0x359047(0x119e)+_0x4fe784,_0x1f9767);function _0x2f9f52(){const _0x5dc20c=_0x359047;return _0x48e3a3[_0x5dc20c(0x22f2)][_0x5dc20c(0x178f)]({'id':_0x5a553c[_0x5dc20c(0x20a6)]})[_0x5dc20c(0x1d77)][_0x5dc20c(0x1cb0)](function(_0x200db3){const _0x54cd0a=_0x5dc20c;_0x5a553c[_0x54cd0a(0x2242)]=_0x200db3;})[_0x5dc20c(0x1c4)](function(_0x557b25){const _0x27cd47=_0x5dc20c;console[_0x27cd47(0x218e)](_0x557b25);});}function _0x1c812c(){const _0x20c0f5=_0x359047;_0x5a553c[_0x20c0f5(0x1302)]=_0x543b5a()(),_0x48e3a3[_0x20c0f5(0xbe7)][_0x20c0f5(0x250c)]({'id':_0x214ef5[_0x20c0f5(0x179a)],'binding':_0x5a553c[_0x20c0f5(0x13d7)],'ListId':_0x5a553c['ListId'],'CompanyId':_0x5a553c['CompanyId'],'duplicates':_0x5a553c[_0x20c0f5(0x1132)],'socket_timestamp':_0x4fe784})[_0x20c0f5(0x1d77)]['then'](function(_0xea70e4){const _0x612382=_0x20c0f5;_0x5a553c[_0x612382(0x1276)]=![],_0xea70e4&&_0xea70e4[_0x612382(0x2d5)]&&(_0x5a553c['pid']=_0xea70e4[_0x612382(0x2d5)]);})['catch'](function(){const _0x1da968=_0x20c0f5;_0x5a553c[_0x1da968(0x1302)]=![],_0x37ec59['error']({'title':_0x1da968(0xea7),'msg':_0x1da968(0x1a2d)});});}function _0x1f9767(_0x5b0799){_0x3bf50f(function(){const _0x86984e=a0_0x5cbd;_0x5a553c['result'][_0x86984e(0x2214)]=_0x5b0799['rows'];if(_0x5b0799[_0x86984e(0x1a7c)])for(let _0x27a2d1=0x0;_0x27a2d1<_0x5b0799[_0x86984e(0x1a7c)][_0x86984e(0xfd0)];_0x27a2d1++){_0x5a553c[_0x86984e(0x1205)][_0x86984e(0x1a7c)][_0x86984e(0x2785)](_0x5b0799[_0x86984e(0x1a7c)][_0x27a2d1]);}if(_0x5b0799[_0x86984e(0x1af0)]){_0x5a553c[_0x86984e(0x1205)]['finish']=!![],_0x5a553c['result'][_0x86984e(0xf17)]=_0x5b0799[_0x86984e(0xf17)],_0x5a553c[_0x86984e(0x1205)][_0x86984e(0x1f2e)]=_0x5a553c['result'][_0x86984e(0x1a7c)][_0x86984e(0xfd0)];const _0x156628=_0x5a553c[_0x86984e(0x1205)][_0x86984e(0x2214)]-_0x5a553c[_0x86984e(0x1205)][_0x86984e(0xf17)]-_0x5a553c[_0x86984e(0x1205)]['errors'][_0x86984e(0xfd0)];_0x156628&&_0x156628>0x0&&(_0x5a553c[_0x86984e(0x1132)][_0x86984e(0xfd0)]?_0x5a553c['result'][_0x86984e(0x647)]=_0x156628:_0x5a553c[_0x86984e(0x1205)][_0x86984e(0x1f2e)]+=_0x156628),_0x5a553c[_0x86984e(0x1205)][_0x86984e(0x1851)]=_0x543b5a()()[_0x86984e(0xd42)](_0x5a553c[_0x86984e(0x1302)],'seconds');}});}function _0x533b09(){const _0x26841c=_0x359047,_0x4b259b=_0x495a9b;return _0x4b259b['push'](_0x26841c(0x2603)),_0x4b259b;}function _0x9222fe(){const _0x615f82=_0x359047,_0x16799c=[];for(let _0x58421f=0x0;_0x58421f<_0x5a553c['result']['errors'][_0x615f82(0xfd0)];_0x58421f+=0x1){const _0x1eb13d=_0x5a553c['result'][_0x615f82(0x1a7c)][_0x58421f][_0x615f82(0x1fec)];_0x1eb13d[_0x615f82(0x2603)]=_0x5a553c[_0x615f82(0x1205)][_0x615f82(0x1a7c)][_0x58421f][_0x615f82(0x155e)],_0x16799c['push'](_0x1eb13d);}return _0x16799c;}function _0x1668ef(){const _0x134d11=_0x359047;_0x5a553c[_0x134d11(0x1276)]=!![];if(_0x5a553c[_0x134d11(0x2d5)])return _0x48e3a3[_0x134d11(0x7be)][_0x134d11(0x2912)]({'pid':_0x5a553c[_0x134d11(0x2d5)]})[_0x134d11(0x1d77)][_0x134d11(0x1cb0)](function(){const _0x350384=_0x134d11;_0x37ec59['success']({'title':_0x350384(0xf9f),'msg':_0x350384(0x2578)});})[_0x134d11(0x1c4)](function(){const _0x3b59bb=_0x134d11;_0x37ec59['error']({'title':_0x3b59bb(0xe1b),'msg':'pid\x20is\x20unknown'});});}_0x4877a4['$on'](_0x359047(0x291c),function(){const _0x41995a=_0x359047;_0x4be3d3[_0x41995a(0xfb8)](_0x41995a(0x119e)+_0x4fe784);});function _0x197055(){const _0x27bf74=_0x359047;_0x4be3d3['removeAllListeners'](_0x27bf74(0x119e)+_0x4fe784),_0x3217b0[_0x27bf74(0x1426)]();}}const _0x2bdd18=_0x13f6d8;;_0x240625[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$scope',_0x5537c6(0x9bf),_0x5537c6(0x8b1),_0x5537c6(0x7eb),'lists',_0x5537c6(0x142b)];function _0x240625(_0x3cc57,_0x2d7913,_0x519d40,_0x511bc7,_0x42a620,_0x28b619,_0x2acd44){const _0x174c75=_0x5537c6,_0x25ec72=this;_0x25ec72[_0x174c75(0x1a7c)]=[],_0x25ec72['title']=_0x174c75(0x2574),_0x25ec72['contact']=angular['copy'](_0x511bc7),_0x25ec72[_0x174c75(0x1046)]=_0x28b619||[],_0x25ec72['change']=function(){const _0x4a39f3=_0x174c75;_0x25ec72['searchTerm']?_0x2acd44[_0x4a39f3(0xbe7)]['get']({'fields':_0x4a39f3(0x27bf),'filter':_0x25ec72[_0x4a39f3(0xe42)],'ListId':_0x511bc7['ListId']})[_0x4a39f3(0x1d77)]['then'](function(_0x275021){const _0x4c1eab=_0x4a39f3;_0x25ec72[_0x4c1eab(0x7eb)]=_0x275021['rows'];})[_0x4a39f3(0x1c4)](function(_0x1e80f5){const _0x34c142=_0x4a39f3;console[_0x34c142(0x218e)](_0x1e80f5);}):_0x25ec72[_0x4a39f3(0x7eb)]=[];},_0x25ec72[_0x174c75(0x5c3)]=_0xb56804,_0x25ec72[_0x174c75(0xda0)]=_0xa15f8a;function _0xb56804(_0x1f3060,_0x2fa366,_0x43db07){const _0x418c2c=_0x174c75,_0x15057a=_0x3cc57['confirm']({'skipHide':!![]})[_0x418c2c(0x1386)](_0x418c2c(0xff0))[_0x418c2c(0x1152)]('All\x20unfilled\x20values\x20of\x20the\x20contact\x20#'+_0x43db07['id']+_0x418c2c(0x12f3)+_0x2fa366['id']+'.')[_0x418c2c(0x728)](_0x1f3060)['ok']('Ok')[_0x418c2c(0x696)](_0x418c2c(0xde1));_0x3cc57['show'](_0x15057a)[_0x418c2c(0x1cb0)](function(){const _0x7ebdbd=_0x418c2c;return _0x2acd44[_0x7ebdbd(0xbe7)][_0x7ebdbd(0x9c1)]({'to':_0x43db07['id'],'from':_0x2fa366['id']})['$promise'];})[_0x418c2c(0x1cb0)](function(_0x3a5281){const _0x14764d=_0x418c2c;_0x3cc57['hide']({'new':_0x3a5281,'to':_0x43db07,'from':_0x2fa366}),_0x39641b()[_0x14764d(0x152a)](_0x42a620,{'id':_0x43db07['id']}),_0x39641b()[_0x14764d(0x152a)](_0x42a620,{'id':_0x2fa366['id']}),_0x42a620[_0x14764d(0xf63)](_0x3a5281);})[_0x418c2c(0x1cb0)](function(){_0x519d40['success']({'title':'Contact\x20properly\x20merged!'});})[_0x418c2c(0x1c4)](function(_0x5238fa){const _0xee4abf=_0x418c2c;_0x5238fa&&_0x5238fa['status']&&_0x519d40[_0xee4abf(0x218e)]({'title':_0x5238fa[_0xee4abf(0x291)]?_0xee4abf(0xeb9)+_0x5238fa[_0xee4abf(0x291)]+_0xee4abf(0x1657)+_0x5238fa[_0xee4abf(0xc22)]:_0xee4abf(0x236e),'msg':_0x5238fa[_0xee4abf(0x25c)]?JSON[_0xee4abf(0x2701)](_0x5238fa[_0xee4abf(0x25c)]):_0x5238fa[_0xee4abf(0x147f)]()});});}function _0xa15f8a(){_0x3cc57['hide']();}}const _0x16ad2a=_0x240625;;const _0x4fe98c=_0x5074a3['p']+_0x5537c6(0xa58);;const _0x202870=_0x5074a3['p']+_0x5537c6(0x246b);;_0x2ad580[_0x5537c6(0x15b6)]=['$stateProvider'];function _0x2ad580(_0x144403){const _0x5250e5=_0x5537c6;_0x144403[_0x5250e5(0x27e0)](_0x5250e5(0x27ff),{'url':'/contacts?ListId&CompanyId','views':{'content@app':{'templateUrl':_0x4fe98c,'controller':_0x5250e5(0x36e)}},'resolve':{'contacts':['apiResolver',_0x5250e5(0x1774),_0x5250e5(0x225c),function(_0x12ff39,_0x14acfe,_0x1ee040){const _0x43403d=_0x5250e5;return _0x14acfe[_0x43403d(0x22b6)](_0x43403d(0x1c60))?_0x12ff39[_0x43403d(0x19a3)](_0x43403d(0x1095),_0x39641b()[_0x43403d(0x9c1)]({'includeAll':!![],'sort':_0x43403d(0x282),'limit':0xa,'offset':0x0},_0x1ee040)):_0x12ff39[_0x43403d(0x19a3)](_0x43403d(0x12da),_0x39641b()[_0x43403d(0x9c1)]({'id':_0x14acfe[_0x43403d(0x21e8)]()[_0x43403d(0x13c1)],'section':_0x43403d(0x20a4),'includeAll':!![],'sort':_0x43403d(0x282),'limit':0xa,'offset':0x0},_0x1ee040));}],'lists':[_0x5250e5(0x1e0b),_0x5250e5(0x1774),function(_0x4bd145,_0x3bbd31){const _0x38737c=_0x5250e5;return _0x3bbd31[_0x38737c(0x22b6)](_0x38737c(0x1c60))?_0x4bd145[_0x38737c(0x19a3)](_0x38737c(0x1b1f),{'fields':'id,name','sort':_0x38737c(0x16b6),'nolimit':!![]}):_0x4bd145[_0x38737c(0x19a3)](_0x38737c(0x12da),{'id':_0x3bbd31[_0x38737c(0x21e8)]()[_0x38737c(0x13c1)],'section':_0x38737c(0x191f),'fields':_0x38737c(0x43c),'sort':_0x38737c(0x282),'nolimit':!![]});}],'listsMap':[_0x5250e5(0x1046),function(_0x3d04e4){const _0x21c426=_0x5250e5,_0x5f32a9={};for(let _0x4065f1=0x0;_0x4065f1<_0x3d04e4[_0x21c426(0x2214)][_0x21c426(0xfd0)];_0x4065f1++){_0x5f32a9[_0x3d04e4['rows'][_0x4065f1]['id']]=_0x3d04e4['rows'][_0x4065f1][_0x21c426(0x16b6)];}return _0x5f32a9;}],'companies':[_0x5250e5(0x1e0b),'Auth',function(_0x56a2ae,_0xbef48c){const _0x2ddc79=_0x5250e5;return _0xbef48c[_0x2ddc79(0x22b6)](_0x2ddc79(0x1c60))?_0x56a2ae[_0x2ddc79(0x19a3)]('cmCompany@get',{'fields':_0x2ddc79(0x43c),'sort':_0x2ddc79(0x16b6),'nolimit':!![]}):_0x56a2ae['resolve'](_0x2ddc79(0x12da),{'id':_0xbef48c[_0x2ddc79(0x21e8)]()[_0x2ddc79(0x13c1)],'section':_0x2ddc79(0x963),'fields':_0x2ddc79(0x43c),'sort':_0x2ddc79(0x16b6),'nolimit':!![]});}],'companiesMap':[_0x5250e5(0xe1a),function(_0x89b624){const _0x323832=_0x5250e5,_0x31f38a={};for(let _0x5e4ffa=0x0;_0x5e4ffa<_0x89b624[_0x323832(0x2214)]['length'];_0x5e4ffa++){_0x31f38a[_0x89b624['rows'][_0x5e4ffa]['id']]=_0x89b624[_0x323832(0x2214)][_0x5e4ffa][_0x323832(0x16b6)];}return _0x31f38a;}],'tags':[_0x5250e5(0x1e0b),_0x5250e5(0x1774),function(_0x444267,_0x7477b5){const _0x529825=_0x5250e5;return _0x7477b5['hasRole'](_0x529825(0x1c60))?_0x444267[_0x529825(0x19a3)](_0x529825(0x1f1),{'fields':_0x529825(0x43c),'sort':'name','nolimit':!![]}):_0x444267[_0x529825(0x19a3)](_0x529825(0x12da),{'id':_0x7477b5[_0x529825(0x21e8)]()[_0x529825(0x13c1)],'section':_0x529825(0x190),'fields':'id,name','sort':_0x529825(0x16b6),'nolimit':!![]});}],'userProfile':['apiResolver',_0x5250e5(0x1774),function(_0x27ef93,_0x49cdfa){const _0x5b05d6=_0x5250e5;return _0x27ef93[_0x5b05d6(0x19a3)](_0x5b05d6(0x9ae),{'fields':_0x5b05d6(0x279),'id':_0x49cdfa[_0x5b05d6(0x21e8)]()['userProfileId']});}],'userProfileSection':[_0x5250e5(0x1e0b),'Auth',function(_0x51617f,_0x3bced0){const _0x59cd15=_0x5250e5;return _0x51617f[_0x59cd15(0x19a3)]('userProfileSection@get',{'fields':_0x59cd15(0x1f5f),'userProfileId':_0x3bced0[_0x59cd15(0x21e8)]()[_0x59cd15(0x13c1)],'sectionId':0x12f});}]},'authenticate':!![],'permissionId':0x12f,'bodyClass':_0x5250e5(0x708)})[_0x5250e5(0x27e0)](_0x5250e5(0xd3e),{'url':_0x5250e5(0x1bf2),'params':{'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x202870,'controller':_0x5250e5(0x8dd)}},'resolve':{'contact':[_0x5250e5(0x1e0b),_0x5250e5(0x225c),function(_0x306c39,_0x4d84b0){const _0x569ff0=_0x5250e5;return _0x306c39[_0x569ff0(0x19a3)](_0x569ff0(0x1095),{'id':_0x4d84b0['id']})[_0x569ff0(0x1cb0)](function(_0x2df1e0){const _0x5afe40=_0x569ff0;return _0x2df1e0['dateOfBirth']&&(_0x2df1e0[_0x5afe40(0xdda)]=new Date(_0x2df1e0[_0x5afe40(0xdda)])),_0x2df1e0;})[_0x569ff0(0x1c4)](function(_0x159c30){const _0x413d4e=_0x569ff0;console[_0x413d4e(0x218e)](_0x159c30);});}],'hoppers':['apiResolver','$stateParams',function(_0x3de3c7,_0x19b52a){const _0x105b6e=_0x5250e5;return _0x3de3c7[_0x105b6e(0x19a3)](_0x105b6e(0x1e27),{'id':_0x19b52a['id'],'fields':_0x105b6e(0x2876),'sort':'-updatedAt','limit':0xa,'offset':0x0});}],'finals':[_0x5250e5(0x1e0b),_0x5250e5(0x225c),function(_0xe143e3,_0x5ec38c){const _0x3c36c8=_0x5250e5;return _0xe143e3[_0x3c36c8(0x19a3)](_0x3c36c8(0x1874),{'id':_0x5ec38c['id'],'fields':'id,uniqueid,calleridnum,statedesc,starttime,disposition','sort':_0x3c36c8(0x282),'limit':0xa,'offset':0x0});}],'histories':[_0x5250e5(0x1e0b),_0x5250e5(0x225c),function(_0x13f644,_0x260d4){const _0x1a7eec=_0x5250e5;return _0x13f644[_0x1a7eec(0x19a3)](_0x1a7eec(0x441),{'id':_0x260d4['id'],'fields':_0x1a7eec(0x30c),'sort':_0x1a7eec(0x282),'limit':0xa,'offset':0x0});}],'jscriptySessions':['apiResolver',_0x5250e5(0x225c),function(_0x25d746,_0x4353be){const _0xace20d=_0x5250e5;return _0x25d746[_0xace20d(0x19a3)](_0xace20d(0x7dd),{'id':_0x4353be['id'],'fields':_0xace20d(0x1c5a),'sort':_0xace20d(0x104c),'limit':0xa,'offset':0x0});}],'companies':['apiResolver',_0x5250e5(0x1774),function(_0xbab3c,_0x528081){const _0x215de9=_0x5250e5;return _0x528081[_0x215de9(0x22b6)](_0x215de9(0x1c60))?_0xbab3c[_0x215de9(0x19a3)](_0x215de9(0x60d)):_0xbab3c[_0x215de9(0x19a3)]('userProfile@getResources',{'id':_0x528081[_0x215de9(0x21e8)]()['userProfileId'],'section':_0x215de9(0x963)});}],'lists':['apiResolver',_0x5250e5(0x1774),function(_0x421ffc,_0x3f8c0c){const _0x42fb84=_0x5250e5;return _0x3f8c0c['hasRole']('admin')?_0x421ffc['resolve'](_0x42fb84(0x1b1f),{'fields':'id,name','sort':_0x42fb84(0x282),'nolimit':!![]}):_0x421ffc[_0x42fb84(0x19a3)](_0x42fb84(0x12da),{'id':_0x3f8c0c[_0x42fb84(0x21e8)]()[_0x42fb84(0x13c1)],'section':'Lists','fields':_0x42fb84(0x43c),'sort':_0x42fb84(0x282),'nolimit':!![]});}],'customFields':[_0x5250e5(0x1e0b),_0x5250e5(0x8b1),function(_0x14f092,_0xdc9412){const _0x1d6cfb=_0x5250e5;return _0xdc9412['ListId']?_0x14f092[_0x1d6cfb(0x19a3)](_0x1d6cfb(0x1269),{'id':_0xdc9412['ListId']}):null;}],'tags':[_0x5250e5(0x1e0b),'Auth',function(_0x3e0bfa,_0xa9bf62){const _0x7e2053=_0x5250e5;return _0xa9bf62[_0x7e2053(0x22b6)]('admin')?_0x3e0bfa['resolve']('tag@get'):_0x3e0bfa[_0x7e2053(0x19a3)](_0x7e2053(0x12da),{'id':_0xa9bf62[_0x7e2053(0x21e8)]()[_0x7e2053(0x13c1)],'section':_0x7e2053(0x190)});}],'userProfileSection':[_0x5250e5(0x1e0b),_0x5250e5(0x1774),function(_0x34f036,_0x313283){const _0x2d9d3d=_0x5250e5;return _0x34f036[_0x2d9d3d(0x19a3)](_0x2d9d3d(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x313283['getCurrentUser']()[_0x2d9d3d(0x13c1)],'sectionId':0x12f});}]},'authenticate':!![],'permissionId':0x12f,'bodyClass':_0x5250e5(0x708)});}angular[_0x5537c6(0x9ab)](_0x5537c6(0x27ff),[])[_0x5537c6(0xa60)](_0x2ad580)[_0x5537c6(0x6e5)](_0x5537c6(0x27d4),_0x5a48bc)[_0x5537c6(0x6e5)](_0x5537c6(0x21ba),_0x47b913)[_0x5537c6(0x6e5)]('DuplicateContactDialogController',_0x31aa26)['controller'](_0x5537c6(0x1ee1),_0x259d3a)[_0x5537c6(0x6e5)](_0x5537c6(0x1358),_0xb232f)[_0x5537c6(0x6e5)]('ImportContactsDialogController',_0x2bdd18)[_0x5537c6(0x6e5)](_0x5537c6(0x219f),_0x16ad2a);;const _0x240321=_0x5074a3['p']+_0x5537c6(0x14f0);;_0xdae7a7['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),'$document',_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0xe1a),_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x142b),_0x5537c6(0xde8),_0x5537c6(0x9bf),'Auth',_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0xdae7a7(_0x202360,_0xc9a07d,_0x353888,_0x4a8b37,_0x17c600,_0xe4bd6c,_0x56a8eb,_0x21a93e,_0x544806,_0x274b27,_0x14f8cc,_0x2a6b19,_0x4035fc,_0x3fd3eb,_0x46dd19,_0x1d59f9,_0x7ec216){const _0x2f55ee=_0x5537c6,_0x56aa38=this;_0x56aa38['license']=_0x1d59f9,_0x56aa38['setting']=_0x7ec216,_0x56aa38[_0x2f55ee(0xe76)]=_0x46dd19[_0x2f55ee(0x21e8)](),_0x56aa38[_0x2f55ee(0xe1a)]=_0x544806||{'count':0x0,'rows':[]},_0x56aa38['userProfile']=_0x274b27,_0x56aa38[_0x2f55ee(0x2199)]=_0x14f8cc&&_0x14f8cc[_0x2f55ee(0x184d)]==0x1?_0x14f8cc[_0x2f55ee(0x2214)][0x0]:null,_0x56aa38[_0x2f55ee(0x1b1a)]=_0x46dd19[_0x2f55ee(0x14ea)](_0x56aa38[_0x2f55ee(0x2199)]?_0x56aa38[_0x2f55ee(0x2199)]['crudPermissions']:null),_0x56aa38[_0x2f55ee(0xc83)]=_0x2f55ee(0xe1a),_0x56aa38[_0x2f55ee(0x1d20)]='',_0x56aa38[_0x2f55ee(0x1cdf)]=null,_0x56aa38[_0x2f55ee(0x1fe2)]=[],_0x56aa38[_0x2f55ee(0xae2)]={'fields':_0x2f55ee(0x262b),'sort':_0x2f55ee(0x282),'limit':0xa,'page':0x1},_0x56aa38['editstate']=_0x40e7a2,_0x56aa38[_0x2f55ee(0x24a)]=_0x1cbe83,_0x56aa38['deleteconfirm']=_0x5522e7,_0x56aa38[_0x2f55ee(0x829)]=_0x3699a1,_0x56aa38[_0x2f55ee(0x143e)]=_0x7ea574,_0x56aa38['createOrEditCompany']=_0x32d34b,_0x56aa38[_0x2f55ee(0x1092)]=_0x27bb64,_0x56aa38['exportSelectedCompanies']=_0xb42b46,_0x56aa38[_0x2f55ee(0xed0)]=_0x76205f,_0x56aa38['deselectCompanies']=_0x3932ff,_0x56aa38[_0x2f55ee(0x2755)]=_0x2f4a2a;function _0x40e7a2(_0x30237b){const _0x22b546=_0x2f55ee;_0x353888['go'](_0x22b546(0x1d5d),{'id':_0x30237b['id'],'company':_0x30237b,'crudPermissions':_0x56aa38[_0x22b546(0x1b1a)]});}function _0x1cbe83(_0x515e9b){const _0x16f13c=_0x2f55ee;if(_0x46dd19[_0x16f13c(0x22b6)](_0x16f13c(0x1c60)))_0x353888['go'](_0x16f13c(0x27ff),{'CompanyId':_0x515e9b['id']});else return _0x2a6b19[_0x16f13c(0x2199)][_0x16f13c(0xbf7)]({'userProfileId':_0x46dd19[_0x16f13c(0x21e8)]()[_0x16f13c(0x13c1)],'sectionId':0x12f})[_0x16f13c(0x1d77)][_0x16f13c(0x1cb0)](function(_0x523f08){const _0x5ea0e4=_0x16f13c,_0x4d18b2=_0x523f08&&_0x523f08[_0x5ea0e4(0x2214)]?_0x523f08[_0x5ea0e4(0x2214)][0x0]:null;_0x4d18b2&&_0x4d18b2[_0x5ea0e4(0x281c)]?_0x353888['go'](_0x5ea0e4(0x27ff),{'CompanyId':_0x515e9b['id']}):_0x3fd3eb[_0x5ea0e4(0x28c7)]({'title':_0x21a93e['instant'](_0x5ea0e4(0x370)),'msg':_0x21a93e[_0x5ea0e4(0x25cc)](_0x5ea0e4(0x33a))});})['catch'](function(_0xd5fe4a){const _0x42012d=_0x16f13c;_0x3fd3eb[_0x42012d(0x218e)]({'title':_0xd5fe4a['status']?_0x42012d(0xeb9)+_0xd5fe4a[_0x42012d(0x291)]+'\x20-\x20'+_0xd5fe4a[_0x42012d(0xc22)]:'USERPROFILE:GET_SECTION','msg':_0xd5fe4a[_0x42012d(0x291)]?JSON[_0x42012d(0x2701)](_0xd5fe4a[_0x42012d(0x25c)]):_0xd5fe4a[_0x42012d(0x147f)]()});});}function _0x5522e7(_0x1e72c9,_0x5a3ed6){const _0x5504b0=_0x2f55ee,_0x3dba92=_0x17c600['confirm']()[_0x5504b0(0x1386)](_0x5504b0(0x140b)+_0x39641b()[_0x5504b0(0xa75)](_0x5504b0(0xe5f))+'?')['htmlContent'](_0x5504b0(0x204d)+(_0x1e72c9[_0x5504b0(0x16b6)]||'company')+_0x5504b0(0x1200)+_0x5504b0(0x1b6))[_0x5504b0(0x15ad)](_0x5504b0(0x255b))['targetEvent'](_0x5a3ed6)['ok']('OK')[_0x5504b0(0x696)](_0x5504b0(0x24ba));_0x17c600[_0x5504b0(0xe27)](_0x3dba92)[_0x5504b0(0x1cb0)](function(){_0x27bb64(_0x1e72c9);},function(){const _0x2ef457=_0x5504b0;console[_0x2ef457(0x1b4f)](_0x2ef457(0x24ba));});}let _0x291e6a=!![],_0x34da72=0x1;_0x202360[_0x2f55ee(0x614)]('vm.query.filter',function(_0x5b7179,_0x21ae9e){const _0x453270=_0x2f55ee;_0x291e6a?_0x56a8eb(function(){_0x291e6a=![];}):(!_0x21ae9e&&(_0x34da72=_0x56aa38[_0x453270(0xae2)]['page']),_0x5b7179!==_0x21ae9e&&(_0x56aa38['query']['page']=0x1),!_0x5b7179&&(_0x56aa38['query'][_0x453270(0x1c7b)]=_0x34da72),_0x56aa38[_0x453270(0x143e)]());});function _0x3699a1(_0x3edece){const _0x160ab2=_0x2f55ee;_0x56aa38[_0x160ab2(0xe1a)]=_0x3edece||{'count':0x0,'rows':[]};}function _0x7ea574(){const _0x27c7ae=_0x2f55ee;_0x56aa38[_0x27c7ae(0xae2)][_0x27c7ae(0x184b)]=(_0x56aa38[_0x27c7ae(0xae2)][_0x27c7ae(0x1c7b)]-0x1)*_0x56aa38['query'][_0x27c7ae(0x236)],_0x46dd19[_0x27c7ae(0x22b6)]('admin')?_0x56aa38[_0x27c7ae(0x2061)]=_0x2a6b19['cmCompany']['get'](_0x56aa38[_0x27c7ae(0xae2)],_0x3699a1)[_0x27c7ae(0x1d77)]:(_0x56aa38[_0x27c7ae(0xae2)]['id']=_0x56aa38[_0x27c7ae(0x44a)]['id'],_0x56aa38[_0x27c7ae(0xae2)][_0x27c7ae(0x1f74)]=_0x27c7ae(0x963),_0x56aa38[_0x27c7ae(0x2061)]=_0x2a6b19['userProfile'][_0x27c7ae(0x1810)](_0x56aa38[_0x27c7ae(0xae2)],_0x3699a1)['$promise']);}function _0x32d34b(_0x536999,_0x1a261d){const _0x74c7eb=_0x2f55ee;_0x17c600['show']({'controller':_0x74c7eb(0xeec),'controllerAs':'vm','templateUrl':_0x240321,'parent':angular[_0x74c7eb(0x1853)](_0xe4bd6c[_0x74c7eb(0x1ed9)]),'targetEvent':_0x536999,'clickOutsideToClose':!![],'locals':{'company':_0x1a261d,'companies':_0x56aa38[_0x74c7eb(0xe1a)][_0x74c7eb(0x2214)],'license':_0x56aa38['license'],'setting':_0x56aa38[_0x74c7eb(0x9ca)],'crudPermissions':_0x56aa38['crudPermissions']}});}function _0x27bb64(_0x2ab589){const _0x49fa90=_0x2f55ee;_0x2a6b19['cmCompany'][_0x49fa90(0x111d)]({'id':_0x2ab589['id']})[_0x49fa90(0x1d77)][_0x49fa90(0x1cb0)](function(){const _0x11ca4e=_0x49fa90;_0x39641b()[_0x11ca4e(0x152a)](_0x56aa38[_0x11ca4e(0xe1a)][_0x11ca4e(0x2214)],{'id':_0x2ab589['id']}),_0x56aa38[_0x11ca4e(0xe1a)][_0x11ca4e(0x184d)]-=0x1,!_0x56aa38[_0x11ca4e(0xe1a)][_0x11ca4e(0x2214)][_0x11ca4e(0xfd0)]&&_0x56aa38[_0x11ca4e(0x143e)](),_0x3fd3eb[_0x11ca4e(0x829)]({'title':_0x39641b()[_0x11ca4e(0xa75)]('Company')+_0x11ca4e(0x2663),'msg':_0x2ab589['name']?_0x2ab589[_0x11ca4e(0x16b6)]+_0x11ca4e(0x3f5):''});})['catch'](function(_0x15cad9){const _0xf85b29=_0x49fa90;if(_0x15cad9[_0xf85b29(0x25c)]&&_0x15cad9[_0xf85b29(0x25c)]['errors']&&_0x15cad9[_0xf85b29(0x25c)][_0xf85b29(0x1a7c)]['length']){_0x56aa38['errors']=_0x15cad9[_0xf85b29(0x25c)][_0xf85b29(0x1a7c)]||[{'message':_0x15cad9[_0xf85b29(0x147f)](),'type':_0xf85b29(0x423)}];for(let _0x2e23fc=0x0;_0x2e23fc<_0x15cad9[_0xf85b29(0x25c)][_0xf85b29(0x1a7c)][_0xf85b29(0xfd0)];_0x2e23fc++){_0x3fd3eb['error']({'title':_0x15cad9[_0xf85b29(0x25c)]['errors'][_0x2e23fc]['type'],'msg':_0x15cad9[_0xf85b29(0x25c)][_0xf85b29(0x1a7c)][_0x2e23fc][_0xf85b29(0x155e)]});}}else _0x3fd3eb[_0xf85b29(0x218e)]({'title':_0x15cad9[_0xf85b29(0x291)]?_0xf85b29(0xeb9)+_0x15cad9[_0xf85b29(0x291)]+_0xf85b29(0x1657)+_0x15cad9[_0xf85b29(0xc22)]:_0xf85b29(0x423),'msg':_0x15cad9[_0xf85b29(0x25c)]?JSON[_0xf85b29(0x2701)](_0x15cad9['data'][_0xf85b29(0x155e)]):_0x15cad9[_0xf85b29(0x155e)]||_0x15cad9['toString']()});});}function _0xb42b46(){const _0x17e612=_0x2f55ee,_0x23208d=angular[_0x17e612(0x17fe)](_0x56aa38[_0x17e612(0x1fe2)]);return _0x56aa38[_0x17e612(0x1fe2)]=[],_0x23208d;}function _0x76205f(_0x487606){const _0x594a41=_0x2f55ee,_0x2dd230=_0x17c600[_0x594a41(0x1551)]()[_0x594a41(0x1386)](_0x594a41(0x1c0e))[_0x594a41(0x49e)](''+_0x56aa38[_0x594a41(0x1fe2)][_0x594a41(0xfd0)]+_0x594a41(0x1d6c)+_0x594a41(0x1b6))[_0x594a41(0x15ad)](_0x594a41(0x404))[_0x594a41(0x728)](_0x487606)['ok']('OK')['cancel'](_0x594a41(0x24ba));_0x17c600[_0x594a41(0xe27)](_0x2dd230)['then'](function(){const _0x5edd2a=_0x594a41;_0x56aa38[_0x5edd2a(0x1fe2)]['forEach'](function(_0x307165){_0x27bb64(_0x307165);}),_0x56aa38[_0x5edd2a(0x1fe2)]=[];});}function _0x3932ff(){const _0x2b1ebc=_0x2f55ee;_0x56aa38[_0x2b1ebc(0x1fe2)]=[];}function _0x2f4a2a(){const _0x2e3bee=_0x2f55ee;_0x56aa38[_0x2e3bee(0x1fe2)]=_0x56aa38['companies'][_0x2e3bee(0x2214)];}}const _0x4ea084=_0xdae7a7;;_0x2a5f6e[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),'$mdDialog','$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),'companies',_0x5537c6(0xe5f),'api',_0x5537c6(0x1774),'license','setting','crudPermissions'];function _0x2a5f6e(_0x850910,_0x1f155e,_0x1924e5,_0x4399c1,_0x549c17,_0x9238c5,_0x12122d,_0x51eafe,_0x1b81ba,_0x408eab,_0x28a804,_0xe3e13a,_0x3db0f1,_0x26a9b9){const _0x53f610=_0x5537c6,_0x22ab46=this;_0x22ab46[_0x53f610(0xe76)]=_0x28a804[_0x53f610(0x21e8)](),_0x22ab46[_0x53f610(0x1a7c)]=[],_0x22ab46['setting']=_0x3db0f1,_0x22ab46[_0x53f610(0x8a5)]=_0xe3e13a,_0x22ab46[_0x53f610(0x1b1a)]=_0x26a9b9,_0x22ab46[_0x53f610(0xf4c)]={},_0x22ab46['passwordPattern']=_0x22ab46['setting']&&_0x22ab46['setting'][_0x53f610(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x22ab46[_0x53f610(0x1386)]=_0x53f610(0x326),_0x22ab46['company']=angular[_0x53f610(0x17fe)](_0x1b81ba),_0x22ab46[_0x53f610(0xe1a)]=_0x51eafe,_0x22ab46[_0x53f610(0xba4)]=![];!_0x22ab46[_0x53f610(0xe5f)]&&(_0x22ab46[_0x53f610(0xe5f)]={},_0x22ab46[_0x53f610(0x1386)]='CONTACTMANAGER.NEW_COMPANY',_0x22ab46[_0x53f610(0xba4)]=!![]);_0x22ab46[_0x53f610(0x2271)]=_0x5df1ff,_0x22ab46[_0x53f610(0x66e)]=_0x3dae1d,_0x22ab46['deleteCompany']=_0x2151a7,_0x22ab46[_0x53f610(0x2c4)]=_0x5e86c5,_0x22ab46[_0x53f610(0xda0)]=_0x340156;function _0x5df1ff(){const _0x1c55d5=_0x53f610;_0x22ab46[_0x1c55d5(0x1a7c)]=[],_0x408eab['cmCompany'][_0x1c55d5(0x1c3f)](_0x22ab46[_0x1c55d5(0xe5f)])[_0x1c55d5(0x1d77)]['then'](function(_0x573dd3){const _0x1bc475=_0x1c55d5;_0x22ab46[_0x1bc475(0xe1a)]['unshift'](_0x573dd3[_0x1bc475(0x19b2)]()),_0x12122d[_0x1bc475(0x829)]({'title':_0x1bc475(0xab1),'msg':_0x22ab46[_0x1bc475(0xe5f)]['name']?_0x22ab46['company']['name']+_0x1bc475(0x470):''}),_0x340156(_0x573dd3);})[_0x1c55d5(0x1c4)](function(_0x4fe77e){const _0x4cc954=_0x1c55d5;if(_0x4fe77e['data']&&_0x4fe77e[_0x4cc954(0x25c)]['errors']&&_0x4fe77e[_0x4cc954(0x25c)][_0x4cc954(0x1a7c)][_0x4cc954(0xfd0)]){_0x22ab46['errors']=_0x4fe77e[_0x4cc954(0x25c)][_0x4cc954(0x1a7c)]||[{'message':_0x4fe77e[_0x4cc954(0x147f)](),'type':_0x4cc954(0x180e)}];for(let _0x57bfdb=0x0;_0x57bfdb<_0x4fe77e['data']['errors'][_0x4cc954(0xfd0)];_0x57bfdb+=0x1){_0x12122d[_0x4cc954(0x218e)]({'title':_0x4fe77e['data'][_0x4cc954(0x1a7c)][_0x57bfdb][_0x4cc954(0x66a)],'msg':_0x4fe77e[_0x4cc954(0x25c)]['errors'][_0x57bfdb][_0x4cc954(0x155e)]});}}else _0x12122d[_0x4cc954(0x218e)]({'title':_0x4fe77e['status']?'API:'+_0x4fe77e['status']+_0x4cc954(0x1657)+_0x4fe77e[_0x4cc954(0xc22)]:'api.cmCompany.save','msg':_0x4fe77e[_0x4cc954(0x25c)]?JSON[_0x4cc954(0x2701)](_0x4fe77e['data']['message']):_0x4fe77e[_0x4cc954(0x147f)]()});});}function _0x3dae1d(){const _0x25bf55=_0x53f610;_0x22ab46[_0x25bf55(0x1a7c)]=[],_0x408eab[_0x25bf55(0x107a)][_0x25bf55(0x687)]({'id':_0x22ab46['company']['id']},_0x22ab46[_0x25bf55(0xe5f)])['$promise'][_0x25bf55(0x1cb0)](function(_0x567999){const _0x501bca=_0x25bf55,_0x50e1c4=_0x39641b()[_0x501bca(0x13b4)](_0x22ab46[_0x501bca(0xe1a)],{'id':_0x567999['id']});_0x50e1c4&&_0x39641b()['merge'](_0x50e1c4,_0x39641b()['pick'](_0x567999['toJSON'](),_0x39641b()[_0x501bca(0x1be5)](_0x50e1c4))),_0x12122d[_0x501bca(0x829)]({'title':_0x501bca(0x13b2),'msg':_0x22ab46[_0x501bca(0xe5f)]['name']?_0x22ab46['company'][_0x501bca(0x16b6)]+'\x20has\x20been\x20saved!':''}),_0x340156(_0x567999);})[_0x25bf55(0x1c4)](function(_0x5b1ed0){const _0x13e35a=_0x25bf55;if(_0x5b1ed0[_0x13e35a(0x25c)]&&_0x5b1ed0['data']['errors']&&_0x5b1ed0[_0x13e35a(0x25c)]['errors'][_0x13e35a(0xfd0)]){_0x22ab46[_0x13e35a(0x1a7c)]=_0x5b1ed0[_0x13e35a(0x25c)][_0x13e35a(0x1a7c)]||[{'message':_0x5b1ed0[_0x13e35a(0x147f)](),'type':'api.cmCompany.update'}];for(let _0x3c6c54=0x0;_0x3c6c54<_0x5b1ed0[_0x13e35a(0x25c)][_0x13e35a(0x1a7c)]['length'];_0x3c6c54++){_0x12122d['error']({'title':_0x5b1ed0[_0x13e35a(0x25c)]['errors'][_0x3c6c54][_0x13e35a(0x66a)],'msg':_0x5b1ed0[_0x13e35a(0x25c)][_0x13e35a(0x1a7c)][_0x3c6c54][_0x13e35a(0x155e)]});}}else _0x12122d[_0x13e35a(0x218e)]({'title':_0x5b1ed0[_0x13e35a(0x291)]?_0x13e35a(0xeb9)+_0x5b1ed0['status']+'\x20-\x20'+_0x5b1ed0['statusText']:_0x13e35a(0xac2),'msg':_0x5b1ed0['data']?JSON[_0x13e35a(0x2701)](_0x5b1ed0[_0x13e35a(0x25c)][_0x13e35a(0x155e)]):_0x5b1ed0[_0x13e35a(0x147f)]()});});}function _0x2151a7(_0x2760da){const _0x2a5020=_0x53f610;_0x22ab46[_0x2a5020(0x1a7c)]=[];const _0x41da21=_0x4399c1[_0x2a5020(0x1551)]()[_0x2a5020(0x1386)]('Are\x20you\x20sure?')['content'](_0x2a5020(0x19b))[_0x2a5020(0x15ad)](_0x2a5020(0x2d6))['ok'](_0x2a5020(0x2594))[_0x2a5020(0x696)](_0x2a5020(0xde1))[_0x2a5020(0x728)](_0x2760da);_0x4399c1[_0x2a5020(0xe27)](_0x41da21)[_0x2a5020(0x1cb0)](function(){const _0x2e65db=_0x2a5020;_0x408eab[_0x2e65db(0x107a)]['delete']({'id':_0x22ab46[_0x2e65db(0xe5f)]['id']})[_0x2e65db(0x1d77)][_0x2e65db(0x1cb0)](function(){const _0x3a76e3=_0x2e65db;_0x39641b()[_0x3a76e3(0x152a)](_0x22ab46[_0x3a76e3(0xe1a)],{'id':_0x22ab46['company']['id']}),_0x12122d[_0x3a76e3(0x829)]({'title':_0x3a76e3(0x2695),'msg':(_0x22ab46[_0x3a76e3(0xe5f)]['name']||_0x3a76e3(0xe5f))+_0x3a76e3(0x3f5)}),_0x340156(_0x22ab46[_0x3a76e3(0xe5f)]);})[_0x2e65db(0x1c4)](function(_0x85ad68){const _0x2a42a3=_0x2e65db;if(_0x85ad68[_0x2a42a3(0x25c)]&&_0x85ad68['data'][_0x2a42a3(0x1a7c)]&&_0x85ad68[_0x2a42a3(0x25c)][_0x2a42a3(0x1a7c)][_0x2a42a3(0xfd0)]){_0x22ab46[_0x2a42a3(0x1a7c)]=_0x85ad68[_0x2a42a3(0x25c)]['errors']||[{'message':_0x85ad68[_0x2a42a3(0x147f)](),'type':_0x2a42a3(0x2313)}];for(let _0x530634=0x0;_0x530634<_0x85ad68[_0x2a42a3(0x25c)][_0x2a42a3(0x1a7c)][_0x2a42a3(0xfd0)];_0x530634++){_0x12122d[_0x2a42a3(0x218e)]({'title':_0x85ad68[_0x2a42a3(0x25c)]['errors'][_0x530634]['type'],'msg':_0x85ad68[_0x2a42a3(0x25c)][_0x2a42a3(0x1a7c)][_0x530634][_0x2a42a3(0x155e)]});}}else _0x12122d[_0x2a42a3(0x218e)]({'title':_0x85ad68['status']?_0x2a42a3(0xeb9)+_0x85ad68[_0x2a42a3(0x291)]+_0x2a42a3(0x1657)+_0x85ad68[_0x2a42a3(0xc22)]:'api.cmCompany.delete','msg':_0x85ad68[_0x2a42a3(0x25c)]?JSON[_0x2a42a3(0x2701)](_0x85ad68[_0x2a42a3(0x25c)][_0x2a42a3(0x155e)]):_0x85ad68[_0x2a42a3(0x155e)]||_0x85ad68[_0x2a42a3(0x147f)]()});});},function(){});}function _0x5e86c5(_0x587ef4){return _0x587ef4===null?undefined:new Date(_0x587ef4);}function _0x340156(_0xd024b){_0x4399c1['hide'](_0xd024b);}}const _0x178ff5=_0x2a5f6e;;_0x23a648[_0x5537c6(0x15b6)]=['$state',_0x5537c6(0x21c8),'$mdDialog','$document',_0x5537c6(0x1ae),'license',_0x5537c6(0x9ca),'api',_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0xe5f),'userProfileSection'];function _0x23a648(_0x4f1d2f,_0x2acefd,_0x524f08,_0x247420,_0x4b9d6b,_0x44e2cc,_0x5a217c,_0x211239,_0x4a65e3,_0x33b4d4,_0x28e86e,_0x536e57){const _0x59b581=_0x5537c6,_0x10f5d3=this;_0x10f5d3[_0x59b581(0xe76)]=_0x33b4d4[_0x59b581(0x21e8)](),_0x10f5d3['license']=_0x44e2cc,_0x10f5d3[_0x59b581(0x9ca)]=_0x5a217c,_0x10f5d3['passwordPattern']=_0x10f5d3[_0x59b581(0x9ca)][_0x59b581(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x10f5d3[_0x59b581(0x2404)]=_0x2acefd[_0x59b581(0x2276)]()+_0x59b581(0x138b)+_0x2acefd[_0x59b581(0x17d8)](),_0x10f5d3[_0x59b581(0xe5f)]=_0x28e86e||_0x4f1d2f[_0x59b581(0x1dfe)]['company']||{},_0x10f5d3[_0x59b581(0x2199)]=_0x536e57&&_0x536e57[_0x59b581(0x184d)]==0x1?_0x536e57[_0x59b581(0x2214)][0x0]:null,_0x10f5d3[_0x59b581(0x1b1a)]=_0x33b4d4[_0x59b581(0x14ea)](_0x10f5d3[_0x59b581(0x2199)]?_0x10f5d3[_0x59b581(0x2199)][_0x59b581(0x1b1a)]:null),_0x10f5d3[_0x59b581(0xf4c)]={},_0x10f5d3['selectedTab']=_0x4f1d2f[_0x59b581(0x1dfe)][_0x59b581(0x291e)]||0x0,_0x10f5d3[_0x59b581(0x47d)]=_0x452a1b,_0x10f5d3[_0x59b581(0x494)]=_0x4a65e3[_0x59b581(0x28c7)],_0x10f5d3['gotoCompanies']=_0x543170,_0x10f5d3[_0x59b581(0x66e)]=_0x284473;function _0x452a1b(_0x658f47){const _0x15353f=_0x59b581;if(_0x33b4d4[_0x15353f(0x22b6)](_0x15353f(0x1c60)))_0x4f1d2f['go']('app.contactmanager.contacts',{'CompanyId':_0x658f47['id']});else return _0x211239[_0x15353f(0x2199)][_0x15353f(0xbf7)]({'userProfileId':_0x33b4d4[_0x15353f(0x21e8)]()[_0x15353f(0x13c1)],'sectionId':0x12f})[_0x15353f(0x1d77)][_0x15353f(0x1cb0)](function(_0x3df2c6){const _0xfecf2f=_0x15353f,_0xaee06f=_0x3df2c6&&_0x3df2c6[_0xfecf2f(0x2214)]?_0x3df2c6[_0xfecf2f(0x2214)][0x0]:null;_0xaee06f&&_0xaee06f[_0xfecf2f(0x281c)]?_0x4f1d2f['go']('app.contactmanager.contacts',{'CompanyId':_0x658f47['id']}):_0x4a65e3[_0xfecf2f(0x28c7)]({'title':_0x4b9d6b[_0xfecf2f(0x25cc)]('STAFF.PERMISSIONS_UNAUTHORIZED_REDIRECT_TITLE'),'msg':_0x4b9d6b[_0xfecf2f(0x25cc)](_0xfecf2f(0x33a))});})[_0x15353f(0x1c4)](function(_0x1cd8e0){const _0x39d27f=_0x15353f;_0x4a65e3[_0x39d27f(0x218e)]({'title':_0x1cd8e0[_0x39d27f(0x291)]?_0x39d27f(0xeb9)+_0x1cd8e0[_0x39d27f(0x291)]+_0x39d27f(0x1657)+_0x1cd8e0[_0x39d27f(0xc22)]:_0x39d27f(0x17ba),'msg':_0x1cd8e0['status']?JSON[_0x39d27f(0x2701)](_0x1cd8e0[_0x39d27f(0x25c)]):_0x1cd8e0[_0x39d27f(0x147f)]()});});}function _0x543170(){const _0x51987b=_0x59b581;_0x4f1d2f['go'](_0x51987b(0x26f8),{},{'reload':_0x51987b(0x26f8)});}function _0x284473(){const _0x9d54c9=_0x59b581;_0x211239[_0x9d54c9(0x107a)][_0x9d54c9(0x687)]({'id':_0x10f5d3['company']['id']},_0x10f5d3[_0x9d54c9(0xe5f)])[_0x9d54c9(0x1d77)][_0x9d54c9(0x1cb0)](function(){const _0x42b2e0=_0x9d54c9;_0x4a65e3[_0x42b2e0(0x829)]({'title':'Company\x20updated!','msg':_0x10f5d3[_0x42b2e0(0xe5f)][_0x42b2e0(0x16b6)]?_0x10f5d3[_0x42b2e0(0xe5f)][_0x42b2e0(0x16b6)]+_0x42b2e0(0x1068):''});})[_0x9d54c9(0x1c4)](function(_0x1d07ef){const _0x5d672=_0x9d54c9;_0x4a65e3[_0x5d672(0x218e)]({'title':_0x1d07ef[_0x5d672(0x291)]?_0x5d672(0xeb9)+_0x1d07ef[_0x5d672(0x291)]+'\x20-\x20'+_0x1d07ef[_0x5d672(0xc22)]:_0x5d672(0x992),'msg':_0x1d07ef['data']?JSON[_0x5d672(0x2701)](_0x1d07ef[_0x5d672(0x25c)]):_0x1d07ef[_0x5d672(0x147f)]()});});}}const _0x5513dd=_0x23a648;;_0x2727a6[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$state','$location',_0x5537c6(0xcb9),'$q','$translate',_0x5537c6(0x9bf),_0x5537c6(0x26d),'globalCustomField',_0x5537c6(0x142b),_0x5537c6(0x1774),'license',_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x2727a6(_0x2e3392,_0x3c3402,_0x1a8bae,_0x2d1bff,_0x3f8012,_0x3294a0,_0xb081ff,_0x49a416,_0x3933e8,_0x4a17a9,_0x325666,_0x2b21f4,_0x4856f6,_0x4d44f7){const _0x45bddc=_0x5537c6,_0x2a8916=this;_0x2a8916[_0x45bddc(0xe76)]=_0x325666[_0x45bddc(0x21e8)](),_0x2a8916[_0x45bddc(0x1a7c)]=[],_0x2a8916[_0x45bddc(0x9ca)]=_0x4856f6,_0x2a8916[_0x45bddc(0x8a5)]=_0x2b21f4,_0x2a8916[_0x45bddc(0x1b1a)]=_0x4d44f7,_0x2a8916[_0x45bddc(0xf4c)]={},_0x2a8916[_0x45bddc(0x1b0c)]=_0x2a8916[_0x45bddc(0x9ca)]&&_0x2a8916[_0x45bddc(0x9ca)][_0x45bddc(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x2a8916[_0x45bddc(0x1386)]=_0x45bddc(0x23ef),_0x2a8916[_0x45bddc(0x1cef)]={'animation':0x320,'sort':!![],'onSort':function(){const _0x127bb2=_0x45bddc;_0x2e3392['globalCustomFieldForm'][_0x127bb2(0x70f)]=![];}},_0x2a8916[_0x45bddc(0x4b6)]=_0x3933e8,_0x2a8916[_0x45bddc(0x26d)]=_0x49a416,_0x2a8916['newGlobalCustomField']=![];!_0x2a8916[_0x45bddc(0x4b6)]&&(_0x2a8916['globalCustomField']={'type':_0x45bddc(0x19d3),'actionType':_0x45bddc(0x1fd4)},_0x2a8916[_0x45bddc(0x1386)]=_0x45bddc(0x189e),_0x2a8916[_0x45bddc(0x1494)]=!![]);_0x2e3392[_0x45bddc(0x614)](_0x45bddc(0x1075),function(_0x2d64e3,_0x5f1e15){const _0x3c9ba8=_0x45bddc;if(_0x2d64e3!==_0x5f1e15)switch(_0x2d64e3){case _0x3c9ba8(0x19d3):_0x2a8916[_0x3c9ba8(0x4b6)][_0x3c9ba8(0x27aa)]='';break;case _0x3c9ba8(0x220f):_0x2a8916['globalCustomField']['values']=[];break;default:}}),_0x2a8916[_0x45bddc(0xf87)]=_0x42e33a,_0x2a8916[_0x45bddc(0xe1f)]=_0x273c8f,_0x2a8916[_0x45bddc(0x2263)]=_0x10c354,_0x2a8916['getDateFromString']=_0x1620f6,_0x2a8916[_0x45bddc(0x25ad)]=_0x30b66d,_0x2a8916[_0x45bddc(0xc3e)]=_0x16360,_0x2a8916[_0x45bddc(0x2818)]=_0x3f1380,_0x2a8916[_0x45bddc(0xda0)]=_0x2dd3a5;function _0x30b66d(_0x2a1b30){const _0x2495d3=_0x45bddc;_0x2a1b30['push']({'value':'New\x20Item'}),_0x2e3392[_0x2495d3(0x2015)][_0x2495d3(0x70f)]=![];}function _0x16360(_0x5817b8,_0xdf5e26){const _0x4ac8bd=_0x45bddc;_0x5817b8[_0x4ac8bd(0x159c)](_0xdf5e26,0x1),_0x2e3392[_0x4ac8bd(0x2015)][_0x4ac8bd(0x70f)]=![];}function _0x3f1380(_0x300c21){const _0x4563af=_0x45bddc,_0x88cf2c=_0x300c21['length'];for(let _0x28fce3=0x0;_0x28fce3<_0x88cf2c;_0x28fce3++){_0x300c21['splice'](0x0,0x1);}_0x2e3392[_0x4563af(0x2015)][_0x4563af(0x70f)]=![];}function _0x42e33a(){const _0x42cf1f=_0x45bddc;_0x2a8916[_0x42cf1f(0x1a7c)]=[],_0x4a17a9[_0x42cf1f(0xc36)][_0x42cf1f(0x1c3f)](_0x2a8916['globalCustomField'])['$promise'][_0x42cf1f(0x1cb0)](function(_0x42c469){const _0x153da5=_0x42cf1f;_0x2a8916['globalCustomFields']['unshift'](_0x42c469[_0x153da5(0x19b2)]()),_0xb081ff[_0x153da5(0x829)]({'title':_0x153da5(0x2164),'msg':_0x2a8916[_0x153da5(0x4b6)][_0x153da5(0x16b6)]?_0x2a8916[_0x153da5(0x4b6)]['name']+_0x153da5(0x470):''}),_0x2dd3a5(_0x42c469);})[_0x42cf1f(0x1c4)](function(_0xdf8ae7){const _0x4f5916=_0x42cf1f;if(_0xdf8ae7[_0x4f5916(0x25c)]&&_0xdf8ae7[_0x4f5916(0x25c)][_0x4f5916(0x1a7c)]&&_0xdf8ae7[_0x4f5916(0x25c)][_0x4f5916(0x1a7c)][_0x4f5916(0xfd0)]){_0x2a8916['errors']=_0xdf8ae7[_0x4f5916(0x25c)][_0x4f5916(0x1a7c)]||[{'message':_0xdf8ae7['toString'](),'type':_0x4f5916(0x94e)}];for(let _0x3d7dec=0x0;_0x3d7dec<_0xdf8ae7[_0x4f5916(0x25c)][_0x4f5916(0x1a7c)]['length'];_0x3d7dec+=0x1){_0xb081ff[_0x4f5916(0x218e)]({'title':_0xdf8ae7[_0x4f5916(0x25c)][_0x4f5916(0x1a7c)][_0x3d7dec][_0x4f5916(0x66a)],'msg':_0xdf8ae7['data'][_0x4f5916(0x1a7c)][_0x3d7dec][_0x4f5916(0x155e)]});}}else _0xb081ff['error']({'title':_0xdf8ae7[_0x4f5916(0x291)]?'API:'+_0xdf8ae7['status']+_0x4f5916(0x1657)+_0xdf8ae7[_0x4f5916(0xc22)]:'api.cmCustomField.save','msg':_0xdf8ae7[_0x4f5916(0x25c)]?JSON[_0x4f5916(0x2701)](_0xdf8ae7[_0x4f5916(0x25c)][_0x4f5916(0x155e)]):_0xdf8ae7[_0x4f5916(0x147f)]()});});}function _0x273c8f(){const _0x1a6238=_0x45bddc;_0x2a8916[_0x1a6238(0x1a7c)]=[],_0x4a17a9[_0x1a6238(0xc36)][_0x1a6238(0x687)]({'id':_0x2a8916[_0x1a6238(0x4b6)]['id']},_0x2a8916[_0x1a6238(0x4b6)])[_0x1a6238(0x1d77)]['then'](function(_0xf45c3d){const _0x26286a=_0x1a6238,_0x3dcecb=_0x39641b()[_0x26286a(0x13b4)](_0x2a8916[_0x26286a(0x26d)],{'id':_0xf45c3d['id']});_0x3dcecb&&_0x39641b()['merge'](_0x3dcecb,_0x39641b()[_0x26286a(0x169b)](_0xf45c3d[_0x26286a(0x19b2)](),_0x39641b()[_0x26286a(0x1be5)](_0x3dcecb))),_0xb081ff['success']({'title':_0x26286a(0x25df),'msg':_0x2a8916[_0x26286a(0x4b6)][_0x26286a(0x16b6)]?_0x2a8916['globalCustomField'][_0x26286a(0x16b6)]+_0x26286a(0xedb):''}),_0x2dd3a5(_0xf45c3d);})[_0x1a6238(0x1c4)](function(_0x34b57c){const _0x3b6b06=_0x1a6238;if(_0x34b57c[_0x3b6b06(0x25c)]&&_0x34b57c[_0x3b6b06(0x25c)][_0x3b6b06(0x1a7c)]&&_0x34b57c[_0x3b6b06(0x25c)][_0x3b6b06(0x1a7c)][_0x3b6b06(0xfd0)]){_0x2a8916['errors']=_0x34b57c['data']['errors']||[{'message':_0x34b57c[_0x3b6b06(0x147f)](),'type':_0x3b6b06(0x19e)}];for(let _0x3a9b4b=0x0;_0x3a9b4b<_0x34b57c[_0x3b6b06(0x25c)]['errors'][_0x3b6b06(0xfd0)];_0x3a9b4b++){_0xb081ff['error']({'title':_0x34b57c['data'][_0x3b6b06(0x1a7c)][_0x3a9b4b]['type'],'msg':_0x34b57c[_0x3b6b06(0x25c)]['errors'][_0x3a9b4b]['message']});}}else _0xb081ff[_0x3b6b06(0x218e)]({'title':_0x34b57c[_0x3b6b06(0x291)]?_0x3b6b06(0xeb9)+_0x34b57c[_0x3b6b06(0x291)]+_0x3b6b06(0x1657)+_0x34b57c[_0x3b6b06(0xc22)]:_0x3b6b06(0x19e),'msg':_0x34b57c['data']?JSON[_0x3b6b06(0x2701)](_0x34b57c[_0x3b6b06(0x25c)][_0x3b6b06(0x155e)]):_0x34b57c['toString']()});});}function _0x10c354(_0x5c2f77){const _0x17eea6=_0x45bddc;_0x2a8916['errors']=[];const _0x392472=_0x2d1bff[_0x17eea6(0x1551)]()['title'](_0x17eea6(0x1a2e))[_0x17eea6(0x862)]('The\x20globalCustomField\x20will\x20be\x20deleted.')[_0x17eea6(0x15ad)](_0x17eea6(0x98a))['ok']('Delete')[_0x17eea6(0x696)](_0x17eea6(0xde1))['targetEvent'](_0x5c2f77);_0x2d1bff[_0x17eea6(0xe27)](_0x392472)[_0x17eea6(0x1cb0)](function(){const _0x2afc1=_0x17eea6;_0x4a17a9[_0x2afc1(0xc36)][_0x2afc1(0x111d)]({'id':_0x2a8916[_0x2afc1(0x4b6)]['id']})[_0x2afc1(0x1d77)][_0x2afc1(0x1cb0)](function(){const _0x34b32f=_0x2afc1;_0x39641b()[_0x34b32f(0x152a)](_0x2a8916[_0x34b32f(0x26d)],{'id':_0x2a8916['globalCustomField']['id']}),_0xb081ff['success']({'title':_0x34b32f(0x23f),'msg':(_0x2a8916[_0x34b32f(0x4b6)]['name']||_0x34b32f(0x4b6))+'\x20has\x20been\x20deleted!'}),_0x2dd3a5(_0x2a8916['globalCustomField']);})['catch'](function(_0x44e745){const _0x1d7dfa=_0x2afc1;if(_0x44e745[_0x1d7dfa(0x25c)]&&_0x44e745[_0x1d7dfa(0x25c)][_0x1d7dfa(0x1a7c)]&&_0x44e745[_0x1d7dfa(0x25c)][_0x1d7dfa(0x1a7c)][_0x1d7dfa(0xfd0)]){_0x2a8916[_0x1d7dfa(0x1a7c)]=_0x44e745[_0x1d7dfa(0x25c)][_0x1d7dfa(0x1a7c)]||[{'message':_0x44e745[_0x1d7dfa(0x147f)](),'type':_0x1d7dfa(0x1d3)}];for(let _0x2088c0=0x0;_0x2088c0<_0x44e745[_0x1d7dfa(0x25c)][_0x1d7dfa(0x1a7c)]['length'];_0x2088c0++){_0xb081ff['error']({'title':_0x44e745[_0x1d7dfa(0x25c)]['errors'][_0x2088c0]['type'],'msg':_0x44e745[_0x1d7dfa(0x25c)][_0x1d7dfa(0x1a7c)][_0x2088c0][_0x1d7dfa(0x155e)]});}}else _0xb081ff[_0x1d7dfa(0x218e)]({'title':_0x44e745['status']?_0x1d7dfa(0xeb9)+_0x44e745['status']+'\x20-\x20'+_0x44e745[_0x1d7dfa(0xc22)]:_0x1d7dfa(0x1d3),'msg':_0x44e745[_0x1d7dfa(0x25c)]?JSON['stringify'](_0x44e745[_0x1d7dfa(0x25c)]['message']):_0x44e745[_0x1d7dfa(0x155e)]||_0x44e745['toString']()});});},function(){});}function _0x1620f6(_0xb376e7){return _0xb376e7===null?undefined:new Date(_0xb376e7);}function _0x2dd3a5(_0x3e385a){_0x2d1bff['hide'](_0x3e385a);}}const _0xd6ffd5=_0x2727a6;;const _0x242fd7=_0x5074a3['p']+'src/js/modules/main/apps/contactmanager/views/globalCustomFields/create/dialog.html/dialog.html';;_0x60a28a[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),'$document',_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0x26d),_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x142b),_0x5537c6(0xde8),'toasty',_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x60a28a(_0x77d991,_0x488846,_0x75d356,_0x8be70b,_0x844da5,_0x124221,_0x599f3a,_0x1c4a0e,_0x44f746,_0x32cee4,_0x3831fe,_0x51d343,_0x4ad0fa,_0x98a0c2,_0x332b59,_0x514849,_0x4555dd){const _0x4a9fb1=_0x5537c6,_0x345331=this;_0x345331[_0x4a9fb1(0x8a5)]=_0x514849,_0x345331[_0x4a9fb1(0x9ca)]=_0x4555dd,_0x345331[_0x4a9fb1(0xe76)]=_0x332b59[_0x4a9fb1(0x21e8)](),_0x345331[_0x4a9fb1(0x26d)]=_0x44f746||{'count':0x0,'rows':[]},_0x345331[_0x4a9fb1(0x44a)]=_0x32cee4,_0x345331[_0x4a9fb1(0x2199)]=_0x3831fe&&_0x3831fe[_0x4a9fb1(0x184d)]==0x1?_0x3831fe[_0x4a9fb1(0x2214)][0x0]:null,_0x345331[_0x4a9fb1(0x1b1a)]=_0x332b59['parseCrudPermissions'](_0x345331[_0x4a9fb1(0x2199)]?_0x345331['userProfileSection'][_0x4a9fb1(0x1b1a)]:null),_0x345331['table']=_0x4a9fb1(0x26d),_0x345331[_0x4a9fb1(0x1d20)]='',_0x345331[_0x4a9fb1(0x1cdf)]=null,_0x345331[_0x4a9fb1(0x1635)]=[],_0x345331[_0x4a9fb1(0xae2)]={'fields':_0x4a9fb1(0xc05),'sort':_0x4a9fb1(0x282),'ListId':_0x4a9fb1(0xd38),'limit':0xa,'page':0x1},_0x345331['arraytype']=_0x39641b()[_0x4a9fb1(0x2631)]([{'option':_0x4a9fb1(0x620),'value':_0x4a9fb1(0x25b0)},{'option':'Select','value':_0x4a9fb1(0xea1)},{'option':_0x4a9fb1(0x1fef),'value':_0x4a9fb1(0x1079)},{'option':_0x4a9fb1(0x1faa),'value':_0x4a9fb1(0x1a79)},{'option':_0x4a9fb1(0x1b30),'value':_0x4a9fb1(0x793)}],function(_0x5c21db){return _0x39641b()['replace'](_0x5c21db['value'],new RegExp('\x27','g'),'');}),_0x345331[_0x4a9fb1(0x16ca)]=_0x39641b()[_0x4a9fb1(0x2631)]([{'option':_0x4a9fb1(0x165),'value':_0x4a9fb1(0x4bb)}],function(_0x2b63eb){const _0x1b59f9=_0x4a9fb1;return _0x39641b()['replace'](_0x2b63eb[_0x1b59f9(0x327)],new RegExp('\x27','g'),'');}),_0x345331[_0x4a9fb1(0xc93)]=_0x16ab92,_0x345331['deleteconfirm']=_0x51b073,_0x345331['success']=_0x21b936,_0x345331[_0x4a9fb1(0x2787)]=_0x44c1ce,_0x345331[_0x4a9fb1(0x1f84)]=_0x108ac6,_0x345331[_0x4a9fb1(0x2263)]=_0x1cad3f,_0x345331[_0x4a9fb1(0x1c7)]=_0x2ff4f8,_0x345331[_0x4a9fb1(0x228d)]=_0x1df6b6,_0x345331[_0x4a9fb1(0x19c6)]=_0x234b43,_0x345331['selectAllGlobalCustomFields']=_0x5b9f20;function _0x16ab92(_0x4b2bd2,_0x58cdc2){const _0x20f920=_0x4a9fb1;_0x844da5[_0x20f920(0xe27)]({'controller':_0x20f920(0x630),'controllerAs':'vm','templateUrl':_0x242fd7,'parent':angular[_0x20f920(0x1853)](_0x124221[_0x20f920(0x1ed9)]),'targetEvent':_0x58cdc2,'clickOutsideToClose':!![],'locals':{'globalCustomField':_0x4b2bd2,'globalCustomFields':_0x345331[_0x20f920(0x26d)][_0x20f920(0x2214)],'license':_0x345331['license'],'setting':null,'crudPermissions':_0x345331[_0x20f920(0x1b1a)]}});}function _0x51b073(_0x10a694,_0x371bc5){const _0x305ba8=_0x4a9fb1,_0x2934c0=_0x844da5[_0x305ba8(0x1551)]()[_0x305ba8(0x1386)](_0x305ba8(0x140b)+_0x39641b()[_0x305ba8(0xa75)](_0x305ba8(0x4b6))+'?')['htmlContent'](_0x305ba8(0x204d)+(_0x10a694['name']||'globalCustomField')+_0x305ba8(0x1200)+_0x305ba8(0x1b6))[_0x305ba8(0x15ad)]('delete\x20globalCustomField')[_0x305ba8(0x728)](_0x371bc5)['ok']('OK')[_0x305ba8(0x696)](_0x305ba8(0x24ba));_0x844da5['show'](_0x2934c0)[_0x305ba8(0x1cb0)](function(){_0x1cad3f(_0x10a694);},function(){const _0x16ef5b=_0x305ba8;console[_0x16ef5b(0x1b4f)](_0x16ef5b(0x24ba));});}let _0x17969c=!![],_0x4cf7ff=0x1;_0x77d991[_0x4a9fb1(0x614)]('vm.query.filter',function(_0xc4b10,_0x2c75f2){const _0x19de33=_0x4a9fb1;_0x17969c?_0x599f3a(function(){_0x17969c=![];}):(!_0x2c75f2&&(_0x4cf7ff=_0x345331[_0x19de33(0xae2)][_0x19de33(0x1c7b)]),_0xc4b10!==_0x2c75f2&&(_0x345331[_0x19de33(0xae2)][_0x19de33(0x1c7b)]=0x1),!_0xc4b10&&(_0x345331[_0x19de33(0xae2)][_0x19de33(0x1c7b)]=_0x4cf7ff),_0x345331['getGlobalCustomFields']());});function _0x21b936(_0x1c8084){const _0x4d999b=_0x4a9fb1;_0x345331[_0x4d999b(0x26d)]=_0x1c8084||{'count':0x0,'rows':[]};}function _0x44c1ce(){const _0x5b7175=_0x4a9fb1;_0x345331[_0x5b7175(0xae2)][_0x5b7175(0x184b)]=(_0x345331[_0x5b7175(0xae2)]['page']-0x1)*_0x345331[_0x5b7175(0xae2)][_0x5b7175(0x236)],_0x332b59[_0x5b7175(0x22b6)](_0x5b7175(0x1c60))?_0x345331[_0x5b7175(0x2061)]=_0x51d343['cmCustomField'][_0x5b7175(0xbf7)](_0x345331[_0x5b7175(0xae2)],_0x21b936)['$promise']:(_0x345331[_0x5b7175(0xae2)]['id']=_0x345331[_0x5b7175(0x44a)]['id'],_0x345331['query'][_0x5b7175(0x1f74)]=_0x5b7175(0x83f),_0x345331[_0x5b7175(0x2061)]=_0x51d343[_0x5b7175(0x44a)][_0x5b7175(0x1810)](_0x345331[_0x5b7175(0xae2)],_0x21b936)[_0x5b7175(0x1d77)]);}function _0x108ac6(_0x2ec3be,_0x490575){const _0x2371c6=_0x4a9fb1;_0x844da5[_0x2371c6(0xe27)]({'controller':_0x2371c6(0x630),'controllerAs':'vm','templateUrl':_0x242fd7,'parent':angular[_0x2371c6(0x1853)](_0x124221['body']),'targetEvent':_0x2ec3be,'clickOutsideToClose':!![],'locals':{'globalCustomField':_0x490575,'globalCustomFields':_0x345331[_0x2371c6(0x26d)][_0x2371c6(0x2214)],'license':_0x345331[_0x2371c6(0x8a5)],'setting':_0x345331['setting'],'crudPermissions':_0x345331[_0x2371c6(0x1b1a)]}});}function _0x1cad3f(_0x26bfc1){const _0x5335e2=_0x4a9fb1;_0x51d343['cmCustomField'][_0x5335e2(0x111d)]({'id':_0x26bfc1['id']})[_0x5335e2(0x1d77)][_0x5335e2(0x1cb0)](function(){const _0x427dad=_0x5335e2;_0x39641b()[_0x427dad(0x152a)](_0x345331[_0x427dad(0x26d)]['rows'],{'id':_0x26bfc1['id']}),_0x345331[_0x427dad(0x26d)]['count']-=0x1,!_0x345331['globalCustomFields'][_0x427dad(0x2214)][_0x427dad(0xfd0)]&&_0x345331['getGlobalCustomFields'](),_0x98a0c2[_0x427dad(0x829)]({'title':_0x39641b()[_0x427dad(0xa75)](_0x427dad(0x167e))+'\x20deleted!','msg':_0x26bfc1[_0x427dad(0x16b6)]?_0x26bfc1['name']+'\x20has\x20been\x20deleted!':''});})[_0x5335e2(0x1c4)](function(_0x46fa6c){const _0x2b8305=_0x5335e2;if(_0x46fa6c[_0x2b8305(0x25c)]&&_0x46fa6c[_0x2b8305(0x25c)][_0x2b8305(0x1a7c)]&&_0x46fa6c[_0x2b8305(0x25c)][_0x2b8305(0x1a7c)][_0x2b8305(0xfd0)]){_0x345331['errors']=_0x46fa6c[_0x2b8305(0x25c)][_0x2b8305(0x1a7c)]||[{'message':_0x46fa6c['toString'](),'type':_0x2b8305(0x5bc)}];for(let _0x488331=0x0;_0x488331<_0x46fa6c[_0x2b8305(0x25c)][_0x2b8305(0x1a7c)][_0x2b8305(0xfd0)];_0x488331++){_0x98a0c2['error']({'title':_0x46fa6c[_0x2b8305(0x25c)][_0x2b8305(0x1a7c)][_0x488331][_0x2b8305(0x66a)],'msg':_0x46fa6c[_0x2b8305(0x25c)][_0x2b8305(0x1a7c)][_0x488331][_0x2b8305(0x155e)]});}}else _0x98a0c2[_0x2b8305(0x218e)]({'title':_0x46fa6c[_0x2b8305(0x291)]?_0x2b8305(0xeb9)+_0x46fa6c[_0x2b8305(0x291)]+_0x2b8305(0x1657)+_0x46fa6c[_0x2b8305(0xc22)]:_0x2b8305(0x5bc),'msg':_0x46fa6c[_0x2b8305(0x25c)]?JSON[_0x2b8305(0x2701)](_0x46fa6c[_0x2b8305(0x25c)][_0x2b8305(0x155e)]):_0x46fa6c[_0x2b8305(0x155e)]||_0x46fa6c[_0x2b8305(0x147f)]()});});}function _0x2ff4f8(){const _0x19b91c=_0x4a9fb1,_0x1a4a53=angular[_0x19b91c(0x17fe)](_0x345331[_0x19b91c(0x1635)]);return _0x345331['selectedGlobalCustomFields']=[],_0x1a4a53;}function _0x1df6b6(_0x248130){const _0x54059e=_0x4a9fb1,_0x27f493=_0x844da5[_0x54059e(0x1551)]()['title'](_0x54059e(0x177))['htmlContent'](''+_0x345331[_0x54059e(0x1635)][_0x54059e(0xfd0)]+_0x54059e(0x1d6c)+_0x54059e(0x1b6))[_0x54059e(0x15ad)](_0x54059e(0xafb))[_0x54059e(0x728)](_0x248130)['ok']('OK')[_0x54059e(0x696)](_0x54059e(0x24ba));_0x844da5['show'](_0x27f493)[_0x54059e(0x1cb0)](function(){const _0x525ad7=_0x54059e;_0x345331[_0x525ad7(0x1635)][_0x525ad7(0xf90)](function(_0x57027f){_0x1cad3f(_0x57027f);}),_0x345331[_0x525ad7(0x1635)]=[];});}function _0x234b43(){const _0x202a1f=_0x4a9fb1;_0x345331[_0x202a1f(0x1635)]=[];}function _0x5b9f20(){const _0x331ed9=_0x4a9fb1;_0x345331[_0x331ed9(0x1635)]=_0x345331[_0x331ed9(0x26d)][_0x331ed9(0x2214)];}}const _0x1b9e3f=_0x60a28a;;_0x4bfd56[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),'$mdDialog','$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x1046),'list',_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x4bfd56(_0x535eb1,_0x54fde0,_0x49cff9,_0x44f0ea,_0x34175d,_0x377909,_0x2a15c6,_0x10ef84,_0x23e532,_0x76cf5b,_0x50d9dc,_0x1ccdf9,_0x258fdc,_0x268272){const _0x486b1b=_0x5537c6,_0x13162b=this;_0x13162b[_0x486b1b(0xe76)]=_0x50d9dc['getCurrentUser'](),_0x13162b[_0x486b1b(0x1a7c)]=[],_0x13162b[_0x486b1b(0x9ca)]=_0x258fdc,_0x13162b[_0x486b1b(0x8a5)]=_0x1ccdf9,_0x13162b[_0x486b1b(0x1b1a)]=_0x268272,_0x13162b['hasModulePermissions']={},_0x13162b['passwordPattern']=_0x13162b[_0x486b1b(0x9ca)]&&_0x13162b[_0x486b1b(0x9ca)][_0x486b1b(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x13162b[_0x486b1b(0x1386)]=_0x486b1b(0xe26),_0x13162b['list']=angular[_0x486b1b(0x17fe)](_0x23e532),_0x13162b[_0x486b1b(0x1046)]=_0x10ef84,_0x13162b['newList']=![];!_0x13162b['list']&&(_0x13162b[_0x486b1b(0x240)]={},_0x13162b[_0x486b1b(0x1386)]='CONTACTMANAGER.NEW_LIST',_0x13162b[_0x486b1b(0x6db)]=!![]);_0x13162b[_0x486b1b(0x1ec7)]=_0xd89e96,_0x13162b['saveList']=_0x35f153,_0x13162b[_0x486b1b(0x1547)]=_0x711e28,_0x13162b[_0x486b1b(0x2c4)]=_0x50aa5b,_0x13162b['closeDialog']=_0x23c0e5;function _0xd89e96(){const _0x9a614d=_0x486b1b;_0x13162b[_0x9a614d(0x1a7c)]=[],_0x76cf5b[_0x9a614d(0x22f2)][_0x9a614d(0x1c3f)](_0x13162b[_0x9a614d(0x240)])[_0x9a614d(0x1d77)][_0x9a614d(0x1cb0)](function(_0x22aab6){const _0x23cbb3=_0x9a614d;_0x13162b['lists'][_0x23cbb3(0xf63)](_0x22aab6[_0x23cbb3(0x19b2)]()),_0x2a15c6[_0x23cbb3(0x829)]({'title':'List\x20properly\x20created','msg':_0x13162b['list'][_0x23cbb3(0x16b6)]?_0x13162b[_0x23cbb3(0x240)][_0x23cbb3(0x16b6)]+_0x23cbb3(0x470):''}),_0x23c0e5(_0x22aab6);})[_0x9a614d(0x1c4)](function(_0x3e1829){const _0x35f0c3=_0x9a614d;if(_0x3e1829[_0x35f0c3(0x25c)]&&_0x3e1829[_0x35f0c3(0x25c)][_0x35f0c3(0x1a7c)]&&_0x3e1829[_0x35f0c3(0x25c)][_0x35f0c3(0x1a7c)]['length']){_0x13162b[_0x35f0c3(0x1a7c)]=_0x3e1829[_0x35f0c3(0x25c)][_0x35f0c3(0x1a7c)]||[{'message':_0x3e1829[_0x35f0c3(0x147f)](),'type':_0x35f0c3(0x1131)}];for(let _0x20f396=0x0;_0x20f396<_0x3e1829[_0x35f0c3(0x25c)]['errors'][_0x35f0c3(0xfd0)];_0x20f396+=0x1){_0x2a15c6['error']({'title':_0x3e1829['data'][_0x35f0c3(0x1a7c)][_0x20f396][_0x35f0c3(0x66a)],'msg':_0x3e1829[_0x35f0c3(0x25c)][_0x35f0c3(0x1a7c)][_0x20f396]['message']});}}else _0x2a15c6[_0x35f0c3(0x218e)]({'title':_0x3e1829[_0x35f0c3(0x291)]?_0x35f0c3(0xeb9)+_0x3e1829[_0x35f0c3(0x291)]+'\x20-\x20'+_0x3e1829['statusText']:_0x35f0c3(0x1131),'msg':_0x3e1829[_0x35f0c3(0x25c)]?JSON[_0x35f0c3(0x2701)](_0x3e1829['data']['message']):_0x3e1829[_0x35f0c3(0x147f)]()});});}function _0x35f153(){const _0x369ecd=_0x486b1b;_0x13162b[_0x369ecd(0x1a7c)]=[],_0x76cf5b[_0x369ecd(0x22f2)][_0x369ecd(0x687)]({'id':_0x13162b['list']['id']},_0x13162b[_0x369ecd(0x240)])[_0x369ecd(0x1d77)]['then'](function(_0x25b15c){const _0x1bc97b=_0x369ecd,_0x251d3b=_0x39641b()[_0x1bc97b(0x13b4)](_0x13162b[_0x1bc97b(0x1046)],{'id':_0x25b15c['id']});_0x251d3b&&_0x39641b()[_0x1bc97b(0x9c1)](_0x251d3b,_0x39641b()[_0x1bc97b(0x169b)](_0x25b15c[_0x1bc97b(0x19b2)](),_0x39641b()['keys'](_0x251d3b))),_0x2a15c6['success']({'title':_0x1bc97b(0x53a),'msg':_0x13162b['list']['name']?_0x13162b[_0x1bc97b(0x240)][_0x1bc97b(0x16b6)]+'\x20has\x20been\x20saved!':''}),_0x23c0e5(_0x25b15c);})[_0x369ecd(0x1c4)](function(_0x596ebe){const _0x38e53e=_0x369ecd;if(_0x596ebe['data']&&_0x596ebe['data'][_0x38e53e(0x1a7c)]&&_0x596ebe[_0x38e53e(0x25c)][_0x38e53e(0x1a7c)][_0x38e53e(0xfd0)]){_0x13162b[_0x38e53e(0x1a7c)]=_0x596ebe[_0x38e53e(0x25c)][_0x38e53e(0x1a7c)]||[{'message':_0x596ebe['toString'](),'type':_0x38e53e(0x11fc)}];for(let _0x21219a=0x0;_0x21219a<_0x596ebe[_0x38e53e(0x25c)][_0x38e53e(0x1a7c)][_0x38e53e(0xfd0)];_0x21219a++){_0x2a15c6[_0x38e53e(0x218e)]({'title':_0x596ebe['data'][_0x38e53e(0x1a7c)][_0x21219a][_0x38e53e(0x66a)],'msg':_0x596ebe[_0x38e53e(0x25c)]['errors'][_0x21219a][_0x38e53e(0x155e)]});}}else _0x2a15c6[_0x38e53e(0x218e)]({'title':_0x596ebe[_0x38e53e(0x291)]?_0x38e53e(0xeb9)+_0x596ebe[_0x38e53e(0x291)]+'\x20-\x20'+_0x596ebe[_0x38e53e(0xc22)]:'api.cmList.update','msg':_0x596ebe[_0x38e53e(0x25c)]?JSON[_0x38e53e(0x2701)](_0x596ebe['data'][_0x38e53e(0x155e)]):_0x596ebe[_0x38e53e(0x147f)]()});});}function _0x711e28(_0x3388ca){const _0x1d3e90=_0x486b1b;_0x13162b[_0x1d3e90(0x1a7c)]=[];const _0x366034=_0x44f0ea[_0x1d3e90(0x1551)]()['title'](_0x1d3e90(0x1a2e))['content']('The\x20list\x20will\x20be\x20deleted.')['ariaLabel'](_0x1d3e90(0x1fae))['ok'](_0x1d3e90(0x2594))[_0x1d3e90(0x696)](_0x1d3e90(0xde1))[_0x1d3e90(0x728)](_0x3388ca);_0x44f0ea[_0x1d3e90(0xe27)](_0x366034)['then'](function(){const _0x25247c=_0x1d3e90;_0x76cf5b[_0x25247c(0x22f2)][_0x25247c(0x111d)]({'id':_0x13162b['list']['id']})[_0x25247c(0x1d77)][_0x25247c(0x1cb0)](function(){const _0x5e60a4=_0x25247c;_0x39641b()['remove'](_0x13162b[_0x5e60a4(0x1046)],{'id':_0x13162b[_0x5e60a4(0x240)]['id']}),_0x2a15c6[_0x5e60a4(0x829)]({'title':'List\x20properly\x20deleted!','msg':(_0x13162b[_0x5e60a4(0x240)][_0x5e60a4(0x16b6)]||_0x5e60a4(0x240))+_0x5e60a4(0x3f5)}),_0x23c0e5(_0x13162b[_0x5e60a4(0x240)]);})[_0x25247c(0x1c4)](function(_0x4ca4d3){const _0x2c590f=_0x25247c;if(_0x4ca4d3[_0x2c590f(0x25c)]&&_0x4ca4d3['data'][_0x2c590f(0x1a7c)]&&_0x4ca4d3['data'][_0x2c590f(0x1a7c)][_0x2c590f(0xfd0)]){_0x13162b[_0x2c590f(0x1a7c)]=_0x4ca4d3[_0x2c590f(0x25c)]['errors']||[{'message':_0x4ca4d3[_0x2c590f(0x147f)](),'type':_0x2c590f(0x2968)}];for(let _0x11cf67=0x0;_0x11cf67<_0x4ca4d3[_0x2c590f(0x25c)]['errors'][_0x2c590f(0xfd0)];_0x11cf67++){_0x2a15c6[_0x2c590f(0x218e)]({'title':_0x4ca4d3['data'][_0x2c590f(0x1a7c)][_0x11cf67][_0x2c590f(0x66a)],'msg':_0x4ca4d3['data'][_0x2c590f(0x1a7c)][_0x11cf67][_0x2c590f(0x155e)]});}}else _0x2a15c6['error']({'title':_0x4ca4d3['status']?_0x2c590f(0xeb9)+_0x4ca4d3['status']+_0x2c590f(0x1657)+_0x4ca4d3['statusText']:_0x2c590f(0x2968),'msg':_0x4ca4d3[_0x2c590f(0x25c)]?JSON[_0x2c590f(0x2701)](_0x4ca4d3[_0x2c590f(0x25c)]['message']):_0x4ca4d3['message']||_0x4ca4d3[_0x2c590f(0x147f)]()});});},function(){});}function _0x50aa5b(_0x4a2d42){return _0x4a2d42===null?undefined:new Date(_0x4a2d42);}function _0x23c0e5(_0x57315f){const _0x226aac=_0x486b1b;_0x44f0ea[_0x226aac(0x1426)](_0x57315f);}}const _0x19b63a=_0x4bfd56;;_0x2d6ef3[_0x5537c6(0x15b6)]=['$mdDialog','$q',_0x5537c6(0x9bf),_0x5537c6(0x142b),'list','lists',_0x5537c6(0x217b),'$translate',_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x2d6ef3(_0x538207,_0xa8277a,_0x2342b7,_0x25cd8a,_0x1728cb,_0x237d53,_0x196182,_0x3faafe,_0x565644,_0x5e9ffb){const _0x3e401e=_0x5537c6,_0x1d5978=this;_0x1d5978[_0x3e401e(0xe76)]=_0x565644[_0x3e401e(0x21e8)](),_0x1d5978[_0x3e401e(0x240)]=_0x1728cb,_0x1d5978[_0x3e401e(0x1b1a)]=_0x5e9ffb,_0x1d5978[_0x3e401e(0x217b)]=_0x196182,_0x1d5978[_0x3e401e(0x122f)]=[],_0x1d5978['allowedItems']=[],_0x1d5978['selectedItems']=[],_0x1d5978[_0x3e401e(0xbd5)]=[],_0x1d5978[_0x3e401e(0x1456)]=[],_0x1d5978[_0x3e401e(0x50c)]=![],_0x1d5978[_0x3e401e(0x1a34)]=_0x2c75f9,_0x1d5978['saveAgents']=_0x70bae6,_0x1d5978[_0x3e401e(0xda0)]=_0x57c610,_0x1d5978[_0x3e401e(0x18c0)]={'readOnly':!_0x1d5978[_0x3e401e(0x1b1a)][_0x3e401e(0xb3d)],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x3e401e(0x16b6),'line1':_0x3e401e(0x1d14),'line2':[_0x3e401e(0x16b6),_0x3e401e(0xdbd)],'line3':'','labelAll':_0x3faafe[_0x3e401e(0x25cc)](_0x3e401e(0x21e7)),'labelSelected':_0x3faafe['instant'](_0x3e401e(0x1cd5)),'transferCallback':function(){const _0x2b33a3=_0x3e401e,_0x8bb430=_0x39641b()[_0x2b33a3(0x1423)](_0x1d5978['startingSelectedItems'],_0x1d5978[_0x2b33a3(0x20bb)],'id');_0x1d5978['pendingChanges']=_0x39641b()[_0x2b33a3(0xce9)](_0x8bb430)?![]:!![];}};function _0x2c75f9(){const _0x637db4=_0x3e401e;return _0x565644['hasRole']('admin')?_0x242464()[_0x637db4(0x1c4)](function(_0xa6fb7c){const _0x3d11ec=_0x637db4;_0x2342b7['error']({'title':_0xa6fb7c[_0x3d11ec(0x291)]?_0x3d11ec(0xeb9)+_0xa6fb7c[_0x3d11ec(0x291)]+_0x3d11ec(0x1657)+_0xa6fb7c[_0x3d11ec(0xc22)]:'SYSTEM:GET_AGENTS','msg':_0xa6fb7c[_0x3d11ec(0x291)]?JSON[_0x3d11ec(0x2701)](_0xa6fb7c[_0x3d11ec(0x25c)]):_0xa6fb7c[_0x3d11ec(0x147f)]()});}):_0x435846()[_0x637db4(0x1cb0)](function(_0x2cae14){const _0x172138=_0x637db4;return _0x1d5978[_0x172138(0x1f74)]=_0x2cae14,_0x242464();})[_0x637db4(0x1c4)](function(_0x33d808){const _0x3b1c47=_0x637db4;_0x2342b7[_0x3b1c47(0x218e)]({'title':_0x33d808['status']?'API:'+_0x33d808[_0x3b1c47(0x291)]+_0x3b1c47(0x1657)+_0x33d808[_0x3b1c47(0xc22)]:_0x3b1c47(0x799),'msg':_0x33d808[_0x3b1c47(0x291)]?JSON[_0x3b1c47(0x2701)](_0x33d808[_0x3b1c47(0x25c)]):_0x33d808[_0x3b1c47(0x147f)]()});});}function _0x435846(){return _0xa8277a(function(_0x1e9e5d,_0x20b4c4){const _0x24ffeb=a0_0x5cbd;_0x25cd8a[_0x24ffeb(0x2199)][_0x24ffeb(0xbf7)]({'userProfileId':_0x1d5978[_0x24ffeb(0xe76)][_0x24ffeb(0x13c1)],'name':'Agents'})[_0x24ffeb(0x1d77)]['then'](function(_0x389bc1){const _0x1740e6=_0x24ffeb,_0x4f89b8=_0x389bc1&&_0x389bc1[_0x1740e6(0x2214)]?_0x389bc1[_0x1740e6(0x2214)][0x0]:null;_0x1e9e5d(_0x4f89b8);})[_0x24ffeb(0x1c4)](function(_0x411d20){_0x20b4c4(_0x411d20);});});}function _0x242464(){return _0xa8277a(function(_0x3576c1,_0x451384){const _0x3fbf34=a0_0x5cbd;return _0x1f3f51()[_0x3fbf34(0x1cb0)](function(_0x548086){const _0x484d63=_0x3fbf34;return _0x1d5978['items']=_0x548086[_0x484d63(0x2214)]?_0x548086['rows']:[],_0x565644['hasRole'](_0x484d63(0x1c60))?_0x548086:_0x1d5978[_0x484d63(0x1f74)]?_0x1d5978['section'][_0x484d63(0x12f4)]?_0x548086:_0xd5c66():null;})[_0x3fbf34(0x1cb0)](function(_0xd0d09a){const _0x2b746f=_0x3fbf34,_0x55625b=_0xd0d09a&&_0xd0d09a[_0x2b746f(0x2214)]?_0xd0d09a[_0x2b746f(0x2214)]:[];return _0x1d5978['allowedItems']=_0x39641b()[_0x2b746f(0x1de2)](_0x55625b,function(_0x3e4c74){const _0x310714=_0x2b746f;return _0x39641b()[_0x310714(0x13b4)](_0x1d5978['items'],{'id':_0x565644[_0x310714(0x22b6)](_0x310714(0x1c60))||_0x1d5978['section'][_0x310714(0x12f4)]?_0x3e4c74['id']:_0x3e4c74[_0x310714(0x2982)]});}),_0x1d5978[_0x2b746f(0xbd5)]=angular[_0x2b746f(0x17fe)](_0x1d5978[_0x2b746f(0x1372)]),_0x1d5978['items']['forEach'](function(_0xf61a21){const _0x2820e0=_0x2b746f,_0x4e73a8=_0x39641b()['find'](_0x1d5978[_0x2820e0(0x1372)],{'id':_0xf61a21['id']});_0x565644[_0x2820e0(0x22b6)](_0x2820e0(0x1c60))?_0xf61a21['isValid']=!![]:_0xf61a21[_0x2820e0(0x1a4f)]=typeof _0x4e73a8!==_0x2820e0(0x16b5)?!![]:![];}),_0x123617();})[_0x3fbf34(0x1cb0)](function(_0xfe7443){const _0x50775f=_0x3fbf34,_0x419083=_0xfe7443&&_0xfe7443[_0x50775f(0x2214)]?_0xfe7443['rows']:[];_0x1d5978['selectedItems']=_0x39641b()[_0x50775f(0x1de2)](_0x419083,function(_0x3d45a0){const _0x49ca34=_0x50775f,_0x1af60c=_0x39641b()[_0x49ca34(0x13b4)](_0x1d5978['items'],{'id':_0x3d45a0['id']});return _0x1af60c[_0x49ca34(0x1cbc)]=_0x3d45a0[_0x49ca34(0x1ed3)]?'penalty\x20'+_0x3d45a0[_0x49ca34(0x1ed3)][_0x49ca34(0x1cbc)]:'',_0x1af60c[_0x49ca34(0xdbd)]=typeof _0x3d45a0[_0x49ca34(0xdbd)]!==_0x49ca34(0x16b5)?'<'+_0x3d45a0[_0x49ca34(0xdbd)]+'>':'',_0x1af60c;}),_0x1d5978[_0x50775f(0x1456)]=angular[_0x50775f(0x17fe)](_0x1d5978[_0x50775f(0x20bb)]),_0x1d5978['dualMultiselectOptions'][_0x50775f(0x20bb)]=_0x1d5978[_0x50775f(0x20bb)],_0x1d5978['dualMultiselectOptions'][_0x50775f(0x122f)]=_0x39641b()[_0x50775f(0x2128)](_0x1d5978[_0x50775f(0x1372)],_0x1d5978[_0x50775f(0x18c0)][_0x50775f(0x20bb)],'id'),_0x3576c1();})[_0x3fbf34(0x1c4)](function(_0x547331){_0x451384(_0x547331);});});}function _0xd5c66(){return _0xa8277a(function(_0x529e18,_0x3ee465){const _0x3aabd3=a0_0x5cbd;return _0x25cd8a[_0x3aabd3(0x1198)][_0x3aabd3(0xbf7)]({'sectionId':_0x1d5978[_0x3aabd3(0x1f74)]['id'],'nolimit':!![]})[_0x3aabd3(0x1d77)][_0x3aabd3(0x1cb0)](function(_0x47d566){_0x529e18(_0x47d566);})[_0x3aabd3(0x1c4)](function(_0x2a856e){_0x3ee465(_0x2a856e);});});}function _0x123617(){return _0xa8277a(function(_0x50668f,_0x4d1f8b){const _0x3fff4d=a0_0x5cbd;return _0x25cd8a[_0x3fff4d(0x22f2)][_0x3fff4d(0x2348)]({'id':_0x1d5978['list']['id'],'fields':_0x3fff4d(0x251e),'nolimit':!![],'role':_0x3fff4d(0x1eff)})[_0x3fff4d(0x1d77)][_0x3fff4d(0x1cb0)](function(_0x52459b){_0x50668f(_0x52459b);})[_0x3fff4d(0x1c4)](function(_0x42bf50){_0x4d1f8b(_0x42bf50);});});}function _0x1f3f51(){return _0xa8277a(function(_0x34495a,_0x125aa5){const _0x458baf=a0_0x5cbd;return _0x25cd8a[_0x458baf(0xebe)]['get']({'fields':_0x458baf(0x251e),'nolimit':!![],'role':_0x458baf(0x1eff)})[_0x458baf(0x1d77)]['then'](function(_0x3a7c30){_0x34495a(_0x3a7c30);})[_0x458baf(0x1c4)](function(_0x4feb43){_0x125aa5(_0x4feb43);});});}function _0x5da4df(_0x1a79e4){return _0xa8277a(function(_0x1f690a,_0x181a8e){const _0x21fa14=a0_0x5cbd;_0x39641b()['isEmpty'](_0x1a79e4)?_0x1f690a():_0x25cd8a['cmList'][_0x21fa14(0x2057)]({'id':_0x1d5978[_0x21fa14(0x240)]['id'],'ids':_0x39641b()[_0x21fa14(0x1de2)](_0x1a79e4,'id')})[_0x21fa14(0x1d77)][_0x21fa14(0x1cb0)](function(){_0x1f690a();})[_0x21fa14(0x1c4)](function(_0x3f051b){_0x181a8e(_0x3f051b);});});}function _0x2d6fa1(_0x220cb3){return _0xa8277a(function(_0x424171,_0x23d5a7){const _0xca8b28=a0_0x5cbd;_0x39641b()[_0xca8b28(0xce9)](_0x220cb3)?_0x424171():_0x25cd8a[_0xca8b28(0x22f2)][_0xca8b28(0x223f)]({'id':_0x1d5978[_0xca8b28(0x240)]['id'],'ids':_0x39641b()[_0xca8b28(0x1de2)](_0x220cb3,'id')})['$promise']['then'](function(){_0x424171();})[_0xca8b28(0x1c4)](function(_0x2c2d02){_0x23d5a7(_0x2c2d02);});});}function _0x70bae6(){const _0x5aff6e=_0x3e401e,_0x5ab5a8=_0x39641b()[_0x5aff6e(0x2128)](_0x1d5978[_0x5aff6e(0x1456)],_0x1d5978[_0x5aff6e(0x20bb)],'id'),_0x4d8e8d=_0x39641b()['differenceBy'](_0x1d5978['selectedItems'],_0x1d5978[_0x5aff6e(0x1456)],'id');return _0x2d6fa1(_0x5ab5a8)['then'](function(){return _0x5da4df(_0x4d8e8d);})[_0x5aff6e(0x1cb0)](function(){const _0x203192=_0x5aff6e;_0x1d5978['pendingChanges']=![],_0x1d5978['startingAllowedItems']=angular[_0x203192(0x17fe)](_0x1d5978[_0x203192(0x1372)]),_0x1d5978['startingSelectedItems']=angular['copy'](_0x1d5978['selectedItems']),_0x2342b7[_0x203192(0x829)]({'title':_0x203192(0x201),'msg':_0x203192(0x976)});})[_0x5aff6e(0x1c4)](function(_0x43a409){const _0x1afede=_0x5aff6e;_0x2342b7['error']({'title':_0x43a409[_0x1afede(0x291)]?'API:'+_0x43a409['status']+_0x1afede(0x1657)+_0x43a409[_0x1afede(0xc22)]:'SYSTEM:LISTS_ASSOCIATION','msg':_0x43a409[_0x1afede(0x291)]?JSON[_0x1afede(0x2701)](_0x43a409['data']):_0x43a409[_0x1afede(0x147f)]()});});}function _0x57c610(){_0x538207['hide']();}}const _0x39ec34=_0x2d6ef3;;const _0x40f7ef=_0x5074a3['p']+_0x5537c6(0x123e);;_0x3c6c87[_0x5537c6(0x15b6)]=[_0x5537c6(0x173),_0x5537c6(0x1463),'$state','$q',_0x5537c6(0x1ae),_0x5537c6(0x2168),_0x5537c6(0xcb9),'$document',_0x5537c6(0x9bf),_0x5537c6(0x142b),'Auth'];function _0x3c6c87(_0xcd666,_0x114168,_0x12adf4,_0x318cc2,_0x49bde3,_0x1121c8,_0x3d261e,_0x32d504,_0x6f3313,_0x395ef2,_0xf31baf){const _0x1a669e=_0x5537c6,_0x21d7e0=this;_0x21d7e0[_0x1a669e(0xe76)]=_0xf31baf[_0x1a669e(0x21e8)](),_0x21d7e0[_0x1a669e(0x240)]={},_0x21d7e0[_0x1a669e(0xc52)]={'count':0x0,'rows':[]},_0x21d7e0[_0x1a669e(0x1c00)]=[],_0x21d7e0['crudPermissions'],_0x21d7e0[_0x1a669e(0xae2)]={'fields':_0x1a669e(0xc05),'limit':0xa,'page':0x1},_0x21d7e0[_0x1a669e(0x101d)]=_0x39641b()['keyBy']([{'option':_0x1a669e(0x620),'value':_0x1a669e(0x25b0)},{'option':_0x1a669e(0x1f6b),'value':'\x27select\x27'},{'option':_0x1a669e(0x1fef),'value':_0x1a669e(0x1079)},{'option':_0x1a669e(0x1faa),'value':_0x1a669e(0x1a79)},{'option':_0x1a669e(0x1b30),'value':_0x1a669e(0x793)}],function(_0x3855d0){const _0x11b4f3=_0x1a669e;return _0x39641b()[_0x11b4f3(0x288f)](_0x3855d0[_0x11b4f3(0x327)],new RegExp('\x27','g'),'');}),_0x21d7e0[_0x1a669e(0x16ca)]=_0x39641b()[_0x1a669e(0x2631)]([{'option':'Voice','value':'\x27voice\x27'}],function(_0x314fda){const _0x56944e=_0x1a669e;return _0x39641b()['replace'](_0x314fda[_0x56944e(0x327)],new RegExp('\x27','g'),'');}),_0x21d7e0[_0x1a669e(0x1a8e)]=_0x300f3f,_0x21d7e0[_0x1a669e(0xb25)]=_0x2e5f75,_0x21d7e0[_0x1a669e(0x829)]=_0x5c34dd,_0x21d7e0[_0x1a669e(0x195)]=_0x1958ab,_0x21d7e0[_0x1a669e(0xddc)]=_0x222f29,_0x21d7e0[_0x1a669e(0xde7)]=_0x17c2f0,_0x21d7e0[_0x1a669e(0x9de)]=_0xb6545c,_0x21d7e0[_0x1a669e(0x1e4c)]=_0x8286f7;function _0x300f3f(_0x1c6e2f,_0x304750){const _0x54003d=_0x1a669e;_0x21d7e0[_0x54003d(0x240)]=_0x1c6e2f,_0x21d7e0[_0x54003d(0x1b1a)]=typeof _0x304750!==_0x54003d(0x16b5)?_0x304750:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x21d7e0[_0x54003d(0xae2)][_0x54003d(0x20a6)]=_0x21d7e0[_0x54003d(0x240)]['id'],_0x21d7e0[_0x54003d(0xae2)]['id']=_0x21d7e0[_0x54003d(0x240)]['id'],_0x1958ab();}function _0x2e5f75(_0x22444e,_0xbc8c2b){const _0xd75f08=_0x1a669e,_0x4ebbce=_0x3d261e[_0xd75f08(0x1551)]()[_0xd75f08(0x1386)](_0xd75f08(0xdba))[_0xd75f08(0x49e)](''+(_0x22444e[_0xd75f08(0x16b6)]||_0x22444e['id']&&_0x39641b()[_0xd75f08(0x277)]('customField\x20#')+_0x22444e['id']||_0xd75f08(0x1d5a))+_0xd75f08(0x1200)+_0xd75f08(0x1b6))[_0xd75f08(0x15ad)](_0xd75f08(0xe90))[_0xd75f08(0x728)](_0xbc8c2b)['ok']('OK')[_0xd75f08(0x696)](_0xd75f08(0x24ba));_0x3d261e[_0xd75f08(0xe27)](_0x4ebbce)[_0xd75f08(0x1cb0)](function(){_0xb6545c(_0x22444e);},function(){const _0x254916=_0xd75f08;console[_0x254916(0x1b4f)]('CANCEL');});}function _0x5c34dd(_0x265e15){const _0x13a2a4=_0x1a669e;_0x21d7e0[_0x13a2a4(0xc52)]=_0x265e15||{'count':0x0,'rows':[]};}function _0x1958ab(){const _0x16e62b=_0x1a669e;_0x21d7e0[_0x16e62b(0xae2)][_0x16e62b(0x184b)]=(_0x21d7e0[_0x16e62b(0xae2)][_0x16e62b(0x1c7b)]-0x1)*_0x21d7e0['query']['limit'],_0x21d7e0[_0x16e62b(0x2061)]=_0x395ef2[_0x16e62b(0x22f2)]['getCustomFields'](_0x21d7e0['query'],_0x5c34dd)['$promise'];}function _0x222f29(_0x46b680,_0x5b180a){const _0x1c2c1e=_0x1a669e;_0x3d261e[_0x1c2c1e(0xe27)]({'controller':_0x1c2c1e(0x2365),'controllerAs':'vm','templateUrl':_0x40f7ef,'parent':angular['element'](_0x32d504['body']),'targetEvent':_0x46b680,'clickOutsideToClose':!![],'locals':{'list':_0x21d7e0[_0x1c2c1e(0x240)],'customField':_0x5b180a,'customFields':_0x21d7e0[_0x1c2c1e(0xc52)][_0x1c2c1e(0x2214)],'license':null,'setting':null,'crudPermissions':_0x21d7e0[_0x1c2c1e(0x1b1a)]}});}function _0xb6545c(_0xf76dfe){const _0x3d4be8=_0x1a669e;_0x395ef2[_0x3d4be8(0xc36)][_0x3d4be8(0x111d)]({'id':_0xf76dfe['id']})['$promise'][_0x3d4be8(0x1cb0)](function(){const _0x359882=_0x3d4be8;_0x39641b()['remove'](_0x21d7e0[_0x359882(0xc52)][_0x359882(0x2214)],{'id':_0xf76dfe['id']}),_0x21d7e0['listCustomFields']['count']-=0x1,!_0x21d7e0[_0x359882(0xc52)]['rows'][_0x359882(0xfd0)]&&_0x1958ab(),_0x6f3313[_0x359882(0x829)]({'title':_0x359882(0x2081),'msg':_0xf76dfe[_0x359882(0x16b6)]?_0xf76dfe[_0x359882(0x16b6)]+'\x20has\x20been\x20deleted!':''});})[_0x3d4be8(0x1c4)](function(_0xe039b7){const _0x403dbc=_0x3d4be8;if(_0xe039b7[_0x403dbc(0x25c)]&&_0xe039b7[_0x403dbc(0x25c)][_0x403dbc(0x1a7c)]&&_0xe039b7[_0x403dbc(0x25c)][_0x403dbc(0x1a7c)][_0x403dbc(0xfd0)]){_0x21d7e0[_0x403dbc(0x1a7c)]=_0xe039b7[_0x403dbc(0x25c)]['errors']||[{'message':_0xe039b7[_0x403dbc(0x147f)](),'type':_0x403dbc(0xf15)}];for(let _0x12a214=0x0;_0x12a214<_0xe039b7[_0x403dbc(0x25c)][_0x403dbc(0x1a7c)][_0x403dbc(0xfd0)];_0x12a214++){_0x6f3313[_0x403dbc(0x218e)]({'title':_0xe039b7['data'][_0x403dbc(0x1a7c)][_0x12a214][_0x403dbc(0x66a)],'msg':_0xe039b7[_0x403dbc(0x25c)][_0x403dbc(0x1a7c)][_0x12a214][_0x403dbc(0x155e)]});}}else _0x6f3313[_0x403dbc(0x218e)]({'title':_0xe039b7['status']?'API:'+_0xe039b7['status']+_0x403dbc(0x1657)+_0xe039b7[_0x403dbc(0xc22)]:'SYSTEM:GETcmList','msg':_0xe039b7['data']?JSON[_0x403dbc(0x2701)](_0xe039b7[_0x403dbc(0x25c)][_0x403dbc(0x155e)]):_0xe039b7[_0x403dbc(0x155e)]||_0xe039b7['toString']()});});}function _0x17c2f0(){const _0x558b32=_0x1a669e,_0x2e0fa8=angular[_0x558b32(0x17fe)](_0x21d7e0[_0x558b32(0x1c00)]);return _0x21d7e0['selectedListCustomFields']=[],_0x2e0fa8;}function _0x8286f7(_0x1fd860){const _0x590825=_0x1a669e,_0x30f7fe=_0x3d261e['confirm']()['title'](_0x590825(0x1815))[_0x590825(0x49e)](''+_0x21d7e0[_0x590825(0x1c00)][_0x590825(0xfd0)]+'\x20selected'+_0x590825(0x1b6))[_0x590825(0x15ad)](_0x590825(0x258f))['targetEvent'](_0x1fd860)['ok']('OK')[_0x590825(0x696)]('CANCEL');_0x3d261e[_0x590825(0xe27)](_0x30f7fe)[_0x590825(0x1cb0)](function(){const _0x5a759e=_0x590825;_0x21d7e0['selectedListCustomFields'][_0x5a759e(0xf90)](function(_0x3ecf84){_0xb6545c(_0x3ecf84);}),_0x21d7e0[_0x5a759e(0x1c00)]=[];});}let _0x246310=!![],_0x4f9c22=0x1;_0x114168[_0x1a669e(0x614)](_0x1a669e(0xeb6),function(_0x39c548,_0x23b31a){const _0x3f6987=_0x1a669e;_0x246310?_0x1121c8(function(){_0x246310=![];}):(!_0x23b31a&&(_0x4f9c22=_0x21d7e0['query'][_0x3f6987(0x1c7b)]),_0x39c548!==_0x23b31a&&(_0x21d7e0[_0x3f6987(0xae2)][_0x3f6987(0x1c7b)]=0x1),!_0x39c548&&(_0x21d7e0[_0x3f6987(0xae2)][_0x3f6987(0x1c7b)]=_0x4f9c22),_0x1958ab());});}const _0x2b87e9=_0x3c6c87;;_0x223b58[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),'$location',_0x5537c6(0xcb9),'$q','$translate',_0x5537c6(0x9bf),'customFields',_0x5537c6(0x1d5a),_0x5537c6(0x142b),'Auth',_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x223b58(_0xab4cd3,_0x3a3560,_0x467034,_0x3af1af,_0x349ea1,_0x38292a,_0x52c523,_0x32561b,_0x539ef3,_0x3859a6,_0x2d59d9,_0x4e152b,_0x5d43e4,_0x1d550e){const _0x261558=_0x5537c6,_0x3328d9=this;_0x3328d9[_0x261558(0xe76)]=_0x2d59d9[_0x261558(0x21e8)](),_0x3328d9['errors']=[],_0x3328d9[_0x261558(0x9ca)]=_0x5d43e4,_0x3328d9[_0x261558(0x8a5)]=_0x4e152b,_0x3328d9['crudPermissions']=_0x1d550e,_0x3328d9[_0x261558(0xf4c)]={},_0x3328d9[_0x261558(0x1b0c)]=_0x3328d9[_0x261558(0x9ca)]&&_0x3328d9[_0x261558(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x3328d9[_0x261558(0x1386)]=_0x261558(0x1e36),_0x3328d9[_0x261558(0x1cef)]={'animation':0x320,'sort':!![],'onSort':function(){const _0x565142=_0x261558;_0xab4cd3[_0x565142(0x186b)][_0x565142(0x70f)]=![];}},_0x3328d9[_0x261558(0x1d5a)]=_0x539ef3,_0x3328d9['customFields']=_0x32561b,_0x3328d9[_0x261558(0x288c)]=![];!_0x3328d9[_0x261558(0x1d5a)]&&(_0x3328d9[_0x261558(0x1d5a)]={'type':_0x261558(0x19d3),'actionType':_0x261558(0x1fd4)},_0x3328d9[_0x261558(0x1386)]='CONTACTMANAGER.NEW_CUSTOMFIELD',_0x3328d9[_0x261558(0x288c)]=!![]);_0x3a3560['params']['id']&&(_0x3328d9['customField'][_0x261558(0x20a6)]=_0x3a3560[_0x261558(0x1dfe)]['id']);_0xab4cd3[_0x261558(0x614)](_0x261558(0x1823),function(_0x4d45da,_0x18f11f){const _0xd01965=_0x261558;if(_0x4d45da!==_0x18f11f)switch(_0x4d45da){case _0xd01965(0x19d3):_0x3328d9['customField'][_0xd01965(0x27aa)]='';break;case _0xd01965(0x220f):_0x3328d9[_0xd01965(0x1d5a)][_0xd01965(0x27aa)]=[];break;default:}}),_0x3328d9[_0x261558(0x350)]=_0x25b228,_0x3328d9[_0x261558(0x125f)]=_0x22387c,_0x3328d9[_0x261558(0x20cd)]=_0x58a030,_0x3328d9['getDateFromString']=_0x462205,_0x3328d9[_0x261558(0x25ad)]=_0x52caeb,_0x3328d9[_0x261558(0xc3e)]=_0x326700,_0x3328d9['removeItems']=_0x143744,_0x3328d9[_0x261558(0xda0)]=_0x4f86a2;function _0x52caeb(_0x4dfbda){const _0x174238=_0x261558;_0x4dfbda[_0x174238(0x2785)]({'value':_0x174238(0x24ed)}),_0xab4cd3[_0x174238(0x186b)][_0x174238(0x70f)]=![];}function _0x326700(_0x3c3054,_0x2a3a70){const _0x8b42c7=_0x261558;_0x3c3054[_0x8b42c7(0x159c)](_0x2a3a70,0x1),_0xab4cd3[_0x8b42c7(0x186b)][_0x8b42c7(0x70f)]=![];}function _0x143744(_0x41f4c0){const _0x976c5c=_0x261558,_0x1da8f0=_0x41f4c0[_0x976c5c(0xfd0)];for(let _0x597aef=0x0;_0x597aef<_0x1da8f0;_0x597aef++){_0x41f4c0[_0x976c5c(0x159c)](0x0,0x1);}_0xab4cd3[_0x976c5c(0x186b)][_0x976c5c(0x70f)]=![];}function _0x25b228(){const _0x3ece52=_0x261558;_0x3328d9[_0x3ece52(0x1a7c)]=[],_0x3859a6['cmList'][_0x3ece52(0x1d79)]({'id':_0x3a3560['params']['id']},_0x3328d9[_0x3ece52(0x1d5a)])['$promise'][_0x3ece52(0x1cb0)](function(_0x4f179c){const _0x51e4ae=_0x3ece52;_0x3328d9[_0x51e4ae(0x2242)][_0x51e4ae(0xf63)](_0x4f179c[_0x51e4ae(0x19b2)]()),_0x52c523[_0x51e4ae(0x829)]({'title':_0x51e4ae(0xd2b),'msg':_0x3328d9[_0x51e4ae(0x1d5a)][_0x51e4ae(0x16b6)]?_0x3328d9[_0x51e4ae(0x1d5a)][_0x51e4ae(0x16b6)]+_0x51e4ae(0x470):''}),_0x4f86a2(_0x4f179c);})[_0x3ece52(0x1c4)](function(_0x362d52){const _0x371dc4=_0x3ece52;if(_0x362d52[_0x371dc4(0x25c)]&&_0x362d52[_0x371dc4(0x25c)][_0x371dc4(0x1a7c)]&&_0x362d52[_0x371dc4(0x25c)][_0x371dc4(0x1a7c)][_0x371dc4(0xfd0)]){_0x3328d9[_0x371dc4(0x1a7c)]=_0x362d52[_0x371dc4(0x25c)][_0x371dc4(0x1a7c)]||[{'message':_0x362d52['toString'](),'type':_0x371dc4(0x94e)}];for(let _0x4ce22b=0x0;_0x4ce22b<_0x362d52[_0x371dc4(0x25c)]['errors'][_0x371dc4(0xfd0)];_0x4ce22b+=0x1){_0x52c523[_0x371dc4(0x218e)]({'title':_0x362d52['data'][_0x371dc4(0x1a7c)][_0x4ce22b][_0x371dc4(0x66a)],'msg':_0x362d52[_0x371dc4(0x25c)]['errors'][_0x4ce22b][_0x371dc4(0x155e)]});}}else _0x52c523[_0x371dc4(0x218e)]({'title':_0x362d52[_0x371dc4(0x291)]?'API:'+_0x362d52[_0x371dc4(0x291)]+'\x20-\x20'+_0x362d52['statusText']:_0x371dc4(0x94e),'msg':_0x362d52[_0x371dc4(0x25c)]?JSON[_0x371dc4(0x2701)](_0x362d52['data']['message']):_0x362d52['toString']()});});}function _0x22387c(){const _0x31911d=_0x261558;_0x3328d9[_0x31911d(0x1a7c)]=[],_0x3859a6['cmCustomField']['update']({'id':_0x3328d9[_0x31911d(0x1d5a)]['id']},_0x3328d9[_0x31911d(0x1d5a)])[_0x31911d(0x1d77)]['then'](function(_0x194569){const _0x41b34a=_0x31911d,_0x18cbe9=_0x39641b()[_0x41b34a(0x13b4)](_0x3328d9[_0x41b34a(0x2242)],{'id':_0x194569['id']});_0x18cbe9&&_0x39641b()['merge'](_0x18cbe9,_0x39641b()[_0x41b34a(0x169b)](_0x194569[_0x41b34a(0x19b2)](),_0x39641b()['keys'](_0x18cbe9))),_0x52c523[_0x41b34a(0x829)]({'title':'CustomField\x20properly\x20saved!','msg':_0x3328d9[_0x41b34a(0x1d5a)][_0x41b34a(0x16b6)]?_0x3328d9[_0x41b34a(0x1d5a)][_0x41b34a(0x16b6)]+_0x41b34a(0xedb):''}),_0x4f86a2(_0x194569);})[_0x31911d(0x1c4)](function(_0x151736){const _0x250552=_0x31911d;if(_0x151736[_0x250552(0x25c)]&&_0x151736[_0x250552(0x25c)][_0x250552(0x1a7c)]&&_0x151736['data']['errors'][_0x250552(0xfd0)]){_0x3328d9['errors']=_0x151736[_0x250552(0x25c)]['errors']||[{'message':_0x151736[_0x250552(0x147f)](),'type':_0x250552(0x19e)}];for(let _0x41b05a=0x0;_0x41b05a<_0x151736[_0x250552(0x25c)]['errors']['length'];_0x41b05a++){_0x52c523['error']({'title':_0x151736['data'][_0x250552(0x1a7c)][_0x41b05a][_0x250552(0x66a)],'msg':_0x151736[_0x250552(0x25c)][_0x250552(0x1a7c)][_0x41b05a]['message']});}}else _0x52c523[_0x250552(0x218e)]({'title':_0x151736[_0x250552(0x291)]?'API:'+_0x151736[_0x250552(0x291)]+_0x250552(0x1657)+_0x151736['statusText']:_0x250552(0x19e),'msg':_0x151736['data']?JSON[_0x250552(0x2701)](_0x151736[_0x250552(0x25c)][_0x250552(0x155e)]):_0x151736['toString']()});});}function _0x58a030(_0x4eca29){const _0x4a0212=_0x261558;_0x3328d9[_0x4a0212(0x1a7c)]=[];const _0x5dd956=_0x3af1af[_0x4a0212(0x1551)]()[_0x4a0212(0x1386)](_0x4a0212(0x1a2e))[_0x4a0212(0x862)](_0x4a0212(0x118e))[_0x4a0212(0x15ad)](_0x4a0212(0x1123))['ok']('Delete')[_0x4a0212(0x696)](_0x4a0212(0xde1))['targetEvent'](_0x4eca29);_0x3af1af[_0x4a0212(0xe27)](_0x5dd956)[_0x4a0212(0x1cb0)](function(){const _0x481143=_0x4a0212;_0x3859a6['cmCustomField'][_0x481143(0x111d)]({'id':_0x3328d9[_0x481143(0x1d5a)]['id']})[_0x481143(0x1d77)][_0x481143(0x1cb0)](function(){const _0x1e1678=_0x481143;_0x39641b()[_0x1e1678(0x152a)](_0x3328d9[_0x1e1678(0x2242)],{'id':_0x3328d9['customField']['id']}),_0x52c523[_0x1e1678(0x829)]({'title':_0x1e1678(0x180),'msg':(_0x3328d9[_0x1e1678(0x1d5a)][_0x1e1678(0x16b6)]||'customField')+_0x1e1678(0x3f5)}),_0x4f86a2(_0x3328d9['customField']);})[_0x481143(0x1c4)](function(_0x5a8041){const _0x2117cb=_0x481143;if(_0x5a8041[_0x2117cb(0x25c)]&&_0x5a8041[_0x2117cb(0x25c)]['errors']&&_0x5a8041[_0x2117cb(0x25c)][_0x2117cb(0x1a7c)][_0x2117cb(0xfd0)]){_0x3328d9[_0x2117cb(0x1a7c)]=_0x5a8041['data']['errors']||[{'message':_0x5a8041[_0x2117cb(0x147f)](),'type':_0x2117cb(0x1d3)}];for(let _0x279e2a=0x0;_0x279e2a<_0x5a8041[_0x2117cb(0x25c)]['errors'][_0x2117cb(0xfd0)];_0x279e2a++){_0x52c523[_0x2117cb(0x218e)]({'title':_0x5a8041[_0x2117cb(0x25c)]['errors'][_0x279e2a][_0x2117cb(0x66a)],'msg':_0x5a8041['data']['errors'][_0x279e2a][_0x2117cb(0x155e)]});}}else _0x52c523[_0x2117cb(0x218e)]({'title':_0x5a8041[_0x2117cb(0x291)]?'API:'+_0x5a8041[_0x2117cb(0x291)]+_0x2117cb(0x1657)+_0x5a8041['statusText']:_0x2117cb(0x1d3),'msg':_0x5a8041[_0x2117cb(0x25c)]?JSON['stringify'](_0x5a8041[_0x2117cb(0x25c)][_0x2117cb(0x155e)]):_0x5a8041[_0x2117cb(0x155e)]||_0x5a8041['toString']()});});},function(){});}function _0x462205(_0xd7da52){return _0xd7da52===null?undefined:new Date(_0xd7da52);}function _0x4f86a2(_0x399f47){const _0x18e2dc=_0x261558;_0x3af1af[_0x18e2dc(0x1426)](_0x399f47);}}const _0x3e2868=_0x223b58;;const _0xab84fd=_0x5074a3['p']+_0x5537c6(0x5e2);;_0x27cec4[_0x5537c6(0x15b6)]=['$state','$location','$mdDialog',_0x5537c6(0x22bf),_0x5537c6(0x1ae),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x240),_0x5537c6(0x2199)];function _0x27cec4(_0x5df48b,_0xc955ba,_0x3ca706,_0x2c2f9d,_0x73df7b,_0x425227,_0x366974,_0x13d279,_0x3000fb,_0x1cc474,_0xfc2cef,_0x1401bb){const _0x4eeabe=_0x5537c6,_0x12600e=this;_0x12600e[_0x4eeabe(0xe76)]=_0x1cc474[_0x4eeabe(0x21e8)](),_0x12600e[_0x4eeabe(0x8a5)]=_0x425227,_0x12600e[_0x4eeabe(0x9ca)]=_0x366974,_0x12600e[_0x4eeabe(0x1b0c)]=_0x12600e[_0x4eeabe(0x9ca)][_0x4eeabe(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x12600e[_0x4eeabe(0x2404)]=_0xc955ba[_0x4eeabe(0x2276)]()+_0x4eeabe(0x138b)+_0xc955ba[_0x4eeabe(0x17d8)](),_0x12600e[_0x4eeabe(0x240)]=_0xfc2cef||_0x5df48b[_0x4eeabe(0x1dfe)][_0x4eeabe(0x240)]||{},_0x12600e['userProfileSection']=_0x1401bb&&_0x1401bb[_0x4eeabe(0x184d)]==0x1?_0x1401bb[_0x4eeabe(0x2214)][0x0]:null,_0x12600e[_0x4eeabe(0x1b1a)]=_0x1cc474[_0x4eeabe(0x14ea)](_0x12600e[_0x4eeabe(0x2199)]?_0x12600e[_0x4eeabe(0x2199)][_0x4eeabe(0x1b1a)]:null),_0x12600e['hasModulePermissions']={},_0x12600e[_0x4eeabe(0x8ec)]=_0x5df48b['params'][_0x4eeabe(0x291e)]||0x0,_0x12600e[_0x4eeabe(0x47d)]=_0x594231,_0x12600e[_0x4eeabe(0x1379)]=_0x31762a,_0x12600e[_0x4eeabe(0x494)]=_0x3000fb[_0x4eeabe(0x28c7)],_0x12600e['gotoLists']=_0x1e11e7,_0x12600e[_0x4eeabe(0x2391)]=_0x11655b;function _0x594231(_0x1fced8){const _0x1b210c=_0x4eeabe;if(_0x1cc474[_0x1b210c(0x22b6)](_0x1b210c(0x1c60)))_0x5df48b['go']('app.contactmanager.contacts',{'ListId':_0x1fced8['id']});else return _0x13d279[_0x1b210c(0x2199)][_0x1b210c(0xbf7)]({'userProfileId':_0x1cc474[_0x1b210c(0x21e8)]()[_0x1b210c(0x13c1)],'sectionId':0x12f})[_0x1b210c(0x1d77)][_0x1b210c(0x1cb0)](function(_0x1e31f6){const _0x38298e=_0x1b210c,_0x383b7f=_0x1e31f6&&_0x1e31f6[_0x38298e(0x2214)]?_0x1e31f6[_0x38298e(0x2214)][0x0]:null;_0x383b7f&&_0x383b7f[_0x38298e(0x281c)]?_0x5df48b['go'](_0x38298e(0x27ff),{'ListId':_0x1fced8['id']}):_0x3000fb[_0x38298e(0x28c7)]({'title':_0x73df7b[_0x38298e(0x25cc)]('STAFF.PERMISSIONS_UNAUTHORIZED_REDIRECT_TITLE'),'msg':_0x73df7b[_0x38298e(0x25cc)](_0x38298e(0x33a))});})[_0x1b210c(0x1c4)](function(_0x2b2ad3){const _0x39465f=_0x1b210c;_0x3000fb[_0x39465f(0x218e)]({'title':_0x2b2ad3['status']?_0x39465f(0xeb9)+_0x2b2ad3['status']+_0x39465f(0x1657)+_0x2b2ad3['statusText']:_0x39465f(0x17ba),'msg':_0x2b2ad3[_0x39465f(0x291)]?JSON[_0x39465f(0x2701)](_0x2b2ad3['data']):_0x2b2ad3['toString']()});});}function _0x31762a(_0x248f13,_0x255071){const _0xcacd59=_0x4eeabe;_0x3ca706[_0xcacd59(0xe27)]({'controller':_0xcacd59(0xfce),'controllerAs':'vm','templateUrl':_0xab84fd,'parent':angular[_0xcacd59(0x1853)](_0x2c2f9d[_0xcacd59(0x1ed9)]),'targetEvent':_0x255071,'clickOutsideToClose':!![],'locals':{'list':_0x248f13,'lists':_0x12600e['lists']?_0x12600e[_0xcacd59(0x1046)][_0xcacd59(0x2214)]:[],'crudPermissions':_0x12600e[_0xcacd59(0x1b1a)],'realtime':![]}});}function _0x1e11e7(){const _0x289810=_0x4eeabe;_0x5df48b['go']('app.contactmanager.lists',{},{'reload':_0x289810(0x10ea)});}function _0x11655b(){const _0x3623dc=_0x4eeabe;_0x13d279[_0x3623dc(0x22f2)][_0x3623dc(0x687)]({'id':_0x12600e[_0x3623dc(0x240)]['id']},_0x12600e[_0x3623dc(0x240)])[_0x3623dc(0x1d77)][_0x3623dc(0x1cb0)](function(){const _0x37dd94=_0x3623dc;_0x3000fb[_0x37dd94(0x829)]({'title':'List\x20updated!','msg':_0x12600e[_0x37dd94(0x240)][_0x37dd94(0x16b6)]?_0x12600e['list'][_0x37dd94(0x16b6)]+_0x37dd94(0x1068):''});})['catch'](function(_0x1e6964){const _0x134442=_0x3623dc;_0x3000fb[_0x134442(0x218e)]({'title':_0x1e6964[_0x134442(0x291)]?_0x134442(0xeb9)+_0x1e6964['status']+_0x134442(0x1657)+_0x1e6964[_0x134442(0xc22)]:_0x134442(0xf15),'msg':_0x1e6964['data']?JSON[_0x134442(0x2701)](_0x1e6964[_0x134442(0x25c)]):_0x1e6964[_0x134442(0x147f)]()});});}}const _0x526e34=_0x27cec4;;const _0x3d7049=_0x5074a3['p']+_0x5537c6(0xac5);;_0x961130[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),'$state','$mdSidenav',_0x5537c6(0xcb9),'$document',_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0x142b),_0x5537c6(0x9bf),'Auth'];function _0x961130(_0x1bfc6a,_0x1de0d6,_0x236d23,_0x3cf2be,_0x2f3af2,_0xf86a57,_0x10df51,_0x2ac177,_0xd04db0,_0x125915,_0x1bfccf){const _0x4fdaa6=_0x5537c6,_0x1c4d02=this;_0x1c4d02[_0x4fdaa6(0xe76)]=_0x1bfccf[_0x4fdaa6(0x21e8)](),_0x1c4d02[_0x4fdaa6(0xdf2)]={'count':0x0,'rows':[]},_0x1c4d02[_0x4fdaa6(0x122a)]=[],_0x1c4d02[_0x4fdaa6(0x1b1a)],_0x1c4d02[_0x4fdaa6(0x2376)]={'first':_0x4fdaa6(0x29a0),'second':_0x4fdaa6(0x26db),'third':_0x4fdaa6(0xfbe)},_0x1c4d02[_0x4fdaa6(0xae2)]={'fields':_0x4fdaa6(0x1f4b),'sort':_0x4fdaa6(0x282),'limit':0xa,'page':0x1},_0x1c4d02['init']=_0x46ec93,_0x1c4d02[_0x4fdaa6(0xb25)]=_0x217d0e,_0x1c4d02[_0x4fdaa6(0x829)]=_0xa4afad,_0x1c4d02[_0x4fdaa6(0x640)]=_0x13c544,_0x1c4d02[_0x4fdaa6(0x932)]=_0x2c8a60,_0x1c4d02['deleteDisposition']=_0x5a2c89,_0x1c4d02[_0x4fdaa6(0x1ebf)]=_0x5cd76b,_0x1c4d02['deleteSelectedDispositions']=_0xd97c24,_0x1c4d02[_0x4fdaa6(0x25ec)]=_0x56baaa,_0x1c4d02[_0x4fdaa6(0x13ff)]=_0x389747;function _0x46ec93(_0x3ee483,_0x370b2c){const _0x3c995f=_0x4fdaa6;_0x1c4d02['list']=_0x3ee483||{},_0x1c4d02['crudPermissions']=typeof _0x370b2c!==_0x3c995f(0x16b5)?_0x370b2c:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x1c4d02[_0x3c995f(0xae2)]['ListId']=_0x1c4d02[_0x3c995f(0x240)]['id'],_0x1c4d02[_0x3c995f(0xae2)]['id']=_0x1c4d02[_0x3c995f(0x240)]['id'],_0x13c544();}function _0x2c8a60(_0x7e36b1,_0x13b707){const _0x23ce51=_0x4fdaa6;_0x2f3af2[_0x23ce51(0xe27)]({'controller':_0x23ce51(0x201d),'controllerAs':'vm','templateUrl':_0x3d7049,'parent':angular[_0x23ce51(0x1853)](_0xf86a57[_0x23ce51(0x1ed9)]),'targetEvent':_0x7e36b1,'clickOutsideToClose':!![],'locals':{'disposition':_0x13b707,'model':{'id':_0x1c4d02[_0x23ce51(0x240)]['id'],'field':_0x23ce51(0x20a6),'route':_0x23ce51(0x22f2)},'license':null,'setting':null,'crudPermissions':_0x1c4d02['crudPermissions']}})[_0x23ce51(0x1cb0)](function(_0x1cde9a){if(_0x1cde9a)_0x13c544();});}function _0x217d0e(_0x129b84,_0x57e776){const _0x5eb038=_0x4fdaa6,_0x3dfe89=_0x39641b()[_0x5eb038(0x727)](_0x1c4d02['dispositions'][_0x5eb038(0x2214)],[_0x5eb038(0x55e),_0x129b84['id']]),_0x108d32=_0x2f3af2[_0x5eb038(0x1551)]()[_0x5eb038(0x1386)](_0x2ac177[_0x5eb038(0x25cc)](_0x5eb038(0x1d64)))[_0x5eb038(0x862)](_0x2ac177['instant'](_0x5eb038(0x250b)+(_0x3dfe89?_0x5eb038(0x13cb):_0x5eb038(0x1089)),{'name':_0x129b84[_0x5eb038(0x16b6)]}))[_0x5eb038(0x15ad)](_0x5eb038(0x35d))[_0x5eb038(0x728)](_0x57e776)['ok']('OK')['cancel'](_0x2ac177[_0x5eb038(0x25cc)](_0x5eb038(0x2768)));_0x2f3af2[_0x5eb038(0xe27)](_0x108d32)[_0x5eb038(0x1cb0)](function(){_0x5a2c89(_0x129b84);});}function _0xa4afad(_0xbb74bf){const _0x5354ab=_0x4fdaa6;_0x1c4d02[_0x5354ab(0xdf2)]=_0xbb74bf||{'count':0x0,'rows':[]};}function _0x13c544(){const _0xd07bb9=_0x4fdaa6;_0x1c4d02['query']['offset']=(_0x1c4d02[_0xd07bb9(0xae2)][_0xd07bb9(0x1c7b)]-0x1)*_0x1c4d02['query'][_0xd07bb9(0x236)],_0x1c4d02[_0xd07bb9(0x2061)]=_0xd04db0[_0xd07bb9(0x22f2)][_0xd07bb9(0x640)](_0x1c4d02[_0xd07bb9(0xae2)],_0xa4afad)[_0xd07bb9(0x1d77)];}function _0x5a2c89(_0x4211a0){const _0x123d2b=_0x4fdaa6;_0xd04db0['disposition'][_0x123d2b(0x111d)]({'id':_0x4211a0['id']})[_0x123d2b(0x1d77)]['then'](function(){const _0x4a258f=_0x123d2b;_0x13c544(),_0x125915[_0x4a258f(0x829)]({'title':_0x2ac177[_0x4a258f(0x25cc)]('TOOLS.NOTIFICATIONS.DISPOSITION_DELETED_SUCCESS_TITLE')});})[_0x123d2b(0x1c4)](function(_0x384a3a){const _0x396445=_0x123d2b;if(_0x384a3a[_0x396445(0x25c)]&&_0x384a3a[_0x396445(0x25c)][_0x396445(0x1a7c)]&&_0x384a3a[_0x396445(0x25c)]['errors'][_0x396445(0xfd0)]){_0x1c4d02['errors']=_0x384a3a[_0x396445(0x25c)]['errors']||[{'message':_0x384a3a['toString'](),'type':_0x396445(0x2618)}];for(let _0x108f18=0x0;_0x108f18<_0x384a3a[_0x396445(0x25c)]['errors'][_0x396445(0xfd0)];_0x108f18++){_0x125915[_0x396445(0x218e)]({'title':_0x384a3a['data'][_0x396445(0x1a7c)][_0x108f18][_0x396445(0x66a)],'msg':_0x384a3a['data'][_0x396445(0x1a7c)][_0x108f18][_0x396445(0x155e)]});}}else _0x125915['error']({'title':_0x384a3a[_0x396445(0x291)]?_0x396445(0xeb9)+_0x384a3a[_0x396445(0x291)]+'\x20-\x20'+_0x384a3a['statusText']:_0x396445(0x2618),'msg':_0x384a3a[_0x396445(0x25c)]?JSON[_0x396445(0x2701)](_0x384a3a[_0x396445(0x25c)][_0x396445(0x155e)]):_0x384a3a['message']||_0x384a3a[_0x396445(0x147f)]()});});}function _0x5cd76b(){const _0x4efaee=_0x4fdaa6,_0x2b92fa=angular[_0x4efaee(0x17fe)](_0x1c4d02[_0x4efaee(0x122a)]);return _0x1c4d02['selectedDispositions']=[],_0x2b92fa;}function _0xd97c24(_0x2a7f79){const _0xe9ead3=_0x4fdaa6,_0x5a1213=_0x2f3af2['confirm']()['title'](_0x2ac177[_0xe9ead3(0x25cc)](_0xe9ead3(0x969)))['content'](_0x2ac177['instant'](_0xe9ead3(0xb3c),{'total':_0x1c4d02['selectedDispositions']['length']}))[_0xe9ead3(0x15ad)](_0xe9ead3(0x1e1c))[_0xe9ead3(0x728)](_0x2a7f79)['ok']('OK')['cancel'](_0x2ac177[_0xe9ead3(0x25cc)](_0xe9ead3(0x2768)));_0x2f3af2[_0xe9ead3(0xe27)](_0x5a1213)['then'](function(){const _0x138f11=_0xe9ead3;_0x1c4d02['selectedDispositions'][_0x138f11(0xf90)](function(_0x108267){_0x5a2c89(_0x108267);}),_0x1c4d02[_0x138f11(0x122a)]=[];});}function _0x56baaa(){const _0x282366=_0x4fdaa6;_0x1c4d02[_0x282366(0x122a)]=[];}function _0x389747(){const _0x28c9df=_0x4fdaa6;_0x1c4d02[_0x28c9df(0x122a)]=_0x1c4d02['dispositions'][_0x28c9df(0x2214)];}let _0x5c6878=!![],_0xff6362=0x1;_0x1bfc6a['$watch'](_0x4fdaa6(0xeb6),function(_0x4cabe0,_0x106575){const _0x2bb137=_0x4fdaa6;_0x5c6878?_0x10df51(function(){_0x5c6878=![];}):(!_0x106575&&(_0xff6362=_0x1c4d02['query']['page']),_0x4cabe0!==_0x106575&&(_0x1c4d02['query'][_0x2bb137(0x1c7b)]=0x1),!_0x4cabe0&&(_0x1c4d02['query']['page']=_0xff6362),_0x13c544());});}const _0x3e4463=_0x961130;;const _0xaaa48e=_0x5074a3['p']+_0x5537c6(0x956);;_0x5d90f1['$inject']=[_0x5537c6(0x1463),'$window',_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),'$document','$timeout',_0x5537c6(0x1ae),_0x5537c6(0x1046),_0x5537c6(0x44a),'userProfileSection',_0x5537c6(0x142b),_0x5537c6(0xde8),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x5d90f1(_0x478663,_0x2593f0,_0x23b4d5,_0x3294dc,_0x4d62b8,_0x486a08,_0x4c2b95,_0x3e34cb,_0xac7639,_0x23b021,_0x191c41,_0x3a38c7,_0x2d65f7,_0x32d4b8,_0x42af10,_0x121f9c,_0x373649){const _0x46bb3c=_0x5537c6,_0x109ccd=this;_0x109ccd[_0x46bb3c(0x8a5)]=_0x121f9c,_0x109ccd[_0x46bb3c(0x9ca)]=_0x373649,_0x109ccd[_0x46bb3c(0xe76)]=_0x42af10[_0x46bb3c(0x21e8)](),_0x109ccd['lists']=_0xac7639||{'count':0x0,'rows':[]},_0x109ccd['userProfile']=_0x23b021,_0x109ccd['userProfileSection']=_0x191c41&&_0x191c41[_0x46bb3c(0x184d)]==0x1?_0x191c41[_0x46bb3c(0x2214)][0x0]:null,_0x109ccd[_0x46bb3c(0x1b1a)]=_0x42af10[_0x46bb3c(0x14ea)](_0x109ccd[_0x46bb3c(0x2199)]?_0x109ccd[_0x46bb3c(0x2199)]['crudPermissions']:null),_0x109ccd[_0x46bb3c(0xc83)]='lists',_0x109ccd[_0x46bb3c(0x1d20)]='',_0x109ccd[_0x46bb3c(0x1cdf)]=null,_0x109ccd[_0x46bb3c(0x835)]=[],_0x109ccd[_0x46bb3c(0xae2)]={'fields':'createdAt,updatedAt,id,name,description,dialPrefix','sort':_0x46bb3c(0x282),'limit':0xa,'page':0x1},_0x109ccd[_0x46bb3c(0x235d)]=_0x3d6d3c,_0x109ccd[_0x46bb3c(0x24a)]=_0x5b302a,_0x109ccd[_0x46bb3c(0x1b50)]=_0x28d00f,_0x109ccd[_0x46bb3c(0x1379)]=_0x2d90ed,_0x109ccd[_0x46bb3c(0x27fe)]=_0x4d518d,_0x109ccd[_0x46bb3c(0x829)]=_0x5e1bc8,_0x109ccd[_0x46bb3c(0x1fa7)]=_0x31d46e,_0x109ccd['createOrEditList']=_0x3d72b3,_0x109ccd[_0x46bb3c(0x1547)]=_0x2750c5,_0x109ccd[_0x46bb3c(0x7d6)]=_0x31842c,_0x109ccd[_0x46bb3c(0x67e)]=_0x115257,_0x109ccd['deselectLists']=_0x166a2e,_0x109ccd[_0x46bb3c(0x2671)]=_0x31599a;function _0x3d6d3c(_0x521ef1){_0x23b4d5['go']('app.contactmanager.lists.edit',{'id':_0x521ef1['id'],'list':_0x521ef1,'crudPermissions':_0x109ccd['crudPermissions']});}function _0x5b302a(_0x57bc21){const _0x59f45f=_0x46bb3c;if(_0x42af10[_0x59f45f(0x22b6)](_0x59f45f(0x1c60)))_0x23b4d5['go']('app.contactmanager.contacts',{'ListId':_0x57bc21['id']});else return _0x3a38c7['userProfileSection'][_0x59f45f(0xbf7)]({'userProfileId':_0x42af10[_0x59f45f(0x21e8)]()[_0x59f45f(0x13c1)],'sectionId':0x12f})[_0x59f45f(0x1d77)][_0x59f45f(0x1cb0)](function(_0x130b6d){const _0x43c5c7=_0x59f45f,_0xd27c48=_0x130b6d&&_0x130b6d[_0x43c5c7(0x2214)]?_0x130b6d[_0x43c5c7(0x2214)][0x0]:null;_0xd27c48&&_0xd27c48[_0x43c5c7(0x281c)]?_0x23b4d5['go'](_0x43c5c7(0x27ff),{'ListId':_0x57bc21['id']}):_0x32d4b8[_0x43c5c7(0x28c7)]({'title':_0x3e34cb[_0x43c5c7(0x25cc)](_0x43c5c7(0x370)),'msg':_0x3e34cb[_0x43c5c7(0x25cc)](_0x43c5c7(0x33a))});})[_0x59f45f(0x1c4)](function(_0xd345b0){const _0x11000e=_0x59f45f;_0x32d4b8['error']({'title':_0xd345b0[_0x11000e(0x291)]?_0x11000e(0xeb9)+_0xd345b0['status']+'\x20-\x20'+_0xd345b0['statusText']:_0x11000e(0x17ba),'msg':_0xd345b0[_0x11000e(0x291)]?JSON[_0x11000e(0x2701)](_0xd345b0[_0x11000e(0x25c)]):_0xd345b0[_0x11000e(0x147f)]()});});}function _0x28d00f(_0x250087){const _0x15bb3a=_0x46bb3c;return _0x3a38c7[_0x15bb3a(0x22f2)]['getContactsCsv']({'id':_0x250087['id'],'nolimit':!![]})[_0x15bb3a(0x1d77)][_0x15bb3a(0x1cb0)](function(_0x3774fe){const _0x52dcdf=_0x15bb3a;if(typeof _0x3774fe[_0x52dcdf(0xfd0)]!==_0x52dcdf(0x16b5)){const _0x52d2ca=[_0x3774fe['buffer']],_0x2494c8=new Blob(_0x52d2ca,{'type':_0x3774fe[_0x52dcdf(0x66a)]}),_0x36c5b1=window[_0x52dcdf(0x1db8)]['createElement']('a');_0x36c5b1['setAttribute'](_0x52dcdf(0x105b),URL[_0x52dcdf(0x2247)](_0x2494c8)),_0x36c5b1['setAttribute'](_0x52dcdf(0x26ec),_0x52dcdf(0x1fdb)+_0x250087['id']+_0x52dcdf(0x285c)),_0x36c5b1[_0x52dcdf(0x20b8)]();}else _0x32d4b8[_0x52dcdf(0x28c7)]({'title':_0x52dcdf(0x19f0),'msg':_0x52dcdf(0x1cac)});})[_0x15bb3a(0x1c4)](function(_0x1c9ebe){const _0x4ebbb3=_0x15bb3a;_0x32d4b8[_0x4ebbb3(0x218e)]({'title':_0x1c9ebe[_0x4ebbb3(0x291)]?_0x4ebbb3(0xeb9)+_0x1c9ebe['status']+_0x4ebbb3(0x1657)+_0x1c9ebe[_0x4ebbb3(0xc22)]:_0x4ebbb3(0xf15),'msg':_0x1c9ebe['data']?JSON[_0x4ebbb3(0x2701)](_0x1c9ebe[_0x4ebbb3(0x25c)]):_0x1c9ebe['toString']()});});}function _0x2d90ed(_0x49f6a9,_0x2e1ea3){const _0x29977d=_0x46bb3c;_0x4d62b8[_0x29977d(0xe27)]({'controller':_0x29977d(0xfce),'controllerAs':'vm','templateUrl':_0xab84fd,'parent':angular[_0x29977d(0x1853)](_0x486a08['body']),'targetEvent':_0x2e1ea3,'clickOutsideToClose':!![],'locals':{'list':_0x49f6a9,'lists':_0x109ccd[_0x29977d(0x1046)]?_0x109ccd['lists']['rows']:[],'crudPermissions':_0x109ccd[_0x29977d(0x1b1a)],'realtime':![]}});}function _0x4d518d(_0x56e46b,_0x1468e5){const _0x2cc4c5=_0x46bb3c,_0x522295=_0x4d62b8['confirm']()[_0x2cc4c5(0x1386)](_0x2cc4c5(0x140b)+_0x39641b()[_0x2cc4c5(0xa75)](_0x2cc4c5(0x240))+'?')[_0x2cc4c5(0x49e)](_0x2cc4c5(0x204d)+(_0x56e46b[_0x2cc4c5(0x16b6)]||_0x2cc4c5(0x240))+_0x2cc4c5(0x1200)+_0x2cc4c5(0x1b6))[_0x2cc4c5(0x15ad)](_0x2cc4c5(0xe92))[_0x2cc4c5(0x728)](_0x1468e5)['ok']('OK')[_0x2cc4c5(0x696)](_0x2cc4c5(0x24ba));_0x4d62b8['show'](_0x522295)[_0x2cc4c5(0x1cb0)](function(){_0x2750c5(_0x56e46b);},function(){const _0x530dcf=_0x2cc4c5;console['log'](_0x530dcf(0x24ba));});}let _0x1eef4f=!![],_0x25bd7f=0x1;_0x478663[_0x46bb3c(0x614)](_0x46bb3c(0x957),function(_0x27a8be,_0x4bab05){const _0x5334c7=_0x46bb3c;_0x1eef4f?_0x4c2b95(function(){_0x1eef4f=![];}):(!_0x4bab05&&(_0x25bd7f=_0x109ccd[_0x5334c7(0xae2)]['page']),_0x27a8be!==_0x4bab05&&(_0x109ccd[_0x5334c7(0xae2)][_0x5334c7(0x1c7b)]=0x1),!_0x27a8be&&(_0x109ccd[_0x5334c7(0xae2)][_0x5334c7(0x1c7b)]=_0x25bd7f),_0x109ccd[_0x5334c7(0x1fa7)]());});function _0x5e1bc8(_0x449c9e){const _0x2f1f90=_0x46bb3c;_0x109ccd[_0x2f1f90(0x1046)]=_0x449c9e||{'count':0x0,'rows':[]};}function _0x31d46e(){const _0xd2ad1a=_0x46bb3c;_0x109ccd[_0xd2ad1a(0xae2)][_0xd2ad1a(0x184b)]=(_0x109ccd['query'][_0xd2ad1a(0x1c7b)]-0x1)*_0x109ccd['query'][_0xd2ad1a(0x236)],_0x42af10['hasRole'](_0xd2ad1a(0x1c60))?_0x109ccd[_0xd2ad1a(0x2061)]=_0x3a38c7[_0xd2ad1a(0x22f2)]['get'](_0x109ccd[_0xd2ad1a(0xae2)],_0x5e1bc8)['$promise']:(_0x109ccd['query']['id']=_0x109ccd['userProfile']['id'],_0x109ccd[_0xd2ad1a(0xae2)][_0xd2ad1a(0x1f74)]=_0xd2ad1a(0x191f),_0x109ccd['promise']=_0x3a38c7[_0xd2ad1a(0x44a)]['getResources'](_0x109ccd['query'],_0x5e1bc8)[_0xd2ad1a(0x1d77)]);}function _0x3d72b3(_0x3d2731,_0x5f3249){const _0x2fd44d=_0x46bb3c;_0x4d62b8[_0x2fd44d(0xe27)]({'controller':'CreateOrEditListDialogController','controllerAs':'vm','templateUrl':_0xaaa48e,'parent':angular[_0x2fd44d(0x1853)](_0x486a08['body']),'targetEvent':_0x3d2731,'clickOutsideToClose':!![],'locals':{'list':_0x5f3249,'lists':_0x109ccd[_0x2fd44d(0x1046)][_0x2fd44d(0x2214)],'license':_0x109ccd['license'],'setting':_0x109ccd[_0x2fd44d(0x9ca)],'crudPermissions':_0x109ccd[_0x2fd44d(0x1b1a)]}});}function _0x2750c5(_0x225d8b){const _0x5c3a82=_0x46bb3c;_0x3a38c7['cmList'][_0x5c3a82(0x111d)]({'id':_0x225d8b['id']})[_0x5c3a82(0x1d77)][_0x5c3a82(0x1cb0)](function(){const _0x454b55=_0x5c3a82;_0x39641b()[_0x454b55(0x152a)](_0x109ccd[_0x454b55(0x1046)][_0x454b55(0x2214)],{'id':_0x225d8b['id']}),_0x109ccd[_0x454b55(0x1046)][_0x454b55(0x184d)]-=0x1,!_0x109ccd[_0x454b55(0x1046)][_0x454b55(0x2214)][_0x454b55(0xfd0)]&&_0x109ccd[_0x454b55(0x1fa7)](),_0x32d4b8[_0x454b55(0x829)]({'title':_0x39641b()['startCase'](_0x454b55(0x23b0))+_0x454b55(0x2663),'msg':_0x225d8b[_0x454b55(0x16b6)]?_0x225d8b[_0x454b55(0x16b6)]+_0x454b55(0x3f5):''});})[_0x5c3a82(0x1c4)](function(_0x325088){const _0x524b7c=_0x5c3a82;if(_0x325088[_0x524b7c(0x25c)]&&_0x325088[_0x524b7c(0x25c)][_0x524b7c(0x1a7c)]&&_0x325088[_0x524b7c(0x25c)]['errors']['length']){_0x109ccd['errors']=_0x325088[_0x524b7c(0x25c)]['errors']||[{'message':_0x325088[_0x524b7c(0x147f)](),'type':_0x524b7c(0x1206)}];for(let _0x7275af=0x0;_0x7275af<_0x325088[_0x524b7c(0x25c)]['errors'][_0x524b7c(0xfd0)];_0x7275af++){_0x32d4b8[_0x524b7c(0x218e)]({'title':_0x325088[_0x524b7c(0x25c)][_0x524b7c(0x1a7c)][_0x7275af][_0x524b7c(0x66a)],'msg':_0x325088['data'][_0x524b7c(0x1a7c)][_0x7275af]['message']});}}else _0x32d4b8[_0x524b7c(0x218e)]({'title':_0x325088[_0x524b7c(0x291)]?_0x524b7c(0xeb9)+_0x325088['status']+_0x524b7c(0x1657)+_0x325088['statusText']:_0x524b7c(0x1206),'msg':_0x325088[_0x524b7c(0x25c)]?JSON['stringify'](_0x325088[_0x524b7c(0x25c)][_0x524b7c(0x155e)]):_0x325088[_0x524b7c(0x155e)]||_0x325088[_0x524b7c(0x147f)]()});});}function _0x31842c(){const _0x25cbfc=_0x46bb3c,_0x107b37=angular['copy'](_0x109ccd[_0x25cbfc(0x835)]);return _0x109ccd['selectedLists']=[],_0x107b37;}function _0x115257(_0x5537d1){const _0x513377=_0x46bb3c,_0x2a3ece=_0x4d62b8['confirm']()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20lists?')[_0x513377(0x49e)](_0x513377(0x204d)+_0x109ccd[_0x513377(0x835)][_0x513377(0xfd0)]+_0x513377(0x1d6c)+_0x513377(0x1b6))[_0x513377(0x15ad)]('delete\x20Lists')['targetEvent'](_0x5537d1)['ok']('OK')['cancel'](_0x513377(0x24ba));_0x4d62b8['show'](_0x2a3ece)['then'](function(){const _0x408d13=_0x513377;_0x109ccd[_0x408d13(0x835)][_0x408d13(0xf90)](function(_0x41e89e){_0x2750c5(_0x41e89e);}),_0x109ccd['selectedLists']=[];});}function _0x166a2e(){const _0x4710c0=_0x46bb3c;_0x109ccd[_0x4710c0(0x835)]=[];}function _0x31599a(){const _0x91a660=_0x46bb3c;_0x109ccd[_0x91a660(0x835)]=_0x109ccd[_0x91a660(0x1046)][_0x91a660(0x2214)];}}const _0x1f5add=_0x5d90f1;;const _0x3bcb18=_0x5074a3['p']+'src/js/modules/main/apps/contactmanager/views/lists/lists.html/lists.html';;const _0x5f234c=_0x5074a3['p']+_0x5537c6(0x1da0);;const _0x5cba9f=_0x5074a3['p']+_0x5537c6(0xc79);;const _0x4b8a19=_0x5074a3['p']+'src/js/modules/main/apps/contactmanager/views/companies/edit/view.html/view.html';;const _0x5ddf2f=_0x5074a3['p']+_0x5537c6(0x166f);;_0x25a443[_0x5537c6(0x15b6)]=['$stateProvider','$translatePartialLoaderProvider'];function _0x25a443(_0x38f5c1,_0x5b0541){const _0x2bbd0d=_0x5537c6;_0x38f5c1['state'](_0x2bbd0d(0x28ce),{'abstract':!![],'url':_0x2bbd0d(0x19ff)})[_0x2bbd0d(0x27e0)]('app.contactmanager.lists',{'url':_0x2bbd0d(0x819),'views':{'content@app':{'templateUrl':_0x3bcb18,'controller':'ListsController\x20as\x20vm'}},'resolve':{'lists':[_0x2bbd0d(0x1e0b),_0x2bbd0d(0x1774),function(_0x53d288,_0x26610b){const _0x24f2ad=_0x2bbd0d;return _0x26610b[_0x24f2ad(0x22b6)](_0x24f2ad(0x1c60))?_0x53d288[_0x24f2ad(0x19a3)](_0x24f2ad(0x1b1f),{'fields':'createdAt,updatedAt,id,name,description,dialPrefix','sort':'-updatedAt','limit':0xa,'offset':0x0}):_0x53d288[_0x24f2ad(0x19a3)](_0x24f2ad(0x12da),{'id':_0x26610b[_0x24f2ad(0x21e8)]()[_0x24f2ad(0x13c1)],'section':_0x24f2ad(0x191f),'fields':_0x24f2ad(0x767),'sort':'-updatedAt','limit':0xa,'offset':0x0});}],'userProfile':[_0x2bbd0d(0x1e0b),_0x2bbd0d(0x1774),function(_0x252f3e,_0x16a005){const _0x28af8a=_0x2bbd0d;return _0x16a005[_0x28af8a(0x22b6)](_0x28af8a(0x1c60))?null:_0x252f3e[_0x28af8a(0x19a3)](_0x28af8a(0x9ae),{'fields':'id,name,crudPermissions','id':_0x16a005[_0x28af8a(0x21e8)]()['userProfileId']});}],'userProfileSection':[_0x2bbd0d(0x1e0b),'Auth',function(_0x5ff14e,_0x25b58a){const _0x4d9c2e=_0x2bbd0d;return _0x25b58a['hasRole'](_0x4d9c2e(0x1c60))?null:_0x5ff14e[_0x4d9c2e(0x19a3)](_0x4d9c2e(0x2182),{'fields':_0x4d9c2e(0x1f5f),'userProfileId':_0x25b58a[_0x4d9c2e(0x21e8)]()[_0x4d9c2e(0x13c1)],'sectionId':0x12d});}]},'authenticate':!![],'permissionId':0x12d,'bodyClass':'contactmanager'})['state']('app.contactmanager.lists.edit',{'url':_0x2bbd0d(0x1bf2),'params':{'list':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x5f234c,'controller':_0x2bbd0d(0x11a8)}},'resolve':{'list':[_0x2bbd0d(0x1e0b),_0x2bbd0d(0x225c),function(_0x8848de,_0x5aa2f6){const _0x3b93c1=_0x2bbd0d;return _0x8848de['resolve'](_0x3b93c1(0x1b1f),{'fields':_0x3b93c1(0x767),'id':_0x5aa2f6['id']});}],'userProfileSection':[_0x2bbd0d(0x1e0b),_0x2bbd0d(0x1774),function(_0x43df01,_0x1b5c2a){const _0x16f3c9=_0x2bbd0d;return _0x43df01[_0x16f3c9(0x19a3)]('userProfileSection@get',{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x1b5c2a[_0x16f3c9(0x21e8)]()[_0x16f3c9(0x13c1)],'sectionId':0x12d});}]},'authenticate':!![],'permissionId':0x12d,'bodyClass':'contactmanager'})[_0x2bbd0d(0x27e0)](_0x2bbd0d(0x26f8),{'url':_0x2bbd0d(0x21a0),'views':{'content@app':{'templateUrl':_0x5cba9f,'controller':'CompaniesController\x20as\x20vm'}},'resolve':{'companies':[_0x2bbd0d(0x1e0b),_0x2bbd0d(0x1774),function(_0x5900eb,_0x4b9a58){const _0x1b8ea2=_0x2bbd0d;return _0x4b9a58[_0x1b8ea2(0x22b6)](_0x1b8ea2(0x1c60))?_0x5900eb[_0x1b8ea2(0x19a3)](_0x1b8ea2(0x60d),{'fields':_0x1b8ea2(0x262b),'sort':'-updatedAt','limit':0xa,'offset':0x0}):_0x5900eb['resolve']('userProfile@getResources',{'id':_0x4b9a58[_0x1b8ea2(0x21e8)]()[_0x1b8ea2(0x13c1)],'section':_0x1b8ea2(0x963),'fields':_0x1b8ea2(0x262b),'sort':'-updatedAt','limit':0xa,'offset':0x0});}],'userProfile':[_0x2bbd0d(0x1e0b),_0x2bbd0d(0x1774),function(_0x29a7cb,_0x41fe97){const _0x57238f=_0x2bbd0d;return _0x41fe97[_0x57238f(0x22b6)](_0x57238f(0x1c60))?null:_0x29a7cb[_0x57238f(0x19a3)]('userProfile@get',{'fields':_0x57238f(0x279),'id':_0x41fe97[_0x57238f(0x21e8)]()[_0x57238f(0x13c1)]});}],'userProfileSection':['apiResolver',_0x2bbd0d(0x1774),function(_0xed23ee,_0x1dae83){const _0x1b40d9=_0x2bbd0d;return _0x1dae83[_0x1b40d9(0x22b6)]('admin')?null:_0xed23ee[_0x1b40d9(0x19a3)](_0x1b40d9(0x2182),{'fields':_0x1b40d9(0x1f5f),'userProfileId':_0x1dae83[_0x1b40d9(0x21e8)]()['userProfileId'],'sectionId':0x12e});}]},'authenticate':!![],'permissionId':0x12e,'bodyClass':_0x2bbd0d(0x708)})[_0x2bbd0d(0x27e0)](_0x2bbd0d(0x1d5d),{'url':_0x2bbd0d(0x1bf2),'params':{'company':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x4b8a19,'controller':'CompanyController\x20as\x20vm'}},'resolve':{'company':[_0x2bbd0d(0x1e0b),_0x2bbd0d(0x225c),function(_0x40792b,_0x27167d){const _0x2ca711=_0x2bbd0d;return _0x40792b[_0x2ca711(0x19a3)](_0x2ca711(0x60d),{'fields':_0x2ca711(0x262b),'id':_0x27167d['id']});}],'userProfileSection':[_0x2bbd0d(0x1e0b),'Auth',function(_0x15d283,_0x18e09c){const _0x40e895=_0x2bbd0d;return _0x15d283[_0x40e895(0x19a3)]('userProfileSection@get',{'fields':_0x40e895(0x1f5f),'userProfileId':_0x18e09c['getCurrentUser']()[_0x40e895(0x13c1)],'sectionId':0x12e});}]},'authenticate':!![],'permissionId':0x12e,'bodyClass':_0x2bbd0d(0x708)})['state'](_0x2bbd0d(0x269),{'url':_0x2bbd0d(0x1fd),'views':{'content@app':{'templateUrl':_0x5ddf2f,'controller':'GlobalCustomFieldsController\x20as\x20vm'}},'resolve':{'globalCustomFields':[_0x2bbd0d(0x1e0b),function(_0xf5c1ef){const _0x35ae4c=_0x2bbd0d;return _0xf5c1ef[_0x35ae4c(0x19a3)]('cmCustomField@get',{'fields':_0x35ae4c(0xc05),'sort':'-updatedAt','ListId':'null','limit':0xa,'offset':0x0});}],'userProfile':[_0x2bbd0d(0x1e0b),_0x2bbd0d(0x1774),function(_0x12e629,_0x5810fc){const _0x249497=_0x2bbd0d;return _0x5810fc['hasRole'](_0x249497(0x1c60))?null:_0x12e629['resolve'](_0x249497(0x9ae),{'fields':_0x249497(0x279),'id':_0x5810fc[_0x249497(0x21e8)]()[_0x249497(0x13c1)]});}],'userProfileSection':[_0x2bbd0d(0x1e0b),_0x2bbd0d(0x1774),function(_0x238a43,_0x519e94){const _0x10cfe6=_0x2bbd0d;return _0x519e94[_0x10cfe6(0x22b6)](_0x10cfe6(0x1c60))?null:_0x238a43[_0x10cfe6(0x19a3)](_0x10cfe6(0x2182),{'fields':_0x10cfe6(0x1f5f),'userProfileId':_0x519e94[_0x10cfe6(0x21e8)]()['userProfileId'],'sectionId':0x130});}]},'authenticate':!![],'permissionId':0x130,'bodyClass':'contactmanager'}),_0x5b0541[_0x2bbd0d(0x4e7)](_0x2bbd0d(0x93b));}angular[_0x5537c6(0x9ab)](_0x5537c6(0x28ce),[_0x5537c6(0x2770),_0x5537c6(0x2135),_0x5537c6(0x1260),'flow',_0x5537c6(0x18f6),_0x5537c6(0xd19),_0x5537c6(0x44c),'ngAria',_0x5537c6(0x1890),_0x5537c6(0x167d),'mwFormBuilder',_0x5537c6(0x1b65),'mwFormUtils',_0x5537c6(0x3b9),'angular-cron-jobs','ngEmbed',_0x5537c6(0x27af),_0x5537c6(0x13b6),_0x5537c6(0x1cbd),'angular.filter',_0x5537c6(0x27ff)])[_0x5537c6(0xa60)](_0x25a443)[_0x5537c6(0x6e5)](_0x5537c6(0x16fc),_0x4ea084)[_0x5537c6(0x6e5)](_0x5537c6(0xeec),_0x178ff5)[_0x5537c6(0x6e5)](_0x5537c6(0x2757),_0x5513dd)[_0x5537c6(0x6e5)](_0x5537c6(0x630),_0xd6ffd5)[_0x5537c6(0x6e5)](_0x5537c6(0x1dff),_0x1b9e3f)[_0x5537c6(0x6e5)](_0x5537c6(0x26f3),_0x19b63a)[_0x5537c6(0x6e5)](_0x5537c6(0xfce),_0x39ec34)[_0x5537c6(0x6e5)](_0x5537c6(0x207a),_0x2b87e9)[_0x5537c6(0x6e5)](_0x5537c6(0x2365),_0x3e2868)[_0x5537c6(0x6e5)](_0x5537c6(0x1f8f),_0x526e34)['controller'](_0x5537c6(0x13e8),_0x3e4463)[_0x5537c6(0x6e5)](_0x5537c6(0x193f),_0x1f5add);;_0x5705ca[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x2168),'$mdDialog',_0x5537c6(0x22bf),_0x5537c6(0x1f10),_0x5537c6(0x1ae),_0x5537c6(0x142b),'userProfile',_0x5537c6(0x2199),_0x5537c6(0x1774),_0x5537c6(0x1986),'toasty',_0x5537c6(0xc12),_0x5537c6(0xdf2)];function _0x5705ca(_0x3cf1b3,_0x3de573,_0x3c2d46,_0xda9ddb,_0x176f31,_0x1b7c93,_0x128ec0,_0x1c9486,_0x852c9a,_0x2e1e1d,_0x40ead7,_0x3d8184,_0x470c91,_0x23f917){const _0x4bc0f7=_0x5537c6,_0x2196cf=this;_0x2196cf[_0x4bc0f7(0xe76)]=_0x2e1e1d[_0x4bc0f7(0x21e8)](),_0x2196cf[_0x4bc0f7(0xc12)]=_0x470c91?_0x470c91:[],_0x2196cf[_0x4bc0f7(0xdf2)]=_0x23f917,_0x2196cf['abandonedCalls']={'count':0x0,'rows':[]},_0x2196cf['showTooltip']=![],_0x2196cf['refresh']=!![],_0x2196cf[_0x4bc0f7(0x44a)]=_0x1c9486,_0x2196cf[_0x4bc0f7(0x2199)]=_0x852c9a&&_0x852c9a['count']==0x1?_0x852c9a[_0x4bc0f7(0x2214)][0x0]:null,_0x2196cf[_0x4bc0f7(0x1b1a)]=_0x2e1e1d[_0x4bc0f7(0x14ea)](_0x2196cf[_0x4bc0f7(0x2199)]?_0x2196cf[_0x4bc0f7(0x2199)]['crudPermissions']:null),_0x2196cf[_0x4bc0f7(0xae2)]={'fields':'id,type,calleridnum,calleridname,queue,queuecallerabandonAt,queuecallerexitAt,queuecallerexitreason,assigned,lastAssignedTo,updatedAt,disposition,secondDisposition,thirdDisposition','type':_0x4bc0f7(0x26c0),'queuecallerexitreason':_0x4bc0f7(0x2021)+','+_0x4bc0f7(0x2778),'sort':_0x4bc0f7(0x282),'limit':0xa,'page':0x1},_0x2196cf[_0x4bc0f7(0x23a8)]=_0x47cf5c,_0x2196cf[_0x4bc0f7(0x2834)]=_0xfd82a0,_0x2196cf[_0x4bc0f7(0xe73)]=_0x50b3c2,_0x2196cf[_0x4bc0f7(0xe1c)]=_0x15da60,_0x2196cf[_0x4bc0f7(0x14be)]=_0xb7f550,_0x2196cf[_0x4bc0f7(0x5c7)]=_0x2cb54c,_0x40ead7[_0x4bc0f7(0x16b7)]('voiceQueueReport:save',_0x2196cf[_0x4bc0f7(0x14be)]),_0x40ead7['on'](_0x4bc0f7(0x125e),_0x2196cf['onUpdateVoiceQueueReport']),_0x2ab352();function _0x2ab352(){const _0x3358c6=_0x4bc0f7;let _0x2405df=_0x176f31[_0x3358c6(0x1b83)][_0x3358c6(0x2091)](_0x3358c6(0x1a02)+_0x2196cf['currentUser']['id']);if(_0x2405df)_0x2405df=JSON[_0x3358c6(0xefe)](_0x2405df),_0x2405df&&_0x2405df['query']&&(_0x2196cf[_0x3358c6(0xae2)]=_0x39641b()[_0x3358c6(0x9c1)](_0x2405df[_0x3358c6(0xae2)],_0x39641b()['omit'](_0x2196cf['query'],[_0x3358c6(0x1381),_0x3358c6(0x236),_0x3358c6(0x1c7b)])),_0x2196cf[_0x3358c6(0xae2)]=_0x39641b()[_0x3358c6(0x1bd8)](_0x2196cf[_0x3358c6(0xae2)],_0x3358c6(0x1c99)));else{const _0x2254d7={'$gte':_0x543b5a()()[_0x3358c6(0x1be0)](_0x3358c6(0x26ae))[_0x3358c6(0x17d9)](0x0,!![])[_0x3358c6(0x1f31)](),'$lte':_0x543b5a()()[_0x3358c6(0x1b4)](_0x3358c6(0x26ae))[_0x3358c6(0x17d9)](0x0,!![])[_0x3358c6(0x1f31)]()};_0x2196cf[_0x3358c6(0xae2)][_0x3358c6(0x24cb)]=_0x2254d7;}_0x2e1e1d[_0x3358c6(0x22b6)](_0x3358c6(0x1c60))?_0x128ec0[_0x3358c6(0xebe)][_0x3358c6(0x13e9)]({'id':_0x2e1e1d[_0x3358c6(0x21e8)]()['id'],'fields':'id,name','channel':_0x3358c6(0x1fd4),'type':'inbound','nolimit':!![]})[_0x3358c6(0x1d77)][_0x3358c6(0x1cb0)](function(_0x47bc61){const _0x3ca1de=_0x3358c6;_0x2196cf[_0x3ca1de(0x971)]=_0x47bc61||{'count':0x0,'rows':[]},_0x2196cf['queuesMap']=_0x39641b()[_0x3ca1de(0x1db)](_0x39641b()[_0x3ca1de(0x2631)](_0x2196cf[_0x3ca1de(0x971)]['rows'],'name'),_0x3ca1de(0x16b6));})[_0x3358c6(0x1c4)](function(_0x195838){console['error'](_0x195838);})[_0x3358c6(0x2e0)](function(){const _0x19346b=_0x3358c6;_0x2196cf[_0x19346b(0x789)]=_0x179e90(),_0x2196cf[_0x19346b(0x23a8)]();}):_0x128ec0[_0x3358c6(0x44a)][_0x3358c6(0x1810)]({'id':_0x2196cf[_0x3358c6(0xe76)]['userProfileId'],'section':_0x3358c6(0x2382),'channel':_0x3358c6(0x1fd4),'type':_0x3358c6(0x26c0),'sort':'-updatedAt','nolimit':!![]})['$promise'][_0x3358c6(0x1cb0)](function(_0x19f101){const _0x25bf67=_0x3358c6;_0x2196cf[_0x25bf67(0x971)]=_0x19f101||{'count':0x0,'rows':[]},_0x2196cf[_0x25bf67(0xb16)]=_0x39641b()['mapValues'](_0x39641b()[_0x25bf67(0x2631)](_0x2196cf[_0x25bf67(0x971)][_0x25bf67(0x2214)],'name'),'name');})[_0x3358c6(0x1c4)](function(_0x270310){console['error'](_0x270310);})[_0x3358c6(0x2e0)](function(){const _0x360264=_0x3358c6;_0x2196cf[_0x360264(0x789)]=_0x179e90(),_0x2196cf[_0x360264(0x23a8)]();});}function _0x179e90(){const _0xe03b1d=_0x4bc0f7;return[{'name':_0xe03b1d(0x68d),'key':_0xe03b1d(0x24cb),'type':_0xe03b1d(0x18ec),'label':_0xe03b1d(0xf5e)},{'name':_0xe03b1d(0x30e),'key':'lastAssignedTo','type':'select','label':_0xe03b1d(0x7bb),'options':_0x2196cf[_0xe03b1d(0xc12)][_0xe03b1d(0x2214)],'customOptions':[{'value':'\x27null\x27','translate':_0xe03b1d(0x68f)},{'value':undefined,'translate':_0xe03b1d(0x2706)}]},{'name':'Campaign','key':_0xe03b1d(0x11cf),'type':'multiselect','label':_0xe03b1d(0x519),'options':_0x2196cf[_0xe03b1d(0x971)]['rows']}];}function _0x47cf5c(){const _0x3859e4=_0x4bc0f7;_0x2196cf['refresh']=![],_0x2196cf['queues'][_0x3859e4(0x184d)]>0x0?(_0x2196cf[_0x3859e4(0xae2)][_0x3859e4(0x11cf)]=_0x2196cf[_0x3859e4(0x971)][_0x3859e4(0x184d)]===0x1?_0x2196cf['queues'][_0x3859e4(0x2214)][0x0][_0x3859e4(0x16b6)]:_0x2196cf[_0x3859e4(0xae2)][_0x3859e4(0x11cf)],_0x2196cf[_0x3859e4(0xae2)]['offset']=(_0x2196cf[_0x3859e4(0xae2)][_0x3859e4(0x1c7b)]-0x1)*_0x2196cf[_0x3859e4(0xae2)][_0x3859e4(0x236)],_0x176f31[_0x3859e4(0x1b83)]['setItem']('motion2.realtime.abandoned.voice.abandonedCalls:'+_0x2196cf[_0x3859e4(0xe76)]['id'],JSON[_0x3859e4(0x2701)]({'query':_0x39641b()[_0x3859e4(0x1bd8)](_0x2196cf['query'],_0x3859e4(0x11cf))})),_0x2196cf[_0x3859e4(0x2061)]=_0x128ec0[_0x3859e4(0xc6f)][_0x3859e4(0x7dc)](_0x2196cf[_0x3859e4(0xae2)],_0x543d4a)[_0x3859e4(0x1d77)]):_0x543d4a(null);}function _0x543d4a(_0xa8e26a){const _0x11e187=_0x4bc0f7;_0x2196cf[_0x11e187(0x292f)]=_0xa8e26a||{'count':0x0,'rows':[]},_0x2196cf[_0x11e187(0x576)]=!![];}function _0xfd82a0(){const _0x312bb8=_0x4bc0f7;_0x2196cf[_0x312bb8(0x16fe)]=![],_0x2196cf[_0x312bb8(0x23a8)]();}function _0xb7f550(_0xb6d039){const _0x399611=_0x4bc0f7,_0x10f73c=_0x399611(0x26c0);_0xb6d039&&_0x2196cf[_0x399611(0xb16)]&&_0x2196cf[_0x399611(0xae2)]['type']===_0x10f73c&&_0x2196cf[_0x399611(0xb16)][_0xb6d039[_0x399611(0x11cf)]]&&(_0x2196cf['showTooltip']=!![]);}function _0x2cb54c(_0x4d0da1){const _0x11ec65=_0x4bc0f7;if(_0x4d0da1){const _0x4d5416=_0x39641b()[_0x11ec65(0x3c2)](_0x2196cf[_0x11ec65(0x292f)][_0x11ec65(0x2214)],['id',_0x4d0da1['id']]);_0x4d5416>=0x0&&_0x39641b()[_0x11ec65(0x9c1)](_0x2196cf[_0x11ec65(0x292f)][_0x11ec65(0x2214)][_0x4d5416],_0x4d0da1);}}function _0x50b3c2(_0x8ec16c,_0x3a2359){const _0x419b14=_0x4bc0f7;_0x8ec16c&&_0x128ec0['voiceQueueReport'][_0x419b14(0x687)]({'id':_0x8ec16c['id'],'lastAssignedTo':_0x3a2359?_0x3a2359['name']:null,'assigned':_0x3a2359?!![]:![]})[_0x419b14(0x1d77)]['catch'](function(_0x47fc81){const _0x2d44f7=_0x419b14;console[_0x2d44f7(0x1b4f)](_0x47fc81);});}function _0x15da60(_0x37f12b){const _0x2f6842=_0x4bc0f7;_0x3c2d46[_0x2f6842(0xe27)]({'controller':'DisposeAbandonedCallDialogController','controllerAs':'vm','templateUrl':_0x2f6842(0x185f),'parent':angular[_0x2f6842(0x1853)](_0xda9ddb['body']),'targetEvent':_0x37f12b,'resolve':{'globalDispositions':[_0x2f6842(0x1e0b),function(_0x3fbd82){const _0x1c69a4=_0x2f6842;return _0x3fbd82['resolve'](_0x1c69a4(0x1c6f),{'MailAccountId':_0x1c69a4(0xd38),'FaxAccountId':_0x1c69a4(0xd38),'SmsAccountId':_0x1c69a4(0xd38),'WhatsappAccountId':_0x1c69a4(0xd38),'OpenchannelAccountId':_0x1c69a4(0xd38),'ChatWebsiteId':'null','ListId':'null'});}]},'locals':{'dispositions':_0x2196cf['dispositions'],'call':_0x37f12b}})[_0x2f6842(0x1cb0)](function(_0x3ac4bf){const _0x456795=_0x2f6842;if(_0x3ac4bf){const _0x20a8af={'id':_0x37f12b['id'],'disposition':_0x3ac4bf[_0x456795(0x1e1)]||null,'secondDisposition':_0x3ac4bf['secondLevel']||null,'thirdDisposition':_0x3ac4bf[_0x456795(0x28c4)]||null};_0x128ec0[_0x456795(0xc6f)]['update'](_0x20a8af)['$promise'][_0x456795(0x1c4)](function(_0x3c05d7){const _0x56a857=_0x456795;console[_0x56a857(0x1b4f)](_0x3c05d7);});}});}let _0x222bb0=!![],_0x4c1dec=0x1;_0x3cf1b3[_0x4bc0f7(0x614)]('vm.query.filter',function(_0x540f78,_0x50436c){const _0x1907f7=_0x4bc0f7;_0x222bb0?_0x3de573(function(){_0x222bb0=![];}):(!_0x50436c&&(_0x4c1dec=_0x2196cf['query']['page']),_0x540f78!==_0x50436c&&(_0x2196cf[_0x1907f7(0xae2)]['page']=0x1),!_0x540f78&&(_0x2196cf[_0x1907f7(0xae2)]['page']=_0x4c1dec),_0x2196cf[_0x1907f7(0x23a8)]());}),_0x3cf1b3[_0x4bc0f7(0x1d6)](_0x4bc0f7(0x291c),function(){const _0x1c28a0=_0x4bc0f7;_0x40ead7[_0x1c28a0(0xfb8)](_0x1c28a0(0xaa0)),_0x40ead7[_0x1c28a0(0xfb8)](_0x1c28a0(0x125e));});}const _0x13b175=_0x5705ca;;_0x4c6974['$inject']=['$scope','$q','$timeout',_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0xc34),'pauses',_0x5537c6(0xc12),_0x5537c6(0x212d),_0x5537c6(0x142b),_0x5537c6(0x1986),'toasty',_0x5537c6(0x125c),_0x5537c6(0x44a),'userProfileSection','Auth',_0x5537c6(0x8a5),_0x5537c6(0x1714)];function _0x4c6974(_0x3f8c46,_0x84fb45,_0x482b21,_0x468b90,_0x28689e,_0x535b41,_0x12ff16,_0x4f686d,_0x39681e,_0x1b1943,_0x42fed7,_0x12fe05,_0x11c388,_0x159a38,_0x35667f,_0x3c83ab,_0x325752,_0x52c646){const _0x59f336=_0x5537c6,_0x2eb6c1=this,_0xeb43bd=[_0x59f336(0x70a),_0x59f336(0xa28),_0x59f336(0x24a2),_0x59f336(0x25db),_0x59f336(0x16e6),'lastPauseAt',_0x59f336(0xdbd),_0x59f336(0x16aa),_0x59f336(0xf0c),_0x59f336(0x1c7d),_0x59f336(0x2035),_0x59f336(0x22d3),'whatsappPause',_0x59f336(0x1ce),_0x59f336(0x2478),'chatCapacity','openchannelCapacity',_0x59f336(0x2789),_0x59f336(0x3d0),_0x59f336(0x9cd),_0x59f336(0x2451),_0x59f336(0x132d),_0x59f336(0x1cd3),_0x59f336(0x2947),_0x59f336(0x2472),'whatsappCurrentCapacity',_0x59f336(0x2336),_0x59f336(0x2208),_0x59f336(0x1446),'mailStatus',_0x59f336(0xe82),_0x59f336(0x2453),_0x59f336(0x542),'voiceStatus','chatStatusTime',_0x59f336(0x22a6),_0x59f336(0x568),_0x59f336(0x9eb),'whatsappStatusTime',_0x59f336(0x1997),'voiceStatusTime',_0x59f336(0x11cf),_0x59f336(0x247),_0x59f336(0x24c5),'phoneBarEnableScreenRecordingByAgent',_0x59f336(0x1f23)],_0xa7c611=[_0x59f336(0xf0c),_0x59f336(0x1c7d),'mailPause',_0x59f336(0x22d3),_0x59f336(0x24ff),_0x59f336(0x1ce),_0x59f336(0x2478),_0x59f336(0xa28)];_0x2eb6c1[_0x59f336(0xe76)]=_0x3c83ab[_0x59f336(0x21e8)](),_0x2eb6c1[_0x59f336(0x46c)]=[_0x59f336(0x1c7e),_0x59f336(0x8d2),'busy','onhold',_0x59f336(0x1abd)],_0x2eb6c1['pauses']=_0x12ff16||{'count':0x0,'rows':[]},_0x2eb6c1[_0x59f336(0x8a5)]=_0x325752,_0x2eb6c1[_0x59f336(0x44a)]=_0x159a38,_0x2eb6c1[_0x59f336(0x2199)]=_0x35667f&&_0x35667f[_0x59f336(0x184d)]==0x1?_0x35667f[_0x59f336(0x2214)][0x0]:null,_0x2eb6c1[_0x59f336(0x1b1a)]=_0x3c83ab[_0x59f336(0x14ea)](_0x2eb6c1[_0x59f336(0x2199)]?_0x2eb6c1[_0x59f336(0x2199)][_0x59f336(0x1b1a)]:null),_0x2eb6c1['agents']=_0x4f686d?_0x39641b()['keyBy'](_0x4f686d[_0x59f336(0x2214)]?_0x4f686d[_0x59f336(0x2214)]:[],'id'):{},_0x2eb6c1[_0x59f336(0x212d)]=_0x39681e?_0x39641b()[_0x59f336(0x2631)](_0x39681e[_0x59f336(0x2214)]?_0x39681e[_0x59f336(0x2214)]:[],'id'):{},_0x2eb6c1['paginatedAgents']={},_0x2eb6c1['load']=![],_0x2eb6c1[_0x59f336(0x237f)]=[],_0x2eb6c1[_0x59f336(0x1fdd)]={};for(const _0x1559c8 in _0x2eb6c1[_0x59f336(0xc12)]){typeof _0x2eb6c1[_0x59f336(0xc12)][_0x1559c8]!=='undefined'&&(_0x2eb6c1[_0x59f336(0xc12)][_0x1559c8][_0x59f336(0x1a8e)]=!![]);}_0x2eb6c1[_0x59f336(0xae2)]={'limit':0xa,'page':0x1,'order':_0x59f336(0x1d14),'globalStatusFilter':'','pauseTypeFilter':''},_0x2eb6c1[_0x59f336(0x1366)]=_0x50e144,_0x2eb6c1[_0x59f336(0x6ad)]=_0x50395c,_0x2eb6c1[_0x59f336(0x785)]=_0x26ee9f,_0x2eb6c1['unPause']=_0x4d53ad,_0x2eb6c1['showInfo']=_0x1ff1d8,_0x2eb6c1[_0x59f336(0x616)]=_0x59a9fa,_0x2eb6c1[_0x59f336(0xfe7)]=_0x53fcdd,_0x2eb6c1[_0x59f336(0x1090)]=_0x578415,_0x2eb6c1[_0x59f336(0x225e)]=_0x38124c,_0x2eb6c1[_0x59f336(0x1bc4)]=_0x52c9f3,_0x2eb6c1['onSave']=_0x181c64,_0x2eb6c1[_0x59f336(0x13e7)]=_0xa48906,_0x2eb6c1[_0x59f336(0x1a34)]=_0x5e377c,_0x2eb6c1[_0x59f336(0x1978)]=_0x5520dd,_0x2eb6c1['onComplete']=_0x34ad34,_0x2eb6c1[_0x59f336(0xd97)]=_0x185617,_0x42fed7['on'](_0x59f336(0x2464),_0x2eb6c1[_0x59f336(0x294c)]),_0x42fed7['on'](_0x59f336(0x14c6),_0x2eb6c1['onUpdate']),_0x42fed7['on']('user:agentconnect',_0x2eb6c1[_0x59f336(0x1978)]),_0x42fed7['on']('user:agentcomplete',_0x2eb6c1['onComplete']),_0x5e377c();let _0x5605ae=_0x52c646(function(){const _0x4021a7=_0x59f336;_0x2eb6c1['load']&&(_0x2eb6c1[_0x4021a7(0x997)]=![],_0x5e377c());},0x3e7);function _0x5e377c(){const _0x33535b=_0x59f336;_0x2eb6c1[_0x33535b(0x997)]=![];const _0x5db5d5=_0x84fb45[_0x33535b(0x11f4)]();_0x2eb6c1[_0x33535b(0x2061)]=_0x5db5d5[_0x33535b(0x2061)],_0x2eb6c1[_0x33535b(0xe0e)]=[],_0x2eb6c1[_0x33535b(0x237f)]=[],_0x39641b()[_0x33535b(0x1ebd)](_0x2eb6c1[_0x33535b(0xc12)],function(_0x1fc21a,_0x58b598){const _0x4f7891=_0x33535b;_0x2eb6c1[_0x4f7891(0x212d)][_0x58b598]&&_0x39641b()[_0x4f7891(0x9c1)](_0x1fc21a,_0x39641b()[_0x4f7891(0x169b)](_0x39641b()[_0x4f7891(0x1bd8)](_0x2eb6c1[_0x4f7891(0x212d)][_0x58b598],_0xa7c611),_0xeb43bd)),_0x53fcdd(_0x1fc21a),_0x1fc21a[_0x4f7891(0xa28)]?(_0x2eb6c1['filteredAgents'][_0x4f7891(0x2785)](_0x1fc21a),_0x2eb6c1[_0x4f7891(0x1fdd)][_0x1fc21a[_0x4f7891(0xdbd)]]=_0x58b598):_0x1fc21a[_0x4f7891(0xdb9)]=_0x39641b()['toNumber'](_0x543b5a()()[_0x4f7891(0x1f31)]('x'));});_0x2eb6c1[_0x33535b(0xae2)]['globalStatusFilter']&&_0x39641b()[_0x33535b(0x152a)](_0x2eb6c1[_0x33535b(0xe0e)],function(_0x2ebb88){const _0x1ac16f=_0x33535b;return _0x2ebb88[_0x1ac16f(0x1fbe)]!==_0x2eb6c1[_0x1ac16f(0xae2)][_0x1ac16f(0x1ef1)];});_0x2eb6c1[_0x33535b(0xae2)][_0x33535b(0x25ff)]&&_0x39641b()[_0x33535b(0x152a)](_0x2eb6c1[_0x33535b(0xe0e)],function(_0x2dad4f){const _0x3253fa=_0x33535b;return _0x2dad4f[_0x3253fa(0x16e6)]!==_0x2eb6c1[_0x3253fa(0xae2)][_0x3253fa(0x25ff)];});_0x2eb6c1['query']['filter']&&_0x39641b()[_0x33535b(0x152a)](_0x2eb6c1[_0x33535b(0xe0e)],function(_0x1959a4){const _0x3bbb5f=_0x33535b;return _0x1959a4['fullname'][_0x3bbb5f(0x1680)]()['indexOf'](_0x2eb6c1[_0x3bbb5f(0xae2)][_0x3bbb5f(0x1c99)]['toLowerCase']())<0x0;});_0x2eb6c1[_0x33535b(0xe0e)]=_0x2ddd45(_0x2eb6c1[_0x33535b(0xe0e)]);const _0x47cec6=(_0x2eb6c1[_0x33535b(0xae2)][_0x33535b(0x1c7b)]-0x1)*_0x2eb6c1[_0x33535b(0xae2)][_0x33535b(0x236)];_0x2eb6c1[_0x33535b(0x260a)]=_0x39641b()[_0x33535b(0x276f)](_0x2eb6c1[_0x33535b(0xe0e)],_0x47cec6)[_0x33535b(0x14cb)](0x0,_0x2eb6c1[_0x33535b(0xae2)]['limit']);for(let _0x26b370=0x0;_0x26b370<_0x2eb6c1[_0x33535b(0x260a)][_0x33535b(0xfd0)];_0x26b370+=0x1){_0x2eb6c1[_0x33535b(0x237f)][_0x33535b(0x2785)](_0x2eb6c1[_0x33535b(0x260a)][_0x26b370]['id']);}_0x5db5d5['resolve'](),_0x2eb6c1[_0x33535b(0x997)]=!![];}function _0x181c64(_0x24d10f){const _0x3a2121=_0x59f336;_0x2eb6c1[_0x3a2121(0xc12)][_0x24d10f['id']]&&(_0x39641b()[_0x3a2121(0x9c1)](_0x2eb6c1['agents'][_0x24d10f['id']],_0x39641b()[_0x3a2121(0x169b)](_0x39641b()[_0x3a2121(0x1bd8)](_0x24d10f,_0xa7c611),_0xeb43bd)),_0x39641b()[_0x3a2121(0x9c1)](_0x2eb6c1[_0x3a2121(0x212d)][_0x24d10f['id']],_0x39641b()['pick'](_0x39641b()['omit'](_0x24d10f,_0xa7c611),_0xeb43bd)),_0x53fcdd(_0x2eb6c1[_0x3a2121(0xc12)][_0x24d10f['id']]));}function _0xa48906(_0x535065){const _0x23f616=_0x59f336;_0x2eb6c1[_0x23f616(0xc12)][_0x535065['id']]&&(_0x39641b()['merge'](_0x2eb6c1[_0x23f616(0xc12)][_0x535065['id']],_0x39641b()[_0x23f616(0x169b)](_0x535065,_0xeb43bd)),_0x39641b()[_0x23f616(0x9c1)](_0x2eb6c1[_0x23f616(0x212d)][_0x535065['id']],_0x39641b()[_0x23f616(0x169b)](_0x535065,_0xeb43bd)),_0x535065[_0x23f616(0x25db)]&&(_0x2eb6c1[_0x23f616(0xc12)][_0x535065['id']]['globalStatusTime']=_0x535065[_0x23f616(0x25db)],_0x2eb6c1['rpcAgents'][_0x535065['id']][_0x23f616(0xdb9)]=_0x535065[_0x23f616(0x25db)]),_0x53fcdd(_0x2eb6c1[_0x23f616(0xc12)][_0x535065['id']]));}function _0x5520dd(_0x326af6){const _0x5272f3=_0x59f336;_0x2eb6c1[_0x5272f3(0xc12)][_0x2eb6c1[_0x5272f3(0x1fdd)][_0x326af6[_0x5272f3(0x7ea)]]]&&(_0x39641b()[_0x5272f3(0x9c1)](_0x2eb6c1[_0x5272f3(0xc12)][_0x2eb6c1[_0x5272f3(0x1fdd)][_0x326af6['destaccountcode']]],_0x39641b()[_0x5272f3(0x169b)](_0x326af6,['queue',_0x5272f3(0x247)])),_0x39641b()[_0x5272f3(0x9c1)](_0x2eb6c1[_0x5272f3(0x212d)][_0x2eb6c1[_0x5272f3(0x1fdd)][_0x326af6[_0x5272f3(0x7ea)]]],_0x39641b()['pick'](_0x326af6,[_0x5272f3(0x11cf),_0x5272f3(0x247)])));}function _0x34ad34(_0x198ed5){const _0x4a06de=_0x59f336;_0x2eb6c1[_0x4a06de(0xc12)][_0x2eb6c1[_0x4a06de(0x1fdd)][_0x198ed5[_0x4a06de(0x7ea)]]]&&(_0x2eb6c1[_0x4a06de(0xc12)][_0x2eb6c1[_0x4a06de(0x1fdd)][_0x198ed5[_0x4a06de(0x7ea)]]]=_0x39641b()[_0x4a06de(0x1bd8)](_0x2eb6c1[_0x4a06de(0xc12)][_0x2eb6c1[_0x4a06de(0x1fdd)][_0x198ed5[_0x4a06de(0x7ea)]]],[_0x4a06de(0x11cf),_0x4a06de(0x247)]),_0x2eb6c1[_0x4a06de(0x212d)][_0x2eb6c1['agentInternal'][_0x198ed5[_0x4a06de(0x7ea)]]]=_0x39641b()['omit'](_0x2eb6c1[_0x4a06de(0x212d)][_0x2eb6c1[_0x4a06de(0x1fdd)][_0x198ed5[_0x4a06de(0x7ea)]]],[_0x4a06de(0x11cf),'destconnectedlinenum']));}function _0x50e144(_0x410bd3){const _0x2d1aab=_0x59f336;return _0x1b1943[_0x2d1aab(0xebe)][_0x2d1aab(0x1366)]({'id':_0x410bd3['id'],'device':_0x2d1aab(0x217b),'agent_id':_0x410bd3['id'],'agent_name':_0x410bd3[_0x2d1aab(0x16b6)]})[_0x2d1aab(0x1d77)][_0x2d1aab(0x1cb0)](function(){const _0x2dfd84=_0x2d1aab;_0x12fe05['success']({'title':'Agent\x20logout','msg':_0x410bd3[_0x2dfd84(0x1d14)]+'\x20\x20properly\x20logout'}),_0x2eb6c1[_0x2dfd84(0xc12)][_0x410bd3['id']]&&(_0x2eb6c1['agents'][_0x410bd3['id']]['online']=![]),_0x2eb6c1[_0x2dfd84(0x212d)][_0x410bd3['id']]&&(_0x2eb6c1[_0x2dfd84(0x212d)][_0x410bd3['id']][_0x2dfd84(0xa28)]=![]),_0x5e377c();})['catch'](function(_0x164a9f){const _0x226ff3=_0x2d1aab;_0x12fe05[_0x226ff3(0x218e)]({'title':_0x164a9f[_0x226ff3(0x291)]?_0x226ff3(0xeb9)+_0x164a9f['status']+_0x226ff3(0x1657)+_0x164a9f[_0x226ff3(0xc22)]:'api.staff.save','msg':_0x164a9f['data']?JSON['stringify'](_0x164a9f[_0x226ff3(0x25c)]['message']):_0x164a9f[_0x226ff3(0x147f)]()});});}function _0x26ee9f(_0x399162,_0x291298){const _0x28647e=_0x59f336;return _0x1b1943[_0x28647e(0xebe)][_0x28647e(0x785)]({'id':_0x399162['id'],'type':_0x291298})['$promise']['then'](function(_0x2a4f96){const _0x3627b0=_0x28647e;_0x2eb6c1[_0x3627b0(0xc12)][_0x399162['id']]&&_0x39641b()[_0x3627b0(0x9c1)](_0x2eb6c1[_0x3627b0(0xc12)][_0x399162['id']],_0x39641b()[_0x3627b0(0x169b)](_0x2a4f96,_0xeb43bd)),_0x2eb6c1[_0x3627b0(0x212d)][_0x399162['id']]&&_0x39641b()[_0x3627b0(0x9c1)](_0x2eb6c1['rpcAgents'][_0x399162['id']],_0x39641b()[_0x3627b0(0x169b)](_0x2a4f96,_0xeb43bd)),_0x53fcdd(_0x2eb6c1[_0x3627b0(0xc12)][_0x399162['id']]);})[_0x28647e(0x1c4)](function(_0x1577d7){const _0x164037=_0x28647e;_0x12fe05[_0x164037(0x218e)]({'title':_0x1577d7[_0x164037(0x291)]?'API:'+_0x1577d7[_0x164037(0x291)]+_0x164037(0x1657)+_0x1577d7[_0x164037(0xc22)]:'api.staff.save','msg':_0x1577d7[_0x164037(0x25c)]?JSON['stringify'](_0x1577d7['data'][_0x164037(0x155e)]):_0x1577d7[_0x164037(0x147f)]()});});}function _0x4d53ad(_0x2d34f0){const _0x3db2de=_0x59f336;return _0x1b1943[_0x3db2de(0xebe)]['unpause']({'id':_0x2d34f0['id']})[_0x3db2de(0x1d77)][_0x3db2de(0x1cb0)](function(_0x478d21){const _0x578200=_0x3db2de;_0x2eb6c1[_0x578200(0xc12)][_0x2d34f0['id']]&&_0x39641b()[_0x578200(0x9c1)](_0x2eb6c1['agents'][_0x2d34f0['id']],_0x39641b()['pick'](_0x478d21,_0xeb43bd)),_0x2eb6c1['rpcAgents'][_0x2d34f0['id']]&&_0x39641b()['merge'](_0x2eb6c1[_0x578200(0x212d)][_0x2d34f0['id']],_0x39641b()[_0x578200(0x169b)](_0x478d21,_0xeb43bd)),_0x53fcdd(_0x2eb6c1['agents'][_0x2d34f0['id']]);})[_0x3db2de(0x1c4)](function(_0x1ea8fc){const _0x198f34=_0x3db2de;_0x12fe05[_0x198f34(0x218e)]({'title':_0x1ea8fc[_0x198f34(0x291)]?'API:'+_0x1ea8fc[_0x198f34(0x291)]+_0x198f34(0x1657)+_0x1ea8fc[_0x198f34(0xc22)]:_0x198f34(0xcd6),'msg':_0x1ea8fc[_0x198f34(0x25c)]?JSON[_0x198f34(0x2701)](_0x1ea8fc[_0x198f34(0x25c)][_0x198f34(0x155e)]):_0x1ea8fc[_0x198f34(0x147f)]()});});}function _0x1ff1d8(_0x109586){const _0x5d98ca=_0x59f336;_0x468b90[_0x5d98ca(0xe27)](_0x468b90[_0x5d98ca(0x494)]()[_0x5d98ca(0x27e1)](!![])[_0x5d98ca(0x1386)](_0x5d98ca(0x1bc1))[_0x5d98ca(0x49e)](_0x5d98ca(0x26a))['ok']('Ok')[_0x5d98ca(0x728)](_0x109586));}function _0x50395c(_0x173bc4,_0x2e2bf9){const _0xeb7730=_0x59f336;_0x468b90['show']({'controller':_0xeb7730(0x7e6),'controllerAs':'vm','templateUrl':_0x535a6f,'parent':angular[_0xeb7730(0x1853)](_0x28689e['body']),'targetEvent':_0x2e2bf9,'clickOutsideToClose':!![],'locals':{'agent':_0x173bc4,'agents':[],'channel':_0xeb7730(0x1fd4),'direction':_0xeb7730(0x26c0),'crudPermissions':_0x2eb6c1[_0xeb7730(0x1b1a)]}});}function _0x578415(_0xbe103a){const _0x220612=_0x59f336;return _0xbe103a===_0x220612(0x785)||_0xbe103a==='*pause';}function _0x38124c(_0x23c48e){return _0x23c48e==='pause';}function _0x52c9f3(_0x378665){const _0x4d67ca=_0x59f336;return _0x378665===_0x4d67ca(0x1767);}function _0x35d366(_0x365325){const _0x282faf=_0x59f336;return _0x365325===_0x282faf(0x8df)||_0x365325==='unknown'||_0x365325===_0x282faf(0x13a2)||_0x365325===_0x282faf(0x1c7e)||_0x39641b()[_0x282faf(0x958)](_0x365325);}function _0x59a9fa(_0x56e422,_0x9fd6de){const _0x3a54de=_0x59f336;if(_0x9fd6de===_0x3a54de(0x1fd4)){if(_0x56e422[_0x9fd6de+_0x3a54de(0x938)]!==_0x3a54de(0x8df))return _0x56e422[_0x9fd6de+'Status'];if(_0x56e422[_0x9fd6de+_0x3a54de(0x1e09)])return _0x56e422[_0x9fd6de+_0x3a54de(0x938)]=_0x3a54de(0x785),_0x3a54de(0x785);return _0x3a54de(0x8df);}else{if(_0x56e422[_0x9fd6de+'Pause'])return _0x56e422[_0x9fd6de+'Status']=_0x3a54de(0x785),_0x3a54de(0x785);return _0x56e422[_0x9fd6de+_0x3a54de(0x938)];}}function _0x53fcdd(_0x5529a9){const _0x535537=_0x59f336;let _0x5b69d5=_0x535537(0x1c9d);if(_0x39641b()[_0x535537(0x1aa3)]([_0x59a9fa(_0x5529a9,_0x535537(0x1fd4)),_0x59a9fa(_0x5529a9,_0x535537(0x174c)),_0x59a9fa(_0x5529a9,_0x535537(0x2651)),_0x59a9fa(_0x5529a9,_0x535537(0x15e0)),_0x59a9fa(_0x5529a9,_0x535537(0x689)),_0x59a9fa(_0x5529a9,_0x535537(0x2476)),_0x59a9fa(_0x5529a9,_0x535537(0x1944))],_0x578415))_0x5b69d5=_0x535537(0x785);else{if(_0x39641b()['some']([_0x59a9fa(_0x5529a9,_0x535537(0x1fd4)),_0x59a9fa(_0x5529a9,_0x535537(0x174c)),_0x59a9fa(_0x5529a9,'mail'),_0x59a9fa(_0x5529a9,_0x535537(0x15e0)),_0x59a9fa(_0x5529a9,_0x535537(0x689)),_0x59a9fa(_0x5529a9,_0x535537(0x2476)),_0x59a9fa(_0x5529a9,_0x535537(0x1944))],_0x578415))_0x5b69d5=_0x535537(0x1767);else _0x39641b()[_0x535537(0x1aa3)]([_0x59a9fa(_0x5529a9,_0x535537(0x1fd4)),_0x59a9fa(_0x5529a9,_0x535537(0x174c)),_0x59a9fa(_0x5529a9,_0x535537(0x2651)),_0x59a9fa(_0x5529a9,_0x535537(0x15e0)),_0x59a9fa(_0x5529a9,_0x535537(0x689)),_0x59a9fa(_0x5529a9,'whatsapp'),_0x59a9fa(_0x5529a9,_0x535537(0x1944))],_0x35d366)?_0x5b69d5=_0x535537(0x8df):_0x5b69d5=_0x535537(0x5b2);}_0x5529a9['globalStatus']!==_0x5b69d5&&(_0x5529a9[_0x535537(0x1fbe)]=_0x5b69d5,_0x5529a9[_0x535537(0x1a8e)]?(_0x5529a9[_0x535537(0x1a8e)]=![],_0x5529a9[_0x535537(0xdb9)]=_0x578415(_0x5b69d5)?_0x39641b()[_0x535537(0x106d)](_0x543b5a()(_0x5529a9['lastPauseAt'])[_0x535537(0x1f31)]('x')):_0x39641b()[_0x535537(0xb33)]([_0x5529a9[_0x535537(0xc9c)],_0x5529a9[_0x535537(0x1b11)],_0x5529a9['mailStatusTime'],_0x5529a9[_0x535537(0x9eb)],_0x5529a9['whatsappStatusTime'],_0x5529a9[_0x535537(0x22a6)],_0x5529a9[_0x535537(0x1997)]])):_0x5529a9[_0x535537(0xdb9)]=_0x39641b()['toNumber'](_0x543b5a()()[_0x535537(0x1f31)]('x')));}function _0x2ddd45(_0x4595b3){const _0x3cef6d=_0x59f336,_0x15e49b=_0x39641b()[_0x3cef6d(0x1f2c)](_0x2eb6c1[_0x3cef6d(0xae2)][_0x3cef6d(0x237f)],'-')?_0x3cef6d(0x20a5):_0x3cef6d(0x24cc);return _0x39641b()[_0x3cef6d(0x17ca)](_0x4595b3,[_0x2eb6c1[_0x3cef6d(0xae2)][_0x3cef6d(0x237f)][_0x3cef6d(0x288f)]('-','')],[_0x15e49b]);}function _0x4a588e(){const _0x3cc7ea=_0x59f336;_0x5605ae&&(_0x52c646[_0x3cc7ea(0x696)](_0x5605ae),_0x5605ae=null);}function _0x185617(_0x1ccd11,_0x509de5){const _0x205ac3=_0x59f336;return _0x1b1943[_0x205ac3(0xebe)]['update']({'id':_0x1ccd11['id']},{'screenrecording':_0x509de5})[_0x205ac3(0x1d77)][_0x205ac3(0x1c4)](function(_0x448b05){const _0x223889=_0x205ac3;_0x12fe05[_0x223889(0x218e)]({'title':_0x448b05[_0x223889(0x291)]?_0x223889(0xeb9)+_0x448b05[_0x223889(0x291)]+_0x223889(0x1657)+_0x448b05[_0x223889(0xc22)]:_0x223889(0x498),'msg':_0x448b05[_0x223889(0x25c)]?JSON['stringify'](_0x448b05[_0x223889(0x25c)][_0x223889(0x155e)]):_0x448b05[_0x223889(0x147f)]()});});}_0x3f8c46['$on']('$destroy',function(){const _0x59460d=_0x59f336;_0x42fed7[_0x59460d(0xfb8)](_0x59460d(0x2464)),_0x42fed7[_0x59460d(0xfb8)](_0x59460d(0x14c6)),_0x42fed7[_0x59460d(0xfb8)](_0x59460d(0x2290)),_0x42fed7['removeAllListeners'](_0x59460d(0x10b3)),_0x4a588e();});}const _0x29e71f=_0x4c6974;;_0x270394['$inject']=[_0x5537c6(0x1463),'$timeout','api','socket',_0x5537c6(0xd9c),'helperClasses'];function _0x270394(_0x1c93fb,_0x5d3196,_0x541d68,_0x45aee7,_0x152ae4,_0x56cab2){const _0x414466=_0x5537c6,_0x31005c=this;_0x31005c[_0x414466(0x13e2)]=_0x152ae4||{'rows':[],'count':0x0},_0x31005c['query']={'limit':0xa,'page':0x1},_0x31005c[_0x414466(0x1d00)]=_0x56cab2['channelStatusOut'],_0x45aee7['on'](_0x414466(0x267f),_0x31005c['onSave']),_0x45aee7['on'](_0x414466(0x4a6),_0x31005c[_0x414466(0x18c8)]);function _0x19fbee(_0x10a562){const _0xff7bf=_0x414466,_0xde6b15=_0x39641b()[_0xff7bf(0x13b4)](_0x31005c[_0xff7bf(0x13e2)][_0xff7bf(0x2214)],{'channel':_0x10a562['channel']});_0x10a562['channelstatedesc']=_0x10a562[_0xff7bf(0x1560)]?'up':'ring';if(_0xde6b15)_0x39641b()[_0xff7bf(0x9c1)](_0xde6b15,_0x10a562);else _0x10a562[_0xff7bf(0x1072)]&&_0x31005c[_0xff7bf(0x13e2)][_0xff7bf(0x2214)][_0xff7bf(0x2785)](_0x10a562);}function _0xa2db0(_0x5c1043){const _0x2f4748=_0x414466,_0x25eb8a=_0x39641b()[_0x2f4748(0x3c2)](_0x31005c[_0x2f4748(0x13e2)]['rows'],{'channel':_0x5c1043[_0x2f4748(0x1072)]});_0x25eb8a>=0x0&&(_0x31005c['calls'][_0x2f4748(0x2214)][_0x25eb8a][_0x2f4748(0x291)]=_0x2f4748(0x15e3)),_0x5d3196(function(){const _0x3bcf6a=_0x2f4748;_0x31005c[_0x3bcf6a(0x13e2)][_0x3bcf6a(0x2214)]['splice'](_0x25eb8a,0x1);},0x1388);}_0x1c93fb[_0x414466(0x1d6)](_0x414466(0x291c),function(){const _0x16e510=_0x414466;_0x45aee7[_0x16e510(0xfb8)](_0x16e510(0x267f)),_0x45aee7['removeAllListeners'](_0x16e510(0x4a6));}),_0x31005c[_0x414466(0x294c)]=_0x19fbee,_0x31005c[_0x414466(0x18c8)]=_0xa2db0;}const _0x28fa07=_0x270394;;_0x1770ed['$inject']=['$scope',_0x5537c6(0x2168),_0x5537c6(0xcb9),_0x5537c6(0xc12),_0x5537c6(0x971),_0x5537c6(0x4b1),_0x5537c6(0x142b),'socket',_0x5537c6(0x125c),_0x5537c6(0x9bf),_0x5537c6(0x44a),_0x5537c6(0x2199),'Auth'];function _0x1770ed(_0x5e4a22,_0x5e570b,_0x291ebe,_0x540be9,_0x480db1,_0x4e2651,_0xd02600,_0x5e7f22,_0x3866be,_0x537ece,_0x428d7a,_0x188980,_0x44815f){const _0x2d33ee=_0x5537c6,_0x1dfe10=this;_0x1dfe10[_0x2d33ee(0xe76)]=_0x44815f[_0x2d33ee(0x21e8)](),_0x1dfe10['agents']=_0x540be9||{'count':0x0,'rows':[]},_0x1dfe10[_0x2d33ee(0x971)]=_0x480db1?_0x39641b()[_0x2d33ee(0x2631)](_0x480db1['rows']?_0x480db1[_0x2d33ee(0x2214)]:[],_0x2d33ee(0x16b6)):{},_0x1dfe10[_0x2d33ee(0x182d)]=_0x4e2651?_0x39641b()[_0x2d33ee(0x2631)](_0x4e2651['rows']?_0x39641b()[_0x2d33ee(0x1c99)](_0x4e2651[_0x2d33ee(0x2214)],{'type':_0x2d33ee(0x26c0)}):[],_0x2d33ee(0x1425)):{},_0x1dfe10['rpcVoiceQueuesChannels']={},_0x1dfe10[_0x2d33ee(0x44a)]=_0x428d7a,_0x1dfe10['userProfileSection']=_0x188980&&_0x188980[_0x2d33ee(0x184d)]==0x1?_0x188980[_0x2d33ee(0x2214)][0x0]:null,_0x1dfe10[_0x2d33ee(0x1b1a)]=_0x44815f[_0x2d33ee(0x14ea)](_0x1dfe10[_0x2d33ee(0x2199)]?_0x1dfe10[_0x2d33ee(0x2199)][_0x2d33ee(0x1b1a)]:null),_0x1dfe10[_0x2d33ee(0x2231)]={'limit':0xa,'page':0x1},_0x1dfe10['getSize']=_0x45d1a4,_0x1dfe10[_0x2d33ee(0x129c)]=_0xcf6afd,_0x1dfe10[_0x2d33ee(0x294c)]=_0x3f44f2,_0x1dfe10[_0x2d33ee(0x18c8)]=_0x5ab903,_0x1dfe10[_0x2d33ee(0x15e3)]=_0x5ea322,_0x1dfe10[_0x2d33ee(0xf9a)]=_0x3d8b30,_0x1dfe10['redirectToNumber']=_0x4d1a3a,_0x5e7f22['on'](_0x2d33ee(0x338),_0x1dfe10[_0x2d33ee(0x294c)]),_0x5e7f22['on'](_0x2d33ee(0x18f7),_0x1dfe10[_0x2d33ee(0x18c8)]);function _0x45d1a4(_0x47aab9){const _0x440224=_0x2d33ee;return _0x39641b()[_0x440224(0x1813)](_0x47aab9);}function _0xcf6afd(){_0x39641b()['forIn'](_0x1dfe10['rpcVoiceQueuesChannelsTmp'],function(_0x87297d,_0x3a4d36){const _0x294b77=a0_0x5cbd;_0x39641b()[_0x294b77(0x13b4)](_0x1dfe10[_0x294b77(0x971)],{'name':_0x87297d[_0x294b77(0x16b6)]})&&(_0x1dfe10[_0x294b77(0x4b1)][_0x3a4d36]=_0x87297d);});}function _0x3f44f2(_0x4ce58c){const _0x40ac26=_0x2d33ee;_0x4ce58c[_0x40ac26(0x66a)]===_0x40ac26(0x26c0)&&(_0x39641b()['find'](_0x1dfe10[_0x40ac26(0x971)],{'name':_0x4ce58c[_0x40ac26(0x16b6)]})&&(_0x1dfe10['rpcVoiceQueuesChannels'][_0x4ce58c[_0x40ac26(0x1425)]]=_0x4ce58c));}function _0x5ab903(_0x19c60f){const _0x1085dc=_0x2d33ee;_0x1dfe10[_0x1085dc(0x4b1)][_0x19c60f[_0x1085dc(0x1425)]]&&delete _0x1dfe10[_0x1085dc(0x4b1)][_0x19c60f[_0x1085dc(0x1425)]];}function _0x5ea322(_0x1fb898){const _0x2f128e=_0x2d33ee;return _0xd02600[_0x2f128e(0x1a00)]['getVoiceQueuesChannelHangup']({'uniqueid':_0x1fb898})[_0x2f128e(0x1d77)]['then'](function(_0x156b47){const _0x576d66=_0x2f128e;_0x537ece['success']({'title':_0x576d66(0x25af)+_0x1fb898+_0x576d66(0x2696),'msg':_0x156b47[_0x576d66(0x155e)]||''});})[_0x2f128e(0x1c4)](function(_0xff7108){const _0x25183a=_0x2f128e;_0x537ece[_0x25183a(0x218e)]({'title':_0xff7108[_0x25183a(0x291)]+_0x25183a(0x1657)+_0xff7108[_0x25183a(0xc22)],'msg':JSON[_0x25183a(0x2701)](_0xff7108[_0x25183a(0x25c)])||_0xff7108[_0x25183a(0x155e)][_0x25183a(0x1f66)]('\x20')});});}function _0x3d8b30(_0x4d99e5,_0x2e7782,_0x2f4c21){const _0x1425a4=_0x2d33ee;return _0xd02600[_0x1425a4(0x1a00)][_0x1425a4(0xc58)]({'uniqueid':_0x4d99e5,'exten':_0x2e7782,'context':_0x2f4c21})['$promise']['then'](function(_0x85171c){const _0x2f7115=_0x1425a4;_0x537ece['success']({'title':_0x2f7115(0x25af)+_0x4d99e5+_0x2f7115(0x208c),'msg':_0x85171c[_0x2f7115(0x155e)]||''});})[_0x1425a4(0x1c4)](function(_0x290145){const _0x224eaf=_0x1425a4;_0x537ece[_0x224eaf(0x218e)]({'title':_0x290145[_0x224eaf(0x291)]+_0x224eaf(0x1657)+_0x290145['statusText'],'msg':JSON[_0x224eaf(0x2701)](_0x290145['data'])||_0x290145[_0x224eaf(0x155e)][_0x224eaf(0x1f66)]('\x20')});});}function _0x4d1a3a(_0x4d33bd,_0xf10b2b){const _0x2378dd=_0x2d33ee,_0x40f7d5=_0x291ebe[_0x2378dd(0x2344)]()[_0x2378dd(0x1386)]('Which\x20number\x20do\x20you\x20want\x20transfer\x20the\x20call\x20to?')[_0x2378dd(0x161f)](_0x2378dd(0x1fef))[_0x2378dd(0x728)](_0x4d33bd)['ok']('Ok')['cancel'](_0x2378dd(0xde1));return _0x291ebe[_0x2378dd(0xe27)](_0x40f7d5)['then'](function(_0x3fa064){const _0x1ebcb8=_0x2378dd;return _0xd02600[_0x1ebcb8(0x1a00)][_0x1ebcb8(0xc58)]({'uniqueid':_0xf10b2b,'exten':_0x3fa064})['$promise'];})[_0x2378dd(0x1cb0)](function(_0x14048e){const _0x3dcb00=_0x2378dd;_0x537ece[_0x3dcb00(0x829)]({'title':_0x3dcb00(0x25af)+_0xf10b2b+_0x3dcb00(0x208c),'msg':_0x14048e['message']||''});})[_0x2378dd(0x1c4)](function(_0x4f89b7){const _0x373063=_0x2378dd;_0x537ece[_0x373063(0x218e)]({'title':_0x4f89b7[_0x373063(0x291)]+_0x373063(0x1657)+_0x4f89b7[_0x373063(0xc22)],'msg':JSON['stringify'](_0x4f89b7[_0x373063(0x25c)])||_0x4f89b7[_0x373063(0x155e)][_0x373063(0x1f66)]('\x20')});});}_0x5e4a22[_0x2d33ee(0x1d6)]('$destroy',function(){const _0x504365=_0x2d33ee;_0x5e7f22[_0x504365(0xfb8)](_0x504365(0x338)),_0x5e7f22['removeAllListeners'](_0x504365(0x18f7));});}const _0x206a91=_0x1770ed;;_0x316632[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x2168),_0x5537c6(0x1714),_0x5537c6(0x971),_0x5537c6(0x2255),_0x5537c6(0x142b),'socket',_0x5537c6(0x44a),_0x5537c6(0x2199),'Auth'];function _0x316632(_0x374c22,_0x368b4f,_0x1443b4,_0x439e42,_0x59701a,_0x3111ae,_0x1c3833,_0x4d9189,_0x4bf2ef,_0xdd3d25){const _0x20ba37=_0x5537c6,_0x2c636a=this,_0x12cdbe=['total','answered',_0x20ba37(0x89f),_0x20ba37(0x20fe),'sumHoldTime','sumDuration','sumBillable'];_0x2c636a['count']=_0x439e42[_0x20ba37(0x184d)],_0x2c636a[_0x20ba37(0x971)]=_0x439e42?_0x39641b()['keyBy'](_0x439e42[_0x20ba37(0x2214)]?_0x439e42['rows']:[],'id'):{},_0x2c636a['rpcQueues']=_0x59701a?_0x39641b()['keyBy'](_0x59701a[_0x20ba37(0x2214)]?_0x59701a['rows']:[],'id'):{},_0x2c636a[_0x20ba37(0x44a)]=_0x4d9189,_0x2c636a[_0x20ba37(0x2199)]=_0x4bf2ef&&_0x4bf2ef[_0x20ba37(0x184d)]==0x1?_0x4bf2ef['rows'][0x0]:null,_0x2c636a[_0x20ba37(0x1b1a)]=_0xdd3d25[_0x20ba37(0x14ea)](_0x2c636a['userProfileSection']?_0x2c636a[_0x20ba37(0x2199)][_0x20ba37(0x1b1a)]:null);_0xdd3d25[_0x20ba37(0x22b6)](_0x20ba37(0x1c60))?_0x2c636a[_0x20ba37(0xae2)]={'type':'inbound','fields':_0x20ba37(0x43c),'sort':'-updatedAt','limit':0xa,'page':0x1}:_0x2c636a[_0x20ba37(0xae2)]={'id':_0xdd3d25[_0x20ba37(0x21e8)]()['id'],'channel':'voice','type':_0x20ba37(0x26c0),'fields':'id,name','sort':_0x20ba37(0x282),'limit':0xa,'page':0x1};_0x2c636a[_0x20ba37(0x829)]=_0x542d25,_0x2c636a[_0x20ba37(0x13e9)]=_0x5cd035,_0x2c636a[_0x20ba37(0x294c)]=_0x42149e,_0x2c636a[_0x20ba37(0x129c)]=_0x39a6ec,_0x1c3833[_0x20ba37(0x16b7)]('voice_queue:save',_0x2c636a['onSave']),_0x2c636a[_0x20ba37(0x1ac8)]=_0x1443b4(function(){},0x3e7);function _0x39a6ec(){const _0x58714d=_0x20ba37;_0x39641b()[_0x58714d(0x1ebd)](_0x2c636a['queues'],function(_0x5b3e77,_0x263891){const _0x3a5f8a=_0x58714d;_0x2c636a[_0x3a5f8a(0x2255)][_0x263891]&&_0x39641b()[_0x3a5f8a(0x9c1)](_0x5b3e77,_0x39641b()[_0x3a5f8a(0x169b)](_0x2c636a[_0x3a5f8a(0x2255)][_0x263891],_0x12cdbe));});}function _0x42149e(_0x4a381a){const _0x554d74=_0x20ba37;_0x2c636a[_0x554d74(0x971)][_0x4a381a['id']]&&_0x39641b()[_0x554d74(0x9c1)](_0x2c636a[_0x554d74(0x971)][_0x4a381a['id']],_0x39641b()[_0x554d74(0x169b)](_0x4a381a,_0x12cdbe));}let _0x1f4ee0=!![],_0x10e7ef=0x1;_0x374c22[_0x20ba37(0x614)](_0x20ba37(0x957),function(_0x479649,_0x437931){const _0x5cdd32=_0x20ba37;_0x1f4ee0?_0x368b4f(function(){_0x1f4ee0=![];}):(!_0x437931&&(_0x10e7ef=_0x2c636a[_0x5cdd32(0xae2)][_0x5cdd32(0x1c7b)]),_0x479649!==_0x437931&&(_0x2c636a['query'][_0x5cdd32(0x1c7b)]=0x1),!_0x479649&&(_0x2c636a['query']['page']=_0x10e7ef),_0x2c636a[_0x5cdd32(0x13e9)]());});function _0x542d25(_0x2937a3){const _0x42f29e=_0x20ba37;_0x2c636a[_0x42f29e(0x184d)]=_0x2937a3['count'],_0x2c636a[_0x42f29e(0x971)]=_0x2937a3?_0x39641b()[_0x42f29e(0x2631)](_0x2937a3[_0x42f29e(0x2214)]?_0x2937a3['rows']:[],'id'):{},_0x39a6ec();}function _0x5cd035(){const _0x202d0a=_0x20ba37;_0x2c636a['query'][_0x202d0a(0x184b)]=(_0x2c636a[_0x202d0a(0xae2)][_0x202d0a(0x1c7b)]-0x1)*_0x2c636a['query'][_0x202d0a(0x236)],_0xdd3d25[_0x202d0a(0x22b6)](_0x202d0a(0x1c60))?_0x2c636a[_0x202d0a(0x2061)]=_0x3111ae['voiceQueue']['get'](_0x2c636a[_0x202d0a(0xae2)],_0x542d25)[_0x202d0a(0x1d77)]:(_0x2c636a[_0x202d0a(0xae2)]['id']=_0x2c636a[_0x202d0a(0x44a)]['id'],_0x2c636a[_0x202d0a(0xae2)][_0x202d0a(0x1f74)]=_0x202d0a(0x2382),_0x2c636a['promise']=_0x3111ae[_0x202d0a(0x44a)]['getResources'](_0x2c636a[_0x202d0a(0xae2)],_0x542d25)[_0x202d0a(0x1d77)]);}_0x374c22[_0x20ba37(0x1d6)]('$destroy',function(){const _0x3655ae=_0x20ba37;_0x1c3833[_0x3655ae(0xfb8)](_0x3655ae(0x82a)),_0x2c636a[_0x3655ae(0x1ac8)]&&_0x1443b4[_0x3655ae(0x696)](_0x2c636a['interval']);});}const _0x38780f=_0x316632;;const _0x50b690=_0x5074a3['p']+_0x5537c6(0x22f0);;const _0x434d1c=_0x5074a3['p']+'src/js/modules/main/apps/voice/views/voiceQueues/edit/agentadd/agentadd.html/agentadd.html';;_0x2d98f3['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x2168),_0x5537c6(0xcb9),'$q',_0x5537c6(0x22bf),_0x5537c6(0x1714),_0x5537c6(0x971),_0x5537c6(0x2255),'api',_0x5537c6(0x1986),'toasty',_0x5537c6(0x44a),'userProfileSection',_0x5537c6(0x1774)];function _0x2d98f3(_0x5ecfb2,_0x3f7530,_0x13abbc,_0x2148c,_0x3dd213,_0x324dbb,_0x2d28d4,_0x58049c,_0x1c782b,_0x3f058f,_0x4a4a21,_0x41264a,_0x5eedbb,_0xed654){const _0x452efd=_0x5537c6,_0x3d346b=this,_0x1e990a=['loggedIn',_0x452efd(0x2803),_0x452efd(0x26b6),_0x452efd(0x192a),'pTalking','originated',_0x452efd(0x155e),_0x452efd(0x737),_0x452efd(0xda8),'Trunk',_0x452efd(0x139c)];_0x3d346b['currentUser']=_0xed654[_0x452efd(0x21e8)](),_0x3d346b[_0x452efd(0x184d)]=_0x2d28d4[_0x452efd(0x184d)]?_0x2d28d4[_0x452efd(0x184d)]:0x0,_0x3d346b[_0x452efd(0x971)]=_0x2d28d4?_0x39641b()[_0x452efd(0x2631)](_0x2d28d4[_0x452efd(0x2214)]?_0x2d28d4['rows']:[],'id'):{},_0x3d346b['rpcQueues']=_0x58049c?_0x39641b()['keyBy'](_0x58049c['rows']?_0x58049c['rows']:[],'id'):{},_0x3d346b[_0x452efd(0x44a)]=_0x41264a,_0x3d346b['userProfileSection']=_0x5eedbb&&_0x5eedbb[_0x452efd(0x184d)]==0x1?_0x5eedbb[_0x452efd(0x2214)][0x0]:null,_0x3d346b[_0x452efd(0x1b1a)]=_0xed654[_0x452efd(0x14ea)](_0x3d346b['userProfileSection']?_0x3d346b[_0x452efd(0x2199)]['crudPermissions']:null);_0xed654['hasRole'](_0x452efd(0x1c60))?_0x3d346b['query']={'type':'inbound','sort':_0x452efd(0x282),'limit':0xa,'page':0x1}:_0x3d346b['query']={'id':_0x3d346b[_0x452efd(0xe76)]['id'],'channel':'voice','type':_0x452efd(0x26c0),'sort':_0x452efd(0x282),'limit':0xa,'page':0x1};_0x3d346b[_0x452efd(0x829)]=_0x4ac401,_0x3d346b[_0x452efd(0x13e9)]=_0x1d1bd0,_0x3d346b['createOrEditVoiceQueue']=_0x271de3,_0x3d346b[_0x452efd(0x1be7)]=_0x43681a,_0x3d346b['updateQueue']=_0x332ae6,_0x3d346b[_0x452efd(0x294c)]=_0x31118f,_0x3d346b[_0x452efd(0x18e4)]=_0x775e76,_0x3d346b['onLogin']=_0x1e98bb,_0x3d346b[_0x452efd(0x3be)]=_0x23a6ee,_0x3d346b[_0x452efd(0x129c)]=_0x3e3549,_0x3d346b[_0x452efd(0x237f)]=[],_0x39641b()[_0x452efd(0x1ebd)](_0x3d346b[_0x452efd(0x971)],function(_0x1527ef){const _0x5d3642=_0x452efd;_0x3d346b['order'][_0x5d3642(0x2785)](_0x1527ef['id']);}),_0x3f058f['onWithoutApply'](_0x452efd(0x82a),_0x3d346b[_0x452efd(0x294c)]),_0x3d346b[_0x452efd(0x1ac8)]=_0x324dbb(function(){},0x3e7),_0x3f058f['on'](_0x452efd(0x14c6),_0x3d346b[_0x452efd(0x18e4)]),_0x3f058f['on'](_0x452efd(0x201e),_0x3d346b[_0x452efd(0x3be)]),_0x3f058f['on'](_0x452efd(0x828),_0x3d346b[_0x452efd(0x26c9)]);function _0x3e3549(){const _0x593a7a=_0x452efd,_0x1c11ed=[];return _0x39641b()[_0x593a7a(0x1ebd)](_0x3d346b[_0x593a7a(0x971)],function(_0x2db963,_0x3356e0){const _0x462be4=_0x593a7a;_0x2db963[_0x462be4(0x139c)]=0x0,_0x2db963['loggedInDb']=0x0,_0x3d346b[_0x462be4(0x971)][_0x3356e0]['agents']={},_0x1c11ed[_0x462be4(0x2785)](_0x1c782b[_0x462be4(0x26b3)][_0x462be4(0x11dd)]({'id':_0x3356e0})[_0x462be4(0x1d77)]),_0x3d346b[_0x462be4(0x2255)][_0x3356e0]&&_0x39641b()['merge'](_0x2db963,_0x39641b()[_0x462be4(0x169b)](_0x3d346b[_0x462be4(0x2255)][_0x3356e0],_0x1e990a));}),_0x2148c[_0x593a7a(0x223b)](_0x1c11ed)[_0x593a7a(0x1cb0)](function(_0x1d8da4){const _0x2f74a6=_0x593a7a;for(let _0x353c20=0x0;_0x353c20<_0x1d8da4[_0x2f74a6(0xfd0)];_0x353c20+=0x1){for(let _0x22b23d=0x0;_0x22b23d<_0x1d8da4[_0x353c20][_0x2f74a6(0x2214)][_0x2f74a6(0xfd0)];_0x22b23d++){_0x1d8da4[_0x353c20][_0x2f74a6(0x2214)][_0x22b23d][_0x2f74a6(0x139c)]&&_0x3d346b['queues'][_0x1d8da4[_0x353c20][_0x2f74a6(0x2214)][_0x22b23d][_0x2f74a6(0x1116)]][_0x2f74a6(0x139c)]++,_0x3d346b[_0x2f74a6(0x971)][_0x1d8da4[_0x353c20][_0x2f74a6(0x2214)][_0x22b23d][_0x2f74a6(0x1116)]][_0x2f74a6(0x29a2)]++,_0x3d346b[_0x2f74a6(0x971)][_0x1d8da4[_0x353c20][_0x2f74a6(0x2214)][_0x22b23d][_0x2f74a6(0x1116)]][_0x2f74a6(0xc12)][_0x1d8da4[_0x353c20]['rows'][_0x22b23d]['UserId']]=_0x1d8da4[_0x353c20]['rows'][_0x22b23d]['VoiceQueueId'];}}})[_0x593a7a(0x1c4)](function(_0x23155d){const _0x564669=_0x593a7a;console[_0x564669(0x218e)](_0x23155d);});}function _0x31118f(_0x22bada){const _0x232c01=_0x452efd;_0x3d346b['queues'][_0x22bada['id']]&&_0x39641b()['merge'](_0x3d346b[_0x232c01(0x971)][_0x22bada['id']],_0x39641b()[_0x232c01(0x169b)](_0x22bada,_0x1e990a));}function _0x775e76(_0x56b8f7){const _0x17039a=_0x452efd;console[_0x17039a(0x1b4f)]('onPause',_0x56b8f7);if(_0x56b8f7[_0x17039a(0x2478)])_0x39641b()[_0x17039a(0x1ebd)](_0x3d346b[_0x17039a(0x971)],function(_0x349a4c){const _0x2fe687=_0x17039a;_0x349a4c[_0x2fe687(0xc12)][_0x56b8f7['id']]&&(_0x349a4c[_0x2fe687(0x139c)]+=0x1,console['log'](_0x2fe687(0x785)));});else!_0x56b8f7[_0x17039a(0x2478)]&&_0x39641b()[_0x17039a(0x1ebd)](_0x3d346b[_0x17039a(0x971)],function(_0x44aac0){const _0x5d753b=_0x17039a;_0x44aac0[_0x5d753b(0xc12)][_0x56b8f7['id']]&&(_0x44aac0['paused']>0x0&&(_0x44aac0[_0x5d753b(0x139c)]-=0x1,console['log']('unpause')));});}function _0x1e98bb(_0x3a4201){const _0xf38892=_0x452efd;console[_0xf38892(0x1b4f)](_0xf38892(0x2557),_0x3a4201),_0x3d346b[_0xf38892(0x971)][_0x3a4201['VoiceQueueId']]&&(_0x3d346b[_0xf38892(0x971)][_0x3a4201['VoiceQueueId']]['loggedInDb']+=0x1,_0x3d346b[_0xf38892(0x971)][_0x3a4201[_0xf38892(0x1116)]][_0xf38892(0xc12)][_0x3a4201[_0xf38892(0x21ab)]]=_0x3a4201[_0xf38892(0x1116)]);}function _0x23a6ee(_0x3c4e53){const _0x318426=_0x452efd;console[_0x318426(0x1b4f)](_0x318426(0x3be),_0x3c4e53),_0x3d346b[_0x318426(0x971)][_0x3c4e53[_0x318426(0x1116)]]&&_0x3d346b['queues'][_0x3c4e53[_0x318426(0x1116)]][_0x318426(0x29a2)]>0x0&&(_0x3d346b[_0x318426(0x971)][_0x3c4e53[_0x318426(0x1116)]][_0x318426(0x29a2)]-=0x1,delete _0x3d346b[_0x318426(0x971)][_0x3c4e53[_0x318426(0x1116)]][_0x318426(0xc12)][_0x3c4e53[_0x318426(0x21ab)]]);}let _0x2c9cba=!![],_0x4c075b=0x1;_0x5ecfb2[_0x452efd(0x614)](_0x452efd(0x957),function(_0xea61cd,_0x354b6b){const _0x4f8ba1=_0x452efd;_0x2c9cba?_0x3f7530(function(){_0x2c9cba=![];}):(!_0x354b6b&&(_0x4c075b=_0x3d346b[_0x4f8ba1(0xae2)][_0x4f8ba1(0x1c7b)]),_0xea61cd!==_0x354b6b&&(_0x3d346b['query'][_0x4f8ba1(0x1c7b)]=0x1),!_0xea61cd&&(_0x3d346b[_0x4f8ba1(0xae2)][_0x4f8ba1(0x1c7b)]=_0x4c075b),_0x3d346b['getQueues']());});function _0x4ac401(_0x4506ce){const _0x2c70c0=_0x452efd;_0x3d346b[_0x2c70c0(0x184d)]=_0x4506ce[_0x2c70c0(0x184d)],_0x3d346b[_0x2c70c0(0x971)]=_0x4506ce?_0x39641b()[_0x2c70c0(0x2631)](_0x4506ce[_0x2c70c0(0x2214)]?_0x4506ce['rows']:[],'id'):{};if(_0x4506ce[_0x2c70c0(0x2214)]){_0x3d346b[_0x2c70c0(0x237f)]=[];for(let _0x581584=0x0;_0x581584<_0x4506ce[_0x2c70c0(0x2214)]['length'];_0x581584++){_0x3d346b['order'][_0x2c70c0(0x2785)](_0x4506ce[_0x2c70c0(0x2214)][_0x581584]['id']);}}_0x3e3549();}function _0x1d1bd0(){const _0x4ca529=_0x452efd;_0x3d346b[_0x4ca529(0xae2)][_0x4ca529(0x184b)]=(_0x3d346b[_0x4ca529(0xae2)][_0x4ca529(0x1c7b)]-0x1)*_0x3d346b['query'][_0x4ca529(0x236)],_0xed654[_0x4ca529(0x22b6)](_0x4ca529(0x1c60))?_0x3d346b[_0x4ca529(0x2061)]=_0x1c782b[_0x4ca529(0x26b3)][_0x4ca529(0xbf7)](_0x3d346b['query'],_0x4ac401)[_0x4ca529(0x1d77)]:(_0x3d346b[_0x4ca529(0xae2)]['id']=_0x3d346b[_0x4ca529(0x44a)]['id'],_0x3d346b[_0x4ca529(0xae2)]['section']=_0x4ca529(0x2382),_0x3d346b['promise']=_0x1c782b['userProfile'][_0x4ca529(0x1810)](_0x3d346b[_0x4ca529(0xae2)],_0x4ac401)[_0x4ca529(0x1d77)]);}function _0x271de3(_0x2e3778,_0xef36cd){const _0x3168c9=_0x452efd;_0x13abbc[_0x3168c9(0xe27)]({'controller':'CreateOrEditVoiceQueueDialogController','controllerAs':'vm','templateUrl':_0x50b690,'parent':angular[_0x3168c9(0x1853)](_0x3dd213[_0x3168c9(0x1ed9)]),'targetEvent':_0x2e3778,'clickOutsideToClose':!![],'locals':{'voiceQueue':_0xef36cd,'voiceQueues':_0x39641b()[_0x3168c9(0x27aa)](_0x3d346b[_0x3168c9(0x971)]),'license':null,'setting':null,'crudPermissions':_0x3d346b[_0x3168c9(0x1b1a)]}});}function _0x43681a(_0x2951e6,_0x573263){const _0x30a4b8=_0x452efd;_0x13abbc[_0x30a4b8(0xe27)]({'controller':_0x30a4b8(0x148f),'controllerAs':'vm','templateUrl':_0x434d1c,'parent':angular[_0x30a4b8(0x1853)](_0x3dd213[_0x30a4b8(0x1ed9)]),'targetEvent':_0x2951e6,'clickOutsideToClose':!![],'locals':{'voiceQueue':_0x573263,'voiceQueues':_0x3d346b['queues']?_0x3d346b[_0x30a4b8(0x971)][_0x30a4b8(0x2214)]:[],'realtime':!![],'crudPermissions':_0x3d346b['crudPermissions']}});}function _0x332ae6(_0x21c7ad){const _0x21c739=_0x452efd;return _0x1c782b[_0x21c739(0x26b3)]['update'](_0x21c7ad)[_0x21c739(0x1d77)][_0x21c739(0x1cb0)](function(){const _0x103a7b=_0x21c739;_0x4a4a21['success']({'title':_0x103a7b(0x264c),'msg':_0x21c7ad[_0x103a7b(0x16b6)]?_0x21c7ad['name']+_0x103a7b(0x1068):''});})['catch'](function(_0x4a9983){const _0xab6e76=_0x21c739;_0x4a4a21[_0xab6e76(0x218e)]({'title':_0xab6e76(0x454),'msg':_0x4a9983[_0xab6e76(0x155e)]});});}_0x5ecfb2[_0x452efd(0x1d6)](_0x452efd(0x291c),function(){const _0xb9c429=_0x452efd;_0x3f058f['removeAllListeners'](_0xb9c429(0x82a)),_0x3d346b[_0xb9c429(0x1ac8)]&&_0x324dbb[_0xb9c429(0x696)](_0x3d346b[_0xb9c429(0x1ac8)]),_0x3f058f[_0xb9c429(0xfb8)]('user:update'),_0x3f058f[_0xb9c429(0xfb8)](_0xb9c429(0x201e)),_0x3f058f[_0xb9c429(0xfb8)](_0xb9c429(0x828));});}const _0x5beaae=_0x2d98f3;;function _0x13f419(){const _0x103bd2=_0x5537c6;return{'status':{'registered':_0x103bd2(0x1170),'unregistered':_0x103bd2(0x29a3),'lagged':_0x103bd2(0x29a3),'reachable':_0x103bd2(0x1170),'unreachable':_0x103bd2(0x29a3),'unknown':'grey-fg\x20icon-minus-circle'},'state':{'unknown':_0x103bd2(0x181),'not_inuse':'green-300-fg\x20icon-phone-hangup','inuse':_0x103bd2(0xd57),'busy':_0x103bd2(0x35b),'invalid':_0x103bd2(0x181),'unavailable':_0x103bd2(0x181),'ringing':_0x103bd2(0x1c43),'ringinuse':_0x103bd2(0x1c43),'onhold':'blue-300-fg\x20icon-phone-paused'},'channelStatus':{'ring':_0x103bd2(0x1c43),'up':_0x103bd2(0x142f),'hangup':_0x103bd2(0xe67)},'channelStatusOut':{'ring':_0x103bd2(0x53c),'up':_0x103bd2(0x142f),'hangup':_0x103bd2(0xe67)}};}const _0x485d73=_0x13f419;;_0x222763[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x1986)];function _0x222763(_0x38c17a,_0x24eda0,_0x5b4498){const _0x58511a=_0x5537c6,_0x1f56bc=this;_0x5b4498['disconnect'](),_0x5b4498[_0x58511a(0x8b0)](),_0x1f56bc[_0x58511a(0x8ec)]=0x0;switch(_0x24eda0[_0x58511a(0x1970)]['name']){case'app.voice.realtime.agents':_0x1f56bc[_0x58511a(0x8ec)]=0x0;break;case _0x58511a(0x978):_0x1f56bc[_0x58511a(0x8ec)]=0x1;break;case _0x58511a(0x1bb2):_0x1f56bc[_0x58511a(0x8ec)]=0x2;break;case _0x58511a(0x17c1):_0x1f56bc['selectedTab']=0x3;break;case'app.voice.realtime.queue_calls':_0x1f56bc['selectedTab']=0x4;break;case _0x58511a(0x26a8):_0x1f56bc[_0x58511a(0x8ec)]=0x5;break;case'app.voice.realtime.queue_params':_0x1f56bc['selectedTab']=0x6;break;case _0x58511a(0xd7b):_0x1f56bc[_0x58511a(0x8ec)]=0x7;break;default:_0x1f56bc[_0x58511a(0x8ec)]=0x0,_0x24eda0['go'](_0x58511a(0x160b));}_0x38c17a[_0x58511a(0x614)](_0x58511a(0x1ced),function(_0xda0e12,_0x1bbb90){const _0x39a1f9=_0x58511a;if(_0xda0e12!==_0x1bbb90)switch(_0xda0e12){case 0x0:_0x24eda0['go'](_0x39a1f9(0x160b));break;case 0x1:_0x24eda0['go'](_0x39a1f9(0x978));break;case 0x2:_0x24eda0['go'](_0x39a1f9(0x1bb2));break;case 0x3:_0x24eda0['go'](_0x39a1f9(0x17c1));break;case 0x4:_0x24eda0['go'](_0x39a1f9(0x218b));break;case 0x5:_0x24eda0['go'](_0x39a1f9(0x26a8));break;case 0x6:_0x24eda0['go'](_0x39a1f9(0x2724));break;case 0x7:_0x24eda0['go']('app.voice.realtime.outbound_calls');break;default:_0x24eda0['go'](_0x39a1f9(0x160b));}});}const _0x100f55=_0x222763;;_0x1b7a16[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x2168),'$mdDialog',_0x5537c6(0x5f8),_0x5537c6(0x1b0d),_0x5537c6(0x142b),_0x5537c6(0x1986),_0x5537c6(0x125c),_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x1774)];function _0x1b7a16(_0x1adbe3,_0x204d6c,_0x59dd60,_0x203c25,_0x47a201,_0x4e9344,_0x50b2bf,_0x218bcb,_0x17f963,_0x3b04aa,_0x40e069){const _0x409b2c=_0x5537c6,_0x450a3a=this,_0x35e1a3=[_0x409b2c(0x1d14),_0x409b2c(0xdbd),_0x409b2c(0x27e0),_0x409b2c(0x291)];_0x450a3a[_0x409b2c(0x184d)]=_0x203c25[_0x409b2c(0x184d)],_0x450a3a['attributes']=['fullname',_0x409b2c(0xdbd),_0x409b2c(0x27e0),_0x409b2c(0x291),_0x409b2c(0x16aa)],_0x450a3a['telephones']=_0x203c25?_0x39641b()['keyBy'](_0x203c25[_0x409b2c(0x2214)]?_0x203c25[_0x409b2c(0x2214)]:[],'id'):{},_0x450a3a['rpcTelephones']=_0x47a201?_0x39641b()[_0x409b2c(0x2631)](_0x47a201['rows']?_0x47a201[_0x409b2c(0x2214)]:[],'id'):{},_0x450a3a[_0x409b2c(0x44a)]=_0x17f963,_0x450a3a[_0x409b2c(0x2199)]=_0x3b04aa&&_0x3b04aa[_0x409b2c(0x184d)]==0x1?_0x3b04aa[_0x409b2c(0x2214)][0x0]:null,_0x450a3a['crudPermissions']=_0x40e069[_0x409b2c(0x14ea)](_0x450a3a[_0x409b2c(0x2199)]?_0x450a3a[_0x409b2c(0x2199)][_0x409b2c(0x1b1a)]:null),_0x450a3a['query']={'fields':_0x409b2c(0x676),'role':_0x409b2c(0x2922),'sort':_0x409b2c(0x282),'limit':0xa,'page':0x1},_0x450a3a[_0x409b2c(0xf2b)]=_0x218bcb[_0x409b2c(0x291)],_0x450a3a['stateClass']=_0x218bcb[_0x409b2c(0x27e0)],_0x450a3a[_0x409b2c(0x829)]=_0xa7899d,_0x450a3a[_0x409b2c(0x129c)]=_0x11ac5f,_0x450a3a[_0x409b2c(0x191a)]=_0x408996,_0x450a3a[_0x409b2c(0x114a)]=_0x3fa5c9,_0x450a3a[_0x409b2c(0x294c)]=_0x4cc19e,_0x50b2bf['on'](_0x409b2c(0x29bd),_0x450a3a[_0x409b2c(0x294c)]);function _0x11ac5f(){const _0x3411d3=_0x409b2c;_0x39641b()[_0x3411d3(0x1ebd)](_0x450a3a['telephones'],function(_0x477458,_0x5709b1){const _0x5407fb=_0x3411d3;_0x450a3a[_0x5407fb(0x1b0d)][_0x5709b1]&&_0x39641b()[_0x5407fb(0x9c1)](_0x477458,_0x39641b()['pick'](_0x450a3a['rpcTelephones'][_0x5709b1],_0x35e1a3));});}function _0x408996(_0x49b7f9){const _0x9e51d8=_0x409b2c;_0x59dd60[_0x9e51d8(0xe27)](_0x59dd60['alert']()[_0x9e51d8(0x27e1)](!![])[_0x9e51d8(0x1386)](_0x9e51d8(0x1bc1))['htmlContent'](_0x9e51d8(0x26a))['ok']('Ok')['targetEvent'](_0x49b7f9));}function _0x4cc19e(_0xec4e7f){const _0x23de0c=_0x409b2c;_0x450a3a['telephones'][_0xec4e7f['id']]&&_0x39641b()[_0x23de0c(0x9c1)](_0x450a3a['telephones'][_0xec4e7f['id']],_0x39641b()[_0x23de0c(0x169b)](_0xec4e7f,_0x35e1a3));}let _0x3e7e83=!![],_0x2183ff=0x1;_0x1adbe3[_0x409b2c(0x614)]('vm.query.filter',function(_0x5125ef,_0x107ba7){const _0x464752=_0x409b2c;_0x3e7e83?_0x204d6c(function(){_0x3e7e83=![];}):(!_0x107ba7&&(_0x2183ff=_0x450a3a[_0x464752(0xae2)][_0x464752(0x1c7b)]),_0x5125ef!==_0x107ba7&&(_0x450a3a[_0x464752(0xae2)][_0x464752(0x1c7b)]=0x1),!_0x5125ef&&(_0x450a3a['query'][_0x464752(0x1c7b)]=_0x2183ff),_0x450a3a['getTelephones']());});function _0xa7899d(_0x4bdd4a){const _0x82d8ea=_0x409b2c;_0x450a3a['telephones']=_0x4bdd4a?_0x39641b()[_0x82d8ea(0x2631)](_0x4bdd4a[_0x82d8ea(0x2214)]?_0x4bdd4a['rows']:[],'id'):{},_0x11ac5f();}function _0x3fa5c9(){const _0x3668b5=_0x409b2c;_0x450a3a[_0x3668b5(0xae2)][_0x3668b5(0x184b)]=(_0x450a3a[_0x3668b5(0xae2)][_0x3668b5(0x1c7b)]-0x1)*_0x450a3a[_0x3668b5(0xae2)][_0x3668b5(0x236)],_0x40e069[_0x3668b5(0x22b6)](_0x3668b5(0x1c60))?_0x450a3a[_0x3668b5(0x2061)]=_0x4e9344[_0x3668b5(0xebe)][_0x3668b5(0xbf7)](_0x450a3a[_0x3668b5(0xae2)],_0xa7899d)[_0x3668b5(0x1d77)]:(_0x450a3a[_0x3668b5(0xae2)]['id']=_0x450a3a['userProfile']['id'],_0x450a3a[_0x3668b5(0xae2)][_0x3668b5(0x1f74)]=_0x3668b5(0x16d1),_0x450a3a[_0x3668b5(0x2061)]=_0x4e9344[_0x3668b5(0x44a)][_0x3668b5(0x1810)](_0x450a3a[_0x3668b5(0xae2)],_0xa7899d)[_0x3668b5(0x1d77)]);}_0x1adbe3['$on'](_0x409b2c(0x291c),function(){const _0x4a02db=_0x409b2c;_0x50b2bf[_0x4a02db(0xfb8)](_0x4a02db(0x29bd));});}const _0x55d550=_0x1b7a16;;_0xbe6160[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x2168),_0x5537c6(0xcb9),_0x5537c6(0x1363),_0x5537c6(0x1f95),_0x5537c6(0x142b),_0x5537c6(0x1986),_0x5537c6(0x125c),_0x5537c6(0x406),_0x5537c6(0x44a),_0x5537c6(0x2199),'Auth'];function _0xbe6160(_0xf5b57f,_0x499aed,_0x47d2a5,_0x2b94cd,_0x829a6a,_0x45f999,_0xfe6057,_0x2266dd,_0x45ecd5,_0x547118,_0xb3311,_0x28ef03){const _0x2675fe=_0x5537c6,_0x1ac620=this,_0x44fe54=[_0x2675fe(0x291),_0x2675fe(0x71a),_0x2675fe(0x11ee),_0x2675fe(0x17d8),'port',_0x2675fe(0xdbd),_0x2675fe(0x27e0),'status'];_0x1ac620[_0x2675fe(0xe76)]=_0x28ef03[_0x2675fe(0x21e8)](),_0x1ac620[_0x2675fe(0x184d)]=_0x2b94cd[_0x2675fe(0x184d)],_0x1ac620[_0x2675fe(0x1363)]=_0x2b94cd?_0x2b94cd[_0x2675fe(0x2214)]:[],_0x1ac620[_0x2675fe(0x1f95)]=_0x829a6a?_0x829a6a['rows']?_0x829a6a[_0x2675fe(0x2214)]:[]:[],_0x1ac620[_0x2675fe(0xae2)]={'fields':_0x2675fe(0x43c),'active':!![],'sort':_0x2675fe(0x282),'limit':0xa,'page':0x1},_0x1ac620['statusClass']=_0x2266dd[_0x2675fe(0x291)],_0x1ac620[_0x2675fe(0xc74)]=_0x2266dd[_0x2675fe(0x27e0)],_0x1ac620[_0x2675fe(0x44a)]=_0x547118,_0x1ac620[_0x2675fe(0x2199)]=_0xb3311&&_0xb3311['count']==0x1?_0xb3311['rows'][0x0]:null,_0x1ac620[_0x2675fe(0x1b1a)]=_0x28ef03['parseCrudPermissions'](_0x1ac620['userProfileSection']?_0x1ac620[_0x2675fe(0x2199)]['crudPermissions']:null),_0x1ac620['success']=_0x3580ca,_0x1ac620[_0x2675fe(0x129c)]=_0x906947,_0x1ac620['showInfo']=_0x38e587,_0x1ac620[_0x2675fe(0xe77)]=_0x2a709f,_0x1ac620[_0x2675fe(0x2a1)]=_0x59aee1,_0x1ac620[_0x2675fe(0x294c)]=_0xfd4bb6,_0xfe6057['on'](_0x2675fe(0x21b2),_0x1ac620[_0x2675fe(0x294c)]);function _0x906947(){const _0x10d770=_0x2675fe;let _0x1ede8a;for(let _0x1e248d=0x0;_0x1e248d<_0x1ac620[_0x10d770(0x1363)][_0x10d770(0xfd0)];_0x1e248d+=0x1){_0x1ede8a=_0x39641b()[_0x10d770(0x3c2)](_0x1ac620['rpcTrunks'],['id',_0x1ac620[_0x10d770(0x1363)][_0x1e248d]['id']]),_0x1ede8a>=0x0&&_0x39641b()[_0x10d770(0x9c1)](_0x1ac620[_0x10d770(0x1363)][_0x1e248d],_0x39641b()[_0x10d770(0x169b)](_0x1ac620[_0x10d770(0x1f95)][_0x1ede8a],_0x44fe54));}}function _0x38e587(_0x59e6f9){const _0x457045=_0x2675fe;_0x47d2a5[_0x457045(0xe27)](_0x47d2a5[_0x457045(0x494)]()[_0x457045(0x27e1)](!![])[_0x457045(0x1386)](_0x457045(0x1bc1))[_0x457045(0x49e)]('
TODO\x20legend\x20status\x20+\x20state
')['ok']('Ok')[_0x457045(0x728)](_0x59e6f9));}function _0x42e678(_0x4955d8,_0x4b6cf3,_0x1522d8){const _0xf45747=_0x2675fe;_0x4b6cf3>=0x0&&_0x39641b()[_0xf45747(0x9c1)](_0x4955d8[_0x4b6cf3],_0x39641b()['pick'](_0x1522d8,_0x44fe54));}function _0xfd4bb6(_0x11963e){const _0x199f21=_0x2675fe,_0xf00861=_0x39641b()[_0x199f21(0x3c2)](_0x1ac620[_0x199f21(0x1363)],['id',_0x11963e['id']]),_0x51221b=_0x39641b()['findIndex'](_0x1ac620[_0x199f21(0x1f95)],['id',_0x11963e['id']]);_0x42e678(_0x1ac620[_0x199f21(0x1363)],_0xf00861,_0x11963e),_0x42e678(_0x1ac620['rpcTrunks'],_0x51221b,_0x11963e);}let _0x51ffab=!![],_0x51c5f6=0x1;_0xf5b57f[_0x2675fe(0x614)](_0x2675fe(0x957),function(_0x210455,_0x4d03f8){const _0x4c42d5=_0x2675fe;_0x51ffab?_0x499aed(function(){_0x51ffab=![];}):(!_0x4d03f8&&(_0x51c5f6=_0x1ac620[_0x4c42d5(0xae2)][_0x4c42d5(0x1c7b)]),_0x210455!==_0x4d03f8&&(_0x1ac620[_0x4c42d5(0xae2)][_0x4c42d5(0x1c7b)]=0x1),!_0x210455&&(_0x1ac620[_0x4c42d5(0xae2)][_0x4c42d5(0x1c7b)]=_0x51c5f6),_0x1ac620[_0x4c42d5(0xe77)]());});function _0x3580ca(_0x42a5a4){const _0x2993cf=_0x2675fe;_0x1ac620[_0x2993cf(0x184d)]=_0x42a5a4[_0x2993cf(0x184d)],_0x1ac620['trunks']=_0x42a5a4?_0x42a5a4[_0x2993cf(0x2214)]?_0x42a5a4[_0x2993cf(0x2214)]:[]:[],_0x906947();}function _0x2a709f(){const _0x43c98f=_0x2675fe;_0x1ac620[_0x43c98f(0xae2)]['offset']=(_0x1ac620[_0x43c98f(0xae2)][_0x43c98f(0x1c7b)]-0x1)*_0x1ac620[_0x43c98f(0xae2)][_0x43c98f(0x236)],_0x28ef03[_0x43c98f(0x22b6)](_0x43c98f(0x1c60))?_0x1ac620[_0x43c98f(0x2061)]=_0x45f999[_0x43c98f(0x1ae0)]['get'](_0x1ac620[_0x43c98f(0xae2)],_0x3580ca)['$promise']:(_0x1ac620['query']['id']=_0x1ac620[_0x43c98f(0x44a)]['id'],_0x1ac620['query']['section']=_0x43c98f(0x272b),_0x1ac620[_0x43c98f(0x2061)]=_0x45f999[_0x43c98f(0x44a)][_0x43c98f(0x1810)](_0x1ac620['query'],_0x3580ca)['$promise']);}_0xf5b57f[_0x2675fe(0x1d6)](_0x2675fe(0x291c),function(){const _0x5e28cc=_0x2675fe;_0xfe6057[_0x5e28cc(0xfb8)](_0x5e28cc(0x21b2));});function _0x59aee1(_0x2ef4f8,_0x296e34){const _0x177062=_0x2675fe;_0x45ecd5['go'](_0x177062(0xbe6),{'id':_0x296e34['id']});}}const _0x36b9ef=_0xbe6160;;const _0x6f2be1=_0x5074a3['p']+_0x5537c6(0xc86);;const _0x541c54=_0x5074a3['p']+_0x5537c6(0xced);;const _0x52be2a=_0x5074a3['p']+_0x5537c6(0x1313);;const _0x446262=_0x5074a3['p']+'src/js/modules/main/apps/voice/views/realtime/trunks/view.html/view.html';;const _0xf98ac3=_0x5074a3['p']+_0x5537c6(0xaed);;const _0x1c9c34=_0x5074a3['p']+'src/js/modules/main/apps/voice/views/realtime/queue_calls/view.html/view.html';;const _0x3882e7=_0x5074a3['p']+_0x5537c6(0x19a9);;const _0x23febf=_0x5074a3['p']+_0x5537c6(0x1e80);;const _0x17f68e=_0x5074a3['p']+_0x5537c6(0x1a0f);;_0x1fae56['$inject']=['$stateProvider'];function _0x1fae56(_0xe7f7df){const _0x590320=_0x5537c6;_0xe7f7df['state'](_0x590320(0xb19),{'url':_0x590320(0x1625),'views':{'content@app':{'templateUrl':_0x6f2be1,'controller':_0x590320(0x15e6)}},'resolve':{'userProfile':['apiResolver','Auth',function(_0x595ee1,_0x1576a2){const _0x412378=_0x590320;return _0x1576a2[_0x412378(0x22b6)](_0x412378(0x1c60))?null:_0x595ee1[_0x412378(0x19a3)](_0x412378(0x9ae),{'fields':'id,name,crudPermissions','id':_0x1576a2[_0x412378(0x21e8)]()[_0x412378(0x13c1)]});}],'userProfileSection':[_0x590320(0x1e0b),_0x590320(0x1774),function(_0x53e312,_0x5e03de){const _0x584ec8=_0x590320;return _0x5e03de['hasRole'](_0x584ec8(0x1c60))?null:_0x53e312['resolve'](_0x584ec8(0x2182),{'fields':_0x584ec8(0x1f5f),'userProfileId':_0x5e03de[_0x584ec8(0x21e8)]()[_0x584ec8(0x13c1)],'sectionId':0x19b});}]},'authenticate':!![],'permissionId':0x19b})[_0x590320(0x27e0)]('app.voice.realtime.agents',{'url':_0x590320(0xefc),'controller':_0x590320(0xb2d),'templateUrl':_0x541c54,'resolve':{'pauses':[_0x590320(0x1e0b),function(_0x50fada){const _0x5b6860=_0x590320;return _0x50fada['resolve'](_0x5b6860(0xeeb),{'nolimit':!![]});}],'agents':['apiResolver',_0x590320(0x1774),function(_0x493a1c,_0x53753f){const _0x967c35=_0x590320;return _0x53753f['hasRole'](_0x967c35(0x1c60))?_0x493a1c[_0x967c35(0x19a3)]('user@get',{'fields':_0x967c35(0x1599),'role':_0x967c35(0x1eff),'sort':_0x967c35(0x1d14),'nolimit':!![]}):_0x493a1c[_0x967c35(0x19a3)](_0x967c35(0x12da),{'id':_0x53753f[_0x967c35(0x21e8)]()['userProfileId'],'section':_0x967c35(0x2536),'fields':_0x967c35(0x1599),'role':_0x967c35(0x1eff),'sort':_0x967c35(0x1d14),'nolimit':!![]});}],'userProfile':[_0x590320(0x1e0b),'Auth',function(_0x5531a6,_0x50145a){const _0x5d2602=_0x590320;return _0x50145a['hasRole'](_0x5d2602(0x1c60))?null:_0x5531a6['resolve']('userProfile@get',{'fields':_0x5d2602(0x279),'id':_0x50145a[_0x5d2602(0x21e8)]()[_0x5d2602(0x13c1)]});}],'userProfileSection':[_0x590320(0x1e0b),_0x590320(0x1774),function(_0x57d573,_0x539c0b){const _0x46b5e8=_0x590320;return _0x539c0b[_0x46b5e8(0x22b6)](_0x46b5e8(0x1c60))?null:_0x57d573['resolve'](_0x46b5e8(0x2182),{'fields':_0x46b5e8(0x1f5f),'userProfileId':_0x539c0b[_0x46b5e8(0x21e8)]()[_0x46b5e8(0x13c1)],'sectionId':0xca});}],'rpcAgents':['apiResolver',function(_0x52ac50){const _0x4522c4=_0x590320;return _0x52ac50[_0x4522c4(0x19a3)](_0x4522c4(0x12c0));}]},'authenticate':!![],'permissionId':0x19b})[_0x590320(0x27e0)](_0x590320(0x978),{'url':_0x590320(0x23d3),'controller':'TelephonesVoiceRealtimeController\x20as\x20vm','templateUrl':_0x52be2a,'resolve':{'telephones':[_0x590320(0x1e0b),_0x590320(0x1774),function(_0x2a42d5,_0x41cf8a){const _0x333d48=_0x590320;return _0x41cf8a['hasRole'](_0x333d48(0x1c60))?_0x2a42d5[_0x333d48(0x19a3)](_0x333d48(0x1c86),{'fields':_0x333d48(0x9c4),'role':_0x333d48(0x2922),'sort':'-updatedAt','limit':0xa,'offset':0x0}):_0x2a42d5[_0x333d48(0x19a3)](_0x333d48(0x12da),{'id':_0x41cf8a[_0x333d48(0x21e8)]()['userProfileId'],'section':_0x333d48(0x16d1),'fields':_0x333d48(0x9c4),'role':_0x333d48(0x2922),'sort':_0x333d48(0x282),'limit':0xa,'offset':0x0});}],'userProfile':[_0x590320(0x1e0b),_0x590320(0x1774),function(_0x3d09c8,_0x1aa873){const _0x373663=_0x590320;return _0x1aa873[_0x373663(0x22b6)]('admin')?null:_0x3d09c8['resolve'](_0x373663(0x9ae),{'fields':_0x373663(0x279),'id':_0x1aa873[_0x373663(0x21e8)]()[_0x373663(0x13c1)]});}],'userProfileSection':[_0x590320(0x1e0b),'Auth',function(_0x361909,_0x15c9db){const _0x52624f=_0x590320;return _0x15c9db['hasRole']('admin')?null:_0x361909[_0x52624f(0x19a3)](_0x52624f(0x2182),{'fields':_0x52624f(0x1f5f),'userProfileId':_0x15c9db[_0x52624f(0x21e8)]()[_0x52624f(0x13c1)],'sectionId':0xcb});}],'rpcTelephones':['apiResolver',function(_0x3d20d6){const _0xc8402d=_0x590320;return _0x3d20d6[_0xc8402d(0x19a3)](_0xc8402d(0x1e93));}]},'authenticate':!![],'permissionId':0x19b})['state'](_0x590320(0x1bb2),{'url':_0x590320(0x1c1a),'controller':_0x590320(0x6b7),'templateUrl':_0x446262,'resolve':{'trunks':['apiResolver',_0x590320(0x1774),function(_0x49f878,_0xbfc907){const _0x263406=_0x590320;return _0xbfc907[_0x263406(0x22b6)](_0x263406(0x1c60))?_0x49f878[_0x263406(0x19a3)](_0x263406(0x99d),{'fields':_0x263406(0x43c),'active':!![],'sort':_0x263406(0x282),'limit':0xa,'offset':0x0}):_0x49f878['resolve'](_0x263406(0x12da),{'id':_0xbfc907[_0x263406(0x21e8)]()[_0x263406(0x13c1)],'section':'Trunks','fields':'id,name','active':!![],'sort':_0x263406(0x282),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver',_0x590320(0x1774),function(_0xeed546,_0x5e7953){const _0x493d39=_0x590320;return _0x5e7953[_0x493d39(0x22b6)](_0x493d39(0x1c60))?null:_0xeed546[_0x493d39(0x19a3)](_0x493d39(0x9ae),{'fields':_0x493d39(0x279),'id':_0x5e7953[_0x493d39(0x21e8)]()['userProfileId']});}],'userProfileSection':[_0x590320(0x1e0b),_0x590320(0x1774),function(_0x4ff7f7,_0x473198){const _0x5931f0=_0x590320;return _0x473198[_0x5931f0(0x22b6)](_0x5931f0(0x1c60))?null:_0x4ff7f7['resolve']('userProfileSection@get',{'fields':_0x5931f0(0x1f5f),'userProfileId':_0x473198['getCurrentUser']()[_0x5931f0(0x13c1)],'sectionId':0x3f3});}],'rpcTrunks':['apiResolver',function(_0x4f6714){const _0x4f47cd=_0x590320;return _0x4f6714[_0x4f47cd(0x19a3)](_0x4f47cd(0xcdc));}]},'authenticate':!![],'permissionId':0x19b})[_0x590320(0x27e0)](_0x590320(0x17c1),{'url':_0x590320(0x1596),'controller':'QueuesVoiceRealtimeController\x20as\x20vm','templateUrl':_0xf98ac3,'resolve':{'queues':[_0x590320(0x1e0b),_0x590320(0x1774),function(_0x58f700,_0x4bdae5){const _0x211bad=_0x590320;return _0x4bdae5[_0x211bad(0x22b6)](_0x211bad(0x1c60))?_0x58f700[_0x211bad(0x19a3)](_0x211bad(0x1c4d),{'type':_0x211bad(0x26c0),'sort':'-updatedAt','limit':0xa,'offset':0x0}):_0x58f700['resolve'](_0x211bad(0x12da),{'id':_0x4bdae5['getCurrentUser']()[_0x211bad(0x13c1)],'section':'VoiceQueues','channel':_0x211bad(0x1fd4),'type':'inbound','sort':_0x211bad(0x282),'limit':0xa,'page':0x1});}],'userProfile':[_0x590320(0x1e0b),'Auth',function(_0x143073,_0x5673d5){const _0x5ebfd0=_0x590320;return _0x5673d5[_0x5ebfd0(0x22b6)]('admin')?null:_0x143073['resolve'](_0x5ebfd0(0x9ae),{'fields':_0x5ebfd0(0x279),'id':_0x5673d5[_0x5ebfd0(0x21e8)]()['userProfileId']});}],'userProfileSection':[_0x590320(0x1e0b),_0x590320(0x1774),function(_0x414ee7,_0x51b27f){const _0x1c0840=_0x590320;return _0x51b27f[_0x1c0840(0x22b6)](_0x1c0840(0x1c60))?null:_0x414ee7[_0x1c0840(0x19a3)](_0x1c0840(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x51b27f[_0x1c0840(0x21e8)]()[_0x1c0840(0x13c1)],'sectionId':0x191});}],'rpcQueues':['apiResolver',function(_0x372378){const _0x18d34b=_0x590320;return _0x372378[_0x18d34b(0x19a3)]('rpc@getVoiceQueues');}]},'authenticate':!![],'permissionId':0x19b})['state']('app.voice.realtime.queue_calls',{'url':_0x590320(0x30a),'controller':_0x590320(0x1a11),'templateUrl':_0x1c9c34,'resolve':{'agents':['apiResolver',_0x590320(0x1774),function(_0x51a545,_0x144491){const _0x2fcb3d=_0x590320;return _0x144491['hasRole'](_0x2fcb3d(0x1c60))?_0x51a545['resolve'](_0x2fcb3d(0x1c86),{'fields':_0x2fcb3d(0xa40),'role':_0x2fcb3d(0x1eff),'nolimit':!![]}):_0x51a545[_0x2fcb3d(0x19a3)](_0x2fcb3d(0x12da),{'id':_0x144491[_0x2fcb3d(0x21e8)]()[_0x2fcb3d(0x13c1)],'section':_0x2fcb3d(0x2536),'fields':_0x2fcb3d(0xa40),'role':_0x2fcb3d(0x1eff),'nolimit':!![]});}],'queues':[_0x590320(0x1e0b),_0x590320(0x1774),function(_0x52f7a9,_0x811b62){const _0x18a38f=_0x590320;return _0x811b62[_0x18a38f(0x22b6)](_0x18a38f(0x1c60))?_0x52f7a9[_0x18a38f(0x19a3)](_0x18a38f(0x1c4d),{'type':_0x18a38f(0x26c0),'sort':_0x18a38f(0x282),'nolimit':!![]}):_0x52f7a9[_0x18a38f(0x19a3)](_0x18a38f(0x12da),{'id':_0x811b62[_0x18a38f(0x21e8)]()[_0x18a38f(0x13c1)],'section':_0x18a38f(0x2382),'channel':_0x18a38f(0x1fd4),'type':'inbound','sort':_0x18a38f(0x282),'nolimit':!![]});}],'userProfile':[_0x590320(0x1e0b),_0x590320(0x1774),function(_0xf2ae5e,_0x588579){const _0x2a681e=_0x590320;return _0x588579[_0x2a681e(0x22b6)](_0x2a681e(0x1c60))?null:_0xf2ae5e[_0x2a681e(0x19a3)]('userProfile@get',{'fields':_0x2a681e(0x279),'id':_0x588579['getCurrentUser']()[_0x2a681e(0x13c1)]});}],'userProfileSection':[_0x590320(0x1e0b),'Auth',function(_0x54ee2b,_0xf027ff){const _0x24289b=_0x590320;return _0xf027ff[_0x24289b(0x22b6)](_0x24289b(0x1c60))?null:_0x54ee2b[_0x24289b(0x19a3)](_0x24289b(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0xf027ff[_0x24289b(0x21e8)]()[_0x24289b(0x13c1)],'sectionId':0x191});}],'rpcVoiceQueuesChannels':[_0x590320(0x1e0b),function(_0x4016f0){const _0x48b51b=_0x590320;return _0x4016f0[_0x48b51b(0x19a3)](_0x48b51b(0xd70));}]},'authenticate':!![],'permissionId':0x19b})['state']('app.voice.realtime.abandonedcalls',{'url':_0x590320(0x42a),'controller':_0x590320(0xe84),'templateUrl':_0x3882e7,'resolve':{'agents':[_0x590320(0x1e0b),_0x590320(0x1774),function(_0x32ff61,_0x31526c){const _0x32bb1c=_0x590320;return _0x31526c['hasRole'](_0x32bb1c(0x1c60))?_0x32ff61[_0x32bb1c(0x19a3)](_0x32bb1c(0x1c86),{'fields':_0x32bb1c(0xa40),'role':_0x32bb1c(0x1eff),'nolimit':!![]}):_0x32ff61[_0x32bb1c(0x19a3)](_0x32bb1c(0x12da),{'id':_0x31526c[_0x32bb1c(0x21e8)]()['userProfileId'],'section':_0x32bb1c(0x2536),'fields':_0x32bb1c(0xa40),'role':_0x32bb1c(0x1eff),'nolimit':!![]});}],'queues':[_0x590320(0x1e0b),_0x590320(0x1774),function(_0x5ca21f,_0x573d8a){const _0x2c5508=_0x590320;return _0x573d8a[_0x2c5508(0x22b6)](_0x2c5508(0x1c60))?_0x5ca21f[_0x2c5508(0x19a3)](_0x2c5508(0x1c4d),{'type':_0x2c5508(0x26c0),'sort':_0x2c5508(0x282),'nolimit':!![]}):_0x5ca21f['resolve'](_0x2c5508(0x12da),{'id':_0x573d8a['getCurrentUser']()[_0x2c5508(0x13c1)],'section':'VoiceQueues','channel':_0x2c5508(0x1fd4),'type':_0x2c5508(0x26c0),'sort':_0x2c5508(0x282),'nolimit':!![]});}],'dispositions':[_0x590320(0x1e0b),_0x590320(0x1774),function(_0x23e15a,_0x1690ac){const _0x797a5c=_0x590320;return _0x1690ac[_0x797a5c(0x22b6)]('admin')?_0x23e15a[_0x797a5c(0x19a3)](_0x797a5c(0x1c6f),{'fields':'createdAt,updatedAt,id,name,level,ParentId','sort':_0x797a5c(0x282),'MailAccountId':_0x797a5c(0xd38),'FaxAccountId':_0x797a5c(0xd38),'SmsAccountId':_0x797a5c(0xd38),'WhatsappAccountId':_0x797a5c(0xd38),'OpenchannelAccountId':'null','ChatWebsiteId':'null','ListId':'null','nolimit':!![]}):_0x23e15a[_0x797a5c(0x19a3)](_0x797a5c(0x12da),{'id':_0x1690ac[_0x797a5c(0x21e8)]()[_0x797a5c(0x13c1)],'section':_0x797a5c(0x5d1),'fields':_0x797a5c(0x278),'sort':_0x797a5c(0x282),'MailAccountId':_0x797a5c(0xd38),'FaxAccountId':_0x797a5c(0xd38),'SmsAccountId':'null','WhatsappAccountId':'null','OpenchannelAccountId':_0x797a5c(0xd38),'ChatWebsiteId':'null','ListId':'null','nolimit':!![]});}],'userProfile':[_0x590320(0x1e0b),'Auth',function(_0x52be76,_0x43a3ed){const _0x31baba=_0x590320;return _0x43a3ed[_0x31baba(0x22b6)]('admin')?null:_0x52be76['resolve'](_0x31baba(0x9ae),{'fields':_0x31baba(0x279),'id':_0x43a3ed['getCurrentUser']()['userProfileId']});}],'userProfileSection':[_0x590320(0x1e0b),_0x590320(0x1774),function(_0x5ccf02,_0x5bf6f7){const _0x1a062c=_0x590320;return _0x5bf6f7[_0x1a062c(0x22b6)](_0x1a062c(0x1c60))?null:_0x5ccf02[_0x1a062c(0x19a3)]('userProfileSection@get',{'fields':_0x1a062c(0x1f5f),'userProfileId':_0x5bf6f7['getCurrentUser']()[_0x1a062c(0x13c1)],'sectionId':0x191});}]},'authenticate':!![],'permissionId':0x19b})[_0x590320(0x27e0)]('app.voice.realtime.queue_params',{'url':'/queue_params','controller':_0x590320(0x1cc1),'templateUrl':_0x23febf,'resolve':{'queues':[_0x590320(0x1e0b),'Auth',function(_0x4741bd,_0x1b05ce){const _0x40c49b=_0x590320;return _0x1b05ce[_0x40c49b(0x22b6)](_0x40c49b(0x1c60))?_0x4741bd[_0x40c49b(0x19a3)](_0x40c49b(0x1c4d),{'type':_0x40c49b(0x26c0),'fields':_0x40c49b(0x43c),'sort':_0x40c49b(0x282),'limit':0xa,'offset':0x0}):_0x4741bd[_0x40c49b(0x19a3)](_0x40c49b(0x12da),{'id':_0x1b05ce['getCurrentUser']()[_0x40c49b(0x13c1)],'section':_0x40c49b(0x2382),'channel':_0x40c49b(0x1fd4),'type':_0x40c49b(0x26c0),'sort':_0x40c49b(0x282),'nolimit':!![]});}],'userProfile':[_0x590320(0x1e0b),_0x590320(0x1774),function(_0x38c44d,_0x29dc18){const _0x176b95=_0x590320;return _0x29dc18['hasRole'](_0x176b95(0x1c60))?null:_0x38c44d[_0x176b95(0x19a3)]('userProfile@get',{'fields':_0x176b95(0x279),'id':_0x29dc18['getCurrentUser']()['userProfileId']});}],'userProfileSection':[_0x590320(0x1e0b),_0x590320(0x1774),function(_0x26c433,_0x1c71c9){const _0x112d4b=_0x590320;return _0x1c71c9[_0x112d4b(0x22b6)](_0x112d4b(0x1c60))?null:_0x26c433[_0x112d4b(0x19a3)](_0x112d4b(0x2182),{'fields':_0x112d4b(0x1f5f),'userProfileId':_0x1c71c9[_0x112d4b(0x21e8)]()[_0x112d4b(0x13c1)],'sectionId':0x191});}],'rpcQueues':[_0x590320(0x1e0b),function(_0x2181d2){const _0x82305e=_0x590320;return _0x2181d2[_0x82305e(0x19a3)](_0x82305e(0xe6d));}]},'authenticate':!![],'permissionId':0x19b})['state'](_0x590320(0xd7b),{'url':_0x590320(0x23e6),'controller':_0x590320(0x1255),'templateUrl':_0x17f68e,'resolve':{'rpcCalls':['apiResolver',function(_0xf957f1){const _0x16ff01=_0x590320;return _0xf957f1[_0x16ff01(0x19a3)]('rpc@getOutboundChannels');}]},'authenticate':!![],'permissionId':0x19b});}angular[_0x5537c6(0x9ab)](_0x5537c6(0xb19),[])[_0x5537c6(0xa60)](_0x1fae56)[_0x5537c6(0x6e5)]('AbandonedCallsVoiceRealtimeController',_0x13b175)[_0x5537c6(0x6e5)](_0x5537c6(0x1def),_0x29e71f)[_0x5537c6(0x6e5)]('OutboundCallsVoiceRealtimeController',_0x28fa07)[_0x5537c6(0x6e5)]('QueueCallsVoiceRealtimeController',_0x206a91)[_0x5537c6(0x6e5)](_0x5537c6(0x322),_0x38780f)[_0x5537c6(0x6e5)]('QueuesVoiceRealtimeController',_0x5beaae)[_0x5537c6(0x234a)](_0x5537c6(0x125c),_0x485d73)[_0x5537c6(0x6e5)](_0x5537c6(0x472),_0x100f55)[_0x5537c6(0x6e5)](_0x5537c6(0x1388),_0x55d550)[_0x5537c6(0x6e5)](_0x5537c6(0x138c),_0x36b9ef);;const _0x303926=_0x5074a3['p']+_0x5537c6(0x2769);;_0x5a6d4c[_0x5537c6(0x15b6)]=['$scope','$window',_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0x1a27),_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x142b),'msUtils',_0x5537c6(0x9bf),'Auth',_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x5a6d4c(_0x57494e,_0x40d453,_0x16fb8a,_0x5d7332,_0x442ca5,_0x49f078,_0x69ba1e,_0x25a358,_0x357c00,_0x330dcc,_0x1ba326,_0x343afa,_0x15f33f,_0x184211,_0x3af9ac,_0x31883e,_0x57ced5){const _0x29b044=_0x5537c6,_0xdb8e33=this;_0xdb8e33['license']=_0x31883e,_0xdb8e33['setting']=_0x57ced5,_0xdb8e33['currentUser']=_0x3af9ac[_0x29b044(0x21e8)](),_0xdb8e33[_0x29b044(0x1a27)]=_0x357c00||{'count':0x0,'rows':[]},_0xdb8e33[_0x29b044(0x44a)]=_0x330dcc,_0xdb8e33[_0x29b044(0x2199)]=_0x1ba326&&_0x1ba326[_0x29b044(0x184d)]==0x1?_0x1ba326[_0x29b044(0x2214)][0x0]:null,_0xdb8e33[_0x29b044(0x1b1a)]=_0x3af9ac[_0x29b044(0x14ea)](_0xdb8e33[_0x29b044(0x2199)]?_0xdb8e33['userProfileSection'][_0x29b044(0x1b1a)]:null),_0xdb8e33[_0x29b044(0xc83)]=_0x29b044(0x1a27),_0xdb8e33[_0x29b044(0x1d20)]='',_0xdb8e33['listOrderAsc']=null,_0xdb8e33[_0x29b044(0x2113)]=[],_0xdb8e33['query']={'fields':_0x29b044(0x2498),'sort':_0x29b044(0x282),'limit':0xa,'page':0x1},_0xdb8e33[_0x29b044(0x1ce9)]=_0x39641b()['keyBy']([{'option':_0x29b044(0x18a6),'value':_0x29b044(0x132f)},{'option':'B:\x20Instead\x20of\x20whispering\x20on\x20a\x20single\x20channel\x20barge\x20in\x20on\x20both\x20channels\x20involved\x20in\x20the\x20call.','value':'\x27B\x27'},{'option':_0x29b044(0x13e3),'value':_0x29b044(0xc4f)},{'option':_0x29b044(0x14a0),'value':_0x29b044(0x24a9)},{'option':_0x29b044(0x8b8),'value':_0x29b044(0x1f83)},{'option':'s:\x20Skip\x20the\x20playback\x20of\x20the\x20channel\x20type\x20(i.e.\x20SIP,\x20IAX,\x20etc)\x20when\x20speaking\x20the\x20selected\x20channel\x20name.','value':'\x27s\x27'},{'option':'S:\x20Stop\x20when\x20no\x20more\x20channels\x20are\x20left\x20to\x20spy\x20on.','value':_0x29b044(0x424)},{'option':_0x29b044(0xd69),'value':_0x29b044(0x1d8d)},{'option':_0x29b044(0x2325),'value':_0x29b044(0x1c8a)}],function(_0x4e4b67){const _0x5c0d5c=_0x29b044;return _0x39641b()[_0x5c0d5c(0x288f)](_0x4e4b67['value'],new RegExp('\x27','g'),'');}),_0xdb8e33[_0x29b044(0xa4d)]=_0x39641b()['keyBy']([{'option':_0x29b044(0xcab),'value':'\x27wav\x27'},{'option':_0x29b044(0x2331),'value':_0x29b044(0x24ce)},{'option':_0x29b044(0x1f63),'value':'\x27WAV\x27'}],function(_0x13dafc){const _0x40ed7d=_0x29b044;return _0x39641b()[_0x40ed7d(0x288f)](_0x13dafc[_0x40ed7d(0x327)],new RegExp('\x27','g'),'');}),_0xdb8e33[_0x29b044(0xc93)]=_0x1f979,_0xdb8e33[_0x29b044(0x27fe)]=_0x12a06b,_0xdb8e33[_0x29b044(0x829)]=_0x158ffc,_0xdb8e33['getChanSpies']=_0x3091d0,_0xdb8e33[_0x29b044(0xb41)]=_0xa937d1,_0xdb8e33[_0x29b044(0x13d4)]=_0x89aa04,_0xdb8e33[_0x29b044(0x3f9)]=_0x1373e6,_0xdb8e33[_0x29b044(0x1617)]=_0x4e796,_0xdb8e33[_0x29b044(0x16e3)]=_0x2e6f61,_0xdb8e33[_0x29b044(0x20e0)]=_0x372e76;function _0x1f979(_0x504bab,_0x49f987){const _0x3ca459=_0x29b044;_0x442ca5[_0x3ca459(0xe27)]({'controller':_0x3ca459(0x8f9),'controllerAs':'vm','templateUrl':_0x303926,'parent':angular['element'](_0x49f078['body']),'targetEvent':_0x49f987,'clickOutsideToClose':!![],'locals':{'chanSpy':_0x504bab,'chanSpies':_0xdb8e33[_0x3ca459(0x1a27)][_0x3ca459(0x2214)],'license':_0xdb8e33[_0x3ca459(0x8a5)],'setting':null,'crudPermissions':_0xdb8e33['crudPermissions']}});}function _0x12a06b(_0x3d88cf,_0x2f4b2b){const _0x2e82a6=_0x29b044,_0x5f56e9=_0x442ca5[_0x2e82a6(0x1551)]()[_0x2e82a6(0x1386)](_0x2e82a6(0x140b)+_0x39641b()[_0x2e82a6(0xa75)](_0x2e82a6(0x1948))+'?')[_0x2e82a6(0x49e)](_0x2e82a6(0x204d)+(_0x3d88cf['name']||'chanSpy')+_0x2e82a6(0x1200)+_0x2e82a6(0x1b6))[_0x2e82a6(0x15ad)](_0x2e82a6(0x12b8))['targetEvent'](_0x2f4b2b)['ok']('OK')[_0x2e82a6(0x696)](_0x2e82a6(0x24ba));_0x442ca5[_0x2e82a6(0xe27)](_0x5f56e9)[_0x2e82a6(0x1cb0)](function(){_0x89aa04(_0x3d88cf);},function(){const _0x17d9b2=_0x2e82a6;console[_0x17d9b2(0x1b4f)](_0x17d9b2(0x24ba));});}let _0x25216b=!![],_0x2650cb=0x1;_0x57494e[_0x29b044(0x614)]('vm.query.filter',function(_0x3308cf,_0x3ba7cf){const _0x705c49=_0x29b044;_0x25216b?_0x69ba1e(function(){_0x25216b=![];}):(!_0x3ba7cf&&(_0x2650cb=_0xdb8e33[_0x705c49(0xae2)][_0x705c49(0x1c7b)]),_0x3308cf!==_0x3ba7cf&&(_0xdb8e33['query'][_0x705c49(0x1c7b)]=0x1),!_0x3308cf&&(_0xdb8e33[_0x705c49(0xae2)][_0x705c49(0x1c7b)]=_0x2650cb),_0xdb8e33['getChanSpies']());});function _0x158ffc(_0x19f3d9){const _0x595437=_0x29b044;_0xdb8e33[_0x595437(0x1a27)]=_0x19f3d9||{'count':0x0,'rows':[]};}function _0x3091d0(){const _0x14794f=_0x29b044;_0xdb8e33['query'][_0x14794f(0x184b)]=(_0xdb8e33['query'][_0x14794f(0x1c7b)]-0x1)*_0xdb8e33['query'][_0x14794f(0x236)],_0x3af9ac[_0x14794f(0x22b6)]('admin')?_0xdb8e33[_0x14794f(0x2061)]=_0x343afa[_0x14794f(0x2668)]['get'](_0xdb8e33[_0x14794f(0xae2)],_0x158ffc)[_0x14794f(0x1d77)]:(_0xdb8e33[_0x14794f(0xae2)]['id']=_0xdb8e33['userProfile']['id'],_0xdb8e33['query']['section']=_0x14794f(0x10c9),_0xdb8e33['promise']=_0x343afa[_0x14794f(0x44a)][_0x14794f(0x1810)](_0xdb8e33[_0x14794f(0xae2)],_0x158ffc)[_0x14794f(0x1d77)]);}function _0xa937d1(_0x12dd81,_0x4e1b57){const _0x4be1ff=_0x29b044;_0x442ca5[_0x4be1ff(0xe27)]({'controller':_0x4be1ff(0x8f9),'controllerAs':'vm','templateUrl':_0x303926,'parent':angular['element'](_0x49f078[_0x4be1ff(0x1ed9)]),'targetEvent':_0x12dd81,'clickOutsideToClose':!![],'locals':{'chanSpy':_0x4e1b57,'chanSpies':_0xdb8e33[_0x4be1ff(0x1a27)][_0x4be1ff(0x2214)],'license':_0xdb8e33['license'],'setting':_0xdb8e33['setting'],'crudPermissions':_0xdb8e33['crudPermissions']}});}function _0x89aa04(_0x14c92f){const _0x2412e1=_0x29b044;_0x343afa[_0x2412e1(0x2668)][_0x2412e1(0x111d)]({'id':_0x14c92f['id']})['$promise']['then'](function(){const _0x253ddd=_0x2412e1;_0x39641b()[_0x253ddd(0x152a)](_0xdb8e33['chanSpies'][_0x253ddd(0x2214)],{'id':_0x14c92f['id']}),_0xdb8e33[_0x253ddd(0x1a27)][_0x253ddd(0x184d)]-=0x1,!_0xdb8e33[_0x253ddd(0x1a27)][_0x253ddd(0x2214)]['length']&&_0xdb8e33[_0x253ddd(0xab0)](),_0x184211[_0x253ddd(0x829)]({'title':_0x39641b()[_0x253ddd(0xa75)]('chanSpy')+'\x20deleted!','msg':_0x14c92f[_0x253ddd(0x16b6)]?_0x14c92f[_0x253ddd(0x16b6)]+'\x20has\x20been\x20deleted!':''});})['catch'](function(_0xe44cec){const _0x552002=_0x2412e1;if(_0xe44cec['data']&&_0xe44cec[_0x552002(0x25c)][_0x552002(0x1a7c)]&&_0xe44cec[_0x552002(0x25c)][_0x552002(0x1a7c)][_0x552002(0xfd0)]){_0xdb8e33[_0x552002(0x1a7c)]=_0xe44cec['data'][_0x552002(0x1a7c)]||[{'message':_0xe44cec[_0x552002(0x147f)](),'type':'SYSTEM:DELETEvoiceChanSpy'}];for(let _0x166fe4=0x0;_0x166fe4<_0xe44cec[_0x552002(0x25c)][_0x552002(0x1a7c)][_0x552002(0xfd0)];_0x166fe4++){_0x184211[_0x552002(0x218e)]({'title':_0xe44cec[_0x552002(0x25c)]['errors'][_0x166fe4]['type'],'msg':_0xe44cec['data']['errors'][_0x166fe4][_0x552002(0x155e)]});}}else _0x184211[_0x552002(0x218e)]({'title':_0xe44cec[_0x552002(0x291)]?_0x552002(0xeb9)+_0xe44cec[_0x552002(0x291)]+_0x552002(0x1657)+_0xe44cec[_0x552002(0xc22)]:'SYSTEM:DELETEvoiceChanSpy','msg':_0xe44cec[_0x552002(0x25c)]?JSON[_0x552002(0x2701)](_0xe44cec[_0x552002(0x25c)][_0x552002(0x155e)]):_0xe44cec[_0x552002(0x155e)]||_0xe44cec[_0x552002(0x147f)]()});});}function _0x1373e6(){const _0x3e97e3=_0x29b044,_0x464123=angular[_0x3e97e3(0x17fe)](_0xdb8e33['selectedChanSpies']);return _0xdb8e33[_0x3e97e3(0x2113)]=[],_0x464123;}function _0x4e796(_0xa2951b){const _0x34251e=_0x29b044,_0x5ac96a=_0x442ca5[_0x34251e(0x1551)]()[_0x34251e(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20chanSpies?')['htmlContent'](_0x34251e(0x204d)+_0xdb8e33[_0x34251e(0x2113)][_0x34251e(0xfd0)]+'\x20selected
'+'\x20will\x20be\x20deleted.')[_0x34251e(0x15ad)](_0x34251e(0xcf3))[_0x34251e(0x728)](_0xa2951b)['ok']('OK')['cancel'](_0x34251e(0x24ba));_0x442ca5[_0x34251e(0xe27)](_0x5ac96a)[_0x34251e(0x1cb0)](function(){const _0x1a2c75=_0x34251e;_0xdb8e33[_0x1a2c75(0x2113)][_0x1a2c75(0xf90)](function(_0x51efa7){_0x89aa04(_0x51efa7);}),_0xdb8e33['selectedChanSpies']=[];});}function _0x2e6f61(){const _0x5cf40f=_0x29b044;_0xdb8e33[_0x5cf40f(0x2113)]=[];}function _0x372e76(){const _0x5597c0=_0x29b044;_0xdb8e33['selectedChanSpies']=_0xdb8e33[_0x5597c0(0x1a27)]['rows'];}}const _0x18d2c6=_0x5a6d4c;;_0x1bc22b[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$state',_0x5537c6(0x21c8),'$mdDialog','$q',_0x5537c6(0x1ae),'toasty','chanSpies',_0x5537c6(0x1948),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting',_0x5537c6(0x1b1a)];function _0x1bc22b(_0x5d8b2e,_0x1cf7f2,_0x2e184a,_0x28fad4,_0x217f48,_0x3ba481,_0x2a2794,_0x378a56,_0x51bd8f,_0x2a9e2e,_0x500388,_0x5b365a,_0x105f24,_0xe11340){const _0x140898=_0x5537c6,_0x287916=this;_0x287916[_0x140898(0xe76)]=_0x500388['getCurrentUser'](),_0x287916[_0x140898(0x1a7c)]=[],_0x287916['setting']=_0x105f24,_0x287916[_0x140898(0x8a5)]=_0x5b365a,_0x287916[_0x140898(0x1b1a)]=_0xe11340,_0x287916[_0x140898(0xf4c)]={},_0x287916[_0x140898(0x1b0c)]=_0x287916['setting']&&_0x287916[_0x140898(0x9ca)][_0x140898(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x287916[_0x140898(0x1386)]='VOICE.EDIT_CHANSPY',_0x287916[_0x140898(0x1948)]=angular[_0x140898(0x17fe)](_0x51bd8f),_0x287916['chanSpies']=_0x378a56,_0x287916['newchanSpy']=![];!_0x287916[_0x140898(0x1948)]&&(_0x287916[_0x140898(0x1948)]={'recordingFormat':_0x140898(0xcab)},_0x287916[_0x140898(0x1386)]='VOICE.NEW_CHANSPY',_0x287916[_0x140898(0x1bfd)]=!![]);_0x287916['addNewchanSpy']=_0xafd2e9,_0x287916[_0x140898(0x2913)]=_0x3e6865,_0x287916['deletechanSpy']=_0x35046f,_0x287916['getDateFromString']=_0x5eda4b,_0x287916[_0x140898(0xda0)]=_0xc253c1;function _0xafd2e9(){const _0x1fbd52=_0x140898;_0x287916[_0x1fbd52(0x1a7c)]=[],_0x2a9e2e[_0x1fbd52(0x2668)][_0x1fbd52(0x1c3f)](_0x287916[_0x1fbd52(0x1948)])[_0x1fbd52(0x1d77)][_0x1fbd52(0x1cb0)](function(_0xbb7bd6){const _0x5f041e=_0x1fbd52;_0x287916['chanSpies']['unshift'](_0xbb7bd6[_0x5f041e(0x19b2)]()),_0x2a2794[_0x5f041e(0x829)]({'title':_0x5f041e(0x7e7),'msg':_0x287916[_0x5f041e(0x1948)][_0x5f041e(0x16b6)]?_0x287916['chanSpy'][_0x5f041e(0x16b6)]+'\x20has\x20been\x20created!':''}),_0xc253c1(_0xbb7bd6);})['catch'](function(_0x5bb15d){const _0x51df1b=_0x1fbd52;if(_0x5bb15d[_0x51df1b(0x25c)]&&_0x5bb15d[_0x51df1b(0x25c)]['errors']&&_0x5bb15d['data'][_0x51df1b(0x1a7c)][_0x51df1b(0xfd0)]){_0x287916['errors']=_0x5bb15d[_0x51df1b(0x25c)][_0x51df1b(0x1a7c)]||[{'message':_0x5bb15d['toString'](),'type':_0x51df1b(0x26c6)}];for(let _0x17d535=0x0;_0x17d535<_0x5bb15d[_0x51df1b(0x25c)][_0x51df1b(0x1a7c)][_0x51df1b(0xfd0)];_0x17d535+=0x1){_0x2a2794[_0x51df1b(0x218e)]({'title':_0x5bb15d[_0x51df1b(0x25c)][_0x51df1b(0x1a7c)][_0x17d535][_0x51df1b(0x66a)],'msg':_0x5bb15d['data'][_0x51df1b(0x1a7c)][_0x17d535]['message']});}}else _0x2a2794['error']({'title':_0x5bb15d['status']?_0x51df1b(0xeb9)+_0x5bb15d[_0x51df1b(0x291)]+_0x51df1b(0x1657)+_0x5bb15d[_0x51df1b(0xc22)]:_0x51df1b(0x26c6),'msg':_0x5bb15d['data']?JSON[_0x51df1b(0x2701)](_0x5bb15d[_0x51df1b(0x25c)][_0x51df1b(0x155e)]):_0x5bb15d[_0x51df1b(0x147f)]()});});}function _0x3e6865(){const _0x4a407b=_0x140898;_0x287916[_0x4a407b(0x1a7c)]=[],_0x2a9e2e[_0x4a407b(0x2668)][_0x4a407b(0x687)]({'id':_0x287916[_0x4a407b(0x1948)]['id']},_0x287916[_0x4a407b(0x1948)])[_0x4a407b(0x1d77)][_0x4a407b(0x1cb0)](function(_0x4ca4da){const _0xa87335=_0x4a407b,_0x181262=_0x39641b()['find'](_0x287916['chanSpies'],{'id':_0x4ca4da['id']});_0x181262&&_0x39641b()['merge'](_0x181262,_0x39641b()['pick'](_0x4ca4da[_0xa87335(0x19b2)](),_0x39641b()[_0xa87335(0x1be5)](_0x181262))),_0x2a2794[_0xa87335(0x829)]({'title':_0xa87335(0x442),'msg':_0x287916[_0xa87335(0x1948)]['name']?_0x287916[_0xa87335(0x1948)][_0xa87335(0x16b6)]+'\x20has\x20been\x20saved!':''}),_0xc253c1(_0x4ca4da);})[_0x4a407b(0x1c4)](function(_0x486a68){const _0x1119c9=_0x4a407b;if(_0x486a68[_0x1119c9(0x25c)]&&_0x486a68[_0x1119c9(0x25c)][_0x1119c9(0x1a7c)]&&_0x486a68[_0x1119c9(0x25c)][_0x1119c9(0x1a7c)][_0x1119c9(0xfd0)]){_0x287916[_0x1119c9(0x1a7c)]=_0x486a68[_0x1119c9(0x25c)][_0x1119c9(0x1a7c)]||[{'message':_0x486a68[_0x1119c9(0x147f)](),'type':_0x1119c9(0x3c6)}];for(let _0x7333b=0x0;_0x7333b<_0x486a68[_0x1119c9(0x25c)]['errors']['length'];_0x7333b++){_0x2a2794[_0x1119c9(0x218e)]({'title':_0x486a68[_0x1119c9(0x25c)][_0x1119c9(0x1a7c)][_0x7333b]['type'],'msg':_0x486a68[_0x1119c9(0x25c)][_0x1119c9(0x1a7c)][_0x7333b][_0x1119c9(0x155e)]});}}else _0x2a2794[_0x1119c9(0x218e)]({'title':_0x486a68[_0x1119c9(0x291)]?_0x1119c9(0xeb9)+_0x486a68[_0x1119c9(0x291)]+_0x1119c9(0x1657)+_0x486a68[_0x1119c9(0xc22)]:_0x1119c9(0x3c6),'msg':_0x486a68[_0x1119c9(0x25c)]?JSON[_0x1119c9(0x2701)](_0x486a68[_0x1119c9(0x25c)]['message']):_0x486a68[_0x1119c9(0x147f)]()});});}function _0x35046f(_0x1f2b59){const _0x30127e=_0x140898;_0x287916[_0x30127e(0x1a7c)]=[];const _0x34f954=_0x28fad4['confirm']()[_0x30127e(0x1386)](_0x30127e(0x1a2e))[_0x30127e(0x862)](_0x30127e(0x21f4))[_0x30127e(0x15ad)](_0x30127e(0x205a))['ok'](_0x30127e(0x2594))[_0x30127e(0x696)](_0x30127e(0xde1))['targetEvent'](_0x1f2b59);_0x28fad4[_0x30127e(0xe27)](_0x34f954)['then'](function(){const _0x13c5a2=_0x30127e;_0x2a9e2e['voiceChanSpy'][_0x13c5a2(0x111d)]({'id':_0x287916['chanSpy']['id']})['$promise'][_0x13c5a2(0x1cb0)](function(){const _0x29a8bf=_0x13c5a2;_0x39641b()[_0x29a8bf(0x152a)](_0x287916[_0x29a8bf(0x1a27)],{'id':_0x287916[_0x29a8bf(0x1948)]['id']}),_0x2a2794['success']({'title':'chanSpy\x20properly\x20deleted!','msg':(_0x287916[_0x29a8bf(0x1948)][_0x29a8bf(0x16b6)]||'chanSpy')+_0x29a8bf(0x3f5)}),_0xc253c1(_0x287916[_0x29a8bf(0x1948)]);})['catch'](function(_0x32ea13){const _0x378b8c=_0x13c5a2;if(_0x32ea13[_0x378b8c(0x25c)]&&_0x32ea13['data'][_0x378b8c(0x1a7c)]&&_0x32ea13[_0x378b8c(0x25c)][_0x378b8c(0x1a7c)][_0x378b8c(0xfd0)]){_0x287916[_0x378b8c(0x1a7c)]=_0x32ea13[_0x378b8c(0x25c)][_0x378b8c(0x1a7c)]||[{'message':_0x32ea13[_0x378b8c(0x147f)](),'type':_0x378b8c(0x19f7)}];for(let _0x21c103=0x0;_0x21c103<_0x32ea13[_0x378b8c(0x25c)][_0x378b8c(0x1a7c)][_0x378b8c(0xfd0)];_0x21c103++){_0x2a2794[_0x378b8c(0x218e)]({'title':_0x32ea13[_0x378b8c(0x25c)]['errors'][_0x21c103]['type'],'msg':_0x32ea13[_0x378b8c(0x25c)][_0x378b8c(0x1a7c)][_0x21c103]['message']});}}else _0x2a2794[_0x378b8c(0x218e)]({'title':_0x32ea13['status']?_0x378b8c(0xeb9)+_0x32ea13[_0x378b8c(0x291)]+_0x378b8c(0x1657)+_0x32ea13[_0x378b8c(0xc22)]:_0x378b8c(0x19f7),'msg':_0x32ea13[_0x378b8c(0x25c)]?JSON['stringify'](_0x32ea13[_0x378b8c(0x25c)][_0x378b8c(0x155e)]):_0x32ea13[_0x378b8c(0x155e)]||_0x32ea13[_0x378b8c(0x147f)]()});});},function(){});}function _0x5eda4b(_0x40e13a){return _0x40e13a===null?undefined:new Date(_0x40e13a);}function _0xc253c1(_0x5f3610){_0x28fad4['hide'](_0x5f3610);}}const _0x4e46d6=_0x1bc22b;;const _0x59d340=_0x5074a3['p']+'src/js/modules/main/apps/voice/views/contexts/create/dialog.html/dialog.html';;_0x56d0fc[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$window',_0x5537c6(0x406),_0x5537c6(0x417),'$mdDialog',_0x5537c6(0x22bf),_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0x14f6),'userProfile',_0x5537c6(0x2199),_0x5537c6(0x142b),'msUtils','toasty',_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting'];function _0x56d0fc(_0x53f674,_0x521029,_0x4c0c85,_0x5bc9be,_0x22260d,_0x9fabfe,_0x20cf0f,_0x52436d,_0x21e229,_0x1f49b8,_0x2aaf1e,_0x91f7f8,_0x599a03,_0x5d4e75,_0x39fd1e,_0x4bf5ef,_0x12ee61){const _0x2438eb=_0x5537c6,_0xdc2b2d=this;_0xdc2b2d['license']=_0x4bf5ef,_0xdc2b2d['setting']=_0x12ee61,_0xdc2b2d[_0x2438eb(0xe76)]=_0x39fd1e[_0x2438eb(0x21e8)](),_0xdc2b2d['contexts']=_0x21e229||{'count':0x0,'rows':[]},_0xdc2b2d[_0x2438eb(0x44a)]=_0x1f49b8,_0xdc2b2d[_0x2438eb(0x2199)]=_0x2aaf1e&&_0x2aaf1e[_0x2438eb(0x184d)]==0x1?_0x2aaf1e[_0x2438eb(0x2214)][0x0]:null,_0xdc2b2d[_0x2438eb(0x1b1a)]=_0x39fd1e[_0x2438eb(0x14ea)](_0xdc2b2d[_0x2438eb(0x2199)]?_0xdc2b2d[_0x2438eb(0x2199)][_0x2438eb(0x1b1a)]:null),_0xdc2b2d[_0x2438eb(0xc83)]='contexts',_0xdc2b2d['listOrder']='',_0xdc2b2d[_0x2438eb(0x1cdf)]=null,_0xdc2b2d[_0x2438eb(0x2684)]=[],_0xdc2b2d[_0x2438eb(0xae2)]={'fields':_0x2438eb(0x12e8),'sort':'-updatedAt','defaultEntry':_0x2438eb(0xdec),'limit':0xa,'page':0x1},_0xdc2b2d['editdialog']=_0x5e5b44,_0xdc2b2d['deleteconfirm']=_0x32c5bd,_0xdc2b2d[_0x2438eb(0x829)]=_0x307a01,_0xdc2b2d['getContexts']=_0x244b3e,_0xdc2b2d[_0x2438eb(0x587)]=_0x13369a,_0xdc2b2d[_0x2438eb(0x1c01)]=_0x2927ab,_0xdc2b2d[_0x2438eb(0x231d)]=_0x125316,_0xdc2b2d[_0x2438eb(0xd27)]=_0x5babdb,_0xdc2b2d['deselectContexts']=_0x3f531e,_0xdc2b2d['selectAllContexts']=_0x545584;function _0x5e5b44(_0xab445f,_0x1a5905){const _0x2dd37c=_0x2438eb;_0x22260d[_0x2dd37c(0xe27)]({'controller':_0x2dd37c(0x3ab),'controllerAs':'vm','templateUrl':_0x59d340,'parent':angular['element'](_0x9fabfe['body']),'targetEvent':_0x1a5905,'clickOutsideToClose':!![],'locals':{'context':_0xab445f,'contexts':_0xdc2b2d[_0x2dd37c(0x14f6)][_0x2dd37c(0x2214)],'license':_0xdc2b2d[_0x2dd37c(0x8a5)],'setting':null,'crudPermissions':_0xdc2b2d['crudPermissions']}});}function _0x32c5bd(_0x24ea96,_0x396a20){const _0x2dab88=_0x2438eb,_0x4bae54=_0x22260d[_0x2dab88(0x1551)]()['title'](_0x2dab88(0x140b)+_0x39641b()[_0x2dab88(0xa75)](_0x2dab88(0x2056))+'?')['htmlContent'](_0x2dab88(0x204d)+(_0x24ea96[_0x2dab88(0x16b6)]||_0x2dab88(0x2056))+_0x2dab88(0x1200)+_0x2dab88(0x1b6))[_0x2dab88(0x15ad)]('delete\x20context')[_0x2dab88(0x728)](_0x396a20)['ok']('OK')[_0x2dab88(0x696)]('CANCEL');_0x22260d[_0x2dab88(0xe27)](_0x4bae54)[_0x2dab88(0x1cb0)](function(){_0x2927ab(_0x24ea96);},function(){const _0x4e64dd=_0x2dab88;console['log'](_0x4e64dd(0x24ba));});}let _0x4be890=!![],_0x4a147f=0x1;_0x53f674[_0x2438eb(0x614)]('vm.query.filter',function(_0x2413ce,_0x2bd332){const _0x20511a=_0x2438eb;_0x4be890?_0x20cf0f(function(){_0x4be890=![];}):(!_0x2bd332&&(_0x4a147f=_0xdc2b2d[_0x20511a(0xae2)][_0x20511a(0x1c7b)]),_0x2413ce!==_0x2bd332&&(_0xdc2b2d[_0x20511a(0xae2)]['page']=0x1),!_0x2413ce&&(_0xdc2b2d[_0x20511a(0xae2)][_0x20511a(0x1c7b)]=_0x4a147f),_0xdc2b2d[_0x20511a(0x1387)]());});function _0x307a01(_0x16442e){const _0x5160de=_0x2438eb;_0xdc2b2d[_0x5160de(0x14f6)]=_0x16442e||{'count':0x0,'rows':[]};}function _0x244b3e(){const _0x5701d9=_0x2438eb;_0xdc2b2d[_0x5701d9(0xae2)][_0x5701d9(0x184b)]=(_0xdc2b2d['query'][_0x5701d9(0x1c7b)]-0x1)*_0xdc2b2d['query']['limit'],_0x39fd1e['hasRole'](_0x5701d9(0x1c60))?_0xdc2b2d[_0x5701d9(0x2061)]=_0x91f7f8[_0x5701d9(0x1da5)][_0x5701d9(0xbf7)](_0xdc2b2d[_0x5701d9(0xae2)],_0x307a01)[_0x5701d9(0x1d77)]:(_0xdc2b2d[_0x5701d9(0xae2)]['id']=_0xdc2b2d['userProfile']['id'],_0xdc2b2d[_0x5701d9(0xae2)][_0x5701d9(0x1f74)]=_0x5701d9(0x208a),_0xdc2b2d[_0x5701d9(0x2061)]=_0x91f7f8['userProfile'][_0x5701d9(0x1810)](_0xdc2b2d['query'],_0x307a01)[_0x5701d9(0x1d77)]);}function _0x13369a(_0x944ef0,_0x39ae6f){const _0x3b379e=_0x2438eb;_0x22260d['show']({'controller':_0x3b379e(0x3ab),'controllerAs':'vm','templateUrl':_0x59d340,'parent':angular[_0x3b379e(0x1853)](_0x9fabfe[_0x3b379e(0x1ed9)]),'targetEvent':_0x944ef0,'clickOutsideToClose':!![],'locals':{'context':_0x39ae6f,'contexts':_0xdc2b2d['contexts'][_0x3b379e(0x2214)],'license':_0xdc2b2d['license'],'setting':_0xdc2b2d[_0x3b379e(0x9ca)],'crudPermissions':_0xdc2b2d[_0x3b379e(0x1b1a)]}});}function _0x2927ab(_0x3fd207){const _0x1d3d7a=_0x2438eb;_0x91f7f8[_0x1d3d7a(0x1da5)][_0x1d3d7a(0x111d)]({'id':_0x3fd207['id']})[_0x1d3d7a(0x1d77)]['then'](function(){const _0x574e6a=_0x1d3d7a;_0x39641b()['remove'](_0xdc2b2d[_0x574e6a(0x14f6)]['rows'],{'id':_0x3fd207['id']}),_0xdc2b2d[_0x574e6a(0x14f6)]['count']-=0x1,!_0xdc2b2d[_0x574e6a(0x14f6)]['rows'][_0x574e6a(0xfd0)]&&_0xdc2b2d[_0x574e6a(0x1387)](),_0x5d4e75[_0x574e6a(0x829)]({'title':_0x39641b()[_0x574e6a(0xa75)]('Context')+_0x574e6a(0x2663),'msg':_0x3fd207[_0x574e6a(0x16b6)]?_0x3fd207[_0x574e6a(0x16b6)]+_0x574e6a(0x3f5):''});})[_0x1d3d7a(0x1c4)](function(_0x3a3806){const _0x2d7244=_0x1d3d7a;if(_0x3a3806[_0x2d7244(0x25c)]&&_0x3a3806[_0x2d7244(0x25c)][_0x2d7244(0x1a7c)]&&_0x3a3806[_0x2d7244(0x25c)]['errors'][_0x2d7244(0xfd0)]){_0xdc2b2d['errors']=_0x3a3806[_0x2d7244(0x25c)][_0x2d7244(0x1a7c)]||[{'message':_0x3a3806[_0x2d7244(0x147f)](),'type':_0x2d7244(0x23d5)}];for(let _0x126b0e=0x0;_0x126b0e<_0x3a3806['data'][_0x2d7244(0x1a7c)]['length'];_0x126b0e++){_0x5d4e75[_0x2d7244(0x218e)]({'title':_0x3a3806[_0x2d7244(0x25c)]['errors'][_0x126b0e]['type'],'msg':_0x3a3806['data'][_0x2d7244(0x1a7c)][_0x126b0e][_0x2d7244(0x155e)]});}}else _0x5d4e75['error']({'title':_0x3a3806[_0x2d7244(0x291)]?'API:'+_0x3a3806[_0x2d7244(0x291)]+_0x2d7244(0x1657)+_0x3a3806[_0x2d7244(0xc22)]:_0x2d7244(0x23d5),'msg':_0x3a3806[_0x2d7244(0x25c)]?JSON['stringify'](_0x3a3806['data']['message']):_0x3a3806[_0x2d7244(0x155e)]||_0x3a3806['toString']()});});}function _0x125316(){const _0x1f3039=_0x2438eb,_0x5c871d=angular[_0x1f3039(0x17fe)](_0xdc2b2d[_0x1f3039(0x2684)]);return _0xdc2b2d[_0x1f3039(0x2684)]=[],_0x5c871d;}function _0x5babdb(_0x85b371){const _0x557cd3=_0x2438eb,_0x2721d9=_0x22260d[_0x557cd3(0x1551)]()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20contexts?')[_0x557cd3(0x49e)](''+_0xdc2b2d[_0x557cd3(0x2684)][_0x557cd3(0xfd0)]+_0x557cd3(0x1d6c)+_0x557cd3(0x1b6))[_0x557cd3(0x15ad)](_0x557cd3(0x103c))[_0x557cd3(0x728)](_0x85b371)['ok']('OK')['cancel'](_0x557cd3(0x24ba));_0x22260d[_0x557cd3(0xe27)](_0x2721d9)[_0x557cd3(0x1cb0)](function(){const _0xbcb1b2=_0x557cd3;_0xdc2b2d[_0xbcb1b2(0x2684)][_0xbcb1b2(0xf90)](function(_0x3060d2){_0x2927ab(_0x3060d2);}),_0xdc2b2d['selectedContexts']=[];});}function _0x3f531e(){const _0x585a4a=_0x2438eb;_0xdc2b2d[_0x585a4a(0x2684)]=[];}function _0x545584(){const _0x10f1bb=_0x2438eb;_0xdc2b2d[_0x10f1bb(0x2684)]=_0xdc2b2d[_0x10f1bb(0x14f6)][_0x10f1bb(0x2214)];}}const _0x44c60f=_0x56d0fc;;_0x3b70ab[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x406),'$location',_0x5537c6(0xcb9),'$q','$translate',_0x5537c6(0x9bf),_0x5537c6(0x14f6),_0x5537c6(0x2056),_0x5537c6(0x142b),'Auth','license',_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x3b70ab(_0xadfc6f,_0x35aa47,_0x4993f2,_0xf5d33b,_0x4ef204,_0x1720b6,_0x4ad1b3,_0x550fa4,_0x3f400f,_0x434e8,_0x52bf1a,_0x506e89,_0x28f9f1,_0x2e568e){const _0x148af5=_0x5537c6,_0x1b4db9=this;_0x1b4db9[_0x148af5(0xe76)]=_0x52bf1a[_0x148af5(0x21e8)](),_0x1b4db9[_0x148af5(0x1a7c)]=[],_0x1b4db9[_0x148af5(0x9ca)]=_0x28f9f1,_0x1b4db9[_0x148af5(0x8a5)]=_0x506e89,_0x1b4db9[_0x148af5(0x1b1a)]=_0x2e568e,_0x1b4db9['hasModulePermissions']={},_0x1b4db9[_0x148af5(0x1b0c)]=_0x1b4db9['setting']&&_0x1b4db9['setting'][_0x148af5(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x1b4db9[_0x148af5(0x1386)]=_0x148af5(0x87a),_0x1b4db9[_0x148af5(0x2056)]=angular[_0x148af5(0x17fe)](_0x3f400f),_0x1b4db9[_0x148af5(0x14f6)]=_0x550fa4,_0x1b4db9[_0x148af5(0x1f85)]=![];!_0x1b4db9[_0x148af5(0x2056)]&&(_0x1b4db9[_0x148af5(0x2056)]={},_0x1b4db9['title']=_0x148af5(0x41a),_0x1b4db9[_0x148af5(0x1f85)]=!![]);_0x1b4db9[_0x148af5(0x312)]=_0x485c2a,_0x1b4db9[_0x148af5(0x19d4)]=_0xebac62,_0x1b4db9[_0x148af5(0x1c01)]=_0x26e713,_0x1b4db9['getDateFromString']=_0x26c278,_0x1b4db9[_0x148af5(0xda0)]=_0x56d4b3;function _0x485c2a(){const _0x50e9c2=_0x148af5;_0x1b4db9[_0x50e9c2(0x1a7c)]=[],_0x434e8['voiceContext']['save'](_0x1b4db9[_0x50e9c2(0x2056)])[_0x50e9c2(0x1d77)][_0x50e9c2(0x1cb0)](function(_0x593908){const _0x3a4493=_0x50e9c2;_0x1b4db9['contexts']['unshift'](_0x593908[_0x3a4493(0x19b2)]()),_0x4ad1b3[_0x3a4493(0x829)]({'title':_0x3a4493(0x1caf),'msg':_0x1b4db9[_0x3a4493(0x2056)]['name']?_0x1b4db9['context'][_0x3a4493(0x16b6)]+'\x20has\x20been\x20created!':''}),_0x56d4b3(_0x593908);})[_0x50e9c2(0x1c4)](function(_0x17aaaf){const _0x403890=_0x50e9c2;if(_0x17aaaf[_0x403890(0x25c)]&&_0x17aaaf['data'][_0x403890(0x1a7c)]&&_0x17aaaf[_0x403890(0x25c)][_0x403890(0x1a7c)][_0x403890(0xfd0)]){_0x1b4db9[_0x403890(0x1a7c)]=_0x17aaaf[_0x403890(0x25c)][_0x403890(0x1a7c)]||[{'message':_0x17aaaf[_0x403890(0x147f)](),'type':_0x403890(0x4cf)}];for(let _0x2976fb=0x0;_0x2976fb<_0x17aaaf['data'][_0x403890(0x1a7c)]['length'];_0x2976fb+=0x1){_0x4ad1b3[_0x403890(0x218e)]({'title':_0x17aaaf[_0x403890(0x25c)][_0x403890(0x1a7c)][_0x2976fb][_0x403890(0x66a)],'msg':_0x17aaaf['data'][_0x403890(0x1a7c)][_0x2976fb][_0x403890(0x155e)]});}}else _0x4ad1b3[_0x403890(0x218e)]({'title':_0x17aaaf[_0x403890(0x291)]?_0x403890(0xeb9)+_0x17aaaf[_0x403890(0x291)]+'\x20-\x20'+_0x17aaaf['statusText']:_0x403890(0x4cf),'msg':_0x17aaaf[_0x403890(0x25c)]?JSON[_0x403890(0x2701)](_0x17aaaf[_0x403890(0x25c)]['message']):_0x17aaaf[_0x403890(0x147f)]()});});}function _0xebac62(){const _0x4650ed=_0x148af5;_0x1b4db9[_0x4650ed(0x1a7c)]=[],_0x434e8['voiceContext'][_0x4650ed(0x687)]({'id':_0x1b4db9[_0x4650ed(0x2056)]['id']},_0x1b4db9[_0x4650ed(0x2056)])['$promise'][_0x4650ed(0x1cb0)](function(_0x276402){const _0x12b90c=_0x4650ed,_0x55a3fc=_0x39641b()[_0x12b90c(0x13b4)](_0x1b4db9[_0x12b90c(0x14f6)],{'id':_0x276402['id']});_0x55a3fc&&_0x39641b()['merge'](_0x55a3fc,_0x39641b()[_0x12b90c(0x169b)](_0x276402[_0x12b90c(0x19b2)](),_0x39641b()[_0x12b90c(0x1be5)](_0x55a3fc))),_0x4ad1b3[_0x12b90c(0x829)]({'title':_0x12b90c(0x2228),'msg':_0x1b4db9[_0x12b90c(0x2056)][_0x12b90c(0x16b6)]?_0x1b4db9[_0x12b90c(0x2056)][_0x12b90c(0x16b6)]+'\x20has\x20been\x20saved!':''}),_0x56d4b3(_0x276402);})[_0x4650ed(0x1c4)](function(_0x5c176d){const _0x2a7540=_0x4650ed;if(_0x5c176d[_0x2a7540(0x25c)]&&_0x5c176d[_0x2a7540(0x25c)][_0x2a7540(0x1a7c)]&&_0x5c176d[_0x2a7540(0x25c)]['errors'][_0x2a7540(0xfd0)]){_0x1b4db9[_0x2a7540(0x1a7c)]=_0x5c176d['data'][_0x2a7540(0x1a7c)]||[{'message':_0x5c176d['toString'](),'type':_0x2a7540(0x124c)}];for(let _0x1d8f76=0x0;_0x1d8f76<_0x5c176d[_0x2a7540(0x25c)][_0x2a7540(0x1a7c)][_0x2a7540(0xfd0)];_0x1d8f76++){_0x4ad1b3[_0x2a7540(0x218e)]({'title':_0x5c176d[_0x2a7540(0x25c)][_0x2a7540(0x1a7c)][_0x1d8f76][_0x2a7540(0x66a)],'msg':_0x5c176d[_0x2a7540(0x25c)][_0x2a7540(0x1a7c)][_0x1d8f76][_0x2a7540(0x155e)]});}}else _0x4ad1b3['error']({'title':_0x5c176d[_0x2a7540(0x291)]?_0x2a7540(0xeb9)+_0x5c176d[_0x2a7540(0x291)]+_0x2a7540(0x1657)+_0x5c176d[_0x2a7540(0xc22)]:_0x2a7540(0x124c),'msg':_0x5c176d['data']?JSON[_0x2a7540(0x2701)](_0x5c176d[_0x2a7540(0x25c)][_0x2a7540(0x155e)]):_0x5c176d[_0x2a7540(0x147f)]()});});}function _0x26e713(_0x12f9c7){const _0x22f144=_0x148af5;_0x1b4db9[_0x22f144(0x1a7c)]=[];const _0x2f9ece=_0xf5d33b['confirm']()[_0x22f144(0x1386)](_0x22f144(0x1a2e))[_0x22f144(0x862)](_0x22f144(0x2856))[_0x22f144(0x15ad)](_0x22f144(0x274c))['ok'](_0x22f144(0x2594))['cancel'](_0x22f144(0xde1))[_0x22f144(0x728)](_0x12f9c7);_0xf5d33b['show'](_0x2f9ece)[_0x22f144(0x1cb0)](function(){const _0x5389eb=_0x22f144;_0x434e8[_0x5389eb(0x1da5)][_0x5389eb(0x111d)]({'id':_0x1b4db9[_0x5389eb(0x2056)]['id']})[_0x5389eb(0x1d77)][_0x5389eb(0x1cb0)](function(){const _0x473a5f=_0x5389eb;_0x39641b()['remove'](_0x1b4db9['contexts'],{'id':_0x1b4db9['context']['id']}),_0x4ad1b3[_0x473a5f(0x829)]({'title':_0x473a5f(0x6bd),'msg':(_0x1b4db9[_0x473a5f(0x2056)][_0x473a5f(0x16b6)]||'context')+_0x473a5f(0x3f5)}),_0x56d4b3(_0x1b4db9[_0x473a5f(0x2056)]);})[_0x5389eb(0x1c4)](function(_0x3ec83f){const _0x50def8=_0x5389eb;if(_0x3ec83f['data']&&_0x3ec83f['data'][_0x50def8(0x1a7c)]&&_0x3ec83f[_0x50def8(0x25c)][_0x50def8(0x1a7c)]['length']){_0x1b4db9['errors']=_0x3ec83f['data']['errors']||[{'message':_0x3ec83f[_0x50def8(0x147f)](),'type':_0x50def8(0x69e)}];for(let _0x1200fe=0x0;_0x1200fe<_0x3ec83f[_0x50def8(0x25c)][_0x50def8(0x1a7c)]['length'];_0x1200fe++){_0x4ad1b3[_0x50def8(0x218e)]({'title':_0x3ec83f[_0x50def8(0x25c)][_0x50def8(0x1a7c)][_0x1200fe]['type'],'msg':_0x3ec83f['data'][_0x50def8(0x1a7c)][_0x1200fe][_0x50def8(0x155e)]});}}else _0x4ad1b3['error']({'title':_0x3ec83f[_0x50def8(0x291)]?_0x50def8(0xeb9)+_0x3ec83f[_0x50def8(0x291)]+_0x50def8(0x1657)+_0x3ec83f[_0x50def8(0xc22)]:_0x50def8(0x69e),'msg':_0x3ec83f[_0x50def8(0x25c)]?JSON[_0x50def8(0x2701)](_0x3ec83f['data']['message']):_0x3ec83f['message']||_0x3ec83f[_0x50def8(0x147f)]()});});},function(){});}function _0x26c278(_0x17ce93){return _0x17ce93===null?undefined:new Date(_0x17ce93);}function _0x56d4b3(_0x2cab49){const _0xe9db27=_0x148af5;_0xf5d33b[_0xe9db27(0x1426)](_0x2cab49);}}const _0x43f917=_0x3b70ab;;_0x53b318[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x406),_0x5537c6(0x21c8),'$mdDialog','$q','$translate',_0x5537c6(0x9bf),_0x5537c6(0x1310),_0x5537c6(0x80a),_0x5537c6(0x142b),_0x5537c6(0x1774),'license','setting',_0x5537c6(0x1b1a)];function _0x53b318(_0x338cb3,_0x32a9e0,_0x4a9ee0,_0x538715,_0x575ebb,_0x35c39f,_0x1030da,_0x4e3bd9,_0x1fae7f,_0x3bf501,_0x3acf73,_0x2f8e8c,_0xa45ef4,_0x22efab){const _0xef3bbe=_0x5537c6,_0x46e161=this;_0x46e161['currentUser']=_0x3acf73['getCurrentUser'](),_0x46e161['errors']=[],_0x46e161[_0xef3bbe(0x9ca)]=_0xa45ef4,_0x46e161[_0xef3bbe(0x8a5)]=_0x2f8e8c,_0x46e161[_0xef3bbe(0x1b1a)]=_0x22efab,_0x46e161['hasModulePermissions']={},_0x46e161['passwordPattern']=_0x46e161[_0xef3bbe(0x9ca)]&&_0x46e161[_0xef3bbe(0x9ca)][_0xef3bbe(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x46e161[_0xef3bbe(0x1386)]='VOICE.EDIT_INBOUNDROUTE',_0x46e161[_0xef3bbe(0x80a)]=angular['copy'](_0x1fae7f),_0x46e161[_0xef3bbe(0x1310)]=_0x4e3bd9,_0x46e161[_0xef3bbe(0x23c7)]=![];!_0x46e161[_0xef3bbe(0x80a)]&&(_0x46e161[_0xef3bbe(0x80a)]={'appdata':_0xef3bbe(0x24c7),'type':_0xef3bbe(0x26c0),'context':_0xef3bbe(0x8d7)},_0x46e161['title']='VOICE.NEW_INBOUNDROUTE',_0x46e161['newInboundRoute']=!![]);_0x46e161[_0xef3bbe(0x7ca)]=_0x5ed3ba,_0x46e161[_0xef3bbe(0x63a)]=_0x489572,_0x46e161['deleteInboundRoute']=_0x570852,_0x46e161[_0xef3bbe(0x2c4)]=_0x31a9a4,_0x46e161['closeDialog']=_0x28c6c7,_0x3acf73['hasRole']('admin')?_0x3bf501[_0xef3bbe(0x1da5)][_0xef3bbe(0xbf7)]({'fields':_0xef3bbe(0x43c),'sort':_0xef3bbe(0x16b6),'nolimit':_0xef3bbe(0x44d)})[_0xef3bbe(0x1d77)]['then'](function(_0x5e3f1b){const _0x1a6d82=_0xef3bbe;_0x46e161['contexts']=_0x5e3f1b[_0x1a6d82(0x2214)]||[];})['catch'](function(_0x4fa501){const _0x55c1f5=_0xef3bbe;_0x1030da[_0x55c1f5(0x218e)]({'title':_0x4fa501[_0x55c1f5(0x291)]?_0x55c1f5(0xeb9)+_0x4fa501['status']+_0x55c1f5(0x1657)+_0x4fa501[_0x55c1f5(0xc22)]:'SYSTEM:GET_CONTEXTS','msg':_0x4fa501[_0x55c1f5(0x25c)]?JSON[_0x55c1f5(0x2701)](_0x4fa501[_0x55c1f5(0x25c)]):_0x4fa501['toString']()});}):_0x3bf501[_0xef3bbe(0x1da5)][_0xef3bbe(0xbf7)]({'fields':'id,name','sort':'name','nolimit':_0xef3bbe(0x44d)})[_0xef3bbe(0x1d77)][_0xef3bbe(0x1cb0)](function(_0x382173){const _0x40c1ad=_0xef3bbe;_0x46e161[_0x40c1ad(0x14f6)]=_0x382173[_0x40c1ad(0x2214)]||[];})[_0xef3bbe(0x1cb0)](function(){const _0x46b2a6=_0xef3bbe;return _0x3bf501['userProfileSection'][_0x46b2a6(0xbf7)]({'userProfileId':_0x46e161[_0x46b2a6(0xe76)]['userProfileId'],'sectionId':0x195})[_0x46b2a6(0x1d77)];})[_0xef3bbe(0x1cb0)](function(_0x29f4cf){const _0x220857=_0xef3bbe,_0xbe1afe=_0x29f4cf&&_0x29f4cf['rows']?_0x29f4cf[_0x220857(0x2214)][0x0]:null;if(!_0xbe1afe)return _0x3bf501[_0x220857(0x1da5)][_0x220857(0xbf7)]({'fields':'id,name','sort':_0x220857(0x16b6),'nolimit':'true','defaultEntry':0x1})['$promise']['then'](function(_0x312c02){const _0x1a4cdf=_0x220857;_0x46e161[_0x1a4cdf(0x14f6)]=_0x312c02[_0x1a4cdf(0x2214)]||[];});else{if(!_0xbe1afe[_0x220857(0x12f4)])return _0x3bf501[_0x220857(0x1198)][_0x220857(0xbf7)]({'sectionId':_0xbe1afe['id']})[_0x220857(0x1d77)][_0x220857(0x1cb0)](function(_0x4e2160){const _0x36c60b=_0x220857,_0x303143=_0x39641b()[_0x36c60b(0x1de2)](_0x4e2160[_0x36c60b(0x2214)],function(_0x4a4217){const _0x40b2c9=_0x36c60b;return _0x39641b()[_0x40b2c9(0x13b4)](_0x46e161[_0x40b2c9(0x14f6)],{'id':_0x4a4217[_0x40b2c9(0x2982)]});});let _0x5087f6=null;_0x46e161[_0x36c60b(0x80a)]&&(_0x5087f6=_0x39641b()[_0x36c60b(0x13b4)](_0x46e161[_0x36c60b(0x14f6)],{'name':_0x46e161[_0x36c60b(0x80a)][_0x36c60b(0x2056)]}));if(_0x5087f6&&!_0x39641b()[_0x36c60b(0x727)](_0x303143,['id',_0x5087f6['id']])){const _0x7ba1b3=_0x39641b()[_0x36c60b(0x13b4)](_0x46e161[_0x36c60b(0x14f6)],{'id':_0x5087f6['id']});_0x7ba1b3[_0x36c60b(0x15da)]=![],_0x303143[_0x36c60b(0x2785)](_0x7ba1b3);}_0x46e161[_0x36c60b(0x14f6)]=_0x303143;});}})['catch'](function(_0xff91e2){const _0x15c2fa=_0xef3bbe;_0x1030da[_0x15c2fa(0x218e)]({'title':_0xff91e2[_0x15c2fa(0x291)]?_0x15c2fa(0xeb9)+_0xff91e2[_0x15c2fa(0x291)]+_0x15c2fa(0x1657)+_0xff91e2['statusText']:_0x15c2fa(0x5ac),'msg':_0xff91e2[_0x15c2fa(0x25c)]?JSON[_0x15c2fa(0x2701)](_0xff91e2[_0x15c2fa(0x25c)]):_0xff91e2[_0x15c2fa(0x147f)]()});});function _0x5ed3ba(){const _0x51dbec=_0xef3bbe;_0x46e161[_0x51dbec(0x1a7c)]=[],_0x3bf501[_0x51dbec(0x1059)]['save'](_0x46e161[_0x51dbec(0x80a)])[_0x51dbec(0x1d77)][_0x51dbec(0x1cb0)](function(_0x48d598){const _0x366e43=_0x51dbec;_0x46e161[_0x366e43(0x1310)]['unshift'](_0x48d598[_0x366e43(0x19b2)]()),_0x1030da[_0x366e43(0x829)]({'title':_0x366e43(0x2031),'msg':_0x46e161[_0x366e43(0x80a)]['name']?_0x46e161['inboundroute'][_0x366e43(0x16b6)]+_0x366e43(0x470):''}),_0x28c6c7(_0x48d598);})['catch'](function(_0x26a33d){const _0x47c62d=_0x51dbec;if(_0x26a33d[_0x47c62d(0x25c)]&&_0x26a33d[_0x47c62d(0x25c)][_0x47c62d(0x1a7c)]&&_0x26a33d[_0x47c62d(0x25c)][_0x47c62d(0x1a7c)][_0x47c62d(0xfd0)]){_0x46e161[_0x47c62d(0x1a7c)]=_0x26a33d['data'][_0x47c62d(0x1a7c)]||[{'message':_0x26a33d['toString'](),'type':_0x47c62d(0xe25)}];for(let _0x491ff1=0x0;_0x491ff1<_0x26a33d[_0x47c62d(0x25c)]['errors'][_0x47c62d(0xfd0)];_0x491ff1+=0x1){_0x1030da[_0x47c62d(0x218e)]({'title':_0x26a33d[_0x47c62d(0x25c)][_0x47c62d(0x1a7c)][_0x491ff1][_0x47c62d(0x66a)],'msg':_0x26a33d[_0x47c62d(0x25c)][_0x47c62d(0x1a7c)][_0x491ff1][_0x47c62d(0x155e)]});}}else _0x1030da['error']({'title':_0x26a33d[_0x47c62d(0x291)]?'API:'+_0x26a33d['status']+'\x20-\x20'+_0x26a33d[_0x47c62d(0xc22)]:_0x47c62d(0xe25),'msg':_0x26a33d[_0x47c62d(0x25c)]?JSON[_0x47c62d(0x2701)](_0x26a33d[_0x47c62d(0x25c)]['message']):_0x26a33d['toString']()});});}function _0x489572(){const _0x4e0792=_0xef3bbe;_0x46e161[_0x4e0792(0x1a7c)]=[],_0x3bf501[_0x4e0792(0x1059)]['update']({'id':_0x46e161[_0x4e0792(0x80a)]['id']},_0x46e161[_0x4e0792(0x80a)])[_0x4e0792(0x1d77)][_0x4e0792(0x1cb0)](function(_0x3c3e0f){const _0x32d799=_0x4e0792,_0x1debe7=_0x39641b()[_0x32d799(0x13b4)](_0x46e161[_0x32d799(0x1310)],{'id':_0x3c3e0f['id']});_0x1debe7&&_0x39641b()[_0x32d799(0x9c1)](_0x1debe7,_0x39641b()[_0x32d799(0x169b)](_0x3c3e0f[_0x32d799(0x19b2)](),_0x39641b()[_0x32d799(0x1be5)](_0x1debe7))),_0x1030da['success']({'title':_0x32d799(0x2e5),'msg':_0x46e161['inboundroute'][_0x32d799(0x16b6)]?_0x46e161[_0x32d799(0x80a)]['name']+'\x20has\x20been\x20saved!':''}),_0x28c6c7(_0x3c3e0f);})['catch'](function(_0x19c884){const _0x269f31=_0x4e0792;if(_0x19c884[_0x269f31(0x25c)]&&_0x19c884[_0x269f31(0x25c)][_0x269f31(0x1a7c)]&&_0x19c884['data']['errors']['length']){_0x46e161[_0x269f31(0x1a7c)]=_0x19c884['data']['errors']||[{'message':_0x19c884[_0x269f31(0x147f)](),'type':'api.voiceExtension.update'}];for(let _0x5b1c84=0x0;_0x5b1c84<_0x19c884[_0x269f31(0x25c)][_0x269f31(0x1a7c)][_0x269f31(0xfd0)];_0x5b1c84++){_0x1030da[_0x269f31(0x218e)]({'title':_0x19c884[_0x269f31(0x25c)][_0x269f31(0x1a7c)][_0x5b1c84][_0x269f31(0x66a)],'msg':_0x19c884[_0x269f31(0x25c)]['errors'][_0x5b1c84][_0x269f31(0x155e)]});}}else _0x1030da['error']({'title':_0x19c884[_0x269f31(0x291)]?'API:'+_0x19c884[_0x269f31(0x291)]+_0x269f31(0x1657)+_0x19c884[_0x269f31(0xc22)]:_0x269f31(0x237b),'msg':_0x19c884[_0x269f31(0x25c)]?JSON[_0x269f31(0x2701)](_0x19c884['data'][_0x269f31(0x155e)]):_0x19c884[_0x269f31(0x147f)]()});});}function _0x570852(_0x40943a){const _0x1f935a=_0xef3bbe;_0x46e161[_0x1f935a(0x1a7c)]=[];const _0x1131aa=_0x538715['confirm']()[_0x1f935a(0x1386)](_0x1f935a(0x1a2e))[_0x1f935a(0x862)](_0x1f935a(0x22d7))[_0x1f935a(0x15ad)](_0x1f935a(0x1457))['ok']('Delete')['cancel'](_0x1f935a(0xde1))[_0x1f935a(0x728)](_0x40943a);_0x538715['show'](_0x1131aa)[_0x1f935a(0x1cb0)](function(){const _0x5b3837=_0x1f935a;_0x3bf501[_0x5b3837(0x1059)][_0x5b3837(0x111d)]({'id':_0x46e161[_0x5b3837(0x80a)]['id']})[_0x5b3837(0x1d77)][_0x5b3837(0x1cb0)](function(){const _0x5d2f78=_0x5b3837;_0x39641b()[_0x5d2f78(0x152a)](_0x46e161[_0x5d2f78(0x1310)],{'id':_0x46e161[_0x5d2f78(0x80a)]['id']}),_0x1030da[_0x5d2f78(0x829)]({'title':_0x5d2f78(0x13ab),'msg':(_0x46e161[_0x5d2f78(0x80a)][_0x5d2f78(0x16b6)]||_0x5d2f78(0x80a))+'\x20has\x20been\x20deleted!'}),_0x28c6c7(_0x46e161['inboundroute']);})[_0x5b3837(0x1c4)](function(_0xd3dfd0){const _0x5bbba8=_0x5b3837;if(_0xd3dfd0[_0x5bbba8(0x25c)]&&_0xd3dfd0[_0x5bbba8(0x25c)][_0x5bbba8(0x1a7c)]&&_0xd3dfd0[_0x5bbba8(0x25c)][_0x5bbba8(0x1a7c)]['length']){_0x46e161[_0x5bbba8(0x1a7c)]=_0xd3dfd0[_0x5bbba8(0x25c)][_0x5bbba8(0x1a7c)]||[{'message':_0xd3dfd0[_0x5bbba8(0x147f)](),'type':_0x5bbba8(0xb49)}];for(let _0x26cfa7=0x0;_0x26cfa7<_0xd3dfd0['data'][_0x5bbba8(0x1a7c)][_0x5bbba8(0xfd0)];_0x26cfa7++){_0x1030da[_0x5bbba8(0x218e)]({'title':_0xd3dfd0['data']['errors'][_0x26cfa7][_0x5bbba8(0x66a)],'msg':_0xd3dfd0[_0x5bbba8(0x25c)]['errors'][_0x26cfa7][_0x5bbba8(0x155e)]});}}else _0x1030da['error']({'title':_0xd3dfd0[_0x5bbba8(0x291)]?_0x5bbba8(0xeb9)+_0xd3dfd0['status']+_0x5bbba8(0x1657)+_0xd3dfd0[_0x5bbba8(0xc22)]:_0x5bbba8(0xb49),'msg':_0xd3dfd0[_0x5bbba8(0x25c)]?JSON[_0x5bbba8(0x2701)](_0xd3dfd0[_0x5bbba8(0x25c)][_0x5bbba8(0x155e)]):_0xd3dfd0[_0x5bbba8(0x155e)]||_0xd3dfd0[_0x5bbba8(0x147f)]()});});},function(){});}function _0x31a9a4(_0x1dfad6){return _0x1dfad6===null?undefined:new Date(_0x1dfad6);}function _0x28c6c7(_0x1f5abd){_0x538715['hide'](_0x1f5abd);}}const _0x421c40=_0x53b318;;const _0x1feed8=_0x5074a3['p']+'src/js/modules/main/apps/voice/views/inboundroutes/edit/apps/agi/dialog.html/dialog.html';;const _0x28e6f9=_0x5074a3['p']+'src/js/modules/main/apps/voice/views/inboundroutes/edit/apps/custom/dialog.html/dialog.html';;const _0x45918f=_0x5074a3['p']+_0x5537c6(0x41c);;const _0xad52ef=_0x5074a3['p']+_0x5537c6(0xa3a);;const _0x318f03=_0x5074a3['p']+_0x5537c6(0x261c);;const _0x2d0c92=_0x5074a3['p']+_0x5537c6(0x5fe);;const _0x3ce36e=_0x5074a3['p']+_0x5537c6(0x5b4);;const _0x286922=_0x5074a3['p']+'src/js/modules/main/apps/voice/views/inboundroutes/edit/apps/interval/dialog.html/dialog.html';;const _0x5b98c2=_0x5074a3['p']+_0x5537c6(0x29ab);;const _0x3147d9=_0x5074a3['p']+_0x5537c6(0x1d67);;const _0x51524f=_0x5074a3['p']+_0x5537c6(0x8a3);;const _0x2334f1=_0x5074a3['p']+_0x5537c6(0x4a8);;const _0x70e6be=_0x5074a3['p']+_0x5537c6(0x18b);;_0x5e4d05[_0x5537c6(0x15b6)]=[_0x5537c6(0x142b),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x9bf),_0x5537c6(0x1774)];const _0x497c79={'agi':_0x1feed8,'custom':_0x28e6f9,'dial':_0x45918f,'externaldial':_0xad52ef,'goto':_0x318f03,'hangup':_0x2d0c92,'internaldial':_0x3ce36e,'interval':_0x286922,'playback':_0x5b98c2,'queue':_0x3147d9,'ringgroup':_0x51524f,'set':_0x2334f1,'voicemail':_0x70e6be};function _0x5e4d05(_0x57844e,_0x4b14be,_0x2f29c3,_0x127b3f,_0x208862){const _0x2a5384=_0x5537c6,_0x580d05=this;_0x580d05[_0x2a5384(0xe76)]=_0x208862[_0x2a5384(0x21e8)](),_0x580d05[_0x2a5384(0x80a)]={},_0x580d05['inboundrouteApps']={'count':0x0,'rows':[]},_0x580d05[_0x2a5384(0x16c8)]=[],_0x580d05[_0x2a5384(0x1b1a)],_0x580d05[_0x2a5384(0xae2)]={'sort':_0x2a5384(0x521)},_0x580d05[_0x2a5384(0x196f)]=_0x39641b()[_0x2a5384(0x988)](_0x39641b()[_0x2a5384(0x1d33)]([{'app':_0x2a5384(0x1d81),'appType':_0x2a5384(0x1ac8),'types':[_0x2a5384(0x2017),'custom',_0x2a5384(0x240)],'fields':[],'isApp':![]},{'app':_0x2a5384(0x2687),'appType':'queue','context':'from-voip-provider','type':_0x2a5384(0x1692),'appdata':_0x2a5384(0x2864),'icon':_0x2a5384(0x33e),'options':'xX','interval':_0x2a5384(0x965),'answer':!![],'isApp':!![],'extraApi':[{'name':_0x2a5384(0xe28),'field':{'name':_0x2a5384(0x1e9),'key':'save_name','prefix':_0x2a5384(0xd74)},'route':_0x2a5384(0x1e9),'filters':{'fields':_0x2a5384(0x4ae),'sort':_0x2a5384(0x16b6),'nolimit':!![]},'permissions':{'section':0x3ef}},{'name':_0x2a5384(0x971),'field':{'name':_0x2a5384(0x11cf),'key':_0x2a5384(0x16b6)},'route':_0x2a5384(0x26b3),'filters':{'type':'inbound','fields':_0x2a5384(0x1896),'sort':_0x2a5384(0x16b6),'nolimit':!![]},'permissions':{'section':0x191}}],'fields':[{'title':_0x2a5384(0x2687),'name':_0x2a5384(0x11cf),'type':_0x2a5384(0xb52),'values':_0x2a5384(0x971),'value':_0x2a5384(0x19b3),'option':'queue.name','required':!![],'param':0x0},{'title':'Options','name':_0x2a5384(0x2224),'type':'text','param':0x1},{'title':_0x2a5384(0x237c),'name':_0x2a5384(0x237e),'type':'text','param':0x2},{'title':'Announce_Overrides','name':_0x2a5384(0x1e9),'type':_0x2a5384(0xb52),'values':_0x2a5384(0xe28),'value':_0x2a5384(0x2462),'option':_0x2a5384(0x27db),'defaultValues':[{'value':'\x27\x27','option':_0x2a5384(0x2001)}],'param':0x3},{'title':_0x2a5384(0xbcc),'name':_0x2a5384(0x1719),'type':_0x2a5384(0x83d),'min':0x0,'param':0x4},{'title':_0x2a5384(0x222d),'name':_0x2a5384(0x4b4),'type':_0x2a5384(0x19d3),'param':0x5},{'title':_0x2a5384(0xa15),'name':_0x2a5384(0x321),'type':_0x2a5384(0x19d3),'param':0x6},{'title':_0x2a5384(0x21f0),'name':_0x2a5384(0x20fb),'type':_0x2a5384(0x19d3),'param':0x7},{'title':_0x2a5384(0x13c9),'name':'rule','type':'text','param':0x8},{'title':'Position','name':_0x2a5384(0xe9e),'type':_0x2a5384(0x83d),'min':0x0,'param':0x9},{'title':_0x2a5384(0x265d),'name':_0x2a5384(0x24d5),'type':'switch','general':!![]}]},{'app':_0x2a5384(0xfa6),'appType':_0x2a5384(0x2580),'context':_0x2a5384(0x8d7),'type':_0x2a5384(0x1692),'icon':_0x2a5384(0x33e),'interval':'*,*,*,*','answer':!![],'isApp':!![],'extraApi':[{'name':_0x2a5384(0xe28),'field':{'name':'sound','key':_0x2a5384(0x144c),'prefix':_0x2a5384(0xd74)},'route':_0x2a5384(0x1e9),'filters':{'fields':_0x2a5384(0x4ae),'sort':'name','nolimit':!![]},'permissions':{'section':0x3ef}}],'fields':[{'title':'Audio_Files','name':'appdata','type':_0x2a5384(0xb52),'values':_0x2a5384(0xe28),'value':_0x2a5384(0xc08),'option':_0x2a5384(0x2700),'required':!![],'param':0x0},{'title':_0x2a5384(0x17e0),'name':'options','type':_0x2a5384(0x19d3),'param':0x1},{'title':_0x2a5384(0x265d),'name':'answer','type':_0x2a5384(0x1f0e),'general':!![]}]},{'app':_0x2a5384(0x18f8),'appType':'dial','context':_0x2a5384(0x8d7),'type':_0x2a5384(0x1692),'icon':_0x2a5384(0x33e),'interval':_0x2a5384(0x965),'options':'xX','isApp':!![],'fields':[{'title':'Technology/Resource','name':_0x2a5384(0x23f2),'type':_0x2a5384(0x19d3),'required':!![],'param':0x0},{'title':_0x2a5384(0xbcc),'name':'timeout','type':_0x2a5384(0x83d),'min':0x0,'param':0x1},{'title':_0x2a5384(0x17e0),'name':_0x2a5384(0x2224),'type':_0x2a5384(0x19d3),'param':0x2},{'title':_0x2a5384(0x237c),'name':_0x2a5384(0x135b),'type':_0x2a5384(0x19d3),'param':0x3}]},{'app':'Dial','appType':'internalDial','context':_0x2a5384(0x8d7),'type':_0x2a5384(0x1692),'icon':'icon-apps','interval':_0x2a5384(0x965),'options':'xX','isApp':!![],'extraApi':[{'name':'users','field':{'name':'user','key':_0x2a5384(0x16b6),'prefix':_0x2a5384(0xadb)},'route':'user','filters':{'fields':'id,name,role','sort':_0x2a5384(0x16b6),'nolimit':!![]},'permissions':{'sections':[{'id':0xca,'role':_0x2a5384(0x1eff)},{'id':0xcb,'role':_0x2a5384(0x2922)}]}}],'fields':[{'title':_0x2a5384(0x1597),'name':_0x2a5384(0xebe),'type':_0x2a5384(0xb52),'values':_0x2a5384(0x1e30),'value':'\x27SIP/{{user.name}}\x27','option':_0x2a5384(0x2039),'required':!![],'param':0x0},{'title':_0x2a5384(0xbcc),'name':_0x2a5384(0x1719),'type':_0x2a5384(0x83d),'min':0x0,'param':0x1},{'title':_0x2a5384(0x17e0),'name':_0x2a5384(0x2224),'type':_0x2a5384(0x19d3),'param':0x2},{'title':_0x2a5384(0x237c),'name':_0x2a5384(0x135b),'type':_0x2a5384(0x19d3),'param':0x3}]},{'app':'Dial','appType':_0x2a5384(0x1653),'context':'from-voip-provider','type':'inbound','icon':'icon-apps','interval':_0x2a5384(0x965),'options':'xX','isApp':!![],'extraApi':[{'name':'trunks','field':{'name':_0x2a5384(0x1ae0),'key':_0x2a5384(0x16b6)},'route':'trunk','filters':{'fields':_0x2a5384(0x43c),'sort':'name','nolimit':!![]},'permissions':{'section':0x3f3}}],'fields':[{'title':_0x2a5384(0xf67),'name':_0x2a5384(0x1ae0),'type':'apiselect','values':_0x2a5384(0x1363),'value':'trunk.name','option':_0x2a5384(0xcb0),'required':!![],'param':0x0},{'title':_0x2a5384(0x722),'name':'phone','required':!![],'type':_0x2a5384(0x19d3)},{'title':'Timeout','name':_0x2a5384(0x1719),'type':_0x2a5384(0x83d),'min':0x0,'param':0x1},{'title':_0x2a5384(0x17e0),'name':_0x2a5384(0x2224),'type':'text','param':0x2},{'title':_0x2a5384(0x237c),'name':_0x2a5384(0x135b),'type':_0x2a5384(0x19d3),'param':0x3}]},{'app':'Dial','appType':'ringGroup','context':'from-voip-provider','type':_0x2a5384(0x26c0),'icon':_0x2a5384(0x33e),'interval':_0x2a5384(0x965),'options':'xX','isApp':!![],'extraApi':[{'name':'users','field':{'name':_0x2a5384(0x1989),'key':_0x2a5384(0x16b6),'prefix':_0x2a5384(0xadb)},'route':'user','filters':{'fields':'id,name,role','sort':_0x2a5384(0x16b6),'nolimit':!![]},'permissions':{'sections':[{'id':0xca,'role':_0x2a5384(0x1eff)},{'id':0xcb,'role':_0x2a5384(0x2922)}]}}],'fields':[{'title':'User','name':_0x2a5384(0x1989),'type':_0x2a5384(0xb52),'multiple':!![],'values':_0x2a5384(0x1e30),'value':_0x2a5384(0x178b),'option':_0x2a5384(0x928),'required':!![],'param':0x0},{'title':'Timeout','name':_0x2a5384(0x1719),'type':_0x2a5384(0x83d),'min':0x0,'param':0x1},{'title':_0x2a5384(0x17e0),'name':'options','type':_0x2a5384(0x19d3),'param':0x2},{'title':_0x2a5384(0x237c),'name':_0x2a5384(0x135b),'type':_0x2a5384(0x19d3),'param':0x3}]},{'app':_0x2a5384(0xa92),'alias':_0x2a5384(0x1ff3),'appType':_0x2a5384(0x4b4),'context':_0x2a5384(0x8d7),'type':_0x2a5384(0x26c0),'icon':_0x2a5384(0x33e),'interval':_0x2a5384(0x965),'isApp':!![],'extraApi':[{'name':'projects','field':{'name':_0x2a5384(0x12a7),'key':_0x2a5384(0x16b6),'prefix':_0x2a5384(0x459)},'route':'squareProject','filters':{'fields':_0x2a5384(0x43c),'sort':_0x2a5384(0x16b6),'nolimit':!![]},'permissions':{'section':0x44f}}],'fields':[{'title':_0x2a5384(0x23ec),'name':_0x2a5384(0x12a7),'type':_0x2a5384(0xb52),'values':_0x2a5384(0x2981),'value':_0x2a5384(0xfa1),'option':_0x2a5384(0x105a),'required':!![],'param':0x0}]},{'app':_0x2a5384(0x3da),'appType':_0x2a5384(0x628),'context':'from-voip-provider','type':_0x2a5384(0x1692),'icon':_0x2a5384(0x33e),'interval':_0x2a5384(0x965),'isApp':!![],'extraApi':[{'name':_0x2a5384(0x14f6),'field':{'name':_0x2a5384(0x2056),'key':_0x2a5384(0x16b6)},'route':_0x2a5384(0x1da5),'filters':{'fields':'id,name','sort':_0x2a5384(0x16b6),'nolimit':!![]},'permissions':{'section':0x195}}],'fields':[{'title':_0x2a5384(0x14fa),'name':'context','type':_0x2a5384(0xb52),'values':_0x2a5384(0x14f6),'value':'context.name','option':_0x2a5384(0x2076),'required':!![],'param':0x0},{'title':_0x2a5384(0xc3a),'name':_0x2a5384(0xd52),'type':_0x2a5384(0x19d3),'required':!![],'param':0x1},{'title':_0x2a5384(0x1240),'name':_0x2a5384(0x521),'type':_0x2a5384(0x19d3),'required':!![],'param':0x2}]},{'app':_0x2a5384(0x15e3),'appType':_0x2a5384(0x15e3),'context':_0x2a5384(0x8d7),'type':_0x2a5384(0x1692),'icon':_0x2a5384(0x33e),'interval':'*,*,*,*','isApp':!![],'fields':[{'title':'Hangup_Cause','name':_0x2a5384(0x28df),'type':'select','param':0x0,'values':[{'option':_0x2a5384(0x1d8b),'value':'\x271\x27'},{'option':_0x2a5384(0xac3),'value':_0x2a5384(0x18ab)},{'option':_0x2a5384(0x12ed),'value':'\x2717\x27'},{'option':_0x2a5384(0xc11),'value':_0x2a5384(0x1f25)},{'option':_0x2a5384(0x1587),'value':_0x2a5384(0x1df7)},{'option':_0x2a5384(0x18a9),'value':_0x2a5384(0x1da2)},{'option':_0x2a5384(0xd63),'value':_0x2a5384(0x1506)},{'option':_0x2a5384(0x3b1),'value':'\x2738\x27'},{'option':_0x2a5384(0x418),'value':_0x2a5384(0x2985)}],'translate':!![],'required':!![],'general':!![]}]},{'app':_0x2a5384(0x11c3),'appType':_0x2a5384(0x19d1),'context':_0x2a5384(0x8d7),'type':_0x2a5384(0x1692),'separator':'=','icon':_0x2a5384(0x33e),'interval':_0x2a5384(0x965),'extraApi':[{'name':_0x2a5384(0x9a9),'field':{'name':_0x2a5384(0x16b6),'key':_0x2a5384(0x16b6)},'route':_0x2a5384(0x1822),'filters':{'fields':_0x2a5384(0x43c),'sort':_0x2a5384(0x16b6),'nolimit':!![]},'permissions':{'section':0x3f4}}],'isApp':!![],'fields':[{'title':_0x2a5384(0x1b02),'name':_0x2a5384(0x16b6),'type':'apiselect','values':_0x2a5384(0x9a9),'value':_0x2a5384(0x5a1),'option':_0x2a5384(0x5a1),'required':!![],'param':0x0},{'title':_0x2a5384(0x1e10),'name':_0x2a5384(0x327),'type':'text','required':!![],'param':0x1}]},{'app':null,'appType':_0x2a5384(0x197c),'icon':_0x2a5384(0x33e),'type':_0x2a5384(0x1692),'interval':_0x2a5384(0x965),'isApp':!![],'fields':[{'title':'Application_Name','name':_0x2a5384(0x22e1),'type':_0x2a5384(0x19d3),'required':!![],'param':0x0},{'title':'Arguments','name':_0x2a5384(0x28df),'type':'text','param':0x1}]},{'app':'Voicemail','appType':'voicemail','context':_0x2a5384(0x8d7),'icon':_0x2a5384(0x33e),'type':'Inbound','interval':'*,*,*,*','isApp':!![],'extraApi':[{'name':_0x2a5384(0x1951),'field':{'name':_0x2a5384(0x18d4),'key':'mailbox','suffix':_0x2a5384(0x1df9)},'route':'voiceMail','filters':{'fields':_0x2a5384(0x29af),'sort':_0x2a5384(0x1b7a),'nolimit':!![]},'permissions':{'section':0x196}}],'fields':[{'title':_0x2a5384(0x1279),'name':_0x2a5384(0x18d4),'type':_0x2a5384(0xb52),'values':_0x2a5384(0x1951),'value':_0x2a5384(0x19b6),'option':_0x2a5384(0x1329),'required':!![],'param':0x0},{'title':_0x2a5384(0x17e0),'name':'options','type':_0x2a5384(0x19d3),'param':0x1}]}],[_0x2a5384(0x22e1)]),{'isApp':![]}),_0x580d05[_0x2a5384(0x240)]={'group':{'name':_0x2a5384(0x2523),'pull':_0x2a5384(0x11bc)},'animation':0x64,'sort':![]},_0x580d05[_0x2a5384(0x1f2f)]={'group':{'name':_0x2a5384(0x1ddb),'put':_0x2a5384(0x2523)},'animation':0x64,'onAdd':function(_0x24a7ae){const _0x59912a=_0x2a5384;_0x438b99(_0x24a7ae,_0x24a7ae[_0x59912a(0x22e)]);},'onSort':function(){_0x3eb6eb();}},_0x580d05[_0x2a5384(0x1a8e)]=_0x427faf,_0x580d05[_0x2a5384(0xb25)]=_0x16ae33,_0x580d05[_0x2a5384(0x736)]=_0x2df0ee,_0x580d05[_0x2a5384(0x1084)]=_0x438b99,_0x580d05['editInterval']=_0x1d70eb,_0x580d05[_0x2a5384(0x1819)]=_0x170d04,_0x580d05[_0x2a5384(0x29d)]=_0x1d2b6b,_0x580d05[_0x2a5384(0x463)]=_0x3eb6eb,_0x580d05[_0x2a5384(0x22fc)]=_0x3c36e7;function _0x427faf(_0x218536,_0x3d4831){const _0x44dcba=_0x2a5384;_0x580d05['inboundroute']=_0x218536,_0x580d05[_0x44dcba(0x1b1a)]=typeof _0x3d4831!==_0x44dcba(0x16b5)?_0x3d4831:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x580d05['applications'][_0x44dcba(0x379)]=!_0x580d05[_0x44dcba(0x1b1a)][_0x44dcba(0xb3d)]?!![]:![],_0x580d05[_0x44dcba(0xae2)][_0x44dcba(0x1cc7)]=_0x218536['id'],_0x580d05['query'][_0x44dcba(0xead)]=!![],_0x580d05[_0x44dcba(0xae2)][_0x44dcba(0x2282)]=!![],_0x580d05[_0x44dcba(0x736)](),_0x580d05[_0x44dcba(0x22fc)]();}function _0x16ae33(_0x524ab2,_0x36ff10,_0xc0894a){const _0x7f818b=_0x2a5384,_0x264128=_0x4b14be[_0x7f818b(0x1551)]()[_0x7f818b(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20application?')['htmlContent'](_0x7f818b(0x204d)+_0x524ab2[_0x7f818b(0x22e1)]+_0x7f818b(0x1200)+_0x7f818b(0x1b6))['ariaLabel'](_0x7f818b(0x2998))['targetEvent'](_0xc0894a)['ok']('OK')[_0x7f818b(0x696)]('CANCEL');_0x4b14be[_0x7f818b(0xe27)](_0x264128)['then'](function(){const _0x357ce2=_0x7f818b;_0x580d05[_0x357ce2(0x137e)]['rows'][_0x357ce2(0x159c)](_0x36ff10,0x1),_0x3eb6eb();},function(){console['log']('CANCEL');});}function _0x438b99(_0x1e00bf,_0x132c90){const _0x5c5b0b=_0x2a5384;if(_0x580d05['inboundrouteApps']['rows'][_0x5c5b0b(0xfd0)]){const _0x2dc6bc=_0x580d05[_0x5c5b0b(0x137e)]['rows'][_0x132c90]?_0x580d05[_0x5c5b0b(0x137e)]['rows'][_0x132c90]:_0x580d05[_0x5c5b0b(0x137e)][_0x5c5b0b(0x2214)][0x0],_0x59369e=(_0x2dc6bc[_0x5c5b0b(0x299b)]||_0x2dc6bc[_0x5c5b0b(0x22e1)])[_0x5c5b0b(0x1680)]();_0x4b14be[_0x5c5b0b(0xe27)]({'controller':_0x5c5b0b(0x9d8)+_0x59369e+_0x5c5b0b(0x198f),'controllerAs':'vm','templateUrl':_0x497c79[_0x59369e],'parent':angular[_0x5c5b0b(0x1853)](_0x2f29c3[_0x5c5b0b(0x1ed9)]),'targetEvent':_0x1e00bf,'clickOutsideToClose':!![],'locals':{'inboundrouteApp':_0x2dc6bc,'inboundroute':_0x580d05[_0x5c5b0b(0x80a)],'crudPermissions':_0x580d05[_0x5c5b0b(0x1b1a)]}})[_0x5c5b0b(0x1cb0)](function(_0x5d55d4){const _0x41928c=_0x5c5b0b;_0x5d55d4&&(_0x5d55d4['id']?_0x580d05[_0x41928c(0x137e)][_0x41928c(0x2214)][_0x132c90]=_0x5d55d4:_0x580d05[_0x41928c(0x137e)][_0x41928c(0x2214)][_0x41928c(0x159c)](_0x132c90,0x0,_0x5d55d4),_0x3eb6eb());})[_0x5c5b0b(0x1c4)](function(_0x55dee3){const _0x80f0dd=_0x5c5b0b;_0x55dee3&&_0x127b3f['error']({'title':_0x55dee3[_0x80f0dd(0x291)]?_0x80f0dd(0xeb9)+_0x55dee3[_0x80f0dd(0x291)]+_0x80f0dd(0x1657)+_0x55dee3[_0x80f0dd(0xc22)]:_0x80f0dd(0xd95),'msg':_0x55dee3[_0x80f0dd(0x25c)]?JSON[_0x80f0dd(0x2701)](_0x55dee3[_0x80f0dd(0x25c)]):_0x55dee3['toString']()});});}}function _0x1d70eb(_0x6abad7,_0xa404c9){const _0x3a92d3=_0x2a5384;if(_0x580d05[_0x3a92d3(0x137e)][_0x3a92d3(0x2214)][_0x3a92d3(0xfd0)]){const _0x595789=_0x580d05['inboundrouteApps']['rows'][_0xa404c9]?_0x580d05[_0x3a92d3(0x137e)][_0x3a92d3(0x2214)][_0xa404c9]:_0x580d05[_0x3a92d3(0x137e)][_0x3a92d3(0x2214)][0x0];_0x4b14be[_0x3a92d3(0xe27)]({'controller':'EditInboundAppintervalDialogController','controllerAs':'vm','templateUrl':_0x286922,'parent':angular[_0x3a92d3(0x1853)](_0x2f29c3[_0x3a92d3(0x1ed9)]),'targetEvent':_0x6abad7,'clickOutsideToClose':!![],'locals':{'interval':{'interval':_0x595789['interval'],'IntervalId':_0x595789['IntervalId'],'application':!![]},'intervals':[],'crudPermissions':_0x580d05['crudPermissions']}})[_0x3a92d3(0x1cb0)](function(_0x55cac1){const _0x550591=_0x3a92d3;_0x55cac1&&(_0x595789[_0x550591(0x1ac8)]=_0x55cac1['interval']||_0x550591(0x965),_0x595789[_0x550591(0x1a60)]=_0x55cac1[_0x550591(0x1a60)]||null,_0x3eb6eb());});}}function _0x3eb6eb(){const _0x25ac98=_0x2a5384,_0x401826=[_0x25ac98(0x11cf),_0x25ac98(0x2580)];let _0xbae3e3=0x2,_0x513b7c=[];_0x513b7c[_0x25ac98(0x2785)]({'type':_0x25ac98(0x26c0),'app':_0x25ac98(0x11c3),'appdata':'CDR(type)=inbound','context':_0x580d05[_0x25ac98(0x80a)][_0x25ac98(0x2056)],'exten':_0x580d05[_0x25ac98(0x80a)][_0x25ac98(0x26a2)],'priority':_0xbae3e3++,'VoiceExtensionId':_0x580d05[_0x25ac98(0x80a)]['id']}),_0x513b7c['push']({'type':_0x25ac98(0x26c0),'app':_0x25ac98(0x11c3),'appdata':_0x25ac98(0xf20),'context':_0x580d05[_0x25ac98(0x80a)]['context'],'exten':_0x580d05['inboundroute'][_0x25ac98(0x26a2)],'priority':_0xbae3e3++,'VoiceExtensionId':_0x580d05[_0x25ac98(0x80a)]['id']}),_0x513b7c['push']({'type':'inbound','app':_0x25ac98(0x11c3),'appdata':_0x25ac98(0x1781),'context':_0x580d05[_0x25ac98(0x80a)][_0x25ac98(0x2056)],'exten':_0x580d05[_0x25ac98(0x80a)][_0x25ac98(0x26a2)],'priority':_0xbae3e3++,'VoiceExtensionId':_0x580d05[_0x25ac98(0x80a)]['id']}),_0x513b7c[_0x25ac98(0x2785)]({'type':_0x25ac98(0x26c0),'app':_0x25ac98(0x11c3),'appdata':_0x25ac98(0x4ba),'context':_0x580d05[_0x25ac98(0x80a)][_0x25ac98(0x2056)],'exten':_0x580d05[_0x25ac98(0x80a)][_0x25ac98(0x26a2)],'priority':_0xbae3e3++,'VoiceExtensionId':_0x580d05[_0x25ac98(0x80a)]['id']});for(let _0x242f94=0x0,_0x4531b3=0x0;_0x242f94<_0x580d05[_0x25ac98(0x137e)]['rows'][_0x25ac98(0xfd0)];_0x242f94++,_0x4531b3=0x0){const _0x764e19=_0x580d05['inboundrouteApps']['rows'][_0x242f94],_0x54eef7=[],_0x3ecf6c=[];_0x764e19[_0x25ac98(0xb4a)]=_0x764e19[_0x25ac98(0x1ac8)]!=='*,*,*,*'?[_0x764e19[_0x25ac98(0x1ac8)]]:_0x764e19[_0x25ac98(0x1a60)]?_0x39641b()[_0x25ac98(0x1de2)](_0x39641b()[_0x25ac98(0x1c99)](_0x580d05[_0x25ac98(0xb4a)][_0x25ac98(0x2214)],{'IntervalId':_0x764e19[_0x25ac98(0x1a60)]}),_0x25ac98(0x1ac8)):[],_0x764e19[_0x25ac98(0x2056)]=_0x580d05[_0x25ac98(0x80a)]['context'],_0x764e19[_0x25ac98(0x26a2)]=_0x580d05['inboundroute'][_0x25ac98(0x26a2)];_0x764e19[_0x25ac98(0x66a)]&&(_0x764e19['type']=_0x764e19[_0x25ac98(0x66a)]['toLowerCase']());typeof _0x764e19[_0x25ac98(0x24d5)]!==_0x25ac98(0x16b5)&&(_0x401826['includes'](_0x764e19[_0x25ac98(0x22e1)][_0x25ac98(0x1680)]())&&_0x764e19[_0x25ac98(0x299b)]!==_0x25ac98(0x197c)&&(_0x764e19['answer']?(_0x54eef7[_0x25ac98(0x2785)]({'type':_0x764e19[_0x25ac98(0x66a)]['toLowerCase'](),'app':_0x25ac98(0x1991),'appdata':_0x25ac98(0x14f5),'context':_0x580d05['inboundroute'][_0x25ac98(0x2056)],'exten':_0x580d05['inboundroute']['exten'],'priority':_0x764e19[_0x25ac98(0xb4a)]['length']+_0xbae3e3+_0x4531b3++,'VoiceExtensionId':_0x580d05[_0x25ac98(0x80a)]['id']}),_0x54eef7[_0x25ac98(0x2785)]({'type':_0x764e19[_0x25ac98(0x66a)][_0x25ac98(0x1680)](),'app':_0x25ac98(0x11c3),'appdata':_0x25ac98(0x998),'context':_0x580d05[_0x25ac98(0x80a)][_0x25ac98(0x2056)],'exten':_0x580d05[_0x25ac98(0x80a)][_0x25ac98(0x26a2)],'priority':_0x764e19[_0x25ac98(0xb4a)][_0x25ac98(0xfd0)]+_0xbae3e3+_0x4531b3++,'VoiceExtensionId':_0x580d05[_0x25ac98(0x80a)]['id']})):(_0x54eef7[_0x25ac98(0x2785)]({'type':_0x764e19[_0x25ac98(0x66a)][_0x25ac98(0x1680)](),'app':'ExecIf','appdata':'$[${XCALLY-MOTION-PROGRESS}=0]?Progress','context':_0x580d05['inboundroute'][_0x25ac98(0x2056)],'exten':_0x580d05['inboundroute']['exten'],'priority':_0x764e19[_0x25ac98(0xb4a)][_0x25ac98(0xfd0)]+_0xbae3e3+_0x4531b3++,'VoiceExtensionId':_0x580d05[_0x25ac98(0x80a)]['id']}),_0x54eef7[_0x25ac98(0x2785)]({'type':_0x764e19['type'][_0x25ac98(0x1680)](),'app':_0x25ac98(0x11c3),'appdata':'XCALLY-MOTION-PROGRESS=1','context':_0x580d05[_0x25ac98(0x80a)]['context'],'exten':_0x580d05[_0x25ac98(0x80a)][_0x25ac98(0x26a2)],'priority':_0x764e19['intervals'][_0x25ac98(0xfd0)]+_0xbae3e3+_0x4531b3++,'VoiceExtensionId':_0x580d05[_0x25ac98(0x80a)]['id']}))));for(let _0x40a156=0x0;_0x40a156<_0x764e19['intervals'][_0x25ac98(0xfd0)];_0x40a156++){const _0x5b0e5b=_0xbae3e3+_0x764e19[_0x25ac98(0xb4a)][_0x25ac98(0xfd0)],_0x46f5bd=_0x40a156===_0x764e19['intervals']['length']-0x1?_0xbae3e3+_0x54eef7[_0x25ac98(0xfd0)]+_0x3ecf6c[_0x25ac98(0xfd0)]+0x2:_0xbae3e3+_0x40a156+0x1;_0x54eef7[_0x25ac98(0x159c)](_0x40a156,0x0,{'type':'inbound','app':'GotoIfTime','appdata':_0x764e19['intervals'][_0x40a156]+'?'+_0x580d05['inboundroute'][_0x25ac98(0x2056)]+_0x25ac98(0xa5c)+_0x5b0e5b+':'+_0x580d05['inboundroute'][_0x25ac98(0x2056)]+_0x25ac98(0xa5c)+_0x46f5bd,'exten':_0x580d05[_0x25ac98(0x80a)][_0x25ac98(0x26a2)],'context':_0x580d05[_0x25ac98(0x80a)][_0x25ac98(0x2056)],'priority':_0xbae3e3+_0x40a156,'VoiceExtensionId':_0x580d05[_0x25ac98(0x80a)]['id']});}_0x764e19[_0x25ac98(0x521)]=_0x54eef7[_0x25ac98(0xfd0)]?_0x39641b()[_0x25ac98(0x1f9e)](_0x54eef7)[_0x25ac98(0x521)]+0x1:_0xbae3e3,_0xbae3e3=(_0x3ecf6c['length']?_0x39641b()[_0x25ac98(0x1f9e)](_0x3ecf6c)['priority']:_0x764e19[_0x25ac98(0x521)])+0x1,_0x513b7c=_0x39641b()[_0x25ac98(0x298a)](_0x513b7c,_0x54eef7,[_0x764e19],_0x3ecf6c);}_0x513b7c[_0x25ac98(0x2785)]({'type':_0x25ac98(0x26c0),'app':'Hangup','exten':_0x580d05[_0x25ac98(0x80a)][_0x25ac98(0x26a2)],'context':_0x580d05[_0x25ac98(0x80a)][_0x25ac98(0x2056)],'priority':_0xbae3e3,'VoiceExtensionId':_0x580d05[_0x25ac98(0x80a)]['id']}),_0x57844e[_0x25ac98(0x1059)]['addApplications']({'id':_0x580d05[_0x25ac98(0x80a)]['id']},_0x39641b()[_0x25ac98(0x1d33)](_0x513b7c,_0x25ac98(0x521)))[_0x25ac98(0x1d77)]['then'](function(_0x2a4b13){const _0x17bd2a=_0x25ac98;_0x580d05['inboundrouteApps'][_0x17bd2a(0x2214)]=_0x2a4b13['rows'];})['catch'](function(_0x21dce1){const _0x3a21cd=_0x25ac98;console[_0x3a21cd(0x218e)](_0x21dce1);});}function _0x376da0(_0x43cfad){const _0x1431f6=_0x2a5384;_0x580d05[_0x1431f6(0x137e)]=_0x43cfad||{'count':0x0,'rows':[]};}function _0x3c36e7(){const _0xa8dcc6=_0x2a5384;return _0x57844e[_0xa8dcc6(0x1ac8)][_0xa8dcc6(0xbf7)]({'fields':'id,interval,IntervalId'})[_0xa8dcc6(0x1d77)]['then'](function(_0x5157b2){_0x580d05['intervals']=_0x5157b2;})[_0xa8dcc6(0x1c4)](function(_0x2f3a28){const _0x13343c=_0xa8dcc6;console[_0x13343c(0x218e)](_0x2f3a28);});}function _0x2df0ee(){const _0x12d289=_0x2a5384;_0x580d05[_0x12d289(0x2061)]=_0x57844e[_0x12d289(0x1059)]['get'](_0x580d05['query'],_0x376da0)[_0x12d289(0x1d77)];}function _0x170d04(_0x25982b){const _0x3529d9=_0x2a5384;_0x39641b()[_0x3529d9(0x152a)](_0x580d05[_0x3529d9(0x137e)][_0x3529d9(0x2214)],{'id':_0x25982b['id']}),_0x3eb6eb(),_0x127b3f[_0x3529d9(0x829)]({'title':_0x3529d9(0x2923),'msg':_0x25982b[_0x3529d9(0x22e1)]?_0x25982b[_0x3529d9(0x22e1)]+_0x3529d9(0x3f5):''});}function _0x1d2b6b(_0x27c9d3){const _0x31b3dd=_0x2a5384,_0x20a947=_0x4b14be[_0x31b3dd(0x1551)]()[_0x31b3dd(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20applications?')['htmlContent'](''+_0x580d05[_0x31b3dd(0x16c8)][_0x31b3dd(0xfd0)]+_0x31b3dd(0x1d6c)+_0x31b3dd(0x1b6))[_0x31b3dd(0x15ad)]('delete\x20applications')[_0x31b3dd(0x728)](_0x27c9d3)['ok']('OK')[_0x31b3dd(0x696)]('CANCEL');_0x4b14be[_0x31b3dd(0xe27)](_0x20a947)[_0x31b3dd(0x1cb0)](function(){const _0x5d323c=_0x31b3dd;_0x580d05[_0x5d323c(0x16c8)][_0x5d323c(0xf90)](function(_0x3bf341){const _0x4e3762=_0x5d323c;_0x39641b()[_0x4e3762(0x152a)](_0x580d05[_0x4e3762(0x137e)][_0x4e3762(0x2214)],{'id':_0x3bf341['id']});}),_0x580d05['selectedInboundRouteApps']=[],_0x3eb6eb();});}}const _0x32d3a7=_0x5e4d05;;_0x4434c4['$inject']=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x29d4),'inboundroute',_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x4434c4(_0x2aec98,_0x35b1cd,_0x2d42da,_0x961e04,_0x3ffc0e,_0x457640,_0x56dcde,_0x1eb61f){const _0x10f1c7=_0x5537c6,_0xd50891=this;_0xd50891['currentUser']=_0x56dcde[_0x10f1c7(0x21e8)](),_0xd50891['errors']=[],_0xd50891['title']=_0x10f1c7(0x132b)+(_0x961e04['appType']||_0x961e04[_0x10f1c7(0x22e1)])['toUpperCase'](),_0xd50891[_0x10f1c7(0x4b4)]=angular[_0x10f1c7(0x17fe)](_0x961e04),_0xd50891[_0x10f1c7(0x1b1a)]=_0x1eb61f,_0xd50891['hasModulePermissions']={};if(_0xd50891[_0x10f1c7(0x4b4)]['appdata'])switch(_0xd50891['agi']['appType']?_0xd50891['agi'][_0x10f1c7(0x299b)][_0x10f1c7(0x1680)]():_0xd50891[_0x10f1c7(0x4b4)][_0x10f1c7(0x22e1)]['toLowerCase']()){case'custom':break;case'dialogflow':{const _0x4270d2=_0xd50891[_0x10f1c7(0x4b4)][_0x10f1c7(0x28df)][_0x10f1c7(0xbe1)](',');_0xd50891[_0x10f1c7(0x4b4)]['key']=_0x4270d2[0x0],_0xd50891[_0x10f1c7(0x4b4)][_0x10f1c7(0x12b4)]=_0x4270d2[0x1],_0xd50891[_0x10f1c7(0x4b4)][_0x10f1c7(0x173a)]=_0x4270d2[_0x10f1c7(0x14cb)](0x2,_0x4270d2['length'])[_0x10f1c7(0x1f66)](',');}break;case _0x10f1c7(0xece):{const _0x5682f6=_0xd50891['agi'][_0x10f1c7(0x28df)][_0x10f1c7(0xbe1)](',');_0xd50891[_0x10f1c7(0x4b4)]['projectId']=_0x5682f6[0x0],_0xd50891[_0x10f1c7(0x4b4)][_0x10f1c7(0x12bf)]=_0x5682f6[0x1],_0xd50891[_0x10f1c7(0x4b4)][_0x10f1c7(0x29d6)]=_0x5682f6[0x2],_0xd50891[_0x10f1c7(0x4b4)][_0x10f1c7(0x12b4)]=_0x5682f6[0x3],_0xd50891[_0x10f1c7(0x4b4)]['welcomemessage']=_0x5682f6[_0x10f1c7(0x14cb)](0x4,_0x5682f6[_0x10f1c7(0xfd0)])[_0x10f1c7(0x1f66)](',');}break;case _0x10f1c7(0x123a):{const _0x38ca57=_0xd50891[_0x10f1c7(0x4b4)][_0x10f1c7(0x28df)][_0x10f1c7(0xbe1)](',');_0xd50891[_0x10f1c7(0x4b4)][_0x10f1c7(0x413)]=_0x38ca57[0x0],_0xd50891[_0x10f1c7(0x4b4)][_0x10f1c7(0x21d9)]=_0x38ca57[0x1],_0xd50891[_0x10f1c7(0x4b4)][_0x10f1c7(0xd50)]=_0x38ca57[0x2],_0xd50891[_0x10f1c7(0x4b4)]['botname']=_0x38ca57[0x3],_0xd50891[_0x10f1c7(0x4b4)][_0x10f1c7(0x173a)]=_0x38ca57['slice'](0x4,_0x38ca57[_0x10f1c7(0xfd0)])[_0x10f1c7(0x1f66)](',');}break;case _0x10f1c7(0x1f71):{const _0x3c8974=_0xd50891['agi'][_0x10f1c7(0x28df)][_0x10f1c7(0xbe1)](',');_0xd50891['agi'][_0x10f1c7(0x18a5)]=isNaN(_0x3c8974[0x0])?_0x3c8974[0x0]:parseInt(_0x3c8974[0x0],0xa),_0xd50891['agi'][_0x10f1c7(0x19d3)]=_0x3c8974[_0x10f1c7(0x14cb)](0x1,_0x3c8974['length'])[_0x10f1c7(0x1f66)](',');}break;case'message':_0xd50891[_0x10f1c7(0x4b4)][_0x10f1c7(0x19d3)]=_0xd50891[_0x10f1c7(0x4b4)]['appdata'];break;case _0x10f1c7(0x19d1):_0xd50891[_0x10f1c7(0x4b4)]['name']=_0xd50891[_0x10f1c7(0x4b4)][_0x10f1c7(0x28df)][_0x10f1c7(0xbe1)]('=')[0x0],_0xd50891[_0x10f1c7(0x4b4)][_0x10f1c7(0x327)]=_0xd50891[_0x10f1c7(0x4b4)]['appdata']['split']('=')[0x1];break;case'agi':_0xd50891[_0x10f1c7(0x4b4)][_0x10f1c7(0x12a7)]=_0xd50891[_0x10f1c7(0x4b4)][_0x10f1c7(0x28df)];break;default:{const _0x29f475=_0xd50891[_0x10f1c7(0x4b4)][_0x10f1c7(0x28df)][_0x10f1c7(0xbe1)](',');_0xd50891[_0x10f1c7(0x4b4)][_0x10f1c7(0x12a7)]=_0x39641b()[_0x10f1c7(0xce9)](_0x29f475[0x0])?_0x29f475[0x0]:isNaN(_0x29f475[0x0])?_0x29f475[0x0]:parseInt(_0x29f475[0x0],0xa);}break;}else{}_0xd50891[_0x10f1c7(0x4b4)][_0x10f1c7(0x66a)]&&_0xd50891['agi']['type']['toLowerCase']()==='outbound'&&_0xd50891['agi'][_0x10f1c7(0x299b)][_0x10f1c7(0x1680)]()===_0x10f1c7(0x20ff)&&(_0xd50891['agi'][_0x10f1c7(0x586)]=_0xd50891['agi'][_0x10f1c7(0x1340)]?_0xd50891[_0x10f1c7(0x4b4)]['phone'][_0x10f1c7(0xbe1)]('$')[0x0]:undefined,_0xd50891[_0x10f1c7(0x4b4)][_0x10f1c7(0x1e7c)]=_0xd50891['agi']['callerID']?_0x10f1c7(0x25f9)+_0xd50891['agi']['callerID']:undefined);_0xd50891[_0x10f1c7(0x1654)]=_0x1551a8,_0xd50891['closeDialog']=_0x42920b,_0x56dcde[_0x10f1c7(0x22b6)](_0x10f1c7(0x1c60))?_0x457640[_0x10f1c7(0xf61)][_0x10f1c7(0xbf7)]({'fields':_0x10f1c7(0x43c),'sort':_0x10f1c7(0x16b6),'nolimit':'true'})[_0x10f1c7(0x1d77)][_0x10f1c7(0x1cb0)](function(_0x5c466f){const _0x587361=_0x10f1c7;_0xd50891[_0x587361(0x2981)]=_0x5c466f[_0x587361(0x2214)]||[];})['catch'](function(_0x202cbb){const _0x3ab843=_0x10f1c7;_0x2d42da['error']({'title':_0x202cbb[_0x3ab843(0x291)]?_0x3ab843(0xeb9)+_0x202cbb[_0x3ab843(0x291)]+'\x20-\x20'+_0x202cbb[_0x3ab843(0xc22)]:_0x3ab843(0x214c),'msg':_0x202cbb[_0x3ab843(0x25c)]?JSON[_0x3ab843(0x2701)](_0x202cbb[_0x3ab843(0x25c)]):_0x202cbb[_0x3ab843(0x147f)]()});}):_0x457640['squareProject']['get']({'fields':'id,name','sort':_0x10f1c7(0x16b6),'nolimit':_0x10f1c7(0x44d)})[_0x10f1c7(0x1d77)]['then'](function(_0x43de94){const _0x380838=_0x10f1c7;_0xd50891[_0x380838(0x2981)]=_0x43de94[_0x380838(0x2214)]||[];})[_0x10f1c7(0x1cb0)](function(){const _0x3df40b=_0x10f1c7;return _0x457640[_0x3df40b(0x2199)][_0x3df40b(0xbf7)]({'userProfileId':_0xd50891['currentUser'][_0x3df40b(0x13c1)],'sectionId':0x44f})[_0x3df40b(0x1d77)];})['then'](function(_0x1af079){const _0x1b874a=_0x10f1c7,_0x5dca1c=_0x1af079&&_0x1af079[_0x1b874a(0x2214)]?_0x1af079[_0x1b874a(0x2214)][0x0]:null;if(!_0x5dca1c){const _0x559271=[];let _0x534044=null;_0xd50891[_0x1b874a(0x4b4)]&&(_0x534044=_0x39641b()[_0x1b874a(0x13b4)](_0xd50891['projects'],{'name':_0xd50891['agi'][_0x1b874a(0x12a7)]}));for(let _0x4770e6=0x0;_0x4770e6<_0xd50891[_0x1b874a(0x2981)][_0x1b874a(0xfd0)];_0x4770e6++){_0x534044&&_0xd50891[_0x1b874a(0x2981)][_0x4770e6]['id']===_0x534044['id']&&(_0xd50891[_0x1b874a(0x2981)][_0x4770e6]['canSelect']=![],_0x559271[_0x1b874a(0x2785)](_0xd50891[_0x1b874a(0x2981)][_0x4770e6]));}_0xd50891[_0x1b874a(0x2981)]=_0x559271;}else{if(!_0x5dca1c[_0x1b874a(0x12f4)])return _0x457640[_0x1b874a(0x1198)]['get']({'sectionId':_0x5dca1c['id']})[_0x1b874a(0x1d77)][_0x1b874a(0x1cb0)](function(_0xbcacf5){const _0x5ea4a5=_0x1b874a,_0x57b9b0=_0x39641b()[_0x5ea4a5(0x1de2)](_0xbcacf5[_0x5ea4a5(0x2214)],function(_0x27b67b){const _0x50ac0c=_0x5ea4a5;return _0x39641b()[_0x50ac0c(0x13b4)](_0xd50891[_0x50ac0c(0x2981)],{'id':_0x27b67b[_0x50ac0c(0x2982)]});});let _0xf99820=null;_0xd50891[_0x5ea4a5(0x4b4)]&&(_0xf99820=_0x39641b()[_0x5ea4a5(0x13b4)](_0xd50891[_0x5ea4a5(0x2981)],{'name':_0xd50891[_0x5ea4a5(0x4b4)][_0x5ea4a5(0x12a7)]}));if(_0xf99820&&!_0x39641b()['some'](_0x57b9b0,['id',_0xf99820['id']])){const _0x4b0e5b=_0x39641b()[_0x5ea4a5(0x13b4)](_0xd50891[_0x5ea4a5(0x2981)],{'id':_0xf99820['id']});_0x4b0e5b['canSelect']=![],_0x57b9b0[_0x5ea4a5(0x2785)](_0x4b0e5b);}_0xd50891['projects']=_0x57b9b0;});}})[_0x10f1c7(0x1c4)](function(_0x4db344){const _0x1f9e98=_0x10f1c7;_0x2d42da[_0x1f9e98(0x218e)]({'title':_0x4db344[_0x1f9e98(0x291)]?_0x1f9e98(0xeb9)+_0x4db344[_0x1f9e98(0x291)]+_0x1f9e98(0x1657)+_0x4db344[_0x1f9e98(0xc22)]:_0x1f9e98(0x2101),'msg':_0x4db344[_0x1f9e98(0x25c)]?JSON[_0x1f9e98(0x2701)](_0x4db344[_0x1f9e98(0x25c)]):_0x4db344[_0x1f9e98(0x147f)]()});});function _0x1551a8(){const _0x5eec37=_0x10f1c7;_0xd50891[_0x5eec37(0x1a7c)]=[];const _0x1af30b=[];_0xd50891[_0x5eec37(0x4b4)][_0x5eec37(0x66a)]&&_0xd50891[_0x5eec37(0x4b4)][_0x5eec37(0x66a)][_0x5eec37(0x1680)]()===_0x5eec37(0x895)&&_0xd50891['agi']['appType']===_0x5eec37(0x25f4)&&(_0xd50891[_0x5eec37(0x4b4)]['phone']=_0x3ffc0e[_0x5eec37(0x325)]?(_0xd50891[_0x5eec37(0x4b4)][_0x5eec37(0x586)]||'')+_0x5eec37(0x19e4)+_0x3ffc0e['cutdigits']+'}':(_0xd50891[_0x5eec37(0x4b4)][_0x5eec37(0x586)]||'')+_0x5eec37(0xcdd),_0x3ffc0e[_0x5eec37(0xf8d)]!==_0x5eec37(0x13b1)?_0xd50891['agi'][_0x5eec37(0x2224)]['indexOf'](_0x5eec37(0x106a))<0x0&&(_0xd50891[_0x5eec37(0x4b4)][_0x5eec37(0x2224)]+=_0x5eec37(0x106a)):_0xd50891[_0x5eec37(0x4b4)][_0x5eec37(0x2224)]=_0xd50891['agi']['options']['replace'](_0x5eec37(0x106a),''));if(_0xd50891[_0x5eec37(0x4b4)]['appType']&&_0xd50891[_0x5eec37(0x4b4)][_0x5eec37(0x299b)]==='custom'){}else switch((_0xd50891['agi'][_0x5eec37(0x22e1)]||_0xd50891[_0x5eec37(0x4b4)][_0x5eec37(0x299b)])[_0x5eec37(0x1680)]()){case _0x5eec37(0x19d1):_0xd50891[_0x5eec37(0x4b4)][_0x5eec37(0x28df)]=_0xd50891[_0x5eec37(0x4b4)][_0x5eec37(0x16b6)]+'='+_0xd50891[_0x5eec37(0x4b4)][_0x5eec37(0x327)];break;case _0x5eec37(0x197c):break;default:_0x1af30b[0x0]=_0xd50891[_0x5eec37(0x4b4)]['project'],_0xd50891[_0x5eec37(0x4b4)]['appdata']=_0x1af30b[_0x5eec37(0x1f66)](',');}_0x42920b(_0xd50891[_0x5eec37(0x4b4)]);}function _0x42920b(_0x4af35f){const _0x17f712=_0x10f1c7;_0x2aec98[_0x17f712(0x1426)](_0x4af35f);}}const _0x4bff43=_0x4434c4;;_0xf379d7[_0x5537c6(0x15b6)]=['$mdDialog','$q',_0x5537c6(0x9bf),_0x5537c6(0x29d4),_0x5537c6(0x80a),_0x5537c6(0x142b),'Auth','crudPermissions'];function _0xf379d7(_0x47dc59,_0x2af4d8,_0x2a304b,_0x452868,_0xca479a,_0x48c44d,_0x5383cc,_0x3e4e5f){const _0x561fcd=_0x5537c6,_0xae8d76=this;_0xae8d76[_0x561fcd(0xe76)]=_0x5383cc[_0x561fcd(0x21e8)](),_0xae8d76[_0x561fcd(0x1a7c)]=[],_0xae8d76[_0x561fcd(0x1386)]=_0x561fcd(0x132b)+(_0x452868['appType']||_0x452868['app'])[_0x561fcd(0x2335)](),_0xae8d76[_0x561fcd(0x197c)]=angular[_0x561fcd(0x17fe)](_0x452868),_0xae8d76[_0x561fcd(0x1b1a)]=_0x3e4e5f,_0xae8d76['hasModulePermissions']={};if(_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0x28df)])switch(_0xae8d76[_0x561fcd(0x197c)]['appType']?_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0x299b)][_0x561fcd(0x1680)]():_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0x22e1)][_0x561fcd(0x1680)]()){case _0x561fcd(0x197c):break;case _0x561fcd(0x711):{const _0x553604=_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0x28df)][_0x561fcd(0xbe1)](',');_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0xee8)]=_0x553604[0x0],_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0x12b4)]=_0x553604[0x1],_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0x173a)]=_0x553604[_0x561fcd(0x14cb)](0x2,_0x553604[_0x561fcd(0xfd0)])[_0x561fcd(0x1f66)](',');}break;case _0x561fcd(0xece):{const _0x48c71f=_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0x28df)]['split'](',');_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0x2854)]=_0x48c71f[0x0],_0xae8d76[_0x561fcd(0x197c)]['clientEmail']=_0x48c71f[0x1],_0xae8d76[_0x561fcd(0x197c)]['privateKey']=_0x48c71f[0x2],_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0x12b4)]=_0x48c71f[0x3],_0xae8d76[_0x561fcd(0x197c)]['welcomemessage']=_0x48c71f[_0x561fcd(0x14cb)](0x4,_0x48c71f[_0x561fcd(0xfd0)])['join'](',');}break;case _0x561fcd(0x123a):{const _0x4e1406=_0xae8d76[_0x561fcd(0x197c)]['appdata']['split'](',');_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0x413)]=_0x4e1406[0x0],_0xae8d76['custom'][_0x561fcd(0x21d9)]=_0x4e1406[0x1],_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0xd50)]=_0x4e1406[0x2],_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0x1c7f)]=_0x4e1406[0x3],_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0x173a)]=_0x4e1406[_0x561fcd(0x14cb)](0x4,_0x4e1406[_0x561fcd(0xfd0)])['join'](',');}break;case _0x561fcd(0x1f71):{const _0xf9ce64=_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0x28df)][_0x561fcd(0xbe1)](',');_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0x18a5)]=isNaN(_0xf9ce64[0x0])?_0xf9ce64[0x0]:parseInt(_0xf9ce64[0x0],0xa),_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0x19d3)]=_0xf9ce64['slice'](0x1,_0xf9ce64[_0x561fcd(0xfd0)])[_0x561fcd(0x1f66)](',');}break;case _0x561fcd(0x155e):_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0x19d3)]=_0xae8d76[_0x561fcd(0x197c)]['appdata'];break;case'set':_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0x16b6)]=_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0x28df)]['split']('=')[0x0],_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0x327)]=_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0x28df)][_0x561fcd(0xbe1)]('=')[0x1];break;case _0x561fcd(0x4b4):_0xae8d76[_0x561fcd(0x197c)]['project']=_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0x28df)];break;default:{const _0x7c78eb=_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0x28df)][_0x561fcd(0xbe1)](',');_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0x22e1)]=_0x39641b()[_0x561fcd(0xce9)](_0x7c78eb[0x0])?_0x7c78eb[0x0]:isNaN(_0x7c78eb[0x0])?_0x7c78eb[0x0]:parseInt(_0x7c78eb[0x0],0xa),_0xae8d76['custom'][_0x561fcd(0x28df)]=_0x39641b()['isEmpty'](_0x7c78eb[0x1])?_0x7c78eb[0x1]:isNaN(_0x7c78eb[0x1])?_0x7c78eb[0x1]:parseInt(_0x7c78eb[0x1],0xa);}break;}else{}_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0x66a)]&&_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0x66a)][_0x561fcd(0x1680)]()==='outbound'&&_0xae8d76[_0x561fcd(0x197c)]['appType'][_0x561fcd(0x1680)]()===_0x561fcd(0x20ff)&&(_0xae8d76['custom']['prefix']=_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0x1340)]?_0xae8d76['custom'][_0x561fcd(0x1340)][_0x561fcd(0xbe1)]('$')[0x0]:undefined,_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0x1e7c)]=_0xae8d76['custom'][_0x561fcd(0x2816)]?'CALLERID(all)='+_0xae8d76[_0x561fcd(0x197c)][_0x561fcd(0x2816)]:undefined);_0xae8d76[_0x561fcd(0x1654)]=_0x28e224,_0xae8d76[_0x561fcd(0xda0)]=_0x43ef8f;function _0x28e224(){const _0x3d386c=_0x561fcd;_0xae8d76['errors']=[];const _0x1dd066=[];_0xae8d76[_0x3d386c(0x197c)][_0x3d386c(0x66a)]&&_0xae8d76[_0x3d386c(0x197c)][_0x3d386c(0x66a)][_0x3d386c(0x1680)]()===_0x3d386c(0x895)&&_0xae8d76['custom'][_0x3d386c(0x299b)]===_0x3d386c(0x25f4)&&(_0xae8d76['custom'][_0x3d386c(0x1340)]=_0xca479a[_0x3d386c(0x325)]?(_0xae8d76['custom'][_0x3d386c(0x586)]||'')+_0x3d386c(0x19e4)+_0xca479a[_0x3d386c(0x325)]+'}':(_0xae8d76[_0x3d386c(0x197c)]['prefix']||'')+'${EXTEN}',_0xca479a[_0x3d386c(0xf8d)]!==_0x3d386c(0x13b1)?_0xae8d76[_0x3d386c(0x197c)]['options'][_0x3d386c(0xd8a)]('U(xcally-mixmonitor-context)')<0x0&&(_0xae8d76[_0x3d386c(0x197c)][_0x3d386c(0x2224)]+='U(xcally-mixmonitor-context)'):_0xae8d76[_0x3d386c(0x197c)][_0x3d386c(0x2224)]=_0xae8d76['custom']['options'][_0x3d386c(0x288f)](_0x3d386c(0x106a),''));if(_0xae8d76[_0x3d386c(0x197c)][_0x3d386c(0x299b)]&&_0xae8d76['custom']['appType']===_0x3d386c(0x197c)){}else switch((_0xae8d76[_0x3d386c(0x197c)][_0x3d386c(0x22e1)]||_0xae8d76[_0x3d386c(0x197c)][_0x3d386c(0x299b)])[_0x3d386c(0x1680)]()){case'set':_0xae8d76[_0x3d386c(0x197c)][_0x3d386c(0x28df)]=_0xae8d76[_0x3d386c(0x197c)]['name']+'='+_0xae8d76[_0x3d386c(0x197c)][_0x3d386c(0x327)];break;case _0x3d386c(0x197c):break;default:_0x1dd066[0x0]=_0xae8d76[_0x3d386c(0x197c)][_0x3d386c(0x22e1)],_0x1dd066[0x1]=_0xae8d76['custom']['appdata'],_0xae8d76[_0x3d386c(0x197c)]['appdata']=_0x1dd066[_0x3d386c(0x1f66)](',');}_0x43ef8f(_0xae8d76[_0x3d386c(0x197c)]);}function _0x43ef8f(_0x311e82){_0x47dc59['hide'](_0x311e82);}}const _0x3288f3=_0xf379d7;;_0x3138f4[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q','toasty',_0x5537c6(0x29d4),_0x5537c6(0x80a),_0x5537c6(0x142b),'Auth',_0x5537c6(0x1b1a)];function _0x3138f4(_0x2d7a76,_0x21d460,_0x5d4fb7,_0x1b03ac,_0x46b641,_0x57c4c0,_0x35b81d,_0x130a18){const _0x2deaa7=_0x5537c6,_0x58a1a2=this;_0x58a1a2['currentUser']=_0x35b81d['getCurrentUser'](),_0x58a1a2[_0x2deaa7(0x1a7c)]=[],_0x58a1a2[_0x2deaa7(0x1386)]=_0x2deaa7(0x132b)+(_0x1b03ac[_0x2deaa7(0x299b)]||_0x1b03ac['app'])['toUpperCase'](),_0x58a1a2['dial']=angular[_0x2deaa7(0x17fe)](_0x1b03ac),_0x58a1a2[_0x2deaa7(0x1b1a)]=_0x130a18,_0x58a1a2[_0x2deaa7(0xf4c)]={};if(_0x58a1a2[_0x2deaa7(0xdd8)]['appdata'])switch(_0x58a1a2[_0x2deaa7(0xdd8)][_0x2deaa7(0x299b)]?_0x58a1a2[_0x2deaa7(0xdd8)][_0x2deaa7(0x299b)][_0x2deaa7(0x1680)]():_0x58a1a2[_0x2deaa7(0xdd8)][_0x2deaa7(0x22e1)][_0x2deaa7(0x1680)]()){case _0x2deaa7(0x197c):break;case _0x2deaa7(0x711):{const _0x4c276b=_0x58a1a2[_0x2deaa7(0xdd8)][_0x2deaa7(0x28df)]['split'](',');_0x58a1a2[_0x2deaa7(0xdd8)]['key']=_0x4c276b[0x0],_0x58a1a2[_0x2deaa7(0xdd8)][_0x2deaa7(0x12b4)]=_0x4c276b[0x1],_0x58a1a2[_0x2deaa7(0xdd8)][_0x2deaa7(0x173a)]=_0x4c276b[_0x2deaa7(0x14cb)](0x2,_0x4c276b[_0x2deaa7(0xfd0)])[_0x2deaa7(0x1f66)](',');}break;case _0x2deaa7(0xece):{const _0x231892=_0x58a1a2[_0x2deaa7(0xdd8)]['appdata'][_0x2deaa7(0xbe1)](',');_0x58a1a2[_0x2deaa7(0xdd8)][_0x2deaa7(0x2854)]=_0x231892[0x0],_0x58a1a2[_0x2deaa7(0xdd8)][_0x2deaa7(0x12bf)]=_0x231892[0x1],_0x58a1a2['dial'][_0x2deaa7(0x29d6)]=_0x231892[0x2],_0x58a1a2[_0x2deaa7(0xdd8)][_0x2deaa7(0x12b4)]=_0x231892[0x3],_0x58a1a2[_0x2deaa7(0xdd8)]['welcomemessage']=_0x231892[_0x2deaa7(0x14cb)](0x4,_0x231892[_0x2deaa7(0xfd0)])[_0x2deaa7(0x1f66)](',');}break;case _0x2deaa7(0x123a):{const _0x481039=_0x58a1a2[_0x2deaa7(0xdd8)]['appdata'][_0x2deaa7(0xbe1)](',');_0x58a1a2[_0x2deaa7(0xdd8)]['accesskeyid']=_0x481039[0x0],_0x58a1a2[_0x2deaa7(0xdd8)][_0x2deaa7(0x21d9)]=_0x481039[0x1],_0x58a1a2[_0x2deaa7(0xdd8)]['lexregion']=_0x481039[0x2],_0x58a1a2[_0x2deaa7(0xdd8)]['botname']=_0x481039[0x3],_0x58a1a2['dial'][_0x2deaa7(0x173a)]=_0x481039[_0x2deaa7(0x14cb)](0x4,_0x481039[_0x2deaa7(0xfd0)])[_0x2deaa7(0x1f66)](',');}break;case'autoreply':{const _0x15f39c=_0x58a1a2[_0x2deaa7(0xdd8)][_0x2deaa7(0x28df)][_0x2deaa7(0xbe1)](',');_0x58a1a2['dial'][_0x2deaa7(0x18a5)]=isNaN(_0x15f39c[0x0])?_0x15f39c[0x0]:parseInt(_0x15f39c[0x0],0xa),_0x58a1a2[_0x2deaa7(0xdd8)][_0x2deaa7(0x19d3)]=_0x15f39c[_0x2deaa7(0x14cb)](0x1,_0x15f39c[_0x2deaa7(0xfd0)])['join'](',');}break;case _0x2deaa7(0x155e):_0x58a1a2[_0x2deaa7(0xdd8)]['text']=_0x58a1a2[_0x2deaa7(0xdd8)][_0x2deaa7(0x28df)];break;case _0x2deaa7(0x19d1):_0x58a1a2['dial'][_0x2deaa7(0x16b6)]=_0x58a1a2[_0x2deaa7(0xdd8)][_0x2deaa7(0x28df)]['split']('=')[0x0],_0x58a1a2[_0x2deaa7(0xdd8)][_0x2deaa7(0x327)]=_0x58a1a2['dial'][_0x2deaa7(0x28df)][_0x2deaa7(0xbe1)]('=')[0x1];break;case _0x2deaa7(0x4b4):_0x58a1a2['dial'][_0x2deaa7(0x12a7)]=_0x58a1a2[_0x2deaa7(0xdd8)][_0x2deaa7(0x28df)];break;default:{const _0x335309=_0x58a1a2[_0x2deaa7(0xdd8)]['appdata'][_0x2deaa7(0xbe1)](',');_0x58a1a2['dial'][_0x2deaa7(0x23f2)]=_0x39641b()[_0x2deaa7(0xce9)](_0x335309[0x0])?_0x335309[0x0]:isNaN(_0x335309[0x0])?_0x335309[0x0]:parseInt(_0x335309[0x0],0xa),_0x58a1a2[_0x2deaa7(0xdd8)][_0x2deaa7(0x1719)]=_0x39641b()['isEmpty'](_0x335309[0x1])?_0x335309[0x1]:isNaN(_0x335309[0x1])?_0x335309[0x1]:parseInt(_0x335309[0x1],0xa),_0x58a1a2[_0x2deaa7(0xdd8)][_0x2deaa7(0x2224)]=_0x39641b()['isEmpty'](_0x335309[0x2])?_0x335309[0x2]:isNaN(_0x335309[0x2])?_0x335309[0x2]:parseInt(_0x335309[0x2],0xa),_0x58a1a2['dial'][_0x2deaa7(0x135b)]=_0x39641b()['isEmpty'](_0x335309[0x3])?_0x335309[0x3]:isNaN(_0x335309[0x3])?_0x335309[0x3]:parseInt(_0x335309[0x3],0xa);}break;}else{}_0x58a1a2[_0x2deaa7(0xdd8)][_0x2deaa7(0x66a)]&&_0x58a1a2['dial']['type'][_0x2deaa7(0x1680)]()===_0x2deaa7(0x895)&&_0x58a1a2[_0x2deaa7(0xdd8)][_0x2deaa7(0x299b)][_0x2deaa7(0x1680)]()==='outbounddial'&&(_0x58a1a2[_0x2deaa7(0xdd8)][_0x2deaa7(0x586)]=_0x58a1a2['dial'][_0x2deaa7(0x1340)]?_0x58a1a2[_0x2deaa7(0xdd8)]['phone'][_0x2deaa7(0xbe1)]('$')[0x0]:undefined,_0x58a1a2[_0x2deaa7(0xdd8)]['callerId']=_0x58a1a2['dial'][_0x2deaa7(0x2816)]?_0x2deaa7(0x25f9)+_0x58a1a2[_0x2deaa7(0xdd8)][_0x2deaa7(0x2816)]:undefined);_0x58a1a2['saveInboundRouteApp']=_0x2293cb,_0x58a1a2[_0x2deaa7(0xda0)]=_0x5a419e;function _0x2293cb(){const _0x1c7cb1=_0x2deaa7;_0x58a1a2[_0x1c7cb1(0x1a7c)]=[];const _0x1cb3ff=[];_0x58a1a2[_0x1c7cb1(0xdd8)][_0x1c7cb1(0x66a)]&&_0x58a1a2[_0x1c7cb1(0xdd8)][_0x1c7cb1(0x66a)]['toLowerCase']()===_0x1c7cb1(0x895)&&_0x58a1a2[_0x1c7cb1(0xdd8)][_0x1c7cb1(0x299b)]==='outboundDial'&&(_0x58a1a2[_0x1c7cb1(0xdd8)][_0x1c7cb1(0x1340)]=_0x46b641[_0x1c7cb1(0x325)]?(_0x58a1a2[_0x1c7cb1(0xdd8)][_0x1c7cb1(0x586)]||'')+'${EXTEN:'+_0x46b641[_0x1c7cb1(0x325)]+'}':(_0x58a1a2[_0x1c7cb1(0xdd8)][_0x1c7cb1(0x586)]||'')+_0x1c7cb1(0xcdd),_0x46b641[_0x1c7cb1(0xf8d)]!==_0x1c7cb1(0x13b1)?_0x58a1a2['dial'][_0x1c7cb1(0x2224)][_0x1c7cb1(0xd8a)](_0x1c7cb1(0x106a))<0x0&&(_0x58a1a2[_0x1c7cb1(0xdd8)][_0x1c7cb1(0x2224)]+=_0x1c7cb1(0x106a)):_0x58a1a2['dial'][_0x1c7cb1(0x2224)]=_0x58a1a2[_0x1c7cb1(0xdd8)][_0x1c7cb1(0x2224)][_0x1c7cb1(0x288f)](_0x1c7cb1(0x106a),''));if(_0x58a1a2['dial'][_0x1c7cb1(0x299b)]&&_0x58a1a2[_0x1c7cb1(0xdd8)]['appType']===_0x1c7cb1(0x197c)){}else switch((_0x58a1a2[_0x1c7cb1(0xdd8)][_0x1c7cb1(0x22e1)]||_0x58a1a2['dial']['appType'])[_0x1c7cb1(0x1680)]()){case _0x1c7cb1(0x19d1):_0x58a1a2[_0x1c7cb1(0xdd8)][_0x1c7cb1(0x28df)]=_0x58a1a2[_0x1c7cb1(0xdd8)]['name']+'='+_0x58a1a2[_0x1c7cb1(0xdd8)]['value'];break;case'custom':break;default:_0x1cb3ff[0x0]=_0x58a1a2[_0x1c7cb1(0xdd8)][_0x1c7cb1(0x23f2)],_0x1cb3ff[0x1]=_0x58a1a2['dial'][_0x1c7cb1(0x1719)],_0x1cb3ff[0x2]=_0x58a1a2[_0x1c7cb1(0xdd8)]['options'],_0x1cb3ff[0x3]=_0x58a1a2[_0x1c7cb1(0xdd8)][_0x1c7cb1(0x135b)],_0x58a1a2[_0x1c7cb1(0xdd8)]['appdata']=_0x1cb3ff[_0x1c7cb1(0x1f66)](',');}_0x5a419e(_0x58a1a2[_0x1c7cb1(0xdd8)]);}function _0x5a419e(_0x172706){const _0x5c3ee8=_0x2deaa7;_0x2d7a76[_0x5c3ee8(0x1426)](_0x172706);}}const _0x373cc7=_0x3138f4;;_0x4ca178[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q','toasty',_0x5537c6(0x29d4),_0x5537c6(0x80a),_0x5537c6(0x142b),_0x5537c6(0x1774),'crudPermissions'];function _0x4ca178(_0x5e9e44,_0x396c59,_0x40519e,_0xc695e8,_0x35e79f,_0x2d6997,_0x2dc162,_0x485921){const _0x25104d=_0x5537c6,_0xfe0472=this;_0xfe0472['currentUser']=_0x2dc162[_0x25104d(0x21e8)](),_0xfe0472[_0x25104d(0x1a7c)]=[],_0xfe0472['title']=_0x25104d(0x132b)+(_0xc695e8[_0x25104d(0x299b)]||_0xc695e8[_0x25104d(0x22e1)])[_0x25104d(0x2335)](),_0xfe0472[_0x25104d(0x748)]=angular[_0x25104d(0x17fe)](_0xc695e8),_0xfe0472[_0x25104d(0x1b1a)]=_0x485921,_0xfe0472[_0x25104d(0xf4c)]={};if(_0xfe0472[_0x25104d(0x748)][_0x25104d(0x28df)])switch(_0xfe0472[_0x25104d(0x748)][_0x25104d(0x299b)]?_0xfe0472[_0x25104d(0x748)]['appType']['toLowerCase']():_0xfe0472[_0x25104d(0x748)][_0x25104d(0x22e1)][_0x25104d(0x1680)]()){case _0x25104d(0x197c):break;case _0x25104d(0x711):{const _0x1954ba=_0xfe0472['externaldial'][_0x25104d(0x28df)][_0x25104d(0xbe1)](',');_0xfe0472[_0x25104d(0x748)][_0x25104d(0xee8)]=_0x1954ba[0x0],_0xfe0472['externaldial'][_0x25104d(0x12b4)]=_0x1954ba[0x1],_0xfe0472[_0x25104d(0x748)]['welcomemessage']=_0x1954ba[_0x25104d(0x14cb)](0x2,_0x1954ba[_0x25104d(0xfd0)])[_0x25104d(0x1f66)](',');}break;case _0x25104d(0xece):{const _0x599c6b=_0xfe0472[_0x25104d(0x748)][_0x25104d(0x28df)][_0x25104d(0xbe1)](',');_0xfe0472['externaldial']['projectId']=_0x599c6b[0x0],_0xfe0472[_0x25104d(0x748)]['clientEmail']=_0x599c6b[0x1],_0xfe0472[_0x25104d(0x748)][_0x25104d(0x29d6)]=_0x599c6b[0x2],_0xfe0472[_0x25104d(0x748)][_0x25104d(0x12b4)]=_0x599c6b[0x3],_0xfe0472[_0x25104d(0x748)][_0x25104d(0x173a)]=_0x599c6b[_0x25104d(0x14cb)](0x4,_0x599c6b[_0x25104d(0xfd0)])[_0x25104d(0x1f66)](',');}break;case _0x25104d(0x123a):{const _0x317e06=_0xfe0472[_0x25104d(0x748)][_0x25104d(0x28df)][_0x25104d(0xbe1)](',');_0xfe0472[_0x25104d(0x748)][_0x25104d(0x413)]=_0x317e06[0x0],_0xfe0472[_0x25104d(0x748)][_0x25104d(0x21d9)]=_0x317e06[0x1],_0xfe0472[_0x25104d(0x748)][_0x25104d(0xd50)]=_0x317e06[0x2],_0xfe0472[_0x25104d(0x748)]['botname']=_0x317e06[0x3],_0xfe0472['externaldial'][_0x25104d(0x173a)]=_0x317e06[_0x25104d(0x14cb)](0x4,_0x317e06[_0x25104d(0xfd0)])[_0x25104d(0x1f66)](',');}break;case _0x25104d(0x1f71):{const _0x2591b9=_0xfe0472[_0x25104d(0x748)][_0x25104d(0x28df)][_0x25104d(0xbe1)](',');_0xfe0472[_0x25104d(0x748)][_0x25104d(0x18a5)]=isNaN(_0x2591b9[0x0])?_0x2591b9[0x0]:parseInt(_0x2591b9[0x0],0xa),_0xfe0472['externaldial'][_0x25104d(0x19d3)]=_0x2591b9[_0x25104d(0x14cb)](0x1,_0x2591b9['length'])[_0x25104d(0x1f66)](',');}break;case'message':_0xfe0472[_0x25104d(0x748)][_0x25104d(0x19d3)]=_0xfe0472[_0x25104d(0x748)]['appdata'];break;case _0x25104d(0x19d1):_0xfe0472['externaldial'][_0x25104d(0x16b6)]=_0xfe0472[_0x25104d(0x748)]['appdata']['split']('=')[0x0],_0xfe0472['externaldial'][_0x25104d(0x327)]=_0xfe0472[_0x25104d(0x748)][_0x25104d(0x28df)][_0x25104d(0xbe1)]('=')[0x1];break;case _0x25104d(0x4b4):_0xfe0472['externaldial'][_0x25104d(0x12a7)]=_0xfe0472[_0x25104d(0x748)][_0x25104d(0x28df)];break;default:{const _0x857489=_0xfe0472[_0x25104d(0x748)][_0x25104d(0x28df)]['split'](',');_0xfe0472['externaldial'][_0x25104d(0x1ae0)]=_0x857489[0x0][_0x25104d(0xbe1)]('/')[0x1],_0xfe0472[_0x25104d(0x748)][_0x25104d(0x1340)]=_0x857489[0x0][_0x25104d(0xbe1)]('/')[0x2],_0xfe0472[_0x25104d(0x748)][_0x25104d(0x1719)]=_0x39641b()[_0x25104d(0xce9)](_0x857489[0x1])?_0x857489[0x1]:isNaN(_0x857489[0x1])?_0x857489[0x1]:parseInt(_0x857489[0x1],0xa),_0xfe0472['externaldial'][_0x25104d(0x2224)]=_0x39641b()['isEmpty'](_0x857489[0x2])?_0x857489[0x2]:isNaN(_0x857489[0x2])?_0x857489[0x2]:parseInt(_0x857489[0x2],0xa),_0xfe0472[_0x25104d(0x748)][_0x25104d(0x135b)]=_0x39641b()[_0x25104d(0xce9)](_0x857489[0x3])?_0x857489[0x3]:isNaN(_0x857489[0x3])?_0x857489[0x3]:parseInt(_0x857489[0x3],0xa);}break;}else{}_0xfe0472[_0x25104d(0x748)]['type']&&_0xfe0472['externaldial']['type'][_0x25104d(0x1680)]()===_0x25104d(0x895)&&_0xfe0472[_0x25104d(0x748)]['appType'][_0x25104d(0x1680)]()==='outbounddial'&&(_0xfe0472[_0x25104d(0x748)][_0x25104d(0x586)]=_0xfe0472[_0x25104d(0x748)]['phone']?_0xfe0472[_0x25104d(0x748)][_0x25104d(0x1340)]['split']('$')[0x0]:undefined,_0xfe0472['externaldial']['callerId']=_0xfe0472[_0x25104d(0x748)]['callerID']?_0x25104d(0x25f9)+_0xfe0472[_0x25104d(0x748)][_0x25104d(0x2816)]:undefined);_0xfe0472[_0x25104d(0x1654)]=_0x2755af,_0xfe0472[_0x25104d(0xda0)]=_0x520f9e,_0x2dc162[_0x25104d(0x22b6)](_0x25104d(0x1c60))?_0x2d6997[_0x25104d(0x1ae0)][_0x25104d(0xbf7)]({'fields':'id,name','sort':'name','nolimit':'true'})[_0x25104d(0x1d77)]['then'](function(_0x3f45cc){_0xfe0472['trunks']=_0x3f45cc['rows']||[];})[_0x25104d(0x1c4)](function(_0xec00e6){const _0x185ebc=_0x25104d;_0x40519e[_0x185ebc(0x218e)]({'title':_0xec00e6[_0x185ebc(0x291)]?'API:'+_0xec00e6[_0x185ebc(0x291)]+'\x20-\x20'+_0xec00e6['statusText']:'SYSTEM:GET_TRUNKS','msg':_0xec00e6[_0x185ebc(0x25c)]?JSON[_0x185ebc(0x2701)](_0xec00e6[_0x185ebc(0x25c)]):_0xec00e6[_0x185ebc(0x147f)]()});}):_0x2d6997[_0x25104d(0x1ae0)][_0x25104d(0xbf7)]({'fields':_0x25104d(0x43c),'sort':'name','nolimit':_0x25104d(0x44d)})[_0x25104d(0x1d77)][_0x25104d(0x1cb0)](function(_0x3a6d8b){const _0x5e8025=_0x25104d;_0xfe0472[_0x5e8025(0x1363)]=_0x3a6d8b[_0x5e8025(0x2214)]||[];})[_0x25104d(0x1cb0)](function(){const _0x541f76=_0x25104d;return _0x2d6997[_0x541f76(0x2199)][_0x541f76(0xbf7)]({'userProfileId':_0xfe0472[_0x541f76(0xe76)][_0x541f76(0x13c1)],'sectionId':0x3f3})[_0x541f76(0x1d77)];})[_0x25104d(0x1cb0)](function(_0x5b76eb){const _0x17c9e5=_0x25104d,_0x19e7e6=_0x5b76eb&&_0x5b76eb['rows']?_0x5b76eb['rows'][0x0]:null;if(!_0x19e7e6){const _0x28082b=[];let _0x202d29=null;_0xfe0472[_0x17c9e5(0x748)]&&(_0x202d29=_0x39641b()[_0x17c9e5(0x13b4)](_0xfe0472[_0x17c9e5(0x1363)],{'name':_0xfe0472['externaldial'][_0x17c9e5(0x1ae0)]}));for(let _0x2a377e=0x0;_0x2a377e<_0xfe0472['trunks'][_0x17c9e5(0xfd0)];_0x2a377e++){_0x202d29&&_0xfe0472[_0x17c9e5(0x1363)][_0x2a377e]['id']===_0x202d29['id']&&(_0xfe0472[_0x17c9e5(0x1363)][_0x2a377e][_0x17c9e5(0x15da)]=![],_0x28082b[_0x17c9e5(0x2785)](_0xfe0472[_0x17c9e5(0x1363)][_0x2a377e]));}_0xfe0472['trunks']=_0x28082b;}else{if(!_0x19e7e6[_0x17c9e5(0x12f4)])return _0x2d6997[_0x17c9e5(0x1198)][_0x17c9e5(0xbf7)]({'sectionId':_0x19e7e6['id']})[_0x17c9e5(0x1d77)][_0x17c9e5(0x1cb0)](function(_0x3cd7aa){const _0x5bd4cf=_0x17c9e5,_0x4cee41=_0x39641b()[_0x5bd4cf(0x1de2)](_0x3cd7aa['rows'],function(_0x4a2257){const _0x11c4c5=_0x5bd4cf;return _0x39641b()[_0x11c4c5(0x13b4)](_0xfe0472[_0x11c4c5(0x1363)],{'id':_0x4a2257[_0x11c4c5(0x2982)]});});let _0x554389=null;_0xfe0472['externaldial']&&(_0x554389=_0x39641b()[_0x5bd4cf(0x13b4)](_0xfe0472[_0x5bd4cf(0x1363)],{'name':_0xfe0472[_0x5bd4cf(0x748)]['trunk']}));if(_0x554389&&!_0x39641b()['some'](_0x4cee41,['id',_0x554389['id']])){const _0x27a8db=_0x39641b()['find'](_0xfe0472['trunks'],{'id':_0x554389['id']});_0x27a8db[_0x5bd4cf(0x15da)]=![],_0x4cee41[_0x5bd4cf(0x2785)](_0x27a8db);}_0xfe0472[_0x5bd4cf(0x1363)]=_0x4cee41;});}})['catch'](function(_0x229b40){const _0x4c7950=_0x25104d;_0x40519e[_0x4c7950(0x218e)]({'title':_0x229b40[_0x4c7950(0x291)]?_0x4c7950(0xeb9)+_0x229b40[_0x4c7950(0x291)]+_0x4c7950(0x1657)+_0x229b40[_0x4c7950(0xc22)]:_0x4c7950(0x2040),'msg':_0x229b40[_0x4c7950(0x25c)]?JSON[_0x4c7950(0x2701)](_0x229b40[_0x4c7950(0x25c)]):_0x229b40[_0x4c7950(0x147f)]()});});function _0x2755af(){const _0x1223ee=_0x25104d;_0xfe0472[_0x1223ee(0x1a7c)]=[];const _0x5a4bdb=[];_0xfe0472[_0x1223ee(0x748)][_0x1223ee(0x66a)]&&_0xfe0472[_0x1223ee(0x748)][_0x1223ee(0x66a)][_0x1223ee(0x1680)]()===_0x1223ee(0x895)&&_0xfe0472[_0x1223ee(0x748)][_0x1223ee(0x299b)]===_0x1223ee(0x25f4)&&(_0xfe0472[_0x1223ee(0x748)][_0x1223ee(0x1340)]=_0x35e79f[_0x1223ee(0x325)]?(_0xfe0472['externaldial'][_0x1223ee(0x586)]||'')+'${EXTEN:'+_0x35e79f[_0x1223ee(0x325)]+'}':(_0xfe0472[_0x1223ee(0x748)][_0x1223ee(0x586)]||'')+'${EXTEN}',_0x35e79f[_0x1223ee(0xf8d)]!==_0x1223ee(0x13b1)?_0xfe0472['externaldial']['options'][_0x1223ee(0xd8a)](_0x1223ee(0x106a))<0x0&&(_0xfe0472[_0x1223ee(0x748)][_0x1223ee(0x2224)]+=_0x1223ee(0x106a)):_0xfe0472[_0x1223ee(0x748)]['options']=_0xfe0472[_0x1223ee(0x748)][_0x1223ee(0x2224)][_0x1223ee(0x288f)](_0x1223ee(0x106a),''));if(_0xfe0472[_0x1223ee(0x748)]['appType']&&_0xfe0472[_0x1223ee(0x748)][_0x1223ee(0x299b)]===_0x1223ee(0x197c)){}else switch((_0xfe0472[_0x1223ee(0x748)][_0x1223ee(0x22e1)]||_0xfe0472['externaldial'][_0x1223ee(0x299b)])[_0x1223ee(0x1680)]()){case'set':_0xfe0472[_0x1223ee(0x748)][_0x1223ee(0x28df)]=_0xfe0472['externaldial'][_0x1223ee(0x16b6)]+'='+_0xfe0472['externaldial'][_0x1223ee(0x327)];break;case'custom':break;default:_0x5a4bdb[0x0]=[_0x1223ee(0x2832),_0xfe0472[_0x1223ee(0x748)][_0x1223ee(0x1ae0)],_0xfe0472['externaldial'][_0x1223ee(0x1340)]][_0x1223ee(0x1f66)]('/'),_0x5a4bdb[0x1]=_0xfe0472[_0x1223ee(0x748)]['timeout'],_0x5a4bdb[0x2]=_0xfe0472[_0x1223ee(0x748)][_0x1223ee(0x2224)],_0x5a4bdb[0x3]=_0xfe0472[_0x1223ee(0x748)][_0x1223ee(0x135b)],_0xfe0472[_0x1223ee(0x748)][_0x1223ee(0x28df)]=_0x5a4bdb['join'](',');}_0x520f9e(_0xfe0472['externaldial']);}function _0x520f9e(_0x5ee5c){_0x5e9e44['hide'](_0x5ee5c);}}const _0x2b9ff1=_0x4ca178;;_0x29257d[_0x5537c6(0x15b6)]=['$mdDialog','$q',_0x5537c6(0x9bf),_0x5537c6(0x29d4),_0x5537c6(0x80a),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x29257d(_0x1d6f20,_0x41fac0,_0x17af67,_0x1cdcb9,_0x2d09ea,_0x5a0f54,_0x31c787,_0x3a2bdd){const _0x359ae6=_0x5537c6,_0x591f99=this;_0x591f99[_0x359ae6(0xe76)]=_0x31c787['getCurrentUser'](),_0x591f99['errors']=[],_0x591f99[_0x359ae6(0x1386)]=_0x359ae6(0x132b)+(_0x1cdcb9['appType']||_0x1cdcb9[_0x359ae6(0x22e1)])[_0x359ae6(0x2335)](),_0x591f99[_0x359ae6(0x3da)]=angular[_0x359ae6(0x17fe)](_0x1cdcb9),_0x591f99[_0x359ae6(0x1b1a)]=_0x3a2bdd,_0x591f99[_0x359ae6(0xf4c)]={};if(_0x591f99[_0x359ae6(0x3da)][_0x359ae6(0x28df)])switch(_0x591f99['goto'][_0x359ae6(0x299b)]?_0x591f99['goto']['appType'][_0x359ae6(0x1680)]():_0x591f99[_0x359ae6(0x3da)][_0x359ae6(0x22e1)]['toLowerCase']()){case _0x359ae6(0x197c):break;case _0x359ae6(0x711):{const _0x246101=_0x591f99[_0x359ae6(0x3da)][_0x359ae6(0x28df)][_0x359ae6(0xbe1)](',');_0x591f99[_0x359ae6(0x3da)]['key']=_0x246101[0x0],_0x591f99['goto'][_0x359ae6(0x12b4)]=_0x246101[0x1],_0x591f99[_0x359ae6(0x3da)]['welcomemessage']=_0x246101[_0x359ae6(0x14cb)](0x2,_0x246101['length'])['join'](',');}break;case _0x359ae6(0xece):{const _0x165792=_0x591f99['goto'][_0x359ae6(0x28df)]['split'](',');_0x591f99[_0x359ae6(0x3da)][_0x359ae6(0x2854)]=_0x165792[0x0],_0x591f99['goto']['clientEmail']=_0x165792[0x1],_0x591f99['goto'][_0x359ae6(0x29d6)]=_0x165792[0x2],_0x591f99[_0x359ae6(0x3da)][_0x359ae6(0x12b4)]=_0x165792[0x3],_0x591f99[_0x359ae6(0x3da)][_0x359ae6(0x173a)]=_0x165792['slice'](0x4,_0x165792[_0x359ae6(0xfd0)])[_0x359ae6(0x1f66)](',');}break;case _0x359ae6(0x123a):{const _0x489983=_0x591f99['goto'][_0x359ae6(0x28df)][_0x359ae6(0xbe1)](',');_0x591f99[_0x359ae6(0x3da)]['accesskeyid']=_0x489983[0x0],_0x591f99['goto'][_0x359ae6(0x21d9)]=_0x489983[0x1],_0x591f99[_0x359ae6(0x3da)][_0x359ae6(0xd50)]=_0x489983[0x2],_0x591f99[_0x359ae6(0x3da)][_0x359ae6(0x1c7f)]=_0x489983[0x3],_0x591f99['goto'][_0x359ae6(0x173a)]=_0x489983['slice'](0x4,_0x489983[_0x359ae6(0xfd0)])[_0x359ae6(0x1f66)](',');}break;case'autoreply':{const _0x1693da=_0x591f99[_0x359ae6(0x3da)][_0x359ae6(0x28df)][_0x359ae6(0xbe1)](',');_0x591f99['goto']['times']=isNaN(_0x1693da[0x0])?_0x1693da[0x0]:parseInt(_0x1693da[0x0],0xa),_0x591f99[_0x359ae6(0x3da)][_0x359ae6(0x19d3)]=_0x1693da['slice'](0x1,_0x1693da['length'])[_0x359ae6(0x1f66)](',');}break;case _0x359ae6(0x155e):_0x591f99[_0x359ae6(0x3da)][_0x359ae6(0x19d3)]=_0x591f99['goto'][_0x359ae6(0x28df)];break;case _0x359ae6(0x19d1):_0x591f99[_0x359ae6(0x3da)][_0x359ae6(0x16b6)]=_0x591f99[_0x359ae6(0x3da)]['appdata'][_0x359ae6(0xbe1)]('=')[0x0],_0x591f99[_0x359ae6(0x3da)][_0x359ae6(0x327)]=_0x591f99[_0x359ae6(0x3da)][_0x359ae6(0x28df)]['split']('=')[0x1];break;case _0x359ae6(0x4b4):_0x591f99[_0x359ae6(0x3da)][_0x359ae6(0x12a7)]=_0x591f99[_0x359ae6(0x3da)][_0x359ae6(0x28df)];break;default:{const _0x1bbb3d=_0x591f99[_0x359ae6(0x3da)][_0x359ae6(0x28df)][_0x359ae6(0xbe1)](',');_0x591f99[_0x359ae6(0x3da)][_0x359ae6(0x2056)]=_0x39641b()[_0x359ae6(0xce9)](_0x1bbb3d[0x0])?_0x1bbb3d[0x0]:isNaN(_0x1bbb3d[0x0])?_0x1bbb3d[0x0]:parseInt(_0x1bbb3d[0x0],0xa),_0x591f99[_0x359ae6(0x3da)][_0x359ae6(0xd52)]=_0x39641b()[_0x359ae6(0xce9)](_0x1bbb3d[0x1])?_0x1bbb3d[0x1]:isNaN(_0x1bbb3d[0x1])?_0x1bbb3d[0x1]:parseInt(_0x1bbb3d[0x1],0xa),_0x591f99[_0x359ae6(0x3da)][_0x359ae6(0x521)]=_0x39641b()['isEmpty'](_0x1bbb3d[0x2])?_0x1bbb3d[0x2]:isNaN(_0x1bbb3d[0x2])?_0x1bbb3d[0x2]:parseInt(_0x1bbb3d[0x2],0xa);}break;}else{}_0x591f99[_0x359ae6(0x3da)][_0x359ae6(0x66a)]&&_0x591f99[_0x359ae6(0x3da)][_0x359ae6(0x66a)][_0x359ae6(0x1680)]()===_0x359ae6(0x895)&&_0x591f99[_0x359ae6(0x3da)][_0x359ae6(0x299b)][_0x359ae6(0x1680)]()==='outbounddial'&&(_0x591f99[_0x359ae6(0x3da)][_0x359ae6(0x586)]=_0x591f99[_0x359ae6(0x3da)]['phone']?_0x591f99['goto'][_0x359ae6(0x1340)][_0x359ae6(0xbe1)]('$')[0x0]:undefined,_0x591f99[_0x359ae6(0x3da)][_0x359ae6(0x1e7c)]=_0x591f99[_0x359ae6(0x3da)][_0x359ae6(0x2816)]?'CALLERID(all)='+_0x591f99[_0x359ae6(0x3da)]['callerID']:undefined);_0x591f99['saveInboundRouteApp']=_0x540a2d,_0x591f99['closeDialog']=_0x258ccb,_0x31c787[_0x359ae6(0x22b6)]('admin')?_0x5a0f54[_0x359ae6(0x1da5)][_0x359ae6(0xbf7)]({'fields':_0x359ae6(0x43c),'sort':_0x359ae6(0x16b6),'nolimit':_0x359ae6(0x44d)})[_0x359ae6(0x1d77)][_0x359ae6(0x1cb0)](function(_0x2a42f8){const _0x4a0ada=_0x359ae6;_0x591f99[_0x4a0ada(0x14f6)]=_0x2a42f8[_0x4a0ada(0x2214)]||[];})[_0x359ae6(0x1c4)](function(_0x92a761){const _0x8206ef=_0x359ae6;_0x17af67['error']({'title':_0x92a761[_0x8206ef(0x291)]?_0x8206ef(0xeb9)+_0x92a761[_0x8206ef(0x291)]+'\x20-\x20'+_0x92a761[_0x8206ef(0xc22)]:'SYSTEM:GET_CONTEXTS','msg':_0x92a761[_0x8206ef(0x25c)]?JSON[_0x8206ef(0x2701)](_0x92a761[_0x8206ef(0x25c)]):_0x92a761['toString']()});}):_0x5a0f54['voiceContext'][_0x359ae6(0xbf7)]({'fields':_0x359ae6(0x43c),'sort':_0x359ae6(0x16b6),'nolimit':'true'})[_0x359ae6(0x1d77)][_0x359ae6(0x1cb0)](function(_0x5634e2){const _0x15cf74=_0x359ae6;_0x591f99[_0x15cf74(0x14f6)]=_0x5634e2[_0x15cf74(0x2214)]||[];})[_0x359ae6(0x1cb0)](function(){const _0x217410=_0x359ae6;return _0x5a0f54['userProfileSection'][_0x217410(0xbf7)]({'userProfileId':_0x591f99[_0x217410(0xe76)][_0x217410(0x13c1)],'sectionId':0x195})[_0x217410(0x1d77)];})[_0x359ae6(0x1cb0)](function(_0x47ef3a){const _0x47af82=_0x359ae6,_0x389d2e=_0x47ef3a&&_0x47ef3a['rows']?_0x47ef3a['rows'][0x0]:null;if(!_0x389d2e)return _0x5a0f54['voiceContext'][_0x47af82(0xbf7)]({'fields':_0x47af82(0x43c),'sort':_0x47af82(0x16b6),'nolimit':_0x47af82(0x44d),'defaultEntry':0x1})[_0x47af82(0x1d77)]['then'](function(_0x2230d1){const _0x84e381=_0x47af82;_0x591f99[_0x84e381(0x14f6)]=_0x2230d1['rows']||[];});else{if(!_0x389d2e[_0x47af82(0x12f4)])return _0x5a0f54[_0x47af82(0x1198)]['get']({'sectionId':_0x389d2e['id']})[_0x47af82(0x1d77)]['then'](function(_0x200a94){const _0x4b664c=_0x47af82,_0x4cc327=_0x39641b()[_0x4b664c(0x1de2)](_0x200a94[_0x4b664c(0x2214)],function(_0x29fe20){const _0x53ea1b=_0x4b664c;return _0x39641b()[_0x53ea1b(0x13b4)](_0x591f99[_0x53ea1b(0x14f6)],{'id':_0x29fe20[_0x53ea1b(0x2982)]});});let _0x3d8e8f=null;_0x591f99[_0x4b664c(0x3da)]&&(_0x3d8e8f=_0x39641b()[_0x4b664c(0x13b4)](_0x591f99[_0x4b664c(0x14f6)],{'name':_0x591f99[_0x4b664c(0x3da)][_0x4b664c(0x2056)]}));if(_0x3d8e8f&&!_0x39641b()[_0x4b664c(0x727)](_0x4cc327,['id',_0x3d8e8f['id']])){const _0x138baf=_0x39641b()['find'](_0x591f99[_0x4b664c(0x14f6)],{'id':_0x3d8e8f['id']});_0x138baf[_0x4b664c(0x15da)]=![],_0x4cc327[_0x4b664c(0x2785)](_0x138baf);}_0x591f99[_0x4b664c(0x14f6)]=_0x4cc327;});}})[_0x359ae6(0x1c4)](function(_0x5f2b68){const _0x560b04=_0x359ae6;_0x17af67[_0x560b04(0x218e)]({'title':_0x5f2b68[_0x560b04(0x291)]?_0x560b04(0xeb9)+_0x5f2b68[_0x560b04(0x291)]+_0x560b04(0x1657)+_0x5f2b68['statusText']:_0x560b04(0x5ac),'msg':_0x5f2b68['data']?JSON[_0x560b04(0x2701)](_0x5f2b68[_0x560b04(0x25c)]):_0x5f2b68[_0x560b04(0x147f)]()});});function _0x540a2d(){const _0x302e0b=_0x359ae6;_0x591f99[_0x302e0b(0x1a7c)]=[];const _0x18b492=[];_0x591f99[_0x302e0b(0x3da)][_0x302e0b(0x66a)]&&_0x591f99[_0x302e0b(0x3da)]['type']['toLowerCase']()===_0x302e0b(0x895)&&_0x591f99['goto'][_0x302e0b(0x299b)]==='outboundDial'&&(_0x591f99[_0x302e0b(0x3da)][_0x302e0b(0x1340)]=_0x2d09ea[_0x302e0b(0x325)]?(_0x591f99[_0x302e0b(0x3da)]['prefix']||'')+_0x302e0b(0x19e4)+_0x2d09ea[_0x302e0b(0x325)]+'}':(_0x591f99[_0x302e0b(0x3da)]['prefix']||'')+_0x302e0b(0xcdd),_0x2d09ea[_0x302e0b(0xf8d)]!=='none'?_0x591f99[_0x302e0b(0x3da)]['options']['indexOf']('U(xcally-mixmonitor-context)')<0x0&&(_0x591f99['goto'][_0x302e0b(0x2224)]+=_0x302e0b(0x106a)):_0x591f99[_0x302e0b(0x3da)]['options']=_0x591f99[_0x302e0b(0x3da)]['options'][_0x302e0b(0x288f)](_0x302e0b(0x106a),''));if(_0x591f99[_0x302e0b(0x3da)][_0x302e0b(0x299b)]&&_0x591f99[_0x302e0b(0x3da)][_0x302e0b(0x299b)]===_0x302e0b(0x197c)){}else switch((_0x591f99[_0x302e0b(0x3da)][_0x302e0b(0x22e1)]||_0x591f99[_0x302e0b(0x3da)][_0x302e0b(0x299b)])[_0x302e0b(0x1680)]()){case _0x302e0b(0x19d1):_0x591f99['goto'][_0x302e0b(0x28df)]=_0x591f99[_0x302e0b(0x3da)][_0x302e0b(0x16b6)]+'='+_0x591f99['goto'][_0x302e0b(0x327)];break;case _0x302e0b(0x197c):break;default:_0x18b492[0x0]=_0x591f99[_0x302e0b(0x3da)]['context'],_0x18b492[0x1]=_0x591f99['goto'][_0x302e0b(0xd52)],_0x18b492[0x2]=_0x591f99[_0x302e0b(0x3da)][_0x302e0b(0x521)],_0x591f99[_0x302e0b(0x3da)][_0x302e0b(0x28df)]=_0x18b492[_0x302e0b(0x1f66)](',');}_0x258ccb(_0x591f99[_0x302e0b(0x3da)]);}function _0x258ccb(_0x405d37){_0x1d6f20['hide'](_0x405d37);}}const _0x1a77b7=_0x29257d;;_0x3be645[_0x5537c6(0x15b6)]=['$mdDialog','$q',_0x5537c6(0x9bf),_0x5537c6(0x29d4),'inboundroute','api',_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x3be645(_0x14e190,_0x5ce701,_0x2590c6,_0x3fd6c6,_0x4ff8ad,_0x47001d,_0x28a003,_0x2d9546){const _0x2df836=_0x5537c6,_0x39432f=this;_0x39432f[_0x2df836(0xe76)]=_0x28a003[_0x2df836(0x21e8)](),_0x39432f['errors']=[],_0x39432f['title']='VOICE.EDIT_'+(_0x3fd6c6[_0x2df836(0x299b)]||_0x3fd6c6['app'])['toUpperCase'](),_0x39432f[_0x2df836(0x15e3)]=angular['copy'](_0x3fd6c6),_0x39432f[_0x2df836(0x1b1a)]=_0x2d9546,_0x39432f[_0x2df836(0xf4c)]={};if(_0x39432f[_0x2df836(0x15e3)][_0x2df836(0x28df)])switch(_0x39432f[_0x2df836(0x15e3)][_0x2df836(0x299b)]?_0x39432f[_0x2df836(0x15e3)][_0x2df836(0x299b)][_0x2df836(0x1680)]():_0x39432f['hangup'][_0x2df836(0x22e1)][_0x2df836(0x1680)]()){case _0x2df836(0x197c):break;case _0x2df836(0x711):{const _0xed4e4e=_0x39432f[_0x2df836(0x15e3)][_0x2df836(0x28df)]['split'](',');_0x39432f['hangup']['key']=_0xed4e4e[0x0],_0x39432f[_0x2df836(0x15e3)]['language']=_0xed4e4e[0x1],_0x39432f[_0x2df836(0x15e3)]['welcomemessage']=_0xed4e4e[_0x2df836(0x14cb)](0x2,_0xed4e4e[_0x2df836(0xfd0)])[_0x2df836(0x1f66)](',');}break;case'dialogflowv2':{const _0x4f666c=_0x39432f[_0x2df836(0x15e3)][_0x2df836(0x28df)][_0x2df836(0xbe1)](',');_0x39432f[_0x2df836(0x15e3)]['projectId']=_0x4f666c[0x0],_0x39432f[_0x2df836(0x15e3)][_0x2df836(0x12bf)]=_0x4f666c[0x1],_0x39432f[_0x2df836(0x15e3)]['privateKey']=_0x4f666c[0x2],_0x39432f[_0x2df836(0x15e3)][_0x2df836(0x12b4)]=_0x4f666c[0x3],_0x39432f[_0x2df836(0x15e3)][_0x2df836(0x173a)]=_0x4f666c[_0x2df836(0x14cb)](0x4,_0x4f666c[_0x2df836(0xfd0)])[_0x2df836(0x1f66)](',');}break;case _0x2df836(0x123a):{const _0x5b2b7d=_0x39432f[_0x2df836(0x15e3)]['appdata'][_0x2df836(0xbe1)](',');_0x39432f[_0x2df836(0x15e3)]['accesskeyid']=_0x5b2b7d[0x0],_0x39432f[_0x2df836(0x15e3)][_0x2df836(0x21d9)]=_0x5b2b7d[0x1],_0x39432f[_0x2df836(0x15e3)][_0x2df836(0xd50)]=_0x5b2b7d[0x2],_0x39432f[_0x2df836(0x15e3)]['botname']=_0x5b2b7d[0x3],_0x39432f[_0x2df836(0x15e3)][_0x2df836(0x173a)]=_0x5b2b7d['slice'](0x4,_0x5b2b7d[_0x2df836(0xfd0)])['join'](',');}break;case _0x2df836(0x1f71):{const _0x289c3d=_0x39432f[_0x2df836(0x15e3)][_0x2df836(0x28df)][_0x2df836(0xbe1)](',');_0x39432f[_0x2df836(0x15e3)][_0x2df836(0x18a5)]=isNaN(_0x289c3d[0x0])?_0x289c3d[0x0]:parseInt(_0x289c3d[0x0],0xa),_0x39432f[_0x2df836(0x15e3)][_0x2df836(0x19d3)]=_0x289c3d[_0x2df836(0x14cb)](0x1,_0x289c3d[_0x2df836(0xfd0)])[_0x2df836(0x1f66)](',');}break;case'message':_0x39432f[_0x2df836(0x15e3)][_0x2df836(0x19d3)]=_0x39432f[_0x2df836(0x15e3)][_0x2df836(0x28df)];break;case _0x2df836(0x19d1):_0x39432f[_0x2df836(0x15e3)][_0x2df836(0x16b6)]=_0x39432f[_0x2df836(0x15e3)][_0x2df836(0x28df)]['split']('=')[0x0],_0x39432f[_0x2df836(0x15e3)][_0x2df836(0x327)]=_0x39432f[_0x2df836(0x15e3)][_0x2df836(0x28df)][_0x2df836(0xbe1)]('=')[0x1];break;case _0x2df836(0x4b4):_0x39432f['hangup'][_0x2df836(0x12a7)]=_0x39432f[_0x2df836(0x15e3)]['appdata'];break;default:{const _0xc1cc52=_0x39432f[_0x2df836(0x15e3)]['appdata'][_0x2df836(0xbe1)](',');_0x39432f['hangup']['appdata']=_0x39641b()[_0x2df836(0xce9)](_0xc1cc52[0x0])?_0xc1cc52[0x0]:isNaN(_0xc1cc52[0x0])?_0xc1cc52[0x0]:parseInt(_0xc1cc52[0x0],0xa);}break;}else{}_0x39432f[_0x2df836(0x15e3)]['type']&&_0x39432f['hangup']['type'][_0x2df836(0x1680)]()===_0x2df836(0x895)&&_0x39432f[_0x2df836(0x15e3)]['appType'][_0x2df836(0x1680)]()===_0x2df836(0x20ff)&&(_0x39432f[_0x2df836(0x15e3)][_0x2df836(0x586)]=_0x39432f[_0x2df836(0x15e3)]['phone']?_0x39432f['hangup'][_0x2df836(0x1340)]['split']('$')[0x0]:undefined,_0x39432f[_0x2df836(0x15e3)][_0x2df836(0x1e7c)]=_0x39432f[_0x2df836(0x15e3)]['callerID']?_0x2df836(0x25f9)+_0x39432f[_0x2df836(0x15e3)][_0x2df836(0x2816)]:undefined);_0x39432f['saveInboundRouteApp']=_0x5c004a,_0x39432f[_0x2df836(0xda0)]=_0x3810fa;function _0x5c004a(){const _0x160855=_0x2df836;_0x39432f[_0x160855(0x1a7c)]=[];const _0x313c38=[];_0x39432f[_0x160855(0x15e3)]['type']&&_0x39432f[_0x160855(0x15e3)]['type'][_0x160855(0x1680)]()==='outbound'&&_0x39432f[_0x160855(0x15e3)]['appType']===_0x160855(0x25f4)&&(_0x39432f[_0x160855(0x15e3)][_0x160855(0x1340)]=_0x4ff8ad['cutdigits']?(_0x39432f[_0x160855(0x15e3)][_0x160855(0x586)]||'')+_0x160855(0x19e4)+_0x4ff8ad['cutdigits']+'}':(_0x39432f[_0x160855(0x15e3)][_0x160855(0x586)]||'')+'${EXTEN}',_0x4ff8ad[_0x160855(0xf8d)]!=='none'?_0x39432f[_0x160855(0x15e3)][_0x160855(0x2224)][_0x160855(0xd8a)](_0x160855(0x106a))<0x0&&(_0x39432f['hangup'][_0x160855(0x2224)]+=_0x160855(0x106a)):_0x39432f[_0x160855(0x15e3)]['options']=_0x39432f['hangup'][_0x160855(0x2224)]['replace']('U(xcally-mixmonitor-context)',''));if(_0x39432f[_0x160855(0x15e3)][_0x160855(0x299b)]&&_0x39432f[_0x160855(0x15e3)]['appType']===_0x160855(0x197c)){}else switch((_0x39432f['hangup']['app']||_0x39432f['hangup'][_0x160855(0x299b)])[_0x160855(0x1680)]()){case _0x160855(0x19d1):_0x39432f[_0x160855(0x15e3)][_0x160855(0x28df)]=_0x39432f[_0x160855(0x15e3)]['name']+'='+_0x39432f[_0x160855(0x15e3)][_0x160855(0x327)];break;case _0x160855(0x197c):break;default:_0x313c38[0x0]=_0x39432f['hangup'][_0x160855(0x28df)],_0x39432f['hangup'][_0x160855(0x28df)]=_0x313c38[_0x160855(0x1f66)](',');}_0x3810fa(_0x39432f[_0x160855(0x15e3)]);}function _0x3810fa(_0x452402){_0x14e190['hide'](_0x452402);}}const _0x255db6=_0x3be645;;_0x388be1[_0x5537c6(0x15b6)]=['$mdDialog','$q',_0x5537c6(0x9bf),_0x5537c6(0x29d4),_0x5537c6(0x80a),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x388be1(_0x324d5e,_0x413c08,_0x2f58df,_0x555dec,_0x5adec8,_0x379765,_0x56cf2,_0x37b87c){const _0x2dd6b7=_0x5537c6,_0x437166=this;_0x437166['currentUser']=_0x56cf2[_0x2dd6b7(0x21e8)](),_0x437166[_0x2dd6b7(0x1a7c)]=[],_0x437166['title']='VOICE.EDIT_'+(_0x555dec['appType']||_0x555dec[_0x2dd6b7(0x22e1)])['toUpperCase'](),_0x437166[_0x2dd6b7(0x19af)]=angular[_0x2dd6b7(0x17fe)](_0x555dec),_0x437166[_0x2dd6b7(0x1b1a)]=_0x37b87c,_0x437166['hasModulePermissions']={};if(_0x437166[_0x2dd6b7(0x19af)]['appdata'])switch(_0x437166[_0x2dd6b7(0x19af)][_0x2dd6b7(0x299b)]?_0x437166['internaldial'][_0x2dd6b7(0x299b)][_0x2dd6b7(0x1680)]():_0x437166[_0x2dd6b7(0x19af)][_0x2dd6b7(0x22e1)][_0x2dd6b7(0x1680)]()){case'custom':break;case _0x2dd6b7(0x711):{const _0x5d698a=_0x437166[_0x2dd6b7(0x19af)][_0x2dd6b7(0x28df)]['split'](',');_0x437166[_0x2dd6b7(0x19af)][_0x2dd6b7(0xee8)]=_0x5d698a[0x0],_0x437166[_0x2dd6b7(0x19af)][_0x2dd6b7(0x12b4)]=_0x5d698a[0x1],_0x437166[_0x2dd6b7(0x19af)][_0x2dd6b7(0x173a)]=_0x5d698a[_0x2dd6b7(0x14cb)](0x2,_0x5d698a[_0x2dd6b7(0xfd0)])[_0x2dd6b7(0x1f66)](',');}break;case _0x2dd6b7(0xece):{const _0x58202c=_0x437166[_0x2dd6b7(0x19af)]['appdata']['split'](',');_0x437166['internaldial'][_0x2dd6b7(0x2854)]=_0x58202c[0x0],_0x437166[_0x2dd6b7(0x19af)][_0x2dd6b7(0x12bf)]=_0x58202c[0x1],_0x437166[_0x2dd6b7(0x19af)][_0x2dd6b7(0x29d6)]=_0x58202c[0x2],_0x437166[_0x2dd6b7(0x19af)][_0x2dd6b7(0x12b4)]=_0x58202c[0x3],_0x437166['internaldial'][_0x2dd6b7(0x173a)]=_0x58202c[_0x2dd6b7(0x14cb)](0x4,_0x58202c[_0x2dd6b7(0xfd0)])[_0x2dd6b7(0x1f66)](',');}break;case'amazonlex':{const _0x1899ef=_0x437166['internaldial'][_0x2dd6b7(0x28df)][_0x2dd6b7(0xbe1)](',');_0x437166[_0x2dd6b7(0x19af)]['accesskeyid']=_0x1899ef[0x0],_0x437166[_0x2dd6b7(0x19af)][_0x2dd6b7(0x21d9)]=_0x1899ef[0x1],_0x437166[_0x2dd6b7(0x19af)][_0x2dd6b7(0xd50)]=_0x1899ef[0x2],_0x437166['internaldial']['botname']=_0x1899ef[0x3],_0x437166['internaldial'][_0x2dd6b7(0x173a)]=_0x1899ef[_0x2dd6b7(0x14cb)](0x4,_0x1899ef[_0x2dd6b7(0xfd0)])[_0x2dd6b7(0x1f66)](',');}break;case _0x2dd6b7(0x1f71):{const _0x5c6a5d=_0x437166[_0x2dd6b7(0x19af)]['appdata']['split'](',');_0x437166['internaldial'][_0x2dd6b7(0x18a5)]=isNaN(_0x5c6a5d[0x0])?_0x5c6a5d[0x0]:parseInt(_0x5c6a5d[0x0],0xa),_0x437166['internaldial'][_0x2dd6b7(0x19d3)]=_0x5c6a5d[_0x2dd6b7(0x14cb)](0x1,_0x5c6a5d[_0x2dd6b7(0xfd0)])[_0x2dd6b7(0x1f66)](',');}break;case _0x2dd6b7(0x155e):_0x437166[_0x2dd6b7(0x19af)][_0x2dd6b7(0x19d3)]=_0x437166[_0x2dd6b7(0x19af)]['appdata'];break;case'set':_0x437166[_0x2dd6b7(0x19af)][_0x2dd6b7(0x16b6)]=_0x437166[_0x2dd6b7(0x19af)][_0x2dd6b7(0x28df)]['split']('=')[0x0],_0x437166[_0x2dd6b7(0x19af)]['value']=_0x437166[_0x2dd6b7(0x19af)][_0x2dd6b7(0x28df)][_0x2dd6b7(0xbe1)]('=')[0x1];break;case _0x2dd6b7(0x4b4):_0x437166[_0x2dd6b7(0x19af)][_0x2dd6b7(0x12a7)]=_0x437166[_0x2dd6b7(0x19af)][_0x2dd6b7(0x28df)];break;default:{const _0x20f203=_0x437166['internaldial'][_0x2dd6b7(0x28df)][_0x2dd6b7(0xbe1)](',');_0x437166[_0x2dd6b7(0x19af)][_0x2dd6b7(0xebe)]=_0x39641b()[_0x2dd6b7(0xce9)](_0x20f203[0x0])?_0x20f203[0x0]:isNaN(_0x20f203[0x0])?_0x20f203[0x0]:parseInt(_0x20f203[0x0],0xa),_0x437166[_0x2dd6b7(0x19af)]['timeout']=_0x39641b()['isEmpty'](_0x20f203[0x1])?_0x20f203[0x1]:isNaN(_0x20f203[0x1])?_0x20f203[0x1]:parseInt(_0x20f203[0x1],0xa),_0x437166[_0x2dd6b7(0x19af)]['options']=_0x39641b()[_0x2dd6b7(0xce9)](_0x20f203[0x2])?_0x20f203[0x2]:isNaN(_0x20f203[0x2])?_0x20f203[0x2]:parseInt(_0x20f203[0x2],0xa),_0x437166[_0x2dd6b7(0x19af)][_0x2dd6b7(0x135b)]=_0x39641b()[_0x2dd6b7(0xce9)](_0x20f203[0x3])?_0x20f203[0x3]:isNaN(_0x20f203[0x3])?_0x20f203[0x3]:parseInt(_0x20f203[0x3],0xa);}break;}else{}_0x437166[_0x2dd6b7(0x19af)]['type']&&_0x437166[_0x2dd6b7(0x19af)][_0x2dd6b7(0x66a)]['toLowerCase']()==='outbound'&&_0x437166[_0x2dd6b7(0x19af)][_0x2dd6b7(0x299b)][_0x2dd6b7(0x1680)]()===_0x2dd6b7(0x20ff)&&(_0x437166[_0x2dd6b7(0x19af)][_0x2dd6b7(0x586)]=_0x437166['internaldial'][_0x2dd6b7(0x1340)]?_0x437166[_0x2dd6b7(0x19af)]['phone'][_0x2dd6b7(0xbe1)]('$')[0x0]:undefined,_0x437166[_0x2dd6b7(0x19af)]['callerId']=_0x437166['internaldial'][_0x2dd6b7(0x2816)]?_0x2dd6b7(0x25f9)+_0x437166[_0x2dd6b7(0x19af)][_0x2dd6b7(0x2816)]:undefined);_0x437166[_0x2dd6b7(0x1654)]=_0x737a74,_0x437166[_0x2dd6b7(0xda0)]=_0x36edb2;const _0x47e6e=[{'id':0xca,'role':_0x2dd6b7(0x1eff)},{'id':0xcb,'role':'telephone'}];let _0x3fe0ba=null;_0x56cf2['hasRole'](_0x2dd6b7(0x1c60))?_0x379765[_0x2dd6b7(0xebe)][_0x2dd6b7(0xbf7)]({'fields':_0x2dd6b7(0x17ce),'sort':_0x2dd6b7(0x16b6),'nolimit':_0x2dd6b7(0x44d)})['$promise'][_0x2dd6b7(0x1cb0)](function(_0x2837e8){const _0x4e9737=_0x2dd6b7;_0x437166[_0x4e9737(0x1e30)]=_0x2837e8[_0x4e9737(0x2214)]||[];})[_0x2dd6b7(0x1c4)](function(_0x189f40){const _0x1d70b2=_0x2dd6b7;_0x2f58df[_0x1d70b2(0x218e)]({'title':_0x189f40[_0x1d70b2(0x291)]?_0x1d70b2(0xeb9)+_0x189f40[_0x1d70b2(0x291)]+_0x1d70b2(0x1657)+_0x189f40[_0x1d70b2(0xc22)]:_0x1d70b2(0x124f),'msg':_0x189f40[_0x1d70b2(0x25c)]?JSON[_0x1d70b2(0x2701)](_0x189f40[_0x1d70b2(0x25c)]):_0x189f40[_0x1d70b2(0x147f)]()});}):_0x379765['user']['get']({'fields':_0x2dd6b7(0x17ce),'sort':_0x2dd6b7(0x16b6),'nolimit':_0x2dd6b7(0x44d)})[_0x2dd6b7(0x1d77)][_0x2dd6b7(0x1cb0)](function(_0x28f3ef){_0x3fe0ba=_0x28f3ef['rows']||[];})[_0x2dd6b7(0x1cb0)](function(){const _0x37fff8=_0x2dd6b7,_0x1fcc8c=[];for(let _0x47d3cd=0x0;_0x47d3cd<_0x47e6e[_0x37fff8(0xfd0)];_0x47d3cd++){_0x1fcc8c[_0x37fff8(0x2785)](_0x379765['userProfileSection'][_0x37fff8(0xbf7)]({'userProfileId':_0x437166[_0x37fff8(0xe76)][_0x37fff8(0x13c1)],'sectionId':_0x47e6e[_0x47d3cd]['id']})[_0x37fff8(0x1d77)]);}return _0x413c08['all'](_0x1fcc8c);})[_0x2dd6b7(0x1cb0)](function(_0x41e1dd){const _0x16e9a8=_0x2dd6b7,_0x2c8241=_0x39641b()[_0x16e9a8(0x1de2)](_0x41e1dd,function(_0x35dcd3){const _0xea4dba=_0x16e9a8;return _0x35dcd3&&_0x35dcd3[_0xea4dba(0x2214)]?_0x35dcd3[_0xea4dba(0x2214)][0x0]:null;}),_0x27ee7d=[];for(let _0x1c3eaa=0x0;_0x1c3eaa<_0x47e6e[_0x16e9a8(0xfd0)];_0x1c3eaa++){const _0x16e1ca=_0x39641b()[_0x16e9a8(0x13b4)](_0x2c8241,[_0x16e9a8(0xec6),_0x47e6e[_0x1c3eaa]['id']]);if(_0x16e1ca){if(!_0x16e1ca['autoAssociation'])_0x27ee7d['push'](_0x379765[_0x16e9a8(0x1198)][_0x16e9a8(0xbf7)]({'sectionId':_0x16e1ca['id']})[_0x16e9a8(0x1d77)]);else{const _0x1d8423=[];_0x3fe0ba[_0x16e9a8(0xf90)](function(_0x37f998){const _0x17de35=_0x16e9a8;_0x37f998[_0x17de35(0x26e6)]===_0x47e6e[_0x1c3eaa][_0x17de35(0x26e6)]&&_0x1d8423['push'](_0x37f998);}),_0x27ee7d[_0x16e9a8(0x2785)]({'rows':_0x1d8423,'count':_0x1d8423[_0x16e9a8(0xfd0)]});}}}return _0x413c08[_0x16e9a8(0x223b)](_0x27ee7d);})['then'](function(_0x14396c){const _0x292c68=_0x2dd6b7,_0x444711=[];let _0x48681c=null;_0x437166['internaldial']&&(_0x48681c=_0x39641b()['find'](_0x3fe0ba,{'name':_0x437166[_0x292c68(0x19af)][_0x292c68(0xebe)]}));for(let _0x107512=0x0;_0x107512<_0x14396c['length'];_0x107512++){const _0x35ad5d=_0x14396c[_0x107512]['rows']||[];_0x35ad5d[_0x292c68(0xf90)](function(_0x322976){const _0xf6ee16=_0x292c68;_0x444711[_0xf6ee16(0x2785)](_0x322976);});}_0x48681c&&!_0x39641b()[_0x292c68(0x727)](_0x444711,[_0x292c68(0x2982),_0x48681c['id']])&&_0x3fe0ba[_0x292c68(0xf90)](function(_0x2c62fe){const _0x55ab68=_0x292c68;_0x2c62fe['id']===_0x48681c['id']&&(_0x2c62fe[_0x55ab68(0x15da)]=![],_0x444711[_0x55ab68(0x2785)](_0x2c62fe));}),_0x437166['users']=_0x444711;})[_0x2dd6b7(0x1c4)](function(_0x6de87f){const _0x579502=_0x2dd6b7;_0x2f58df[_0x579502(0x218e)]({'title':_0x6de87f['status']?_0x579502(0xeb9)+_0x6de87f['status']+'\x20-\x20'+_0x6de87f[_0x579502(0xc22)]:_0x579502(0x1e94),'msg':_0x6de87f[_0x579502(0x25c)]?JSON[_0x579502(0x2701)](_0x6de87f['data']):_0x6de87f['toString']()});});function _0x737a74(){const _0x2956ba=_0x2dd6b7;_0x437166[_0x2956ba(0x1a7c)]=[];const _0x4f8ad8=[];_0x437166['internaldial'][_0x2956ba(0x66a)]&&_0x437166[_0x2956ba(0x19af)][_0x2956ba(0x66a)][_0x2956ba(0x1680)]()===_0x2956ba(0x895)&&_0x437166[_0x2956ba(0x19af)]['appType']===_0x2956ba(0x25f4)&&(_0x437166[_0x2956ba(0x19af)]['phone']=_0x5adec8[_0x2956ba(0x325)]?(_0x437166['internaldial']['prefix']||'')+_0x2956ba(0x19e4)+_0x5adec8[_0x2956ba(0x325)]+'}':(_0x437166[_0x2956ba(0x19af)]['prefix']||'')+_0x2956ba(0xcdd),_0x5adec8['recordingFormat']!==_0x2956ba(0x13b1)?_0x437166[_0x2956ba(0x19af)][_0x2956ba(0x2224)][_0x2956ba(0xd8a)](_0x2956ba(0x106a))<0x0&&(_0x437166[_0x2956ba(0x19af)][_0x2956ba(0x2224)]+=_0x2956ba(0x106a)):_0x437166[_0x2956ba(0x19af)][_0x2956ba(0x2224)]=_0x437166[_0x2956ba(0x19af)][_0x2956ba(0x2224)][_0x2956ba(0x288f)](_0x2956ba(0x106a),''));if(_0x437166['internaldial'][_0x2956ba(0x299b)]&&_0x437166[_0x2956ba(0x19af)][_0x2956ba(0x299b)]===_0x2956ba(0x197c)){}else switch((_0x437166['internaldial'][_0x2956ba(0x22e1)]||_0x437166[_0x2956ba(0x19af)][_0x2956ba(0x299b)])[_0x2956ba(0x1680)]()){case _0x2956ba(0x19d1):_0x437166[_0x2956ba(0x19af)][_0x2956ba(0x28df)]=_0x437166[_0x2956ba(0x19af)][_0x2956ba(0x16b6)]+'='+_0x437166[_0x2956ba(0x19af)][_0x2956ba(0x327)];break;case _0x2956ba(0x197c):break;default:_0x4f8ad8[0x0]=_0x437166['internaldial'][_0x2956ba(0xebe)],_0x4f8ad8[0x1]=_0x437166[_0x2956ba(0x19af)][_0x2956ba(0x1719)],_0x4f8ad8[0x2]=_0x437166[_0x2956ba(0x19af)]['options'],_0x4f8ad8[0x3]=_0x437166[_0x2956ba(0x19af)][_0x2956ba(0x135b)],_0x437166[_0x2956ba(0x19af)]['appdata']=_0x4f8ad8[_0x2956ba(0x1f66)](',');}_0x36edb2(_0x437166[_0x2956ba(0x19af)]);}function _0x36edb2(_0x3523ca){const _0x17ac86=_0x2dd6b7;_0x324d5e[_0x17ac86(0x1426)](_0x3523ca);}}const _0x136a5d=_0x388be1;;_0x3feb5a[_0x5537c6(0x15b6)]=['$state','$mdDialog',_0x5537c6(0x200d),_0x5537c6(0x1b2),_0x5537c6(0x1ac8),_0x5537c6(0xb4a),_0x5537c6(0x9bf),'api',_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x3feb5a(_0x1e3389,_0x44a0d0,_0x46bde8,_0x48c29a,_0x33c693,_0x431248,_0x1eef63,_0x158718,_0x29422f,_0xc68f50){const _0x9445f1=_0x5537c6,_0x481711=this;_0x481711[_0x9445f1(0xe76)]=_0x29422f[_0x9445f1(0x21e8)](),_0x481711[_0x9445f1(0x1a7c)]=[],_0x481711[_0x9445f1(0x1386)]=_0x9445f1(0x1571),_0x481711[_0x9445f1(0x1ac8)]=angular['copy'](_0x33c693),_0x481711['intervals']=_0x431248,_0x481711[_0x9445f1(0x18c1)]=![],_0x481711['types']=[_0x9445f1(0x2017),_0x9445f1(0x197c),_0x9445f1(0x240)],_0x481711[_0x9445f1(0x1b1a)]=_0xc68f50,_0x481711[_0x9445f1(0x1275)]=_0x48c29a[_0x9445f1(0x1aae)](),_0x481711['monthNumber']=_0x48c29a[_0x9445f1(0xa76)](),_0x481711[_0x9445f1(0x1534)]=_0x48c29a[_0x9445f1(0x7cc)](),_0x481711['daysOfMonth']=_0x48c29a[_0x9445f1(0xc1e)]();if(!_0x481711[_0x9445f1(0x1ac8)])_0x481711['interval']={'interval':_0x9445f1(0x965)},_0x481711[_0x9445f1(0x66a)]='always',_0x481711[_0x9445f1(0x1386)]=_0x9445f1(0x287b),_0x481711[_0x9445f1(0x18c1)]=!![];else{if(_0x481711[_0x9445f1(0x1ac8)]['interval']!==_0x9445f1(0x965)){_0x481711['type']='custom';const _0x4089ba=_0x481711[_0x9445f1(0x1ac8)][_0x9445f1(0x1ac8)][_0x9445f1(0xbe1)](','),_0x44de2f=_0x4089ba[0x0],_0x2b2d2f=_0x4089ba[0x1],_0x4773ca=_0x4089ba[0x2],_0x3423b4=_0x4089ba[0x3];if(_0x44de2f!=='*'){const _0x2e9eba=_0x44de2f[_0x9445f1(0xbe1)]('-')[0x0],_0x4026b2=_0x44de2f[_0x9445f1(0xbe1)]('-')[0x1];let _0x4561ed;_0x4561ed=new Date(),_0x4561ed[_0x9445f1(0x201b)](Number(_0x2e9eba[_0x9445f1(0xbe1)](':')[0x0])),_0x4561ed[_0x9445f1(0x1622)](Number(_0x2e9eba['split'](':')[0x1])),_0x481711[_0x9445f1(0x718)]=_0x4561ed,_0x4561ed=new Date(),_0x4561ed[_0x9445f1(0x201b)](Number(_0x4026b2[_0x9445f1(0xbe1)](':')[0x0])),_0x4561ed[_0x9445f1(0x1622)](Number(_0x4026b2[_0x9445f1(0xbe1)](':')[0x1])),_0x481711[_0x9445f1(0x64a)]=_0x4561ed;}_0x2b2d2f!=='*'&&(_0x481711['dayOfWeekFrom']=_0x2b2d2f['split']('-')[0x0],_0x481711[_0x9445f1(0x28db)]=_0x2b2d2f[_0x9445f1(0xbe1)]('-')[0x1]),_0x4773ca!=='*'&&(_0x481711['monthDayFrom']=_0x4773ca[_0x9445f1(0xbe1)]('-')[0x0],_0x481711[_0x9445f1(0x194d)]=_0x4773ca[_0x9445f1(0xbe1)]('-')[0x1]),_0x3423b4!=='*'&&(_0x481711[_0x9445f1(0x1756)]=_0x3423b4[_0x9445f1(0xbe1)]('-')[0x0],_0x481711[_0x9445f1(0xa68)]=_0x3423b4['split']('-')[0x1]);}else _0x481711['type']='always';}_0x1e3389['params']['id']&&!_0x481711['interval'][_0x9445f1(0x171b)]&&(_0x481711[_0x9445f1(0x1ac8)][_0x9445f1(0x1a60)]=_0x1e3389[_0x9445f1(0x1dfe)]['id']);_0x481711[_0x9445f1(0x1ac8)]['IntervalId']&&_0x481711['interval'][_0x9445f1(0x171b)]&&(_0x481711['type']=_0x9445f1(0x240));_0x481711[_0x9445f1(0x18b3)]=_0x2f81fe,_0x481711['saveInterval']=_0x5ce619,_0x481711[_0x9445f1(0xda0)]=_0x41fcae;_0x481711[_0x9445f1(0x1ac8)][_0x9445f1(0x171b)]&&(_0x29422f['hasRole'](_0x9445f1(0x1c60))?_0x158718[_0x9445f1(0x1ac8)][_0x9445f1(0xbf7)]({'fields':'id,name,interval,IntervalId','IntervalId':'null','nolimit':!![]})['$promise'][_0x9445f1(0x1cb0)](function(_0x467ebe){const _0x96a673=_0x9445f1;_0x481711['intervals']=_0x467ebe[_0x96a673(0x2214)]||[];})[_0x9445f1(0x1c4)](function(_0x4d4e5e){const _0x27bc3d=_0x9445f1;_0x1eef63[_0x27bc3d(0x218e)]({'title':_0x4d4e5e[_0x27bc3d(0x291)]?_0x27bc3d(0xeb9)+_0x4d4e5e[_0x27bc3d(0x291)]+_0x27bc3d(0x1657)+_0x4d4e5e[_0x27bc3d(0xc22)]:_0x27bc3d(0xdac),'msg':_0x4d4e5e[_0x27bc3d(0x25c)]?JSON['stringify'](_0x4d4e5e[_0x27bc3d(0x25c)]):_0x4d4e5e['toString']()});}):_0x158718['interval'][_0x9445f1(0xbf7)]({'fields':_0x9445f1(0x872),'IntervalId':_0x9445f1(0xd38),'nolimit':!![]})[_0x9445f1(0x1d77)][_0x9445f1(0x1cb0)](function(_0x4545b2){const _0x2bbc81=_0x9445f1;_0x481711[_0x2bbc81(0xb4a)]=_0x4545b2[_0x2bbc81(0x2214)]||[];})[_0x9445f1(0x1cb0)](function(){const _0x2792bf=_0x9445f1;return _0x158718[_0x2792bf(0x2199)][_0x2792bf(0xbf7)]({'userProfileId':_0x481711[_0x2792bf(0xe76)]['userProfileId'],'sectionId':0x3ec})[_0x2792bf(0x1d77)];})[_0x9445f1(0x1cb0)](function(_0x2fa943){const _0x1bc091=_0x9445f1,_0x26b480=_0x2fa943&&_0x2fa943[_0x1bc091(0x2214)]?_0x2fa943['rows'][0x0]:null;if(!_0x26b480)_0x481711[_0x1bc091(0xb4a)]=[];else{if(!_0x26b480[_0x1bc091(0x12f4)])return _0x158718['userProfileResource']['get']({'sectionId':_0x26b480['id']})[_0x1bc091(0x1d77)][_0x1bc091(0x1cb0)](function(_0x49514d){const _0x44962d=_0x1bc091,_0x3f35b2=_0x49514d&&_0x49514d[_0x44962d(0x2214)]?_0x49514d['rows']:[],_0x427b08=[];let _0x2d8b84=null;_0x481711['interval']&&(_0x2d8b84=_0x39641b()[_0x44962d(0x13b4)](_0x481711['intervals'],{'name':_0x481711['interval'][_0x44962d(0x1a60)]})),_0x2d8b84&&!_0x39641b()[_0x44962d(0x727)](_0x3f35b2,[_0x44962d(0x2982),_0x2d8b84['id']])&&_0x481711[_0x44962d(0xb4a)][_0x44962d(0xf90)](function(_0x448252){const _0x44428f=_0x44962d;_0x448252['id']===_0x2d8b84['id']&&(_0x448252[_0x44428f(0x15da)]=![],_0x427b08[_0x44428f(0x2785)](_0x448252));}),_0x481711[_0x44962d(0xb4a)]=_0x427b08;});}})[_0x9445f1(0x1c4)](function(_0x4b7cf6){const _0x27c7a4=_0x9445f1;_0x1eef63[_0x27c7a4(0x218e)]({'title':_0x4b7cf6[_0x27c7a4(0x291)]?'API:'+_0x4b7cf6[_0x27c7a4(0x291)]+_0x27c7a4(0x1657)+_0x4b7cf6[_0x27c7a4(0xc22)]:_0x27c7a4(0xdac),'msg':_0x4b7cf6[_0x27c7a4(0x25c)]?JSON[_0x27c7a4(0x2701)](_0x4b7cf6[_0x27c7a4(0x25c)]):_0x4b7cf6[_0x27c7a4(0x147f)]()});}));function _0x101918(){const _0x28d606=_0x9445f1;switch(_0x481711[_0x28d606(0x66a)]){case _0x28d606(0x2017):case _0x28d606(0x240):return _0x28d606(0x965);case _0x28d606(0x197c):{const _0x366279=[];if(_0x481711['timeRangeFrom']!=='*'&&_0x481711[_0x28d606(0x718)]&&_0x481711[_0x28d606(0x64a)]){const _0x33f7f2=(_0x481711['timeRangeFrom'][_0x28d606(0x1169)]()<0xa?'0':'')+_0x481711[_0x28d606(0x718)][_0x28d606(0x1169)]()+':'+((_0x481711[_0x28d606(0x718)][_0x28d606(0x851)]()<0xa?'0':'')+_0x481711['timeRangeFrom'][_0x28d606(0x851)]()),_0x1ed8e3=(_0x481711[_0x28d606(0x64a)][_0x28d606(0x1169)]()<0xa?'0':'')+_0x481711['timeRangeTo'][_0x28d606(0x1169)]()+':'+((_0x481711['timeRangeTo'][_0x28d606(0x851)]()<0xa?'0':'')+_0x481711[_0x28d606(0x64a)][_0x28d606(0x851)]());_0x366279[_0x28d606(0x2785)](_0x33f7f2+'-'+_0x1ed8e3);}else _0x366279[_0x28d606(0x2785)]('*');return _0x481711['dayOfWeekFrom']?_0x481711[_0x28d606(0x28db)]?_0x366279[_0x28d606(0x2785)](_0x481711[_0x28d606(0x28a6)]+'-'+_0x481711[_0x28d606(0x28db)]):_0x366279[_0x28d606(0x2785)](_0x481711['dayOfWeekFrom']):_0x366279[_0x28d606(0x2785)]('*'),_0x481711['monthDayFrom']?_0x481711[_0x28d606(0x194d)]?_0x366279[_0x28d606(0x2785)](_0x481711[_0x28d606(0xd6f)]+'-'+_0x481711['monthDayTo']):_0x366279[_0x28d606(0x2785)](_0x481711['monthDayFrom']):_0x366279['push']('*'),_0x481711[_0x28d606(0x1756)]?_0x481711['monthTo']?_0x366279[_0x28d606(0x2785)](_0x481711[_0x28d606(0x1756)]+'-'+_0x481711[_0x28d606(0xa68)]):_0x366279[_0x28d606(0x2785)](_0x481711[_0x28d606(0x1756)]):_0x366279['push']('*'),_0x366279[_0x28d606(0x1f66)]();}}}function _0x2f81fe(){const _0x291c2f=_0x9445f1;_0x481711['errors']=[],_0x481711[_0x291c2f(0x1ac8)][_0x291c2f(0x1ac8)]=_0x101918(),_0x158718[_0x291c2f(0x1ac8)][_0x291c2f(0x1c3f)](_0x481711[_0x291c2f(0x1ac8)])[_0x291c2f(0x1d77)][_0x291c2f(0x1cb0)](function(_0x3ff9b5){const _0x10f17f=_0x291c2f;_0x481711[_0x10f17f(0xb4a)][_0x10f17f(0x2785)](_0x3ff9b5),_0x1eef63[_0x10f17f(0x829)]({'title':'Interval\x20properly\x20created','msg':_0x481711[_0x10f17f(0x1ac8)]['name']?_0x481711[_0x10f17f(0x1ac8)][_0x10f17f(0x16b6)]+_0x10f17f(0x470):''}),_0x41fcae();})[_0x291c2f(0x1c4)](function(_0xbb5d88){const _0x25778c=_0x291c2f;console[_0x25778c(0x218e)](_0xbb5d88),_0x481711[_0x25778c(0x1a7c)]=_0xbb5d88[_0x25778c(0x25c)]['errors']||[{'message':_0xbb5d88[_0x25778c(0x147f)](),'type':_0x25778c(0x24f7)}];});}function _0x5ce619(){const _0x42c271=_0x9445f1;_0x481711[_0x42c271(0x1a7c)]=[],_0x481711[_0x42c271(0x1ac8)][_0x42c271(0x1ac8)]=_0x101918(),_0x481711['interval']['application']?(_0x481711[_0x42c271(0x66a)]!=='list'&&(_0x481711[_0x42c271(0x1ac8)][_0x42c271(0x1a60)]=null),_0x41fcae(_0x481711[_0x42c271(0x1ac8)])):_0x158718[_0x42c271(0x1ac8)][_0x42c271(0x687)]({'id':_0x481711[_0x42c271(0x1ac8)]['id']},_0x481711[_0x42c271(0x1ac8)])['$promise'][_0x42c271(0x1cb0)](function(_0x44ae6d){const _0x3f3523=_0x42c271,_0x55cb5f=_0x39641b()[_0x3f3523(0x13b4)](_0x481711[_0x3f3523(0xb4a)],{'id':_0x44ae6d['id']});_0x55cb5f&&_0x39641b()[_0x3f3523(0x9c1)](_0x55cb5f,_0x44ae6d),_0x1eef63[_0x3f3523(0x829)]({'title':_0x3f3523(0x1498),'msg':_0x3f3523(0x2994)}),_0x41fcae();})[_0x42c271(0x1c4)](function(_0x33d3c3){const _0x5156e4=_0x42c271;console[_0x5156e4(0x218e)](_0x33d3c3),_0x481711[_0x5156e4(0x1a7c)]=_0x33d3c3[_0x5156e4(0x25c)][_0x5156e4(0x1a7c)]||[{'message':_0x33d3c3[_0x5156e4(0x147f)](),'type':_0x5156e4(0x2505)}];});}function _0x41fcae(_0x4d1ccb){_0x44a0d0['hide'](_0x4d1ccb);}}const _0x35489b=_0x3feb5a;;_0x561a4f[_0x5537c6(0x15b6)]=['$mdDialog','$q',_0x5537c6(0x9bf),_0x5537c6(0x29d4),'inboundroute',_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x561a4f(_0x2c0b41,_0x4ceab9,_0x440f31,_0x57a40d,_0x4fe6ea,_0x3d8a33,_0x4f7ff2,_0xb41fae){const _0x126b6d=_0x5537c6,_0x5f1883=this;_0x5f1883[_0x126b6d(0xe76)]=_0x4f7ff2[_0x126b6d(0x21e8)](),_0x5f1883[_0x126b6d(0x1a7c)]=[],_0x5f1883[_0x126b6d(0x1386)]=_0x126b6d(0x132b)+(_0x57a40d[_0x126b6d(0x299b)]||_0x57a40d[_0x126b6d(0x22e1)])['toUpperCase'](),_0x5f1883['playback']=angular[_0x126b6d(0x17fe)](_0x57a40d),_0x5f1883[_0x126b6d(0x1b1a)]=_0xb41fae,_0x5f1883[_0x126b6d(0xf4c)]={};if(_0x5f1883[_0x126b6d(0x2580)][_0x126b6d(0x28df)])switch(_0x5f1883[_0x126b6d(0x2580)][_0x126b6d(0x299b)]?_0x5f1883[_0x126b6d(0x2580)][_0x126b6d(0x299b)][_0x126b6d(0x1680)]():_0x5f1883[_0x126b6d(0x2580)]['app'][_0x126b6d(0x1680)]()){case _0x126b6d(0x197c):break;case'dialogflow':{const _0x122b05=_0x5f1883['playback'][_0x126b6d(0x28df)][_0x126b6d(0xbe1)](',');_0x5f1883[_0x126b6d(0x2580)][_0x126b6d(0xee8)]=_0x122b05[0x0],_0x5f1883[_0x126b6d(0x2580)][_0x126b6d(0x12b4)]=_0x122b05[0x1],_0x5f1883[_0x126b6d(0x2580)][_0x126b6d(0x173a)]=_0x122b05['slice'](0x2,_0x122b05['length'])[_0x126b6d(0x1f66)](',');}break;case _0x126b6d(0xece):{const _0x3d64bd=_0x5f1883[_0x126b6d(0x2580)][_0x126b6d(0x28df)][_0x126b6d(0xbe1)](',');_0x5f1883[_0x126b6d(0x2580)][_0x126b6d(0x2854)]=_0x3d64bd[0x0],_0x5f1883['playback']['clientEmail']=_0x3d64bd[0x1],_0x5f1883[_0x126b6d(0x2580)][_0x126b6d(0x29d6)]=_0x3d64bd[0x2],_0x5f1883[_0x126b6d(0x2580)][_0x126b6d(0x12b4)]=_0x3d64bd[0x3],_0x5f1883[_0x126b6d(0x2580)]['welcomemessage']=_0x3d64bd[_0x126b6d(0x14cb)](0x4,_0x3d64bd[_0x126b6d(0xfd0)])[_0x126b6d(0x1f66)](',');}break;case _0x126b6d(0x123a):{const _0x1889ec=_0x5f1883[_0x126b6d(0x2580)][_0x126b6d(0x28df)][_0x126b6d(0xbe1)](',');_0x5f1883[_0x126b6d(0x2580)][_0x126b6d(0x413)]=_0x1889ec[0x0],_0x5f1883[_0x126b6d(0x2580)][_0x126b6d(0x21d9)]=_0x1889ec[0x1],_0x5f1883[_0x126b6d(0x2580)]['lexregion']=_0x1889ec[0x2],_0x5f1883[_0x126b6d(0x2580)][_0x126b6d(0x1c7f)]=_0x1889ec[0x3],_0x5f1883[_0x126b6d(0x2580)][_0x126b6d(0x173a)]=_0x1889ec[_0x126b6d(0x14cb)](0x4,_0x1889ec['length'])[_0x126b6d(0x1f66)](',');}break;case'autoreply':{const _0x503758=_0x5f1883[_0x126b6d(0x2580)][_0x126b6d(0x28df)][_0x126b6d(0xbe1)](',');_0x5f1883['playback'][_0x126b6d(0x18a5)]=isNaN(_0x503758[0x0])?_0x503758[0x0]:parseInt(_0x503758[0x0],0xa),_0x5f1883[_0x126b6d(0x2580)][_0x126b6d(0x19d3)]=_0x503758['slice'](0x1,_0x503758[_0x126b6d(0xfd0)])[_0x126b6d(0x1f66)](',');}break;case'message':_0x5f1883[_0x126b6d(0x2580)][_0x126b6d(0x19d3)]=_0x5f1883[_0x126b6d(0x2580)][_0x126b6d(0x28df)];break;case _0x126b6d(0x19d1):_0x5f1883[_0x126b6d(0x2580)][_0x126b6d(0x16b6)]=_0x5f1883['playback'][_0x126b6d(0x28df)][_0x126b6d(0xbe1)]('=')[0x0],_0x5f1883['playback']['value']=_0x5f1883['playback'][_0x126b6d(0x28df)]['split']('=')[0x1];break;case'agi':_0x5f1883[_0x126b6d(0x2580)][_0x126b6d(0x12a7)]=_0x5f1883[_0x126b6d(0x2580)][_0x126b6d(0x28df)];break;default:{const _0x95f9f5=_0x5f1883[_0x126b6d(0x2580)][_0x126b6d(0x28df)][_0x126b6d(0xbe1)](',');_0x5f1883[_0x126b6d(0x2580)]['appdata']=_0x39641b()['isEmpty'](_0x95f9f5[0x0])?_0x95f9f5[0x0]:isNaN(_0x95f9f5[0x0])?_0x95f9f5[0x0]:parseInt(_0x95f9f5[0x0],0xa),_0x5f1883[_0x126b6d(0x2580)]['options']=_0x39641b()[_0x126b6d(0xce9)](_0x95f9f5[0x1])?_0x95f9f5[0x1]:isNaN(_0x95f9f5[0x1])?_0x95f9f5[0x1]:parseInt(_0x95f9f5[0x1],0xa);}break;}else{}_0x5f1883[_0x126b6d(0x2580)][_0x126b6d(0x66a)]&&_0x5f1883[_0x126b6d(0x2580)][_0x126b6d(0x66a)]['toLowerCase']()===_0x126b6d(0x895)&&_0x5f1883[_0x126b6d(0x2580)][_0x126b6d(0x299b)][_0x126b6d(0x1680)]()===_0x126b6d(0x20ff)&&(_0x5f1883[_0x126b6d(0x2580)]['prefix']=_0x5f1883[_0x126b6d(0x2580)][_0x126b6d(0x1340)]?_0x5f1883[_0x126b6d(0x2580)]['phone'][_0x126b6d(0xbe1)]('$')[0x0]:undefined,_0x5f1883['playback'][_0x126b6d(0x1e7c)]=_0x5f1883[_0x126b6d(0x2580)][_0x126b6d(0x2816)]?_0x126b6d(0x25f9)+_0x5f1883['playback'][_0x126b6d(0x2816)]:undefined);_0x5f1883[_0x126b6d(0x1654)]=_0x529c84,_0x5f1883[_0x126b6d(0xda0)]=_0x52a060,_0x4f7ff2[_0x126b6d(0x22b6)](_0x126b6d(0x1c60))?_0x3d8a33['sound'][_0x126b6d(0xbf7)]({'fields':_0x126b6d(0x4ae),'sort':_0x126b6d(0x16b6),'nolimit':_0x126b6d(0x44d)})['$promise'][_0x126b6d(0x1cb0)](function(_0x6bb821){const _0x2ebab5=_0x126b6d;_0x5f1883[_0x2ebab5(0xe28)]=_0x6bb821[_0x2ebab5(0x2214)]||[];})[_0x126b6d(0x1c4)](function(_0x10c0d1){const _0x1f1804=_0x126b6d;_0x440f31['error']({'title':_0x10c0d1[_0x1f1804(0x291)]?_0x1f1804(0xeb9)+_0x10c0d1[_0x1f1804(0x291)]+'\x20-\x20'+_0x10c0d1[_0x1f1804(0xc22)]:_0x1f1804(0x1b9e),'msg':_0x10c0d1['data']?JSON['stringify'](_0x10c0d1[_0x1f1804(0x25c)]):_0x10c0d1[_0x1f1804(0x147f)]()});}):_0x3d8a33['sound'][_0x126b6d(0xbf7)]({'fields':_0x126b6d(0x4ae),'sort':_0x126b6d(0x16b6),'nolimit':_0x126b6d(0x44d)})['$promise']['then'](function(_0x260123){const _0xb88c0c=_0x126b6d;_0x5f1883[_0xb88c0c(0xe28)]=_0x260123[_0xb88c0c(0x2214)]||[];})[_0x126b6d(0x1cb0)](function(){const _0x3171bf=_0x126b6d;return _0x3d8a33[_0x3171bf(0x2199)]['get']({'userProfileId':_0x5f1883['currentUser'][_0x3171bf(0x13c1)],'sectionId':0x3ef})['$promise'];})[_0x126b6d(0x1cb0)](function(_0x2b1930){const _0x19ae9e=_0x126b6d,_0x5f3ef8=_0x2b1930&&_0x2b1930['rows']?_0x2b1930['rows'][0x0]:null;if(!_0x5f3ef8){const _0x9fb85=[];let _0x2e776d=null;_0x5f1883[_0x19ae9e(0x2580)]&&(_0x2e776d=_0x39641b()['find'](_0x5f1883[_0x19ae9e(0xe28)],{'save_name':_0x5f1883[_0x19ae9e(0x2580)][_0x19ae9e(0x1e9)]}));for(let _0x237775=0x0;_0x237775<_0x5f1883[_0x19ae9e(0xe28)]['length'];_0x237775++){_0x2e776d&&_0x5f1883[_0x19ae9e(0xe28)][_0x237775]['id']===_0x2e776d['id']&&(_0x5f1883['sounds'][_0x237775][_0x19ae9e(0x15da)]=![],_0x9fb85[_0x19ae9e(0x2785)](_0x5f1883['sounds'][_0x237775]));}_0x5f1883[_0x19ae9e(0xe28)]=_0x9fb85;}else{if(!_0x5f3ef8[_0x19ae9e(0x12f4)])return _0x3d8a33[_0x19ae9e(0x1198)][_0x19ae9e(0xbf7)]({'sectionId':_0x5f3ef8['id']})[_0x19ae9e(0x1d77)][_0x19ae9e(0x1cb0)](function(_0x3a2af0){const _0x43cab0=_0x19ae9e,_0x26e8e0=_0x39641b()[_0x43cab0(0x1de2)](_0x3a2af0[_0x43cab0(0x2214)],function(_0x5c1b23){const _0x39c1d9=_0x43cab0;return _0x39641b()[_0x39c1d9(0x13b4)](_0x5f1883['sounds'],{'id':_0x5c1b23['resourceId']});});let _0x5478ad=null;_0x5f1883[_0x43cab0(0x2580)]&&(_0x5478ad=_0x39641b()[_0x43cab0(0x13b4)](_0x5f1883[_0x43cab0(0xe28)],{'save_name':_0x5f1883[_0x43cab0(0x2580)][_0x43cab0(0x1e9)]}));if(_0x5478ad&&!_0x39641b()[_0x43cab0(0x727)](_0x26e8e0,['id',_0x5478ad['id']])){const _0xce02af=_0x39641b()[_0x43cab0(0x13b4)](_0x5f1883[_0x43cab0(0xe28)],{'id':_0x5478ad['id']});_0xce02af[_0x43cab0(0x15da)]=![],_0x26e8e0[_0x43cab0(0x2785)](_0xce02af);}_0x5f1883['sounds']=_0x26e8e0;});}})[_0x126b6d(0x1c4)](function(_0x410abf){const _0x4ef562=_0x126b6d;_0x440f31[_0x4ef562(0x218e)]({'title':_0x410abf[_0x4ef562(0x291)]?'API:'+_0x410abf[_0x4ef562(0x291)]+_0x4ef562(0x1657)+_0x410abf['statusText']:_0x4ef562(0x3a0),'msg':_0x410abf[_0x4ef562(0x25c)]?JSON[_0x4ef562(0x2701)](_0x410abf['data']):_0x410abf[_0x4ef562(0x147f)]()});});function _0x529c84(){const _0xcf0002=_0x126b6d;_0x5f1883[_0xcf0002(0x1a7c)]=[];const _0x413013=[];_0x5f1883[_0xcf0002(0x2580)][_0xcf0002(0x66a)]&&_0x5f1883[_0xcf0002(0x2580)][_0xcf0002(0x66a)][_0xcf0002(0x1680)]()==='outbound'&&_0x5f1883[_0xcf0002(0x2580)]['appType']===_0xcf0002(0x25f4)&&(_0x5f1883[_0xcf0002(0x2580)][_0xcf0002(0x1340)]=_0x4fe6ea[_0xcf0002(0x325)]?(_0x5f1883[_0xcf0002(0x2580)][_0xcf0002(0x586)]||'')+_0xcf0002(0x19e4)+_0x4fe6ea[_0xcf0002(0x325)]+'}':(_0x5f1883[_0xcf0002(0x2580)][_0xcf0002(0x586)]||'')+_0xcf0002(0xcdd),_0x4fe6ea['recordingFormat']!==_0xcf0002(0x13b1)?_0x5f1883['playback']['options'][_0xcf0002(0xd8a)](_0xcf0002(0x106a))<0x0&&(_0x5f1883[_0xcf0002(0x2580)][_0xcf0002(0x2224)]+='U(xcally-mixmonitor-context)'):_0x5f1883['playback'][_0xcf0002(0x2224)]=_0x5f1883[_0xcf0002(0x2580)][_0xcf0002(0x2224)][_0xcf0002(0x288f)](_0xcf0002(0x106a),''));if(_0x5f1883[_0xcf0002(0x2580)]['appType']&&_0x5f1883['playback'][_0xcf0002(0x299b)]==='custom'){}else switch((_0x5f1883['playback'][_0xcf0002(0x22e1)]||_0x5f1883[_0xcf0002(0x2580)][_0xcf0002(0x299b)])['toLowerCase']()){case _0xcf0002(0x19d1):_0x5f1883[_0xcf0002(0x2580)][_0xcf0002(0x28df)]=_0x5f1883[_0xcf0002(0x2580)][_0xcf0002(0x16b6)]+'='+_0x5f1883[_0xcf0002(0x2580)][_0xcf0002(0x327)];break;case _0xcf0002(0x197c):break;default:_0x413013[0x0]=_0x5f1883[_0xcf0002(0x2580)]['appdata'],_0x413013[0x1]=_0x5f1883['playback'][_0xcf0002(0x2224)],_0x5f1883[_0xcf0002(0x2580)][_0xcf0002(0x28df)]=_0x413013['join'](',');}_0x52a060(_0x5f1883[_0xcf0002(0x2580)]);}function _0x52a060(_0x197677){const _0x290690=_0x126b6d;_0x2c0b41[_0x290690(0x1426)](_0x197677);}}const _0x4fe3ea=_0x561a4f;;_0x10ee6d[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q','toasty','inboundrouteApp',_0x5537c6(0x80a),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x10ee6d(_0x56871b,_0xb378f6,_0x134d53,_0x2086b5,_0x128ba8,_0x29f567,_0x4cadf6,_0x2f3f29){const _0x3f78f4=_0x5537c6,_0x2a20a1=this;_0x2a20a1[_0x3f78f4(0xe76)]=_0x4cadf6[_0x3f78f4(0x21e8)](),_0x2a20a1['errors']=[],_0x2a20a1[_0x3f78f4(0x1386)]='VOICE.EDIT_'+(_0x2086b5['appType']||_0x2086b5[_0x3f78f4(0x22e1)])[_0x3f78f4(0x2335)](),_0x2a20a1[_0x3f78f4(0x11cf)]=angular['copy'](_0x2086b5),_0x2a20a1[_0x3f78f4(0x1b1a)]=_0x2f3f29,_0x2a20a1[_0x3f78f4(0xf4c)]={};if(_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0x28df)])switch(_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0x299b)]?_0x2a20a1[_0x3f78f4(0x11cf)]['appType']['toLowerCase']():_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0x22e1)][_0x3f78f4(0x1680)]()){case _0x3f78f4(0x197c):break;case _0x3f78f4(0x711):{const _0x42a0a8=_0x2a20a1['queue'][_0x3f78f4(0x28df)][_0x3f78f4(0xbe1)](',');_0x2a20a1['queue']['key']=_0x42a0a8[0x0],_0x2a20a1[_0x3f78f4(0x11cf)]['language']=_0x42a0a8[0x1],_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0x173a)]=_0x42a0a8[_0x3f78f4(0x14cb)](0x2,_0x42a0a8[_0x3f78f4(0xfd0)])[_0x3f78f4(0x1f66)](',');}break;case _0x3f78f4(0xece):{const _0x3dd373=_0x2a20a1[_0x3f78f4(0x11cf)]['appdata'][_0x3f78f4(0xbe1)](',');_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0x2854)]=_0x3dd373[0x0],_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0x12bf)]=_0x3dd373[0x1],_0x2a20a1[_0x3f78f4(0x11cf)]['privateKey']=_0x3dd373[0x2],_0x2a20a1['queue'][_0x3f78f4(0x12b4)]=_0x3dd373[0x3],_0x2a20a1[_0x3f78f4(0x11cf)]['welcomemessage']=_0x3dd373[_0x3f78f4(0x14cb)](0x4,_0x3dd373[_0x3f78f4(0xfd0)])[_0x3f78f4(0x1f66)](',');}break;case _0x3f78f4(0x123a):{const _0x1e2e7e=_0x2a20a1['queue'][_0x3f78f4(0x28df)]['split'](',');_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0x413)]=_0x1e2e7e[0x0],_0x2a20a1['queue'][_0x3f78f4(0x21d9)]=_0x1e2e7e[0x1],_0x2a20a1['queue'][_0x3f78f4(0xd50)]=_0x1e2e7e[0x2],_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0x1c7f)]=_0x1e2e7e[0x3],_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0x173a)]=_0x1e2e7e['slice'](0x4,_0x1e2e7e[_0x3f78f4(0xfd0)])[_0x3f78f4(0x1f66)](',');}break;case _0x3f78f4(0x1f71):{const _0x2e89b3=_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0x28df)]['split'](',');_0x2a20a1['queue'][_0x3f78f4(0x18a5)]=isNaN(_0x2e89b3[0x0])?_0x2e89b3[0x0]:parseInt(_0x2e89b3[0x0],0xa),_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0x19d3)]=_0x2e89b3[_0x3f78f4(0x14cb)](0x1,_0x2e89b3[_0x3f78f4(0xfd0)])['join'](',');}break;case'message':_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0x19d3)]=_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0x28df)];break;case'set':_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0x16b6)]=_0x2a20a1['queue'][_0x3f78f4(0x28df)][_0x3f78f4(0xbe1)]('=')[0x0],_0x2a20a1['queue'][_0x3f78f4(0x327)]=_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0x28df)][_0x3f78f4(0xbe1)]('=')[0x1];break;case _0x3f78f4(0x4b4):_0x2a20a1['queue'][_0x3f78f4(0x12a7)]=_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0x28df)];break;default:{const _0xe7573=_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0x28df)][_0x3f78f4(0xbe1)](',');_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0x11cf)]=_0x39641b()[_0x3f78f4(0xce9)](_0xe7573[0x0])?_0xe7573[0x0]:isNaN(_0xe7573[0x0])?_0xe7573[0x0]:parseInt(_0xe7573[0x0],0xa),_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0x2224)]=_0x39641b()[_0x3f78f4(0xce9)](_0xe7573[0x1])?_0xe7573[0x1]:isNaN(_0xe7573[0x1])?_0xe7573[0x1]:parseInt(_0xe7573[0x1],0xa),_0x2a20a1[_0x3f78f4(0x11cf)]['URL']=_0x39641b()[_0x3f78f4(0xce9)](_0xe7573[0x2])?_0xe7573[0x2]:isNaN(_0xe7573[0x2])?_0xe7573[0x2]:parseInt(_0xe7573[0x2],0xa),_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0x1e9)]=_0x39641b()[_0x3f78f4(0xce9)](_0xe7573[0x3])?_0xe7573[0x3]:isNaN(_0xe7573[0x3])?_0xe7573[0x3]:parseInt(_0xe7573[0x3],0xa),_0x2a20a1[_0x3f78f4(0x11cf)]['timeout']=_0x39641b()['isEmpty'](_0xe7573[0x4])?_0xe7573[0x4]:isNaN(_0xe7573[0x4])?_0xe7573[0x4]:parseInt(_0xe7573[0x4],0xa),_0x2a20a1['queue'][_0x3f78f4(0x4b4)]=_0x39641b()[_0x3f78f4(0xce9)](_0xe7573[0x5])?_0xe7573[0x5]:isNaN(_0xe7573[0x5])?_0xe7573[0x5]:parseInt(_0xe7573[0x5],0xa),_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0x321)]=_0x39641b()[_0x3f78f4(0xce9)](_0xe7573[0x6])?_0xe7573[0x6]:isNaN(_0xe7573[0x6])?_0xe7573[0x6]:parseInt(_0xe7573[0x6],0xa),_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0x20fb)]=_0x39641b()['isEmpty'](_0xe7573[0x7])?_0xe7573[0x7]:isNaN(_0xe7573[0x7])?_0xe7573[0x7]:parseInt(_0xe7573[0x7],0xa),_0x2a20a1['queue'][_0x3f78f4(0x5a5)]=_0x39641b()[_0x3f78f4(0xce9)](_0xe7573[0x8])?_0xe7573[0x8]:isNaN(_0xe7573[0x8])?_0xe7573[0x8]:parseInt(_0xe7573[0x8],0xa),_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0xe9e)]=_0x39641b()[_0x3f78f4(0xce9)](_0xe7573[0x9])?_0xe7573[0x9]:isNaN(_0xe7573[0x9])?_0xe7573[0x9]:parseInt(_0xe7573[0x9],0xa);}break;}else{}_0x2a20a1[_0x3f78f4(0x11cf)]['type']&&_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0x66a)][_0x3f78f4(0x1680)]()==='outbound'&&_0x2a20a1['queue'][_0x3f78f4(0x299b)][_0x3f78f4(0x1680)]()==='outbounddial'&&(_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0x586)]=_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0x1340)]?_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0x1340)][_0x3f78f4(0xbe1)]('$')[0x0]:undefined,_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0x1e7c)]=_0x2a20a1[_0x3f78f4(0x11cf)][_0x3f78f4(0x2816)]?'CALLERID(all)='+_0x2a20a1['queue']['callerID']:undefined);_0x2a20a1[_0x3f78f4(0x1654)]=_0x5e4c5d,_0x2a20a1[_0x3f78f4(0xda0)]=_0x31e0fb,_0x4cadf6[_0x3f78f4(0x22b6)](_0x3f78f4(0x1c60))?_0x29f567['sound'][_0x3f78f4(0xbf7)]({'fields':'id,name,save_name','sort':_0x3f78f4(0x16b6),'nolimit':_0x3f78f4(0x44d)})['$promise'][_0x3f78f4(0x1cb0)](function(_0x37595b){const _0xc2151c=_0x3f78f4;_0x2a20a1['sounds']=_0x37595b[_0xc2151c(0x2214)]||[];})[_0x3f78f4(0x1c4)](function(_0x227cd4){const _0x1a2026=_0x3f78f4;_0x134d53[_0x1a2026(0x218e)]({'title':_0x227cd4[_0x1a2026(0x291)]?_0x1a2026(0xeb9)+_0x227cd4[_0x1a2026(0x291)]+_0x1a2026(0x1657)+_0x227cd4[_0x1a2026(0xc22)]:_0x1a2026(0x1b9e),'msg':_0x227cd4[_0x1a2026(0x25c)]?JSON[_0x1a2026(0x2701)](_0x227cd4['data']):_0x227cd4[_0x1a2026(0x147f)]()});}):_0x29f567['sound'][_0x3f78f4(0xbf7)]({'fields':'id,name,save_name','sort':_0x3f78f4(0x16b6),'nolimit':_0x3f78f4(0x44d)})['$promise'][_0x3f78f4(0x1cb0)](function(_0xc36b72){const _0x1d8772=_0x3f78f4;_0x2a20a1[_0x1d8772(0xe28)]=_0xc36b72[_0x1d8772(0x2214)]||[];})[_0x3f78f4(0x1cb0)](function(){const _0x19a5d9=_0x3f78f4;return _0x29f567['userProfileSection'][_0x19a5d9(0xbf7)]({'userProfileId':_0x2a20a1['currentUser'][_0x19a5d9(0x13c1)],'sectionId':0x3ef})['$promise'];})[_0x3f78f4(0x1cb0)](function(_0x4945f1){const _0x585943=_0x3f78f4,_0x4f4bfb=_0x4945f1&&_0x4945f1[_0x585943(0x2214)]?_0x4945f1[_0x585943(0x2214)][0x0]:null;if(!_0x4f4bfb){const _0x46338e=[];let _0x9ba486=null;_0x2a20a1[_0x585943(0x11cf)]&&(_0x9ba486=_0x39641b()['find'](_0x2a20a1[_0x585943(0xe28)],{'save_name':_0x2a20a1[_0x585943(0x11cf)][_0x585943(0x1e9)]}));for(let _0x4ad3eb=0x0;_0x4ad3eb<_0x2a20a1[_0x585943(0xe28)][_0x585943(0xfd0)];_0x4ad3eb++){_0x9ba486&&_0x2a20a1[_0x585943(0xe28)][_0x4ad3eb]['id']===_0x9ba486['id']&&(_0x2a20a1[_0x585943(0xe28)][_0x4ad3eb][_0x585943(0x15da)]=![],_0x46338e[_0x585943(0x2785)](_0x2a20a1['sounds'][_0x4ad3eb]));}_0x2a20a1[_0x585943(0xe28)]=_0x46338e;}else{if(!_0x4f4bfb[_0x585943(0x12f4)])return _0x29f567['userProfileResource'][_0x585943(0xbf7)]({'sectionId':_0x4f4bfb['id']})[_0x585943(0x1d77)][_0x585943(0x1cb0)](function(_0x3aa06a){const _0x4a401e=_0x585943,_0x5708a8=_0x39641b()['map'](_0x3aa06a[_0x4a401e(0x2214)],function(_0x329720){const _0x49664b=_0x4a401e;return _0x39641b()['find'](_0x2a20a1[_0x49664b(0xe28)],{'id':_0x329720[_0x49664b(0x2982)]});});let _0x32bfff=null;_0x2a20a1[_0x4a401e(0x11cf)]&&(_0x32bfff=_0x39641b()[_0x4a401e(0x13b4)](_0x2a20a1['sounds'],{'save_name':_0x2a20a1[_0x4a401e(0x11cf)][_0x4a401e(0x1e9)]}));if(_0x32bfff&&!_0x39641b()['some'](_0x5708a8,['id',_0x32bfff['id']])){const _0x2e28a5=_0x39641b()['find'](_0x2a20a1[_0x4a401e(0xe28)],{'id':_0x32bfff['id']});_0x2e28a5[_0x4a401e(0x15da)]=![],_0x5708a8['push'](_0x2e28a5);}_0x2a20a1[_0x4a401e(0xe28)]=_0x5708a8;});}})[_0x3f78f4(0x1c4)](function(_0x22a1eb){const _0x3d59a3=_0x3f78f4;_0x134d53['error']({'title':_0x22a1eb['status']?'API:'+_0x22a1eb[_0x3d59a3(0x291)]+_0x3d59a3(0x1657)+_0x22a1eb['statusText']:'SYSTEM:GETsounds','msg':_0x22a1eb['data']?JSON[_0x3d59a3(0x2701)](_0x22a1eb[_0x3d59a3(0x25c)]):_0x22a1eb[_0x3d59a3(0x147f)]()});}),_0x4cadf6['hasRole'](_0x3f78f4(0x1c60))?_0x29f567[_0x3f78f4(0x26b3)][_0x3f78f4(0xbf7)]({'type':'inbound','fields':_0x3f78f4(0x1896),'sort':'name','nolimit':_0x3f78f4(0x44d)})[_0x3f78f4(0x1d77)][_0x3f78f4(0x1cb0)](function(_0x26b242){const _0x1ec4f1=_0x3f78f4;_0x2a20a1[_0x1ec4f1(0x971)]=_0x26b242[_0x1ec4f1(0x2214)]||[];})[_0x3f78f4(0x1c4)](function(_0x54780f){const _0x3638cb=_0x3f78f4;_0x134d53['error']({'title':_0x54780f[_0x3638cb(0x291)]?_0x3638cb(0xeb9)+_0x54780f['status']+'\x20-\x20'+_0x54780f[_0x3638cb(0xc22)]:'SYSTEM:GET_QUEUES','msg':_0x54780f[_0x3638cb(0x25c)]?JSON[_0x3638cb(0x2701)](_0x54780f[_0x3638cb(0x25c)]):_0x54780f[_0x3638cb(0x147f)]()});}):_0x29f567[_0x3f78f4(0x26b3)][_0x3f78f4(0xbf7)]({'type':'inbound','fields':_0x3f78f4(0x1896),'sort':'name','nolimit':'true'})[_0x3f78f4(0x1d77)][_0x3f78f4(0x1cb0)](function(_0xfa3ec6){const _0x5dd097=_0x3f78f4;_0x2a20a1[_0x5dd097(0x971)]=_0xfa3ec6[_0x5dd097(0x2214)]||[];})[_0x3f78f4(0x1cb0)](function(){const _0x37671a=_0x3f78f4;return _0x29f567[_0x37671a(0x2199)][_0x37671a(0xbf7)]({'userProfileId':_0x2a20a1['currentUser'][_0x37671a(0x13c1)],'sectionId':0x191})[_0x37671a(0x1d77)];})['then'](function(_0xe079d5){const _0x3fdb92=_0x3f78f4,_0x255077=_0xe079d5&&_0xe079d5[_0x3fdb92(0x2214)]?_0xe079d5[_0x3fdb92(0x2214)][0x0]:null;if(!_0x255077){const _0x50c865=[];let _0x55e9cd=null;_0x2a20a1[_0x3fdb92(0x11cf)]&&(_0x55e9cd=_0x39641b()[_0x3fdb92(0x13b4)](_0x2a20a1[_0x3fdb92(0x971)],{'name':_0x2a20a1['queue'][_0x3fdb92(0x11cf)]}));for(let _0x2a00dd=0x0;_0x2a00dd<_0x2a20a1[_0x3fdb92(0x971)][_0x3fdb92(0xfd0)];_0x2a00dd++){_0x55e9cd&&_0x2a20a1[_0x3fdb92(0x971)][_0x2a00dd]['id']===_0x55e9cd['id']&&(_0x2a20a1['queues'][_0x2a00dd][_0x3fdb92(0x15da)]=![],_0x50c865[_0x3fdb92(0x2785)](_0x2a20a1[_0x3fdb92(0x971)][_0x2a00dd]));}_0x2a20a1[_0x3fdb92(0x971)]=_0x50c865;}else{if(!_0x255077[_0x3fdb92(0x12f4)])return _0x29f567['userProfileResource'][_0x3fdb92(0xbf7)]({'sectionId':_0x255077['id']})[_0x3fdb92(0x1d77)][_0x3fdb92(0x1cb0)](function(_0x4c2cb3){const _0x24d1c7=_0x3fdb92,_0x582db3=_0x39641b()['map'](_0x4c2cb3[_0x24d1c7(0x2214)],function(_0x3e1c16){const _0x75359d=_0x24d1c7;return _0x39641b()['find'](_0x2a20a1[_0x75359d(0x971)],{'id':_0x3e1c16[_0x75359d(0x2982)]});});let _0x2e6ee0=null;_0x2a20a1[_0x24d1c7(0x11cf)]&&(_0x2e6ee0=_0x39641b()[_0x24d1c7(0x13b4)](_0x2a20a1[_0x24d1c7(0x971)],{'name':_0x2a20a1[_0x24d1c7(0x11cf)][_0x24d1c7(0x11cf)]}));if(_0x2e6ee0&&!_0x39641b()[_0x24d1c7(0x727)](_0x582db3,['id',_0x2e6ee0['id']])){const _0x1af71c=_0x39641b()[_0x24d1c7(0x13b4)](_0x2a20a1[_0x24d1c7(0x971)],{'id':_0x2e6ee0['id']});_0x1af71c[_0x24d1c7(0x15da)]=![],_0x582db3['push'](_0x1af71c);}_0x2a20a1['queues']=_0x582db3;});}})['catch'](function(_0x2a6106){const _0x21e20f=_0x3f78f4;_0x134d53[_0x21e20f(0x218e)]({'title':_0x2a6106['status']?_0x21e20f(0xeb9)+_0x2a6106[_0x21e20f(0x291)]+'\x20-\x20'+_0x2a6106[_0x21e20f(0xc22)]:_0x21e20f(0x1af2),'msg':_0x2a6106['data']?JSON['stringify'](_0x2a6106[_0x21e20f(0x25c)]):_0x2a6106[_0x21e20f(0x147f)]()});});function _0x5e4c5d(){const _0x352ead=_0x3f78f4;_0x2a20a1['errors']=[];const _0x577658=[];_0x2a20a1[_0x352ead(0x11cf)][_0x352ead(0x66a)]&&_0x2a20a1[_0x352ead(0x11cf)][_0x352ead(0x66a)][_0x352ead(0x1680)]()==='outbound'&&_0x2a20a1[_0x352ead(0x11cf)][_0x352ead(0x299b)]===_0x352ead(0x25f4)&&(_0x2a20a1[_0x352ead(0x11cf)]['phone']=_0x128ba8[_0x352ead(0x325)]?(_0x2a20a1[_0x352ead(0x11cf)][_0x352ead(0x586)]||'')+_0x352ead(0x19e4)+_0x128ba8[_0x352ead(0x325)]+'}':(_0x2a20a1[_0x352ead(0x11cf)]['prefix']||'')+_0x352ead(0xcdd),_0x128ba8[_0x352ead(0xf8d)]!==_0x352ead(0x13b1)?_0x2a20a1['queue'][_0x352ead(0x2224)]['indexOf'](_0x352ead(0x106a))<0x0&&(_0x2a20a1[_0x352ead(0x11cf)][_0x352ead(0x2224)]+=_0x352ead(0x106a)):_0x2a20a1[_0x352ead(0x11cf)][_0x352ead(0x2224)]=_0x2a20a1[_0x352ead(0x11cf)][_0x352ead(0x2224)][_0x352ead(0x288f)](_0x352ead(0x106a),''));if(_0x2a20a1[_0x352ead(0x11cf)]['appType']&&_0x2a20a1[_0x352ead(0x11cf)][_0x352ead(0x299b)]===_0x352ead(0x197c)){}else switch((_0x2a20a1[_0x352ead(0x11cf)][_0x352ead(0x22e1)]||_0x2a20a1['queue'][_0x352ead(0x299b)])[_0x352ead(0x1680)]()){case'set':_0x2a20a1[_0x352ead(0x11cf)][_0x352ead(0x28df)]=_0x2a20a1[_0x352ead(0x11cf)][_0x352ead(0x16b6)]+'='+_0x2a20a1[_0x352ead(0x11cf)][_0x352ead(0x327)];break;case'custom':break;default:_0x577658[0x0]=_0x2a20a1[_0x352ead(0x11cf)][_0x352ead(0x11cf)],_0x577658[0x1]=_0x2a20a1[_0x352ead(0x11cf)][_0x352ead(0x2224)],_0x577658[0x2]=_0x2a20a1[_0x352ead(0x11cf)][_0x352ead(0x237e)],_0x577658[0x3]=_0x2a20a1[_0x352ead(0x11cf)]['sound'],_0x577658[0x4]=_0x2a20a1[_0x352ead(0x11cf)]['timeout'],_0x577658[0x5]=_0x2a20a1[_0x352ead(0x11cf)][_0x352ead(0x4b4)],_0x577658[0x6]=_0x2a20a1[_0x352ead(0x11cf)]['macro'],_0x577658[0x7]=_0x2a20a1[_0x352ead(0x11cf)]['gosub'],_0x577658[0x8]=_0x2a20a1[_0x352ead(0x11cf)][_0x352ead(0x5a5)],_0x577658[0x9]=_0x2a20a1['queue'][_0x352ead(0xe9e)],_0x2a20a1[_0x352ead(0x11cf)]['appdata']=_0x577658[_0x352ead(0x1f66)](',');}_0x31e0fb(_0x2a20a1[_0x352ead(0x11cf)]);}function _0x31e0fb(_0x323270){_0x56871b['hide'](_0x323270);}}const _0x282566=_0x10ee6d;;_0x47ad56['$inject']=[_0x5537c6(0xcb9),'$q','toasty',_0x5537c6(0x29d4),_0x5537c6(0x80a),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x47ad56(_0x3ce205,_0x4ce3d4,_0x300bb3,_0x55ccd7,_0x3f46ad,_0x5ec4ab,_0x9e00e1,_0x3c7614){const _0x2b1fc3=_0x5537c6,_0x419b12=this;_0x419b12['currentUser']=_0x9e00e1['getCurrentUser'](),_0x419b12[_0x2b1fc3(0x1a7c)]=[],_0x419b12['title']='VOICE.EDIT_'+(_0x55ccd7['appType']||_0x55ccd7[_0x2b1fc3(0x22e1)])['toUpperCase'](),_0x419b12[_0x2b1fc3(0x9b3)]=angular[_0x2b1fc3(0x17fe)](_0x55ccd7),_0x419b12[_0x2b1fc3(0x1b1a)]=_0x3c7614,_0x419b12['hasModulePermissions']={};if(_0x419b12['ringgroup'][_0x2b1fc3(0x28df)])switch(_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0x299b)]?_0x419b12[_0x2b1fc3(0x9b3)]['appType'][_0x2b1fc3(0x1680)]():_0x419b12[_0x2b1fc3(0x9b3)]['app'][_0x2b1fc3(0x1680)]()){case'custom':break;case _0x2b1fc3(0x711):{const _0x13c179=_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0x28df)][_0x2b1fc3(0xbe1)](',');_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0xee8)]=_0x13c179[0x0],_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0x12b4)]=_0x13c179[0x1],_0x419b12[_0x2b1fc3(0x9b3)]['welcomemessage']=_0x13c179[_0x2b1fc3(0x14cb)](0x2,_0x13c179[_0x2b1fc3(0xfd0)])[_0x2b1fc3(0x1f66)](',');}break;case _0x2b1fc3(0xece):{const _0x479107=_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0x28df)][_0x2b1fc3(0xbe1)](',');_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0x2854)]=_0x479107[0x0],_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0x12bf)]=_0x479107[0x1],_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0x29d6)]=_0x479107[0x2],_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0x12b4)]=_0x479107[0x3],_0x419b12[_0x2b1fc3(0x9b3)]['welcomemessage']=_0x479107['slice'](0x4,_0x479107[_0x2b1fc3(0xfd0)])[_0x2b1fc3(0x1f66)](',');}break;case'amazonlex':{const _0x3bbd82=_0x419b12['ringgroup']['appdata']['split'](',');_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0x413)]=_0x3bbd82[0x0],_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0x21d9)]=_0x3bbd82[0x1],_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0xd50)]=_0x3bbd82[0x2],_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0x1c7f)]=_0x3bbd82[0x3],_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0x173a)]=_0x3bbd82[_0x2b1fc3(0x14cb)](0x4,_0x3bbd82[_0x2b1fc3(0xfd0)])[_0x2b1fc3(0x1f66)](',');}break;case'autoreply':{const _0x28b966=_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0x28df)]['split'](',');_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0x18a5)]=isNaN(_0x28b966[0x0])?_0x28b966[0x0]:parseInt(_0x28b966[0x0],0xa),_0x419b12['ringgroup'][_0x2b1fc3(0x19d3)]=_0x28b966['slice'](0x1,_0x28b966[_0x2b1fc3(0xfd0)])[_0x2b1fc3(0x1f66)](',');}break;case'message':_0x419b12['ringgroup']['text']=_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0x28df)];break;case'set':_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0x16b6)]=_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0x28df)][_0x2b1fc3(0xbe1)]('=')[0x0],_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0x327)]=_0x419b12[_0x2b1fc3(0x9b3)]['appdata'][_0x2b1fc3(0xbe1)]('=')[0x1];break;case _0x2b1fc3(0x4b4):_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0x12a7)]=_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0x28df)];break;default:{const _0x1463f1=_0x419b12['ringgroup'][_0x2b1fc3(0x28df)][_0x2b1fc3(0xbe1)](',');_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0x1989)]=_0x1463f1[0x0][_0x2b1fc3(0xbe1)]('&'),_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0x1719)]=_0x39641b()[_0x2b1fc3(0xce9)](_0x1463f1[0x1])?_0x1463f1[0x1]:isNaN(_0x1463f1[0x1])?_0x1463f1[0x1]:parseInt(_0x1463f1[0x1],0xa),_0x419b12[_0x2b1fc3(0x9b3)]['options']=_0x39641b()['isEmpty'](_0x1463f1[0x2])?_0x1463f1[0x2]:isNaN(_0x1463f1[0x2])?_0x1463f1[0x2]:parseInt(_0x1463f1[0x2],0xa),_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0x135b)]=_0x39641b()[_0x2b1fc3(0xce9)](_0x1463f1[0x3])?_0x1463f1[0x3]:isNaN(_0x1463f1[0x3])?_0x1463f1[0x3]:parseInt(_0x1463f1[0x3],0xa);}break;}else{}_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0x66a)]&&_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0x66a)]['toLowerCase']()===_0x2b1fc3(0x895)&&_0x419b12[_0x2b1fc3(0x9b3)]['appType'][_0x2b1fc3(0x1680)]()===_0x2b1fc3(0x20ff)&&(_0x419b12[_0x2b1fc3(0x9b3)]['prefix']=_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0x1340)]?_0x419b12[_0x2b1fc3(0x9b3)]['phone'][_0x2b1fc3(0xbe1)]('$')[0x0]:undefined,_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0x1e7c)]=_0x419b12[_0x2b1fc3(0x9b3)]['callerID']?_0x2b1fc3(0x25f9)+_0x419b12[_0x2b1fc3(0x9b3)][_0x2b1fc3(0x2816)]:undefined);_0x419b12['saveInboundRouteApp']=_0x22f1d1,_0x419b12[_0x2b1fc3(0xda0)]=_0x386737;const _0x35febf=[{'id':0xca,'role':'agent'},{'id':0xcb,'role':_0x2b1fc3(0x2922)}];let _0x1b29ec=null;_0x9e00e1['hasRole']('admin')?_0x5ec4ab['user'][_0x2b1fc3(0xbf7)]({'fields':_0x2b1fc3(0x17ce),'sort':_0x2b1fc3(0x16b6),'nolimit':_0x2b1fc3(0x44d)})[_0x2b1fc3(0x1d77)][_0x2b1fc3(0x1cb0)](function(_0x23f3a5){const _0x41b0fc=_0x2b1fc3;_0x419b12[_0x41b0fc(0x1e30)]=_0x23f3a5['rows']||[];})['catch'](function(_0x27ff48){const _0xd91f64=_0x2b1fc3;_0x300bb3['error']({'title':_0x27ff48[_0xd91f64(0x291)]?_0xd91f64(0xeb9)+_0x27ff48[_0xd91f64(0x291)]+_0xd91f64(0x1657)+_0x27ff48[_0xd91f64(0xc22)]:'SYSTEM:GET_USERS','msg':_0x27ff48[_0xd91f64(0x25c)]?JSON[_0xd91f64(0x2701)](_0x27ff48[_0xd91f64(0x25c)]):_0x27ff48[_0xd91f64(0x147f)]()});}):_0x5ec4ab[_0x2b1fc3(0xebe)]['get']({'fields':'id,name,role','sort':_0x2b1fc3(0x16b6),'nolimit':_0x2b1fc3(0x44d)})[_0x2b1fc3(0x1d77)][_0x2b1fc3(0x1cb0)](function(_0x4b5ccc){const _0x4f1fde=_0x2b1fc3;_0x1b29ec=_0x4b5ccc[_0x4f1fde(0x2214)]||[];})[_0x2b1fc3(0x1cb0)](function(){const _0x19bb86=_0x2b1fc3,_0x540093=[];for(let _0x3bc409=0x0;_0x3bc409<_0x35febf['length'];_0x3bc409++){_0x540093['push'](_0x5ec4ab['userProfileSection'][_0x19bb86(0xbf7)]({'userProfileId':_0x419b12[_0x19bb86(0xe76)][_0x19bb86(0x13c1)],'sectionId':_0x35febf[_0x3bc409]['id']})[_0x19bb86(0x1d77)]);}return _0x4ce3d4[_0x19bb86(0x223b)](_0x540093);})[_0x2b1fc3(0x1cb0)](function(_0x3825ab){const _0x551a44=_0x2b1fc3,_0x42a701=_0x39641b()[_0x551a44(0x1de2)](_0x3825ab,function(_0x1dee71){const _0x33b456=_0x551a44;return _0x1dee71&&_0x1dee71[_0x33b456(0x2214)]?_0x1dee71[_0x33b456(0x2214)][0x0]:null;}),_0x159f1a=[];for(let _0x524707=0x0;_0x524707<_0x35febf['length'];_0x524707++){const _0x38e356=_0x39641b()[_0x551a44(0x13b4)](_0x42a701,[_0x551a44(0xec6),_0x35febf[_0x524707]['id']]);if(_0x38e356){if(!_0x38e356[_0x551a44(0x12f4)])_0x159f1a[_0x551a44(0x2785)](_0x5ec4ab[_0x551a44(0x1198)][_0x551a44(0xbf7)]({'sectionId':_0x38e356['id']})[_0x551a44(0x1d77)]);else{const _0x3d35a8=[];_0x1b29ec[_0x551a44(0xf90)](function(_0x1d5140){const _0x28a5ce=_0x551a44;_0x1d5140[_0x28a5ce(0x26e6)]===_0x35febf[_0x524707][_0x28a5ce(0x26e6)]&&_0x3d35a8[_0x28a5ce(0x2785)](_0x1d5140);}),_0x159f1a[_0x551a44(0x2785)]({'rows':_0x3d35a8,'count':_0x3d35a8[_0x551a44(0xfd0)]});}}}return _0x4ce3d4[_0x551a44(0x223b)](_0x159f1a);})[_0x2b1fc3(0x1cb0)](function(_0x138e4c){const _0x34d97b=_0x2b1fc3,_0x5ac93c=[];let _0x2f4021=null;_0x419b12[_0x34d97b(0x9b3)]&&(_0x2f4021=_0x39641b()[_0x34d97b(0x13b4)](_0x1b29ec,{'name':_0x419b12['ringgroup'][_0x34d97b(0x1989)]}));for(let _0xcf3d1b=0x0;_0xcf3d1b<_0x138e4c[_0x34d97b(0xfd0)];_0xcf3d1b++){const _0x5a3e1c=_0x138e4c[_0xcf3d1b]['rows']||[];_0x5a3e1c[_0x34d97b(0xf90)](function(_0x340b7f){const _0x324a7f=_0x34d97b;_0x5ac93c[_0x324a7f(0x2785)](_0x340b7f);});}_0x2f4021&&!_0x39641b()[_0x34d97b(0x727)](_0x5ac93c,[_0x34d97b(0x2982),_0x2f4021['id']])&&_0x1b29ec[_0x34d97b(0xf90)](function(_0x224d12){_0x224d12['id']===_0x2f4021['id']&&(_0x224d12['canSelect']=![],_0x5ac93c['push'](_0x224d12));}),_0x419b12['users']=_0x5ac93c;})[_0x2b1fc3(0x1c4)](function(_0xc4eacf){const _0x45f878=_0x2b1fc3;_0x300bb3[_0x45f878(0x218e)]({'title':_0xc4eacf[_0x45f878(0x291)]?_0x45f878(0xeb9)+_0xc4eacf['status']+_0x45f878(0x1657)+_0xc4eacf[_0x45f878(0xc22)]:_0x45f878(0x1e94),'msg':_0xc4eacf[_0x45f878(0x25c)]?JSON[_0x45f878(0x2701)](_0xc4eacf[_0x45f878(0x25c)]):_0xc4eacf[_0x45f878(0x147f)]()});});function _0x22f1d1(){const _0x1749c7=_0x2b1fc3;_0x419b12[_0x1749c7(0x1a7c)]=[];const _0x5129df=[];_0x419b12[_0x1749c7(0x9b3)]['type']&&_0x419b12[_0x1749c7(0x9b3)][_0x1749c7(0x66a)][_0x1749c7(0x1680)]()==='outbound'&&_0x419b12[_0x1749c7(0x9b3)][_0x1749c7(0x299b)]==='outboundDial'&&(_0x419b12[_0x1749c7(0x9b3)][_0x1749c7(0x1340)]=_0x3f46ad[_0x1749c7(0x325)]?(_0x419b12[_0x1749c7(0x9b3)][_0x1749c7(0x586)]||'')+'${EXTEN:'+_0x3f46ad[_0x1749c7(0x325)]+'}':(_0x419b12['ringgroup'][_0x1749c7(0x586)]||'')+_0x1749c7(0xcdd),_0x3f46ad[_0x1749c7(0xf8d)]!=='none'?_0x419b12[_0x1749c7(0x9b3)][_0x1749c7(0x2224)][_0x1749c7(0xd8a)](_0x1749c7(0x106a))<0x0&&(_0x419b12[_0x1749c7(0x9b3)][_0x1749c7(0x2224)]+='U(xcally-mixmonitor-context)'):_0x419b12['ringgroup'][_0x1749c7(0x2224)]=_0x419b12[_0x1749c7(0x9b3)][_0x1749c7(0x2224)][_0x1749c7(0x288f)](_0x1749c7(0x106a),''));if(_0x419b12['ringgroup'][_0x1749c7(0x299b)]&&_0x419b12[_0x1749c7(0x9b3)][_0x1749c7(0x299b)]==='custom'){}else switch((_0x419b12[_0x1749c7(0x9b3)][_0x1749c7(0x22e1)]||_0x419b12[_0x1749c7(0x9b3)][_0x1749c7(0x299b)])['toLowerCase']()){case'set':_0x419b12['ringgroup']['appdata']=_0x419b12[_0x1749c7(0x9b3)][_0x1749c7(0x16b6)]+'='+_0x419b12[_0x1749c7(0x9b3)][_0x1749c7(0x327)];break;case'custom':break;default:_0x5129df[0x0]=_0x419b12[_0x1749c7(0x9b3)][_0x1749c7(0x1989)][_0x1749c7(0x1f66)]('&'),_0x5129df[0x1]=_0x419b12[_0x1749c7(0x9b3)]['timeout'],_0x5129df[0x2]=_0x419b12['ringgroup'][_0x1749c7(0x2224)],_0x5129df[0x3]=_0x419b12[_0x1749c7(0x9b3)][_0x1749c7(0x135b)],_0x419b12[_0x1749c7(0x9b3)][_0x1749c7(0x28df)]=_0x5129df[_0x1749c7(0x1f66)](',');}_0x386737(_0x419b12[_0x1749c7(0x9b3)]);}function _0x386737(_0x5bcd34){const _0x49441a=_0x2b1fc3;_0x3ce205[_0x49441a(0x1426)](_0x5bcd34);}}const _0x347c0a=_0x47ad56;;_0x1ba559[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x29d4),_0x5537c6(0x80a),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x1ba559(_0x48bb96,_0x45cb90,_0x31240a,_0x16be23,_0x101605,_0x276621,_0x704a91,_0x2f9ef0){const _0x8cda12=_0x5537c6,_0x4c5737=this;_0x4c5737[_0x8cda12(0xe76)]=_0x704a91[_0x8cda12(0x21e8)](),_0x4c5737[_0x8cda12(0x1a7c)]=[],_0x4c5737[_0x8cda12(0x1386)]=_0x8cda12(0x132b)+(_0x16be23['appType']||_0x16be23[_0x8cda12(0x22e1)])[_0x8cda12(0x2335)](),_0x4c5737[_0x8cda12(0x19d1)]=angular['copy'](_0x16be23),_0x4c5737['crudPermissions']=_0x2f9ef0,_0x4c5737[_0x8cda12(0xf4c)]={};if(_0x4c5737[_0x8cda12(0x19d1)][_0x8cda12(0x28df)])switch(_0x4c5737[_0x8cda12(0x19d1)][_0x8cda12(0x299b)]?_0x4c5737[_0x8cda12(0x19d1)][_0x8cda12(0x299b)][_0x8cda12(0x1680)]():_0x4c5737[_0x8cda12(0x19d1)][_0x8cda12(0x22e1)][_0x8cda12(0x1680)]()){case'custom':break;case _0x8cda12(0x711):{const _0x5361b0=_0x4c5737[_0x8cda12(0x19d1)][_0x8cda12(0x28df)][_0x8cda12(0xbe1)](',');_0x4c5737['set'][_0x8cda12(0xee8)]=_0x5361b0[0x0],_0x4c5737['set'][_0x8cda12(0x12b4)]=_0x5361b0[0x1],_0x4c5737['set'][_0x8cda12(0x173a)]=_0x5361b0[_0x8cda12(0x14cb)](0x2,_0x5361b0[_0x8cda12(0xfd0)])['join'](',');}break;case _0x8cda12(0xece):{const _0x46119f=_0x4c5737['set']['appdata'][_0x8cda12(0xbe1)](',');_0x4c5737[_0x8cda12(0x19d1)][_0x8cda12(0x2854)]=_0x46119f[0x0],_0x4c5737['set'][_0x8cda12(0x12bf)]=_0x46119f[0x1],_0x4c5737['set'][_0x8cda12(0x29d6)]=_0x46119f[0x2],_0x4c5737[_0x8cda12(0x19d1)][_0x8cda12(0x12b4)]=_0x46119f[0x3],_0x4c5737[_0x8cda12(0x19d1)][_0x8cda12(0x173a)]=_0x46119f['slice'](0x4,_0x46119f['length'])[_0x8cda12(0x1f66)](',');}break;case _0x8cda12(0x123a):{const _0x1c0dbe=_0x4c5737['set'][_0x8cda12(0x28df)][_0x8cda12(0xbe1)](',');_0x4c5737[_0x8cda12(0x19d1)]['accesskeyid']=_0x1c0dbe[0x0],_0x4c5737[_0x8cda12(0x19d1)][_0x8cda12(0x21d9)]=_0x1c0dbe[0x1],_0x4c5737[_0x8cda12(0x19d1)]['lexregion']=_0x1c0dbe[0x2],_0x4c5737['set'][_0x8cda12(0x1c7f)]=_0x1c0dbe[0x3],_0x4c5737[_0x8cda12(0x19d1)][_0x8cda12(0x173a)]=_0x1c0dbe[_0x8cda12(0x14cb)](0x4,_0x1c0dbe[_0x8cda12(0xfd0)])[_0x8cda12(0x1f66)](',');}break;case'autoreply':{const _0x424b88=_0x4c5737[_0x8cda12(0x19d1)]['appdata'][_0x8cda12(0xbe1)](',');_0x4c5737[_0x8cda12(0x19d1)][_0x8cda12(0x18a5)]=isNaN(_0x424b88[0x0])?_0x424b88[0x0]:parseInt(_0x424b88[0x0],0xa),_0x4c5737[_0x8cda12(0x19d1)][_0x8cda12(0x19d3)]=_0x424b88['slice'](0x1,_0x424b88['length'])[_0x8cda12(0x1f66)](',');}break;case _0x8cda12(0x155e):_0x4c5737['set'][_0x8cda12(0x19d3)]=_0x4c5737[_0x8cda12(0x19d1)][_0x8cda12(0x28df)];break;case _0x8cda12(0x19d1):_0x4c5737[_0x8cda12(0x19d1)][_0x8cda12(0x16b6)]=_0x4c5737[_0x8cda12(0x19d1)][_0x8cda12(0x28df)][_0x8cda12(0xbe1)]('=')[0x0],_0x4c5737[_0x8cda12(0x19d1)][_0x8cda12(0x327)]=_0x4c5737[_0x8cda12(0x19d1)][_0x8cda12(0x28df)]['split']('=')[0x1];break;case _0x8cda12(0x4b4):_0x4c5737[_0x8cda12(0x19d1)][_0x8cda12(0x12a7)]=_0x4c5737[_0x8cda12(0x19d1)]['appdata'];break;default:{const _0x1de14c=_0x4c5737[_0x8cda12(0x19d1)][_0x8cda12(0x28df)][_0x8cda12(0xbe1)]('=');_0x4c5737['set'][_0x8cda12(0x16b6)]=_0x39641b()[_0x8cda12(0xce9)](_0x1de14c[0x0])?_0x1de14c[0x0]:isNaN(_0x1de14c[0x0])?_0x1de14c[0x0]:parseInt(_0x1de14c[0x0],0xa),_0x4c5737[_0x8cda12(0x19d1)][_0x8cda12(0x327)]=_0x39641b()[_0x8cda12(0xce9)](_0x1de14c[0x1])?_0x1de14c[0x1]:isNaN(_0x1de14c[0x1])?_0x1de14c[0x1]:parseInt(_0x1de14c[0x1],0xa);}break;}else{}_0x4c5737[_0x8cda12(0x19d1)][_0x8cda12(0x66a)]&&_0x4c5737[_0x8cda12(0x19d1)][_0x8cda12(0x66a)][_0x8cda12(0x1680)]()===_0x8cda12(0x895)&&_0x4c5737[_0x8cda12(0x19d1)][_0x8cda12(0x299b)][_0x8cda12(0x1680)]()==='outbounddial'&&(_0x4c5737[_0x8cda12(0x19d1)][_0x8cda12(0x586)]=_0x4c5737[_0x8cda12(0x19d1)][_0x8cda12(0x1340)]?_0x4c5737[_0x8cda12(0x19d1)]['phone'][_0x8cda12(0xbe1)]('$')[0x0]:undefined,_0x4c5737['set']['callerId']=_0x4c5737['set'][_0x8cda12(0x2816)]?_0x8cda12(0x25f9)+_0x4c5737['set'][_0x8cda12(0x2816)]:undefined);_0x4c5737[_0x8cda12(0x1654)]=_0x5a0b5a,_0x4c5737[_0x8cda12(0xda0)]=_0x10ca6f,_0x704a91[_0x8cda12(0x22b6)](_0x8cda12(0x1c60))?_0x276621[_0x8cda12(0x1822)][_0x8cda12(0xbf7)]({'fields':_0x8cda12(0x43c),'sort':'name','nolimit':_0x8cda12(0x44d)})['$promise']['then'](function(_0x14d0d9){const _0x3b6838=_0x8cda12;_0x4c5737['variables']=_0x14d0d9[_0x3b6838(0x2214)]||[];})[_0x8cda12(0x1c4)](function(_0x3fb4a8){const _0x4ff352=_0x8cda12;_0x31240a[_0x4ff352(0x218e)]({'title':_0x3fb4a8['status']?_0x4ff352(0xeb9)+_0x3fb4a8[_0x4ff352(0x291)]+_0x4ff352(0x1657)+_0x3fb4a8['statusText']:'SYSTEM:GET_VARIABLES','msg':_0x3fb4a8[_0x4ff352(0x25c)]?JSON['stringify'](_0x3fb4a8[_0x4ff352(0x25c)]):_0x3fb4a8[_0x4ff352(0x147f)]()});}):_0x276621['variable'][_0x8cda12(0xbf7)]({'fields':_0x8cda12(0x43c),'sort':_0x8cda12(0x16b6),'nolimit':'true'})[_0x8cda12(0x1d77)]['then'](function(_0x1839fa){const _0x224c25=_0x8cda12;_0x4c5737[_0x224c25(0x9a9)]=_0x1839fa[_0x224c25(0x2214)]||[];})[_0x8cda12(0x1cb0)](function(){const _0x351436=_0x8cda12;return _0x276621[_0x351436(0x2199)][_0x351436(0xbf7)]({'userProfileId':_0x4c5737[_0x351436(0xe76)][_0x351436(0x13c1)],'sectionId':0x3f4})[_0x351436(0x1d77)];})[_0x8cda12(0x1cb0)](function(_0x481349){const _0x208994=_0x8cda12,_0x313722=_0x481349&&_0x481349[_0x208994(0x2214)]?_0x481349['rows'][0x0]:null;if(!_0x313722){const _0x428def=[];let _0x5d262e=null;_0x4c5737[_0x208994(0x19d1)]&&(_0x5d262e=_0x39641b()[_0x208994(0x13b4)](_0x4c5737[_0x208994(0x9a9)],{'name':_0x4c5737[_0x208994(0x19d1)]['name']}));for(let _0x175562=0x0;_0x175562<_0x4c5737[_0x208994(0x9a9)][_0x208994(0xfd0)];_0x175562++){_0x5d262e&&_0x4c5737['variables'][_0x175562]['id']===_0x5d262e['id']&&(_0x4c5737[_0x208994(0x9a9)][_0x175562][_0x208994(0x15da)]=![],_0x428def[_0x208994(0x2785)](_0x4c5737[_0x208994(0x9a9)][_0x175562]));}_0x4c5737[_0x208994(0x9a9)]=_0x428def;}else{if(!_0x313722[_0x208994(0x12f4)])return _0x276621[_0x208994(0x1198)][_0x208994(0xbf7)]({'sectionId':_0x313722['id']})['$promise'][_0x208994(0x1cb0)](function(_0x22a4e1){const _0x40c5ba=_0x208994,_0x131df4=_0x39641b()[_0x40c5ba(0x1de2)](_0x22a4e1['rows'],function(_0x172b1b){const _0x1dd723=_0x40c5ba;return _0x39641b()['find'](_0x4c5737[_0x1dd723(0x9a9)],{'id':_0x172b1b['resourceId']});});let _0x129507=null;_0x4c5737[_0x40c5ba(0x19d1)]&&(_0x129507=_0x39641b()[_0x40c5ba(0x13b4)](_0x4c5737['variables'],{'name':_0x4c5737[_0x40c5ba(0x19d1)][_0x40c5ba(0x16b6)]}));if(_0x129507&&!_0x39641b()[_0x40c5ba(0x727)](_0x131df4,['id',_0x129507['id']])){const _0x27c616=_0x39641b()[_0x40c5ba(0x13b4)](_0x4c5737[_0x40c5ba(0x9a9)],{'id':_0x129507['id']});_0x27c616[_0x40c5ba(0x15da)]=![],_0x131df4[_0x40c5ba(0x2785)](_0x27c616);}_0x4c5737[_0x40c5ba(0x9a9)]=_0x131df4;});}})[_0x8cda12(0x1c4)](function(_0x5ad3c0){const _0x24b9f5=_0x8cda12;_0x31240a[_0x24b9f5(0x218e)]({'title':_0x5ad3c0[_0x24b9f5(0x291)]?_0x24b9f5(0xeb9)+_0x5ad3c0['status']+_0x24b9f5(0x1657)+_0x5ad3c0[_0x24b9f5(0xc22)]:'SYSTEM:GETvariables','msg':_0x5ad3c0[_0x24b9f5(0x25c)]?JSON['stringify'](_0x5ad3c0[_0x24b9f5(0x25c)]):_0x5ad3c0[_0x24b9f5(0x147f)]()});});function _0x5a0b5a(){const _0xa7a9c9=_0x8cda12;_0x4c5737['errors']=[];const _0x56b869=[];_0x4c5737['set'][_0xa7a9c9(0x66a)]&&_0x4c5737[_0xa7a9c9(0x19d1)]['type'][_0xa7a9c9(0x1680)]()===_0xa7a9c9(0x895)&&_0x4c5737[_0xa7a9c9(0x19d1)][_0xa7a9c9(0x299b)]===_0xa7a9c9(0x25f4)&&(_0x4c5737['set'][_0xa7a9c9(0x1340)]=_0x101605[_0xa7a9c9(0x325)]?(_0x4c5737[_0xa7a9c9(0x19d1)][_0xa7a9c9(0x586)]||'')+_0xa7a9c9(0x19e4)+_0x101605[_0xa7a9c9(0x325)]+'}':(_0x4c5737['set']['prefix']||'')+'${EXTEN}',_0x101605[_0xa7a9c9(0xf8d)]!==_0xa7a9c9(0x13b1)?_0x4c5737[_0xa7a9c9(0x19d1)][_0xa7a9c9(0x2224)][_0xa7a9c9(0xd8a)](_0xa7a9c9(0x106a))<0x0&&(_0x4c5737[_0xa7a9c9(0x19d1)][_0xa7a9c9(0x2224)]+=_0xa7a9c9(0x106a)):_0x4c5737[_0xa7a9c9(0x19d1)][_0xa7a9c9(0x2224)]=_0x4c5737['set'][_0xa7a9c9(0x2224)][_0xa7a9c9(0x288f)](_0xa7a9c9(0x106a),''));if(_0x4c5737[_0xa7a9c9(0x19d1)]['appType']&&_0x4c5737['set'][_0xa7a9c9(0x299b)]===_0xa7a9c9(0x197c)){}else switch((_0x4c5737[_0xa7a9c9(0x19d1)][_0xa7a9c9(0x22e1)]||_0x4c5737[_0xa7a9c9(0x19d1)][_0xa7a9c9(0x299b)])['toLowerCase']()){case _0xa7a9c9(0x19d1):_0x4c5737[_0xa7a9c9(0x19d1)][_0xa7a9c9(0x28df)]=_0x4c5737['set'][_0xa7a9c9(0x16b6)]+'='+_0x4c5737[_0xa7a9c9(0x19d1)][_0xa7a9c9(0x327)];break;case _0xa7a9c9(0x197c):break;default:_0x56b869[0x0]=_0x4c5737[_0xa7a9c9(0x19d1)][_0xa7a9c9(0x16b6)],_0x56b869[0x1]=_0x4c5737[_0xa7a9c9(0x19d1)][_0xa7a9c9(0x327)],_0x4c5737[_0xa7a9c9(0x19d1)][_0xa7a9c9(0x28df)]=_0x56b869['join']('=');}_0x10ca6f(_0x4c5737[_0xa7a9c9(0x19d1)]);}function _0x10ca6f(_0x33e54f){const _0x3e5a11=_0x8cda12;_0x48bb96[_0x3e5a11(0x1426)](_0x33e54f);}}const _0x39be69=_0x1ba559;;_0x166ef9[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),'inboundrouteApp',_0x5537c6(0x80a),'api',_0x5537c6(0x1774),'crudPermissions'];function _0x166ef9(_0x1e2e41,_0x2996ca,_0xecf486,_0x3d4b42,_0x3f22d3,_0x4fc0ed,_0x1767b4,_0x4aebc7){const _0x5bf74e=_0x5537c6,_0x19a7ec=this;_0x19a7ec[_0x5bf74e(0xe76)]=_0x1767b4['getCurrentUser'](),_0x19a7ec['errors']=[],_0x19a7ec[_0x5bf74e(0x1386)]=_0x5bf74e(0x132b)+(_0x3d4b42[_0x5bf74e(0x299b)]||_0x3d4b42[_0x5bf74e(0x22e1)])['toUpperCase'](),_0x19a7ec[_0x5bf74e(0xd66)]=angular[_0x5bf74e(0x17fe)](_0x3d4b42),_0x19a7ec[_0x5bf74e(0x1b1a)]=_0x4aebc7,_0x19a7ec[_0x5bf74e(0xf4c)]={};if(_0x19a7ec[_0x5bf74e(0xd66)][_0x5bf74e(0x28df)])switch(_0x19a7ec[_0x5bf74e(0xd66)]['appType']?_0x19a7ec[_0x5bf74e(0xd66)]['appType'][_0x5bf74e(0x1680)]():_0x19a7ec[_0x5bf74e(0xd66)][_0x5bf74e(0x22e1)][_0x5bf74e(0x1680)]()){case _0x5bf74e(0x197c):break;case _0x5bf74e(0x711):{const _0x367873=_0x19a7ec[_0x5bf74e(0xd66)]['appdata']['split'](',');_0x19a7ec[_0x5bf74e(0xd66)][_0x5bf74e(0xee8)]=_0x367873[0x0],_0x19a7ec[_0x5bf74e(0xd66)]['language']=_0x367873[0x1],_0x19a7ec['voicemail'][_0x5bf74e(0x173a)]=_0x367873[_0x5bf74e(0x14cb)](0x2,_0x367873[_0x5bf74e(0xfd0)])[_0x5bf74e(0x1f66)](',');}break;case _0x5bf74e(0xece):{const _0x164693=_0x19a7ec[_0x5bf74e(0xd66)][_0x5bf74e(0x28df)][_0x5bf74e(0xbe1)](',');_0x19a7ec[_0x5bf74e(0xd66)][_0x5bf74e(0x2854)]=_0x164693[0x0],_0x19a7ec['voicemail'][_0x5bf74e(0x12bf)]=_0x164693[0x1],_0x19a7ec[_0x5bf74e(0xd66)]['privateKey']=_0x164693[0x2],_0x19a7ec[_0x5bf74e(0xd66)][_0x5bf74e(0x12b4)]=_0x164693[0x3],_0x19a7ec['voicemail'][_0x5bf74e(0x173a)]=_0x164693[_0x5bf74e(0x14cb)](0x4,_0x164693[_0x5bf74e(0xfd0)])[_0x5bf74e(0x1f66)](',');}break;case'amazonlex':{const _0x4a2698=_0x19a7ec[_0x5bf74e(0xd66)][_0x5bf74e(0x28df)][_0x5bf74e(0xbe1)](',');_0x19a7ec[_0x5bf74e(0xd66)][_0x5bf74e(0x413)]=_0x4a2698[0x0],_0x19a7ec[_0x5bf74e(0xd66)][_0x5bf74e(0x21d9)]=_0x4a2698[0x1],_0x19a7ec['voicemail']['lexregion']=_0x4a2698[0x2],_0x19a7ec[_0x5bf74e(0xd66)]['botname']=_0x4a2698[0x3],_0x19a7ec[_0x5bf74e(0xd66)][_0x5bf74e(0x173a)]=_0x4a2698[_0x5bf74e(0x14cb)](0x4,_0x4a2698[_0x5bf74e(0xfd0)])['join'](',');}break;case _0x5bf74e(0x1f71):{const _0x1e036c=_0x19a7ec[_0x5bf74e(0xd66)][_0x5bf74e(0x28df)][_0x5bf74e(0xbe1)](',');_0x19a7ec[_0x5bf74e(0xd66)]['times']=isNaN(_0x1e036c[0x0])?_0x1e036c[0x0]:parseInt(_0x1e036c[0x0],0xa),_0x19a7ec[_0x5bf74e(0xd66)][_0x5bf74e(0x19d3)]=_0x1e036c[_0x5bf74e(0x14cb)](0x1,_0x1e036c[_0x5bf74e(0xfd0)])[_0x5bf74e(0x1f66)](',');}break;case'message':_0x19a7ec[_0x5bf74e(0xd66)]['text']=_0x19a7ec[_0x5bf74e(0xd66)][_0x5bf74e(0x28df)];break;case _0x5bf74e(0x19d1):_0x19a7ec[_0x5bf74e(0xd66)][_0x5bf74e(0x16b6)]=_0x19a7ec[_0x5bf74e(0xd66)][_0x5bf74e(0x28df)][_0x5bf74e(0xbe1)]('=')[0x0],_0x19a7ec['voicemail'][_0x5bf74e(0x327)]=_0x19a7ec['voicemail']['appdata']['split']('=')[0x1];break;case'agi':_0x19a7ec[_0x5bf74e(0xd66)][_0x5bf74e(0x12a7)]=_0x19a7ec[_0x5bf74e(0xd66)][_0x5bf74e(0x28df)];break;default:{const _0x516aef=_0x19a7ec[_0x5bf74e(0xd66)][_0x5bf74e(0x28df)]['split'](',');_0x19a7ec[_0x5bf74e(0xd66)]['voiceMail']=_0x39641b()['isEmpty'](_0x516aef[0x0])?_0x516aef[0x0]:isNaN(_0x516aef[0x0])?_0x516aef[0x0]:parseInt(_0x516aef[0x0],0xa),_0x19a7ec[_0x5bf74e(0xd66)][_0x5bf74e(0x2224)]=_0x39641b()[_0x5bf74e(0xce9)](_0x516aef[0x1])?_0x516aef[0x1]:isNaN(_0x516aef[0x1])?_0x516aef[0x1]:parseInt(_0x516aef[0x1],0xa);}break;}else{}_0x19a7ec[_0x5bf74e(0xd66)][_0x5bf74e(0x66a)]&&_0x19a7ec[_0x5bf74e(0xd66)][_0x5bf74e(0x66a)][_0x5bf74e(0x1680)]()===_0x5bf74e(0x895)&&_0x19a7ec[_0x5bf74e(0xd66)]['appType']['toLowerCase']()==='outbounddial'&&(_0x19a7ec[_0x5bf74e(0xd66)][_0x5bf74e(0x586)]=_0x19a7ec[_0x5bf74e(0xd66)][_0x5bf74e(0x1340)]?_0x19a7ec[_0x5bf74e(0xd66)][_0x5bf74e(0x1340)]['split']('$')[0x0]:undefined,_0x19a7ec[_0x5bf74e(0xd66)][_0x5bf74e(0x1e7c)]=_0x19a7ec['voicemail'][_0x5bf74e(0x2816)]?_0x5bf74e(0x25f9)+_0x19a7ec[_0x5bf74e(0xd66)]['callerID']:undefined);_0x19a7ec[_0x5bf74e(0x1654)]=_0x25246d,_0x19a7ec['closeDialog']=_0x1b5d68,_0x1767b4[_0x5bf74e(0x22b6)]('admin')?_0x4fc0ed[_0x5bf74e(0x18d4)][_0x5bf74e(0xbf7)]({'fields':_0x5bf74e(0x29af),'sort':_0x5bf74e(0x1b7a),'nolimit':_0x5bf74e(0x44d)})[_0x5bf74e(0x1d77)]['then'](function(_0x410df4){const _0x3b0351=_0x5bf74e;_0x19a7ec[_0x3b0351(0x1951)]=_0x410df4[_0x3b0351(0x2214)]||[];})[_0x5bf74e(0x1c4)](function(_0x318797){const _0xc82aeb=_0x5bf74e;_0xecf486[_0xc82aeb(0x218e)]({'title':_0x318797[_0xc82aeb(0x291)]?'API:'+_0x318797[_0xc82aeb(0x291)]+_0xc82aeb(0x1657)+_0x318797['statusText']:_0xc82aeb(0x1e3a),'msg':_0x318797['data']?JSON[_0xc82aeb(0x2701)](_0x318797[_0xc82aeb(0x25c)]):_0x318797[_0xc82aeb(0x147f)]()});}):_0x4fc0ed['voiceMail'][_0x5bf74e(0xbf7)]({'fields':_0x5bf74e(0x29af),'sort':_0x5bf74e(0x1b7a),'nolimit':_0x5bf74e(0x44d)})['$promise']['then'](function(_0x17b7f5){const _0x25cd72=_0x5bf74e;_0x19a7ec[_0x25cd72(0x1951)]=_0x17b7f5[_0x25cd72(0x2214)]||[];})['then'](function(){const _0x755423=_0x5bf74e;return _0x4fc0ed[_0x755423(0x2199)][_0x755423(0xbf7)]({'userProfileId':_0x19a7ec[_0x755423(0xe76)][_0x755423(0x13c1)],'sectionId':0x196})[_0x755423(0x1d77)];})['then'](function(_0xd771db){const _0x479814=_0x5bf74e,_0x1ee980=_0xd771db&&_0xd771db[_0x479814(0x2214)]?_0xd771db['rows'][0x0]:null;if(!_0x1ee980){const _0x4c86d9=[];let _0xe135a1=null;_0x19a7ec[_0x479814(0xd66)]&&(_0xe135a1=_0x39641b()[_0x479814(0x13b4)](_0x19a7ec[_0x479814(0x1951)],{'mailbox':_0x19a7ec[_0x479814(0xd66)][_0x479814(0x18d4)]}));for(let _0x96cb63=0x0;_0x96cb63<_0x19a7ec[_0x479814(0x1951)][_0x479814(0xfd0)];_0x96cb63++){_0xe135a1&&_0x19a7ec[_0x479814(0x1951)][_0x96cb63]['id']===_0xe135a1['id']&&(_0x19a7ec['voiceMails'][_0x96cb63]['canSelect']=![],_0x4c86d9[_0x479814(0x2785)](_0x19a7ec[_0x479814(0x1951)][_0x96cb63]));}_0x19a7ec[_0x479814(0x1951)]=_0x4c86d9;}else{if(!_0x1ee980['autoAssociation'])return _0x4fc0ed[_0x479814(0x1198)][_0x479814(0xbf7)]({'sectionId':_0x1ee980['id']})[_0x479814(0x1d77)]['then'](function(_0x109451){const _0x1746aa=_0x479814,_0x57f2ab=_0x39641b()[_0x1746aa(0x1de2)](_0x109451[_0x1746aa(0x2214)],function(_0x767c43){const _0x33861d=_0x1746aa;return _0x39641b()[_0x33861d(0x13b4)](_0x19a7ec[_0x33861d(0x1951)],{'id':_0x767c43['resourceId']});});let _0x4cfe35=null;_0x19a7ec[_0x1746aa(0xd66)]&&(_0x4cfe35=_0x39641b()['find'](_0x19a7ec[_0x1746aa(0x1951)],{'mailbox':_0x19a7ec[_0x1746aa(0xd66)][_0x1746aa(0x18d4)]}));if(_0x4cfe35&&!_0x39641b()['some'](_0x57f2ab,['id',_0x4cfe35['id']])){const _0x495b6c=_0x39641b()[_0x1746aa(0x13b4)](_0x19a7ec[_0x1746aa(0x1951)],{'id':_0x4cfe35['id']});_0x495b6c['canSelect']=![],_0x57f2ab['push'](_0x495b6c);}_0x19a7ec[_0x1746aa(0x1951)]=_0x57f2ab;});}})[_0x5bf74e(0x1c4)](function(_0x14fb42){const _0x5311ee=_0x5bf74e;_0xecf486[_0x5311ee(0x218e)]({'title':_0x14fb42[_0x5311ee(0x291)]?_0x5311ee(0xeb9)+_0x14fb42[_0x5311ee(0x291)]+_0x5311ee(0x1657)+_0x14fb42['statusText']:_0x5311ee(0x146f),'msg':_0x14fb42[_0x5311ee(0x25c)]?JSON['stringify'](_0x14fb42[_0x5311ee(0x25c)]):_0x14fb42['toString']()});});function _0x25246d(){const _0x6e8202=_0x5bf74e;_0x19a7ec[_0x6e8202(0x1a7c)]=[];const _0x26c1a2=[];_0x19a7ec['voicemail'][_0x6e8202(0x66a)]&&_0x19a7ec[_0x6e8202(0xd66)]['type'][_0x6e8202(0x1680)]()===_0x6e8202(0x895)&&_0x19a7ec['voicemail'][_0x6e8202(0x299b)]==='outboundDial'&&(_0x19a7ec[_0x6e8202(0xd66)][_0x6e8202(0x1340)]=_0x3f22d3[_0x6e8202(0x325)]?(_0x19a7ec['voicemail'][_0x6e8202(0x586)]||'')+'${EXTEN:'+_0x3f22d3[_0x6e8202(0x325)]+'}':(_0x19a7ec[_0x6e8202(0xd66)]['prefix']||'')+_0x6e8202(0xcdd),_0x3f22d3[_0x6e8202(0xf8d)]!==_0x6e8202(0x13b1)?_0x19a7ec['voicemail'][_0x6e8202(0x2224)]['indexOf']('U(xcally-mixmonitor-context)')<0x0&&(_0x19a7ec[_0x6e8202(0xd66)][_0x6e8202(0x2224)]+=_0x6e8202(0x106a)):_0x19a7ec[_0x6e8202(0xd66)][_0x6e8202(0x2224)]=_0x19a7ec['voicemail']['options'][_0x6e8202(0x288f)](_0x6e8202(0x106a),''));if(_0x19a7ec[_0x6e8202(0xd66)][_0x6e8202(0x299b)]&&_0x19a7ec[_0x6e8202(0xd66)][_0x6e8202(0x299b)]===_0x6e8202(0x197c)){}else switch((_0x19a7ec[_0x6e8202(0xd66)][_0x6e8202(0x22e1)]||_0x19a7ec[_0x6e8202(0xd66)][_0x6e8202(0x299b)])[_0x6e8202(0x1680)]()){case'set':_0x19a7ec['voicemail'][_0x6e8202(0x28df)]=_0x19a7ec['voicemail'][_0x6e8202(0x16b6)]+'='+_0x19a7ec['voicemail'][_0x6e8202(0x327)];break;case _0x6e8202(0x197c):break;default:_0x26c1a2[0x0]=_0x19a7ec[_0x6e8202(0xd66)][_0x6e8202(0x18d4)],_0x26c1a2[0x1]=_0x19a7ec[_0x6e8202(0xd66)][_0x6e8202(0x2224)],_0x19a7ec[_0x6e8202(0xd66)][_0x6e8202(0x28df)]=_0x26c1a2['join'](',');}_0x1b5d68(_0x19a7ec[_0x6e8202(0xd66)]);}function _0x1b5d68(_0x44ac51){_0x1e2e41['hide'](_0x44ac51);}}const _0x940b06=_0x166ef9;;_0x40cdf2[_0x5537c6(0x15b6)]=['$state',_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x1ae),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x142b),'toasty','Auth',_0x5537c6(0x80a),_0x5537c6(0x2199)];function _0x40cdf2(_0x231fa2,_0x43acc0,_0x6d738a,_0x44feaa,_0x9875ce,_0x55f6ed,_0x539efb,_0x2dc59a,_0x4e6cab,_0x184c1d,_0x511108,_0x3a8ecb){const _0x20ee90=_0x5537c6,_0x207b8b=this;_0x207b8b[_0x20ee90(0xe76)]=_0x184c1d[_0x20ee90(0x21e8)](),_0x207b8b[_0x20ee90(0x8a5)]=_0x55f6ed,_0x207b8b[_0x20ee90(0x9ca)]=_0x539efb,_0x207b8b[_0x20ee90(0x1b0c)]=_0x207b8b[_0x20ee90(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x207b8b['location']=_0x43acc0[_0x20ee90(0x2276)]()+_0x20ee90(0x138b)+_0x43acc0['host'](),_0x207b8b[_0x20ee90(0x80a)]=_0x511108||_0x231fa2[_0x20ee90(0x1dfe)][_0x20ee90(0x80a)]||{},_0x207b8b[_0x20ee90(0x2199)]=_0x3a8ecb&&_0x3a8ecb[_0x20ee90(0x184d)]==0x1?_0x3a8ecb[_0x20ee90(0x2214)][0x0]:null,_0x207b8b[_0x20ee90(0x1b1a)]=_0x184c1d[_0x20ee90(0x14ea)](_0x207b8b[_0x20ee90(0x2199)]?_0x207b8b[_0x20ee90(0x2199)]['crudPermissions']:null),_0x207b8b[_0x20ee90(0xf4c)]={},_0x207b8b[_0x20ee90(0x8ec)]=_0x231fa2['params']['tab']||0x0,_0x207b8b[_0x20ee90(0x494)]=_0x4e6cab['info'],_0x207b8b[_0x20ee90(0x66d)]=_0x130919,_0x207b8b[_0x20ee90(0x63a)]=_0x1001ce,_0x184c1d['hasRole'](_0x20ee90(0x1c60))?_0x2dc59a['voiceContext'][_0x20ee90(0xbf7)]({'fields':'id,name','sort':'name','nolimit':_0x20ee90(0x44d)})[_0x20ee90(0x1d77)][_0x20ee90(0x1cb0)](function(_0x3864a6){const _0x583736=_0x20ee90;_0x207b8b[_0x583736(0x14f6)]=_0x3864a6['rows']||[];})[_0x20ee90(0x1c4)](function(_0xed6c41){const _0x59dc5c=_0x20ee90;_0x4e6cab[_0x59dc5c(0x218e)]({'title':_0xed6c41[_0x59dc5c(0x291)]?_0x59dc5c(0xeb9)+_0xed6c41[_0x59dc5c(0x291)]+_0x59dc5c(0x1657)+_0xed6c41[_0x59dc5c(0xc22)]:_0x59dc5c(0x2072),'msg':_0xed6c41[_0x59dc5c(0x25c)]?JSON['stringify'](_0xed6c41[_0x59dc5c(0x25c)]):_0xed6c41[_0x59dc5c(0x147f)]()});}):_0x2dc59a[_0x20ee90(0x1da5)][_0x20ee90(0xbf7)]({'fields':_0x20ee90(0x43c),'sort':'name','nolimit':'true'})[_0x20ee90(0x1d77)]['then'](function(_0x56f28){const _0x3025fd=_0x20ee90;_0x207b8b[_0x3025fd(0x14f6)]=_0x56f28[_0x3025fd(0x2214)]||[];})[_0x20ee90(0x1cb0)](function(){const _0x419aac=_0x20ee90;return _0x2dc59a['userProfileSection']['get']({'userProfileId':_0x207b8b[_0x419aac(0xe76)][_0x419aac(0x13c1)],'sectionId':0x195})[_0x419aac(0x1d77)];})[_0x20ee90(0x1cb0)](function(_0xa5e7a2){const _0x150016=_0x20ee90,_0x5336cd=_0xa5e7a2&&_0xa5e7a2[_0x150016(0x2214)]?_0xa5e7a2['rows'][0x0]:null;if(!_0x5336cd)return _0x2dc59a[_0x150016(0x1da5)][_0x150016(0xbf7)]({'fields':'id,name','sort':_0x150016(0x16b6),'nolimit':_0x150016(0x44d),'defaultEntry':0x1})[_0x150016(0x1d77)][_0x150016(0x1cb0)](function(_0x27d340){const _0x227df0=_0x150016;_0x207b8b[_0x227df0(0x14f6)]=_0x27d340[_0x227df0(0x2214)]||[];});else{if(!_0x5336cd[_0x150016(0x12f4)])return _0x2dc59a[_0x150016(0x1198)]['get']({'sectionId':_0x5336cd['id']})[_0x150016(0x1d77)][_0x150016(0x1cb0)](function(_0x16ada9){const _0x3443da=_0x150016,_0x483521=_0x39641b()[_0x3443da(0x1de2)](_0x16ada9[_0x3443da(0x2214)],function(_0x5c901a){const _0x3184ab=_0x3443da;return _0x39641b()['find'](_0x207b8b[_0x3184ab(0x14f6)],{'id':_0x5c901a['resourceId']});});let _0x281c91=null;_0x207b8b[_0x3443da(0x80a)]&&(_0x281c91=_0x39641b()['find'](_0x207b8b[_0x3443da(0x14f6)],{'name':_0x207b8b[_0x3443da(0x80a)]['context']}));if(_0x281c91&&!_0x39641b()[_0x3443da(0x727)](_0x483521,['id',_0x281c91['id']])){const _0x5e359d=_0x39641b()[_0x3443da(0x13b4)](_0x207b8b['contexts'],{'id':_0x281c91['id']});_0x5e359d[_0x3443da(0x15da)]=![],_0x483521['push'](_0x5e359d);}_0x207b8b[_0x3443da(0x14f6)]=_0x483521;});}})['catch'](function(_0x466171){const _0x1f76b5=_0x20ee90;_0x4e6cab[_0x1f76b5(0x218e)]({'title':_0x466171[_0x1f76b5(0x291)]?'API:'+_0x466171[_0x1f76b5(0x291)]+'\x20-\x20'+_0x466171['statusText']:'SYSTEM:GETcontexts','msg':_0x466171[_0x1f76b5(0x25c)]?JSON[_0x1f76b5(0x2701)](_0x466171['data']):_0x466171[_0x1f76b5(0x147f)]()});});function _0x130919(){const _0x558b0f=_0x20ee90;_0x231fa2['go'](_0x558b0f(0x1802),{},{'reload':_0x558b0f(0x1802)});}function _0x1001ce(){const _0x2b71c7=_0x20ee90;_0x2dc59a[_0x2b71c7(0x1059)][_0x2b71c7(0x687)]({'id':_0x207b8b[_0x2b71c7(0x80a)]['id']},_0x207b8b['inboundroute'])[_0x2b71c7(0x1d77)][_0x2b71c7(0x1cb0)](function(){const _0x27536c=_0x2b71c7;_0x4e6cab['success']({'title':_0x27536c(0xb0e),'msg':_0x207b8b[_0x27536c(0x80a)][_0x27536c(0x16b6)]?_0x207b8b['inboundroute'][_0x27536c(0x16b6)]+_0x27536c(0x1068):''});})['catch'](function(_0x1e5be1){const _0x15287a=_0x2b71c7;_0x4e6cab['error']({'title':_0x1e5be1[_0x15287a(0x291)]?_0x15287a(0xeb9)+_0x1e5be1[_0x15287a(0x291)]+_0x15287a(0x1657)+_0x1e5be1[_0x15287a(0xc22)]:_0x15287a(0x783),'msg':_0x1e5be1[_0x15287a(0x25c)]?JSON[_0x15287a(0x2701)](_0x1e5be1[_0x15287a(0x25c)]):_0x1e5be1['toString']()});});}}const _0x534e34=_0x40cdf2;;const _0x5c1690=_0x5074a3['p']+'src/js/modules/main/apps/voice/views/inboundroutes/create/dialog.html/dialog.html';;_0x22e91f[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0x1310),_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x142b),_0x5537c6(0xde8),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting'];function _0x22e91f(_0x18ed82,_0x3ed2fc,_0x2eb008,_0x65b3f4,_0x46f960,_0x57b1ae,_0x5e754a,_0x92f480,_0x259075,_0xcd47f3,_0x46f15a,_0x5bfbbc,_0x18b78c,_0x4ee705,_0x356eab,_0x25a6d7,_0x2da4f1){const _0x4e48d1=_0x5537c6,_0x47e294=this;_0x47e294[_0x4e48d1(0x8a5)]=_0x25a6d7,_0x47e294[_0x4e48d1(0x9ca)]=_0x2da4f1,_0x47e294['currentUser']=_0x356eab[_0x4e48d1(0x21e8)](),_0x47e294[_0x4e48d1(0x1310)]=_0x259075||{'count':0x0,'rows':[]},_0x47e294[_0x4e48d1(0x44a)]=_0xcd47f3,_0x47e294[_0x4e48d1(0x2199)]=_0x46f15a&&_0x46f15a[_0x4e48d1(0x184d)]==0x1?_0x46f15a['rows'][0x0]:null,_0x47e294[_0x4e48d1(0x1b1a)]=_0x356eab[_0x4e48d1(0x14ea)](_0x47e294[_0x4e48d1(0x2199)]?_0x47e294[_0x4e48d1(0x2199)][_0x4e48d1(0x1b1a)]:null),_0x47e294[_0x4e48d1(0xc83)]='inboundroutes',_0x47e294[_0x4e48d1(0x1d20)]='',_0x47e294['listOrderAsc']=null,_0x47e294[_0x4e48d1(0x1b6b)]=[],_0x47e294['query']={'fields':_0x4e48d1(0x1aec),'type':_0x4e48d1(0x26c0),'sort':_0x4e48d1(0x282),'VoiceExtensionId':_0x4e48d1(0xd38),'limit':0xa,'page':0x1},_0x47e294[_0x4e48d1(0x235d)]=_0x18726b,_0x47e294[_0x4e48d1(0x27fe)]=_0x4a78fe,_0x47e294[_0x4e48d1(0x829)]=_0x21f78f,_0x47e294[_0x4e48d1(0x24ec)]=_0x55ed6a,_0x47e294[_0x4e48d1(0xe2c)]=_0x1555ed,_0x47e294[_0x4e48d1(0xeb4)]=_0x4ce499,_0x47e294['exportSelectedInboundRoutes']=_0x25d91d,_0x47e294[_0x4e48d1(0x210)]=_0x1472f2,_0x47e294['deselectInboundRoutes']=_0x33da8a,_0x47e294[_0x4e48d1(0x27bc)]=_0xa841a4,_0x356eab[_0x4e48d1(0x22b6)]('admin')?_0x5bfbbc['voiceContext'][_0x4e48d1(0xbf7)]({'fields':_0x4e48d1(0x43c),'sort':_0x4e48d1(0x16b6),'nolimit':_0x4e48d1(0x44d)})[_0x4e48d1(0x1d77)][_0x4e48d1(0x1cb0)](function(_0x1356d8){const _0x2040c0=_0x4e48d1;_0x47e294['contexts']=_0x1356d8[_0x2040c0(0x2214)]||[];})['catch'](function(_0x3182c9){const _0x1a19f4=_0x4e48d1;_0x4ee705[_0x1a19f4(0x218e)]({'title':_0x3182c9['status']?'API:'+_0x3182c9[_0x1a19f4(0x291)]+_0x1a19f4(0x1657)+_0x3182c9['statusText']:_0x1a19f4(0x2072),'msg':_0x3182c9[_0x1a19f4(0x25c)]?JSON[_0x1a19f4(0x2701)](_0x3182c9[_0x1a19f4(0x25c)]):_0x3182c9[_0x1a19f4(0x147f)]()});}):_0x5bfbbc[_0x4e48d1(0x1da5)][_0x4e48d1(0xbf7)]({'fields':_0x4e48d1(0x43c),'sort':'name','nolimit':_0x4e48d1(0x44d)})['$promise']['then'](function(_0x57025f){const _0x325296=_0x4e48d1;_0x47e294[_0x325296(0x14f6)]=_0x57025f[_0x325296(0x2214)]||[];})[_0x4e48d1(0x1cb0)](function(){const _0xe1b07=_0x4e48d1;return _0x5bfbbc['userProfileSection'][_0xe1b07(0xbf7)]({'userProfileId':_0x47e294[_0xe1b07(0xe76)]['userProfileId'],'sectionId':0x195})['$promise'];})[_0x4e48d1(0x1cb0)](function(_0x28ed2f){const _0x4227ef=_0x4e48d1,_0x4ea9c3=_0x28ed2f&&_0x28ed2f[_0x4227ef(0x2214)]?_0x28ed2f[_0x4227ef(0x2214)][0x0]:null;if(!_0x4ea9c3)return _0x5bfbbc['voiceContext'][_0x4227ef(0xbf7)]({'fields':_0x4227ef(0x43c),'sort':_0x4227ef(0x16b6),'nolimit':_0x4227ef(0x44d),'defaultEntry':0x1})[_0x4227ef(0x1d77)][_0x4227ef(0x1cb0)](function(_0x4487a9){const _0x4cfc4d=_0x4227ef;_0x47e294[_0x4cfc4d(0x14f6)]=_0x4487a9['rows']||[];});else{if(!_0x4ea9c3[_0x4227ef(0x12f4)])return _0x5bfbbc[_0x4227ef(0x1198)]['get']({'sectionId':_0x4ea9c3['id']})[_0x4227ef(0x1d77)][_0x4227ef(0x1cb0)](function(_0x21ac65){const _0x3bf533=_0x4227ef,_0x459eb6=_0x39641b()['map'](_0x21ac65[_0x3bf533(0x2214)],function(_0x44a611){const _0x5c8c09=_0x3bf533;return _0x39641b()[_0x5c8c09(0x13b4)](_0x47e294[_0x5c8c09(0x14f6)],{'id':_0x44a611['resourceId']});});let _0xe1ca43=null;_0x47e294[_0x3bf533(0x80a)]&&(_0xe1ca43=_0x39641b()[_0x3bf533(0x13b4)](_0x47e294[_0x3bf533(0x14f6)],{'name':_0x47e294['inboundroute'][_0x3bf533(0x2056)]}));if(_0xe1ca43&&!_0x39641b()[_0x3bf533(0x727)](_0x459eb6,['id',_0xe1ca43['id']])){const _0x2afe23=_0x39641b()[_0x3bf533(0x13b4)](_0x47e294[_0x3bf533(0x14f6)],{'id':_0xe1ca43['id']});_0x2afe23[_0x3bf533(0x15da)]=![],_0x459eb6[_0x3bf533(0x2785)](_0x2afe23);}_0x47e294[_0x3bf533(0x14f6)]=_0x459eb6;});}})[_0x4e48d1(0x1c4)](function(_0x51963c){const _0xff6e52=_0x4e48d1;_0x4ee705[_0xff6e52(0x218e)]({'title':_0x51963c['status']?_0xff6e52(0xeb9)+_0x51963c[_0xff6e52(0x291)]+'\x20-\x20'+_0x51963c[_0xff6e52(0xc22)]:_0xff6e52(0x5ac),'msg':_0x51963c['data']?JSON[_0xff6e52(0x2701)](_0x51963c['data']):_0x51963c[_0xff6e52(0x147f)]()});});function _0x18726b(_0x7031){const _0x330f8e=_0x4e48d1;_0x2eb008['go']('app.voice.inboundroutes.edit',{'id':_0x7031['id'],'inboundroute':_0x7031,'crudPermissions':_0x47e294[_0x330f8e(0x1b1a)]});}function _0x4a78fe(_0x1bc408,_0x36de08){const _0x38f554=_0x4e48d1,_0x1be0bb=_0x46f960[_0x38f554(0x1551)]()['title'](_0x38f554(0x140b)+_0x39641b()[_0x38f554(0xa75)](_0x38f554(0x80a))+'?')[_0x38f554(0x49e)](_0x38f554(0x204d)+(_0x1bc408[_0x38f554(0x16b6)]||_0x38f554(0x80a))+_0x38f554(0x1200)+'\x20will\x20be\x20deleted.')[_0x38f554(0x15ad)](_0x38f554(0x29cf))[_0x38f554(0x728)](_0x36de08)['ok']('OK')['cancel']('CANCEL');_0x46f960[_0x38f554(0xe27)](_0x1be0bb)[_0x38f554(0x1cb0)](function(){_0x4ce499(_0x1bc408);},function(){const _0x4bc129=_0x38f554;console[_0x4bc129(0x1b4f)](_0x4bc129(0x24ba));});}let _0x25548b=!![],_0x1f51af=0x1;_0x18ed82[_0x4e48d1(0x614)](_0x4e48d1(0x957),function(_0x3935e0,_0x2c31ae){const _0x382506=_0x4e48d1;_0x25548b?_0x5e754a(function(){_0x25548b=![];}):(!_0x2c31ae&&(_0x1f51af=_0x47e294['query']['page']),_0x3935e0!==_0x2c31ae&&(_0x47e294[_0x382506(0xae2)]['page']=0x1),!_0x3935e0&&(_0x47e294[_0x382506(0xae2)][_0x382506(0x1c7b)]=_0x1f51af),_0x47e294[_0x382506(0x24ec)]());});function _0x21f78f(_0x48985f){const _0x7264d3=_0x4e48d1;_0x47e294[_0x7264d3(0x1310)]=_0x48985f||{'count':0x0,'rows':[]};}function _0x55ed6a(){const _0x5009c6=_0x4e48d1;_0x47e294[_0x5009c6(0xae2)][_0x5009c6(0x184b)]=(_0x47e294[_0x5009c6(0xae2)][_0x5009c6(0x1c7b)]-0x1)*_0x47e294[_0x5009c6(0xae2)][_0x5009c6(0x236)],_0x356eab[_0x5009c6(0x22b6)](_0x5009c6(0x1c60))?_0x47e294[_0x5009c6(0x2061)]=_0x5bfbbc[_0x5009c6(0x1059)][_0x5009c6(0xbf7)](_0x47e294['query'],_0x21f78f)['$promise']:(_0x47e294['query']['id']=_0x47e294[_0x5009c6(0x44a)]['id'],_0x47e294[_0x5009c6(0xae2)][_0x5009c6(0x1f74)]=_0x5009c6(0x23e2),_0x47e294[_0x5009c6(0x2061)]=_0x5bfbbc[_0x5009c6(0x44a)]['getResources'](_0x47e294[_0x5009c6(0xae2)],_0x21f78f)[_0x5009c6(0x1d77)]);}function _0x1555ed(_0x299d9c,_0x33a981){const _0x2012fc=_0x4e48d1;_0x46f960[_0x2012fc(0xe27)]({'controller':'CreateOrEditInboundRouteDialogController','controllerAs':'vm','templateUrl':_0x5c1690,'parent':angular['element'](_0x57b1ae['body']),'targetEvent':_0x299d9c,'clickOutsideToClose':!![],'locals':{'inboundroute':_0x33a981,'inboundroutes':_0x47e294[_0x2012fc(0x1310)][_0x2012fc(0x2214)],'license':_0x47e294[_0x2012fc(0x8a5)],'setting':_0x47e294['setting'],'crudPermissions':_0x47e294[_0x2012fc(0x1b1a)]}});}function _0x4ce499(_0xbfa6d8){const _0x282ef8=_0x4e48d1;_0x5bfbbc['voiceExtension'][_0x282ef8(0x111d)]({'id':_0xbfa6d8['id']})[_0x282ef8(0x1d77)][_0x282ef8(0x1cb0)](function(){const _0x35939a=_0x282ef8;_0x39641b()[_0x35939a(0x152a)](_0x47e294['inboundroutes'][_0x35939a(0x2214)],{'id':_0xbfa6d8['id']}),_0x47e294[_0x35939a(0x1310)]['count']-=0x1,!_0x47e294['inboundroutes'][_0x35939a(0x2214)]['length']&&_0x47e294['getInboundRoutes'](),_0x4ee705[_0x35939a(0x829)]({'title':_0x39641b()['startCase']('InboundRoute')+'\x20deleted!','msg':_0xbfa6d8[_0x35939a(0x16b6)]?_0xbfa6d8['name']+_0x35939a(0x3f5):''});})[_0x282ef8(0x1c4)](function(_0x26b784){const _0x38843a=_0x282ef8;if(_0x26b784[_0x38843a(0x25c)]&&_0x26b784[_0x38843a(0x25c)][_0x38843a(0x1a7c)]&&_0x26b784[_0x38843a(0x25c)][_0x38843a(0x1a7c)][_0x38843a(0xfd0)]){_0x47e294[_0x38843a(0x1a7c)]=_0x26b784['data'][_0x38843a(0x1a7c)]||[{'message':_0x26b784[_0x38843a(0x147f)](),'type':_0x38843a(0x17dd)}];for(let _0x13e34e=0x0;_0x13e34e<_0x26b784['data']['errors'][_0x38843a(0xfd0)];_0x13e34e++){_0x4ee705['error']({'title':_0x26b784[_0x38843a(0x25c)]['errors'][_0x13e34e][_0x38843a(0x66a)],'msg':_0x26b784[_0x38843a(0x25c)][_0x38843a(0x1a7c)][_0x13e34e][_0x38843a(0x155e)]});}}else _0x4ee705[_0x38843a(0x218e)]({'title':_0x26b784['status']?_0x38843a(0xeb9)+_0x26b784[_0x38843a(0x291)]+_0x38843a(0x1657)+_0x26b784[_0x38843a(0xc22)]:_0x38843a(0x17dd),'msg':_0x26b784[_0x38843a(0x25c)]?JSON[_0x38843a(0x2701)](_0x26b784[_0x38843a(0x25c)][_0x38843a(0x155e)]):_0x26b784['message']||_0x26b784['toString']()});});}function _0x25d91d(){const _0x4cfdaa=_0x4e48d1,_0x29cbd5=angular[_0x4cfdaa(0x17fe)](_0x47e294['selectedInboundRoutes']);return _0x47e294[_0x4cfdaa(0x1b6b)]=[],_0x29cbd5;}function _0x1472f2(_0x3c4ebc){const _0x32c29b=_0x4e48d1,_0x19ea0d=_0x46f960[_0x32c29b(0x1551)]()[_0x32c29b(0x1386)](_0x32c29b(0x4fc))['htmlContent'](_0x32c29b(0x204d)+_0x47e294[_0x32c29b(0x1b6b)][_0x32c29b(0xfd0)]+_0x32c29b(0x1d6c)+_0x32c29b(0x1b6))[_0x32c29b(0x15ad)](_0x32c29b(0x1ad))[_0x32c29b(0x728)](_0x3c4ebc)['ok']('OK')[_0x32c29b(0x696)](_0x32c29b(0x24ba));_0x46f960['show'](_0x19ea0d)['then'](function(){const _0x1468f9=_0x32c29b;_0x47e294['selectedInboundRoutes'][_0x1468f9(0xf90)](function(_0x446d31){_0x4ce499(_0x446d31);}),_0x47e294[_0x1468f9(0x1b6b)]=[];});}function _0x33da8a(){const _0x11c797=_0x4e48d1;_0x47e294[_0x11c797(0x1b6b)]=[];}function _0xa841a4(){const _0x2d01ff=_0x4e48d1;_0x47e294['selectedInboundRoutes']=_0x47e294[_0x2d01ff(0x1310)][_0x2d01ff(0x2214)];}}const _0x4ee0f2=_0x22e91f;;_0x4801bd[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),'internalroutes',_0x5537c6(0x3af),'api',_0x5537c6(0x1774),'license',_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x4801bd(_0x1f465f,_0x18d5f9,_0x23b73d,_0x535786,_0x4309b2,_0x339d34,_0x391863,_0x4b2038,_0x46c0a4,_0x58d472,_0x202467,_0x4574c6,_0x123a1f,_0x424e37){const _0x466bf4=_0x5537c6,_0x3867be=this;_0x3867be[_0x466bf4(0xe76)]=_0x202467[_0x466bf4(0x21e8)](),_0x3867be[_0x466bf4(0x1a7c)]=[],_0x3867be['setting']=_0x123a1f,_0x3867be[_0x466bf4(0x8a5)]=_0x4574c6,_0x3867be['crudPermissions']=_0x424e37,_0x3867be['hasModulePermissions']={},_0x3867be[_0x466bf4(0x1b0c)]=_0x3867be[_0x466bf4(0x9ca)]&&_0x3867be['setting'][_0x466bf4(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x3867be[_0x466bf4(0x1386)]='VOICE.EDIT_INTERNALROUTE',_0x3867be[_0x466bf4(0x3af)]=angular['copy'](_0x46c0a4),_0x3867be[_0x466bf4(0x24e3)]=_0x4b2038,_0x3867be['newInternalRoute']=![];!_0x3867be[_0x466bf4(0x3af)]&&(_0x3867be[_0x466bf4(0x3af)]={'appdata':_0x466bf4(0x1f69),'type':'internal','context':_0x466bf4(0x156f)},_0x3867be['title']=_0x466bf4(0x239b),_0x3867be[_0x466bf4(0x18af)]=!![]);_0x3867be[_0x466bf4(0x7a4)]=_0x23f54c,_0x3867be[_0x466bf4(0x230e)]=_0x51c250,_0x3867be[_0x466bf4(0x74c)]=_0x4333e3,_0x3867be['getDateFromString']=_0x37eb80,_0x3867be['closeDialog']=_0x51134c,_0x202467[_0x466bf4(0x22b6)](_0x466bf4(0x1c60))?_0x58d472[_0x466bf4(0x1da5)][_0x466bf4(0xbf7)]({'fields':_0x466bf4(0x43c),'sort':'name','nolimit':'true'})['$promise']['then'](function(_0x3aa75f){const _0x101f93=_0x466bf4;_0x3867be[_0x101f93(0x14f6)]=_0x3aa75f[_0x101f93(0x2214)]||[];})[_0x466bf4(0x1c4)](function(_0x4bac38){const _0x242b86=_0x466bf4;_0x391863[_0x242b86(0x218e)]({'title':_0x4bac38['status']?_0x242b86(0xeb9)+_0x4bac38[_0x242b86(0x291)]+_0x242b86(0x1657)+_0x4bac38[_0x242b86(0xc22)]:_0x242b86(0x2072),'msg':_0x4bac38[_0x242b86(0x25c)]?JSON['stringify'](_0x4bac38[_0x242b86(0x25c)]):_0x4bac38[_0x242b86(0x147f)]()});}):_0x58d472[_0x466bf4(0x1da5)][_0x466bf4(0xbf7)]({'fields':'id,name','sort':_0x466bf4(0x16b6),'nolimit':'true'})[_0x466bf4(0x1d77)]['then'](function(_0x28be1b){const _0x1ce754=_0x466bf4;_0x3867be[_0x1ce754(0x14f6)]=_0x28be1b[_0x1ce754(0x2214)]||[];})[_0x466bf4(0x1cb0)](function(){const _0x412881=_0x466bf4;return _0x58d472['userProfileSection']['get']({'userProfileId':_0x3867be['currentUser'][_0x412881(0x13c1)],'sectionId':0x195})[_0x412881(0x1d77)];})[_0x466bf4(0x1cb0)](function(_0x135662){const _0x372358=_0x466bf4,_0x43b39c=_0x135662&&_0x135662[_0x372358(0x2214)]?_0x135662[_0x372358(0x2214)][0x0]:null;if(!_0x43b39c)return _0x58d472[_0x372358(0x1da5)][_0x372358(0xbf7)]({'fields':_0x372358(0x43c),'sort':'name','nolimit':_0x372358(0x44d),'defaultEntry':0x1})[_0x372358(0x1d77)][_0x372358(0x1cb0)](function(_0x29f68a){const _0x36974a=_0x372358;_0x3867be[_0x36974a(0x14f6)]=_0x29f68a[_0x36974a(0x2214)]||[];});else{if(!_0x43b39c[_0x372358(0x12f4)])return _0x58d472[_0x372358(0x1198)]['get']({'sectionId':_0x43b39c['id']})[_0x372358(0x1d77)][_0x372358(0x1cb0)](function(_0x11590e){const _0x191363=_0x372358,_0xbc76c4=_0x39641b()[_0x191363(0x1de2)](_0x11590e['rows'],function(_0x26e257){const _0xf5a526=_0x191363;return _0x39641b()[_0xf5a526(0x13b4)](_0x3867be[_0xf5a526(0x14f6)],{'id':_0x26e257[_0xf5a526(0x2982)]});});let _0x5e97cf=null;_0x3867be[_0x191363(0x3af)]&&(_0x5e97cf=_0x39641b()[_0x191363(0x13b4)](_0x3867be[_0x191363(0x14f6)],{'name':_0x3867be[_0x191363(0x3af)][_0x191363(0x2056)]}));if(_0x5e97cf&&!_0x39641b()[_0x191363(0x727)](_0xbc76c4,['id',_0x5e97cf['id']])){const _0xafe5fb=_0x39641b()[_0x191363(0x13b4)](_0x3867be[_0x191363(0x14f6)],{'id':_0x5e97cf['id']});_0xafe5fb[_0x191363(0x15da)]=![],_0xbc76c4[_0x191363(0x2785)](_0xafe5fb);}_0x3867be[_0x191363(0x14f6)]=_0xbc76c4;});}})[_0x466bf4(0x1c4)](function(_0x55e36a){const _0x5b546b=_0x466bf4;_0x391863[_0x5b546b(0x218e)]({'title':_0x55e36a['status']?_0x5b546b(0xeb9)+_0x55e36a[_0x5b546b(0x291)]+_0x5b546b(0x1657)+_0x55e36a['statusText']:_0x5b546b(0x5ac),'msg':_0x55e36a[_0x5b546b(0x25c)]?JSON['stringify'](_0x55e36a['data']):_0x55e36a['toString']()});});function _0x23f54c(){const _0x6bd2cd=_0x466bf4;_0x3867be[_0x6bd2cd(0x1a7c)]=[],_0x58d472['voiceExtension'][_0x6bd2cd(0x1c3f)](_0x3867be[_0x6bd2cd(0x3af)])['$promise'][_0x6bd2cd(0x1cb0)](function(_0x406721){const _0x47e35f=_0x6bd2cd;_0x3867be[_0x47e35f(0x24e3)][_0x47e35f(0xf63)](_0x406721[_0x47e35f(0x19b2)]()),_0x391863[_0x47e35f(0x829)]({'title':_0x47e35f(0x23f5),'msg':_0x3867be[_0x47e35f(0x3af)][_0x47e35f(0x16b6)]?_0x3867be[_0x47e35f(0x3af)][_0x47e35f(0x16b6)]+_0x47e35f(0x470):''}),_0x51134c(_0x406721);})['catch'](function(_0x750e4e){const _0x7cde6c=_0x6bd2cd;if(_0x750e4e['data']&&_0x750e4e['data'][_0x7cde6c(0x1a7c)]&&_0x750e4e[_0x7cde6c(0x25c)][_0x7cde6c(0x1a7c)]['length']){_0x3867be['errors']=_0x750e4e[_0x7cde6c(0x25c)][_0x7cde6c(0x1a7c)]||[{'message':_0x750e4e[_0x7cde6c(0x147f)](),'type':'api.voiceExtension.save'}];for(let _0x5a3876=0x0;_0x5a3876<_0x750e4e[_0x7cde6c(0x25c)][_0x7cde6c(0x1a7c)][_0x7cde6c(0xfd0)];_0x5a3876+=0x1){_0x391863[_0x7cde6c(0x218e)]({'title':_0x750e4e[_0x7cde6c(0x25c)]['errors'][_0x5a3876][_0x7cde6c(0x66a)],'msg':_0x750e4e[_0x7cde6c(0x25c)][_0x7cde6c(0x1a7c)][_0x5a3876][_0x7cde6c(0x155e)]});}}else _0x391863[_0x7cde6c(0x218e)]({'title':_0x750e4e[_0x7cde6c(0x291)]?_0x7cde6c(0xeb9)+_0x750e4e['status']+_0x7cde6c(0x1657)+_0x750e4e['statusText']:'api.voiceExtension.save','msg':_0x750e4e['data']?JSON[_0x7cde6c(0x2701)](_0x750e4e[_0x7cde6c(0x25c)]['message']):_0x750e4e[_0x7cde6c(0x147f)]()});});}function _0x51c250(){const _0x1c426f=_0x466bf4;_0x3867be[_0x1c426f(0x1a7c)]=[],_0x58d472['voiceExtension'][_0x1c426f(0x687)]({'id':_0x3867be[_0x1c426f(0x3af)]['id']},_0x3867be[_0x1c426f(0x3af)])[_0x1c426f(0x1d77)][_0x1c426f(0x1cb0)](function(_0x19c494){const _0x56979b=_0x1c426f,_0x5fa046=_0x39641b()['find'](_0x3867be[_0x56979b(0x24e3)],{'id':_0x19c494['id']});_0x5fa046&&_0x39641b()[_0x56979b(0x9c1)](_0x5fa046,_0x39641b()[_0x56979b(0x169b)](_0x19c494[_0x56979b(0x19b2)](),_0x39641b()[_0x56979b(0x1be5)](_0x5fa046))),_0x391863[_0x56979b(0x829)]({'title':_0x56979b(0x1bd3),'msg':_0x3867be[_0x56979b(0x3af)][_0x56979b(0x16b6)]?_0x3867be[_0x56979b(0x3af)][_0x56979b(0x16b6)]+_0x56979b(0xedb):''}),_0x51134c(_0x19c494);})['catch'](function(_0x10dc28){const _0x318da7=_0x1c426f;if(_0x10dc28[_0x318da7(0x25c)]&&_0x10dc28[_0x318da7(0x25c)][_0x318da7(0x1a7c)]&&_0x10dc28[_0x318da7(0x25c)][_0x318da7(0x1a7c)][_0x318da7(0xfd0)]){_0x3867be[_0x318da7(0x1a7c)]=_0x10dc28[_0x318da7(0x25c)]['errors']||[{'message':_0x10dc28[_0x318da7(0x147f)](),'type':'api.voiceExtension.update'}];for(let _0x4c5681=0x0;_0x4c5681<_0x10dc28[_0x318da7(0x25c)][_0x318da7(0x1a7c)][_0x318da7(0xfd0)];_0x4c5681++){_0x391863['error']({'title':_0x10dc28['data'][_0x318da7(0x1a7c)][_0x4c5681][_0x318da7(0x66a)],'msg':_0x10dc28[_0x318da7(0x25c)][_0x318da7(0x1a7c)][_0x4c5681][_0x318da7(0x155e)]});}}else _0x391863[_0x318da7(0x218e)]({'title':_0x10dc28[_0x318da7(0x291)]?'API:'+_0x10dc28['status']+'\x20-\x20'+_0x10dc28[_0x318da7(0xc22)]:_0x318da7(0x237b),'msg':_0x10dc28[_0x318da7(0x25c)]?JSON[_0x318da7(0x2701)](_0x10dc28['data'][_0x318da7(0x155e)]):_0x10dc28[_0x318da7(0x147f)]()});});}function _0x4333e3(_0x21e7db){const _0x4bbaa7=_0x466bf4;_0x3867be[_0x4bbaa7(0x1a7c)]=[];const _0x4af31d=_0x535786[_0x4bbaa7(0x1551)]()['title'](_0x4bbaa7(0x1a2e))[_0x4bbaa7(0x862)]('The\x20internalroute\x20will\x20be\x20deleted.')[_0x4bbaa7(0x15ad)](_0x4bbaa7(0x1717))['ok']('Delete')[_0x4bbaa7(0x696)]('Cancel')[_0x4bbaa7(0x728)](_0x21e7db);_0x535786[_0x4bbaa7(0xe27)](_0x4af31d)[_0x4bbaa7(0x1cb0)](function(){const _0x434f47=_0x4bbaa7;_0x58d472[_0x434f47(0x1059)][_0x434f47(0x111d)]({'id':_0x3867be[_0x434f47(0x3af)]['id']})[_0x434f47(0x1d77)][_0x434f47(0x1cb0)](function(){const _0x2b2f59=_0x434f47;_0x39641b()[_0x2b2f59(0x152a)](_0x3867be['internalroutes'],{'id':_0x3867be['internalroute']['id']}),_0x391863[_0x2b2f59(0x829)]({'title':_0x2b2f59(0xa22),'msg':(_0x3867be[_0x2b2f59(0x3af)][_0x2b2f59(0x16b6)]||_0x2b2f59(0x3af))+_0x2b2f59(0x3f5)}),_0x51134c(_0x3867be['internalroute']);})[_0x434f47(0x1c4)](function(_0x1a64e3){const _0x26e0e9=_0x434f47;if(_0x1a64e3['data']&&_0x1a64e3[_0x26e0e9(0x25c)][_0x26e0e9(0x1a7c)]&&_0x1a64e3[_0x26e0e9(0x25c)][_0x26e0e9(0x1a7c)][_0x26e0e9(0xfd0)]){_0x3867be['errors']=_0x1a64e3[_0x26e0e9(0x25c)][_0x26e0e9(0x1a7c)]||[{'message':_0x1a64e3[_0x26e0e9(0x147f)](),'type':_0x26e0e9(0xb49)}];for(let _0x573880=0x0;_0x573880<_0x1a64e3[_0x26e0e9(0x25c)][_0x26e0e9(0x1a7c)][_0x26e0e9(0xfd0)];_0x573880++){_0x391863[_0x26e0e9(0x218e)]({'title':_0x1a64e3[_0x26e0e9(0x25c)][_0x26e0e9(0x1a7c)][_0x573880][_0x26e0e9(0x66a)],'msg':_0x1a64e3[_0x26e0e9(0x25c)][_0x26e0e9(0x1a7c)][_0x573880]['message']});}}else _0x391863[_0x26e0e9(0x218e)]({'title':_0x1a64e3[_0x26e0e9(0x291)]?_0x26e0e9(0xeb9)+_0x1a64e3['status']+_0x26e0e9(0x1657)+_0x1a64e3[_0x26e0e9(0xc22)]:_0x26e0e9(0xb49),'msg':_0x1a64e3[_0x26e0e9(0x25c)]?JSON[_0x26e0e9(0x2701)](_0x1a64e3[_0x26e0e9(0x25c)][_0x26e0e9(0x155e)]):_0x1a64e3[_0x26e0e9(0x155e)]||_0x1a64e3[_0x26e0e9(0x147f)]()});});},function(){});}function _0x37eb80(_0x1cbaf3){return _0x1cbaf3===null?undefined:new Date(_0x1cbaf3);}function _0x51134c(_0x52bb55){const _0x1a7392=_0x466bf4;_0x535786[_0x1a7392(0x1426)](_0x52bb55);}}const _0x289d0e=_0x4801bd;;const _0x19f142=_0x5074a3['p']+'src/js/modules/main/apps/voice/views/internalroutes/edit/apps/agi/dialog.html/dialog.html';;const _0x285f4d=_0x5074a3['p']+_0x5537c6(0x1d6f);;const _0x21a8ee=_0x5074a3['p']+_0x5537c6(0x11dc);;const _0x25b128=_0x5074a3['p']+_0x5537c6(0x1fd3);;const _0x214b55=_0x5074a3['p']+_0x5537c6(0x796);;const _0x54cfc0=_0x5074a3['p']+_0x5537c6(0x28f3);;const _0x20508c=_0x5074a3['p']+_0x5537c6(0x1af8);;const _0x344c76=_0x5074a3['p']+_0x5537c6(0xb61);;const _0x33235d=_0x5074a3['p']+_0x5537c6(0x2b3);;const _0x2487ba=_0x5074a3['p']+_0x5537c6(0xb54);;const _0xf2ee5d=_0x5074a3['p']+_0x5537c6(0x23fb);;const _0x18ce83=_0x5074a3['p']+_0x5537c6(0x243);;const _0x4e65e6=_0x5074a3['p']+'src/js/modules/main/apps/voice/views/internalroutes/edit/apps/voicemail/dialog.html/dialog.html';;_0x2be751['$inject']=[_0x5537c6(0x142b),_0x5537c6(0xcb9),'$document',_0x5537c6(0x9bf),_0x5537c6(0x1774)];const _0x3495d0={'agi':_0x19f142,'custom':_0x285f4d,'dial':_0x21a8ee,'externaldial':_0x25b128,'goto':_0x214b55,'hangup':_0x54cfc0,'internaldial':_0x20508c,'interval':_0x344c76,'playback':_0x33235d,'queue':_0x2487ba,'ringgroup':_0xf2ee5d,'set':_0x18ce83,'voicemail':_0x4e65e6};function _0x2be751(_0x3af431,_0x3dbe88,_0xca427a,_0x29c551,_0x2750b4){const _0x54b582=_0x5537c6,_0x405c5e=this;_0x405c5e[_0x54b582(0xe76)]=_0x2750b4['getCurrentUser'](),_0x405c5e[_0x54b582(0x3af)]={},_0x405c5e['internalrouteApps']={'count':0x0,'rows':[]},_0x405c5e[_0x54b582(0x60c)]=[],_0x405c5e[_0x54b582(0x1b1a)],_0x405c5e['query']={'sort':_0x54b582(0x521)},_0x405c5e[_0x54b582(0x196f)]=_0x39641b()['reject'](_0x39641b()[_0x54b582(0x1d33)]([{'app':_0x54b582(0x1d81),'appType':_0x54b582(0x1ac8),'type':_0x54b582(0xdab),'types':[_0x54b582(0x2017),_0x54b582(0x197c),_0x54b582(0x240)],'fields':[],'isApp':![]},{'app':_0x54b582(0x2687),'appType':_0x54b582(0x11cf),'context':_0x54b582(0x156f),'type':_0x54b582(0xdab),'options':'xX','appdata':',xX,,,300,,,,,','icon':_0x54b582(0x33e),'interval':_0x54b582(0x965),'answer':!![],'isApp':!![],'extraApi':[{'name':_0x54b582(0xe28),'field':{'name':_0x54b582(0x1e9),'key':_0x54b582(0x144c),'prefix':_0x54b582(0xd74)},'route':_0x54b582(0x1e9),'filters':{'fields':_0x54b582(0x4ae),'sort':_0x54b582(0x16b6),'nolimit':!![]},'permissions':{'section':0x3ef}},{'name':_0x54b582(0x971),'field':{'name':_0x54b582(0x11cf),'key':_0x54b582(0x16b6)},'route':_0x54b582(0x26b3),'filters':{'type':_0x54b582(0x26c0),'fields':_0x54b582(0x1896),'sort':_0x54b582(0x16b6),'nolimit':!![]},'permissions':{'section':0x191}}],'fields':[{'title':_0x54b582(0x2687),'name':_0x54b582(0x11cf),'type':_0x54b582(0xb52),'values':'queues','value':_0x54b582(0x19b3),'option':'queue.name','required':!![],'param':0x0},{'title':_0x54b582(0x17e0),'name':_0x54b582(0x2224),'type':_0x54b582(0x19d3),'param':0x1},{'title':'Url','name':_0x54b582(0x237e),'type':_0x54b582(0x19d3),'param':0x2},{'title':_0x54b582(0x2592),'name':'sound','type':_0x54b582(0xb52),'values':_0x54b582(0xe28),'value':_0x54b582(0x2462),'option':_0x54b582(0x27db),'defaultValues':[{'value':'\x27\x27','option':_0x54b582(0x2001)}],'param':0x3},{'title':_0x54b582(0xbcc),'name':_0x54b582(0x1719),'type':_0x54b582(0x83d),'min':0x0,'param':0x4},{'title':'Agi','name':_0x54b582(0x4b4),'type':'text','param':0x5},{'title':_0x54b582(0xa15),'name':_0x54b582(0x321),'type':_0x54b582(0x19d3),'param':0x6},{'title':_0x54b582(0x21f0),'name':_0x54b582(0x20fb),'type':_0x54b582(0x19d3),'param':0x7},{'title':'Rule','name':_0x54b582(0x5a5),'type':'text','param':0x8},{'title':_0x54b582(0x121b),'name':_0x54b582(0xe9e),'type':'number','min':0x0,'param':0x9},{'title':_0x54b582(0x265d),'name':'answer','type':_0x54b582(0x1f0e),'general':!![]}]},{'app':'Playback','appType':'playback','context':_0x54b582(0x156f),'type':'Internal','icon':'icon-apps','interval':_0x54b582(0x965),'answer':!![],'isApp':!![],'extraApi':[{'name':'sounds','field':{'name':_0x54b582(0x28df),'key':_0x54b582(0x144c),'prefix':_0x54b582(0xd74)},'route':_0x54b582(0x1e9),'filters':{'fields':_0x54b582(0x4ae),'sort':_0x54b582(0x16b6),'nolimit':!![]},'permissions':{'section':0x3ef}}],'fields':[{'title':'Audio_Files','name':_0x54b582(0x28df),'type':'apiselect','values':_0x54b582(0xe28),'value':_0x54b582(0xc08),'option':_0x54b582(0x2700),'required':!![],'param':0x0},{'title':_0x54b582(0x17e0),'name':_0x54b582(0x2224),'type':_0x54b582(0x19d3),'param':0x1},{'title':_0x54b582(0x265d),'name':_0x54b582(0x24d5),'type':_0x54b582(0x1f0e),'general':!![]}]},{'app':_0x54b582(0x18f8),'appType':_0x54b582(0xdd8),'context':'from-sip','type':_0x54b582(0xdab),'icon':_0x54b582(0x33e),'options':'xX','interval':_0x54b582(0x965),'isApp':!![],'fields':[{'title':_0x54b582(0x76b),'name':_0x54b582(0x23f2),'type':_0x54b582(0x19d3),'required':!![],'param':0x0},{'title':_0x54b582(0xbcc),'name':_0x54b582(0x1719),'type':_0x54b582(0x83d),'min':0x0,'param':0x1},{'title':_0x54b582(0x17e0),'name':_0x54b582(0x2224),'type':'text','param':0x2},{'title':_0x54b582(0x237c),'name':_0x54b582(0x135b),'type':_0x54b582(0x19d3),'param':0x3}]},{'app':_0x54b582(0x18f8),'appType':_0x54b582(0xbed),'context':_0x54b582(0x156f),'type':_0x54b582(0xdab),'icon':_0x54b582(0x33e),'options':'xX','interval':'*,*,*,*','isApp':!![],'extraApi':[{'name':'users','field':{'name':_0x54b582(0xebe),'key':_0x54b582(0x16b6),'prefix':_0x54b582(0xadb)},'route':_0x54b582(0xebe),'filters':{'fields':_0x54b582(0x17ce),'sort':_0x54b582(0x16b6),'nolimit':!![]},'permissions':{'sections':[{'id':0xca,'role':_0x54b582(0x1eff)},{'id':0xcb,'role':'telephone'}]}}],'fields':[{'title':'User','name':_0x54b582(0xebe),'type':_0x54b582(0xb52),'values':_0x54b582(0x1e30),'value':_0x54b582(0x15f),'option':_0x54b582(0x2039),'required':!![],'param':0x0},{'title':_0x54b582(0xbcc),'name':'timeout','type':_0x54b582(0x83d),'min':0x0,'param':0x1},{'title':_0x54b582(0x17e0),'name':_0x54b582(0x2224),'type':_0x54b582(0x19d3),'param':0x2},{'title':'Url','name':_0x54b582(0x135b),'type':_0x54b582(0x19d3),'param':0x3}]},{'app':_0x54b582(0x18f8),'appType':_0x54b582(0x1653),'context':_0x54b582(0x156f),'type':'Internal','icon':_0x54b582(0x33e),'interval':_0x54b582(0x965),'options':'xX','isApp':!![],'extraApi':[{'name':_0x54b582(0x1363),'field':{'name':'trunk','key':_0x54b582(0x16b6)},'route':_0x54b582(0x1ae0),'filters':{'fields':_0x54b582(0x43c),'sort':'name','nolimit':!![]},'permissions':{'section':0x3f3}}],'fields':[{'title':'Trunk','name':_0x54b582(0x1ae0),'type':'apiselect','values':_0x54b582(0x1363),'value':_0x54b582(0xcb0),'option':'trunk.name','required':!![],'param':0x0},{'title':_0x54b582(0x722),'name':_0x54b582(0x1340),'type':_0x54b582(0x19d3)},{'title':'Timeout','name':_0x54b582(0x1719),'type':'number','min':0x0,'param':0x1},{'title':_0x54b582(0x17e0),'name':'options','type':'text','param':0x2},{'title':_0x54b582(0x237c),'name':'url','type':'text','param':0x3}]},{'app':'Dial','appType':_0x54b582(0x1054),'context':_0x54b582(0x156f),'type':_0x54b582(0xdab),'icon':_0x54b582(0x33e),'interval':_0x54b582(0x965),'options':'xX','isApp':!![],'extraApi':[{'name':_0x54b582(0x1e30),'field':{'name':_0x54b582(0x1989),'key':_0x54b582(0x16b6),'prefix':_0x54b582(0xadb)},'route':_0x54b582(0xebe),'filters':{'fields':_0x54b582(0x17ce),'sort':_0x54b582(0x16b6),'nolimit':!![]},'permissions':{'sections':[{'id':0xca,'role':_0x54b582(0x1eff)},{'id':0xcb,'role':_0x54b582(0x2922)}]}}],'fields':[{'title':_0x54b582(0x1597),'name':_0x54b582(0x1989),'type':_0x54b582(0xb52),'multiple':!![],'values':_0x54b582(0x1e30),'value':_0x54b582(0x178b),'option':_0x54b582(0x928),'required':!![],'param':0x0},{'title':'Timeout','name':'timeout','type':_0x54b582(0x83d),'min':0x0,'param':0x1},{'title':'Options','name':_0x54b582(0x2224),'type':_0x54b582(0x19d3),'param':0x2},{'title':_0x54b582(0x237c),'name':_0x54b582(0x135b),'type':_0x54b582(0x19d3),'param':0x3}]},{'app':_0x54b582(0xa92),'alias':_0x54b582(0x1ff3),'appType':_0x54b582(0x4b4),'context':'from-sip','type':'Internal','icon':_0x54b582(0x33e),'interval':_0x54b582(0x965),'isApp':!![],'extraApi':[{'name':_0x54b582(0x2981),'field':{'name':'project','key':_0x54b582(0x16b6),'prefix':_0x54b582(0x459)},'route':_0x54b582(0xf61),'filters':{'fields':_0x54b582(0x43c),'sort':'name','nolimit':!![]},'permissions':{'section':0x44f}}],'fields':[{'title':_0x54b582(0x23ec),'name':_0x54b582(0x12a7),'type':_0x54b582(0xb52),'values':_0x54b582(0x2981),'value':'\x27agi://127.0.0.1/square,{{project.name}}\x27','option':_0x54b582(0x105a),'required':!![],'param':0x0}]},{'app':_0x54b582(0x3da),'appType':_0x54b582(0x628),'context':'from-sip','type':_0x54b582(0xdab),'icon':'icon-apps','interval':_0x54b582(0x965),'isApp':!![],'extraApi':[{'name':_0x54b582(0x14f6),'field':{'name':_0x54b582(0x2056),'key':_0x54b582(0x16b6)},'route':_0x54b582(0x1da5),'filters':{'fields':'id,name','sort':'name','nolimit':!![]},'permissions':{'section':0x195}}],'fields':[{'title':_0x54b582(0x14fa),'name':_0x54b582(0x2056),'type':_0x54b582(0xb52),'values':_0x54b582(0x14f6),'value':_0x54b582(0x2076),'option':'context.name','required':!![],'param':0x0},{'title':'Extension','name':_0x54b582(0xd52),'type':_0x54b582(0x19d3),'required':!![],'param':0x1},{'title':_0x54b582(0x1240),'name':_0x54b582(0x521),'type':'text','required':!![],'param':0x2}]},{'app':_0x54b582(0x15e3),'appType':'hangup','context':_0x54b582(0x156f),'type':_0x54b582(0xdab),'icon':'icon-apps','interval':_0x54b582(0x965),'isApp':!![],'fields':[{'title':_0x54b582(0x1fa6),'name':_0x54b582(0x28df),'type':_0x54b582(0x220f),'param':0x0,'values':[{'option':'HANGUPCAUSE_1','value':_0x54b582(0x11e4)},{'option':_0x54b582(0xac3),'value':_0x54b582(0x18ab)},{'option':_0x54b582(0x12ed),'value':_0x54b582(0x256b)},{'option':'HANGUPCAUSE_18','value':'\x2718\x27'},{'option':_0x54b582(0x1587),'value':_0x54b582(0x1df7)},{'option':_0x54b582(0x18a9),'value':'\x2722\x27'},{'option':_0x54b582(0xd63),'value':_0x54b582(0x1506)},{'option':_0x54b582(0x3b1),'value':'\x2738\x27'},{'option':_0x54b582(0x418),'value':_0x54b582(0x2985)}],'translate':!![],'required':!![],'general':!![]}]},{'app':_0x54b582(0x11c3),'appType':'set','context':_0x54b582(0x156f),'type':'Internal','separator':'=','icon':'icon-apps','interval':_0x54b582(0x965),'extraApi':[{'name':_0x54b582(0x9a9),'field':{'name':_0x54b582(0x16b6),'key':_0x54b582(0x16b6)},'route':'variable','filters':{'fields':_0x54b582(0x43c),'sort':'name','nolimit':!![]},'permissions':{'section':0x3f4}}],'isApp':!![],'fields':[{'title':_0x54b582(0x1b02),'name':'name','type':_0x54b582(0xb52),'values':_0x54b582(0x9a9),'value':_0x54b582(0x5a1),'option':_0x54b582(0x5a1),'required':!![],'param':0x0},{'title':_0x54b582(0x1e10),'name':_0x54b582(0x327),'type':'text','required':!![],'param':0x1}]},{'app':null,'appType':_0x54b582(0x197c),'icon':'icon-apps','type':_0x54b582(0xdab),'interval':'*,*,*,*','isApp':!![],'fields':[{'title':_0x54b582(0x2563),'name':_0x54b582(0x22e1),'type':_0x54b582(0x19d3),'required':!![],'param':0x0},{'title':_0x54b582(0x19b8),'name':_0x54b582(0x28df),'type':_0x54b582(0x19d3),'param':0x1}]},{'app':'Voicemail','appType':_0x54b582(0xd66),'context':'from-sip','icon':_0x54b582(0x33e),'type':'Internal','interval':'*,*,*,*','isApp':!![],'extraApi':[{'name':'voiceMails','field':{'name':_0x54b582(0x18d4),'key':'mailbox','suffix':_0x54b582(0x1df9)},'route':_0x54b582(0x18d4),'filters':{'fields':_0x54b582(0x29af),'sort':_0x54b582(0x1b7a),'nolimit':!![]},'permissions':{'section':0x196}}],'fields':[{'title':_0x54b582(0x1279),'name':_0x54b582(0x18d4),'type':'apiselect','values':_0x54b582(0x1951),'value':'\x27{{voiceMail.mailbox}}@from-voicemail\x27','option':_0x54b582(0x1329),'required':!![],'param':0x0},{'title':_0x54b582(0x17e0),'name':_0x54b582(0x2224),'type':_0x54b582(0x19d3),'param':0x1}]}],[_0x54b582(0x22e1)]),{'isApp':![]}),_0x405c5e[_0x54b582(0x240)]={'group':{'name':'opt1','pull':_0x54b582(0x11bc)},'animation':0x64,'sort':![]},_0x405c5e[_0x54b582(0x1f2f)]={'group':{'name':_0x54b582(0x1ddb),'put':_0x54b582(0x2523)},'animation':0x64,'onAdd':function(_0x58f781){const _0x23f77b=_0x54b582;_0x17e496(_0x58f781,_0x58f781[_0x23f77b(0x22e)]);},'onSort':function(){_0x5197d7();}},_0x405c5e[_0x54b582(0x1a8e)]=_0x56d5b8,_0x405c5e[_0x54b582(0xb25)]=_0x11fd99,_0x405c5e[_0x54b582(0x26dd)]=_0x1617d2,_0x405c5e[_0x54b582(0x1c85)]=_0x17e496,_0x405c5e['editInterval']=_0x26d179,_0x405c5e[_0x54b582(0x2760)]=_0x1b3191,_0x405c5e[_0x54b582(0x1052)]=_0x1c6770,_0x405c5e['rewriteRouting']=_0x5197d7,_0x405c5e[_0x54b582(0x22fc)]=_0x262216;function _0x56d5b8(_0x2ee61a,_0xb2fc0f){const _0x17367b=_0x54b582;_0x405c5e[_0x17367b(0x3af)]=_0x2ee61a,_0x405c5e[_0x17367b(0x1b1a)]=typeof _0xb2fc0f!==_0x17367b(0x16b5)?_0xb2fc0f:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x405c5e[_0x17367b(0x1f2f)][_0x17367b(0x379)]=!_0x405c5e[_0x17367b(0x1b1a)]['canEdit']?!![]:![],_0x405c5e[_0x17367b(0xae2)][_0x17367b(0x1cc7)]=_0x2ee61a['id'],_0x405c5e[_0x17367b(0xae2)][_0x17367b(0xead)]=!![],_0x405c5e['query'][_0x17367b(0x2282)]=!![],_0x405c5e['getInternalRouteApps'](),_0x405c5e[_0x17367b(0x22fc)]();}function _0x11fd99(_0x1f4d4e,_0xdc1f73,_0x547d36){const _0x2a3eca=_0x54b582,_0x3920ee=_0x3dbe88[_0x2a3eca(0x1551)]()[_0x2a3eca(0x1386)](_0x2a3eca(0xf35))[_0x2a3eca(0x49e)](''+_0x1f4d4e[_0x2a3eca(0x22e1)]+_0x2a3eca(0x1200)+'\x20will\x20be\x20deleted.')['ariaLabel'](_0x2a3eca(0x2998))[_0x2a3eca(0x728)](_0x547d36)['ok']('OK')[_0x2a3eca(0x696)](_0x2a3eca(0x24ba));_0x3dbe88['show'](_0x3920ee)[_0x2a3eca(0x1cb0)](function(){const _0x5f2ec8=_0x2a3eca;_0x405c5e[_0x5f2ec8(0xea3)]['rows'][_0x5f2ec8(0x159c)](_0xdc1f73,0x1),_0x5197d7();},function(){const _0x4ada8d=_0x2a3eca;console[_0x4ada8d(0x1b4f)](_0x4ada8d(0x24ba));});}function _0x17e496(_0x6fa04a,_0x5bdb18){const _0x279954=_0x54b582;if(_0x405c5e[_0x279954(0xea3)][_0x279954(0x2214)]['length']){const _0x745b93=_0x405c5e['internalrouteApps'][_0x279954(0x2214)][_0x5bdb18]?_0x405c5e[_0x279954(0xea3)][_0x279954(0x2214)][_0x5bdb18]:_0x405c5e[_0x279954(0xea3)][_0x279954(0x2214)][0x0],_0x108a80=(_0x745b93[_0x279954(0x299b)]||_0x745b93[_0x279954(0x22e1)])[_0x279954(0x1680)]();_0x3dbe88['show']({'controller':_0x279954(0xcff)+_0x108a80+'DialogController','controllerAs':'vm','templateUrl':_0x3495d0[_0x108a80],'parent':angular['element'](_0xca427a[_0x279954(0x1ed9)]),'targetEvent':_0x6fa04a,'clickOutsideToClose':!![],'locals':{'internalrouteApp':_0x745b93,'internalroute':_0x405c5e[_0x279954(0x3af)],'crudPermissions':_0x405c5e[_0x279954(0x1b1a)]}})[_0x279954(0x1cb0)](function(_0x5a7b14){const _0x55f23a=_0x279954;_0x5a7b14&&(_0x5a7b14['id']?_0x405c5e[_0x55f23a(0xea3)][_0x55f23a(0x2214)][_0x5bdb18]=_0x5a7b14:_0x405c5e[_0x55f23a(0xea3)][_0x55f23a(0x2214)][_0x55f23a(0x159c)](_0x5bdb18,0x0,_0x5a7b14),_0x5197d7());})['catch'](function(_0x2ac56d){const _0x7e0b72=_0x279954;_0x2ac56d&&_0x29c551[_0x7e0b72(0x218e)]({'title':_0x2ac56d[_0x7e0b72(0x291)]?_0x7e0b72(0xeb9)+_0x2ac56d[_0x7e0b72(0x291)]+_0x7e0b72(0x1657)+_0x2ac56d[_0x7e0b72(0xc22)]:'SYSTEM:EDITMODALSHOW','msg':_0x2ac56d[_0x7e0b72(0x25c)]?JSON['stringify'](_0x2ac56d[_0x7e0b72(0x25c)]):_0x2ac56d[_0x7e0b72(0x147f)]()});});}}function _0x26d179(_0x2a9389,_0x24ef60){const _0x2b75e0=_0x54b582;if(_0x405c5e[_0x2b75e0(0xea3)]['rows']['length']){const _0x55018d=_0x405c5e[_0x2b75e0(0xea3)][_0x2b75e0(0x2214)][_0x24ef60]?_0x405c5e[_0x2b75e0(0xea3)][_0x2b75e0(0x2214)][_0x24ef60]:_0x405c5e[_0x2b75e0(0xea3)]['rows'][0x0];_0x3dbe88[_0x2b75e0(0xe27)]({'controller':_0x2b75e0(0x80f),'controllerAs':'vm','templateUrl':_0x344c76,'parent':angular['element'](_0xca427a[_0x2b75e0(0x1ed9)]),'targetEvent':_0x2a9389,'clickOutsideToClose':!![],'locals':{'interval':{'interval':_0x55018d[_0x2b75e0(0x1ac8)],'IntervalId':_0x55018d[_0x2b75e0(0x1a60)],'application':!![]},'intervals':[],'crudPermissions':_0x405c5e['crudPermissions']}})[_0x2b75e0(0x1cb0)](function(_0x2d9044){const _0x45cc09=_0x2b75e0;_0x2d9044&&(_0x55018d[_0x45cc09(0x1ac8)]=_0x2d9044[_0x45cc09(0x1ac8)]||_0x45cc09(0x965),_0x55018d[_0x45cc09(0x1a60)]=_0x2d9044[_0x45cc09(0x1a60)]||null,_0x5197d7());});}}function _0x5197d7(){const _0x32a0df=_0x54b582,_0x3e6e12=[_0x32a0df(0x11cf),_0x32a0df(0x2580)];let _0x6d310e=0x2,_0x515b5a=[];_0x515b5a[_0x32a0df(0x2785)]({'type':_0x32a0df(0xdbd),'app':_0x32a0df(0x11c3),'appdata':_0x32a0df(0xf23),'context':_0x405c5e['internalroute'][_0x32a0df(0x2056)],'exten':_0x405c5e['internalroute'][_0x32a0df(0x26a2)],'priority':_0x6d310e++,'VoiceExtensionId':_0x405c5e[_0x32a0df(0x3af)]['id']}),_0x515b5a[_0x32a0df(0x2785)]({'type':_0x32a0df(0xdbd),'app':'Set','appdata':'XCALLY-MOTION-ANSWER=0','context':_0x405c5e[_0x32a0df(0x3af)]['context'],'exten':_0x405c5e[_0x32a0df(0x3af)]['exten'],'priority':_0x6d310e++,'VoiceExtensionId':_0x405c5e['internalroute']['id']}),_0x515b5a[_0x32a0df(0x2785)]({'type':'internal','app':_0x32a0df(0x11c3),'appdata':_0x32a0df(0x1781),'context':_0x405c5e['internalroute'][_0x32a0df(0x2056)],'exten':_0x405c5e[_0x32a0df(0x3af)]['exten'],'priority':_0x6d310e++,'VoiceExtensionId':_0x405c5e[_0x32a0df(0x3af)]['id']}),_0x515b5a[_0x32a0df(0x2785)]({'type':_0x32a0df(0xdbd),'app':_0x32a0df(0x11c3),'appdata':_0x32a0df(0x4ba),'context':_0x405c5e[_0x32a0df(0x3af)][_0x32a0df(0x2056)],'exten':_0x405c5e[_0x32a0df(0x3af)][_0x32a0df(0x26a2)],'priority':_0x6d310e++,'VoiceExtensionId':_0x405c5e['internalroute']['id']});for(let _0x4070a4=0x0,_0x4a9ad3=0x0;_0x4070a4<_0x405c5e[_0x32a0df(0xea3)][_0x32a0df(0x2214)][_0x32a0df(0xfd0)];_0x4070a4++,_0x4a9ad3=0x0){const _0x4a6dd8=_0x405c5e[_0x32a0df(0xea3)][_0x32a0df(0x2214)][_0x4070a4],_0x558706=[],_0x3dbf30=[];_0x4a6dd8[_0x32a0df(0xb4a)]=_0x4a6dd8[_0x32a0df(0x1ac8)]!==_0x32a0df(0x965)?[_0x4a6dd8[_0x32a0df(0x1ac8)]]:_0x4a6dd8[_0x32a0df(0x1a60)]?_0x39641b()[_0x32a0df(0x1de2)](_0x39641b()[_0x32a0df(0x1c99)](_0x405c5e[_0x32a0df(0xb4a)][_0x32a0df(0x2214)],{'IntervalId':_0x4a6dd8[_0x32a0df(0x1a60)]}),_0x32a0df(0x1ac8)):[],_0x4a6dd8[_0x32a0df(0x2056)]=_0x405c5e[_0x32a0df(0x3af)][_0x32a0df(0x2056)],_0x4a6dd8['exten']=_0x405c5e['internalroute']['exten'];_0x4a6dd8[_0x32a0df(0x66a)]&&(_0x4a6dd8[_0x32a0df(0x66a)]=_0x4a6dd8[_0x32a0df(0x66a)]['toLowerCase']());typeof _0x4a6dd8[_0x32a0df(0x24d5)]!==_0x32a0df(0x16b5)&&(_0x3e6e12[_0x32a0df(0x250a)](_0x4a6dd8[_0x32a0df(0x22e1)]['toLowerCase']())&&_0x4a6dd8[_0x32a0df(0x299b)]!==_0x32a0df(0x197c)&&(_0x4a6dd8[_0x32a0df(0x24d5)]?(_0x558706['push']({'type':_0x4a6dd8['type'][_0x32a0df(0x1680)](),'app':_0x32a0df(0x1991),'appdata':_0x32a0df(0x14f5),'context':_0x405c5e[_0x32a0df(0x3af)][_0x32a0df(0x2056)],'exten':_0x405c5e[_0x32a0df(0x3af)]['exten'],'priority':_0x4a6dd8[_0x32a0df(0xb4a)][_0x32a0df(0xfd0)]+_0x6d310e+_0x4a9ad3++,'VoiceExtensionId':_0x405c5e[_0x32a0df(0x3af)]['id']}),_0x558706[_0x32a0df(0x2785)]({'type':_0x4a6dd8['type'][_0x32a0df(0x1680)](),'app':_0x32a0df(0x11c3),'appdata':_0x32a0df(0x998),'context':_0x405c5e['internalroute'][_0x32a0df(0x2056)],'exten':_0x405c5e[_0x32a0df(0x3af)][_0x32a0df(0x26a2)],'priority':_0x4a6dd8[_0x32a0df(0xb4a)][_0x32a0df(0xfd0)]+_0x6d310e+_0x4a9ad3++,'VoiceExtensionId':_0x405c5e[_0x32a0df(0x3af)]['id']})):(_0x558706[_0x32a0df(0x2785)]({'type':_0x4a6dd8[_0x32a0df(0x66a)][_0x32a0df(0x1680)](),'app':_0x32a0df(0x1991),'appdata':'$[${XCALLY-MOTION-PROGRESS}=0]?Progress','context':_0x405c5e[_0x32a0df(0x3af)][_0x32a0df(0x2056)],'exten':_0x405c5e[_0x32a0df(0x3af)]['exten'],'priority':_0x4a6dd8[_0x32a0df(0xb4a)][_0x32a0df(0xfd0)]+_0x6d310e+_0x4a9ad3++,'VoiceExtensionId':_0x405c5e[_0x32a0df(0x3af)]['id']}),_0x558706[_0x32a0df(0x2785)]({'type':_0x4a6dd8[_0x32a0df(0x66a)][_0x32a0df(0x1680)](),'app':_0x32a0df(0x11c3),'appdata':_0x32a0df(0x397),'context':_0x405c5e['internalroute']['context'],'exten':_0x405c5e[_0x32a0df(0x3af)][_0x32a0df(0x26a2)],'priority':_0x4a6dd8[_0x32a0df(0xb4a)][_0x32a0df(0xfd0)]+_0x6d310e+_0x4a9ad3++,'VoiceExtensionId':_0x405c5e[_0x32a0df(0x3af)]['id']}))));for(let _0x4d9088=0x0;_0x4d9088<_0x4a6dd8[_0x32a0df(0xb4a)][_0x32a0df(0xfd0)];_0x4d9088++){const _0x35e983=_0x6d310e+_0x4a6dd8['intervals'][_0x32a0df(0xfd0)],_0x50749f=_0x4d9088===_0x4a6dd8[_0x32a0df(0xb4a)][_0x32a0df(0xfd0)]-0x1?_0x6d310e+_0x558706[_0x32a0df(0xfd0)]+_0x3dbf30[_0x32a0df(0xfd0)]+0x2:_0x6d310e+_0x4d9088+0x1;_0x558706[_0x32a0df(0x159c)](_0x4d9088,0x0,{'type':_0x32a0df(0xdbd),'app':_0x32a0df(0x1f54),'appdata':_0x4a6dd8['intervals'][_0x4d9088]+'?'+_0x405c5e[_0x32a0df(0x3af)]['context']+_0x32a0df(0xa5c)+_0x35e983+':'+_0x405c5e[_0x32a0df(0x3af)]['context']+',${EXTEN},'+_0x50749f,'exten':_0x405c5e[_0x32a0df(0x3af)][_0x32a0df(0x26a2)],'context':_0x405c5e[_0x32a0df(0x3af)]['context'],'priority':_0x6d310e+_0x4d9088,'VoiceExtensionId':_0x405c5e['internalroute']['id']});}_0x4a6dd8['priority']=_0x558706['length']?_0x39641b()['last'](_0x558706)['priority']+0x1:_0x6d310e,_0x6d310e=(_0x3dbf30[_0x32a0df(0xfd0)]?_0x39641b()['last'](_0x3dbf30)['priority']:_0x4a6dd8[_0x32a0df(0x521)])+0x1,_0x515b5a=_0x39641b()[_0x32a0df(0x298a)](_0x515b5a,_0x558706,[_0x4a6dd8],_0x3dbf30);}_0x515b5a['push']({'type':_0x32a0df(0xdbd),'app':_0x32a0df(0x26e1),'exten':_0x405c5e['internalroute'][_0x32a0df(0x26a2)],'context':_0x405c5e['internalroute'][_0x32a0df(0x2056)],'priority':_0x6d310e,'VoiceExtensionId':_0x405c5e['internalroute']['id']}),_0x3af431[_0x32a0df(0x1059)][_0x32a0df(0x2598)]({'id':_0x405c5e[_0x32a0df(0x3af)]['id']},_0x39641b()[_0x32a0df(0x1d33)](_0x515b5a,_0x32a0df(0x521)))[_0x32a0df(0x1d77)][_0x32a0df(0x1cb0)](function(_0x4e6915){const _0x480a91=_0x32a0df;_0x405c5e[_0x480a91(0xea3)]['rows']=_0x4e6915[_0x480a91(0x2214)];})['catch'](function(_0xcbf02){const _0x4957ad=_0x32a0df;console[_0x4957ad(0x218e)](_0xcbf02);});}function _0x53c6ce(_0x342ec5){const _0x22adb9=_0x54b582;_0x405c5e[_0x22adb9(0xea3)]=_0x342ec5||{'count':0x0,'rows':[]};}function _0x262216(){const _0x4c64ea=_0x54b582;return _0x3af431[_0x4c64ea(0x1ac8)][_0x4c64ea(0xbf7)]({'fields':'id,interval,IntervalId'})['$promise'][_0x4c64ea(0x1cb0)](function(_0x223c1a){const _0x149a75=_0x4c64ea;_0x405c5e[_0x149a75(0xb4a)]=_0x223c1a;})[_0x4c64ea(0x1c4)](function(_0x3eca54){console['error'](_0x3eca54);});}function _0x1617d2(){const _0x62d073=_0x54b582;_0x405c5e[_0x62d073(0x2061)]=_0x3af431['voiceExtension'][_0x62d073(0xbf7)](_0x405c5e['query'],_0x53c6ce)[_0x62d073(0x1d77)];}function _0x1b3191(_0x5d3142){const _0x21bdb9=_0x54b582;_0x39641b()[_0x21bdb9(0x152a)](_0x405c5e[_0x21bdb9(0xea3)][_0x21bdb9(0x2214)],{'id':_0x5d3142['id']}),_0x5197d7(),_0x29c551[_0x21bdb9(0x829)]({'title':_0x21bdb9(0x2923),'msg':_0x5d3142[_0x21bdb9(0x22e1)]?_0x5d3142[_0x21bdb9(0x22e1)]+_0x21bdb9(0x3f5):''});}function _0x1c6770(_0x934c7e){const _0x460602=_0x54b582,_0x18d428=_0x3dbe88[_0x460602(0x1551)]()[_0x460602(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20applications?')[_0x460602(0x49e)](_0x460602(0x204d)+_0x405c5e[_0x460602(0x60c)]['length']+_0x460602(0x1d6c)+_0x460602(0x1b6))[_0x460602(0x15ad)]('delete\x20applications')[_0x460602(0x728)](_0x934c7e)['ok']('OK')[_0x460602(0x696)](_0x460602(0x24ba));_0x3dbe88[_0x460602(0xe27)](_0x18d428)[_0x460602(0x1cb0)](function(){const _0x6a7a44=_0x460602;_0x405c5e[_0x6a7a44(0x60c)][_0x6a7a44(0xf90)](function(_0x23f38b){const _0x1623b7=_0x6a7a44;_0x39641b()[_0x1623b7(0x152a)](_0x405c5e[_0x1623b7(0xea3)][_0x1623b7(0x2214)],{'id':_0x23f38b['id']});}),_0x405c5e[_0x6a7a44(0x60c)]=[],_0x5197d7();});}}const _0x2218eb=_0x2be751;;_0x48da8f['$inject']=[_0x5537c6(0xcb9),'$q','toasty','internalrouteApp',_0x5537c6(0x3af),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x48da8f(_0x39f2f9,_0x25a124,_0x4e172b,_0x290c8b,_0x4b4151,_0x45a921,_0x3467db,_0x540978){const _0x2e0bff=_0x5537c6,_0x5b1a02=this;_0x5b1a02['currentUser']=_0x3467db[_0x2e0bff(0x21e8)](),_0x5b1a02[_0x2e0bff(0x1a7c)]=[],_0x5b1a02['title']='VOICE.EDIT_'+(_0x290c8b['appType']||_0x290c8b['app'])[_0x2e0bff(0x2335)](),_0x5b1a02[_0x2e0bff(0x4b4)]=angular[_0x2e0bff(0x17fe)](_0x290c8b),_0x5b1a02['crudPermissions']=_0x540978,_0x5b1a02[_0x2e0bff(0xf4c)]={};if(_0x5b1a02['agi'][_0x2e0bff(0x28df)])switch(_0x5b1a02[_0x2e0bff(0x4b4)][_0x2e0bff(0x299b)]?_0x5b1a02[_0x2e0bff(0x4b4)][_0x2e0bff(0x299b)][_0x2e0bff(0x1680)]():_0x5b1a02['agi'][_0x2e0bff(0x22e1)][_0x2e0bff(0x1680)]()){case _0x2e0bff(0x197c):break;case'dialogflow':{const _0x46adb9=_0x5b1a02[_0x2e0bff(0x4b4)][_0x2e0bff(0x28df)][_0x2e0bff(0xbe1)](',');_0x5b1a02['agi'][_0x2e0bff(0xee8)]=_0x46adb9[0x0],_0x5b1a02[_0x2e0bff(0x4b4)][_0x2e0bff(0x12b4)]=_0x46adb9[0x1],_0x5b1a02['agi'][_0x2e0bff(0x173a)]=_0x46adb9['slice'](0x2,_0x46adb9['length'])[_0x2e0bff(0x1f66)](',');}break;case _0x2e0bff(0xece):{const _0x5c80df=_0x5b1a02[_0x2e0bff(0x4b4)][_0x2e0bff(0x28df)][_0x2e0bff(0xbe1)](',');_0x5b1a02[_0x2e0bff(0x4b4)]['projectId']=_0x5c80df[0x0],_0x5b1a02[_0x2e0bff(0x4b4)][_0x2e0bff(0x12bf)]=_0x5c80df[0x1],_0x5b1a02['agi'][_0x2e0bff(0x29d6)]=_0x5c80df[0x2],_0x5b1a02['agi'][_0x2e0bff(0x12b4)]=_0x5c80df[0x3],_0x5b1a02[_0x2e0bff(0x4b4)][_0x2e0bff(0x173a)]=_0x5c80df[_0x2e0bff(0x14cb)](0x4,_0x5c80df[_0x2e0bff(0xfd0)])[_0x2e0bff(0x1f66)](',');}break;case _0x2e0bff(0x123a):{const _0x1b923f=_0x5b1a02[_0x2e0bff(0x4b4)][_0x2e0bff(0x28df)][_0x2e0bff(0xbe1)](',');_0x5b1a02[_0x2e0bff(0x4b4)][_0x2e0bff(0x413)]=_0x1b923f[0x0],_0x5b1a02[_0x2e0bff(0x4b4)][_0x2e0bff(0x21d9)]=_0x1b923f[0x1],_0x5b1a02[_0x2e0bff(0x4b4)]['lexregion']=_0x1b923f[0x2],_0x5b1a02['agi'][_0x2e0bff(0x1c7f)]=_0x1b923f[0x3],_0x5b1a02[_0x2e0bff(0x4b4)][_0x2e0bff(0x173a)]=_0x1b923f[_0x2e0bff(0x14cb)](0x4,_0x1b923f[_0x2e0bff(0xfd0)])['join'](',');}break;case'autoreply':{const _0x2e2d02=_0x5b1a02['agi']['appdata'][_0x2e0bff(0xbe1)](',');_0x5b1a02[_0x2e0bff(0x4b4)]['times']=isNaN(_0x2e2d02[0x0])?_0x2e2d02[0x0]:parseInt(_0x2e2d02[0x0],0xa),_0x5b1a02[_0x2e0bff(0x4b4)][_0x2e0bff(0x19d3)]=_0x2e2d02[_0x2e0bff(0x14cb)](0x1,_0x2e2d02['length'])['join'](',');}break;case'message':_0x5b1a02[_0x2e0bff(0x4b4)]['text']=_0x5b1a02[_0x2e0bff(0x4b4)]['appdata'];break;case'set':_0x5b1a02[_0x2e0bff(0x4b4)]['name']=_0x5b1a02['agi'][_0x2e0bff(0x28df)]['split']('=')[0x0],_0x5b1a02['agi'][_0x2e0bff(0x327)]=_0x5b1a02[_0x2e0bff(0x4b4)][_0x2e0bff(0x28df)][_0x2e0bff(0xbe1)]('=')[0x1];break;case'agi':_0x5b1a02[_0x2e0bff(0x4b4)][_0x2e0bff(0x12a7)]=_0x5b1a02['agi'][_0x2e0bff(0x28df)];break;default:{const _0x1e53b8=_0x5b1a02[_0x2e0bff(0x4b4)]['appdata'][_0x2e0bff(0xbe1)](',');_0x5b1a02[_0x2e0bff(0x4b4)][_0x2e0bff(0x12a7)]=_0x39641b()[_0x2e0bff(0xce9)](_0x1e53b8[0x0])?_0x1e53b8[0x0]:isNaN(_0x1e53b8[0x0])?_0x1e53b8[0x0]:parseInt(_0x1e53b8[0x0],0xa);}break;}else{}_0x5b1a02['agi'][_0x2e0bff(0x66a)]&&_0x5b1a02[_0x2e0bff(0x4b4)][_0x2e0bff(0x66a)][_0x2e0bff(0x1680)]()===_0x2e0bff(0x895)&&_0x5b1a02[_0x2e0bff(0x4b4)]['appType'][_0x2e0bff(0x1680)]()==='outbounddial'&&(_0x5b1a02[_0x2e0bff(0x4b4)][_0x2e0bff(0x586)]=_0x5b1a02[_0x2e0bff(0x4b4)][_0x2e0bff(0x1340)]?_0x5b1a02[_0x2e0bff(0x4b4)]['phone'][_0x2e0bff(0xbe1)]('$')[0x0]:undefined,_0x5b1a02['agi'][_0x2e0bff(0x1e7c)]=_0x5b1a02['agi']['callerID']?_0x2e0bff(0x25f9)+_0x5b1a02[_0x2e0bff(0x4b4)][_0x2e0bff(0x2816)]:undefined);_0x5b1a02[_0x2e0bff(0xb3b)]=_0x55829c,_0x5b1a02[_0x2e0bff(0xda0)]=_0x5a1822,_0x3467db['hasRole'](_0x2e0bff(0x1c60))?_0x45a921[_0x2e0bff(0xf61)][_0x2e0bff(0xbf7)]({'fields':_0x2e0bff(0x43c),'sort':_0x2e0bff(0x16b6),'nolimit':_0x2e0bff(0x44d)})[_0x2e0bff(0x1d77)][_0x2e0bff(0x1cb0)](function(_0x29eaab){const _0x864772=_0x2e0bff;_0x5b1a02[_0x864772(0x2981)]=_0x29eaab[_0x864772(0x2214)]||[];})[_0x2e0bff(0x1c4)](function(_0x5425d1){const _0x50ff1a=_0x2e0bff;_0x4e172b['error']({'title':_0x5425d1[_0x50ff1a(0x291)]?'API:'+_0x5425d1['status']+_0x50ff1a(0x1657)+_0x5425d1[_0x50ff1a(0xc22)]:_0x50ff1a(0x214c),'msg':_0x5425d1['data']?JSON[_0x50ff1a(0x2701)](_0x5425d1[_0x50ff1a(0x25c)]):_0x5425d1[_0x50ff1a(0x147f)]()});}):_0x45a921[_0x2e0bff(0xf61)][_0x2e0bff(0xbf7)]({'fields':_0x2e0bff(0x43c),'sort':_0x2e0bff(0x16b6),'nolimit':_0x2e0bff(0x44d)})['$promise']['then'](function(_0x4884bf){_0x5b1a02['projects']=_0x4884bf['rows']||[];})[_0x2e0bff(0x1cb0)](function(){const _0x34bd68=_0x2e0bff;return _0x45a921['userProfileSection']['get']({'userProfileId':_0x5b1a02[_0x34bd68(0xe76)][_0x34bd68(0x13c1)],'sectionId':0x44f})['$promise'];})[_0x2e0bff(0x1cb0)](function(_0x24bff0){const _0x4d3413=_0x2e0bff,_0x4628a1=_0x24bff0&&_0x24bff0[_0x4d3413(0x2214)]?_0x24bff0[_0x4d3413(0x2214)][0x0]:null;if(!_0x4628a1){const _0x5e9e57=[];let _0x3d1859=null;_0x5b1a02[_0x4d3413(0x4b4)]&&(_0x3d1859=_0x39641b()[_0x4d3413(0x13b4)](_0x5b1a02[_0x4d3413(0x2981)],{'name':_0x5b1a02[_0x4d3413(0x4b4)][_0x4d3413(0x12a7)]}));for(let _0x172401=0x0;_0x172401<_0x5b1a02[_0x4d3413(0x2981)][_0x4d3413(0xfd0)];_0x172401++){_0x3d1859&&_0x5b1a02[_0x4d3413(0x2981)][_0x172401]['id']===_0x3d1859['id']&&(_0x5b1a02[_0x4d3413(0x2981)][_0x172401]['canSelect']=![],_0x5e9e57['push'](_0x5b1a02[_0x4d3413(0x2981)][_0x172401]));}_0x5b1a02[_0x4d3413(0x2981)]=_0x5e9e57;}else{if(!_0x4628a1[_0x4d3413(0x12f4)])return _0x45a921['userProfileResource'][_0x4d3413(0xbf7)]({'sectionId':_0x4628a1['id']})[_0x4d3413(0x1d77)][_0x4d3413(0x1cb0)](function(_0xbc6e7b){const _0x2cad8f=_0x4d3413,_0x151c7b=_0x39641b()[_0x2cad8f(0x1de2)](_0xbc6e7b[_0x2cad8f(0x2214)],function(_0x216707){const _0x3a6bbe=_0x2cad8f;return _0x39641b()[_0x3a6bbe(0x13b4)](_0x5b1a02[_0x3a6bbe(0x2981)],{'id':_0x216707['resourceId']});});let _0x528e86=null;_0x5b1a02[_0x2cad8f(0x4b4)]&&(_0x528e86=_0x39641b()[_0x2cad8f(0x13b4)](_0x5b1a02['projects'],{'name':_0x5b1a02[_0x2cad8f(0x4b4)]['project']}));if(_0x528e86&&!_0x39641b()[_0x2cad8f(0x727)](_0x151c7b,['id',_0x528e86['id']])){const _0x32dc68=_0x39641b()[_0x2cad8f(0x13b4)](_0x5b1a02[_0x2cad8f(0x2981)],{'id':_0x528e86['id']});_0x32dc68[_0x2cad8f(0x15da)]=![],_0x151c7b[_0x2cad8f(0x2785)](_0x32dc68);}_0x5b1a02['projects']=_0x151c7b;});}})[_0x2e0bff(0x1c4)](function(_0x41c953){const _0x411ea9=_0x2e0bff;_0x4e172b[_0x411ea9(0x218e)]({'title':_0x41c953['status']?_0x411ea9(0xeb9)+_0x41c953[_0x411ea9(0x291)]+_0x411ea9(0x1657)+_0x41c953[_0x411ea9(0xc22)]:'SYSTEM:GETprojects','msg':_0x41c953[_0x411ea9(0x25c)]?JSON[_0x411ea9(0x2701)](_0x41c953[_0x411ea9(0x25c)]):_0x41c953[_0x411ea9(0x147f)]()});});function _0x55829c(){const _0x4f6056=_0x2e0bff;_0x5b1a02['errors']=[];const _0x48df58=[];_0x5b1a02[_0x4f6056(0x4b4)][_0x4f6056(0x66a)]&&_0x5b1a02[_0x4f6056(0x4b4)][_0x4f6056(0x66a)][_0x4f6056(0x1680)]()===_0x4f6056(0x895)&&_0x5b1a02['agi'][_0x4f6056(0x299b)]===_0x4f6056(0x25f4)&&(_0x5b1a02[_0x4f6056(0x4b4)][_0x4f6056(0x1340)]=_0x4b4151['cutdigits']?(_0x5b1a02['agi'][_0x4f6056(0x586)]||'')+_0x4f6056(0x19e4)+_0x4b4151[_0x4f6056(0x325)]+'}':(_0x5b1a02[_0x4f6056(0x4b4)][_0x4f6056(0x586)]||'')+_0x4f6056(0xcdd),_0x4b4151[_0x4f6056(0xf8d)]!=='none'?_0x5b1a02[_0x4f6056(0x4b4)][_0x4f6056(0x2224)]['indexOf']('U(xcally-mixmonitor-context)')<0x0&&(_0x5b1a02[_0x4f6056(0x4b4)][_0x4f6056(0x2224)]+=_0x4f6056(0x106a)):_0x5b1a02[_0x4f6056(0x4b4)]['options']=_0x5b1a02[_0x4f6056(0x4b4)][_0x4f6056(0x2224)]['replace'](_0x4f6056(0x106a),''));if(_0x5b1a02[_0x4f6056(0x4b4)][_0x4f6056(0x299b)]&&_0x5b1a02[_0x4f6056(0x4b4)][_0x4f6056(0x299b)]===_0x4f6056(0x197c)){}else switch((_0x5b1a02[_0x4f6056(0x4b4)][_0x4f6056(0x22e1)]||_0x5b1a02[_0x4f6056(0x4b4)]['appType'])[_0x4f6056(0x1680)]()){case _0x4f6056(0x19d1):_0x5b1a02[_0x4f6056(0x4b4)][_0x4f6056(0x28df)]=_0x5b1a02[_0x4f6056(0x4b4)][_0x4f6056(0x16b6)]+'='+_0x5b1a02[_0x4f6056(0x4b4)][_0x4f6056(0x327)];break;case _0x4f6056(0x197c):break;default:_0x48df58[0x0]=_0x5b1a02[_0x4f6056(0x4b4)]['project'],_0x5b1a02[_0x4f6056(0x4b4)][_0x4f6056(0x28df)]=_0x48df58[_0x4f6056(0x1f66)](',');}_0x5a1822(_0x5b1a02[_0x4f6056(0x4b4)]);}function _0x5a1822(_0x3c4b6d){_0x39f2f9['hide'](_0x3c4b6d);}}const _0x52bf91=_0x48da8f;;_0x2b480e[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x23b1),_0x5537c6(0x3af),_0x5537c6(0x142b),'Auth','crudPermissions'];function _0x2b480e(_0x15b988,_0x43dcd1,_0x4cbe83,_0x43517e,_0x4dac6a,_0x45afcf,_0x350b86,_0x88c412){const _0x28fb2c=_0x5537c6,_0x101ea8=this;_0x101ea8[_0x28fb2c(0xe76)]=_0x350b86[_0x28fb2c(0x21e8)](),_0x101ea8[_0x28fb2c(0x1a7c)]=[],_0x101ea8[_0x28fb2c(0x1386)]=_0x28fb2c(0x132b)+(_0x43517e[_0x28fb2c(0x299b)]||_0x43517e[_0x28fb2c(0x22e1)])[_0x28fb2c(0x2335)](),_0x101ea8[_0x28fb2c(0x197c)]=angular['copy'](_0x43517e),_0x101ea8[_0x28fb2c(0x1b1a)]=_0x88c412,_0x101ea8['hasModulePermissions']={};if(_0x101ea8['custom'][_0x28fb2c(0x28df)])switch(_0x101ea8['custom']['appType']?_0x101ea8[_0x28fb2c(0x197c)][_0x28fb2c(0x299b)]['toLowerCase']():_0x101ea8[_0x28fb2c(0x197c)]['app'][_0x28fb2c(0x1680)]()){case'custom':break;case _0x28fb2c(0x711):{const _0x3a0655=_0x101ea8[_0x28fb2c(0x197c)][_0x28fb2c(0x28df)]['split'](',');_0x101ea8[_0x28fb2c(0x197c)][_0x28fb2c(0xee8)]=_0x3a0655[0x0],_0x101ea8[_0x28fb2c(0x197c)][_0x28fb2c(0x12b4)]=_0x3a0655[0x1],_0x101ea8[_0x28fb2c(0x197c)][_0x28fb2c(0x173a)]=_0x3a0655['slice'](0x2,_0x3a0655['length'])[_0x28fb2c(0x1f66)](',');}break;case _0x28fb2c(0xece):{const _0x2e573d=_0x101ea8[_0x28fb2c(0x197c)][_0x28fb2c(0x28df)][_0x28fb2c(0xbe1)](',');_0x101ea8['custom']['projectId']=_0x2e573d[0x0],_0x101ea8[_0x28fb2c(0x197c)][_0x28fb2c(0x12bf)]=_0x2e573d[0x1],_0x101ea8[_0x28fb2c(0x197c)][_0x28fb2c(0x29d6)]=_0x2e573d[0x2],_0x101ea8['custom'][_0x28fb2c(0x12b4)]=_0x2e573d[0x3],_0x101ea8[_0x28fb2c(0x197c)]['welcomemessage']=_0x2e573d[_0x28fb2c(0x14cb)](0x4,_0x2e573d[_0x28fb2c(0xfd0)])[_0x28fb2c(0x1f66)](',');}break;case _0x28fb2c(0x123a):{const _0x52ca04=_0x101ea8[_0x28fb2c(0x197c)][_0x28fb2c(0x28df)]['split'](',');_0x101ea8[_0x28fb2c(0x197c)][_0x28fb2c(0x413)]=_0x52ca04[0x0],_0x101ea8[_0x28fb2c(0x197c)][_0x28fb2c(0x21d9)]=_0x52ca04[0x1],_0x101ea8[_0x28fb2c(0x197c)][_0x28fb2c(0xd50)]=_0x52ca04[0x2],_0x101ea8[_0x28fb2c(0x197c)][_0x28fb2c(0x1c7f)]=_0x52ca04[0x3],_0x101ea8[_0x28fb2c(0x197c)][_0x28fb2c(0x173a)]=_0x52ca04['slice'](0x4,_0x52ca04[_0x28fb2c(0xfd0)])[_0x28fb2c(0x1f66)](',');}break;case _0x28fb2c(0x1f71):{const _0x18d8a2=_0x101ea8['custom'][_0x28fb2c(0x28df)][_0x28fb2c(0xbe1)](',');_0x101ea8[_0x28fb2c(0x197c)]['times']=isNaN(_0x18d8a2[0x0])?_0x18d8a2[0x0]:parseInt(_0x18d8a2[0x0],0xa),_0x101ea8[_0x28fb2c(0x197c)][_0x28fb2c(0x19d3)]=_0x18d8a2['slice'](0x1,_0x18d8a2[_0x28fb2c(0xfd0)])[_0x28fb2c(0x1f66)](',');}break;case _0x28fb2c(0x155e):_0x101ea8[_0x28fb2c(0x197c)]['text']=_0x101ea8['custom']['appdata'];break;case _0x28fb2c(0x19d1):_0x101ea8[_0x28fb2c(0x197c)][_0x28fb2c(0x16b6)]=_0x101ea8[_0x28fb2c(0x197c)]['appdata']['split']('=')[0x0],_0x101ea8['custom']['value']=_0x101ea8[_0x28fb2c(0x197c)][_0x28fb2c(0x28df)][_0x28fb2c(0xbe1)]('=')[0x1];break;case'agi':_0x101ea8['custom']['project']=_0x101ea8['custom'][_0x28fb2c(0x28df)];break;default:{const _0x17ebec=_0x101ea8[_0x28fb2c(0x197c)][_0x28fb2c(0x28df)][_0x28fb2c(0xbe1)](',');_0x101ea8['custom'][_0x28fb2c(0x22e1)]=_0x39641b()[_0x28fb2c(0xce9)](_0x17ebec[0x0])?_0x17ebec[0x0]:isNaN(_0x17ebec[0x0])?_0x17ebec[0x0]:parseInt(_0x17ebec[0x0],0xa),_0x101ea8['custom'][_0x28fb2c(0x28df)]=_0x39641b()[_0x28fb2c(0xce9)](_0x17ebec[0x1])?_0x17ebec[0x1]:isNaN(_0x17ebec[0x1])?_0x17ebec[0x1]:parseInt(_0x17ebec[0x1],0xa);}break;}else{}_0x101ea8[_0x28fb2c(0x197c)]['type']&&_0x101ea8[_0x28fb2c(0x197c)]['type'][_0x28fb2c(0x1680)]()===_0x28fb2c(0x895)&&_0x101ea8['custom'][_0x28fb2c(0x299b)][_0x28fb2c(0x1680)]()===_0x28fb2c(0x20ff)&&(_0x101ea8['custom'][_0x28fb2c(0x586)]=_0x101ea8[_0x28fb2c(0x197c)][_0x28fb2c(0x1340)]?_0x101ea8[_0x28fb2c(0x197c)][_0x28fb2c(0x1340)][_0x28fb2c(0xbe1)]('$')[0x0]:undefined,_0x101ea8[_0x28fb2c(0x197c)][_0x28fb2c(0x1e7c)]=_0x101ea8[_0x28fb2c(0x197c)][_0x28fb2c(0x2816)]?_0x28fb2c(0x25f9)+_0x101ea8[_0x28fb2c(0x197c)][_0x28fb2c(0x2816)]:undefined);_0x101ea8[_0x28fb2c(0xb3b)]=_0x3fcee6,_0x101ea8[_0x28fb2c(0xda0)]=_0x421d6a;function _0x3fcee6(){const _0x222e4d=_0x28fb2c;_0x101ea8['errors']=[];const _0x425ddf=[];_0x101ea8[_0x222e4d(0x197c)]['type']&&_0x101ea8['custom'][_0x222e4d(0x66a)][_0x222e4d(0x1680)]()===_0x222e4d(0x895)&&_0x101ea8[_0x222e4d(0x197c)][_0x222e4d(0x299b)]==='outboundDial'&&(_0x101ea8[_0x222e4d(0x197c)][_0x222e4d(0x1340)]=_0x4dac6a['cutdigits']?(_0x101ea8['custom'][_0x222e4d(0x586)]||'')+_0x222e4d(0x19e4)+_0x4dac6a['cutdigits']+'}':(_0x101ea8[_0x222e4d(0x197c)][_0x222e4d(0x586)]||'')+_0x222e4d(0xcdd),_0x4dac6a[_0x222e4d(0xf8d)]!==_0x222e4d(0x13b1)?_0x101ea8[_0x222e4d(0x197c)]['options']['indexOf'](_0x222e4d(0x106a))<0x0&&(_0x101ea8['custom'][_0x222e4d(0x2224)]+='U(xcally-mixmonitor-context)'):_0x101ea8[_0x222e4d(0x197c)][_0x222e4d(0x2224)]=_0x101ea8[_0x222e4d(0x197c)][_0x222e4d(0x2224)]['replace'](_0x222e4d(0x106a),''));if(_0x101ea8['custom']['appType']&&_0x101ea8[_0x222e4d(0x197c)]['appType']==='custom'){}else switch((_0x101ea8[_0x222e4d(0x197c)][_0x222e4d(0x22e1)]||_0x101ea8[_0x222e4d(0x197c)][_0x222e4d(0x299b)])['toLowerCase']()){case _0x222e4d(0x19d1):_0x101ea8[_0x222e4d(0x197c)]['appdata']=_0x101ea8[_0x222e4d(0x197c)][_0x222e4d(0x16b6)]+'='+_0x101ea8['custom'][_0x222e4d(0x327)];break;case _0x222e4d(0x197c):break;default:_0x425ddf[0x0]=_0x101ea8['custom'][_0x222e4d(0x22e1)],_0x425ddf[0x1]=_0x101ea8[_0x222e4d(0x197c)]['appdata'],_0x101ea8[_0x222e4d(0x197c)][_0x222e4d(0x28df)]=_0x425ddf[_0x222e4d(0x1f66)](',');}_0x421d6a(_0x101ea8[_0x222e4d(0x197c)]);}function _0x421d6a(_0x3b3c3e){const _0x24a14a=_0x28fb2c;_0x15b988[_0x24a14a(0x1426)](_0x3b3c3e);}}const _0x33af41=_0x2b480e;;_0x534edd[_0x5537c6(0x15b6)]=['$mdDialog','$q',_0x5537c6(0x9bf),_0x5537c6(0x23b1),'internalroute',_0x5537c6(0x142b),'Auth',_0x5537c6(0x1b1a)];function _0x534edd(_0x51febc,_0xd9a8a5,_0x418027,_0xa7ac8f,_0x10ac4c,_0x4b8a27,_0x48a5ab,_0x542942){const _0x466b2f=_0x5537c6,_0x8a283f=this;_0x8a283f['currentUser']=_0x48a5ab['getCurrentUser'](),_0x8a283f['errors']=[],_0x8a283f['title']=_0x466b2f(0x132b)+(_0xa7ac8f['appType']||_0xa7ac8f[_0x466b2f(0x22e1)])[_0x466b2f(0x2335)](),_0x8a283f[_0x466b2f(0xdd8)]=angular['copy'](_0xa7ac8f),_0x8a283f[_0x466b2f(0x1b1a)]=_0x542942,_0x8a283f[_0x466b2f(0xf4c)]={};if(_0x8a283f[_0x466b2f(0xdd8)]['appdata'])switch(_0x8a283f['dial'][_0x466b2f(0x299b)]?_0x8a283f[_0x466b2f(0xdd8)][_0x466b2f(0x299b)][_0x466b2f(0x1680)]():_0x8a283f[_0x466b2f(0xdd8)][_0x466b2f(0x22e1)]['toLowerCase']()){case _0x466b2f(0x197c):break;case'dialogflow':{const _0x449999=_0x8a283f['dial']['appdata']['split'](',');_0x8a283f[_0x466b2f(0xdd8)][_0x466b2f(0xee8)]=_0x449999[0x0],_0x8a283f[_0x466b2f(0xdd8)][_0x466b2f(0x12b4)]=_0x449999[0x1],_0x8a283f['dial'][_0x466b2f(0x173a)]=_0x449999[_0x466b2f(0x14cb)](0x2,_0x449999['length'])[_0x466b2f(0x1f66)](',');}break;case'dialogflowv2':{const _0x3d6f11=_0x8a283f[_0x466b2f(0xdd8)]['appdata'][_0x466b2f(0xbe1)](',');_0x8a283f[_0x466b2f(0xdd8)][_0x466b2f(0x2854)]=_0x3d6f11[0x0],_0x8a283f[_0x466b2f(0xdd8)][_0x466b2f(0x12bf)]=_0x3d6f11[0x1],_0x8a283f[_0x466b2f(0xdd8)][_0x466b2f(0x29d6)]=_0x3d6f11[0x2],_0x8a283f[_0x466b2f(0xdd8)]['language']=_0x3d6f11[0x3],_0x8a283f[_0x466b2f(0xdd8)][_0x466b2f(0x173a)]=_0x3d6f11[_0x466b2f(0x14cb)](0x4,_0x3d6f11['length'])['join'](',');}break;case'amazonlex':{const _0x57a46a=_0x8a283f[_0x466b2f(0xdd8)]['appdata'][_0x466b2f(0xbe1)](',');_0x8a283f[_0x466b2f(0xdd8)][_0x466b2f(0x413)]=_0x57a46a[0x0],_0x8a283f[_0x466b2f(0xdd8)][_0x466b2f(0x21d9)]=_0x57a46a[0x1],_0x8a283f[_0x466b2f(0xdd8)]['lexregion']=_0x57a46a[0x2],_0x8a283f[_0x466b2f(0xdd8)][_0x466b2f(0x1c7f)]=_0x57a46a[0x3],_0x8a283f[_0x466b2f(0xdd8)]['welcomemessage']=_0x57a46a[_0x466b2f(0x14cb)](0x4,_0x57a46a[_0x466b2f(0xfd0)])[_0x466b2f(0x1f66)](',');}break;case _0x466b2f(0x1f71):{const _0x503068=_0x8a283f[_0x466b2f(0xdd8)]['appdata'][_0x466b2f(0xbe1)](',');_0x8a283f[_0x466b2f(0xdd8)][_0x466b2f(0x18a5)]=isNaN(_0x503068[0x0])?_0x503068[0x0]:parseInt(_0x503068[0x0],0xa),_0x8a283f['dial'][_0x466b2f(0x19d3)]=_0x503068['slice'](0x1,_0x503068[_0x466b2f(0xfd0)])[_0x466b2f(0x1f66)](',');}break;case _0x466b2f(0x155e):_0x8a283f['dial']['text']=_0x8a283f[_0x466b2f(0xdd8)][_0x466b2f(0x28df)];break;case _0x466b2f(0x19d1):_0x8a283f['dial'][_0x466b2f(0x16b6)]=_0x8a283f[_0x466b2f(0xdd8)][_0x466b2f(0x28df)][_0x466b2f(0xbe1)]('=')[0x0],_0x8a283f[_0x466b2f(0xdd8)][_0x466b2f(0x327)]=_0x8a283f[_0x466b2f(0xdd8)][_0x466b2f(0x28df)][_0x466b2f(0xbe1)]('=')[0x1];break;case'agi':_0x8a283f[_0x466b2f(0xdd8)]['project']=_0x8a283f[_0x466b2f(0xdd8)][_0x466b2f(0x28df)];break;default:{const _0x2b9862=_0x8a283f[_0x466b2f(0xdd8)][_0x466b2f(0x28df)]['split'](',');_0x8a283f[_0x466b2f(0xdd8)][_0x466b2f(0x23f2)]=_0x39641b()[_0x466b2f(0xce9)](_0x2b9862[0x0])?_0x2b9862[0x0]:isNaN(_0x2b9862[0x0])?_0x2b9862[0x0]:parseInt(_0x2b9862[0x0],0xa),_0x8a283f[_0x466b2f(0xdd8)][_0x466b2f(0x1719)]=_0x39641b()[_0x466b2f(0xce9)](_0x2b9862[0x1])?_0x2b9862[0x1]:isNaN(_0x2b9862[0x1])?_0x2b9862[0x1]:parseInt(_0x2b9862[0x1],0xa),_0x8a283f[_0x466b2f(0xdd8)][_0x466b2f(0x2224)]=_0x39641b()[_0x466b2f(0xce9)](_0x2b9862[0x2])?_0x2b9862[0x2]:isNaN(_0x2b9862[0x2])?_0x2b9862[0x2]:parseInt(_0x2b9862[0x2],0xa),_0x8a283f[_0x466b2f(0xdd8)][_0x466b2f(0x135b)]=_0x39641b()[_0x466b2f(0xce9)](_0x2b9862[0x3])?_0x2b9862[0x3]:isNaN(_0x2b9862[0x3])?_0x2b9862[0x3]:parseInt(_0x2b9862[0x3],0xa);}break;}else{}_0x8a283f['dial'][_0x466b2f(0x66a)]&&_0x8a283f[_0x466b2f(0xdd8)][_0x466b2f(0x66a)][_0x466b2f(0x1680)]()===_0x466b2f(0x895)&&_0x8a283f[_0x466b2f(0xdd8)][_0x466b2f(0x299b)][_0x466b2f(0x1680)]()===_0x466b2f(0x20ff)&&(_0x8a283f[_0x466b2f(0xdd8)]['prefix']=_0x8a283f[_0x466b2f(0xdd8)][_0x466b2f(0x1340)]?_0x8a283f[_0x466b2f(0xdd8)][_0x466b2f(0x1340)][_0x466b2f(0xbe1)]('$')[0x0]:undefined,_0x8a283f['dial'][_0x466b2f(0x1e7c)]=_0x8a283f[_0x466b2f(0xdd8)][_0x466b2f(0x2816)]?_0x466b2f(0x25f9)+_0x8a283f[_0x466b2f(0xdd8)]['callerID']:undefined);_0x8a283f[_0x466b2f(0xb3b)]=_0x4d5d33,_0x8a283f[_0x466b2f(0xda0)]=_0xe582cc;function _0x4d5d33(){const _0x1a6659=_0x466b2f;_0x8a283f[_0x1a6659(0x1a7c)]=[];const _0x51c803=[];_0x8a283f[_0x1a6659(0xdd8)][_0x1a6659(0x66a)]&&_0x8a283f[_0x1a6659(0xdd8)]['type'][_0x1a6659(0x1680)]()===_0x1a6659(0x895)&&_0x8a283f[_0x1a6659(0xdd8)][_0x1a6659(0x299b)]===_0x1a6659(0x25f4)&&(_0x8a283f['dial']['phone']=_0x10ac4c[_0x1a6659(0x325)]?(_0x8a283f[_0x1a6659(0xdd8)][_0x1a6659(0x586)]||'')+_0x1a6659(0x19e4)+_0x10ac4c['cutdigits']+'}':(_0x8a283f[_0x1a6659(0xdd8)][_0x1a6659(0x586)]||'')+_0x1a6659(0xcdd),_0x10ac4c[_0x1a6659(0xf8d)]!==_0x1a6659(0x13b1)?_0x8a283f['dial'][_0x1a6659(0x2224)][_0x1a6659(0xd8a)]('U(xcally-mixmonitor-context)')<0x0&&(_0x8a283f[_0x1a6659(0xdd8)][_0x1a6659(0x2224)]+=_0x1a6659(0x106a)):_0x8a283f[_0x1a6659(0xdd8)][_0x1a6659(0x2224)]=_0x8a283f['dial'][_0x1a6659(0x2224)][_0x1a6659(0x288f)]('U(xcally-mixmonitor-context)',''));if(_0x8a283f['dial']['appType']&&_0x8a283f[_0x1a6659(0xdd8)][_0x1a6659(0x299b)]===_0x1a6659(0x197c)){}else switch((_0x8a283f[_0x1a6659(0xdd8)][_0x1a6659(0x22e1)]||_0x8a283f[_0x1a6659(0xdd8)]['appType'])[_0x1a6659(0x1680)]()){case _0x1a6659(0x19d1):_0x8a283f['dial'][_0x1a6659(0x28df)]=_0x8a283f[_0x1a6659(0xdd8)][_0x1a6659(0x16b6)]+'='+_0x8a283f[_0x1a6659(0xdd8)][_0x1a6659(0x327)];break;case'custom':break;default:_0x51c803[0x0]=_0x8a283f[_0x1a6659(0xdd8)][_0x1a6659(0x23f2)],_0x51c803[0x1]=_0x8a283f[_0x1a6659(0xdd8)]['timeout'],_0x51c803[0x2]=_0x8a283f[_0x1a6659(0xdd8)][_0x1a6659(0x2224)],_0x51c803[0x3]=_0x8a283f[_0x1a6659(0xdd8)][_0x1a6659(0x135b)],_0x8a283f['dial'][_0x1a6659(0x28df)]=_0x51c803[_0x1a6659(0x1f66)](',');}_0xe582cc(_0x8a283f[_0x1a6659(0xdd8)]);}function _0xe582cc(_0x4d5b16){const _0x4739e5=_0x466b2f;_0x51febc[_0x4739e5(0x1426)](_0x4d5b16);}}const _0x1eff40=_0x534edd;;_0x343c13['$inject']=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x23b1),_0x5537c6(0x3af),_0x5537c6(0x142b),'Auth',_0x5537c6(0x1b1a)];function _0x343c13(_0x146d45,_0xb70b78,_0x13e451,_0x19129b,_0x5ac542,_0x1af000,_0x3adc50,_0x4bb983){const _0x4a8a0d=_0x5537c6,_0x2368e3=this;_0x2368e3[_0x4a8a0d(0xe76)]=_0x3adc50[_0x4a8a0d(0x21e8)](),_0x2368e3[_0x4a8a0d(0x1a7c)]=[],_0x2368e3['title']=_0x4a8a0d(0x132b)+(_0x19129b[_0x4a8a0d(0x299b)]||_0x19129b[_0x4a8a0d(0x22e1)])[_0x4a8a0d(0x2335)](),_0x2368e3[_0x4a8a0d(0x748)]=angular['copy'](_0x19129b),_0x2368e3[_0x4a8a0d(0x1b1a)]=_0x4bb983,_0x2368e3[_0x4a8a0d(0xf4c)]={};if(_0x2368e3['externaldial'][_0x4a8a0d(0x28df)])switch(_0x2368e3['externaldial'][_0x4a8a0d(0x299b)]?_0x2368e3[_0x4a8a0d(0x748)]['appType'][_0x4a8a0d(0x1680)]():_0x2368e3[_0x4a8a0d(0x748)][_0x4a8a0d(0x22e1)][_0x4a8a0d(0x1680)]()){case _0x4a8a0d(0x197c):break;case _0x4a8a0d(0x711):{const _0x50fb12=_0x2368e3[_0x4a8a0d(0x748)]['appdata'][_0x4a8a0d(0xbe1)](',');_0x2368e3[_0x4a8a0d(0x748)][_0x4a8a0d(0xee8)]=_0x50fb12[0x0],_0x2368e3[_0x4a8a0d(0x748)][_0x4a8a0d(0x12b4)]=_0x50fb12[0x1],_0x2368e3[_0x4a8a0d(0x748)][_0x4a8a0d(0x173a)]=_0x50fb12[_0x4a8a0d(0x14cb)](0x2,_0x50fb12[_0x4a8a0d(0xfd0)])[_0x4a8a0d(0x1f66)](',');}break;case _0x4a8a0d(0xece):{const _0x36c8f2=_0x2368e3[_0x4a8a0d(0x748)][_0x4a8a0d(0x28df)]['split'](',');_0x2368e3[_0x4a8a0d(0x748)][_0x4a8a0d(0x2854)]=_0x36c8f2[0x0],_0x2368e3[_0x4a8a0d(0x748)]['clientEmail']=_0x36c8f2[0x1],_0x2368e3[_0x4a8a0d(0x748)]['privateKey']=_0x36c8f2[0x2],_0x2368e3[_0x4a8a0d(0x748)][_0x4a8a0d(0x12b4)]=_0x36c8f2[0x3],_0x2368e3[_0x4a8a0d(0x748)][_0x4a8a0d(0x173a)]=_0x36c8f2[_0x4a8a0d(0x14cb)](0x4,_0x36c8f2[_0x4a8a0d(0xfd0)])[_0x4a8a0d(0x1f66)](',');}break;case _0x4a8a0d(0x123a):{const _0x2f9c0f=_0x2368e3[_0x4a8a0d(0x748)][_0x4a8a0d(0x28df)][_0x4a8a0d(0xbe1)](',');_0x2368e3[_0x4a8a0d(0x748)]['accesskeyid']=_0x2f9c0f[0x0],_0x2368e3['externaldial']['secretaccesskey']=_0x2f9c0f[0x1],_0x2368e3[_0x4a8a0d(0x748)][_0x4a8a0d(0xd50)]=_0x2f9c0f[0x2],_0x2368e3['externaldial'][_0x4a8a0d(0x1c7f)]=_0x2f9c0f[0x3],_0x2368e3['externaldial'][_0x4a8a0d(0x173a)]=_0x2f9c0f['slice'](0x4,_0x2f9c0f['length'])[_0x4a8a0d(0x1f66)](',');}break;case _0x4a8a0d(0x1f71):{const _0x6ba52f=_0x2368e3[_0x4a8a0d(0x748)][_0x4a8a0d(0x28df)][_0x4a8a0d(0xbe1)](',');_0x2368e3['externaldial'][_0x4a8a0d(0x18a5)]=isNaN(_0x6ba52f[0x0])?_0x6ba52f[0x0]:parseInt(_0x6ba52f[0x0],0xa),_0x2368e3[_0x4a8a0d(0x748)][_0x4a8a0d(0x19d3)]=_0x6ba52f['slice'](0x1,_0x6ba52f[_0x4a8a0d(0xfd0)])['join'](',');}break;case _0x4a8a0d(0x155e):_0x2368e3[_0x4a8a0d(0x748)][_0x4a8a0d(0x19d3)]=_0x2368e3[_0x4a8a0d(0x748)][_0x4a8a0d(0x28df)];break;case'set':_0x2368e3['externaldial'][_0x4a8a0d(0x16b6)]=_0x2368e3[_0x4a8a0d(0x748)][_0x4a8a0d(0x28df)][_0x4a8a0d(0xbe1)]('=')[0x0],_0x2368e3[_0x4a8a0d(0x748)]['value']=_0x2368e3['externaldial'][_0x4a8a0d(0x28df)][_0x4a8a0d(0xbe1)]('=')[0x1];break;case _0x4a8a0d(0x4b4):_0x2368e3['externaldial'][_0x4a8a0d(0x12a7)]=_0x2368e3[_0x4a8a0d(0x748)]['appdata'];break;default:{const _0x3b326b=_0x2368e3['externaldial'][_0x4a8a0d(0x28df)][_0x4a8a0d(0xbe1)](',');_0x2368e3[_0x4a8a0d(0x748)][_0x4a8a0d(0x1ae0)]=_0x3b326b[0x0][_0x4a8a0d(0xbe1)]('/')[0x1],_0x2368e3[_0x4a8a0d(0x748)]['phone']=_0x3b326b[0x0][_0x4a8a0d(0xbe1)]('/')[0x2],_0x2368e3[_0x4a8a0d(0x748)][_0x4a8a0d(0x1719)]=_0x39641b()[_0x4a8a0d(0xce9)](_0x3b326b[0x1])?_0x3b326b[0x1]:isNaN(_0x3b326b[0x1])?_0x3b326b[0x1]:parseInt(_0x3b326b[0x1],0xa),_0x2368e3[_0x4a8a0d(0x748)][_0x4a8a0d(0x2224)]=_0x39641b()[_0x4a8a0d(0xce9)](_0x3b326b[0x2])?_0x3b326b[0x2]:isNaN(_0x3b326b[0x2])?_0x3b326b[0x2]:parseInt(_0x3b326b[0x2],0xa),_0x2368e3[_0x4a8a0d(0x748)][_0x4a8a0d(0x135b)]=_0x39641b()[_0x4a8a0d(0xce9)](_0x3b326b[0x3])?_0x3b326b[0x3]:isNaN(_0x3b326b[0x3])?_0x3b326b[0x3]:parseInt(_0x3b326b[0x3],0xa);}break;}else{}_0x2368e3[_0x4a8a0d(0x748)][_0x4a8a0d(0x66a)]&&_0x2368e3[_0x4a8a0d(0x748)]['type'][_0x4a8a0d(0x1680)]()===_0x4a8a0d(0x895)&&_0x2368e3[_0x4a8a0d(0x748)][_0x4a8a0d(0x299b)]['toLowerCase']()==='outbounddial'&&(_0x2368e3[_0x4a8a0d(0x748)][_0x4a8a0d(0x586)]=_0x2368e3[_0x4a8a0d(0x748)]['phone']?_0x2368e3[_0x4a8a0d(0x748)][_0x4a8a0d(0x1340)][_0x4a8a0d(0xbe1)]('$')[0x0]:undefined,_0x2368e3[_0x4a8a0d(0x748)][_0x4a8a0d(0x1e7c)]=_0x2368e3['externaldial'][_0x4a8a0d(0x2816)]?_0x4a8a0d(0x25f9)+_0x2368e3[_0x4a8a0d(0x748)]['callerID']:undefined);_0x2368e3[_0x4a8a0d(0xb3b)]=_0x1f8e5f,_0x2368e3[_0x4a8a0d(0xda0)]=_0x5eb51c,_0x3adc50[_0x4a8a0d(0x22b6)]('admin')?_0x1af000[_0x4a8a0d(0x1ae0)]['get']({'fields':_0x4a8a0d(0x43c),'sort':_0x4a8a0d(0x16b6),'nolimit':_0x4a8a0d(0x44d)})[_0x4a8a0d(0x1d77)][_0x4a8a0d(0x1cb0)](function(_0x3eea73){const _0xbb6d3b=_0x4a8a0d;_0x2368e3[_0xbb6d3b(0x1363)]=_0x3eea73[_0xbb6d3b(0x2214)]||[];})['catch'](function(_0x43e0ad){const _0x5b6458=_0x4a8a0d;_0x13e451[_0x5b6458(0x218e)]({'title':_0x43e0ad[_0x5b6458(0x291)]?_0x5b6458(0xeb9)+_0x43e0ad['status']+_0x5b6458(0x1657)+_0x43e0ad[_0x5b6458(0xc22)]:_0x5b6458(0x14fc),'msg':_0x43e0ad[_0x5b6458(0x25c)]?JSON[_0x5b6458(0x2701)](_0x43e0ad[_0x5b6458(0x25c)]):_0x43e0ad[_0x5b6458(0x147f)]()});}):_0x1af000[_0x4a8a0d(0x1ae0)][_0x4a8a0d(0xbf7)]({'fields':'id,name','sort':_0x4a8a0d(0x16b6),'nolimit':_0x4a8a0d(0x44d)})['$promise'][_0x4a8a0d(0x1cb0)](function(_0x16c832){const _0x25d858=_0x4a8a0d;_0x2368e3['trunks']=_0x16c832[_0x25d858(0x2214)]||[];})[_0x4a8a0d(0x1cb0)](function(){const _0x182ef8=_0x4a8a0d;return _0x1af000[_0x182ef8(0x2199)][_0x182ef8(0xbf7)]({'userProfileId':_0x2368e3[_0x182ef8(0xe76)][_0x182ef8(0x13c1)],'sectionId':0x3f3})[_0x182ef8(0x1d77)];})[_0x4a8a0d(0x1cb0)](function(_0x106da8){const _0xcf7e42=_0x4a8a0d,_0x5bc891=_0x106da8&&_0x106da8[_0xcf7e42(0x2214)]?_0x106da8[_0xcf7e42(0x2214)][0x0]:null;if(!_0x5bc891){const _0x43ff96=[];let _0x7a3b58=null;_0x2368e3[_0xcf7e42(0x748)]&&(_0x7a3b58=_0x39641b()[_0xcf7e42(0x13b4)](_0x2368e3[_0xcf7e42(0x1363)],{'name':_0x2368e3[_0xcf7e42(0x748)][_0xcf7e42(0x1ae0)]}));for(let _0x571b3c=0x0;_0x571b3c<_0x2368e3[_0xcf7e42(0x1363)][_0xcf7e42(0xfd0)];_0x571b3c++){_0x7a3b58&&_0x2368e3[_0xcf7e42(0x1363)][_0x571b3c]['id']===_0x7a3b58['id']&&(_0x2368e3[_0xcf7e42(0x1363)][_0x571b3c][_0xcf7e42(0x15da)]=![],_0x43ff96[_0xcf7e42(0x2785)](_0x2368e3[_0xcf7e42(0x1363)][_0x571b3c]));}_0x2368e3[_0xcf7e42(0x1363)]=_0x43ff96;}else{if(!_0x5bc891['autoAssociation'])return _0x1af000[_0xcf7e42(0x1198)][_0xcf7e42(0xbf7)]({'sectionId':_0x5bc891['id']})['$promise']['then'](function(_0x22601b){const _0x4cea2c=_0xcf7e42,_0x28b694=_0x39641b()[_0x4cea2c(0x1de2)](_0x22601b[_0x4cea2c(0x2214)],function(_0xc1299b){const _0x21e955=_0x4cea2c;return _0x39641b()['find'](_0x2368e3[_0x21e955(0x1363)],{'id':_0xc1299b[_0x21e955(0x2982)]});});let _0x140822=null;_0x2368e3[_0x4cea2c(0x748)]&&(_0x140822=_0x39641b()[_0x4cea2c(0x13b4)](_0x2368e3[_0x4cea2c(0x1363)],{'name':_0x2368e3[_0x4cea2c(0x748)][_0x4cea2c(0x1ae0)]}));if(_0x140822&&!_0x39641b()[_0x4cea2c(0x727)](_0x28b694,['id',_0x140822['id']])){const _0x4f231c=_0x39641b()[_0x4cea2c(0x13b4)](_0x2368e3[_0x4cea2c(0x1363)],{'id':_0x140822['id']});_0x4f231c[_0x4cea2c(0x15da)]=![],_0x28b694[_0x4cea2c(0x2785)](_0x4f231c);}_0x2368e3[_0x4cea2c(0x1363)]=_0x28b694;});}})[_0x4a8a0d(0x1c4)](function(_0x56b224){const _0x49ef93=_0x4a8a0d;_0x13e451[_0x49ef93(0x218e)]({'title':_0x56b224[_0x49ef93(0x291)]?'API:'+_0x56b224[_0x49ef93(0x291)]+_0x49ef93(0x1657)+_0x56b224[_0x49ef93(0xc22)]:_0x49ef93(0x2040),'msg':_0x56b224[_0x49ef93(0x25c)]?JSON['stringify'](_0x56b224[_0x49ef93(0x25c)]):_0x56b224[_0x49ef93(0x147f)]()});});function _0x1f8e5f(){const _0x316b08=_0x4a8a0d;_0x2368e3[_0x316b08(0x1a7c)]=[];const _0x5028ad=[];_0x2368e3[_0x316b08(0x748)][_0x316b08(0x66a)]&&_0x2368e3['externaldial']['type'][_0x316b08(0x1680)]()===_0x316b08(0x895)&&_0x2368e3[_0x316b08(0x748)][_0x316b08(0x299b)]===_0x316b08(0x25f4)&&(_0x2368e3['externaldial']['phone']=_0x5ac542[_0x316b08(0x325)]?(_0x2368e3['externaldial'][_0x316b08(0x586)]||'')+_0x316b08(0x19e4)+_0x5ac542[_0x316b08(0x325)]+'}':(_0x2368e3['externaldial'][_0x316b08(0x586)]||'')+_0x316b08(0xcdd),_0x5ac542[_0x316b08(0xf8d)]!==_0x316b08(0x13b1)?_0x2368e3['externaldial'][_0x316b08(0x2224)][_0x316b08(0xd8a)](_0x316b08(0x106a))<0x0&&(_0x2368e3[_0x316b08(0x748)]['options']+='U(xcally-mixmonitor-context)'):_0x2368e3['externaldial'][_0x316b08(0x2224)]=_0x2368e3[_0x316b08(0x748)][_0x316b08(0x2224)][_0x316b08(0x288f)]('U(xcally-mixmonitor-context)',''));if(_0x2368e3[_0x316b08(0x748)]['appType']&&_0x2368e3['externaldial'][_0x316b08(0x299b)]===_0x316b08(0x197c)){}else switch((_0x2368e3[_0x316b08(0x748)][_0x316b08(0x22e1)]||_0x2368e3[_0x316b08(0x748)][_0x316b08(0x299b)])['toLowerCase']()){case _0x316b08(0x19d1):_0x2368e3['externaldial'][_0x316b08(0x28df)]=_0x2368e3[_0x316b08(0x748)][_0x316b08(0x16b6)]+'='+_0x2368e3[_0x316b08(0x748)][_0x316b08(0x327)];break;case _0x316b08(0x197c):break;default:_0x5028ad[0x0]=[_0x316b08(0x2832),_0x2368e3[_0x316b08(0x748)][_0x316b08(0x1ae0)],_0x2368e3[_0x316b08(0x748)][_0x316b08(0x1340)]][_0x316b08(0x1f66)]('/'),_0x5028ad[0x1]=_0x2368e3[_0x316b08(0x748)][_0x316b08(0x1719)],_0x5028ad[0x2]=_0x2368e3[_0x316b08(0x748)][_0x316b08(0x2224)],_0x5028ad[0x3]=_0x2368e3[_0x316b08(0x748)][_0x316b08(0x135b)],_0x2368e3[_0x316b08(0x748)][_0x316b08(0x28df)]=_0x5028ad['join'](',');}_0x5eb51c(_0x2368e3['externaldial']);}function _0x5eb51c(_0x436adf){const _0x23f446=_0x4a8a0d;_0x146d45[_0x23f446(0x1426)](_0x436adf);}}const _0x1d2d24=_0x343c13;;_0x14b7f7[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x23b1),'internalroute',_0x5537c6(0x142b),_0x5537c6(0x1774),'crudPermissions'];function _0x14b7f7(_0x491c47,_0x44dd91,_0x50f22e,_0xe16899,_0xf12fd5,_0x5e70fb,_0x568359,_0x2c7592){const _0x5dcec3=_0x5537c6,_0x1e9197=this;_0x1e9197[_0x5dcec3(0xe76)]=_0x568359[_0x5dcec3(0x21e8)](),_0x1e9197[_0x5dcec3(0x1a7c)]=[],_0x1e9197[_0x5dcec3(0x1386)]='VOICE.EDIT_'+(_0xe16899['appType']||_0xe16899[_0x5dcec3(0x22e1)])['toUpperCase'](),_0x1e9197['goto']=angular['copy'](_0xe16899),_0x1e9197[_0x5dcec3(0x1b1a)]=_0x2c7592,_0x1e9197[_0x5dcec3(0xf4c)]={};if(_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x28df)])switch(_0x1e9197[_0x5dcec3(0x3da)]['appType']?_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x299b)][_0x5dcec3(0x1680)]():_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x22e1)][_0x5dcec3(0x1680)]()){case _0x5dcec3(0x197c):break;case _0x5dcec3(0x711):{const _0x16e787=_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x28df)][_0x5dcec3(0xbe1)](',');_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0xee8)]=_0x16e787[0x0],_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x12b4)]=_0x16e787[0x1],_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x173a)]=_0x16e787['slice'](0x2,_0x16e787['length'])[_0x5dcec3(0x1f66)](',');}break;case _0x5dcec3(0xece):{const _0x8fa1db=_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x28df)]['split'](',');_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x2854)]=_0x8fa1db[0x0],_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x12bf)]=_0x8fa1db[0x1],_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x29d6)]=_0x8fa1db[0x2],_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x12b4)]=_0x8fa1db[0x3],_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x173a)]=_0x8fa1db['slice'](0x4,_0x8fa1db[_0x5dcec3(0xfd0)])[_0x5dcec3(0x1f66)](',');}break;case _0x5dcec3(0x123a):{const _0x1c75d8=_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x28df)][_0x5dcec3(0xbe1)](',');_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x413)]=_0x1c75d8[0x0],_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x21d9)]=_0x1c75d8[0x1],_0x1e9197['goto'][_0x5dcec3(0xd50)]=_0x1c75d8[0x2],_0x1e9197['goto'][_0x5dcec3(0x1c7f)]=_0x1c75d8[0x3],_0x1e9197['goto']['welcomemessage']=_0x1c75d8[_0x5dcec3(0x14cb)](0x4,_0x1c75d8['length'])[_0x5dcec3(0x1f66)](',');}break;case'autoreply':{const _0x3da1c3=_0x1e9197['goto'][_0x5dcec3(0x28df)]['split'](',');_0x1e9197['goto'][_0x5dcec3(0x18a5)]=isNaN(_0x3da1c3[0x0])?_0x3da1c3[0x0]:parseInt(_0x3da1c3[0x0],0xa),_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x19d3)]=_0x3da1c3[_0x5dcec3(0x14cb)](0x1,_0x3da1c3['length'])['join'](',');}break;case'message':_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x19d3)]=_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x28df)];break;case'set':_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x16b6)]=_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x28df)][_0x5dcec3(0xbe1)]('=')[0x0],_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x327)]=_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x28df)][_0x5dcec3(0xbe1)]('=')[0x1];break;case _0x5dcec3(0x4b4):_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x12a7)]=_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x28df)];break;default:{const _0xfd2027=_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x28df)]['split'](',');_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x2056)]=_0x39641b()[_0x5dcec3(0xce9)](_0xfd2027[0x0])?_0xfd2027[0x0]:isNaN(_0xfd2027[0x0])?_0xfd2027[0x0]:parseInt(_0xfd2027[0x0],0xa),_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0xd52)]=_0x39641b()[_0x5dcec3(0xce9)](_0xfd2027[0x1])?_0xfd2027[0x1]:isNaN(_0xfd2027[0x1])?_0xfd2027[0x1]:parseInt(_0xfd2027[0x1],0xa),_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x521)]=_0x39641b()[_0x5dcec3(0xce9)](_0xfd2027[0x2])?_0xfd2027[0x2]:isNaN(_0xfd2027[0x2])?_0xfd2027[0x2]:parseInt(_0xfd2027[0x2],0xa);}break;}else{}_0x1e9197[_0x5dcec3(0x3da)]['type']&&_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x66a)][_0x5dcec3(0x1680)]()===_0x5dcec3(0x895)&&_0x1e9197[_0x5dcec3(0x3da)]['appType'][_0x5dcec3(0x1680)]()===_0x5dcec3(0x20ff)&&(_0x1e9197['goto'][_0x5dcec3(0x586)]=_0x1e9197['goto'][_0x5dcec3(0x1340)]?_0x1e9197[_0x5dcec3(0x3da)][_0x5dcec3(0x1340)][_0x5dcec3(0xbe1)]('$')[0x0]:undefined,_0x1e9197[_0x5dcec3(0x3da)]['callerId']=_0x1e9197[_0x5dcec3(0x3da)]['callerID']?_0x5dcec3(0x25f9)+_0x1e9197[_0x5dcec3(0x3da)]['callerID']:undefined);_0x1e9197[_0x5dcec3(0xb3b)]=_0x4bd80f,_0x1e9197[_0x5dcec3(0xda0)]=_0x13cf62,_0x568359[_0x5dcec3(0x22b6)](_0x5dcec3(0x1c60))?_0x5e70fb[_0x5dcec3(0x1da5)][_0x5dcec3(0xbf7)]({'fields':_0x5dcec3(0x43c),'sort':_0x5dcec3(0x16b6),'nolimit':_0x5dcec3(0x44d)})[_0x5dcec3(0x1d77)][_0x5dcec3(0x1cb0)](function(_0x1ea645){const _0x2105a9=_0x5dcec3;_0x1e9197[_0x2105a9(0x14f6)]=_0x1ea645[_0x2105a9(0x2214)]||[];})[_0x5dcec3(0x1c4)](function(_0x206409){const _0x457694=_0x5dcec3;_0x50f22e[_0x457694(0x218e)]({'title':_0x206409['status']?'API:'+_0x206409[_0x457694(0x291)]+'\x20-\x20'+_0x206409[_0x457694(0xc22)]:_0x457694(0x2072),'msg':_0x206409['data']?JSON['stringify'](_0x206409[_0x457694(0x25c)]):_0x206409[_0x457694(0x147f)]()});}):_0x5e70fb['voiceContext'][_0x5dcec3(0xbf7)]({'fields':_0x5dcec3(0x43c),'sort':_0x5dcec3(0x16b6),'nolimit':'true'})[_0x5dcec3(0x1d77)][_0x5dcec3(0x1cb0)](function(_0x263c38){const _0x54cb29=_0x5dcec3;_0x1e9197[_0x54cb29(0x14f6)]=_0x263c38[_0x54cb29(0x2214)]||[];})['then'](function(){const _0x38149f=_0x5dcec3;return _0x5e70fb[_0x38149f(0x2199)][_0x38149f(0xbf7)]({'userProfileId':_0x1e9197[_0x38149f(0xe76)][_0x38149f(0x13c1)],'sectionId':0x195})['$promise'];})['then'](function(_0xe40e87){const _0x2c157a=_0x5dcec3,_0xc33ce1=_0xe40e87&&_0xe40e87[_0x2c157a(0x2214)]?_0xe40e87[_0x2c157a(0x2214)][0x0]:null;if(!_0xc33ce1)return _0x5e70fb[_0x2c157a(0x1da5)]['get']({'fields':_0x2c157a(0x43c),'sort':'name','nolimit':_0x2c157a(0x44d),'defaultEntry':0x1})[_0x2c157a(0x1d77)][_0x2c157a(0x1cb0)](function(_0x2c50f8){const _0x59a90c=_0x2c157a;_0x1e9197[_0x59a90c(0x14f6)]=_0x2c50f8[_0x59a90c(0x2214)]||[];});else{if(!_0xc33ce1[_0x2c157a(0x12f4)])return _0x5e70fb[_0x2c157a(0x1198)][_0x2c157a(0xbf7)]({'sectionId':_0xc33ce1['id']})[_0x2c157a(0x1d77)][_0x2c157a(0x1cb0)](function(_0x2c331a){const _0x34d2b3=_0x2c157a,_0x4c629e=_0x39641b()['map'](_0x2c331a[_0x34d2b3(0x2214)],function(_0x923184){const _0x300fa0=_0x34d2b3;return _0x39641b()[_0x300fa0(0x13b4)](_0x1e9197[_0x300fa0(0x14f6)],{'id':_0x923184[_0x300fa0(0x2982)]});});let _0x5dde93=null;_0x1e9197['goto']&&(_0x5dde93=_0x39641b()[_0x34d2b3(0x13b4)](_0x1e9197['contexts'],{'name':_0x1e9197[_0x34d2b3(0x3da)]['context']}));if(_0x5dde93&&!_0x39641b()[_0x34d2b3(0x727)](_0x4c629e,['id',_0x5dde93['id']])){const _0x6e1bd1=_0x39641b()[_0x34d2b3(0x13b4)](_0x1e9197[_0x34d2b3(0x14f6)],{'id':_0x5dde93['id']});_0x6e1bd1['canSelect']=![],_0x4c629e[_0x34d2b3(0x2785)](_0x6e1bd1);}_0x1e9197[_0x34d2b3(0x14f6)]=_0x4c629e;});}})['catch'](function(_0x4bef65){const _0x26e6bf=_0x5dcec3;_0x50f22e['error']({'title':_0x4bef65[_0x26e6bf(0x291)]?_0x26e6bf(0xeb9)+_0x4bef65['status']+'\x20-\x20'+_0x4bef65[_0x26e6bf(0xc22)]:_0x26e6bf(0x5ac),'msg':_0x4bef65['data']?JSON[_0x26e6bf(0x2701)](_0x4bef65['data']):_0x4bef65[_0x26e6bf(0x147f)]()});});function _0x4bd80f(){const _0x75a6cf=_0x5dcec3;_0x1e9197[_0x75a6cf(0x1a7c)]=[];const _0x29d069=[];_0x1e9197[_0x75a6cf(0x3da)][_0x75a6cf(0x66a)]&&_0x1e9197[_0x75a6cf(0x3da)][_0x75a6cf(0x66a)]['toLowerCase']()===_0x75a6cf(0x895)&&_0x1e9197[_0x75a6cf(0x3da)][_0x75a6cf(0x299b)]==='outboundDial'&&(_0x1e9197[_0x75a6cf(0x3da)][_0x75a6cf(0x1340)]=_0xf12fd5['cutdigits']?(_0x1e9197[_0x75a6cf(0x3da)][_0x75a6cf(0x586)]||'')+_0x75a6cf(0x19e4)+_0xf12fd5[_0x75a6cf(0x325)]+'}':(_0x1e9197['goto'][_0x75a6cf(0x586)]||'')+'${EXTEN}',_0xf12fd5[_0x75a6cf(0xf8d)]!==_0x75a6cf(0x13b1)?_0x1e9197[_0x75a6cf(0x3da)][_0x75a6cf(0x2224)][_0x75a6cf(0xd8a)](_0x75a6cf(0x106a))<0x0&&(_0x1e9197[_0x75a6cf(0x3da)]['options']+=_0x75a6cf(0x106a)):_0x1e9197[_0x75a6cf(0x3da)][_0x75a6cf(0x2224)]=_0x1e9197[_0x75a6cf(0x3da)][_0x75a6cf(0x2224)][_0x75a6cf(0x288f)](_0x75a6cf(0x106a),''));if(_0x1e9197[_0x75a6cf(0x3da)][_0x75a6cf(0x299b)]&&_0x1e9197[_0x75a6cf(0x3da)][_0x75a6cf(0x299b)]==='custom'){}else switch((_0x1e9197['goto'][_0x75a6cf(0x22e1)]||_0x1e9197[_0x75a6cf(0x3da)][_0x75a6cf(0x299b)])[_0x75a6cf(0x1680)]()){case _0x75a6cf(0x19d1):_0x1e9197['goto'][_0x75a6cf(0x28df)]=_0x1e9197[_0x75a6cf(0x3da)][_0x75a6cf(0x16b6)]+'='+_0x1e9197[_0x75a6cf(0x3da)][_0x75a6cf(0x327)];break;case _0x75a6cf(0x197c):break;default:_0x29d069[0x0]=_0x1e9197[_0x75a6cf(0x3da)][_0x75a6cf(0x2056)],_0x29d069[0x1]=_0x1e9197['goto'][_0x75a6cf(0xd52)],_0x29d069[0x2]=_0x1e9197[_0x75a6cf(0x3da)][_0x75a6cf(0x521)],_0x1e9197[_0x75a6cf(0x3da)][_0x75a6cf(0x28df)]=_0x29d069[_0x75a6cf(0x1f66)](',');}_0x13cf62(_0x1e9197[_0x75a6cf(0x3da)]);}function _0x13cf62(_0x13bc06){const _0x5def1c=_0x5dcec3;_0x491c47[_0x5def1c(0x1426)](_0x13bc06);}}const _0x35eed8=_0x14b7f7;;_0x112564[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x23b1),_0x5537c6(0x3af),_0x5537c6(0x142b),'Auth',_0x5537c6(0x1b1a)];function _0x112564(_0x1d52da,_0x38ea10,_0x24c648,_0x13d118,_0x526d62,_0x4400d4,_0x368462,_0x44a84f){const _0x1f2398=_0x5537c6,_0x44ed02=this;_0x44ed02[_0x1f2398(0xe76)]=_0x368462[_0x1f2398(0x21e8)](),_0x44ed02[_0x1f2398(0x1a7c)]=[],_0x44ed02['title']=_0x1f2398(0x132b)+(_0x13d118[_0x1f2398(0x299b)]||_0x13d118[_0x1f2398(0x22e1)])[_0x1f2398(0x2335)](),_0x44ed02[_0x1f2398(0x15e3)]=angular['copy'](_0x13d118),_0x44ed02[_0x1f2398(0x1b1a)]=_0x44a84f,_0x44ed02['hasModulePermissions']={};if(_0x44ed02[_0x1f2398(0x15e3)]['appdata'])switch(_0x44ed02[_0x1f2398(0x15e3)]['appType']?_0x44ed02[_0x1f2398(0x15e3)][_0x1f2398(0x299b)]['toLowerCase']():_0x44ed02[_0x1f2398(0x15e3)][_0x1f2398(0x22e1)][_0x1f2398(0x1680)]()){case _0x1f2398(0x197c):break;case _0x1f2398(0x711):{const _0x263bb6=_0x44ed02['hangup'][_0x1f2398(0x28df)][_0x1f2398(0xbe1)](',');_0x44ed02[_0x1f2398(0x15e3)]['key']=_0x263bb6[0x0],_0x44ed02[_0x1f2398(0x15e3)][_0x1f2398(0x12b4)]=_0x263bb6[0x1],_0x44ed02[_0x1f2398(0x15e3)][_0x1f2398(0x173a)]=_0x263bb6['slice'](0x2,_0x263bb6['length'])[_0x1f2398(0x1f66)](',');}break;case _0x1f2398(0xece):{const _0x44bf60=_0x44ed02[_0x1f2398(0x15e3)][_0x1f2398(0x28df)]['split'](',');_0x44ed02[_0x1f2398(0x15e3)]['projectId']=_0x44bf60[0x0],_0x44ed02['hangup'][_0x1f2398(0x12bf)]=_0x44bf60[0x1],_0x44ed02[_0x1f2398(0x15e3)][_0x1f2398(0x29d6)]=_0x44bf60[0x2],_0x44ed02[_0x1f2398(0x15e3)][_0x1f2398(0x12b4)]=_0x44bf60[0x3],_0x44ed02['hangup'][_0x1f2398(0x173a)]=_0x44bf60['slice'](0x4,_0x44bf60[_0x1f2398(0xfd0)])[_0x1f2398(0x1f66)](',');}break;case _0x1f2398(0x123a):{const _0x9800fd=_0x44ed02[_0x1f2398(0x15e3)][_0x1f2398(0x28df)][_0x1f2398(0xbe1)](',');_0x44ed02['hangup'][_0x1f2398(0x413)]=_0x9800fd[0x0],_0x44ed02[_0x1f2398(0x15e3)]['secretaccesskey']=_0x9800fd[0x1],_0x44ed02[_0x1f2398(0x15e3)][_0x1f2398(0xd50)]=_0x9800fd[0x2],_0x44ed02['hangup']['botname']=_0x9800fd[0x3],_0x44ed02[_0x1f2398(0x15e3)][_0x1f2398(0x173a)]=_0x9800fd[_0x1f2398(0x14cb)](0x4,_0x9800fd[_0x1f2398(0xfd0)])[_0x1f2398(0x1f66)](',');}break;case _0x1f2398(0x1f71):{const _0x284212=_0x44ed02[_0x1f2398(0x15e3)][_0x1f2398(0x28df)][_0x1f2398(0xbe1)](',');_0x44ed02[_0x1f2398(0x15e3)][_0x1f2398(0x18a5)]=isNaN(_0x284212[0x0])?_0x284212[0x0]:parseInt(_0x284212[0x0],0xa),_0x44ed02[_0x1f2398(0x15e3)][_0x1f2398(0x19d3)]=_0x284212[_0x1f2398(0x14cb)](0x1,_0x284212['length'])[_0x1f2398(0x1f66)](',');}break;case _0x1f2398(0x155e):_0x44ed02[_0x1f2398(0x15e3)][_0x1f2398(0x19d3)]=_0x44ed02[_0x1f2398(0x15e3)][_0x1f2398(0x28df)];break;case _0x1f2398(0x19d1):_0x44ed02[_0x1f2398(0x15e3)][_0x1f2398(0x16b6)]=_0x44ed02[_0x1f2398(0x15e3)]['appdata'][_0x1f2398(0xbe1)]('=')[0x0],_0x44ed02[_0x1f2398(0x15e3)]['value']=_0x44ed02[_0x1f2398(0x15e3)][_0x1f2398(0x28df)][_0x1f2398(0xbe1)]('=')[0x1];break;case _0x1f2398(0x4b4):_0x44ed02[_0x1f2398(0x15e3)][_0x1f2398(0x12a7)]=_0x44ed02[_0x1f2398(0x15e3)][_0x1f2398(0x28df)];break;default:{const _0x53dbbf=_0x44ed02[_0x1f2398(0x15e3)][_0x1f2398(0x28df)][_0x1f2398(0xbe1)](',');_0x44ed02[_0x1f2398(0x15e3)][_0x1f2398(0x28df)]=_0x39641b()[_0x1f2398(0xce9)](_0x53dbbf[0x0])?_0x53dbbf[0x0]:isNaN(_0x53dbbf[0x0])?_0x53dbbf[0x0]:parseInt(_0x53dbbf[0x0],0xa);}break;}else{}_0x44ed02['hangup'][_0x1f2398(0x66a)]&&_0x44ed02['hangup'][_0x1f2398(0x66a)][_0x1f2398(0x1680)]()===_0x1f2398(0x895)&&_0x44ed02[_0x1f2398(0x15e3)][_0x1f2398(0x299b)]['toLowerCase']()===_0x1f2398(0x20ff)&&(_0x44ed02[_0x1f2398(0x15e3)][_0x1f2398(0x586)]=_0x44ed02['hangup']['phone']?_0x44ed02[_0x1f2398(0x15e3)][_0x1f2398(0x1340)][_0x1f2398(0xbe1)]('$')[0x0]:undefined,_0x44ed02['hangup'][_0x1f2398(0x1e7c)]=_0x44ed02['hangup'][_0x1f2398(0x2816)]?_0x1f2398(0x25f9)+_0x44ed02[_0x1f2398(0x15e3)]['callerID']:undefined);_0x44ed02['saveInternalRouteApp']=_0x442c3b,_0x44ed02[_0x1f2398(0xda0)]=_0x133a3f;function _0x442c3b(){const _0x5ba68f=_0x1f2398;_0x44ed02[_0x5ba68f(0x1a7c)]=[];const _0x4a150f=[];_0x44ed02[_0x5ba68f(0x15e3)][_0x5ba68f(0x66a)]&&_0x44ed02[_0x5ba68f(0x15e3)]['type'][_0x5ba68f(0x1680)]()===_0x5ba68f(0x895)&&_0x44ed02[_0x5ba68f(0x15e3)][_0x5ba68f(0x299b)]==='outboundDial'&&(_0x44ed02[_0x5ba68f(0x15e3)][_0x5ba68f(0x1340)]=_0x526d62['cutdigits']?(_0x44ed02['hangup'][_0x5ba68f(0x586)]||'')+'${EXTEN:'+_0x526d62[_0x5ba68f(0x325)]+'}':(_0x44ed02[_0x5ba68f(0x15e3)]['prefix']||'')+_0x5ba68f(0xcdd),_0x526d62['recordingFormat']!==_0x5ba68f(0x13b1)?_0x44ed02['hangup']['options'][_0x5ba68f(0xd8a)](_0x5ba68f(0x106a))<0x0&&(_0x44ed02['hangup'][_0x5ba68f(0x2224)]+='U(xcally-mixmonitor-context)'):_0x44ed02['hangup']['options']=_0x44ed02[_0x5ba68f(0x15e3)][_0x5ba68f(0x2224)][_0x5ba68f(0x288f)](_0x5ba68f(0x106a),''));if(_0x44ed02['hangup']['appType']&&_0x44ed02[_0x5ba68f(0x15e3)][_0x5ba68f(0x299b)]===_0x5ba68f(0x197c)){}else switch((_0x44ed02[_0x5ba68f(0x15e3)][_0x5ba68f(0x22e1)]||_0x44ed02[_0x5ba68f(0x15e3)][_0x5ba68f(0x299b)])['toLowerCase']()){case _0x5ba68f(0x19d1):_0x44ed02[_0x5ba68f(0x15e3)][_0x5ba68f(0x28df)]=_0x44ed02[_0x5ba68f(0x15e3)]['name']+'='+_0x44ed02[_0x5ba68f(0x15e3)][_0x5ba68f(0x327)];break;case _0x5ba68f(0x197c):break;default:_0x4a150f[0x0]=_0x44ed02[_0x5ba68f(0x15e3)]['appdata'],_0x44ed02[_0x5ba68f(0x15e3)]['appdata']=_0x4a150f[_0x5ba68f(0x1f66)](',');}_0x133a3f(_0x44ed02[_0x5ba68f(0x15e3)]);}function _0x133a3f(_0x346962){const _0x46082f=_0x1f2398;_0x1d52da[_0x46082f(0x1426)](_0x346962);}}const _0x24c0f3=_0x112564;;_0xbdc63[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x23b1),_0x5537c6(0x3af),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0xbdc63(_0x397c30,_0x1ab980,_0x8d6549,_0x2936a9,_0x32b075,_0x5a8508,_0x4dff38,_0x37188c){const _0x3551c3=_0x5537c6,_0x477906=this;_0x477906['currentUser']=_0x4dff38[_0x3551c3(0x21e8)](),_0x477906[_0x3551c3(0x1a7c)]=[],_0x477906['title']=_0x3551c3(0x132b)+(_0x2936a9[_0x3551c3(0x299b)]||_0x2936a9[_0x3551c3(0x22e1)])['toUpperCase'](),_0x477906[_0x3551c3(0x19af)]=angular[_0x3551c3(0x17fe)](_0x2936a9),_0x477906['crudPermissions']=_0x37188c,_0x477906[_0x3551c3(0xf4c)]={};if(_0x477906[_0x3551c3(0x19af)][_0x3551c3(0x28df)])switch(_0x477906[_0x3551c3(0x19af)]['appType']?_0x477906[_0x3551c3(0x19af)][_0x3551c3(0x299b)][_0x3551c3(0x1680)]():_0x477906[_0x3551c3(0x19af)][_0x3551c3(0x22e1)][_0x3551c3(0x1680)]()){case _0x3551c3(0x197c):break;case _0x3551c3(0x711):{const _0x16bae4=_0x477906[_0x3551c3(0x19af)][_0x3551c3(0x28df)]['split'](',');_0x477906[_0x3551c3(0x19af)][_0x3551c3(0xee8)]=_0x16bae4[0x0],_0x477906[_0x3551c3(0x19af)][_0x3551c3(0x12b4)]=_0x16bae4[0x1],_0x477906[_0x3551c3(0x19af)][_0x3551c3(0x173a)]=_0x16bae4[_0x3551c3(0x14cb)](0x2,_0x16bae4[_0x3551c3(0xfd0)])[_0x3551c3(0x1f66)](',');}break;case _0x3551c3(0xece):{const _0x52123d=_0x477906['internaldial'][_0x3551c3(0x28df)][_0x3551c3(0xbe1)](',');_0x477906[_0x3551c3(0x19af)][_0x3551c3(0x2854)]=_0x52123d[0x0],_0x477906[_0x3551c3(0x19af)][_0x3551c3(0x12bf)]=_0x52123d[0x1],_0x477906[_0x3551c3(0x19af)][_0x3551c3(0x29d6)]=_0x52123d[0x2],_0x477906[_0x3551c3(0x19af)][_0x3551c3(0x12b4)]=_0x52123d[0x3],_0x477906[_0x3551c3(0x19af)]['welcomemessage']=_0x52123d[_0x3551c3(0x14cb)](0x4,_0x52123d[_0x3551c3(0xfd0)])[_0x3551c3(0x1f66)](',');}break;case _0x3551c3(0x123a):{const _0x138c64=_0x477906[_0x3551c3(0x19af)][_0x3551c3(0x28df)]['split'](',');_0x477906[_0x3551c3(0x19af)][_0x3551c3(0x413)]=_0x138c64[0x0],_0x477906[_0x3551c3(0x19af)]['secretaccesskey']=_0x138c64[0x1],_0x477906[_0x3551c3(0x19af)][_0x3551c3(0xd50)]=_0x138c64[0x2],_0x477906[_0x3551c3(0x19af)]['botname']=_0x138c64[0x3],_0x477906[_0x3551c3(0x19af)][_0x3551c3(0x173a)]=_0x138c64['slice'](0x4,_0x138c64[_0x3551c3(0xfd0)])[_0x3551c3(0x1f66)](',');}break;case'autoreply':{const _0x4e2134=_0x477906[_0x3551c3(0x19af)]['appdata'][_0x3551c3(0xbe1)](',');_0x477906[_0x3551c3(0x19af)][_0x3551c3(0x18a5)]=isNaN(_0x4e2134[0x0])?_0x4e2134[0x0]:parseInt(_0x4e2134[0x0],0xa),_0x477906['internaldial'][_0x3551c3(0x19d3)]=_0x4e2134[_0x3551c3(0x14cb)](0x1,_0x4e2134[_0x3551c3(0xfd0)])[_0x3551c3(0x1f66)](',');}break;case _0x3551c3(0x155e):_0x477906[_0x3551c3(0x19af)][_0x3551c3(0x19d3)]=_0x477906[_0x3551c3(0x19af)][_0x3551c3(0x28df)];break;case _0x3551c3(0x19d1):_0x477906[_0x3551c3(0x19af)]['name']=_0x477906[_0x3551c3(0x19af)][_0x3551c3(0x28df)][_0x3551c3(0xbe1)]('=')[0x0],_0x477906[_0x3551c3(0x19af)][_0x3551c3(0x327)]=_0x477906[_0x3551c3(0x19af)][_0x3551c3(0x28df)][_0x3551c3(0xbe1)]('=')[0x1];break;case _0x3551c3(0x4b4):_0x477906[_0x3551c3(0x19af)][_0x3551c3(0x12a7)]=_0x477906[_0x3551c3(0x19af)][_0x3551c3(0x28df)];break;default:{const _0xdb3001=_0x477906['internaldial'][_0x3551c3(0x28df)][_0x3551c3(0xbe1)](',');_0x477906[_0x3551c3(0x19af)][_0x3551c3(0xebe)]=_0x39641b()['isEmpty'](_0xdb3001[0x0])?_0xdb3001[0x0]:isNaN(_0xdb3001[0x0])?_0xdb3001[0x0]:parseInt(_0xdb3001[0x0],0xa),_0x477906[_0x3551c3(0x19af)][_0x3551c3(0x1719)]=_0x39641b()[_0x3551c3(0xce9)](_0xdb3001[0x1])?_0xdb3001[0x1]:isNaN(_0xdb3001[0x1])?_0xdb3001[0x1]:parseInt(_0xdb3001[0x1],0xa),_0x477906[_0x3551c3(0x19af)][_0x3551c3(0x2224)]=_0x39641b()[_0x3551c3(0xce9)](_0xdb3001[0x2])?_0xdb3001[0x2]:isNaN(_0xdb3001[0x2])?_0xdb3001[0x2]:parseInt(_0xdb3001[0x2],0xa),_0x477906[_0x3551c3(0x19af)][_0x3551c3(0x135b)]=_0x39641b()['isEmpty'](_0xdb3001[0x3])?_0xdb3001[0x3]:isNaN(_0xdb3001[0x3])?_0xdb3001[0x3]:parseInt(_0xdb3001[0x3],0xa);}break;}else{}_0x477906[_0x3551c3(0x19af)][_0x3551c3(0x66a)]&&_0x477906['internaldial']['type']['toLowerCase']()===_0x3551c3(0x895)&&_0x477906[_0x3551c3(0x19af)][_0x3551c3(0x299b)]['toLowerCase']()===_0x3551c3(0x20ff)&&(_0x477906['internaldial']['prefix']=_0x477906[_0x3551c3(0x19af)][_0x3551c3(0x1340)]?_0x477906[_0x3551c3(0x19af)][_0x3551c3(0x1340)][_0x3551c3(0xbe1)]('$')[0x0]:undefined,_0x477906[_0x3551c3(0x19af)]['callerId']=_0x477906['internaldial']['callerID']?_0x3551c3(0x25f9)+_0x477906[_0x3551c3(0x19af)]['callerID']:undefined);_0x477906[_0x3551c3(0xb3b)]=_0x274304,_0x477906['closeDialog']=_0x42a25f;const _0x127b59=[{'id':0xca,'role':_0x3551c3(0x1eff)},{'id':0xcb,'role':_0x3551c3(0x2922)}];let _0x181ecc=null;_0x4dff38[_0x3551c3(0x22b6)]('admin')?_0x5a8508['user']['get']({'fields':_0x3551c3(0x17ce),'sort':'name','nolimit':'true'})[_0x3551c3(0x1d77)][_0x3551c3(0x1cb0)](function(_0x4eb426){const _0x322b85=_0x3551c3;_0x477906[_0x322b85(0x1e30)]=_0x4eb426['rows']||[];})[_0x3551c3(0x1c4)](function(_0x4c1241){const _0x4f4495=_0x3551c3;_0x8d6549[_0x4f4495(0x218e)]({'title':_0x4c1241[_0x4f4495(0x291)]?_0x4f4495(0xeb9)+_0x4c1241[_0x4f4495(0x291)]+_0x4f4495(0x1657)+_0x4c1241[_0x4f4495(0xc22)]:'SYSTEM:GET_USERS','msg':_0x4c1241['data']?JSON[_0x4f4495(0x2701)](_0x4c1241['data']):_0x4c1241[_0x4f4495(0x147f)]()});}):_0x5a8508[_0x3551c3(0xebe)][_0x3551c3(0xbf7)]({'fields':_0x3551c3(0x17ce),'sort':_0x3551c3(0x16b6),'nolimit':_0x3551c3(0x44d)})[_0x3551c3(0x1d77)][_0x3551c3(0x1cb0)](function(_0x34db7c){_0x181ecc=_0x34db7c['rows']||[];})[_0x3551c3(0x1cb0)](function(){const _0x36b734=_0x3551c3,_0xd68e53=[];for(let _0x5ac4fb=0x0;_0x5ac4fb<_0x127b59['length'];_0x5ac4fb++){_0xd68e53['push'](_0x5a8508[_0x36b734(0x2199)]['get']({'userProfileId':_0x477906['currentUser']['userProfileId'],'sectionId':_0x127b59[_0x5ac4fb]['id']})[_0x36b734(0x1d77)]);}return _0x1ab980['all'](_0xd68e53);})[_0x3551c3(0x1cb0)](function(_0x10ff54){const _0x4b1bb2=_0x3551c3,_0x4a6639=_0x39641b()[_0x4b1bb2(0x1de2)](_0x10ff54,function(_0x7d314e){const _0x5153c5=_0x4b1bb2;return _0x7d314e&&_0x7d314e['rows']?_0x7d314e[_0x5153c5(0x2214)][0x0]:null;}),_0x1b7113=[];for(let _0x2ecaf9=0x0;_0x2ecaf9<_0x127b59[_0x4b1bb2(0xfd0)];_0x2ecaf9++){const _0x30b7d2=_0x39641b()[_0x4b1bb2(0x13b4)](_0x4a6639,[_0x4b1bb2(0xec6),_0x127b59[_0x2ecaf9]['id']]);if(_0x30b7d2){if(!_0x30b7d2[_0x4b1bb2(0x12f4)])_0x1b7113[_0x4b1bb2(0x2785)](_0x5a8508[_0x4b1bb2(0x1198)][_0x4b1bb2(0xbf7)]({'sectionId':_0x30b7d2['id']})[_0x4b1bb2(0x1d77)]);else{const _0x3bea43=[];_0x181ecc['forEach'](function(_0x183509){const _0x5afccb=_0x4b1bb2;_0x183509[_0x5afccb(0x26e6)]===_0x127b59[_0x2ecaf9][_0x5afccb(0x26e6)]&&_0x3bea43[_0x5afccb(0x2785)](_0x183509);}),_0x1b7113['push']({'rows':_0x3bea43,'count':_0x3bea43[_0x4b1bb2(0xfd0)]});}}}return _0x1ab980[_0x4b1bb2(0x223b)](_0x1b7113);})[_0x3551c3(0x1cb0)](function(_0x5731c6){const _0x460e12=_0x3551c3,_0x5dedd6=[];let _0x1ba5e8=null;_0x477906[_0x460e12(0x19af)]&&(_0x1ba5e8=_0x39641b()[_0x460e12(0x13b4)](_0x181ecc,{'name':_0x477906[_0x460e12(0x19af)]['user']}));for(let _0x118456=0x0;_0x118456<_0x5731c6['length'];_0x118456++){const _0xdf3b52=_0x5731c6[_0x118456][_0x460e12(0x2214)]||[];_0xdf3b52[_0x460e12(0xf90)](function(_0x541f5d){const _0xa2555c=_0x460e12;_0x5dedd6[_0xa2555c(0x2785)](_0x541f5d);});}_0x1ba5e8&&!_0x39641b()[_0x460e12(0x727)](_0x5dedd6,['resourceId',_0x1ba5e8['id']])&&_0x181ecc[_0x460e12(0xf90)](function(_0x4f102b){const _0x174a29=_0x460e12;_0x4f102b['id']===_0x1ba5e8['id']&&(_0x4f102b[_0x174a29(0x15da)]=![],_0x5dedd6[_0x174a29(0x2785)](_0x4f102b));}),_0x477906[_0x460e12(0x1e30)]=_0x5dedd6;})['catch'](function(_0x2c2134){const _0x106d8c=_0x3551c3;_0x8d6549[_0x106d8c(0x218e)]({'title':_0x2c2134[_0x106d8c(0x291)]?'API:'+_0x2c2134[_0x106d8c(0x291)]+_0x106d8c(0x1657)+_0x2c2134[_0x106d8c(0xc22)]:'SYSTEM:GETusers','msg':_0x2c2134[_0x106d8c(0x25c)]?JSON[_0x106d8c(0x2701)](_0x2c2134[_0x106d8c(0x25c)]):_0x2c2134[_0x106d8c(0x147f)]()});});function _0x274304(){const _0x22b290=_0x3551c3;_0x477906[_0x22b290(0x1a7c)]=[];const _0x45075e=[];_0x477906['internaldial']['type']&&_0x477906[_0x22b290(0x19af)][_0x22b290(0x66a)][_0x22b290(0x1680)]()==='outbound'&&_0x477906['internaldial'][_0x22b290(0x299b)]===_0x22b290(0x25f4)&&(_0x477906['internaldial'][_0x22b290(0x1340)]=_0x32b075[_0x22b290(0x325)]?(_0x477906[_0x22b290(0x19af)][_0x22b290(0x586)]||'')+'${EXTEN:'+_0x32b075[_0x22b290(0x325)]+'}':(_0x477906[_0x22b290(0x19af)][_0x22b290(0x586)]||'')+_0x22b290(0xcdd),_0x32b075[_0x22b290(0xf8d)]!=='none'?_0x477906[_0x22b290(0x19af)]['options']['indexOf']('U(xcally-mixmonitor-context)')<0x0&&(_0x477906[_0x22b290(0x19af)][_0x22b290(0x2224)]+=_0x22b290(0x106a)):_0x477906[_0x22b290(0x19af)][_0x22b290(0x2224)]=_0x477906[_0x22b290(0x19af)][_0x22b290(0x2224)][_0x22b290(0x288f)](_0x22b290(0x106a),''));if(_0x477906[_0x22b290(0x19af)][_0x22b290(0x299b)]&&_0x477906[_0x22b290(0x19af)][_0x22b290(0x299b)]===_0x22b290(0x197c)){}else switch((_0x477906[_0x22b290(0x19af)]['app']||_0x477906[_0x22b290(0x19af)][_0x22b290(0x299b)])[_0x22b290(0x1680)]()){case'set':_0x477906['internaldial']['appdata']=_0x477906['internaldial'][_0x22b290(0x16b6)]+'='+_0x477906[_0x22b290(0x19af)][_0x22b290(0x327)];break;case _0x22b290(0x197c):break;default:_0x45075e[0x0]=_0x477906[_0x22b290(0x19af)][_0x22b290(0xebe)],_0x45075e[0x1]=_0x477906[_0x22b290(0x19af)][_0x22b290(0x1719)],_0x45075e[0x2]=_0x477906[_0x22b290(0x19af)][_0x22b290(0x2224)],_0x45075e[0x3]=_0x477906[_0x22b290(0x19af)]['url'],_0x477906[_0x22b290(0x19af)][_0x22b290(0x28df)]=_0x45075e['join'](',');}_0x42a25f(_0x477906[_0x22b290(0x19af)]);}function _0x42a25f(_0x215845){const _0x1dd562=_0x3551c3;_0x397c30[_0x1dd562(0x1426)](_0x215845);}}const _0x3a58c5=_0xbdc63;;_0x1653a3[_0x5537c6(0x15b6)]=['$state','$mdDialog',_0x5537c6(0x200d),'IndexFactory',_0x5537c6(0x1ac8),_0x5537c6(0xb4a),_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x1653a3(_0x5d0dd1,_0x32d628,_0x822d23,_0x97809a,_0x2687bf,_0x5f46e2,_0x5ba5a7,_0x44d11c,_0x18b25c,_0x5ec620){const _0x5305d3=_0x5537c6,_0x2b4ca9=this;_0x2b4ca9[_0x5305d3(0xe76)]=_0x18b25c[_0x5305d3(0x21e8)](),_0x2b4ca9[_0x5305d3(0x1a7c)]=[],_0x2b4ca9[_0x5305d3(0x1386)]='TOOLS.EDIT_INTERVAL',_0x2b4ca9[_0x5305d3(0x1ac8)]=angular[_0x5305d3(0x17fe)](_0x2687bf),_0x2b4ca9[_0x5305d3(0xb4a)]=_0x5f46e2,_0x2b4ca9[_0x5305d3(0x18c1)]=![],_0x2b4ca9[_0x5305d3(0x2025)]=['always',_0x5305d3(0x197c),_0x5305d3(0x240)],_0x2b4ca9[_0x5305d3(0x1b1a)]=_0x5ec620,_0x2b4ca9['daysOfWeek']=_0x97809a[_0x5305d3(0x1aae)](),_0x2b4ca9['monthNumber']=_0x97809a[_0x5305d3(0xa76)](),_0x2b4ca9[_0x5305d3(0x1534)]=_0x97809a[_0x5305d3(0x7cc)](),_0x2b4ca9['daysOfMonth']=_0x97809a[_0x5305d3(0xc1e)]();if(!_0x2b4ca9[_0x5305d3(0x1ac8)])_0x2b4ca9[_0x5305d3(0x1ac8)]={'interval':'*,*,*,*'},_0x2b4ca9['type']=_0x5305d3(0x2017),_0x2b4ca9[_0x5305d3(0x1386)]=_0x5305d3(0x287b),_0x2b4ca9[_0x5305d3(0x18c1)]=!![];else{if(_0x2b4ca9[_0x5305d3(0x1ac8)][_0x5305d3(0x1ac8)]!=='*,*,*,*'){_0x2b4ca9[_0x5305d3(0x66a)]='custom';const _0x5bde3f=_0x2b4ca9[_0x5305d3(0x1ac8)]['interval'][_0x5305d3(0xbe1)](','),_0x546800=_0x5bde3f[0x0],_0x149622=_0x5bde3f[0x1],_0x1c851c=_0x5bde3f[0x2],_0x49bc3a=_0x5bde3f[0x3];if(_0x546800!=='*'){const _0x16e845=_0x546800[_0x5305d3(0xbe1)]('-')[0x0],_0x15c083=_0x546800['split']('-')[0x1];let _0x16ae92;_0x16ae92=new Date(),_0x16ae92[_0x5305d3(0x201b)](Number(_0x16e845[_0x5305d3(0xbe1)](':')[0x0])),_0x16ae92[_0x5305d3(0x1622)](Number(_0x16e845[_0x5305d3(0xbe1)](':')[0x1])),_0x2b4ca9['timeRangeFrom']=_0x16ae92,_0x16ae92=new Date(),_0x16ae92[_0x5305d3(0x201b)](Number(_0x15c083['split'](':')[0x0])),_0x16ae92['setMinutes'](Number(_0x15c083[_0x5305d3(0xbe1)](':')[0x1])),_0x2b4ca9[_0x5305d3(0x64a)]=_0x16ae92;}_0x149622!=='*'&&(_0x2b4ca9['dayOfWeekFrom']=_0x149622['split']('-')[0x0],_0x2b4ca9[_0x5305d3(0x28db)]=_0x149622[_0x5305d3(0xbe1)]('-')[0x1]),_0x1c851c!=='*'&&(_0x2b4ca9['monthDayFrom']=_0x1c851c['split']('-')[0x0],_0x2b4ca9[_0x5305d3(0x194d)]=_0x1c851c[_0x5305d3(0xbe1)]('-')[0x1]),_0x49bc3a!=='*'&&(_0x2b4ca9[_0x5305d3(0x1756)]=_0x49bc3a[_0x5305d3(0xbe1)]('-')[0x0],_0x2b4ca9[_0x5305d3(0xa68)]=_0x49bc3a['split']('-')[0x1]);}else _0x2b4ca9[_0x5305d3(0x66a)]=_0x5305d3(0x2017);}_0x5d0dd1[_0x5305d3(0x1dfe)]['id']&&!_0x2b4ca9['interval'][_0x5305d3(0x171b)]&&(_0x2b4ca9[_0x5305d3(0x1ac8)][_0x5305d3(0x1a60)]=_0x5d0dd1[_0x5305d3(0x1dfe)]['id']);_0x2b4ca9[_0x5305d3(0x1ac8)][_0x5305d3(0x1a60)]&&_0x2b4ca9['interval'][_0x5305d3(0x171b)]&&(_0x2b4ca9['type']=_0x5305d3(0x240));_0x2b4ca9['addNewInterval']=_0x55ba4e,_0x2b4ca9[_0x5305d3(0x1891)]=_0x397a69,_0x2b4ca9[_0x5305d3(0xda0)]=_0x591e17;_0x2b4ca9[_0x5305d3(0x1ac8)][_0x5305d3(0x171b)]&&(_0x18b25c[_0x5305d3(0x22b6)](_0x5305d3(0x1c60))?_0x44d11c[_0x5305d3(0x1ac8)][_0x5305d3(0xbf7)]({'fields':'id,name,interval,IntervalId','IntervalId':_0x5305d3(0xd38),'nolimit':!![]})[_0x5305d3(0x1d77)][_0x5305d3(0x1cb0)](function(_0x52f333){const _0x4e7ee5=_0x5305d3;_0x2b4ca9[_0x4e7ee5(0xb4a)]=_0x52f333[_0x4e7ee5(0x2214)]||[];})[_0x5305d3(0x1c4)](function(_0x336b88){const _0xfea364=_0x5305d3;_0x5ba5a7[_0xfea364(0x218e)]({'title':_0x336b88[_0xfea364(0x291)]?_0xfea364(0xeb9)+_0x336b88['status']+'\x20-\x20'+_0x336b88[_0xfea364(0xc22)]:'SYSTEM:GET_INTERVALS','msg':_0x336b88['data']?JSON[_0xfea364(0x2701)](_0x336b88[_0xfea364(0x25c)]):_0x336b88[_0xfea364(0x147f)]()});}):_0x44d11c[_0x5305d3(0x1ac8)][_0x5305d3(0xbf7)]({'fields':'id,name,interval,IntervalId','IntervalId':_0x5305d3(0xd38),'nolimit':!![]})[_0x5305d3(0x1d77)][_0x5305d3(0x1cb0)](function(_0x14f4b5){const _0x335811=_0x5305d3;_0x2b4ca9[_0x335811(0xb4a)]=_0x14f4b5[_0x335811(0x2214)]||[];})[_0x5305d3(0x1cb0)](function(){const _0x2ae4f5=_0x5305d3;return _0x44d11c[_0x2ae4f5(0x2199)]['get']({'userProfileId':_0x2b4ca9[_0x2ae4f5(0xe76)][_0x2ae4f5(0x13c1)],'sectionId':0x3ec})['$promise'];})[_0x5305d3(0x1cb0)](function(_0x19588d){const _0xbcf9e3=_0x5305d3,_0x378dc6=_0x19588d&&_0x19588d['rows']?_0x19588d[_0xbcf9e3(0x2214)][0x0]:null;if(!_0x378dc6)_0x2b4ca9['intervals']=[];else{if(!_0x378dc6[_0xbcf9e3(0x12f4)])return _0x44d11c[_0xbcf9e3(0x1198)][_0xbcf9e3(0xbf7)]({'sectionId':_0x378dc6['id']})['$promise'][_0xbcf9e3(0x1cb0)](function(_0x2ab2c0){const _0xcbacb8=_0xbcf9e3,_0xa629bb=_0x2ab2c0&&_0x2ab2c0[_0xcbacb8(0x2214)]?_0x2ab2c0[_0xcbacb8(0x2214)]:[],_0x4d4ce3=[];let _0x77004f=null;_0x2b4ca9[_0xcbacb8(0x1ac8)]&&(_0x77004f=_0x39641b()[_0xcbacb8(0x13b4)](_0x2b4ca9['intervals'],{'name':_0x2b4ca9['interval'][_0xcbacb8(0x1a60)]})),_0x77004f&&!_0x39641b()[_0xcbacb8(0x727)](_0xa629bb,['resourceId',_0x77004f['id']])&&_0x2b4ca9[_0xcbacb8(0xb4a)][_0xcbacb8(0xf90)](function(_0x53febe){const _0x219371=_0xcbacb8;_0x53febe['id']===_0x77004f['id']&&(_0x53febe[_0x219371(0x15da)]=![],_0x4d4ce3[_0x219371(0x2785)](_0x53febe));}),_0x2b4ca9['intervals']=_0x4d4ce3;});}})[_0x5305d3(0x1c4)](function(_0x160dc2){const _0x5e4823=_0x5305d3;_0x5ba5a7[_0x5e4823(0x218e)]({'title':_0x160dc2['status']?_0x5e4823(0xeb9)+_0x160dc2[_0x5e4823(0x291)]+_0x5e4823(0x1657)+_0x160dc2[_0x5e4823(0xc22)]:'SYSTEM:GET_INTERVALS','msg':_0x160dc2[_0x5e4823(0x25c)]?JSON[_0x5e4823(0x2701)](_0x160dc2[_0x5e4823(0x25c)]):_0x160dc2[_0x5e4823(0x147f)]()});}));function _0x217ed6(){const _0x451e5a=_0x5305d3;switch(_0x2b4ca9[_0x451e5a(0x66a)]){case _0x451e5a(0x2017):case'list':return _0x451e5a(0x965);case _0x451e5a(0x197c):{const _0x160cf6=[];if(_0x2b4ca9[_0x451e5a(0x718)]!=='*'&&_0x2b4ca9[_0x451e5a(0x718)]&&_0x2b4ca9['timeRangeTo']){const _0x2a54de=(_0x2b4ca9[_0x451e5a(0x718)]['getHours']()<0xa?'0':'')+_0x2b4ca9['timeRangeFrom'][_0x451e5a(0x1169)]()+':'+((_0x2b4ca9[_0x451e5a(0x718)][_0x451e5a(0x851)]()<0xa?'0':'')+_0x2b4ca9['timeRangeFrom']['getMinutes']()),_0x4bf66a=(_0x2b4ca9[_0x451e5a(0x64a)]['getHours']()<0xa?'0':'')+_0x2b4ca9[_0x451e5a(0x64a)]['getHours']()+':'+((_0x2b4ca9['timeRangeTo'][_0x451e5a(0x851)]()<0xa?'0':'')+_0x2b4ca9[_0x451e5a(0x64a)][_0x451e5a(0x851)]());_0x160cf6[_0x451e5a(0x2785)](_0x2a54de+'-'+_0x4bf66a);}else _0x160cf6[_0x451e5a(0x2785)]('*');return _0x2b4ca9[_0x451e5a(0x28a6)]?_0x2b4ca9[_0x451e5a(0x28db)]?_0x160cf6[_0x451e5a(0x2785)](_0x2b4ca9[_0x451e5a(0x28a6)]+'-'+_0x2b4ca9[_0x451e5a(0x28db)]):_0x160cf6['push'](_0x2b4ca9['dayOfWeekFrom']):_0x160cf6[_0x451e5a(0x2785)]('*'),_0x2b4ca9[_0x451e5a(0xd6f)]?_0x2b4ca9[_0x451e5a(0x194d)]?_0x160cf6[_0x451e5a(0x2785)](_0x2b4ca9[_0x451e5a(0xd6f)]+'-'+_0x2b4ca9[_0x451e5a(0x194d)]):_0x160cf6[_0x451e5a(0x2785)](_0x2b4ca9[_0x451e5a(0xd6f)]):_0x160cf6[_0x451e5a(0x2785)]('*'),_0x2b4ca9[_0x451e5a(0x1756)]?_0x2b4ca9['monthTo']?_0x160cf6[_0x451e5a(0x2785)](_0x2b4ca9[_0x451e5a(0x1756)]+'-'+_0x2b4ca9[_0x451e5a(0xa68)]):_0x160cf6['push'](_0x2b4ca9[_0x451e5a(0x1756)]):_0x160cf6[_0x451e5a(0x2785)]('*'),_0x160cf6[_0x451e5a(0x1f66)]();}}}function _0x55ba4e(){const _0x2deb47=_0x5305d3;_0x2b4ca9[_0x2deb47(0x1a7c)]=[],_0x2b4ca9['interval'][_0x2deb47(0x1ac8)]=_0x217ed6(),_0x44d11c[_0x2deb47(0x1ac8)][_0x2deb47(0x1c3f)](_0x2b4ca9[_0x2deb47(0x1ac8)])['$promise'][_0x2deb47(0x1cb0)](function(_0x5cf6c0){const _0x6c1fce=_0x2deb47;_0x2b4ca9[_0x6c1fce(0xb4a)][_0x6c1fce(0x2785)](_0x5cf6c0),_0x5ba5a7[_0x6c1fce(0x829)]({'title':_0x6c1fce(0x1d4e),'msg':_0x2b4ca9[_0x6c1fce(0x1ac8)][_0x6c1fce(0x16b6)]?_0x2b4ca9[_0x6c1fce(0x1ac8)][_0x6c1fce(0x16b6)]+_0x6c1fce(0x470):''}),_0x591e17();})[_0x2deb47(0x1c4)](function(_0xe77505){const _0x42188=_0x2deb47;console[_0x42188(0x218e)](_0xe77505),_0x2b4ca9[_0x42188(0x1a7c)]=_0xe77505[_0x42188(0x25c)][_0x42188(0x1a7c)]||[{'message':_0xe77505[_0x42188(0x147f)](),'type':_0x42188(0x24f7)}];});}function _0x397a69(){const _0x5ca863=_0x5305d3;_0x2b4ca9['errors']=[],_0x2b4ca9[_0x5ca863(0x1ac8)][_0x5ca863(0x1ac8)]=_0x217ed6(),_0x2b4ca9['interval'][_0x5ca863(0x171b)]?(_0x2b4ca9['type']!=='list'&&(_0x2b4ca9[_0x5ca863(0x1ac8)][_0x5ca863(0x1a60)]=null),_0x591e17(_0x2b4ca9[_0x5ca863(0x1ac8)])):_0x44d11c['interval'][_0x5ca863(0x687)]({'id':_0x2b4ca9[_0x5ca863(0x1ac8)]['id']},_0x2b4ca9[_0x5ca863(0x1ac8)])[_0x5ca863(0x1d77)][_0x5ca863(0x1cb0)](function(_0x3b8a21){const _0x51974b=_0x5ca863,_0x395459=_0x39641b()[_0x51974b(0x13b4)](_0x2b4ca9[_0x51974b(0xb4a)],{'id':_0x3b8a21['id']});_0x395459&&_0x39641b()[_0x51974b(0x9c1)](_0x395459,_0x3b8a21),_0x5ba5a7[_0x51974b(0x829)]({'title':_0x51974b(0x1498),'msg':'Interval\x20has\x20been\x20saved!'}),_0x591e17();})[_0x5ca863(0x1c4)](function(_0x2087a6){const _0x47f3af=_0x5ca863;console[_0x47f3af(0x218e)](_0x2087a6),_0x2b4ca9[_0x47f3af(0x1a7c)]=_0x2087a6['data'][_0x47f3af(0x1a7c)]||[{'message':_0x2087a6[_0x47f3af(0x147f)](),'type':_0x47f3af(0x2505)}];});}function _0x591e17(_0xfc14ef){_0x32d628['hide'](_0xfc14ef);}}const _0x3d071f=_0x1653a3;;_0x453d24[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x23b1),_0x5537c6(0x3af),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x453d24(_0x5379b6,_0x1ec210,_0x54e003,_0x2b54d6,_0x2920bf,_0x481173,_0x4a4f56,_0x4770fc){const _0x248c6a=_0x5537c6,_0x5cfa5c=this;_0x5cfa5c['currentUser']=_0x4a4f56[_0x248c6a(0x21e8)](),_0x5cfa5c[_0x248c6a(0x1a7c)]=[],_0x5cfa5c[_0x248c6a(0x1386)]='VOICE.EDIT_'+(_0x2b54d6[_0x248c6a(0x299b)]||_0x2b54d6[_0x248c6a(0x22e1)])['toUpperCase'](),_0x5cfa5c[_0x248c6a(0x2580)]=angular['copy'](_0x2b54d6),_0x5cfa5c[_0x248c6a(0x1b1a)]=_0x4770fc,_0x5cfa5c[_0x248c6a(0xf4c)]={};if(_0x5cfa5c[_0x248c6a(0x2580)]['appdata'])switch(_0x5cfa5c[_0x248c6a(0x2580)][_0x248c6a(0x299b)]?_0x5cfa5c[_0x248c6a(0x2580)][_0x248c6a(0x299b)][_0x248c6a(0x1680)]():_0x5cfa5c['playback'][_0x248c6a(0x22e1)]['toLowerCase']()){case _0x248c6a(0x197c):break;case _0x248c6a(0x711):{const _0x2ae725=_0x5cfa5c[_0x248c6a(0x2580)][_0x248c6a(0x28df)][_0x248c6a(0xbe1)](',');_0x5cfa5c[_0x248c6a(0x2580)][_0x248c6a(0xee8)]=_0x2ae725[0x0],_0x5cfa5c[_0x248c6a(0x2580)][_0x248c6a(0x12b4)]=_0x2ae725[0x1],_0x5cfa5c[_0x248c6a(0x2580)][_0x248c6a(0x173a)]=_0x2ae725[_0x248c6a(0x14cb)](0x2,_0x2ae725[_0x248c6a(0xfd0)])[_0x248c6a(0x1f66)](',');}break;case _0x248c6a(0xece):{const _0x2b464f=_0x5cfa5c[_0x248c6a(0x2580)][_0x248c6a(0x28df)][_0x248c6a(0xbe1)](',');_0x5cfa5c[_0x248c6a(0x2580)][_0x248c6a(0x2854)]=_0x2b464f[0x0],_0x5cfa5c[_0x248c6a(0x2580)]['clientEmail']=_0x2b464f[0x1],_0x5cfa5c[_0x248c6a(0x2580)]['privateKey']=_0x2b464f[0x2],_0x5cfa5c[_0x248c6a(0x2580)][_0x248c6a(0x12b4)]=_0x2b464f[0x3],_0x5cfa5c[_0x248c6a(0x2580)]['welcomemessage']=_0x2b464f[_0x248c6a(0x14cb)](0x4,_0x2b464f['length'])[_0x248c6a(0x1f66)](',');}break;case'amazonlex':{const _0x71ebe5=_0x5cfa5c[_0x248c6a(0x2580)][_0x248c6a(0x28df)]['split'](',');_0x5cfa5c[_0x248c6a(0x2580)][_0x248c6a(0x413)]=_0x71ebe5[0x0],_0x5cfa5c[_0x248c6a(0x2580)]['secretaccesskey']=_0x71ebe5[0x1],_0x5cfa5c[_0x248c6a(0x2580)]['lexregion']=_0x71ebe5[0x2],_0x5cfa5c[_0x248c6a(0x2580)][_0x248c6a(0x1c7f)]=_0x71ebe5[0x3],_0x5cfa5c[_0x248c6a(0x2580)][_0x248c6a(0x173a)]=_0x71ebe5[_0x248c6a(0x14cb)](0x4,_0x71ebe5[_0x248c6a(0xfd0)])[_0x248c6a(0x1f66)](',');}break;case _0x248c6a(0x1f71):{const _0x19f836=_0x5cfa5c[_0x248c6a(0x2580)][_0x248c6a(0x28df)][_0x248c6a(0xbe1)](',');_0x5cfa5c[_0x248c6a(0x2580)][_0x248c6a(0x18a5)]=isNaN(_0x19f836[0x0])?_0x19f836[0x0]:parseInt(_0x19f836[0x0],0xa),_0x5cfa5c[_0x248c6a(0x2580)]['text']=_0x19f836[_0x248c6a(0x14cb)](0x1,_0x19f836[_0x248c6a(0xfd0)])['join'](',');}break;case'message':_0x5cfa5c[_0x248c6a(0x2580)][_0x248c6a(0x19d3)]=_0x5cfa5c[_0x248c6a(0x2580)][_0x248c6a(0x28df)];break;case'set':_0x5cfa5c[_0x248c6a(0x2580)]['name']=_0x5cfa5c['playback']['appdata'][_0x248c6a(0xbe1)]('=')[0x0],_0x5cfa5c[_0x248c6a(0x2580)][_0x248c6a(0x327)]=_0x5cfa5c[_0x248c6a(0x2580)][_0x248c6a(0x28df)][_0x248c6a(0xbe1)]('=')[0x1];break;case _0x248c6a(0x4b4):_0x5cfa5c['playback'][_0x248c6a(0x12a7)]=_0x5cfa5c[_0x248c6a(0x2580)][_0x248c6a(0x28df)];break;default:{const _0x274f76=_0x5cfa5c['playback']['appdata'][_0x248c6a(0xbe1)](',');_0x5cfa5c[_0x248c6a(0x2580)]['appdata']=_0x39641b()[_0x248c6a(0xce9)](_0x274f76[0x0])?_0x274f76[0x0]:isNaN(_0x274f76[0x0])?_0x274f76[0x0]:parseInt(_0x274f76[0x0],0xa),_0x5cfa5c['playback']['options']=_0x39641b()[_0x248c6a(0xce9)](_0x274f76[0x1])?_0x274f76[0x1]:isNaN(_0x274f76[0x1])?_0x274f76[0x1]:parseInt(_0x274f76[0x1],0xa);}break;}else{}_0x5cfa5c[_0x248c6a(0x2580)][_0x248c6a(0x66a)]&&_0x5cfa5c['playback']['type']['toLowerCase']()===_0x248c6a(0x895)&&_0x5cfa5c[_0x248c6a(0x2580)]['appType'][_0x248c6a(0x1680)]()===_0x248c6a(0x20ff)&&(_0x5cfa5c[_0x248c6a(0x2580)][_0x248c6a(0x586)]=_0x5cfa5c[_0x248c6a(0x2580)][_0x248c6a(0x1340)]?_0x5cfa5c[_0x248c6a(0x2580)][_0x248c6a(0x1340)][_0x248c6a(0xbe1)]('$')[0x0]:undefined,_0x5cfa5c[_0x248c6a(0x2580)][_0x248c6a(0x1e7c)]=_0x5cfa5c['playback'][_0x248c6a(0x2816)]?_0x248c6a(0x25f9)+_0x5cfa5c[_0x248c6a(0x2580)][_0x248c6a(0x2816)]:undefined);_0x5cfa5c[_0x248c6a(0xb3b)]=_0x5df5f9,_0x5cfa5c['closeDialog']=_0x2526f7,_0x4a4f56[_0x248c6a(0x22b6)](_0x248c6a(0x1c60))?_0x481173['sound'][_0x248c6a(0xbf7)]({'fields':_0x248c6a(0x4ae),'sort':'name','nolimit':_0x248c6a(0x44d)})[_0x248c6a(0x1d77)]['then'](function(_0x1a2050){const _0x4239bb=_0x248c6a;_0x5cfa5c[_0x4239bb(0xe28)]=_0x1a2050[_0x4239bb(0x2214)]||[];})['catch'](function(_0x2e3d68){const _0xae4558=_0x248c6a;_0x54e003['error']({'title':_0x2e3d68[_0xae4558(0x291)]?_0xae4558(0xeb9)+_0x2e3d68[_0xae4558(0x291)]+_0xae4558(0x1657)+_0x2e3d68['statusText']:'SYSTEM:GET_SOUNDS','msg':_0x2e3d68[_0xae4558(0x25c)]?JSON[_0xae4558(0x2701)](_0x2e3d68['data']):_0x2e3d68[_0xae4558(0x147f)]()});}):_0x481173['sound'][_0x248c6a(0xbf7)]({'fields':_0x248c6a(0x4ae),'sort':_0x248c6a(0x16b6),'nolimit':'true'})[_0x248c6a(0x1d77)][_0x248c6a(0x1cb0)](function(_0x24486d){_0x5cfa5c['sounds']=_0x24486d['rows']||[];})[_0x248c6a(0x1cb0)](function(){const _0x277e34=_0x248c6a;return _0x481173[_0x277e34(0x2199)][_0x277e34(0xbf7)]({'userProfileId':_0x5cfa5c['currentUser']['userProfileId'],'sectionId':0x3ef})[_0x277e34(0x1d77)];})[_0x248c6a(0x1cb0)](function(_0x310698){const _0x38c6e2=_0x248c6a,_0x132d9a=_0x310698&&_0x310698[_0x38c6e2(0x2214)]?_0x310698[_0x38c6e2(0x2214)][0x0]:null;if(!_0x132d9a){const _0x232a14=[];let _0x44dc71=null;_0x5cfa5c[_0x38c6e2(0x2580)]&&(_0x44dc71=_0x39641b()[_0x38c6e2(0x13b4)](_0x5cfa5c[_0x38c6e2(0xe28)],{'save_name':_0x5cfa5c[_0x38c6e2(0x2580)][_0x38c6e2(0x28df)]}));for(let _0x12d936=0x0;_0x12d936<_0x5cfa5c[_0x38c6e2(0xe28)]['length'];_0x12d936++){_0x44dc71&&_0x5cfa5c[_0x38c6e2(0xe28)][_0x12d936]['id']===_0x44dc71['id']&&(_0x5cfa5c['sounds'][_0x12d936][_0x38c6e2(0x15da)]=![],_0x232a14['push'](_0x5cfa5c[_0x38c6e2(0xe28)][_0x12d936]));}_0x5cfa5c[_0x38c6e2(0xe28)]=_0x232a14;}else{if(!_0x132d9a[_0x38c6e2(0x12f4)])return _0x481173[_0x38c6e2(0x1198)][_0x38c6e2(0xbf7)]({'sectionId':_0x132d9a['id']})[_0x38c6e2(0x1d77)][_0x38c6e2(0x1cb0)](function(_0x6ddb){const _0x3b9091=_0x38c6e2,_0x2762ec=_0x39641b()['map'](_0x6ddb[_0x3b9091(0x2214)],function(_0x12eeb1){const _0x2bad52=_0x3b9091;return _0x39641b()['find'](_0x5cfa5c[_0x2bad52(0xe28)],{'id':_0x12eeb1['resourceId']});});let _0x26141d=null;_0x5cfa5c[_0x3b9091(0x2580)]&&(_0x26141d=_0x39641b()[_0x3b9091(0x13b4)](_0x5cfa5c[_0x3b9091(0xe28)],{'save_name':_0x5cfa5c[_0x3b9091(0x2580)][_0x3b9091(0x28df)]}));if(_0x26141d&&!_0x39641b()[_0x3b9091(0x727)](_0x2762ec,['id',_0x26141d['id']])){const _0x28a38b=_0x39641b()[_0x3b9091(0x13b4)](_0x5cfa5c[_0x3b9091(0xe28)],{'id':_0x26141d['id']});_0x28a38b[_0x3b9091(0x15da)]=![],_0x2762ec['push'](_0x28a38b);}_0x5cfa5c[_0x3b9091(0xe28)]=_0x2762ec;});}})[_0x248c6a(0x1c4)](function(_0x1902e4){const _0x1d8b68=_0x248c6a;_0x54e003[_0x1d8b68(0x218e)]({'title':_0x1902e4[_0x1d8b68(0x291)]?_0x1d8b68(0xeb9)+_0x1902e4['status']+'\x20-\x20'+_0x1902e4['statusText']:_0x1d8b68(0x3a0),'msg':_0x1902e4[_0x1d8b68(0x25c)]?JSON[_0x1d8b68(0x2701)](_0x1902e4[_0x1d8b68(0x25c)]):_0x1902e4[_0x1d8b68(0x147f)]()});});function _0x5df5f9(){const _0x47d4b0=_0x248c6a;_0x5cfa5c['errors']=[];const _0x50563a=[];_0x5cfa5c[_0x47d4b0(0x2580)][_0x47d4b0(0x66a)]&&_0x5cfa5c[_0x47d4b0(0x2580)]['type'][_0x47d4b0(0x1680)]()===_0x47d4b0(0x895)&&_0x5cfa5c[_0x47d4b0(0x2580)][_0x47d4b0(0x299b)]===_0x47d4b0(0x25f4)&&(_0x5cfa5c[_0x47d4b0(0x2580)][_0x47d4b0(0x1340)]=_0x2920bf[_0x47d4b0(0x325)]?(_0x5cfa5c['playback'][_0x47d4b0(0x586)]||'')+_0x47d4b0(0x19e4)+_0x2920bf[_0x47d4b0(0x325)]+'}':(_0x5cfa5c[_0x47d4b0(0x2580)]['prefix']||'')+_0x47d4b0(0xcdd),_0x2920bf[_0x47d4b0(0xf8d)]!=='none'?_0x5cfa5c[_0x47d4b0(0x2580)]['options'][_0x47d4b0(0xd8a)]('U(xcally-mixmonitor-context)')<0x0&&(_0x5cfa5c[_0x47d4b0(0x2580)][_0x47d4b0(0x2224)]+='U(xcally-mixmonitor-context)'):_0x5cfa5c[_0x47d4b0(0x2580)][_0x47d4b0(0x2224)]=_0x5cfa5c['playback'][_0x47d4b0(0x2224)][_0x47d4b0(0x288f)](_0x47d4b0(0x106a),''));if(_0x5cfa5c['playback'][_0x47d4b0(0x299b)]&&_0x5cfa5c[_0x47d4b0(0x2580)][_0x47d4b0(0x299b)]===_0x47d4b0(0x197c)){}else switch((_0x5cfa5c['playback']['app']||_0x5cfa5c[_0x47d4b0(0x2580)][_0x47d4b0(0x299b)])[_0x47d4b0(0x1680)]()){case _0x47d4b0(0x19d1):_0x5cfa5c[_0x47d4b0(0x2580)][_0x47d4b0(0x28df)]=_0x5cfa5c[_0x47d4b0(0x2580)][_0x47d4b0(0x16b6)]+'='+_0x5cfa5c[_0x47d4b0(0x2580)][_0x47d4b0(0x327)];break;case _0x47d4b0(0x197c):break;default:_0x50563a[0x0]=_0x5cfa5c[_0x47d4b0(0x2580)][_0x47d4b0(0x28df)],_0x50563a[0x1]=_0x5cfa5c[_0x47d4b0(0x2580)]['options'],_0x5cfa5c[_0x47d4b0(0x2580)][_0x47d4b0(0x28df)]=_0x50563a['join'](',');}_0x2526f7(_0x5cfa5c[_0x47d4b0(0x2580)]);}function _0x2526f7(_0xe1b363){const _0xe43735=_0x248c6a;_0x5379b6[_0xe43735(0x1426)](_0xe1b363);}}const _0x50c3d8=_0x453d24;;_0x4a3067['$inject']=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x23b1),'internalroute',_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x4a3067(_0x312d60,_0x5caf45,_0x5d57ef,_0x562012,_0x5e28b3,_0x1d6e2b,_0x44b21d,_0x4becdf){const _0x28a13d=_0x5537c6,_0x96dc0f=this;_0x96dc0f['currentUser']=_0x44b21d[_0x28a13d(0x21e8)](),_0x96dc0f['errors']=[],_0x96dc0f[_0x28a13d(0x1386)]='VOICE.EDIT_'+(_0x562012[_0x28a13d(0x299b)]||_0x562012[_0x28a13d(0x22e1)])[_0x28a13d(0x2335)](),_0x96dc0f[_0x28a13d(0x11cf)]=angular[_0x28a13d(0x17fe)](_0x562012),_0x96dc0f['crudPermissions']=_0x4becdf,_0x96dc0f[_0x28a13d(0xf4c)]={};if(_0x96dc0f[_0x28a13d(0x11cf)][_0x28a13d(0x28df)])switch(_0x96dc0f['queue'][_0x28a13d(0x299b)]?_0x96dc0f[_0x28a13d(0x11cf)][_0x28a13d(0x299b)][_0x28a13d(0x1680)]():_0x96dc0f[_0x28a13d(0x11cf)][_0x28a13d(0x22e1)][_0x28a13d(0x1680)]()){case _0x28a13d(0x197c):break;case'dialogflow':{const _0x512f9f=_0x96dc0f[_0x28a13d(0x11cf)][_0x28a13d(0x28df)][_0x28a13d(0xbe1)](',');_0x96dc0f[_0x28a13d(0x11cf)]['key']=_0x512f9f[0x0],_0x96dc0f[_0x28a13d(0x11cf)][_0x28a13d(0x12b4)]=_0x512f9f[0x1],_0x96dc0f['queue'][_0x28a13d(0x173a)]=_0x512f9f[_0x28a13d(0x14cb)](0x2,_0x512f9f[_0x28a13d(0xfd0)])[_0x28a13d(0x1f66)](',');}break;case _0x28a13d(0xece):{const _0x55a149=_0x96dc0f[_0x28a13d(0x11cf)][_0x28a13d(0x28df)][_0x28a13d(0xbe1)](',');_0x96dc0f[_0x28a13d(0x11cf)]['projectId']=_0x55a149[0x0],_0x96dc0f[_0x28a13d(0x11cf)]['clientEmail']=_0x55a149[0x1],_0x96dc0f[_0x28a13d(0x11cf)]['privateKey']=_0x55a149[0x2],_0x96dc0f['queue'][_0x28a13d(0x12b4)]=_0x55a149[0x3],_0x96dc0f[_0x28a13d(0x11cf)][_0x28a13d(0x173a)]=_0x55a149['slice'](0x4,_0x55a149[_0x28a13d(0xfd0)])[_0x28a13d(0x1f66)](',');}break;case _0x28a13d(0x123a):{const _0x446427=_0x96dc0f['queue'][_0x28a13d(0x28df)]['split'](',');_0x96dc0f['queue'][_0x28a13d(0x413)]=_0x446427[0x0],_0x96dc0f[_0x28a13d(0x11cf)][_0x28a13d(0x21d9)]=_0x446427[0x1],_0x96dc0f['queue'][_0x28a13d(0xd50)]=_0x446427[0x2],_0x96dc0f[_0x28a13d(0x11cf)][_0x28a13d(0x1c7f)]=_0x446427[0x3],_0x96dc0f[_0x28a13d(0x11cf)][_0x28a13d(0x173a)]=_0x446427['slice'](0x4,_0x446427[_0x28a13d(0xfd0)])[_0x28a13d(0x1f66)](',');}break;case _0x28a13d(0x1f71):{const _0x3bc336=_0x96dc0f['queue'][_0x28a13d(0x28df)][_0x28a13d(0xbe1)](',');_0x96dc0f[_0x28a13d(0x11cf)]['times']=isNaN(_0x3bc336[0x0])?_0x3bc336[0x0]:parseInt(_0x3bc336[0x0],0xa),_0x96dc0f[_0x28a13d(0x11cf)][_0x28a13d(0x19d3)]=_0x3bc336[_0x28a13d(0x14cb)](0x1,_0x3bc336[_0x28a13d(0xfd0)])['join'](',');}break;case _0x28a13d(0x155e):_0x96dc0f['queue'][_0x28a13d(0x19d3)]=_0x96dc0f[_0x28a13d(0x11cf)][_0x28a13d(0x28df)];break;case _0x28a13d(0x19d1):_0x96dc0f['queue'][_0x28a13d(0x16b6)]=_0x96dc0f['queue'][_0x28a13d(0x28df)][_0x28a13d(0xbe1)]('=')[0x0],_0x96dc0f[_0x28a13d(0x11cf)][_0x28a13d(0x327)]=_0x96dc0f[_0x28a13d(0x11cf)][_0x28a13d(0x28df)]['split']('=')[0x1];break;case _0x28a13d(0x4b4):_0x96dc0f['queue'][_0x28a13d(0x12a7)]=_0x96dc0f[_0x28a13d(0x11cf)][_0x28a13d(0x28df)];break;default:{const _0x336a21=_0x96dc0f[_0x28a13d(0x11cf)]['appdata'][_0x28a13d(0xbe1)](',');_0x96dc0f['queue'][_0x28a13d(0x11cf)]=_0x39641b()[_0x28a13d(0xce9)](_0x336a21[0x0])?_0x336a21[0x0]:isNaN(_0x336a21[0x0])?_0x336a21[0x0]:parseInt(_0x336a21[0x0],0xa),_0x96dc0f[_0x28a13d(0x11cf)][_0x28a13d(0x2224)]=_0x39641b()[_0x28a13d(0xce9)](_0x336a21[0x1])?_0x336a21[0x1]:isNaN(_0x336a21[0x1])?_0x336a21[0x1]:parseInt(_0x336a21[0x1],0xa),_0x96dc0f[_0x28a13d(0x11cf)][_0x28a13d(0x237e)]=_0x39641b()['isEmpty'](_0x336a21[0x2])?_0x336a21[0x2]:isNaN(_0x336a21[0x2])?_0x336a21[0x2]:parseInt(_0x336a21[0x2],0xa),_0x96dc0f[_0x28a13d(0x11cf)][_0x28a13d(0x1e9)]=_0x39641b()[_0x28a13d(0xce9)](_0x336a21[0x3])?_0x336a21[0x3]:isNaN(_0x336a21[0x3])?_0x336a21[0x3]:parseInt(_0x336a21[0x3],0xa),_0x96dc0f['queue'][_0x28a13d(0x1719)]=_0x39641b()['isEmpty'](_0x336a21[0x4])?_0x336a21[0x4]:isNaN(_0x336a21[0x4])?_0x336a21[0x4]:parseInt(_0x336a21[0x4],0xa),_0x96dc0f[_0x28a13d(0x11cf)][_0x28a13d(0x4b4)]=_0x39641b()[_0x28a13d(0xce9)](_0x336a21[0x5])?_0x336a21[0x5]:isNaN(_0x336a21[0x5])?_0x336a21[0x5]:parseInt(_0x336a21[0x5],0xa),_0x96dc0f[_0x28a13d(0x11cf)][_0x28a13d(0x321)]=_0x39641b()[_0x28a13d(0xce9)](_0x336a21[0x6])?_0x336a21[0x6]:isNaN(_0x336a21[0x6])?_0x336a21[0x6]:parseInt(_0x336a21[0x6],0xa),_0x96dc0f[_0x28a13d(0x11cf)]['gosub']=_0x39641b()[_0x28a13d(0xce9)](_0x336a21[0x7])?_0x336a21[0x7]:isNaN(_0x336a21[0x7])?_0x336a21[0x7]:parseInt(_0x336a21[0x7],0xa),_0x96dc0f[_0x28a13d(0x11cf)][_0x28a13d(0x5a5)]=_0x39641b()[_0x28a13d(0xce9)](_0x336a21[0x8])?_0x336a21[0x8]:isNaN(_0x336a21[0x8])?_0x336a21[0x8]:parseInt(_0x336a21[0x8],0xa),_0x96dc0f[_0x28a13d(0x11cf)]['position']=_0x39641b()[_0x28a13d(0xce9)](_0x336a21[0x9])?_0x336a21[0x9]:isNaN(_0x336a21[0x9])?_0x336a21[0x9]:parseInt(_0x336a21[0x9],0xa);}break;}else{}_0x96dc0f[_0x28a13d(0x11cf)]['type']&&_0x96dc0f['queue'][_0x28a13d(0x66a)][_0x28a13d(0x1680)]()===_0x28a13d(0x895)&&_0x96dc0f[_0x28a13d(0x11cf)]['appType'][_0x28a13d(0x1680)]()===_0x28a13d(0x20ff)&&(_0x96dc0f[_0x28a13d(0x11cf)]['prefix']=_0x96dc0f[_0x28a13d(0x11cf)][_0x28a13d(0x1340)]?_0x96dc0f[_0x28a13d(0x11cf)][_0x28a13d(0x1340)]['split']('$')[0x0]:undefined,_0x96dc0f[_0x28a13d(0x11cf)]['callerId']=_0x96dc0f[_0x28a13d(0x11cf)][_0x28a13d(0x2816)]?'CALLERID(all)='+_0x96dc0f['queue'][_0x28a13d(0x2816)]:undefined);_0x96dc0f['saveInternalRouteApp']=_0x50b01a,_0x96dc0f[_0x28a13d(0xda0)]=_0xd88aac,_0x44b21d[_0x28a13d(0x22b6)]('admin')?_0x1d6e2b[_0x28a13d(0x1e9)][_0x28a13d(0xbf7)]({'fields':_0x28a13d(0x4ae),'sort':_0x28a13d(0x16b6),'nolimit':_0x28a13d(0x44d)})[_0x28a13d(0x1d77)][_0x28a13d(0x1cb0)](function(_0x22dbdd){const _0x42b40d=_0x28a13d;_0x96dc0f[_0x42b40d(0xe28)]=_0x22dbdd[_0x42b40d(0x2214)]||[];})[_0x28a13d(0x1c4)](function(_0x2a7d3e){const _0x539e9a=_0x28a13d;_0x5d57ef[_0x539e9a(0x218e)]({'title':_0x2a7d3e[_0x539e9a(0x291)]?'API:'+_0x2a7d3e[_0x539e9a(0x291)]+_0x539e9a(0x1657)+_0x2a7d3e[_0x539e9a(0xc22)]:_0x539e9a(0x1b9e),'msg':_0x2a7d3e['data']?JSON['stringify'](_0x2a7d3e[_0x539e9a(0x25c)]):_0x2a7d3e[_0x539e9a(0x147f)]()});}):_0x1d6e2b[_0x28a13d(0x1e9)]['get']({'fields':'id,name,save_name','sort':_0x28a13d(0x16b6),'nolimit':_0x28a13d(0x44d)})['$promise'][_0x28a13d(0x1cb0)](function(_0x2b62b9){const _0x1dd7b8=_0x28a13d;_0x96dc0f[_0x1dd7b8(0xe28)]=_0x2b62b9['rows']||[];})['then'](function(){const _0x2e7609=_0x28a13d;return _0x1d6e2b['userProfileSection'][_0x2e7609(0xbf7)]({'userProfileId':_0x96dc0f[_0x2e7609(0xe76)]['userProfileId'],'sectionId':0x3ef})[_0x2e7609(0x1d77)];})[_0x28a13d(0x1cb0)](function(_0xc0430b){const _0x2f21ea=_0x28a13d,_0x122820=_0xc0430b&&_0xc0430b['rows']?_0xc0430b[_0x2f21ea(0x2214)][0x0]:null;if(!_0x122820){const _0x1864b9=[];let _0x2fb737=null;_0x96dc0f[_0x2f21ea(0x11cf)]&&(_0x2fb737=_0x39641b()[_0x2f21ea(0x13b4)](_0x96dc0f[_0x2f21ea(0xe28)],{'save_name':_0x96dc0f['queue'][_0x2f21ea(0x1e9)]}));for(let _0x134b33=0x0;_0x134b33<_0x96dc0f[_0x2f21ea(0xe28)][_0x2f21ea(0xfd0)];_0x134b33++){_0x2fb737&&_0x96dc0f['sounds'][_0x134b33]['id']===_0x2fb737['id']&&(_0x96dc0f[_0x2f21ea(0xe28)][_0x134b33][_0x2f21ea(0x15da)]=![],_0x1864b9[_0x2f21ea(0x2785)](_0x96dc0f[_0x2f21ea(0xe28)][_0x134b33]));}_0x96dc0f[_0x2f21ea(0xe28)]=_0x1864b9;}else{if(!_0x122820['autoAssociation'])return _0x1d6e2b['userProfileResource'][_0x2f21ea(0xbf7)]({'sectionId':_0x122820['id']})['$promise'][_0x2f21ea(0x1cb0)](function(_0x504ba7){const _0x5d423a=_0x2f21ea,_0xf76942=_0x39641b()['map'](_0x504ba7[_0x5d423a(0x2214)],function(_0x1e37e9){const _0x521394=_0x5d423a;return _0x39641b()[_0x521394(0x13b4)](_0x96dc0f[_0x521394(0xe28)],{'id':_0x1e37e9[_0x521394(0x2982)]});});let _0x8f1d06=null;_0x96dc0f[_0x5d423a(0x11cf)]&&(_0x8f1d06=_0x39641b()[_0x5d423a(0x13b4)](_0x96dc0f['sounds'],{'save_name':_0x96dc0f[_0x5d423a(0x11cf)][_0x5d423a(0x1e9)]}));if(_0x8f1d06&&!_0x39641b()['some'](_0xf76942,['id',_0x8f1d06['id']])){const _0x483504=_0x39641b()[_0x5d423a(0x13b4)](_0x96dc0f[_0x5d423a(0xe28)],{'id':_0x8f1d06['id']});_0x483504[_0x5d423a(0x15da)]=![],_0xf76942[_0x5d423a(0x2785)](_0x483504);}_0x96dc0f[_0x5d423a(0xe28)]=_0xf76942;});}})[_0x28a13d(0x1c4)](function(_0xa83505){const _0x5f5da5=_0x28a13d;_0x5d57ef[_0x5f5da5(0x218e)]({'title':_0xa83505[_0x5f5da5(0x291)]?_0x5f5da5(0xeb9)+_0xa83505['status']+_0x5f5da5(0x1657)+_0xa83505[_0x5f5da5(0xc22)]:_0x5f5da5(0x3a0),'msg':_0xa83505['data']?JSON[_0x5f5da5(0x2701)](_0xa83505[_0x5f5da5(0x25c)]):_0xa83505[_0x5f5da5(0x147f)]()});}),_0x44b21d[_0x28a13d(0x22b6)]('admin')?_0x1d6e2b[_0x28a13d(0x26b3)][_0x28a13d(0xbf7)]({'type':_0x28a13d(0x26c0),'fields':_0x28a13d(0x1896),'sort':_0x28a13d(0x16b6),'nolimit':_0x28a13d(0x44d)})['$promise'][_0x28a13d(0x1cb0)](function(_0x2b89a1){const _0x523469=_0x28a13d;_0x96dc0f[_0x523469(0x971)]=_0x2b89a1[_0x523469(0x2214)]||[];})[_0x28a13d(0x1c4)](function(_0x15ada){const _0x331aea=_0x28a13d;_0x5d57ef[_0x331aea(0x218e)]({'title':_0x15ada[_0x331aea(0x291)]?'API:'+_0x15ada[_0x331aea(0x291)]+_0x331aea(0x1657)+_0x15ada[_0x331aea(0xc22)]:_0x331aea(0x277c),'msg':_0x15ada[_0x331aea(0x25c)]?JSON['stringify'](_0x15ada['data']):_0x15ada[_0x331aea(0x147f)]()});}):_0x1d6e2b[_0x28a13d(0x26b3)][_0x28a13d(0xbf7)]({'type':_0x28a13d(0x26c0),'fields':_0x28a13d(0x1896),'sort':'name','nolimit':'true'})[_0x28a13d(0x1d77)]['then'](function(_0x3f655a){const _0x2a705c=_0x28a13d;_0x96dc0f['queues']=_0x3f655a[_0x2a705c(0x2214)]||[];})['then'](function(){const _0x42e519=_0x28a13d;return _0x1d6e2b[_0x42e519(0x2199)]['get']({'userProfileId':_0x96dc0f[_0x42e519(0xe76)]['userProfileId'],'sectionId':0x191})['$promise'];})[_0x28a13d(0x1cb0)](function(_0x6b9f72){const _0xb5cffd=_0x28a13d,_0x2ca9d8=_0x6b9f72&&_0x6b9f72[_0xb5cffd(0x2214)]?_0x6b9f72['rows'][0x0]:null;if(!_0x2ca9d8){const _0x2fc20e=[];let _0x2fad86=null;_0x96dc0f[_0xb5cffd(0x11cf)]&&(_0x2fad86=_0x39641b()[_0xb5cffd(0x13b4)](_0x96dc0f[_0xb5cffd(0x971)],{'name':_0x96dc0f[_0xb5cffd(0x11cf)][_0xb5cffd(0x11cf)]}));for(let _0x23b9a2=0x0;_0x23b9a2<_0x96dc0f[_0xb5cffd(0x971)][_0xb5cffd(0xfd0)];_0x23b9a2++){_0x2fad86&&_0x96dc0f['queues'][_0x23b9a2]['id']===_0x2fad86['id']&&(_0x96dc0f[_0xb5cffd(0x971)][_0x23b9a2][_0xb5cffd(0x15da)]=![],_0x2fc20e[_0xb5cffd(0x2785)](_0x96dc0f[_0xb5cffd(0x971)][_0x23b9a2]));}_0x96dc0f[_0xb5cffd(0x971)]=_0x2fc20e;}else{if(!_0x2ca9d8['autoAssociation'])return _0x1d6e2b['userProfileResource'][_0xb5cffd(0xbf7)]({'sectionId':_0x2ca9d8['id']})[_0xb5cffd(0x1d77)][_0xb5cffd(0x1cb0)](function(_0x3587eb){const _0x27f718=_0xb5cffd,_0x2d9632=_0x39641b()[_0x27f718(0x1de2)](_0x3587eb[_0x27f718(0x2214)],function(_0x33447a){const _0x21208b=_0x27f718;return _0x39641b()['find'](_0x96dc0f[_0x21208b(0x971)],{'id':_0x33447a['resourceId']});});let _0x33f5ac=null;_0x96dc0f[_0x27f718(0x11cf)]&&(_0x33f5ac=_0x39641b()[_0x27f718(0x13b4)](_0x96dc0f['queues'],{'name':_0x96dc0f['queue'][_0x27f718(0x11cf)]}));if(_0x33f5ac&&!_0x39641b()[_0x27f718(0x727)](_0x2d9632,['id',_0x33f5ac['id']])){const _0x5946df=_0x39641b()[_0x27f718(0x13b4)](_0x96dc0f['queues'],{'id':_0x33f5ac['id']});_0x5946df[_0x27f718(0x15da)]=![],_0x2d9632[_0x27f718(0x2785)](_0x5946df);}_0x96dc0f[_0x27f718(0x971)]=_0x2d9632;});}})['catch'](function(_0x120972){const _0x5f452c=_0x28a13d;_0x5d57ef[_0x5f452c(0x218e)]({'title':_0x120972['status']?'API:'+_0x120972[_0x5f452c(0x291)]+_0x5f452c(0x1657)+_0x120972[_0x5f452c(0xc22)]:_0x5f452c(0x1af2),'msg':_0x120972[_0x5f452c(0x25c)]?JSON[_0x5f452c(0x2701)](_0x120972[_0x5f452c(0x25c)]):_0x120972['toString']()});});function _0x50b01a(){const _0x6d930f=_0x28a13d;_0x96dc0f[_0x6d930f(0x1a7c)]=[];const _0x363e5a=[];_0x96dc0f[_0x6d930f(0x11cf)]['type']&&_0x96dc0f[_0x6d930f(0x11cf)]['type'][_0x6d930f(0x1680)]()==='outbound'&&_0x96dc0f[_0x6d930f(0x11cf)][_0x6d930f(0x299b)]===_0x6d930f(0x25f4)&&(_0x96dc0f[_0x6d930f(0x11cf)][_0x6d930f(0x1340)]=_0x5e28b3[_0x6d930f(0x325)]?(_0x96dc0f['queue'][_0x6d930f(0x586)]||'')+_0x6d930f(0x19e4)+_0x5e28b3[_0x6d930f(0x325)]+'}':(_0x96dc0f[_0x6d930f(0x11cf)][_0x6d930f(0x586)]||'')+_0x6d930f(0xcdd),_0x5e28b3[_0x6d930f(0xf8d)]!=='none'?_0x96dc0f[_0x6d930f(0x11cf)]['options'][_0x6d930f(0xd8a)](_0x6d930f(0x106a))<0x0&&(_0x96dc0f[_0x6d930f(0x11cf)][_0x6d930f(0x2224)]+=_0x6d930f(0x106a)):_0x96dc0f[_0x6d930f(0x11cf)][_0x6d930f(0x2224)]=_0x96dc0f[_0x6d930f(0x11cf)][_0x6d930f(0x2224)][_0x6d930f(0x288f)]('U(xcally-mixmonitor-context)',''));if(_0x96dc0f['queue'][_0x6d930f(0x299b)]&&_0x96dc0f[_0x6d930f(0x11cf)][_0x6d930f(0x299b)]===_0x6d930f(0x197c)){}else switch((_0x96dc0f[_0x6d930f(0x11cf)][_0x6d930f(0x22e1)]||_0x96dc0f['queue'][_0x6d930f(0x299b)])['toLowerCase']()){case'set':_0x96dc0f['queue'][_0x6d930f(0x28df)]=_0x96dc0f[_0x6d930f(0x11cf)][_0x6d930f(0x16b6)]+'='+_0x96dc0f[_0x6d930f(0x11cf)][_0x6d930f(0x327)];break;case _0x6d930f(0x197c):break;default:_0x363e5a[0x0]=_0x96dc0f[_0x6d930f(0x11cf)]['queue'],_0x363e5a[0x1]=_0x96dc0f['queue']['options'],_0x363e5a[0x2]=_0x96dc0f[_0x6d930f(0x11cf)][_0x6d930f(0x237e)],_0x363e5a[0x3]=_0x96dc0f['queue'][_0x6d930f(0x1e9)],_0x363e5a[0x4]=_0x96dc0f[_0x6d930f(0x11cf)][_0x6d930f(0x1719)],_0x363e5a[0x5]=_0x96dc0f[_0x6d930f(0x11cf)][_0x6d930f(0x4b4)],_0x363e5a[0x6]=_0x96dc0f[_0x6d930f(0x11cf)]['macro'],_0x363e5a[0x7]=_0x96dc0f[_0x6d930f(0x11cf)][_0x6d930f(0x20fb)],_0x363e5a[0x8]=_0x96dc0f['queue'][_0x6d930f(0x5a5)],_0x363e5a[0x9]=_0x96dc0f['queue']['position'],_0x96dc0f[_0x6d930f(0x11cf)][_0x6d930f(0x28df)]=_0x363e5a[_0x6d930f(0x1f66)](',');}_0xd88aac(_0x96dc0f[_0x6d930f(0x11cf)]);}function _0xd88aac(_0x61adbd){const _0x506c70=_0x28a13d;_0x312d60[_0x506c70(0x1426)](_0x61adbd);}}const _0x484cce=_0x4a3067;;_0x20c0c0[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x23b1),_0x5537c6(0x3af),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x20c0c0(_0x5abc02,_0x9d57ef,_0x4e8dc0,_0x6fe604,_0x2ab26f,_0x1b2164,_0x44d2ab,_0x230b8e){const _0xbcc505=_0x5537c6,_0x3e4600=this;_0x3e4600[_0xbcc505(0xe76)]=_0x44d2ab[_0xbcc505(0x21e8)](),_0x3e4600['errors']=[],_0x3e4600['title']='VOICE.EDIT_'+(_0x6fe604['appType']||_0x6fe604['app'])[_0xbcc505(0x2335)](),_0x3e4600[_0xbcc505(0x9b3)]=angular[_0xbcc505(0x17fe)](_0x6fe604),_0x3e4600[_0xbcc505(0x1b1a)]=_0x230b8e,_0x3e4600['hasModulePermissions']={};if(_0x3e4600[_0xbcc505(0x9b3)][_0xbcc505(0x28df)])switch(_0x3e4600[_0xbcc505(0x9b3)][_0xbcc505(0x299b)]?_0x3e4600[_0xbcc505(0x9b3)][_0xbcc505(0x299b)]['toLowerCase']():_0x3e4600[_0xbcc505(0x9b3)][_0xbcc505(0x22e1)][_0xbcc505(0x1680)]()){case _0xbcc505(0x197c):break;case _0xbcc505(0x711):{const _0x551ef9=_0x3e4600[_0xbcc505(0x9b3)][_0xbcc505(0x28df)][_0xbcc505(0xbe1)](',');_0x3e4600[_0xbcc505(0x9b3)][_0xbcc505(0xee8)]=_0x551ef9[0x0],_0x3e4600[_0xbcc505(0x9b3)][_0xbcc505(0x12b4)]=_0x551ef9[0x1],_0x3e4600[_0xbcc505(0x9b3)][_0xbcc505(0x173a)]=_0x551ef9[_0xbcc505(0x14cb)](0x2,_0x551ef9[_0xbcc505(0xfd0)])[_0xbcc505(0x1f66)](',');}break;case _0xbcc505(0xece):{const _0x2a7e2d=_0x3e4600[_0xbcc505(0x9b3)][_0xbcc505(0x28df)][_0xbcc505(0xbe1)](',');_0x3e4600[_0xbcc505(0x9b3)][_0xbcc505(0x2854)]=_0x2a7e2d[0x0],_0x3e4600['ringgroup']['clientEmail']=_0x2a7e2d[0x1],_0x3e4600[_0xbcc505(0x9b3)][_0xbcc505(0x29d6)]=_0x2a7e2d[0x2],_0x3e4600[_0xbcc505(0x9b3)][_0xbcc505(0x12b4)]=_0x2a7e2d[0x3],_0x3e4600[_0xbcc505(0x9b3)][_0xbcc505(0x173a)]=_0x2a7e2d['slice'](0x4,_0x2a7e2d[_0xbcc505(0xfd0)])[_0xbcc505(0x1f66)](',');}break;case _0xbcc505(0x123a):{const _0x57410a=_0x3e4600[_0xbcc505(0x9b3)][_0xbcc505(0x28df)][_0xbcc505(0xbe1)](',');_0x3e4600[_0xbcc505(0x9b3)][_0xbcc505(0x413)]=_0x57410a[0x0],_0x3e4600[_0xbcc505(0x9b3)][_0xbcc505(0x21d9)]=_0x57410a[0x1],_0x3e4600[_0xbcc505(0x9b3)][_0xbcc505(0xd50)]=_0x57410a[0x2],_0x3e4600['ringgroup']['botname']=_0x57410a[0x3],_0x3e4600[_0xbcc505(0x9b3)]['welcomemessage']=_0x57410a[_0xbcc505(0x14cb)](0x4,_0x57410a['length'])[_0xbcc505(0x1f66)](',');}break;case _0xbcc505(0x1f71):{const _0x5ed8ae=_0x3e4600[_0xbcc505(0x9b3)][_0xbcc505(0x28df)][_0xbcc505(0xbe1)](',');_0x3e4600[_0xbcc505(0x9b3)][_0xbcc505(0x18a5)]=isNaN(_0x5ed8ae[0x0])?_0x5ed8ae[0x0]:parseInt(_0x5ed8ae[0x0],0xa),_0x3e4600['ringgroup']['text']=_0x5ed8ae['slice'](0x1,_0x5ed8ae['length'])['join'](',');}break;case _0xbcc505(0x155e):_0x3e4600[_0xbcc505(0x9b3)][_0xbcc505(0x19d3)]=_0x3e4600[_0xbcc505(0x9b3)][_0xbcc505(0x28df)];break;case'set':_0x3e4600['ringgroup'][_0xbcc505(0x16b6)]=_0x3e4600['ringgroup'][_0xbcc505(0x28df)][_0xbcc505(0xbe1)]('=')[0x0],_0x3e4600[_0xbcc505(0x9b3)][_0xbcc505(0x327)]=_0x3e4600[_0xbcc505(0x9b3)]['appdata'][_0xbcc505(0xbe1)]('=')[0x1];break;case _0xbcc505(0x4b4):_0x3e4600[_0xbcc505(0x9b3)]['project']=_0x3e4600['ringgroup']['appdata'];break;default:{const _0x157093=_0x3e4600[_0xbcc505(0x9b3)][_0xbcc505(0x28df)]['split'](',');_0x3e4600['ringgroup'][_0xbcc505(0x1989)]=_0x157093[0x0][_0xbcc505(0xbe1)]('&'),_0x3e4600[_0xbcc505(0x9b3)]['timeout']=_0x39641b()[_0xbcc505(0xce9)](_0x157093[0x1])?_0x157093[0x1]:isNaN(_0x157093[0x1])?_0x157093[0x1]:parseInt(_0x157093[0x1],0xa),_0x3e4600[_0xbcc505(0x9b3)][_0xbcc505(0x2224)]=_0x39641b()[_0xbcc505(0xce9)](_0x157093[0x2])?_0x157093[0x2]:isNaN(_0x157093[0x2])?_0x157093[0x2]:parseInt(_0x157093[0x2],0xa),_0x3e4600['ringgroup'][_0xbcc505(0x135b)]=_0x39641b()[_0xbcc505(0xce9)](_0x157093[0x3])?_0x157093[0x3]:isNaN(_0x157093[0x3])?_0x157093[0x3]:parseInt(_0x157093[0x3],0xa);}break;}else{}_0x3e4600['ringgroup'][_0xbcc505(0x66a)]&&_0x3e4600[_0xbcc505(0x9b3)][_0xbcc505(0x66a)]['toLowerCase']()===_0xbcc505(0x895)&&_0x3e4600[_0xbcc505(0x9b3)]['appType'][_0xbcc505(0x1680)]()===_0xbcc505(0x20ff)&&(_0x3e4600[_0xbcc505(0x9b3)][_0xbcc505(0x586)]=_0x3e4600['ringgroup'][_0xbcc505(0x1340)]?_0x3e4600[_0xbcc505(0x9b3)][_0xbcc505(0x1340)][_0xbcc505(0xbe1)]('$')[0x0]:undefined,_0x3e4600[_0xbcc505(0x9b3)][_0xbcc505(0x1e7c)]=_0x3e4600['ringgroup'][_0xbcc505(0x2816)]?_0xbcc505(0x25f9)+_0x3e4600[_0xbcc505(0x9b3)]['callerID']:undefined);_0x3e4600['saveInternalRouteApp']=_0x2a56,_0x3e4600[_0xbcc505(0xda0)]=_0x153caa;const _0x26c997=[{'id':0xca,'role':_0xbcc505(0x1eff)},{'id':0xcb,'role':'telephone'}];let _0x144e05=null;_0x44d2ab[_0xbcc505(0x22b6)]('admin')?_0x1b2164[_0xbcc505(0xebe)]['get']({'fields':'id,name,role','sort':_0xbcc505(0x16b6),'nolimit':_0xbcc505(0x44d)})[_0xbcc505(0x1d77)][_0xbcc505(0x1cb0)](function(_0x17937b){const _0x2fddab=_0xbcc505;_0x3e4600[_0x2fddab(0x1e30)]=_0x17937b[_0x2fddab(0x2214)]||[];})[_0xbcc505(0x1c4)](function(_0x33cab0){const _0x1bf87c=_0xbcc505;_0x4e8dc0['error']({'title':_0x33cab0[_0x1bf87c(0x291)]?_0x1bf87c(0xeb9)+_0x33cab0[_0x1bf87c(0x291)]+'\x20-\x20'+_0x33cab0['statusText']:_0x1bf87c(0x124f),'msg':_0x33cab0[_0x1bf87c(0x25c)]?JSON['stringify'](_0x33cab0[_0x1bf87c(0x25c)]):_0x33cab0['toString']()});}):_0x1b2164[_0xbcc505(0xebe)][_0xbcc505(0xbf7)]({'fields':'id,name,role','sort':_0xbcc505(0x16b6),'nolimit':_0xbcc505(0x44d)})[_0xbcc505(0x1d77)]['then'](function(_0x5b72c8){const _0x2439e3=_0xbcc505;_0x144e05=_0x5b72c8[_0x2439e3(0x2214)]||[];})['then'](function(){const _0x19cd32=_0xbcc505,_0x4ae826=[];for(let _0x1045b7=0x0;_0x1045b7<_0x26c997[_0x19cd32(0xfd0)];_0x1045b7++){_0x4ae826[_0x19cd32(0x2785)](_0x1b2164[_0x19cd32(0x2199)][_0x19cd32(0xbf7)]({'userProfileId':_0x3e4600['currentUser']['userProfileId'],'sectionId':_0x26c997[_0x1045b7]['id']})['$promise']);}return _0x9d57ef[_0x19cd32(0x223b)](_0x4ae826);})[_0xbcc505(0x1cb0)](function(_0x5a6d7f){const _0x5cd62b=_0xbcc505,_0x4d4a5a=_0x39641b()[_0x5cd62b(0x1de2)](_0x5a6d7f,function(_0x4cc805){const _0x1dd31f=_0x5cd62b;return _0x4cc805&&_0x4cc805[_0x1dd31f(0x2214)]?_0x4cc805[_0x1dd31f(0x2214)][0x0]:null;}),_0x52b0cf=[];for(let _0x43cc4e=0x0;_0x43cc4e<_0x26c997[_0x5cd62b(0xfd0)];_0x43cc4e++){const _0x30ef98=_0x39641b()[_0x5cd62b(0x13b4)](_0x4d4a5a,[_0x5cd62b(0xec6),_0x26c997[_0x43cc4e]['id']]);if(_0x30ef98){if(!_0x30ef98[_0x5cd62b(0x12f4)])_0x52b0cf[_0x5cd62b(0x2785)](_0x1b2164[_0x5cd62b(0x1198)]['get']({'sectionId':_0x30ef98['id']})[_0x5cd62b(0x1d77)]);else{const _0x4334ec=[];_0x144e05['forEach'](function(_0x4f6dd5){const _0x179eba=_0x5cd62b;_0x4f6dd5[_0x179eba(0x26e6)]===_0x26c997[_0x43cc4e][_0x179eba(0x26e6)]&&_0x4334ec[_0x179eba(0x2785)](_0x4f6dd5);}),_0x52b0cf['push']({'rows':_0x4334ec,'count':_0x4334ec[_0x5cd62b(0xfd0)]});}}}return _0x9d57ef[_0x5cd62b(0x223b)](_0x52b0cf);})[_0xbcc505(0x1cb0)](function(_0x30d567){const _0x4bd160=_0xbcc505,_0x22f9d4=[];let _0x157a1b=null;_0x3e4600[_0x4bd160(0x9b3)]&&(_0x157a1b=_0x39641b()[_0x4bd160(0x13b4)](_0x144e05,{'name':_0x3e4600[_0x4bd160(0x9b3)][_0x4bd160(0x1989)]}));for(let _0x3c41ca=0x0;_0x3c41ca<_0x30d567[_0x4bd160(0xfd0)];_0x3c41ca++){const _0x5647ee=_0x30d567[_0x3c41ca][_0x4bd160(0x2214)]||[];_0x5647ee[_0x4bd160(0xf90)](function(_0x4bd95a){const _0x4995a2=_0x4bd160;_0x22f9d4[_0x4995a2(0x2785)](_0x4bd95a);});}_0x157a1b&&!_0x39641b()[_0x4bd160(0x727)](_0x22f9d4,[_0x4bd160(0x2982),_0x157a1b['id']])&&_0x144e05[_0x4bd160(0xf90)](function(_0x270b37){const _0x49cf01=_0x4bd160;_0x270b37['id']===_0x157a1b['id']&&(_0x270b37[_0x49cf01(0x15da)]=![],_0x22f9d4['push'](_0x270b37));}),_0x3e4600[_0x4bd160(0x1e30)]=_0x22f9d4;})[_0xbcc505(0x1c4)](function(_0x2a780c){const _0x4afa39=_0xbcc505;_0x4e8dc0[_0x4afa39(0x218e)]({'title':_0x2a780c[_0x4afa39(0x291)]?_0x4afa39(0xeb9)+_0x2a780c['status']+_0x4afa39(0x1657)+_0x2a780c[_0x4afa39(0xc22)]:_0x4afa39(0x1e94),'msg':_0x2a780c['data']?JSON[_0x4afa39(0x2701)](_0x2a780c[_0x4afa39(0x25c)]):_0x2a780c['toString']()});});function _0x2a56(){const _0x5afc62=_0xbcc505;_0x3e4600[_0x5afc62(0x1a7c)]=[];const _0x2d7d28=[];_0x3e4600[_0x5afc62(0x9b3)][_0x5afc62(0x66a)]&&_0x3e4600[_0x5afc62(0x9b3)][_0x5afc62(0x66a)]['toLowerCase']()===_0x5afc62(0x895)&&_0x3e4600[_0x5afc62(0x9b3)]['appType']===_0x5afc62(0x25f4)&&(_0x3e4600[_0x5afc62(0x9b3)][_0x5afc62(0x1340)]=_0x2ab26f[_0x5afc62(0x325)]?(_0x3e4600[_0x5afc62(0x9b3)][_0x5afc62(0x586)]||'')+_0x5afc62(0x19e4)+_0x2ab26f['cutdigits']+'}':(_0x3e4600[_0x5afc62(0x9b3)][_0x5afc62(0x586)]||'')+_0x5afc62(0xcdd),_0x2ab26f[_0x5afc62(0xf8d)]!==_0x5afc62(0x13b1)?_0x3e4600[_0x5afc62(0x9b3)][_0x5afc62(0x2224)][_0x5afc62(0xd8a)](_0x5afc62(0x106a))<0x0&&(_0x3e4600['ringgroup'][_0x5afc62(0x2224)]+=_0x5afc62(0x106a)):_0x3e4600[_0x5afc62(0x9b3)][_0x5afc62(0x2224)]=_0x3e4600[_0x5afc62(0x9b3)][_0x5afc62(0x2224)][_0x5afc62(0x288f)](_0x5afc62(0x106a),''));if(_0x3e4600[_0x5afc62(0x9b3)]['appType']&&_0x3e4600[_0x5afc62(0x9b3)][_0x5afc62(0x299b)]===_0x5afc62(0x197c)){}else switch((_0x3e4600[_0x5afc62(0x9b3)][_0x5afc62(0x22e1)]||_0x3e4600[_0x5afc62(0x9b3)][_0x5afc62(0x299b)])['toLowerCase']()){case'set':_0x3e4600['ringgroup'][_0x5afc62(0x28df)]=_0x3e4600[_0x5afc62(0x9b3)][_0x5afc62(0x16b6)]+'='+_0x3e4600['ringgroup'][_0x5afc62(0x327)];break;case _0x5afc62(0x197c):break;default:_0x2d7d28[0x0]=_0x3e4600[_0x5afc62(0x9b3)]['multipleUsers'][_0x5afc62(0x1f66)]('&'),_0x2d7d28[0x1]=_0x3e4600[_0x5afc62(0x9b3)][_0x5afc62(0x1719)],_0x2d7d28[0x2]=_0x3e4600[_0x5afc62(0x9b3)][_0x5afc62(0x2224)],_0x2d7d28[0x3]=_0x3e4600[_0x5afc62(0x9b3)]['url'],_0x3e4600[_0x5afc62(0x9b3)]['appdata']=_0x2d7d28[_0x5afc62(0x1f66)](',');}_0x153caa(_0x3e4600[_0x5afc62(0x9b3)]);}function _0x153caa(_0x16d4be){_0x5abc02['hide'](_0x16d4be);}}const _0x33dda0=_0x20c0c0;;_0x551909[_0x5537c6(0x15b6)]=['$mdDialog','$q',_0x5537c6(0x9bf),'internalrouteApp',_0x5537c6(0x3af),'api','Auth',_0x5537c6(0x1b1a)];function _0x551909(_0x43cca4,_0x2d7972,_0x498a13,_0x30fb17,_0xe8561b,_0x30bcce,_0x21ef4f,_0x4f8ed5){const _0x4f0a89=_0x5537c6,_0x2289a9=this;_0x2289a9['currentUser']=_0x21ef4f[_0x4f0a89(0x21e8)](),_0x2289a9[_0x4f0a89(0x1a7c)]=[],_0x2289a9['title']=_0x4f0a89(0x132b)+(_0x30fb17['appType']||_0x30fb17[_0x4f0a89(0x22e1)])[_0x4f0a89(0x2335)](),_0x2289a9[_0x4f0a89(0x19d1)]=angular['copy'](_0x30fb17),_0x2289a9[_0x4f0a89(0x1b1a)]=_0x4f8ed5,_0x2289a9['hasModulePermissions']={};if(_0x2289a9['set'][_0x4f0a89(0x28df)])switch(_0x2289a9['set'][_0x4f0a89(0x299b)]?_0x2289a9['set']['appType']['toLowerCase']():_0x2289a9['set'][_0x4f0a89(0x22e1)]['toLowerCase']()){case'custom':break;case _0x4f0a89(0x711):{const _0x2529c8=_0x2289a9[_0x4f0a89(0x19d1)]['appdata']['split'](',');_0x2289a9[_0x4f0a89(0x19d1)][_0x4f0a89(0xee8)]=_0x2529c8[0x0],_0x2289a9[_0x4f0a89(0x19d1)]['language']=_0x2529c8[0x1],_0x2289a9[_0x4f0a89(0x19d1)][_0x4f0a89(0x173a)]=_0x2529c8[_0x4f0a89(0x14cb)](0x2,_0x2529c8[_0x4f0a89(0xfd0)])[_0x4f0a89(0x1f66)](',');}break;case _0x4f0a89(0xece):{const _0x172220=_0x2289a9[_0x4f0a89(0x19d1)]['appdata']['split'](',');_0x2289a9['set'][_0x4f0a89(0x2854)]=_0x172220[0x0],_0x2289a9[_0x4f0a89(0x19d1)][_0x4f0a89(0x12bf)]=_0x172220[0x1],_0x2289a9[_0x4f0a89(0x19d1)][_0x4f0a89(0x29d6)]=_0x172220[0x2],_0x2289a9['set'][_0x4f0a89(0x12b4)]=_0x172220[0x3],_0x2289a9['set'][_0x4f0a89(0x173a)]=_0x172220[_0x4f0a89(0x14cb)](0x4,_0x172220[_0x4f0a89(0xfd0)])[_0x4f0a89(0x1f66)](',');}break;case _0x4f0a89(0x123a):{const _0x35cb78=_0x2289a9[_0x4f0a89(0x19d1)][_0x4f0a89(0x28df)][_0x4f0a89(0xbe1)](',');_0x2289a9[_0x4f0a89(0x19d1)][_0x4f0a89(0x413)]=_0x35cb78[0x0],_0x2289a9['set']['secretaccesskey']=_0x35cb78[0x1],_0x2289a9['set']['lexregion']=_0x35cb78[0x2],_0x2289a9[_0x4f0a89(0x19d1)][_0x4f0a89(0x1c7f)]=_0x35cb78[0x3],_0x2289a9['set'][_0x4f0a89(0x173a)]=_0x35cb78[_0x4f0a89(0x14cb)](0x4,_0x35cb78[_0x4f0a89(0xfd0)])['join'](',');}break;case'autoreply':{const _0x4624f5=_0x2289a9['set'][_0x4f0a89(0x28df)]['split'](',');_0x2289a9[_0x4f0a89(0x19d1)][_0x4f0a89(0x18a5)]=isNaN(_0x4624f5[0x0])?_0x4624f5[0x0]:parseInt(_0x4624f5[0x0],0xa),_0x2289a9[_0x4f0a89(0x19d1)]['text']=_0x4624f5['slice'](0x1,_0x4624f5[_0x4f0a89(0xfd0)])[_0x4f0a89(0x1f66)](',');}break;case'message':_0x2289a9[_0x4f0a89(0x19d1)][_0x4f0a89(0x19d3)]=_0x2289a9['set'][_0x4f0a89(0x28df)];break;case'set':_0x2289a9[_0x4f0a89(0x19d1)][_0x4f0a89(0x16b6)]=_0x2289a9[_0x4f0a89(0x19d1)][_0x4f0a89(0x28df)]['split']('=')[0x0],_0x2289a9[_0x4f0a89(0x19d1)][_0x4f0a89(0x327)]=_0x2289a9[_0x4f0a89(0x19d1)]['appdata'][_0x4f0a89(0xbe1)]('=')[0x1];break;case _0x4f0a89(0x4b4):_0x2289a9[_0x4f0a89(0x19d1)][_0x4f0a89(0x12a7)]=_0x2289a9['set']['appdata'];break;default:{const _0x1f3188=_0x2289a9[_0x4f0a89(0x19d1)][_0x4f0a89(0x28df)][_0x4f0a89(0xbe1)]('=');_0x2289a9[_0x4f0a89(0x19d1)]['name']=_0x39641b()[_0x4f0a89(0xce9)](_0x1f3188[0x0])?_0x1f3188[0x0]:isNaN(_0x1f3188[0x0])?_0x1f3188[0x0]:parseInt(_0x1f3188[0x0],0xa),_0x2289a9[_0x4f0a89(0x19d1)][_0x4f0a89(0x327)]=_0x39641b()[_0x4f0a89(0xce9)](_0x1f3188[0x1])?_0x1f3188[0x1]:isNaN(_0x1f3188[0x1])?_0x1f3188[0x1]:parseInt(_0x1f3188[0x1],0xa);}break;}else{}_0x2289a9[_0x4f0a89(0x19d1)][_0x4f0a89(0x66a)]&&_0x2289a9['set']['type'][_0x4f0a89(0x1680)]()===_0x4f0a89(0x895)&&_0x2289a9[_0x4f0a89(0x19d1)]['appType'][_0x4f0a89(0x1680)]()===_0x4f0a89(0x20ff)&&(_0x2289a9['set']['prefix']=_0x2289a9['set']['phone']?_0x2289a9[_0x4f0a89(0x19d1)]['phone'][_0x4f0a89(0xbe1)]('$')[0x0]:undefined,_0x2289a9[_0x4f0a89(0x19d1)][_0x4f0a89(0x1e7c)]=_0x2289a9[_0x4f0a89(0x19d1)][_0x4f0a89(0x2816)]?'CALLERID(all)='+_0x2289a9[_0x4f0a89(0x19d1)][_0x4f0a89(0x2816)]:undefined);_0x2289a9[_0x4f0a89(0xb3b)]=_0x1b5574,_0x2289a9[_0x4f0a89(0xda0)]=_0x177cf2,_0x21ef4f[_0x4f0a89(0x22b6)](_0x4f0a89(0x1c60))?_0x30bcce[_0x4f0a89(0x1822)][_0x4f0a89(0xbf7)]({'fields':'id,name','sort':_0x4f0a89(0x16b6),'nolimit':'true'})[_0x4f0a89(0x1d77)]['then'](function(_0x6e4868){const _0x2d2aba=_0x4f0a89;_0x2289a9[_0x2d2aba(0x9a9)]=_0x6e4868[_0x2d2aba(0x2214)]||[];})[_0x4f0a89(0x1c4)](function(_0x3e6a8f){const _0x453039=_0x4f0a89;_0x498a13[_0x453039(0x218e)]({'title':_0x3e6a8f[_0x453039(0x291)]?_0x453039(0xeb9)+_0x3e6a8f[_0x453039(0x291)]+_0x453039(0x1657)+_0x3e6a8f[_0x453039(0xc22)]:'SYSTEM:GET_VARIABLES','msg':_0x3e6a8f[_0x453039(0x25c)]?JSON[_0x453039(0x2701)](_0x3e6a8f['data']):_0x3e6a8f['toString']()});}):_0x30bcce[_0x4f0a89(0x1822)][_0x4f0a89(0xbf7)]({'fields':_0x4f0a89(0x43c),'sort':_0x4f0a89(0x16b6),'nolimit':'true'})[_0x4f0a89(0x1d77)][_0x4f0a89(0x1cb0)](function(_0x5eb79f){const _0x5c7065=_0x4f0a89;_0x2289a9[_0x5c7065(0x9a9)]=_0x5eb79f['rows']||[];})[_0x4f0a89(0x1cb0)](function(){const _0x489285=_0x4f0a89;return _0x30bcce[_0x489285(0x2199)][_0x489285(0xbf7)]({'userProfileId':_0x2289a9[_0x489285(0xe76)][_0x489285(0x13c1)],'sectionId':0x3f4})[_0x489285(0x1d77)];})['then'](function(_0x46f42b){const _0x36dd79=_0x4f0a89,_0x2c0d10=_0x46f42b&&_0x46f42b[_0x36dd79(0x2214)]?_0x46f42b[_0x36dd79(0x2214)][0x0]:null;if(!_0x2c0d10){const _0x3bc5a4=[];let _0x44c97a=null;_0x2289a9[_0x36dd79(0x19d1)]&&(_0x44c97a=_0x39641b()[_0x36dd79(0x13b4)](_0x2289a9[_0x36dd79(0x9a9)],{'name':_0x2289a9[_0x36dd79(0x19d1)][_0x36dd79(0x16b6)]}));for(let _0x3f33fe=0x0;_0x3f33fe<_0x2289a9[_0x36dd79(0x9a9)][_0x36dd79(0xfd0)];_0x3f33fe++){_0x44c97a&&_0x2289a9[_0x36dd79(0x9a9)][_0x3f33fe]['id']===_0x44c97a['id']&&(_0x2289a9[_0x36dd79(0x9a9)][_0x3f33fe][_0x36dd79(0x15da)]=![],_0x3bc5a4[_0x36dd79(0x2785)](_0x2289a9[_0x36dd79(0x9a9)][_0x3f33fe]));}_0x2289a9['variables']=_0x3bc5a4;}else{if(!_0x2c0d10[_0x36dd79(0x12f4)])return _0x30bcce[_0x36dd79(0x1198)]['get']({'sectionId':_0x2c0d10['id']})[_0x36dd79(0x1d77)][_0x36dd79(0x1cb0)](function(_0x2702ab){const _0x4c7f45=_0x36dd79,_0x5f4b80=_0x39641b()[_0x4c7f45(0x1de2)](_0x2702ab[_0x4c7f45(0x2214)],function(_0x52cea3){const _0x30afe5=_0x4c7f45;return _0x39641b()[_0x30afe5(0x13b4)](_0x2289a9[_0x30afe5(0x9a9)],{'id':_0x52cea3['resourceId']});});let _0x1224b4=null;_0x2289a9[_0x4c7f45(0x19d1)]&&(_0x1224b4=_0x39641b()[_0x4c7f45(0x13b4)](_0x2289a9[_0x4c7f45(0x9a9)],{'name':_0x2289a9[_0x4c7f45(0x19d1)]['name']}));if(_0x1224b4&&!_0x39641b()[_0x4c7f45(0x727)](_0x5f4b80,['id',_0x1224b4['id']])){const _0x2cd6ab=_0x39641b()[_0x4c7f45(0x13b4)](_0x2289a9[_0x4c7f45(0x9a9)],{'id':_0x1224b4['id']});_0x2cd6ab[_0x4c7f45(0x15da)]=![],_0x5f4b80[_0x4c7f45(0x2785)](_0x2cd6ab);}_0x2289a9[_0x4c7f45(0x9a9)]=_0x5f4b80;});}})[_0x4f0a89(0x1c4)](function(_0x217d1b){const _0x5ac5b1=_0x4f0a89;_0x498a13['error']({'title':_0x217d1b[_0x5ac5b1(0x291)]?'API:'+_0x217d1b[_0x5ac5b1(0x291)]+_0x5ac5b1(0x1657)+_0x217d1b[_0x5ac5b1(0xc22)]:_0x5ac5b1(0xda5),'msg':_0x217d1b[_0x5ac5b1(0x25c)]?JSON[_0x5ac5b1(0x2701)](_0x217d1b[_0x5ac5b1(0x25c)]):_0x217d1b[_0x5ac5b1(0x147f)]()});});function _0x1b5574(){const _0x20b78a=_0x4f0a89;_0x2289a9[_0x20b78a(0x1a7c)]=[];const _0x483fe5=[];_0x2289a9[_0x20b78a(0x19d1)][_0x20b78a(0x66a)]&&_0x2289a9[_0x20b78a(0x19d1)][_0x20b78a(0x66a)][_0x20b78a(0x1680)]()===_0x20b78a(0x895)&&_0x2289a9[_0x20b78a(0x19d1)][_0x20b78a(0x299b)]==='outboundDial'&&(_0x2289a9[_0x20b78a(0x19d1)][_0x20b78a(0x1340)]=_0xe8561b[_0x20b78a(0x325)]?(_0x2289a9[_0x20b78a(0x19d1)][_0x20b78a(0x586)]||'')+_0x20b78a(0x19e4)+_0xe8561b[_0x20b78a(0x325)]+'}':(_0x2289a9[_0x20b78a(0x19d1)][_0x20b78a(0x586)]||'')+_0x20b78a(0xcdd),_0xe8561b[_0x20b78a(0xf8d)]!==_0x20b78a(0x13b1)?_0x2289a9[_0x20b78a(0x19d1)]['options'][_0x20b78a(0xd8a)](_0x20b78a(0x106a))<0x0&&(_0x2289a9[_0x20b78a(0x19d1)][_0x20b78a(0x2224)]+=_0x20b78a(0x106a)):_0x2289a9[_0x20b78a(0x19d1)][_0x20b78a(0x2224)]=_0x2289a9[_0x20b78a(0x19d1)][_0x20b78a(0x2224)][_0x20b78a(0x288f)]('U(xcally-mixmonitor-context)',''));if(_0x2289a9[_0x20b78a(0x19d1)][_0x20b78a(0x299b)]&&_0x2289a9['set']['appType']===_0x20b78a(0x197c)){}else switch((_0x2289a9[_0x20b78a(0x19d1)][_0x20b78a(0x22e1)]||_0x2289a9[_0x20b78a(0x19d1)][_0x20b78a(0x299b)])[_0x20b78a(0x1680)]()){case _0x20b78a(0x19d1):_0x2289a9[_0x20b78a(0x19d1)][_0x20b78a(0x28df)]=_0x2289a9[_0x20b78a(0x19d1)]['name']+'='+_0x2289a9[_0x20b78a(0x19d1)][_0x20b78a(0x327)];break;case _0x20b78a(0x197c):break;default:_0x483fe5[0x0]=_0x2289a9[_0x20b78a(0x19d1)][_0x20b78a(0x16b6)],_0x483fe5[0x1]=_0x2289a9[_0x20b78a(0x19d1)][_0x20b78a(0x327)],_0x2289a9[_0x20b78a(0x19d1)][_0x20b78a(0x28df)]=_0x483fe5[_0x20b78a(0x1f66)]('=');}_0x177cf2(_0x2289a9[_0x20b78a(0x19d1)]);}function _0x177cf2(_0x31f188){const _0x2cf127=_0x4f0a89;_0x43cca4[_0x2cf127(0x1426)](_0x31f188);}}const _0x3e3a9e=_0x551909;;_0x5cbd7a[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x23b1),_0x5537c6(0x3af),'api',_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x5cbd7a(_0x389b52,_0x496bb3,_0x3c9d1f,_0x4ab4c9,_0x2284b3,_0xacdb2,_0x2d3c53,_0x26860b){const _0x1c803f=_0x5537c6,_0x3e24ee=this;_0x3e24ee[_0x1c803f(0xe76)]=_0x2d3c53[_0x1c803f(0x21e8)](),_0x3e24ee[_0x1c803f(0x1a7c)]=[],_0x3e24ee[_0x1c803f(0x1386)]=_0x1c803f(0x132b)+(_0x4ab4c9['appType']||_0x4ab4c9['app'])['toUpperCase'](),_0x3e24ee[_0x1c803f(0xd66)]=angular[_0x1c803f(0x17fe)](_0x4ab4c9),_0x3e24ee[_0x1c803f(0x1b1a)]=_0x26860b,_0x3e24ee[_0x1c803f(0xf4c)]={};if(_0x3e24ee[_0x1c803f(0xd66)][_0x1c803f(0x28df)])switch(_0x3e24ee[_0x1c803f(0xd66)][_0x1c803f(0x299b)]?_0x3e24ee[_0x1c803f(0xd66)][_0x1c803f(0x299b)][_0x1c803f(0x1680)]():_0x3e24ee['voicemail'][_0x1c803f(0x22e1)]['toLowerCase']()){case _0x1c803f(0x197c):break;case _0x1c803f(0x711):{const _0x5a28eb=_0x3e24ee[_0x1c803f(0xd66)]['appdata'][_0x1c803f(0xbe1)](',');_0x3e24ee[_0x1c803f(0xd66)]['key']=_0x5a28eb[0x0],_0x3e24ee[_0x1c803f(0xd66)][_0x1c803f(0x12b4)]=_0x5a28eb[0x1],_0x3e24ee['voicemail']['welcomemessage']=_0x5a28eb[_0x1c803f(0x14cb)](0x2,_0x5a28eb[_0x1c803f(0xfd0)])[_0x1c803f(0x1f66)](',');}break;case _0x1c803f(0xece):{const _0x20ce23=_0x3e24ee[_0x1c803f(0xd66)]['appdata'][_0x1c803f(0xbe1)](',');_0x3e24ee[_0x1c803f(0xd66)][_0x1c803f(0x2854)]=_0x20ce23[0x0],_0x3e24ee[_0x1c803f(0xd66)]['clientEmail']=_0x20ce23[0x1],_0x3e24ee[_0x1c803f(0xd66)][_0x1c803f(0x29d6)]=_0x20ce23[0x2],_0x3e24ee[_0x1c803f(0xd66)][_0x1c803f(0x12b4)]=_0x20ce23[0x3],_0x3e24ee['voicemail'][_0x1c803f(0x173a)]=_0x20ce23[_0x1c803f(0x14cb)](0x4,_0x20ce23[_0x1c803f(0xfd0)])[_0x1c803f(0x1f66)](',');}break;case _0x1c803f(0x123a):{const _0x3564de=_0x3e24ee['voicemail'][_0x1c803f(0x28df)][_0x1c803f(0xbe1)](',');_0x3e24ee[_0x1c803f(0xd66)][_0x1c803f(0x413)]=_0x3564de[0x0],_0x3e24ee[_0x1c803f(0xd66)]['secretaccesskey']=_0x3564de[0x1],_0x3e24ee[_0x1c803f(0xd66)]['lexregion']=_0x3564de[0x2],_0x3e24ee['voicemail'][_0x1c803f(0x1c7f)]=_0x3564de[0x3],_0x3e24ee[_0x1c803f(0xd66)][_0x1c803f(0x173a)]=_0x3564de['slice'](0x4,_0x3564de[_0x1c803f(0xfd0)])['join'](',');}break;case _0x1c803f(0x1f71):{const _0x3afb89=_0x3e24ee[_0x1c803f(0xd66)][_0x1c803f(0x28df)][_0x1c803f(0xbe1)](',');_0x3e24ee[_0x1c803f(0xd66)][_0x1c803f(0x18a5)]=isNaN(_0x3afb89[0x0])?_0x3afb89[0x0]:parseInt(_0x3afb89[0x0],0xa),_0x3e24ee[_0x1c803f(0xd66)][_0x1c803f(0x19d3)]=_0x3afb89['slice'](0x1,_0x3afb89[_0x1c803f(0xfd0)])[_0x1c803f(0x1f66)](',');}break;case _0x1c803f(0x155e):_0x3e24ee[_0x1c803f(0xd66)][_0x1c803f(0x19d3)]=_0x3e24ee[_0x1c803f(0xd66)][_0x1c803f(0x28df)];break;case _0x1c803f(0x19d1):_0x3e24ee[_0x1c803f(0xd66)][_0x1c803f(0x16b6)]=_0x3e24ee[_0x1c803f(0xd66)][_0x1c803f(0x28df)][_0x1c803f(0xbe1)]('=')[0x0],_0x3e24ee[_0x1c803f(0xd66)][_0x1c803f(0x327)]=_0x3e24ee[_0x1c803f(0xd66)][_0x1c803f(0x28df)]['split']('=')[0x1];break;case _0x1c803f(0x4b4):_0x3e24ee[_0x1c803f(0xd66)]['project']=_0x3e24ee[_0x1c803f(0xd66)][_0x1c803f(0x28df)];break;default:{const _0x428f33=_0x3e24ee['voicemail']['appdata'][_0x1c803f(0xbe1)](',');_0x3e24ee[_0x1c803f(0xd66)]['voiceMail']=_0x39641b()[_0x1c803f(0xce9)](_0x428f33[0x0])?_0x428f33[0x0]:isNaN(_0x428f33[0x0])?_0x428f33[0x0]:parseInt(_0x428f33[0x0],0xa),_0x3e24ee[_0x1c803f(0xd66)][_0x1c803f(0x2224)]=_0x39641b()[_0x1c803f(0xce9)](_0x428f33[0x1])?_0x428f33[0x1]:isNaN(_0x428f33[0x1])?_0x428f33[0x1]:parseInt(_0x428f33[0x1],0xa);}break;}else{}_0x3e24ee[_0x1c803f(0xd66)][_0x1c803f(0x66a)]&&_0x3e24ee[_0x1c803f(0xd66)][_0x1c803f(0x66a)][_0x1c803f(0x1680)]()===_0x1c803f(0x895)&&_0x3e24ee[_0x1c803f(0xd66)]['appType'][_0x1c803f(0x1680)]()==='outbounddial'&&(_0x3e24ee[_0x1c803f(0xd66)][_0x1c803f(0x586)]=_0x3e24ee[_0x1c803f(0xd66)][_0x1c803f(0x1340)]?_0x3e24ee[_0x1c803f(0xd66)][_0x1c803f(0x1340)][_0x1c803f(0xbe1)]('$')[0x0]:undefined,_0x3e24ee[_0x1c803f(0xd66)][_0x1c803f(0x1e7c)]=_0x3e24ee[_0x1c803f(0xd66)][_0x1c803f(0x2816)]?_0x1c803f(0x25f9)+_0x3e24ee['voicemail'][_0x1c803f(0x2816)]:undefined);_0x3e24ee[_0x1c803f(0xb3b)]=_0x5ec62d,_0x3e24ee['closeDialog']=_0x25c486,_0x2d3c53[_0x1c803f(0x22b6)]('admin')?_0xacdb2[_0x1c803f(0x18d4)][_0x1c803f(0xbf7)]({'fields':'id,mailbox','sort':_0x1c803f(0x1b7a),'nolimit':_0x1c803f(0x44d)})[_0x1c803f(0x1d77)][_0x1c803f(0x1cb0)](function(_0x30db87){const _0x340801=_0x1c803f;_0x3e24ee[_0x340801(0x1951)]=_0x30db87[_0x340801(0x2214)]||[];})['catch'](function(_0xc88e9a){const _0x2d522e=_0x1c803f;_0x3c9d1f['error']({'title':_0xc88e9a['status']?_0x2d522e(0xeb9)+_0xc88e9a[_0x2d522e(0x291)]+_0x2d522e(0x1657)+_0xc88e9a[_0x2d522e(0xc22)]:_0x2d522e(0x1e3a),'msg':_0xc88e9a[_0x2d522e(0x25c)]?JSON[_0x2d522e(0x2701)](_0xc88e9a[_0x2d522e(0x25c)]):_0xc88e9a['toString']()});}):_0xacdb2['voiceMail']['get']({'fields':'id,mailbox','sort':_0x1c803f(0x1b7a),'nolimit':'true'})['$promise'][_0x1c803f(0x1cb0)](function(_0x19c247){_0x3e24ee['voiceMails']=_0x19c247['rows']||[];})[_0x1c803f(0x1cb0)](function(){const _0x59aa24=_0x1c803f;return _0xacdb2[_0x59aa24(0x2199)][_0x59aa24(0xbf7)]({'userProfileId':_0x3e24ee[_0x59aa24(0xe76)][_0x59aa24(0x13c1)],'sectionId':0x196})[_0x59aa24(0x1d77)];})[_0x1c803f(0x1cb0)](function(_0x331ba9){const _0x175bf2=_0x1c803f,_0xed026=_0x331ba9&&_0x331ba9[_0x175bf2(0x2214)]?_0x331ba9['rows'][0x0]:null;if(!_0xed026){const _0x2d7bc3=[];let _0x50993d=null;_0x3e24ee[_0x175bf2(0xd66)]&&(_0x50993d=_0x39641b()[_0x175bf2(0x13b4)](_0x3e24ee[_0x175bf2(0x1951)],{'mailbox':_0x3e24ee[_0x175bf2(0xd66)]['voiceMail']}));for(let _0xd2bc72=0x0;_0xd2bc72<_0x3e24ee['voiceMails'][_0x175bf2(0xfd0)];_0xd2bc72++){_0x50993d&&_0x3e24ee['voiceMails'][_0xd2bc72]['id']===_0x50993d['id']&&(_0x3e24ee['voiceMails'][_0xd2bc72][_0x175bf2(0x15da)]=![],_0x2d7bc3['push'](_0x3e24ee['voiceMails'][_0xd2bc72]));}_0x3e24ee[_0x175bf2(0x1951)]=_0x2d7bc3;}else{if(!_0xed026['autoAssociation'])return _0xacdb2[_0x175bf2(0x1198)][_0x175bf2(0xbf7)]({'sectionId':_0xed026['id']})[_0x175bf2(0x1d77)][_0x175bf2(0x1cb0)](function(_0x29ffbc){const _0x4e4b26=_0x175bf2,_0x2c9100=_0x39641b()['map'](_0x29ffbc['rows'],function(_0x2cfcfc){const _0x59419a=a0_0x5cbd;return _0x39641b()[_0x59419a(0x13b4)](_0x3e24ee['voiceMails'],{'id':_0x2cfcfc['resourceId']});});let _0x1e1d57=null;_0x3e24ee[_0x4e4b26(0xd66)]&&(_0x1e1d57=_0x39641b()[_0x4e4b26(0x13b4)](_0x3e24ee[_0x4e4b26(0x1951)],{'mailbox':_0x3e24ee[_0x4e4b26(0xd66)][_0x4e4b26(0x18d4)]}));if(_0x1e1d57&&!_0x39641b()[_0x4e4b26(0x727)](_0x2c9100,['id',_0x1e1d57['id']])){const _0x3c7a44=_0x39641b()['find'](_0x3e24ee['voiceMails'],{'id':_0x1e1d57['id']});_0x3c7a44[_0x4e4b26(0x15da)]=![],_0x2c9100[_0x4e4b26(0x2785)](_0x3c7a44);}_0x3e24ee[_0x4e4b26(0x1951)]=_0x2c9100;});}})[_0x1c803f(0x1c4)](function(_0x387a81){const _0x1586d4=_0x1c803f;_0x3c9d1f[_0x1586d4(0x218e)]({'title':_0x387a81[_0x1586d4(0x291)]?_0x1586d4(0xeb9)+_0x387a81[_0x1586d4(0x291)]+'\x20-\x20'+_0x387a81[_0x1586d4(0xc22)]:_0x1586d4(0x146f),'msg':_0x387a81[_0x1586d4(0x25c)]?JSON[_0x1586d4(0x2701)](_0x387a81[_0x1586d4(0x25c)]):_0x387a81[_0x1586d4(0x147f)]()});});function _0x5ec62d(){const _0x4a62f8=_0x1c803f;_0x3e24ee['errors']=[];const _0x26707a=[];_0x3e24ee['voicemail']['type']&&_0x3e24ee[_0x4a62f8(0xd66)][_0x4a62f8(0x66a)][_0x4a62f8(0x1680)]()===_0x4a62f8(0x895)&&_0x3e24ee[_0x4a62f8(0xd66)][_0x4a62f8(0x299b)]===_0x4a62f8(0x25f4)&&(_0x3e24ee[_0x4a62f8(0xd66)][_0x4a62f8(0x1340)]=_0x2284b3[_0x4a62f8(0x325)]?(_0x3e24ee[_0x4a62f8(0xd66)][_0x4a62f8(0x586)]||'')+_0x4a62f8(0x19e4)+_0x2284b3[_0x4a62f8(0x325)]+'}':(_0x3e24ee[_0x4a62f8(0xd66)][_0x4a62f8(0x586)]||'')+_0x4a62f8(0xcdd),_0x2284b3[_0x4a62f8(0xf8d)]!=='none'?_0x3e24ee[_0x4a62f8(0xd66)][_0x4a62f8(0x2224)][_0x4a62f8(0xd8a)]('U(xcally-mixmonitor-context)')<0x0&&(_0x3e24ee['voicemail'][_0x4a62f8(0x2224)]+='U(xcally-mixmonitor-context)'):_0x3e24ee[_0x4a62f8(0xd66)][_0x4a62f8(0x2224)]=_0x3e24ee[_0x4a62f8(0xd66)][_0x4a62f8(0x2224)][_0x4a62f8(0x288f)](_0x4a62f8(0x106a),''));if(_0x3e24ee[_0x4a62f8(0xd66)][_0x4a62f8(0x299b)]&&_0x3e24ee['voicemail'][_0x4a62f8(0x299b)]===_0x4a62f8(0x197c)){}else switch((_0x3e24ee[_0x4a62f8(0xd66)][_0x4a62f8(0x22e1)]||_0x3e24ee[_0x4a62f8(0xd66)][_0x4a62f8(0x299b)])['toLowerCase']()){case _0x4a62f8(0x19d1):_0x3e24ee[_0x4a62f8(0xd66)][_0x4a62f8(0x28df)]=_0x3e24ee[_0x4a62f8(0xd66)][_0x4a62f8(0x16b6)]+'='+_0x3e24ee[_0x4a62f8(0xd66)][_0x4a62f8(0x327)];break;case _0x4a62f8(0x197c):break;default:_0x26707a[0x0]=_0x3e24ee[_0x4a62f8(0xd66)][_0x4a62f8(0x18d4)],_0x26707a[0x1]=_0x3e24ee[_0x4a62f8(0xd66)][_0x4a62f8(0x2224)],_0x3e24ee['voicemail']['appdata']=_0x26707a['join'](',');}_0x25c486(_0x3e24ee[_0x4a62f8(0xd66)]);}function _0x25c486(_0x2b8050){const _0x10ef21=_0x1c803f;_0x389b52[_0x10ef21(0x1426)](_0x2b8050);}}const _0x542d3f=_0x5cbd7a;;_0x38791a['$inject']=['$state','$location',_0x5537c6(0xcb9),'$document',_0x5537c6(0x1ae),'license','setting',_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x1774),'internalroute',_0x5537c6(0x2199)];function _0x38791a(_0x10c538,_0x54dc80,_0x5df7e,_0x11dbae,_0x361cb2,_0x663286,_0x2cf316,_0x2252a5,_0x29fa8b,_0x27d688,_0x37fa92,_0x2ec370){const _0x1fff37=_0x5537c6,_0x2bfd49=this;_0x2bfd49[_0x1fff37(0xe76)]=_0x27d688[_0x1fff37(0x21e8)](),_0x2bfd49[_0x1fff37(0x8a5)]=_0x663286,_0x2bfd49[_0x1fff37(0x9ca)]=_0x2cf316,_0x2bfd49[_0x1fff37(0x1b0c)]=_0x2bfd49['setting'][_0x1fff37(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x2bfd49['location']=_0x54dc80[_0x1fff37(0x2276)]()+'://'+_0x54dc80[_0x1fff37(0x17d8)](),_0x2bfd49[_0x1fff37(0x3af)]=_0x37fa92||_0x10c538['params']['internalroute']||{},_0x2bfd49['userProfileSection']=_0x2ec370&&_0x2ec370[_0x1fff37(0x184d)]==0x1?_0x2ec370['rows'][0x0]:null,_0x2bfd49[_0x1fff37(0x1b1a)]=_0x27d688[_0x1fff37(0x14ea)](_0x2bfd49[_0x1fff37(0x2199)]?_0x2bfd49['userProfileSection'][_0x1fff37(0x1b1a)]:null),_0x2bfd49[_0x1fff37(0xf4c)]={},_0x2bfd49['selectedTab']=_0x10c538[_0x1fff37(0x1dfe)][_0x1fff37(0x291e)]||0x0,_0x2bfd49[_0x1fff37(0x494)]=_0x29fa8b[_0x1fff37(0x28c7)],_0x2bfd49[_0x1fff37(0xa00)]=_0x5df0f1,_0x2bfd49[_0x1fff37(0x230e)]=_0x34d2ce,_0x27d688[_0x1fff37(0x22b6)](_0x1fff37(0x1c60))?_0x2252a5['voiceContext'][_0x1fff37(0xbf7)]({'fields':_0x1fff37(0x43c),'sort':'name','nolimit':_0x1fff37(0x44d)})[_0x1fff37(0x1d77)][_0x1fff37(0x1cb0)](function(_0x3d5d0b){const _0x5da12d=_0x1fff37;_0x2bfd49[_0x5da12d(0x14f6)]=_0x3d5d0b[_0x5da12d(0x2214)]||[];})[_0x1fff37(0x1c4)](function(_0x399afa){const _0xca3a6a=_0x1fff37;_0x29fa8b[_0xca3a6a(0x218e)]({'title':_0x399afa['status']?_0xca3a6a(0xeb9)+_0x399afa['status']+_0xca3a6a(0x1657)+_0x399afa[_0xca3a6a(0xc22)]:_0xca3a6a(0x2072),'msg':_0x399afa[_0xca3a6a(0x25c)]?JSON[_0xca3a6a(0x2701)](_0x399afa[_0xca3a6a(0x25c)]):_0x399afa[_0xca3a6a(0x147f)]()});}):_0x2252a5['voiceContext'][_0x1fff37(0xbf7)]({'fields':_0x1fff37(0x43c),'sort':'name','nolimit':'true'})[_0x1fff37(0x1d77)][_0x1fff37(0x1cb0)](function(_0x13738){const _0x35a742=_0x1fff37;_0x2bfd49[_0x35a742(0x14f6)]=_0x13738['rows']||[];})[_0x1fff37(0x1cb0)](function(){const _0x1bebb8=_0x1fff37;return _0x2252a5[_0x1bebb8(0x2199)][_0x1bebb8(0xbf7)]({'userProfileId':_0x2bfd49[_0x1bebb8(0xe76)][_0x1bebb8(0x13c1)],'sectionId':0x195})['$promise'];})[_0x1fff37(0x1cb0)](function(_0x2fdc82){const _0x4ee592=_0x1fff37,_0x48c62b=_0x2fdc82&&_0x2fdc82[_0x4ee592(0x2214)]?_0x2fdc82['rows'][0x0]:null;if(!_0x48c62b)return _0x2252a5[_0x4ee592(0x1da5)]['get']({'fields':_0x4ee592(0x43c),'sort':_0x4ee592(0x16b6),'nolimit':_0x4ee592(0x44d),'defaultEntry':0x1})['$promise'][_0x4ee592(0x1cb0)](function(_0x408b54){const _0x2251b2=_0x4ee592;_0x2bfd49['contexts']=_0x408b54[_0x2251b2(0x2214)]||[];});else{if(!_0x48c62b[_0x4ee592(0x12f4)])return _0x2252a5[_0x4ee592(0x1198)]['get']({'sectionId':_0x48c62b['id']})[_0x4ee592(0x1d77)]['then'](function(_0x2d2e28){const _0x3fe160=_0x4ee592,_0x3af87a=_0x39641b()['map'](_0x2d2e28['rows'],function(_0x5025f9){const _0x5dc742=a0_0x5cbd;return _0x39641b()[_0x5dc742(0x13b4)](_0x2bfd49[_0x5dc742(0x14f6)],{'id':_0x5025f9[_0x5dc742(0x2982)]});});let _0x506220=null;_0x2bfd49[_0x3fe160(0x3af)]&&(_0x506220=_0x39641b()[_0x3fe160(0x13b4)](_0x2bfd49[_0x3fe160(0x14f6)],{'name':_0x2bfd49[_0x3fe160(0x3af)][_0x3fe160(0x2056)]}));if(_0x506220&&!_0x39641b()[_0x3fe160(0x727)](_0x3af87a,['id',_0x506220['id']])){const _0x4a94b3=_0x39641b()[_0x3fe160(0x13b4)](_0x2bfd49[_0x3fe160(0x14f6)],{'id':_0x506220['id']});_0x4a94b3[_0x3fe160(0x15da)]=![],_0x3af87a[_0x3fe160(0x2785)](_0x4a94b3);}_0x2bfd49[_0x3fe160(0x14f6)]=_0x3af87a;});}})[_0x1fff37(0x1c4)](function(_0x493cc6){const _0x3751c5=_0x1fff37;_0x29fa8b[_0x3751c5(0x218e)]({'title':_0x493cc6[_0x3751c5(0x291)]?_0x3751c5(0xeb9)+_0x493cc6[_0x3751c5(0x291)]+_0x3751c5(0x1657)+_0x493cc6['statusText']:_0x3751c5(0x5ac),'msg':_0x493cc6[_0x3751c5(0x25c)]?JSON[_0x3751c5(0x2701)](_0x493cc6[_0x3751c5(0x25c)]):_0x493cc6[_0x3751c5(0x147f)]()});});function _0x5df0f1(){const _0x2a9dff=_0x1fff37;_0x10c538['go'](_0x2a9dff(0x525),{},{'reload':'app.voice.internalroutes'});}function _0x34d2ce(){const _0x4415d9=_0x1fff37;_0x2252a5[_0x4415d9(0x1059)][_0x4415d9(0x687)]({'id':_0x2bfd49[_0x4415d9(0x3af)]['id']},_0x2bfd49['internalroute'])['$promise'][_0x4415d9(0x1cb0)](function(){const _0x38bb82=_0x4415d9;_0x29fa8b[_0x38bb82(0x829)]({'title':_0x38bb82(0x1acf),'msg':_0x2bfd49[_0x38bb82(0x3af)][_0x38bb82(0x16b6)]?_0x2bfd49[_0x38bb82(0x3af)][_0x38bb82(0x16b6)]+_0x38bb82(0x1068):''});})[_0x4415d9(0x1c4)](function(_0x49527c){const _0x3a7429=_0x4415d9;_0x29fa8b[_0x3a7429(0x218e)]({'title':_0x49527c[_0x3a7429(0x291)]?_0x3a7429(0xeb9)+_0x49527c['status']+_0x3a7429(0x1657)+_0x49527c[_0x3a7429(0xc22)]:_0x3a7429(0x783),'msg':_0x49527c[_0x3a7429(0x25c)]?JSON['stringify'](_0x49527c[_0x3a7429(0x25c)]):_0x49527c['toString']()});});}}const _0x96bd48=_0x38791a;;const _0x1a0f63=_0x5074a3['p']+_0x5537c6(0x154b);;_0x3b4a87[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),'$mdDialog',_0x5537c6(0x22bf),_0x5537c6(0x2168),_0x5537c6(0x1ae),'internalroutes',_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x142b),_0x5537c6(0xde8),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x3b4a87(_0x19dc8c,_0x254bb2,_0x390b35,_0x234632,_0x106d32,_0x141556,_0x328d9a,_0x3e3465,_0x4f974d,_0x3fc8db,_0x277e1a,_0x482b74,_0xc08b53,_0x4be586,_0x4ca7f1,_0x1912ba,_0x1d1f4f){const _0x4f2485=_0x5537c6,_0x5ba42f=this;_0x5ba42f[_0x4f2485(0x8a5)]=_0x1912ba,_0x5ba42f[_0x4f2485(0x9ca)]=_0x1d1f4f,_0x5ba42f['currentUser']=_0x4ca7f1[_0x4f2485(0x21e8)](),_0x5ba42f[_0x4f2485(0x24e3)]=_0x4f974d||{'count':0x0,'rows':[]},_0x5ba42f[_0x4f2485(0x44a)]=_0x3fc8db,_0x5ba42f[_0x4f2485(0x2199)]=_0x277e1a&&_0x277e1a[_0x4f2485(0x184d)]==0x1?_0x277e1a[_0x4f2485(0x2214)][0x0]:null,_0x5ba42f[_0x4f2485(0x1b1a)]=_0x4ca7f1[_0x4f2485(0x14ea)](_0x5ba42f[_0x4f2485(0x2199)]?_0x5ba42f['userProfileSection'][_0x4f2485(0x1b1a)]:null),_0x5ba42f[_0x4f2485(0xc83)]=_0x4f2485(0x24e3),_0x5ba42f[_0x4f2485(0x1d20)]='',_0x5ba42f['listOrderAsc']=null,_0x5ba42f['selectedInternalRoutes']=[],_0x5ba42f['query']={'fields':_0x4f2485(0x1aec),'type':_0x4f2485(0xdbd),'sort':_0x4f2485(0x282),'VoiceExtensionId':'null','limit':0xa,'page':0x1},_0x5ba42f[_0x4f2485(0x235d)]=_0x32ccbc,_0x5ba42f['deleteconfirm']=_0x5b3de2,_0x5ba42f['success']=_0x5428c7,_0x5ba42f[_0x4f2485(0x226)]=_0x54a887,_0x5ba42f['createOrEditInternalRoute']=_0xca7e18,_0x5ba42f['deleteInternalRoute']=_0x4b015d,_0x5ba42f[_0x4f2485(0xc99)]=_0x539a3a,_0x5ba42f[_0x4f2485(0x10a6)]=_0xe1ad26,_0x5ba42f[_0x4f2485(0x897)]=_0x5101d0,_0x5ba42f[_0x4f2485(0x2615)]=_0x39e1a4,_0x4ca7f1['hasRole']('admin')?_0x482b74[_0x4f2485(0x1da5)][_0x4f2485(0xbf7)]({'fields':_0x4f2485(0x43c),'sort':'name','nolimit':'true'})['$promise'][_0x4f2485(0x1cb0)](function(_0x2055f9){const _0x54ed54=_0x4f2485;_0x5ba42f[_0x54ed54(0x14f6)]=_0x2055f9[_0x54ed54(0x2214)]||[];})[_0x4f2485(0x1c4)](function(_0x4a8849){const _0x406878=_0x4f2485;_0x4be586[_0x406878(0x218e)]({'title':_0x4a8849[_0x406878(0x291)]?'API:'+_0x4a8849[_0x406878(0x291)]+_0x406878(0x1657)+_0x4a8849[_0x406878(0xc22)]:'SYSTEM:GET_CONTEXTS','msg':_0x4a8849[_0x406878(0x25c)]?JSON[_0x406878(0x2701)](_0x4a8849[_0x406878(0x25c)]):_0x4a8849['toString']()});}):_0x482b74[_0x4f2485(0x1da5)][_0x4f2485(0xbf7)]({'fields':'id,name','sort':_0x4f2485(0x16b6),'nolimit':_0x4f2485(0x44d)})[_0x4f2485(0x1d77)]['then'](function(_0x1da4db){const _0x23082f=_0x4f2485;_0x5ba42f[_0x23082f(0x14f6)]=_0x1da4db[_0x23082f(0x2214)]||[];})[_0x4f2485(0x1cb0)](function(){const _0x1930b6=_0x4f2485;return _0x482b74[_0x1930b6(0x2199)]['get']({'userProfileId':_0x5ba42f[_0x1930b6(0xe76)][_0x1930b6(0x13c1)],'sectionId':0x195})[_0x1930b6(0x1d77)];})[_0x4f2485(0x1cb0)](function(_0x416a68){const _0x1fb1d1=_0x4f2485,_0x1a05d5=_0x416a68&&_0x416a68[_0x1fb1d1(0x2214)]?_0x416a68[_0x1fb1d1(0x2214)][0x0]:null;if(!_0x1a05d5)return _0x482b74['voiceContext']['get']({'fields':'id,name','sort':_0x1fb1d1(0x16b6),'nolimit':'true','defaultEntry':0x1})[_0x1fb1d1(0x1d77)][_0x1fb1d1(0x1cb0)](function(_0xd4c5f){const _0x23f954=_0x1fb1d1;_0x5ba42f[_0x23f954(0x14f6)]=_0xd4c5f[_0x23f954(0x2214)]||[];});else{if(!_0x1a05d5[_0x1fb1d1(0x12f4)])return _0x482b74[_0x1fb1d1(0x1198)][_0x1fb1d1(0xbf7)]({'sectionId':_0x1a05d5['id']})[_0x1fb1d1(0x1d77)][_0x1fb1d1(0x1cb0)](function(_0x69a273){const _0x5f36bf=_0x1fb1d1,_0x1c7bc3=_0x39641b()[_0x5f36bf(0x1de2)](_0x69a273[_0x5f36bf(0x2214)],function(_0x4729ac){const _0x1409ae=_0x5f36bf;return _0x39641b()['find'](_0x5ba42f[_0x1409ae(0x14f6)],{'id':_0x4729ac[_0x1409ae(0x2982)]});});let _0x122a0e=null;_0x5ba42f[_0x5f36bf(0x3af)]&&(_0x122a0e=_0x39641b()[_0x5f36bf(0x13b4)](_0x5ba42f[_0x5f36bf(0x14f6)],{'name':_0x5ba42f['internalroute'][_0x5f36bf(0x2056)]}));if(_0x122a0e&&!_0x39641b()[_0x5f36bf(0x727)](_0x1c7bc3,['id',_0x122a0e['id']])){const _0x29ab7e=_0x39641b()[_0x5f36bf(0x13b4)](_0x5ba42f[_0x5f36bf(0x14f6)],{'id':_0x122a0e['id']});_0x29ab7e[_0x5f36bf(0x15da)]=![],_0x1c7bc3[_0x5f36bf(0x2785)](_0x29ab7e);}_0x5ba42f[_0x5f36bf(0x14f6)]=_0x1c7bc3;});}})[_0x4f2485(0x1c4)](function(_0x2a1f96){const _0x274c86=_0x4f2485;_0x4be586[_0x274c86(0x218e)]({'title':_0x2a1f96[_0x274c86(0x291)]?_0x274c86(0xeb9)+_0x2a1f96[_0x274c86(0x291)]+_0x274c86(0x1657)+_0x2a1f96[_0x274c86(0xc22)]:_0x274c86(0x5ac),'msg':_0x2a1f96[_0x274c86(0x25c)]?JSON[_0x274c86(0x2701)](_0x2a1f96[_0x274c86(0x25c)]):_0x2a1f96[_0x274c86(0x147f)]()});});function _0x32ccbc(_0x27e878){const _0x461ead=_0x4f2485;_0x390b35['go'](_0x461ead(0x1166),{'id':_0x27e878['id'],'internalroute':_0x27e878,'crudPermissions':_0x5ba42f[_0x461ead(0x1b1a)]});}function _0x5b3de2(_0x5b0d6c,_0x284022){const _0x865348=_0x4f2485,_0x52fb6d=_0x106d32['confirm']()[_0x865348(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20'+_0x39641b()[_0x865348(0xa75)](_0x865348(0x3af))+'?')[_0x865348(0x49e)](_0x865348(0x204d)+(_0x5b0d6c[_0x865348(0x16b6)]||_0x865348(0x3af))+_0x865348(0x1200)+_0x865348(0x1b6))[_0x865348(0x15ad)]('delete\x20internalroute')[_0x865348(0x728)](_0x284022)['ok']('OK')['cancel'](_0x865348(0x24ba));_0x106d32[_0x865348(0xe27)](_0x52fb6d)['then'](function(){_0x4b015d(_0x5b0d6c);},function(){const _0x4dc89e=_0x865348;console[_0x4dc89e(0x1b4f)](_0x4dc89e(0x24ba));});}let _0x3aaf6e=!![],_0x1a18cd=0x1;_0x19dc8c[_0x4f2485(0x614)](_0x4f2485(0x957),function(_0x12dd52,_0x19e976){const _0xfdb1bb=_0x4f2485;_0x3aaf6e?_0x328d9a(function(){_0x3aaf6e=![];}):(!_0x19e976&&(_0x1a18cd=_0x5ba42f[_0xfdb1bb(0xae2)][_0xfdb1bb(0x1c7b)]),_0x12dd52!==_0x19e976&&(_0x5ba42f[_0xfdb1bb(0xae2)][_0xfdb1bb(0x1c7b)]=0x1),!_0x12dd52&&(_0x5ba42f[_0xfdb1bb(0xae2)][_0xfdb1bb(0x1c7b)]=_0x1a18cd),_0x5ba42f[_0xfdb1bb(0x226)]());});function _0x5428c7(_0x3f8d44){const _0x46e7f8=_0x4f2485;_0x5ba42f[_0x46e7f8(0x24e3)]=_0x3f8d44||{'count':0x0,'rows':[]};}function _0x54a887(){const _0x266382=_0x4f2485;_0x5ba42f['query'][_0x266382(0x184b)]=(_0x5ba42f['query']['page']-0x1)*_0x5ba42f[_0x266382(0xae2)][_0x266382(0x236)],_0x4ca7f1[_0x266382(0x22b6)]('admin')?_0x5ba42f[_0x266382(0x2061)]=_0x482b74['voiceExtension'][_0x266382(0xbf7)](_0x5ba42f[_0x266382(0xae2)],_0x5428c7)[_0x266382(0x1d77)]:(_0x5ba42f[_0x266382(0xae2)]['id']=_0x5ba42f['userProfile']['id'],_0x5ba42f[_0x266382(0xae2)][_0x266382(0x1f74)]='InternalRoutes',_0x5ba42f[_0x266382(0x2061)]=_0x482b74['userProfile']['getResources'](_0x5ba42f['query'],_0x5428c7)[_0x266382(0x1d77)]);}function _0xca7e18(_0x29b3f7,_0x48aba8){const _0x1932de=_0x4f2485;_0x106d32['show']({'controller':_0x1932de(0x2820),'controllerAs':'vm','templateUrl':_0x1a0f63,'parent':angular['element'](_0x141556['body']),'targetEvent':_0x29b3f7,'clickOutsideToClose':!![],'locals':{'internalroute':_0x48aba8,'internalroutes':_0x5ba42f[_0x1932de(0x24e3)][_0x1932de(0x2214)],'license':_0x5ba42f[_0x1932de(0x8a5)],'setting':_0x5ba42f[_0x1932de(0x9ca)],'crudPermissions':_0x5ba42f[_0x1932de(0x1b1a)]}});}function _0x4b015d(_0x2910ed){const _0x318468=_0x4f2485;_0x482b74['voiceExtension']['delete']({'id':_0x2910ed['id']})[_0x318468(0x1d77)][_0x318468(0x1cb0)](function(){const _0x31c33f=_0x318468;_0x39641b()[_0x31c33f(0x152a)](_0x5ba42f[_0x31c33f(0x24e3)][_0x31c33f(0x2214)],{'id':_0x2910ed['id']}),_0x5ba42f['internalroutes'][_0x31c33f(0x184d)]-=0x1,!_0x5ba42f[_0x31c33f(0x24e3)][_0x31c33f(0x2214)][_0x31c33f(0xfd0)]&&_0x5ba42f['getInternalRoutes'](),_0x4be586[_0x31c33f(0x829)]({'title':_0x39641b()[_0x31c33f(0xa75)](_0x31c33f(0x4c1))+'\x20deleted!','msg':_0x2910ed[_0x31c33f(0x16b6)]?_0x2910ed[_0x31c33f(0x16b6)]+_0x31c33f(0x3f5):''});})['catch'](function(_0x75a493){const _0x644ec9=_0x318468;if(_0x75a493['data']&&_0x75a493[_0x644ec9(0x25c)][_0x644ec9(0x1a7c)]&&_0x75a493[_0x644ec9(0x25c)][_0x644ec9(0x1a7c)][_0x644ec9(0xfd0)]){_0x5ba42f[_0x644ec9(0x1a7c)]=_0x75a493[_0x644ec9(0x25c)][_0x644ec9(0x1a7c)]||[{'message':_0x75a493['toString'](),'type':_0x644ec9(0x17dd)}];for(let _0x4c4b48=0x0;_0x4c4b48<_0x75a493[_0x644ec9(0x25c)][_0x644ec9(0x1a7c)][_0x644ec9(0xfd0)];_0x4c4b48++){_0x4be586['error']({'title':_0x75a493[_0x644ec9(0x25c)][_0x644ec9(0x1a7c)][_0x4c4b48][_0x644ec9(0x66a)],'msg':_0x75a493[_0x644ec9(0x25c)]['errors'][_0x4c4b48][_0x644ec9(0x155e)]});}}else _0x4be586[_0x644ec9(0x218e)]({'title':_0x75a493[_0x644ec9(0x291)]?_0x644ec9(0xeb9)+_0x75a493['status']+_0x644ec9(0x1657)+_0x75a493['statusText']:_0x644ec9(0x17dd),'msg':_0x75a493[_0x644ec9(0x25c)]?JSON[_0x644ec9(0x2701)](_0x75a493[_0x644ec9(0x25c)][_0x644ec9(0x155e)]):_0x75a493[_0x644ec9(0x155e)]||_0x75a493[_0x644ec9(0x147f)]()});});}function _0x539a3a(){const _0xc93d2=_0x4f2485,_0x5dc9b4=angular[_0xc93d2(0x17fe)](_0x5ba42f['selectedInternalRoutes']);return _0x5ba42f[_0xc93d2(0x25bc)]=[],_0x5dc9b4;}function _0xe1ad26(_0x4934d0){const _0x3e629c=_0x4f2485,_0x3fa002=_0x106d32[_0x3e629c(0x1551)]()[_0x3e629c(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20internalroutes?')[_0x3e629c(0x49e)](_0x3e629c(0x204d)+_0x5ba42f[_0x3e629c(0x25bc)][_0x3e629c(0xfd0)]+_0x3e629c(0x1d6c)+_0x3e629c(0x1b6))['ariaLabel'](_0x3e629c(0x27f7))[_0x3e629c(0x728)](_0x4934d0)['ok']('OK')[_0x3e629c(0x696)]('CANCEL');_0x106d32[_0x3e629c(0xe27)](_0x3fa002)[_0x3e629c(0x1cb0)](function(){const _0x40383b=_0x3e629c;_0x5ba42f[_0x40383b(0x25bc)][_0x40383b(0xf90)](function(_0x472a26){_0x4b015d(_0x472a26);}),_0x5ba42f[_0x40383b(0x25bc)]=[];});}function _0x5101d0(){const _0x2335c2=_0x4f2485;_0x5ba42f[_0x2335c2(0x25bc)]=[];}function _0x39e1a4(){const _0x45ad6a=_0x4f2485;_0x5ba42f['selectedInternalRoutes']=_0x5ba42f[_0x45ad6a(0x24e3)][_0x45ad6a(0x2214)];}}const _0x2486a8=_0x3b4a87;;_0x35893b[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x1bd2),_0x5537c6(0x15ae),'api',_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting',_0x5537c6(0x1b1a)];function _0x35893b(_0x7e0f08,_0x55f166,_0x4f52cc,_0x561aa5,_0x422dc1,_0x3b1548,_0x2ac8af,_0x331998,_0x50acae,_0x2a2c30,_0x4bd77d,_0x5a664e,_0x55854f,_0x36a7e0){const _0x1c7113=_0x5537c6,_0x2a5c5e=this;_0x2a5c5e[_0x1c7113(0xe76)]=_0x4bd77d['getCurrentUser'](),_0x2a5c5e[_0x1c7113(0x1a7c)]=[],_0x2a5c5e['setting']=_0x55854f,_0x2a5c5e[_0x1c7113(0x8a5)]=_0x5a664e,_0x2a5c5e[_0x1c7113(0x1b1a)]=_0x36a7e0,_0x2a5c5e[_0x1c7113(0xf4c)]={},_0x2a5c5e[_0x1c7113(0x1b0c)]=_0x2a5c5e['setting']&&_0x2a5c5e[_0x1c7113(0x9ca)][_0x1c7113(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x2a5c5e['title']=_0x1c7113(0x6ff),_0x2a5c5e[_0x1c7113(0x15ae)]=angular[_0x1c7113(0x17fe)](_0x50acae),_0x2a5c5e['musiconholds']=_0x331998,_0x2a5c5e[_0x1c7113(0x284f)]=![];!_0x2a5c5e['musiconhold']&&(_0x2a5c5e[_0x1c7113(0x15ae)]={'mode':'files'},_0x2a5c5e[_0x1c7113(0x1386)]=_0x1c7113(0x271e),_0x2a5c5e[_0x1c7113(0x284f)]=!![]);_0x2a5c5e[_0x1c7113(0x20d0)]=_0x827381,_0x2a5c5e['saveMusicOnHold']=_0x5a2493,_0x2a5c5e['deleteMusicOnHold']=_0x3dcc9c,_0x2a5c5e['getDateFromString']=_0x1ee45d,_0x2a5c5e[_0x1c7113(0xda0)]=_0x45504d;function _0x827381(){const _0x4dee58=_0x1c7113;_0x2a5c5e[_0x4dee58(0x1a7c)]=[],_0x2a2c30['voiceMusicOnHold']['save'](_0x2a5c5e[_0x4dee58(0x15ae)])[_0x4dee58(0x1d77)]['then'](function(_0x3d1062){const _0x2603a3=_0x4dee58;_0x2a5c5e[_0x2603a3(0x1bd2)][_0x2603a3(0xf63)](_0x3d1062[_0x2603a3(0x19b2)]()),_0x2ac8af['success']({'title':'MusicOnHold\x20properly\x20created','msg':_0x2a5c5e[_0x2603a3(0x15ae)]['name']?_0x2a5c5e[_0x2603a3(0x15ae)][_0x2603a3(0x16b6)]+'\x20has\x20been\x20created!':''}),_0x45504d(_0x3d1062);})[_0x4dee58(0x1c4)](function(_0x36ae33){const _0xccc0c0=_0x4dee58;if(_0x36ae33[_0xccc0c0(0x25c)]&&_0x36ae33['data'][_0xccc0c0(0x1a7c)]&&_0x36ae33[_0xccc0c0(0x25c)]['errors']['length']){_0x2a5c5e[_0xccc0c0(0x1a7c)]=_0x36ae33[_0xccc0c0(0x25c)]['errors']||[{'message':_0x36ae33['toString'](),'type':_0xccc0c0(0x1e18)}];for(let _0x24c0c3=0x0;_0x24c0c3<_0x36ae33['data'][_0xccc0c0(0x1a7c)][_0xccc0c0(0xfd0)];_0x24c0c3+=0x1){_0x2ac8af[_0xccc0c0(0x218e)]({'title':_0x36ae33[_0xccc0c0(0x25c)]['errors'][_0x24c0c3]['type'],'msg':_0x36ae33[_0xccc0c0(0x25c)][_0xccc0c0(0x1a7c)][_0x24c0c3][_0xccc0c0(0x155e)]});}}else _0x2ac8af['error']({'title':_0x36ae33[_0xccc0c0(0x291)]?_0xccc0c0(0xeb9)+_0x36ae33['status']+_0xccc0c0(0x1657)+_0x36ae33['statusText']:_0xccc0c0(0x1e18),'msg':_0x36ae33[_0xccc0c0(0x25c)]?JSON[_0xccc0c0(0x2701)](_0x36ae33[_0xccc0c0(0x25c)][_0xccc0c0(0x155e)]):_0x36ae33['toString']()});});}function _0x5a2493(){const _0x139d11=_0x1c7113;_0x2a5c5e[_0x139d11(0x1a7c)]=[],_0x2a2c30['voiceMusicOnHold'][_0x139d11(0x687)]({'id':_0x2a5c5e[_0x139d11(0x15ae)]['id']},_0x2a5c5e[_0x139d11(0x15ae)])[_0x139d11(0x1d77)][_0x139d11(0x1cb0)](function(_0x3c6421){const _0x41343d=_0x139d11,_0xe5218f=_0x39641b()[_0x41343d(0x13b4)](_0x2a5c5e[_0x41343d(0x1bd2)],{'id':_0x3c6421['id']});_0xe5218f&&_0x39641b()[_0x41343d(0x9c1)](_0xe5218f,_0x39641b()['pick'](_0x3c6421['toJSON'](),_0x39641b()[_0x41343d(0x1be5)](_0xe5218f))),_0x2ac8af[_0x41343d(0x829)]({'title':_0x41343d(0x2437),'msg':_0x2a5c5e['musiconhold'][_0x41343d(0x16b6)]?_0x2a5c5e['musiconhold']['name']+_0x41343d(0xedb):''}),_0x45504d(_0x3c6421);})[_0x139d11(0x1c4)](function(_0x5cb64b){const _0x7672ab=_0x139d11;if(_0x5cb64b[_0x7672ab(0x25c)]&&_0x5cb64b['data']['errors']&&_0x5cb64b[_0x7672ab(0x25c)]['errors'][_0x7672ab(0xfd0)]){_0x2a5c5e[_0x7672ab(0x1a7c)]=_0x5cb64b[_0x7672ab(0x25c)]['errors']||[{'message':_0x5cb64b[_0x7672ab(0x147f)](),'type':_0x7672ab(0x23e7)}];for(let _0x4acbc8=0x0;_0x4acbc8<_0x5cb64b[_0x7672ab(0x25c)][_0x7672ab(0x1a7c)][_0x7672ab(0xfd0)];_0x4acbc8++){_0x2ac8af[_0x7672ab(0x218e)]({'title':_0x5cb64b[_0x7672ab(0x25c)][_0x7672ab(0x1a7c)][_0x4acbc8][_0x7672ab(0x66a)],'msg':_0x5cb64b[_0x7672ab(0x25c)][_0x7672ab(0x1a7c)][_0x4acbc8][_0x7672ab(0x155e)]});}}else _0x2ac8af[_0x7672ab(0x218e)]({'title':_0x5cb64b['status']?'API:'+_0x5cb64b[_0x7672ab(0x291)]+_0x7672ab(0x1657)+_0x5cb64b['statusText']:_0x7672ab(0x23e7),'msg':_0x5cb64b[_0x7672ab(0x25c)]?JSON[_0x7672ab(0x2701)](_0x5cb64b['data'][_0x7672ab(0x155e)]):_0x5cb64b[_0x7672ab(0x147f)]()});});}function _0x3dcc9c(_0x4fc4f3){const _0x1c50b4=_0x1c7113;_0x2a5c5e[_0x1c50b4(0x1a7c)]=[];const _0x5b07de=_0x561aa5[_0x1c50b4(0x1551)]()[_0x1c50b4(0x1386)](_0x1c50b4(0x1a2e))[_0x1c50b4(0x862)](_0x1c50b4(0xc26))[_0x1c50b4(0x15ad)](_0x1c50b4(0x1a5b))['ok'](_0x1c50b4(0x2594))[_0x1c50b4(0x696)](_0x1c50b4(0xde1))[_0x1c50b4(0x728)](_0x4fc4f3);_0x561aa5[_0x1c50b4(0xe27)](_0x5b07de)[_0x1c50b4(0x1cb0)](function(){const _0x1071af=_0x1c50b4;_0x2a2c30[_0x1071af(0x157d)][_0x1071af(0x111d)]({'id':_0x2a5c5e[_0x1071af(0x15ae)]['id']})[_0x1071af(0x1d77)][_0x1071af(0x1cb0)](function(){const _0x544e4b=_0x1071af;_0x39641b()[_0x544e4b(0x152a)](_0x2a5c5e[_0x544e4b(0x1bd2)],{'id':_0x2a5c5e[_0x544e4b(0x15ae)]['id']}),_0x2ac8af[_0x544e4b(0x829)]({'title':_0x544e4b(0x11ce),'msg':(_0x2a5c5e[_0x544e4b(0x15ae)][_0x544e4b(0x16b6)]||_0x544e4b(0x15ae))+'\x20has\x20been\x20deleted!'}),_0x45504d(_0x2a5c5e[_0x544e4b(0x15ae)]);})[_0x1071af(0x1c4)](function(_0x112e94){const _0x65bfb0=_0x1071af;if(_0x112e94[_0x65bfb0(0x25c)]&&_0x112e94[_0x65bfb0(0x25c)][_0x65bfb0(0x1a7c)]&&_0x112e94[_0x65bfb0(0x25c)][_0x65bfb0(0x1a7c)][_0x65bfb0(0xfd0)]){_0x2a5c5e[_0x65bfb0(0x1a7c)]=_0x112e94['data']['errors']||[{'message':_0x112e94[_0x65bfb0(0x147f)](),'type':'api.voiceMusicOnHold.delete'}];for(let _0x57d32d=0x0;_0x57d32d<_0x112e94[_0x65bfb0(0x25c)][_0x65bfb0(0x1a7c)][_0x65bfb0(0xfd0)];_0x57d32d++){_0x2ac8af['error']({'title':_0x112e94[_0x65bfb0(0x25c)]['errors'][_0x57d32d][_0x65bfb0(0x66a)],'msg':_0x112e94['data']['errors'][_0x57d32d][_0x65bfb0(0x155e)]});}}else _0x2ac8af['error']({'title':_0x112e94[_0x65bfb0(0x291)]?_0x65bfb0(0xeb9)+_0x112e94[_0x65bfb0(0x291)]+_0x65bfb0(0x1657)+_0x112e94['statusText']:_0x65bfb0(0x16e9),'msg':_0x112e94[_0x65bfb0(0x25c)]?JSON[_0x65bfb0(0x2701)](_0x112e94['data']['message']):_0x112e94[_0x65bfb0(0x155e)]||_0x112e94[_0x65bfb0(0x147f)]()});});},function(){});}function _0x1ee45d(_0x27cc15){return _0x27cc15===null?undefined:new Date(_0x27cc15);}function _0x45504d(_0x35701b){const _0x2336b6=_0x1c7113;_0x561aa5[_0x2336b6(0x1426)](_0x35701b);}}const _0x273c1c=_0x35893b;;const _0x236ffa=_0x5074a3['p']+'src/js/modules/main/apps/voice/views/musiconholds/edit/mohSounds/dialog.html/dialog.html';;_0x4cb4a2[_0x5537c6(0x15b6)]=['$cookies',_0x5537c6(0x1463),_0x5537c6(0x406),'$q',_0x5537c6(0x1ae),_0x5537c6(0x2168),_0x5537c6(0xcb9),_0x5537c6(0x22bf),'toasty',_0x5537c6(0x142b),'Auth'];function _0x4cb4a2(_0x2e80c5,_0x12714b,_0x6e1b8,_0x392a8b,_0x2d1ac4,_0x143cbb,_0x487959,_0x4bddee,_0x32dbf1,_0x2b8ee0,_0x37ba7c){const _0x3db50a=_0x5537c6,_0x227671=this;_0x227671[_0x3db50a(0xe76)]=_0x37ba7c[_0x3db50a(0x21e8)](),_0x227671[_0x3db50a(0x15ae)]={},_0x227671[_0x3db50a(0x1af9)]={'count':0x0,'rows':[]},_0x227671[_0x3db50a(0x252a)]=[],_0x227671[_0x3db50a(0x1b1a)],_0x227671[_0x3db50a(0xae2)]={'fields':'createdAt,updatedAt,id,save_name,converted_format,audio','limit':0xa,'page':0x1},_0x227671[_0x3db50a(0x1a8e)]=_0x2f8793,_0x227671[_0x3db50a(0xb25)]=_0x3fef0b,_0x227671[_0x3db50a(0xb79)]=_0x35812e,_0x227671['success']=_0x2a4f06,_0x227671[_0x3db50a(0x1e67)]=_0x13993a,_0x227671[_0x3db50a(0x32f)]=_0x930798,_0x227671[_0x3db50a(0x1bc)]=_0x2eec99,_0x227671[_0x3db50a(0x147b)]=_0xeb8488,_0x227671[_0x3db50a(0x115c)]=_0x25a772,_0x37ba7c[_0x3db50a(0x22b6)](_0x3db50a(0x1c60))?_0x2b8ee0[_0x3db50a(0x1e9)][_0x3db50a(0xbf7)]({'fields':_0x3db50a(0x43c),'sort':_0x3db50a(0x16b6)})[_0x3db50a(0x1d77)][_0x3db50a(0x1cb0)](function(_0xdb471){const _0x113954=_0x3db50a;_0x227671[_0x113954(0xe28)]=_0xdb471[_0x113954(0x2214)]||[];})[_0x3db50a(0x1c4)](function(_0x1c67d6){const _0x3678b2=_0x3db50a;_0x32dbf1['error']({'title':_0x1c67d6['status']?_0x3678b2(0xeb9)+_0x1c67d6[_0x3678b2(0x291)]+_0x3678b2(0x1657)+_0x1c67d6['statusText']:'SYSTEM:GET_SOUNDS','msg':_0x1c67d6[_0x3678b2(0x25c)]?JSON['stringify'](_0x1c67d6['data']):_0x1c67d6['toString']()});}):_0x2b8ee0[_0x3db50a(0x1e9)][_0x3db50a(0xbf7)]({'fields':_0x3db50a(0x43c),'sort':_0x3db50a(0x16b6)})[_0x3db50a(0x1d77)]['then'](function(_0x9b0080){const _0x35e2cd=_0x3db50a;_0x227671[_0x35e2cd(0xe28)]=_0x9b0080[_0x35e2cd(0x2214)]||[];})[_0x3db50a(0x1cb0)](function(){const _0x338e1c=_0x3db50a;return _0x2b8ee0[_0x338e1c(0x2199)][_0x338e1c(0xbf7)]({'userProfileId':_0x227671['currentUser'][_0x338e1c(0x13c1)],'sectionId':0x3ef})['$promise'];})[_0x3db50a(0x1cb0)](function(_0x46cada){const _0x73fbbf=_0x3db50a,_0x3dd653=_0x46cada&&_0x46cada[_0x73fbbf(0x2214)]?_0x46cada[_0x73fbbf(0x2214)][0x0]:null;if(!_0x3dd653){const _0x2c0097=[];let _0x345809=null;_0x227671[_0x73fbbf(0x15ae)]&&(_0x345809=_0x39641b()[_0x73fbbf(0x13b4)](_0x227671['sounds'],{'id':Number(_0x227671[_0x73fbbf(0x15ae)]['id'])}));for(let _0x12a08d=0x0;_0x12a08d<_0x227671['sounds'][_0x73fbbf(0xfd0)];_0x12a08d++){_0x345809&&_0x227671[_0x73fbbf(0xe28)][_0x12a08d]['id']===_0x345809['id']&&(_0x227671[_0x73fbbf(0xe28)][_0x12a08d][_0x73fbbf(0x15da)]=![],_0x2c0097[_0x73fbbf(0x2785)](_0x227671[_0x73fbbf(0xe28)][_0x12a08d]));}_0x227671[_0x73fbbf(0xe28)]=_0x2c0097;}else{if(!_0x3dd653[_0x73fbbf(0x12f4)])return _0x2b8ee0[_0x73fbbf(0x1198)]['get']({'sectionId':_0x3dd653['id']})['$promise'][_0x73fbbf(0x1cb0)](function(_0x14f58f){const _0x24b0c1=_0x73fbbf,_0x264d57=_0x39641b()[_0x24b0c1(0x1de2)](_0x14f58f[_0x24b0c1(0x2214)],function(_0x410ab5){const _0x477404=_0x24b0c1;return _0x39641b()['find'](_0x227671['sounds'],{'id':_0x410ab5[_0x477404(0x2982)]});});let _0x443a3b=null;_0x227671['musiconhold']&&(_0x443a3b=_0x39641b()[_0x24b0c1(0x13b4)](_0x227671[_0x24b0c1(0xe28)],{'id':Number(_0x227671[_0x24b0c1(0x15ae)]['id'])}));if(_0x443a3b&&!_0x39641b()['some'](_0x264d57,['id',_0x443a3b['id']])){const _0xacf862=_0x39641b()[_0x24b0c1(0x13b4)](_0x227671[_0x24b0c1(0xe28)],{'id':_0x443a3b['id']});_0xacf862[_0x24b0c1(0x15da)]=![],_0x264d57[_0x24b0c1(0x2785)](_0xacf862);}_0x227671[_0x24b0c1(0xe28)]=_0x264d57;});}})[_0x3db50a(0x1c4)](function(_0x30f0cb){const _0x310088=_0x3db50a;_0x32dbf1[_0x310088(0x218e)]({'title':_0x30f0cb[_0x310088(0x291)]?_0x310088(0xeb9)+_0x30f0cb['status']+'\x20-\x20'+_0x30f0cb[_0x310088(0xc22)]:_0x310088(0x3a0),'msg':_0x30f0cb['data']?JSON[_0x310088(0x2701)](_0x30f0cb['data']):_0x30f0cb['toString']()});});function _0x2f8793(_0x59018c,_0x9780e1){const _0x52ab55=_0x3db50a;_0x227671[_0x52ab55(0x15ae)]=_0x59018c,_0x227671[_0x52ab55(0x1b1a)]=typeof _0x9780e1!==_0x52ab55(0x16b5)?_0x9780e1:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x227671['query'][_0x52ab55(0x28a7)]=_0x227671[_0x52ab55(0x15ae)]['id'],_0x227671['query']['id']=_0x227671[_0x52ab55(0x15ae)]['id'],_0x13993a();}function _0x35812e(_0x572ee0,_0x59fe90,_0x292674){const _0x591069=_0x3db50a;return _0x2b8ee0[_0x591069(0x1e9)]['download']({'id':_0x572ee0['id'],'exists':!![],'attachments':_0x292674})[_0x591069(0x1d77)][_0x591069(0x1cb0)](function(_0x47fe5a){const _0x2857d4=_0x591069,_0x6c6519=[_0x47fe5a['buffer']];let _0x5d3dd7='mohSound'+_0x572ee0['id'];const _0x3a16a7=new Blob(_0x6c6519,{'type':_0x47fe5a[_0x2857d4(0x66a)]});_0x5d3dd7=_0x572ee0[_0x2857d4(0xae0)]?_0x572ee0[_0x2857d4(0x144c)]+'.'+_0x572ee0[_0x2857d4(0xae0)]:_0x572ee0[_0x2857d4(0x144c)];const _0x53c021=window[_0x2857d4(0x1db8)][_0x2857d4(0x8c6)]('a');_0x53c021[_0x2857d4(0x23b9)](_0x2857d4(0x105b),URL[_0x2857d4(0x2247)](_0x3a16a7)),_0x53c021[_0x2857d4(0x23b9)](_0x2857d4(0x26ec),_0x5d3dd7),document[_0x2857d4(0x1ed9)][_0x2857d4(0x23de)](_0x53c021),_0x53c021[_0x2857d4(0x20b8)]();})[_0x591069(0x1c4)](function(_0x22fce7){const _0x18962a=_0x591069;if(_0x22fce7[_0x18962a(0x25c)]&&_0x22fce7['data'][_0x18962a(0x1a7c)]&&_0x22fce7[_0x18962a(0x25c)]['errors'][_0x18962a(0xfd0)])for(let _0x4fb362=0x0;_0x4fb362<_0x22fce7[_0x18962a(0x25c)]['errors']['length'];_0x4fb362+=0x1){_0x32dbf1[_0x18962a(0x218e)]({'title':_0x22fce7[_0x18962a(0x25c)]['errors'][_0x4fb362][_0x18962a(0x66a)],'msg':_0x22fce7[_0x18962a(0x25c)][_0x18962a(0x1a7c)][_0x4fb362][_0x18962a(0x155e)]});}else _0x32dbf1[_0x18962a(0x218e)]({'title':_0x22fce7[_0x18962a(0x291)]?_0x18962a(0xeb9)+_0x22fce7[_0x18962a(0x291)]+_0x18962a(0x1657)+_0x22fce7[_0x18962a(0xc22)]:_0x18962a(0x1e18),'msg':_0x22fce7[_0x18962a(0x25c)]?JSON[_0x18962a(0x2701)](_0x22fce7[_0x18962a(0x25c)][_0x18962a(0x155e)]):_0x22fce7['toString']()});});}function _0x3fef0b(_0x35110a,_0x3d5e27){const _0x1cf0db=_0x3db50a,_0x49409d=_0x487959[_0x1cf0db(0x1551)]()[_0x1cf0db(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20mohSound?')[_0x1cf0db(0x49e)](''+(_0x35110a['name']||_0x35110a['id']&&_0x39641b()[_0x1cf0db(0x277)](_0x1cf0db(0x84a))+_0x35110a['id']||'mohSound')+_0x1cf0db(0x1200)+_0x1cf0db(0x1b6))[_0x1cf0db(0x15ad)]('delete\x20mohSound')[_0x1cf0db(0x728)](_0x3d5e27)['ok']('OK')[_0x1cf0db(0x696)]('CANCEL');_0x487959[_0x1cf0db(0xe27)](_0x49409d)[_0x1cf0db(0x1cb0)](function(){_0xeb8488(_0x35110a);},function(){const _0x429dd7=_0x1cf0db;console['log'](_0x429dd7(0x24ba));});}function _0x2a4f06(_0x15a1fc){_0x227671['musiconholdMohSounds']=_0x15a1fc||{'count':0x0,'rows':[]};}function _0x13993a(){const _0x141afd=_0x3db50a;_0x227671[_0x141afd(0xae2)][_0x141afd(0x184b)]=(_0x227671[_0x141afd(0xae2)][_0x141afd(0x1c7b)]-0x1)*_0x227671['query'][_0x141afd(0x236)],_0x227671[_0x141afd(0x2061)]=_0x2b8ee0[_0x141afd(0x157d)]['getSounds'](_0x227671[_0x141afd(0xae2)],_0x2a4f06)['$promise'];}function _0x930798(_0x3eb76a,_0x442a8f){const _0x30386f=_0x3db50a;_0x487959[_0x30386f(0xe27)]({'controller':_0x30386f(0x1c23),'controllerAs':'vm','templateUrl':_0x236ffa,'parent':angular['element'](_0x4bddee[_0x30386f(0x1ed9)]),'targetEvent':_0x3eb76a,'clickOutsideToClose':!![],'locals':{'musiconhold':_0x227671['musiconhold'],'mohSound':_0x442a8f,'mohSounds':_0x227671['musiconholdMohSounds'][_0x30386f(0x2214)],'license':null,'setting':null,'crudPermissions':_0x227671['crudPermissions']}});}function _0xeb8488(_0x4aadae){const _0x42e4bc=_0x3db50a;_0x2b8ee0['voiceMusicOnHold'][_0x42e4bc(0x1a0a)]({'id':_0x6e1b8['params']['id'],'id2':_0x4aadae['id']})['$promise'][_0x42e4bc(0x1cb0)](function(){const _0x1b5433=_0x42e4bc;_0x39641b()['remove'](_0x227671[_0x1b5433(0x1af9)][_0x1b5433(0x2214)],{'id':_0x4aadae['id']}),_0x227671[_0x1b5433(0x1af9)]['count']-=0x1,!_0x227671['musiconholdMohSounds'][_0x1b5433(0x2214)][_0x1b5433(0xfd0)]&&_0x13993a(),_0x32dbf1[_0x1b5433(0x829)]({'title':_0x1b5433(0x180d),'msg':_0x4aadae[_0x1b5433(0x16b6)]?_0x4aadae[_0x1b5433(0x16b6)]+'\x20has\x20been\x20deleted!':''});})[_0x42e4bc(0x1c4)](function(_0x54a588){const _0x1d0b38=_0x42e4bc;if(_0x54a588[_0x1d0b38(0x25c)]&&_0x54a588[_0x1d0b38(0x25c)]['errors']&&_0x54a588['data'][_0x1d0b38(0x1a7c)][_0x1d0b38(0xfd0)]){_0x227671[_0x1d0b38(0x1a7c)]=_0x54a588[_0x1d0b38(0x25c)][_0x1d0b38(0x1a7c)]||[{'message':_0x54a588[_0x1d0b38(0x147f)](),'type':'SYSTEM:GETvoiceMusicOnHold'}];for(let _0x36106a=0x0;_0x36106a<_0x54a588[_0x1d0b38(0x25c)][_0x1d0b38(0x1a7c)][_0x1d0b38(0xfd0)];_0x36106a++){_0x32dbf1[_0x1d0b38(0x218e)]({'title':_0x54a588[_0x1d0b38(0x25c)][_0x1d0b38(0x1a7c)][_0x36106a][_0x1d0b38(0x66a)],'msg':_0x54a588[_0x1d0b38(0x25c)][_0x1d0b38(0x1a7c)][_0x36106a][_0x1d0b38(0x155e)]});}}else _0x32dbf1['error']({'title':_0x54a588['status']?_0x1d0b38(0xeb9)+_0x54a588[_0x1d0b38(0x291)]+_0x1d0b38(0x1657)+_0x54a588[_0x1d0b38(0xc22)]:_0x1d0b38(0x36f),'msg':_0x54a588[_0x1d0b38(0x25c)]?JSON[_0x1d0b38(0x2701)](_0x54a588[_0x1d0b38(0x25c)][_0x1d0b38(0x155e)]):_0x54a588[_0x1d0b38(0x155e)]||_0x54a588[_0x1d0b38(0x147f)]()});});}function _0x2eec99(){const _0x5c7dc8=_0x3db50a,_0x219202=angular[_0x5c7dc8(0x17fe)](_0x227671['selectedMusicOnHoldMohSounds']);return _0x227671[_0x5c7dc8(0x252a)]=[],_0x219202;}function _0x25a772(_0x23cbad){const _0x822a8c=_0x3db50a,_0x50289f=_0x487959[_0x822a8c(0x1551)]()[_0x822a8c(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20mohSounds?')[_0x822a8c(0x49e)](_0x822a8c(0x204d)+_0x227671[_0x822a8c(0x252a)][_0x822a8c(0xfd0)]+_0x822a8c(0x1d6c)+_0x822a8c(0x1b6))['ariaLabel']('delete\x20mohSounds')[_0x822a8c(0x728)](_0x23cbad)['ok']('OK')['cancel'](_0x822a8c(0x24ba));_0x487959[_0x822a8c(0xe27)](_0x50289f)[_0x822a8c(0x1cb0)](function(){const _0x8f7fb1=_0x822a8c;_0x227671['selectedMusicOnHoldMohSounds'][_0x8f7fb1(0xf90)](function(_0x50f9b7){_0xeb8488(_0x50f9b7);}),_0x227671[_0x8f7fb1(0x252a)]=[];});}let _0x11f6d4=!![],_0x590005=0x1;_0x12714b['$watch'](_0x3db50a(0xeb6),function(_0x52e109,_0x6db92d){const _0x34ca0d=_0x3db50a;_0x11f6d4?_0x143cbb(function(){_0x11f6d4=![];}):(!_0x6db92d&&(_0x590005=_0x227671['query'][_0x34ca0d(0x1c7b)]),_0x52e109!==_0x6db92d&&(_0x227671[_0x34ca0d(0xae2)][_0x34ca0d(0x1c7b)]=0x1),!_0x52e109&&(_0x227671[_0x34ca0d(0xae2)]['page']=_0x590005),_0x13993a());});}const _0x1f4a42=_0x4cb4a2;;_0x545941[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x406),'$location','$mdDialog','$q','$translate',_0x5537c6(0x9bf),_0x5537c6(0xd8f),'mohSound',_0x5537c6(0x142b),_0x5537c6(0x1774),'license','setting',_0x5537c6(0x1b1a)];function _0x545941(_0x22d14d,_0x37b45a,_0xe7fa51,_0xa08c58,_0x34cf5d,_0x57afc9,_0x562eda,_0x10d239,_0x2a0432,_0x1c2f0c,_0xa08ce8,_0x30abbe,_0x593789,_0x29247d){const _0x4afbdf=_0x5537c6,_0x4b29f0=this;_0x4b29f0[_0x4afbdf(0xe76)]=_0xa08ce8[_0x4afbdf(0x21e8)](),_0x4b29f0['errors']=[],_0x4b29f0[_0x4afbdf(0x9ca)]=_0x593789,_0x4b29f0[_0x4afbdf(0x8a5)]=_0x30abbe,_0x4b29f0[_0x4afbdf(0x1b1a)]=_0x29247d,_0x4b29f0[_0x4afbdf(0xf4c)]={},_0x4b29f0[_0x4afbdf(0x1b0c)]=_0x4b29f0['setting']&&_0x4b29f0[_0x4afbdf(0x9ca)][_0x4afbdf(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x4b29f0['title']=_0x4afbdf(0x1231),_0x4b29f0['mohSound']=angular[_0x4afbdf(0x17fe)](_0x2a0432),_0x4b29f0['mohSounds']=_0x10d239,_0x4b29f0[_0x4afbdf(0x148d)]=![];!_0x4b29f0[_0x4afbdf(0x20b1)]&&(_0x4b29f0[_0x4afbdf(0x20b1)]={},_0x4b29f0['title']='VOICE.NEW_MOHSOUND',_0x4b29f0[_0x4afbdf(0x148d)]=!![]);_0x37b45a[_0x4afbdf(0x1dfe)]['id']&&(_0x4b29f0[_0x4afbdf(0x20b1)][_0x4afbdf(0x28a7)]=_0x37b45a[_0x4afbdf(0x1dfe)]['id']);_0x4b29f0[_0x4afbdf(0x1f0b)]=_0x4d279b,_0x4b29f0[_0x4afbdf(0x1bf7)]=_0x31a202,_0x4b29f0[_0x4afbdf(0xcc3)]=_0x5ed27f,_0x4b29f0[_0x4afbdf(0x2c4)]=_0x353799,_0x4b29f0[_0x4afbdf(0xda0)]=_0x1774dc,_0xa08ce8[_0x4afbdf(0x22b6)](_0x4afbdf(0x1c60))?_0x1c2f0c[_0x4afbdf(0x1e9)][_0x4afbdf(0xbf7)]({'fields':_0x4afbdf(0x43c),'sort':_0x4afbdf(0x16b6)})[_0x4afbdf(0x1d77)][_0x4afbdf(0x1cb0)](function(_0x5c8c6e){_0x4b29f0['sounds']=_0x5c8c6e['rows']||[];})[_0x4afbdf(0x1c4)](function(_0x5efe9c){const _0x53b1b5=_0x4afbdf;_0x562eda['error']({'title':_0x5efe9c[_0x53b1b5(0x291)]?'API:'+_0x5efe9c['status']+'\x20-\x20'+_0x5efe9c[_0x53b1b5(0xc22)]:'SYSTEM:GET_SOUNDS','msg':_0x5efe9c['data']?JSON[_0x53b1b5(0x2701)](_0x5efe9c[_0x53b1b5(0x25c)]):_0x5efe9c[_0x53b1b5(0x147f)]()});}):_0x1c2f0c[_0x4afbdf(0x1e9)][_0x4afbdf(0xbf7)]({'fields':_0x4afbdf(0x43c),'sort':_0x4afbdf(0x16b6)})[_0x4afbdf(0x1d77)]['then'](function(_0x188c0d){const _0x5b607a=_0x4afbdf;_0x4b29f0[_0x5b607a(0xe28)]=_0x188c0d[_0x5b607a(0x2214)]||[];})['then'](function(){const _0x2f2385=_0x4afbdf;return _0x1c2f0c[_0x2f2385(0x2199)][_0x2f2385(0xbf7)]({'userProfileId':_0x4b29f0[_0x2f2385(0xe76)][_0x2f2385(0x13c1)],'sectionId':0x3ef})[_0x2f2385(0x1d77)];})[_0x4afbdf(0x1cb0)](function(_0x43d499){const _0x15e5a0=_0x4afbdf,_0x1aaf5a=_0x43d499&&_0x43d499[_0x15e5a0(0x2214)]?_0x43d499[_0x15e5a0(0x2214)][0x0]:null;if(!_0x1aaf5a){const _0x4f6bd9=[];let _0x4d4937=null;_0x4b29f0[_0x15e5a0(0x20b1)]&&(_0x4d4937=_0x39641b()['find'](_0x4b29f0[_0x15e5a0(0xe28)],{'id':Number(_0x4b29f0[_0x15e5a0(0x20b1)]['id'])}));for(let _0xe82ced=0x0;_0xe82ced<_0x4b29f0['sounds'][_0x15e5a0(0xfd0)];_0xe82ced++){_0x4d4937&&_0x4b29f0[_0x15e5a0(0xe28)][_0xe82ced]['id']===_0x4d4937['id']&&(_0x4b29f0[_0x15e5a0(0xe28)][_0xe82ced][_0x15e5a0(0x15da)]=![],_0x4f6bd9['push'](_0x4b29f0[_0x15e5a0(0xe28)][_0xe82ced]));}_0x4b29f0[_0x15e5a0(0xe28)]=_0x4f6bd9;}else{if(!_0x1aaf5a[_0x15e5a0(0x12f4)])return _0x1c2f0c[_0x15e5a0(0x1198)][_0x15e5a0(0xbf7)]({'sectionId':_0x1aaf5a['id']})[_0x15e5a0(0x1d77)][_0x15e5a0(0x1cb0)](function(_0x369098){const _0x3f6cf6=_0x15e5a0,_0x5f2446=_0x39641b()[_0x3f6cf6(0x1de2)](_0x369098['rows'],function(_0x59c42d){const _0x8040dd=_0x3f6cf6;return _0x39641b()[_0x8040dd(0x13b4)](_0x4b29f0[_0x8040dd(0xe28)],{'id':_0x59c42d[_0x8040dd(0x2982)]});});let _0x103c51=null;_0x4b29f0['mohSound']&&(_0x103c51=_0x39641b()['find'](_0x4b29f0[_0x3f6cf6(0xe28)],{'id':Number(_0x4b29f0[_0x3f6cf6(0x20b1)]['id'])}));if(_0x103c51&&!_0x39641b()['some'](_0x5f2446,['id',_0x103c51['id']])){const _0x36b54f=_0x39641b()[_0x3f6cf6(0x13b4)](_0x4b29f0[_0x3f6cf6(0xe28)],{'id':_0x103c51['id']});_0x36b54f[_0x3f6cf6(0x15da)]=![],_0x5f2446[_0x3f6cf6(0x2785)](_0x36b54f);}_0x4b29f0[_0x3f6cf6(0xe28)]=_0x5f2446;});}})[_0x4afbdf(0x1c4)](function(_0x46ee18){const _0x773326=_0x4afbdf;_0x562eda[_0x773326(0x218e)]({'title':_0x46ee18[_0x773326(0x291)]?_0x773326(0xeb9)+_0x46ee18[_0x773326(0x291)]+_0x773326(0x1657)+_0x46ee18['statusText']:'SYSTEM:GETsounds','msg':_0x46ee18[_0x773326(0x25c)]?JSON[_0x773326(0x2701)](_0x46ee18[_0x773326(0x25c)]):_0x46ee18[_0x773326(0x147f)]()});});function _0x4d279b(){const _0x152821=_0x4afbdf;_0x4b29f0[_0x152821(0x1a7c)]=[],_0x1c2f0c[_0x152821(0x157d)][_0x152821(0xaf0)]({'id':_0x37b45a[_0x152821(0x1dfe)]['id']},_0x4b29f0[_0x152821(0x20b1)])[_0x152821(0x1d77)][_0x152821(0x1cb0)](function(_0x599add){const _0x5e5860=_0x152821;_0x4b29f0[_0x5e5860(0xd8f)][_0x5e5860(0xf63)](_0x599add[_0x5e5860(0x19b2)]()),_0x562eda[_0x5e5860(0x829)]({'title':_0x5e5860(0x15e1),'msg':_0x4b29f0[_0x5e5860(0x20b1)][_0x5e5860(0x16b6)]?_0x4b29f0[_0x5e5860(0x20b1)]['name']+_0x5e5860(0x470):''}),_0x1774dc(_0x599add);})[_0x152821(0x1c4)](function(_0x53dfb5){const _0x42d28e=_0x152821;if(_0x53dfb5['data']&&_0x53dfb5[_0x42d28e(0x25c)]['errors']&&_0x53dfb5[_0x42d28e(0x25c)][_0x42d28e(0x1a7c)][_0x42d28e(0xfd0)]){_0x4b29f0['errors']=_0x53dfb5[_0x42d28e(0x25c)][_0x42d28e(0x1a7c)]||[{'message':_0x53dfb5[_0x42d28e(0x147f)](),'type':'api.voiceMusicOnHold.save'}];for(let _0x5d0f40=0x0;_0x5d0f40<_0x53dfb5[_0x42d28e(0x25c)][_0x42d28e(0x1a7c)][_0x42d28e(0xfd0)];_0x5d0f40+=0x1){_0x562eda[_0x42d28e(0x218e)]({'title':_0x53dfb5[_0x42d28e(0x25c)]['errors'][_0x5d0f40]['type'],'msg':_0x53dfb5[_0x42d28e(0x25c)][_0x42d28e(0x1a7c)][_0x5d0f40][_0x42d28e(0x155e)]});}}else _0x562eda[_0x42d28e(0x218e)]({'title':_0x53dfb5[_0x42d28e(0x291)]?_0x42d28e(0xeb9)+_0x53dfb5[_0x42d28e(0x291)]+_0x42d28e(0x1657)+_0x53dfb5[_0x42d28e(0xc22)]:_0x42d28e(0x1e18),'msg':_0x53dfb5[_0x42d28e(0x25c)]?JSON[_0x42d28e(0x2701)](_0x53dfb5[_0x42d28e(0x25c)][_0x42d28e(0x155e)]):_0x53dfb5[_0x42d28e(0x147f)]()});});}function _0x31a202(){const _0x1fdaf7=_0x4afbdf;_0x4b29f0['errors']=[],_0x1c2f0c[_0x1fdaf7(0x157d)][_0x1fdaf7(0x687)]({'id':_0x4b29f0[_0x1fdaf7(0x20b1)]['id']},_0x4b29f0[_0x1fdaf7(0x20b1)])[_0x1fdaf7(0x1d77)]['then'](function(_0x5b2f9b){const _0xdc533d=_0x1fdaf7,_0x2fc6b9=_0x39641b()[_0xdc533d(0x13b4)](_0x4b29f0[_0xdc533d(0xd8f)],{'id':_0x5b2f9b['id']});_0x2fc6b9&&_0x39641b()['merge'](_0x2fc6b9,_0x39641b()['pick'](_0x5b2f9b[_0xdc533d(0x19b2)](),_0x39641b()[_0xdc533d(0x1be5)](_0x2fc6b9))),_0x562eda[_0xdc533d(0x829)]({'title':_0xdc533d(0xdbf),'msg':_0x4b29f0[_0xdc533d(0x20b1)][_0xdc533d(0x16b6)]?_0x4b29f0[_0xdc533d(0x20b1)][_0xdc533d(0x16b6)]+_0xdc533d(0xedb):''}),_0x1774dc(_0x5b2f9b);})['catch'](function(_0x1c7e68){const _0x2e40df=_0x1fdaf7;if(_0x1c7e68[_0x2e40df(0x25c)]&&_0x1c7e68['data'][_0x2e40df(0x1a7c)]&&_0x1c7e68[_0x2e40df(0x25c)][_0x2e40df(0x1a7c)]['length']){_0x4b29f0['errors']=_0x1c7e68[_0x2e40df(0x25c)][_0x2e40df(0x1a7c)]||[{'message':_0x1c7e68[_0x2e40df(0x147f)](),'type':'api.voiceMusicOnHold.update'}];for(let _0x2e1750=0x0;_0x2e1750<_0x1c7e68['data'][_0x2e40df(0x1a7c)][_0x2e40df(0xfd0)];_0x2e1750++){_0x562eda[_0x2e40df(0x218e)]({'title':_0x1c7e68['data']['errors'][_0x2e1750][_0x2e40df(0x66a)],'msg':_0x1c7e68[_0x2e40df(0x25c)][_0x2e40df(0x1a7c)][_0x2e1750][_0x2e40df(0x155e)]});}}else _0x562eda[_0x2e40df(0x218e)]({'title':_0x1c7e68[_0x2e40df(0x291)]?_0x2e40df(0xeb9)+_0x1c7e68['status']+_0x2e40df(0x1657)+_0x1c7e68[_0x2e40df(0xc22)]:'api.voiceMusicOnHold.update','msg':_0x1c7e68[_0x2e40df(0x25c)]?JSON[_0x2e40df(0x2701)](_0x1c7e68[_0x2e40df(0x25c)][_0x2e40df(0x155e)]):_0x1c7e68[_0x2e40df(0x147f)]()});});}function _0x5ed27f(_0x3be163){const _0x2ba2cd=_0x4afbdf;_0x4b29f0['errors']=[];const _0x4b547c=_0xa08c58[_0x2ba2cd(0x1551)]()[_0x2ba2cd(0x1386)]('Are\x20you\x20sure?')[_0x2ba2cd(0x862)](_0x2ba2cd(0x2573))[_0x2ba2cd(0x15ad)](_0x2ba2cd(0x251c))['ok'](_0x2ba2cd(0x2594))[_0x2ba2cd(0x696)](_0x2ba2cd(0xde1))['targetEvent'](_0x3be163);_0xa08c58['show'](_0x4b547c)[_0x2ba2cd(0x1cb0)](function(){const _0x4a04b1=_0x2ba2cd;_0x1c2f0c[_0x4a04b1(0x157d)][_0x4a04b1(0x111d)]({'id':_0x4b29f0['mohSound']['id']})[_0x4a04b1(0x1d77)][_0x4a04b1(0x1cb0)](function(){const _0x32693c=_0x4a04b1;_0x39641b()[_0x32693c(0x152a)](_0x4b29f0['mohSounds'],{'id':_0x4b29f0[_0x32693c(0x20b1)]['id']}),_0x562eda[_0x32693c(0x829)]({'title':_0x32693c(0x2722),'msg':(_0x4b29f0[_0x32693c(0x20b1)]['name']||'mohSound')+_0x32693c(0x3f5)}),_0x1774dc(_0x4b29f0['mohSound']);})[_0x4a04b1(0x1c4)](function(_0x1b9499){const _0x22cec5=_0x4a04b1;if(_0x1b9499[_0x22cec5(0x25c)]&&_0x1b9499[_0x22cec5(0x25c)][_0x22cec5(0x1a7c)]&&_0x1b9499['data'][_0x22cec5(0x1a7c)][_0x22cec5(0xfd0)]){_0x4b29f0['errors']=_0x1b9499['data'][_0x22cec5(0x1a7c)]||[{'message':_0x1b9499[_0x22cec5(0x147f)](),'type':_0x22cec5(0x16e9)}];for(let _0x2c5653=0x0;_0x2c5653<_0x1b9499[_0x22cec5(0x25c)][_0x22cec5(0x1a7c)][_0x22cec5(0xfd0)];_0x2c5653++){_0x562eda['error']({'title':_0x1b9499[_0x22cec5(0x25c)][_0x22cec5(0x1a7c)][_0x2c5653][_0x22cec5(0x66a)],'msg':_0x1b9499[_0x22cec5(0x25c)][_0x22cec5(0x1a7c)][_0x2c5653][_0x22cec5(0x155e)]});}}else _0x562eda[_0x22cec5(0x218e)]({'title':_0x1b9499['status']?_0x22cec5(0xeb9)+_0x1b9499[_0x22cec5(0x291)]+_0x22cec5(0x1657)+_0x1b9499[_0x22cec5(0xc22)]:_0x22cec5(0x16e9),'msg':_0x1b9499[_0x22cec5(0x25c)]?JSON[_0x22cec5(0x2701)](_0x1b9499[_0x22cec5(0x25c)][_0x22cec5(0x155e)]):_0x1b9499['message']||_0x1b9499[_0x22cec5(0x147f)]()});});},function(){});}function _0x353799(_0x1cd356){return _0x1cd356===null?undefined:new Date(_0x1cd356);}function _0x1774dc(_0x92150b){const _0x5846d1=_0x4afbdf;_0xa08c58[_0x5846d1(0x1426)](_0x92150b);}}const _0x8d62a1=_0x545941;;_0x2df42f[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),_0x5537c6(0x21c8),'$mdDialog','$document',_0x5537c6(0x1ae),_0x5537c6(0x8a5),_0x5537c6(0x9ca),'api',_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x15ae),_0x5537c6(0x2199)];function _0x2df42f(_0x13b9c1,_0x1aa87c,_0x5a36ac,_0x90a14f,_0x49b6ed,_0x3cc57c,_0x2e7d5b,_0x4d7563,_0x1d3c66,_0x46a40f,_0x3babfa,_0x36de01){const _0x533e7d=_0x5537c6,_0x1f3a2a=this;_0x1f3a2a[_0x533e7d(0xe76)]=_0x46a40f[_0x533e7d(0x21e8)](),_0x1f3a2a[_0x533e7d(0x8a5)]=_0x3cc57c,_0x1f3a2a['setting']=_0x2e7d5b,_0x1f3a2a[_0x533e7d(0x1b0c)]=_0x1f3a2a[_0x533e7d(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x1f3a2a[_0x533e7d(0x2404)]=_0x1aa87c[_0x533e7d(0x2276)]()+_0x533e7d(0x138b)+_0x1aa87c['host'](),_0x1f3a2a[_0x533e7d(0x15ae)]=_0x3babfa||_0x13b9c1['params']['musiconhold']||{},_0x1f3a2a[_0x533e7d(0x2199)]=_0x36de01&&_0x36de01[_0x533e7d(0x184d)]==0x1?_0x36de01[_0x533e7d(0x2214)][0x0]:null,_0x1f3a2a[_0x533e7d(0x1b1a)]=_0x46a40f['parseCrudPermissions'](_0x1f3a2a[_0x533e7d(0x2199)]?_0x1f3a2a[_0x533e7d(0x2199)][_0x533e7d(0x1b1a)]:null),_0x1f3a2a[_0x533e7d(0xf4c)]={},_0x1f3a2a[_0x533e7d(0x8ec)]=_0x13b9c1['params']['tab']||0x0,_0x1f3a2a['alert']=_0x1d3c66['info'],_0x1f3a2a[_0x533e7d(0x1ff4)]=_0x1b4e13,_0x1f3a2a[_0x533e7d(0x5a3)]=_0x3dc373;function _0x1b4e13(){const _0x2d6f5c=_0x533e7d;_0x13b9c1['go'](_0x2d6f5c(0x156a),{},{'reload':_0x2d6f5c(0x156a)});}function _0x3dc373(){const _0x4705cd=_0x533e7d;_0x4d7563[_0x4705cd(0x157d)][_0x4705cd(0x687)]({'id':_0x1f3a2a[_0x4705cd(0x15ae)]['id']},_0x1f3a2a[_0x4705cd(0x15ae)])[_0x4705cd(0x1d77)][_0x4705cd(0x1cb0)](function(){const _0x17321f=_0x4705cd;_0x1d3c66[_0x17321f(0x829)]({'title':_0x17321f(0xf58),'msg':_0x1f3a2a[_0x17321f(0x15ae)][_0x17321f(0x16b6)]?_0x1f3a2a['musiconhold']['name']+_0x17321f(0x1068):''});})[_0x4705cd(0x1c4)](function(_0x22d50c){const _0x2bd696=_0x4705cd;_0x1d3c66[_0x2bd696(0x218e)]({'title':_0x22d50c[_0x2bd696(0x291)]?_0x2bd696(0xeb9)+_0x22d50c['status']+_0x2bd696(0x1657)+_0x22d50c['statusText']:_0x2bd696(0x36f),'msg':_0x22d50c['data']?JSON[_0x2bd696(0x2701)](_0x22d50c[_0x2bd696(0x25c)]):_0x22d50c[_0x2bd696(0x147f)]()});});}}const _0x3d1765=_0x2df42f;;const _0x3e6a30=_0x5074a3['p']+_0x5537c6(0x177d);;_0x310c05[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),'$state','$mdSidenav',_0x5537c6(0xcb9),'$document',_0x5537c6(0x2168),'$translate',_0x5537c6(0x1bd2),'userProfile',_0x5537c6(0x2199),_0x5537c6(0x142b),_0x5537c6(0xde8),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting'];function _0x310c05(_0xee47bd,_0x415efb,_0x8f53c4,_0x7be79,_0x23f4a3,_0x530a7d,_0x3a8893,_0x11400a,_0x4ea219,_0x1958c2,_0x233678,_0x51c5b0,_0x3850be,_0x276bcf,_0x954132,_0x11a86c,_0xedaec3){const _0x4efd41=_0x5537c6,_0x3c7788=this;_0x3c7788[_0x4efd41(0x8a5)]=_0x11a86c,_0x3c7788[_0x4efd41(0x9ca)]=_0xedaec3,_0x3c7788['currentUser']=_0x954132[_0x4efd41(0x21e8)](),_0x3c7788[_0x4efd41(0x1bd2)]=_0x4ea219||{'count':0x0,'rows':[]},_0x3c7788[_0x4efd41(0x44a)]=_0x1958c2,_0x3c7788[_0x4efd41(0x2199)]=_0x233678&&_0x233678[_0x4efd41(0x184d)]==0x1?_0x233678[_0x4efd41(0x2214)][0x0]:null,_0x3c7788[_0x4efd41(0x1b1a)]=_0x954132[_0x4efd41(0x14ea)](_0x3c7788[_0x4efd41(0x2199)]?_0x3c7788[_0x4efd41(0x2199)][_0x4efd41(0x1b1a)]:null),_0x3c7788['table']=_0x4efd41(0x1bd2),_0x3c7788[_0x4efd41(0x1d20)]='',_0x3c7788[_0x4efd41(0x1cdf)]=null,_0x3c7788[_0x4efd41(0x261f)]=[],_0x3c7788[_0x4efd41(0xae2)]={'fields':'createdAt,updatedAt,id,name,mode,directory,application,format,sort','defaultEntry':_0x4efd41(0xdec),'limit':0xa,'page':0x1},_0x3c7788[_0x4efd41(0x111f)]=_0x39641b()[_0x4efd41(0x2631)]([{'option':_0x4efd41(0x1a2a),'value':'\x27files\x27'},{'option':_0x4efd41(0x2353),'value':_0x4efd41(0x1849)}],function(_0x35a68a){const _0x91bbc3=_0x4efd41;return _0x39641b()[_0x91bbc3(0x288f)](_0x35a68a['value'],new RegExp('\x27','g'),'');}),_0x3c7788['arraysort']=_0x39641b()[_0x4efd41(0x2631)]([{'option':_0x4efd41(0x1cb3),'value':_0x4efd41(0x3f7)},{'option':_0x4efd41(0xfe9),'value':_0x4efd41(0x14e1)}],function(_0x1557f4){const _0x3ee901=_0x4efd41;return _0x39641b()[_0x3ee901(0x288f)](_0x1557f4[_0x3ee901(0x327)],new RegExp('\x27','g'),'');}),_0x3c7788[_0x4efd41(0x235d)]=_0x5455d9,_0x3c7788[_0x4efd41(0x27fe)]=_0x58c47a,_0x3c7788[_0x4efd41(0x829)]=_0x22c283,_0x3c7788[_0x4efd41(0x1a5c)]=_0x2441c8,_0x3c7788[_0x4efd41(0x129b)]=_0x55620e,_0x3c7788[_0x4efd41(0x1aa7)]=_0x1d4335,_0x3c7788[_0x4efd41(0x10cb)]=_0xe8c9b0,_0x3c7788[_0x4efd41(0x1c88)]=_0x51de62,_0x3c7788['deselectMusicOnHolds']=_0x114cdd,_0x3c7788['selectAllMusicOnHolds']=_0x56a19e;function _0x5455d9(_0x40335f){const _0x50cfa9=_0x4efd41;_0x8f53c4['go'](_0x50cfa9(0x2062),{'id':_0x40335f['id'],'musiconhold':_0x40335f,'crudPermissions':_0x3c7788[_0x50cfa9(0x1b1a)]});}function _0x58c47a(_0x377b8b,_0x5f3556){const _0xd5d611=_0x4efd41,_0x5a9ec8=_0x23f4a3[_0xd5d611(0x1551)]()['title'](_0xd5d611(0x140b)+_0x39641b()[_0xd5d611(0xa75)](_0xd5d611(0x15ae))+'?')[_0xd5d611(0x49e)](_0xd5d611(0x204d)+(_0x377b8b[_0xd5d611(0x16b6)]||_0xd5d611(0x15ae))+_0xd5d611(0x1200)+_0xd5d611(0x1b6))[_0xd5d611(0x15ad)](_0xd5d611(0x296d))[_0xd5d611(0x728)](_0x5f3556)['ok']('OK')[_0xd5d611(0x696)](_0xd5d611(0x24ba));_0x23f4a3[_0xd5d611(0xe27)](_0x5a9ec8)['then'](function(){_0x1d4335(_0x377b8b);},function(){const _0x4c092f=_0xd5d611;console['log'](_0x4c092f(0x24ba));});}let _0x2015fe=!![],_0x1fd574=0x1;_0xee47bd[_0x4efd41(0x614)](_0x4efd41(0x957),function(_0x35d36c,_0x23bd14){const _0x1e989e=_0x4efd41;_0x2015fe?_0x3a8893(function(){_0x2015fe=![];}):(!_0x23bd14&&(_0x1fd574=_0x3c7788['query'][_0x1e989e(0x1c7b)]),_0x35d36c!==_0x23bd14&&(_0x3c7788[_0x1e989e(0xae2)]['page']=0x1),!_0x35d36c&&(_0x3c7788['query'][_0x1e989e(0x1c7b)]=_0x1fd574),_0x3c7788[_0x1e989e(0x1a5c)]());});function _0x22c283(_0x14e7f4){const _0x202d8a=_0x4efd41;_0x3c7788[_0x202d8a(0x1bd2)]=_0x14e7f4||{'count':0x0,'rows':[]};}function _0x2441c8(){const _0x19db0a=_0x4efd41;_0x3c7788[_0x19db0a(0xae2)][_0x19db0a(0x184b)]=(_0x3c7788[_0x19db0a(0xae2)][_0x19db0a(0x1c7b)]-0x1)*_0x3c7788[_0x19db0a(0xae2)]['limit'],_0x954132[_0x19db0a(0x22b6)](_0x19db0a(0x1c60))?_0x3c7788['promise']=_0x51c5b0[_0x19db0a(0x157d)][_0x19db0a(0xbf7)](_0x3c7788[_0x19db0a(0xae2)],_0x22c283)[_0x19db0a(0x1d77)]:(_0x3c7788[_0x19db0a(0xae2)]['id']=_0x3c7788['userProfile']['id'],_0x3c7788[_0x19db0a(0xae2)]['section']=_0x19db0a(0x22ab),_0x3c7788[_0x19db0a(0x2061)]=_0x51c5b0['userProfile'][_0x19db0a(0x1810)](_0x3c7788[_0x19db0a(0xae2)],_0x22c283)[_0x19db0a(0x1d77)]);}function _0x55620e(_0x546344,_0x4110c5){const _0x520342=_0x4efd41;_0x23f4a3['show']({'controller':_0x520342(0x53e),'controllerAs':'vm','templateUrl':_0x3e6a30,'parent':angular[_0x520342(0x1853)](_0x530a7d[_0x520342(0x1ed9)]),'targetEvent':_0x546344,'clickOutsideToClose':!![],'locals':{'musiconhold':_0x4110c5,'musiconholds':_0x3c7788[_0x520342(0x1bd2)][_0x520342(0x2214)],'license':_0x3c7788[_0x520342(0x8a5)],'setting':_0x3c7788[_0x520342(0x9ca)],'crudPermissions':_0x3c7788[_0x520342(0x1b1a)]}});}function _0x1d4335(_0x277561){const _0x2c37fa=_0x4efd41;_0x51c5b0[_0x2c37fa(0x157d)][_0x2c37fa(0x111d)]({'id':_0x277561['id']})[_0x2c37fa(0x1d77)][_0x2c37fa(0x1cb0)](function(){const _0x5dc042=_0x2c37fa;_0x39641b()['remove'](_0x3c7788[_0x5dc042(0x1bd2)][_0x5dc042(0x2214)],{'id':_0x277561['id']}),_0x3c7788[_0x5dc042(0x1bd2)][_0x5dc042(0x184d)]-=0x1,!_0x3c7788[_0x5dc042(0x1bd2)]['rows']['length']&&_0x3c7788['getMusicOnHolds'](),_0x276bcf[_0x5dc042(0x829)]({'title':_0x39641b()[_0x5dc042(0xa75)](_0x5dc042(0x973))+_0x5dc042(0x2663),'msg':_0x277561['name']?_0x277561[_0x5dc042(0x16b6)]+_0x5dc042(0x3f5):''});})[_0x2c37fa(0x1c4)](function(_0x2474ab){const _0x258ec5=_0x2c37fa;if(_0x2474ab[_0x258ec5(0x25c)]&&_0x2474ab[_0x258ec5(0x25c)][_0x258ec5(0x1a7c)]&&_0x2474ab['data'][_0x258ec5(0x1a7c)][_0x258ec5(0xfd0)]){_0x3c7788[_0x258ec5(0x1a7c)]=_0x2474ab['data'][_0x258ec5(0x1a7c)]||[{'message':_0x2474ab['toString'](),'type':'SYSTEM:DELETEvoiceMusicOnHold'}];for(let _0x2d2e58=0x0;_0x2d2e58<_0x2474ab[_0x258ec5(0x25c)][_0x258ec5(0x1a7c)]['length'];_0x2d2e58++){_0x276bcf[_0x258ec5(0x218e)]({'title':_0x2474ab[_0x258ec5(0x25c)][_0x258ec5(0x1a7c)][_0x2d2e58][_0x258ec5(0x66a)],'msg':_0x2474ab['data'][_0x258ec5(0x1a7c)][_0x2d2e58][_0x258ec5(0x155e)]});}}else _0x276bcf[_0x258ec5(0x218e)]({'title':_0x2474ab[_0x258ec5(0x291)]?_0x258ec5(0xeb9)+_0x2474ab['status']+'\x20-\x20'+_0x2474ab['statusText']:'SYSTEM:DELETEvoiceMusicOnHold','msg':_0x2474ab[_0x258ec5(0x25c)]?JSON[_0x258ec5(0x2701)](_0x2474ab[_0x258ec5(0x25c)][_0x258ec5(0x155e)]):_0x2474ab['message']||_0x2474ab[_0x258ec5(0x147f)]()});});}function _0xe8c9b0(){const _0x516808=_0x4efd41,_0x5712cd=angular[_0x516808(0x17fe)](_0x3c7788[_0x516808(0x261f)]);return _0x3c7788[_0x516808(0x261f)]=[],_0x5712cd;}function _0x51de62(_0x590d4d){const _0x84196c=_0x4efd41,_0x4f7dd8=_0x23f4a3[_0x84196c(0x1551)]()[_0x84196c(0x1386)](_0x84196c(0x266d))[_0x84196c(0x49e)](_0x84196c(0x204d)+_0x3c7788[_0x84196c(0x261f)]['length']+'\x20selected'+'\x20will\x20be\x20deleted.')[_0x84196c(0x15ad)](_0x84196c(0xfc9))[_0x84196c(0x728)](_0x590d4d)['ok']('OK')['cancel'](_0x84196c(0x24ba));_0x23f4a3[_0x84196c(0xe27)](_0x4f7dd8)[_0x84196c(0x1cb0)](function(){const _0x39a9a8=_0x84196c;_0x3c7788[_0x39a9a8(0x261f)]['forEach'](function(_0x3cac19){_0x1d4335(_0x3cac19);}),_0x3c7788[_0x39a9a8(0x261f)]=[];});}function _0x114cdd(){_0x3c7788['selectedMusicOnHolds']=[];}function _0x56a19e(){const _0x4d7578=_0x4efd41;_0x3c7788[_0x4d7578(0x261f)]=_0x3c7788[_0x4d7578(0x1bd2)][_0x4d7578(0x2214)];}}const _0x5f5d79=_0x310c05;;_0x5b035a[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),'outboundroutes',_0x5537c6(0xd8e),_0x5537c6(0x142b),_0x5537c6(0x1774),'license',_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x5b035a(_0x59a588,_0x508a7e,_0x533a33,_0x442ab4,_0x31605b,_0x35f448,_0x11cc3c,_0x3bad3b,_0x3ac5f5,_0x52c687,_0x917295,_0x39bb2,_0x192923,_0x13bc45){const _0x56089d=_0x5537c6,_0xb49153=this;_0xb49153[_0x56089d(0xe76)]=_0x917295[_0x56089d(0x21e8)](),_0xb49153[_0x56089d(0x1a7c)]=[],_0xb49153['setting']=_0x192923,_0xb49153[_0x56089d(0x8a5)]=_0x39bb2,_0xb49153[_0x56089d(0x1b1a)]=_0x13bc45,_0xb49153[_0x56089d(0xf4c)]={},_0xb49153[_0x56089d(0x1b0c)]=_0xb49153['setting']&&_0xb49153[_0x56089d(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0xb49153[_0x56089d(0x1386)]='VOICE.EDIT_OUTBOUNDROUTE',_0xb49153['outboundroute']=angular[_0x56089d(0x17fe)](_0x3ac5f5),_0xb49153[_0x56089d(0x128c)]=_0x3bad3b,_0xb49153['newOutboundRoute']=![],_0xb49153[_0x56089d(0x15ff)]={'count':0x0,'rows':[]},_0xb49153['apps']=_0x39641b()[_0x56089d(0x988)](_0x39641b()[_0x56089d(0x1d33)]([{'app':_0x56089d(0x1d81),'appType':_0x56089d(0x1ac8),'type':_0x56089d(0x1b52),'types':[_0x56089d(0x2017),_0x56089d(0x197c),'list'],'fields':[],'isApp':![]},{'app':_0x56089d(0x18f8),'appType':_0x56089d(0x25f4),'context':_0x56089d(0x156f),'type':'Outbound','icon':'icon-apps','interval':_0x56089d(0x965),'options':'xX','isApp':!![],'extraApi':[{'name':'trunks','route':'trunk','filters':{'fields':_0x56089d(0x16b6),'sort':_0x56089d(0x16b6)}},{'name':_0x56089d(0xfbf),'route':_0x56089d(0x22b1),'filters':{'fields':_0x56089d(0x16b6),'sort':_0x56089d(0x16b6),'nolimit':!![]}}],'fields':[{'title':_0x56089d(0xf67),'name':_0x56089d(0x1ae0),'type':_0x56089d(0xb52),'values':'trunks','value':_0x56089d(0xcb0),'option':_0x56089d(0xcb0),'required':!![],'param':0x0},{'title':_0x56089d(0x10f7),'name':'callerID','type':_0x56089d(0x19d3),'ngPattern':_0x56089d(0x18b6),'help':!![]},{'title':_0x56089d(0xeed),'name':_0x56089d(0x586),'type':_0x56089d(0x19d3)},{'title':_0x56089d(0x190),'name':'tag','type':_0x56089d(0xb52),'values':_0x56089d(0xfbf),'value':_0x56089d(0x52a),'option':_0x56089d(0x52a),'defaultValues':[{'value':'\x27--\x27','option':_0x56089d(0x2001)}]},{'title':_0x56089d(0xbcc),'name':_0x56089d(0x1719),'type':'number','min':0x0,'param':0x1},{'title':'Options','name':_0x56089d(0x2224),'type':_0x56089d(0x19d3),'param':0x2},{'title':_0x56089d(0x237c),'name':'url','type':_0x56089d(0x19d3),'param':0x3}]},{'app':null,'type':_0x56089d(0x1b52),'appType':_0x56089d(0x197c),'icon':_0x56089d(0x33e),'interval':_0x56089d(0x965),'isApp':!![],'fields':[{'title':'Application_Name','name':_0x56089d(0x22e1),'type':_0x56089d(0x19d3),'required':!![],'param':0x0},{'title':'Arguments','name':_0x56089d(0x28df),'type':_0x56089d(0x19d3),'param':0x1}]}],[_0x56089d(0x22e1)]),{'isApp':![]});!_0xb49153[_0x56089d(0xd8e)]&&(_0xb49153[_0x56089d(0xd8e)]={'appdata':'Outbound\x20Call','type':_0x56089d(0x895),'context':_0x56089d(0x156f),'recordingFormat':_0x56089d(0x13b1)},_0xb49153[_0x56089d(0x1386)]=_0x56089d(0x1804),_0xb49153[_0x56089d(0x3a4)]=!![]);_0xb49153[_0x56089d(0x1e97)]=_0x3c06b6,_0xb49153[_0x56089d(0x287a)]=_0x3718d8,_0xb49153[_0x56089d(0x1e7f)]=_0xc98df6,_0xb49153[_0x56089d(0x2c4)]=_0x505bd9,_0xb49153[_0x56089d(0x463)]=_0x1c9c28,_0xb49153[_0x56089d(0xda0)]=_0x5aa1ea,_0x917295['hasRole'](_0x56089d(0x1c60))?_0x52c687['voiceContext'][_0x56089d(0xbf7)]({'fields':'id,name','sort':_0x56089d(0x16b6),'nolimit':_0x56089d(0x44d)})[_0x56089d(0x1d77)][_0x56089d(0x1cb0)](function(_0x264a92){const _0x5d1c2d=_0x56089d;_0xb49153[_0x5d1c2d(0x14f6)]=_0x264a92[_0x5d1c2d(0x2214)]||[];})[_0x56089d(0x1c4)](function(_0x2eec74){const _0x2c4e74=_0x56089d;_0x11cc3c[_0x2c4e74(0x218e)]({'title':_0x2eec74['status']?'API:'+_0x2eec74[_0x2c4e74(0x291)]+_0x2c4e74(0x1657)+_0x2eec74[_0x2c4e74(0xc22)]:_0x2c4e74(0x2072),'msg':_0x2eec74[_0x2c4e74(0x25c)]?JSON['stringify'](_0x2eec74[_0x2c4e74(0x25c)]):_0x2eec74['toString']()});}):_0x52c687[_0x56089d(0x1da5)][_0x56089d(0xbf7)]({'fields':_0x56089d(0x43c),'sort':_0x56089d(0x16b6),'nolimit':'true'})[_0x56089d(0x1d77)][_0x56089d(0x1cb0)](function(_0x2c3308){const _0x4cedef=_0x56089d;_0xb49153[_0x4cedef(0x14f6)]=_0x2c3308[_0x4cedef(0x2214)]||[];})[_0x56089d(0x1cb0)](function(){const _0x5de18b=_0x56089d;return _0x52c687[_0x5de18b(0x2199)][_0x5de18b(0xbf7)]({'userProfileId':_0xb49153['currentUser'][_0x5de18b(0x13c1)],'sectionId':0x195})[_0x5de18b(0x1d77)];})['then'](function(_0x23599f){const _0x435353=_0x56089d,_0x6d8392=_0x23599f&&_0x23599f['rows']?_0x23599f[_0x435353(0x2214)][0x0]:null;if(!_0x6d8392)return _0x52c687[_0x435353(0x1da5)]['get']({'fields':_0x435353(0x43c),'sort':'name','nolimit':_0x435353(0x44d),'defaultEntry':0x1})[_0x435353(0x1d77)][_0x435353(0x1cb0)](function(_0x4d2e0f){const _0x535aa0=_0x435353;_0xb49153[_0x535aa0(0x14f6)]=_0x4d2e0f[_0x535aa0(0x2214)]||[];});else{if(!_0x6d8392[_0x435353(0x12f4)])return _0x52c687[_0x435353(0x1198)]['get']({'sectionId':_0x6d8392['id']})[_0x435353(0x1d77)]['then'](function(_0x218fa9){const _0x19a558=_0x435353,_0x8c8bf4=_0x39641b()[_0x19a558(0x1de2)](_0x218fa9['rows'],function(_0xfdd200){const _0x57a4b5=_0x19a558;return _0x39641b()[_0x57a4b5(0x13b4)](_0xb49153['contexts'],{'id':_0xfdd200[_0x57a4b5(0x2982)]});});let _0x484f0b=null;_0xb49153[_0x19a558(0xd8e)]&&(_0x484f0b=_0x39641b()[_0x19a558(0x13b4)](_0xb49153[_0x19a558(0x14f6)],{'name':_0xb49153[_0x19a558(0xd8e)][_0x19a558(0x2056)]}));if(_0x484f0b&&!_0x39641b()[_0x19a558(0x727)](_0x8c8bf4,['id',_0x484f0b['id']])){const _0x4e1db0=_0x39641b()[_0x19a558(0x13b4)](_0xb49153[_0x19a558(0x14f6)],{'id':_0x484f0b['id']});_0x4e1db0[_0x19a558(0x15da)]=![],_0x8c8bf4['push'](_0x4e1db0);}_0xb49153['contexts']=_0x8c8bf4;});}})[_0x56089d(0x1c4)](function(_0x5e442c){const _0xc3322f=_0x56089d;_0x11cc3c[_0xc3322f(0x218e)]({'title':_0x5e442c[_0xc3322f(0x291)]?_0xc3322f(0xeb9)+_0x5e442c['status']+_0xc3322f(0x1657)+_0x5e442c[_0xc3322f(0xc22)]:_0xc3322f(0x5ac),'msg':_0x5e442c[_0xc3322f(0x25c)]?JSON['stringify'](_0x5e442c[_0xc3322f(0x25c)]):_0x5e442c['toString']()});});function _0x3c06b6(){const _0x1714dc=_0x56089d;_0xb49153['errors']=[],_0x52c687['voiceExtension']['save'](_0xb49153[_0x1714dc(0xd8e)])['$promise'][_0x1714dc(0x1cb0)](function(_0x313036){const _0x5c30a6=_0x1714dc;_0xb49153['outboundroutes']['unshift'](_0x313036[_0x5c30a6(0x19b2)]()),_0x11cc3c['success']({'title':_0x5c30a6(0x14e3),'msg':_0xb49153[_0x5c30a6(0xd8e)][_0x5c30a6(0x16b6)]?_0xb49153[_0x5c30a6(0xd8e)][_0x5c30a6(0x16b6)]+'\x20has\x20been\x20created!':''}),_0x5aa1ea(_0x313036);})[_0x1714dc(0x1c4)](function(_0x4bd262){const _0x3607c7=_0x1714dc;if(_0x4bd262['data']&&_0x4bd262[_0x3607c7(0x25c)][_0x3607c7(0x1a7c)]&&_0x4bd262[_0x3607c7(0x25c)]['errors'][_0x3607c7(0xfd0)]){_0xb49153[_0x3607c7(0x1a7c)]=_0x4bd262[_0x3607c7(0x25c)][_0x3607c7(0x1a7c)]||[{'message':_0x4bd262[_0x3607c7(0x147f)](),'type':_0x3607c7(0xe25)}];for(let _0x108ed9=0x0;_0x108ed9<_0x4bd262[_0x3607c7(0x25c)][_0x3607c7(0x1a7c)][_0x3607c7(0xfd0)];_0x108ed9+=0x1){_0x11cc3c[_0x3607c7(0x218e)]({'title':_0x4bd262[_0x3607c7(0x25c)][_0x3607c7(0x1a7c)][_0x108ed9][_0x3607c7(0x66a)],'msg':_0x4bd262[_0x3607c7(0x25c)]['errors'][_0x108ed9][_0x3607c7(0x155e)]});}}else _0x11cc3c[_0x3607c7(0x218e)]({'title':_0x4bd262[_0x3607c7(0x291)]?_0x3607c7(0xeb9)+_0x4bd262[_0x3607c7(0x291)]+_0x3607c7(0x1657)+_0x4bd262[_0x3607c7(0xc22)]:_0x3607c7(0xe25),'msg':_0x4bd262[_0x3607c7(0x25c)]?JSON[_0x3607c7(0x2701)](_0x4bd262['data'][_0x3607c7(0x155e)]):_0x4bd262[_0x3607c7(0x147f)]()});});}function _0x3718d8(){const _0x57f279=_0x56089d;_0xb49153[_0x57f279(0x1a7c)]=[],_0x52c687[_0x57f279(0x1059)]['update']({'id':_0xb49153[_0x57f279(0xd8e)]['id']},_0xb49153['outboundroute'])[_0x57f279(0x1d77)][_0x57f279(0x1cb0)](function(_0x209475){const _0x3c843f=_0x57f279,_0x1ed149=_0x39641b()[_0x3c843f(0x13b4)](_0xb49153['outboundroutes'],{'id':_0x209475['id']});_0x1ed149&&(_0x39641b()[_0x3c843f(0x9c1)](_0x1ed149,_0x39641b()[_0x3c843f(0x169b)](_0x209475[_0x3c843f(0x19b2)](),_0x39641b()[_0x3c843f(0x1be5)](_0x1ed149))),_0x52c687['voiceExtension'][_0x3c843f(0xbf7)]({'sort':_0x3c843f(0x521),'VoiceExtensionId':_0x1ed149['id'],'isApp':!![],'nolimit':!![]})[_0x3c843f(0x1d77)][_0x3c843f(0x1cb0)](function(_0xb2a143){const _0x3d6980=_0x3c843f;_0xb49153[_0x3d6980(0x15ff)]=_0xb2a143,_0x1c9c28();})),_0x11cc3c[_0x3c843f(0x829)]({'title':_0x3c843f(0x144d),'msg':_0xb49153[_0x3c843f(0xd8e)][_0x3c843f(0x16b6)]?_0xb49153[_0x3c843f(0xd8e)][_0x3c843f(0x16b6)]+'\x20has\x20been\x20saved!':''}),_0x5aa1ea(_0x209475);})[_0x57f279(0x1c4)](function(_0x124b3f){const _0x215a7e=_0x57f279;if(_0x124b3f['data']&&_0x124b3f['data'][_0x215a7e(0x1a7c)]&&_0x124b3f[_0x215a7e(0x25c)]['errors']['length']){_0xb49153[_0x215a7e(0x1a7c)]=_0x124b3f[_0x215a7e(0x25c)][_0x215a7e(0x1a7c)]||[{'message':_0x124b3f[_0x215a7e(0x147f)](),'type':'api.voiceExtension.update'}];for(let _0x5cdd09=0x0;_0x5cdd09<_0x124b3f[_0x215a7e(0x25c)][_0x215a7e(0x1a7c)][_0x215a7e(0xfd0)];_0x5cdd09++){_0x11cc3c[_0x215a7e(0x218e)]({'title':_0x124b3f[_0x215a7e(0x25c)][_0x215a7e(0x1a7c)][_0x5cdd09][_0x215a7e(0x66a)],'msg':_0x124b3f[_0x215a7e(0x25c)]['errors'][_0x5cdd09][_0x215a7e(0x155e)]});}}else _0x11cc3c[_0x215a7e(0x218e)]({'title':_0x124b3f[_0x215a7e(0x291)]?_0x215a7e(0xeb9)+_0x124b3f['status']+_0x215a7e(0x1657)+_0x124b3f[_0x215a7e(0xc22)]:_0x215a7e(0x237b),'msg':_0x124b3f[_0x215a7e(0x25c)]?JSON['stringify'](_0x124b3f[_0x215a7e(0x25c)][_0x215a7e(0x155e)]):_0x124b3f[_0x215a7e(0x147f)]()});});}function _0xc98df6(_0x36548d){const _0x4b7154=_0x56089d;_0x52c687[_0x4b7154(0x152c)][_0x4b7154(0xbf7)]({'VoiceExtensionId':_0x3ac5f5['id']})['$promise']['then'](function(_0x26d2ae){const _0x1297b4=_0x4b7154;if(_0x26d2ae&&_0x26d2ae['rows']&&_0x26d2ae[_0x1297b4(0x2214)][_0x1297b4(0xfd0)]>0x0)return _0x11cc3c[_0x1297b4(0x218e)]({'title':_0x1297b4(0x22d4),'msg':'You\x20can\x27t\x20remove\x20an\x20outbound\x20route\x20associated\x20to\x20an\x20out\x20prefix'});else{_0xb49153[_0x1297b4(0x1a7c)]=[];const _0x1ae69a=_0x442ab4['confirm']()[_0x1297b4(0x1386)]('Are\x20you\x20sure?')[_0x1297b4(0x862)]('The\x20outboundroute\x20will\x20be\x20deleted.')[_0x1297b4(0x15ad)](_0x1297b4(0x1e5e))['ok']('Delete')[_0x1297b4(0x696)]('Cancel')[_0x1297b4(0x728)](_0x36548d);_0x442ab4[_0x1297b4(0xe27)](_0x1ae69a)[_0x1297b4(0x1cb0)](function(){const _0xd1a7cc=_0x1297b4;_0x52c687[_0xd1a7cc(0x1059)][_0xd1a7cc(0x111d)]({'id':_0xb49153['outboundroute']['id']})[_0xd1a7cc(0x1d77)][_0xd1a7cc(0x1cb0)](function(){const _0x500486=_0xd1a7cc;_0x39641b()[_0x500486(0x152a)](_0xb49153['outboundroutes'],{'id':_0xb49153[_0x500486(0xd8e)]['id']}),_0x11cc3c[_0x500486(0x829)]({'title':_0x500486(0x1409),'msg':(_0xb49153[_0x500486(0xd8e)][_0x500486(0x16b6)]||_0x500486(0xd8e))+_0x500486(0x3f5)}),_0x5aa1ea(_0xb49153[_0x500486(0xd8e)]);})[_0xd1a7cc(0x1c4)](function(_0x1a3957){const _0xfdd28=_0xd1a7cc;if(_0x1a3957[_0xfdd28(0x25c)]&&_0x1a3957['data'][_0xfdd28(0x1a7c)]&&_0x1a3957[_0xfdd28(0x25c)][_0xfdd28(0x1a7c)][_0xfdd28(0xfd0)]){_0xb49153['errors']=_0x1a3957[_0xfdd28(0x25c)][_0xfdd28(0x1a7c)]||[{'message':_0x1a3957[_0xfdd28(0x147f)](),'type':_0xfdd28(0xb49)}];for(let _0x109f34=0x0;_0x109f34<_0x1a3957[_0xfdd28(0x25c)][_0xfdd28(0x1a7c)]['length'];_0x109f34++){_0x11cc3c[_0xfdd28(0x218e)]({'title':_0x1a3957[_0xfdd28(0x25c)][_0xfdd28(0x1a7c)][_0x109f34][_0xfdd28(0x66a)],'msg':_0x1a3957['data'][_0xfdd28(0x1a7c)][_0x109f34]['message']});}}else _0x11cc3c[_0xfdd28(0x218e)]({'title':_0x1a3957['status']?'API:'+_0x1a3957['status']+_0xfdd28(0x1657)+_0x1a3957['statusText']:_0xfdd28(0xb49),'msg':_0x1a3957[_0xfdd28(0x25c)]?JSON[_0xfdd28(0x2701)](_0x1a3957['data'][_0xfdd28(0x155e)]):_0x1a3957[_0xfdd28(0x155e)]||_0x1a3957['toString']()});});},function(){});}});}function _0x1c9c28(){const _0x33e948=_0x56089d;let _0x2e7992=0x2,_0x1fbbd3=[];_0x1fbbd3['push']({'type':_0x33e948(0x895),'app':'Set','appdata':_0x33e948(0x13db),'context':_0xb49153[_0x33e948(0xd8e)][_0x33e948(0x2056)],'exten':_0xb49153[_0x33e948(0xd8e)][_0x33e948(0x26a2)],'priority':_0x2e7992++,'VoiceExtensionId':_0xb49153['outboundroute']['id']}),_0x1fbbd3[_0x33e948(0x2785)]({'type':_0x33e948(0x895),'app':_0x33e948(0x11c3),'appdata':_0x33e948(0x215d)+(_0xb49153[_0x33e948(0xd8e)][_0x33e948(0x325)]?_0x33e948(0x19e4)+_0xb49153[_0x33e948(0xd8e)][_0x33e948(0x325)]+'}':_0x33e948(0xcdd)),'context':_0xb49153['outboundroute'][_0x33e948(0x2056)],'exten':_0xb49153[_0x33e948(0xd8e)][_0x33e948(0x26a2)],'priority':_0x2e7992++,'VoiceExtensionId':_0xb49153[_0x33e948(0xd8e)]['id']}),_0x1fbbd3[_0x33e948(0x2785)]({'type':_0x33e948(0x895),'app':_0x33e948(0x11c3),'appdata':_0x33e948(0xf20),'context':_0xb49153['outboundroute'][_0x33e948(0x2056)],'exten':_0xb49153['outboundroute']['exten'],'priority':_0x2e7992++,'VoiceExtensionId':_0xb49153[_0x33e948(0xd8e)]['id']}),_0x1fbbd3[_0x33e948(0x2785)]({'type':_0x33e948(0x895),'app':'Set','appdata':_0x33e948(0x1781),'context':_0xb49153[_0x33e948(0xd8e)][_0x33e948(0x2056)],'exten':_0xb49153['outboundroute'][_0x33e948(0x26a2)],'priority':_0x2e7992++,'VoiceExtensionId':_0xb49153[_0x33e948(0xd8e)]['id']}),_0x1fbbd3[_0x33e948(0x2785)]({'type':_0x33e948(0x895),'app':'Set','appdata':_0x33e948(0x4ba),'context':_0xb49153['outboundroute'][_0x33e948(0x2056)],'exten':_0xb49153[_0x33e948(0xd8e)][_0x33e948(0x26a2)],'priority':_0x2e7992++,'VoiceExtensionId':_0xb49153[_0x33e948(0xd8e)]['id']}),_0x1fbbd3[_0x33e948(0x2785)]({'type':'outbound','app':_0x33e948(0x11c3),'appdata':_0x33e948(0x2712)+_0xb49153[_0x33e948(0xd8e)]['id'],'context':_0xb49153[_0x33e948(0xd8e)][_0x33e948(0x2056)],'exten':_0xb49153[_0x33e948(0xd8e)][_0x33e948(0x26a2)],'priority':_0x2e7992++,'VoiceExtensionId':_0xb49153[_0x33e948(0xd8e)]['id']});typeof _0xb49153[_0x33e948(0xd8e)][_0x33e948(0xf8d)]!==_0x33e948(0x16b5)&&_0xb49153[_0x33e948(0xd8e)][_0x33e948(0xf8d)]!=='none'&&(_0x1fbbd3[_0x33e948(0x2785)]({'type':'outbound','app':'Set','appdata':_0x33e948(0x1069),'context':_0xb49153[_0x33e948(0xd8e)][_0x33e948(0x2056)],'exten':_0xb49153[_0x33e948(0xd8e)]['exten'],'priority':_0x2e7992++,'VoiceExtensionId':_0xb49153[_0x33e948(0xd8e)]['id']}),_0x1fbbd3[_0x33e948(0x2785)]({'type':_0x33e948(0x895),'app':_0x33e948(0x11c3),'appdata':'_XCALLY_MIXMONITOR_FORMAT='+_0xb49153[_0x33e948(0xd8e)]['recordingFormat']||0x0,'context':_0xb49153[_0x33e948(0xd8e)][_0x33e948(0x2056)],'exten':_0xb49153[_0x33e948(0xd8e)][_0x33e948(0x26a2)],'priority':_0x2e7992++,'VoiceExtensionId':_0xb49153[_0x33e948(0xd8e)]['id']}));for(let _0x22ccd9=0x0,_0x5dfe8c=0x0;_0x22ccd9<_0xb49153[_0x33e948(0x15ff)][_0x33e948(0x2214)]['length'];_0x22ccd9++,_0x5dfe8c=0x0){const _0x3279b9=_0xb49153['outboundrouteApps'][_0x33e948(0x2214)][_0x22ccd9],_0x25a35c=[],_0x33d048=[];_0x3279b9['intervals']=_0x3279b9[_0x33e948(0x1ac8)]!==_0x33e948(0x965)?[_0x3279b9[_0x33e948(0x1ac8)]]:_0x3279b9['IntervalId']?_0x39641b()['map'](_0x39641b()['filter'](_0xb49153[_0x33e948(0xb4a)][_0x33e948(0x2214)],{'IntervalId':_0x3279b9['IntervalId']}),'interval'):[],_0x3279b9[_0x33e948(0x2056)]=_0xb49153[_0x33e948(0xd8e)][_0x33e948(0x2056)],_0x3279b9[_0x33e948(0x26a2)]=_0xb49153[_0x33e948(0xd8e)][_0x33e948(0x26a2)];_0x3279b9[_0x33e948(0x66a)]&&(_0x3279b9[_0x33e948(0x66a)]=_0x3279b9[_0x33e948(0x66a)][_0x33e948(0x1680)]());typeof _0x3279b9[_0x33e948(0x22b1)]!==_0x33e948(0x16b5)&&(_0x3279b9[_0x33e948(0x22b1)]&&_0x3279b9[_0x33e948(0x22b1)]!=='--'&&_0x25a35c[_0x33e948(0x2785)]({'type':_0x3279b9[_0x33e948(0x66a)][_0x33e948(0x1680)](),'app':'Set','appdata':'CDR(tag)='+_0x3279b9[_0x33e948(0x22b1)],'context':_0xb49153[_0x33e948(0xd8e)]['context'],'exten':_0xb49153['outboundroute'][_0x33e948(0x26a2)],'priority':_0x3279b9[_0x33e948(0xb4a)][_0x33e948(0xfd0)]+_0x2e7992+_0x5dfe8c++,'VoiceExtensionId':_0xb49153[_0x33e948(0xd8e)]['id']}));_0x3279b9[_0x33e948(0x2816)]?_0x25a35c[_0x33e948(0x2785)]({'type':_0x3279b9[_0x33e948(0x66a)][_0x33e948(0x1680)](),'app':_0x33e948(0x1991),'appdata':_0x33e948(0xd48)+_0x3279b9[_0x33e948(0x2816)]+')','context':_0xb49153[_0x33e948(0xd8e)][_0x33e948(0x2056)],'exten':_0xb49153[_0x33e948(0xd8e)]['exten'],'priority':_0x3279b9[_0x33e948(0xb4a)][_0x33e948(0xfd0)]+_0x2e7992+_0x5dfe8c++,'VoiceExtensionId':_0xb49153[_0x33e948(0xd8e)]['id']}):_0x25a35c[_0x33e948(0x2785)]({'type':_0x3279b9[_0x33e948(0x66a)]['toLowerCase'](),'app':_0x33e948(0x1991),'appdata':_0x33e948(0x5c2),'context':_0xb49153[_0x33e948(0xd8e)]['context'],'exten':_0xb49153[_0x33e948(0xd8e)][_0x33e948(0x26a2)],'priority':_0x3279b9['intervals'][_0x33e948(0xfd0)]+_0x2e7992+_0x5dfe8c++,'VoiceExtensionId':_0xb49153['outboundroute']['id']});for(let _0xc5fb23=0x0;_0xc5fb23<_0x3279b9[_0x33e948(0xb4a)][_0x33e948(0xfd0)];_0xc5fb23++){const _0x166c9b=_0x2e7992+_0x3279b9[_0x33e948(0xb4a)][_0x33e948(0xfd0)],_0x373c04=_0xc5fb23===_0x3279b9[_0x33e948(0xb4a)][_0x33e948(0xfd0)]-0x1?_0x2e7992+_0x25a35c[_0x33e948(0xfd0)]+_0x33d048[_0x33e948(0xfd0)]+0x2:_0x2e7992+_0xc5fb23+0x1;_0x25a35c[_0x33e948(0x159c)](_0xc5fb23,0x0,{'type':_0x33e948(0x895),'app':'GotoIfTime','appdata':_0x3279b9[_0x33e948(0xb4a)][_0xc5fb23]+'?'+_0xb49153[_0x33e948(0xd8e)]['context']+_0x33e948(0xa5c)+_0x166c9b+':'+_0xb49153[_0x33e948(0xd8e)]['context']+_0x33e948(0xa5c)+_0x373c04,'exten':_0xb49153[_0x33e948(0xd8e)]['exten'],'context':_0xb49153['outboundroute'][_0x33e948(0x2056)],'priority':_0x2e7992+_0xc5fb23,'VoiceExtensionId':_0xb49153[_0x33e948(0xd8e)]['id']});}if(_0x3279b9[_0x33e948(0x299b)]==='outboundDial'){const _0x9be792=_0x39641b()['find'](_0xb49153[_0x33e948(0x196f)],{'appType':_0x33e948(0x25f4)});if(_0x9be792&&_0x9be792['fields']){const _0x4de7ec={},_0xb90025=_0x3279b9['appdata'][_0x33e948(0xbe1)](','),_0x29abf2=[];for(let _0x1e6806=0x0,_0x2d7135=_0x9be792[_0x33e948(0x355)][_0x33e948(0xfd0)];_0x1e6806<_0x2d7135;_0x1e6806++){if(typeof _0x9be792[_0x33e948(0x355)][_0x1e6806][_0x33e948(0x94b)]!==_0x33e948(0x16b5))switch(_0x9be792[_0x33e948(0x355)][_0x1e6806][_0x33e948(0x16b6)]){case _0x33e948(0x1ae0):_0x4de7ec['trunk']=_0xb90025[_0x9be792[_0x33e948(0x355)][_0x1e6806]['param']][_0x33e948(0xbe1)]('/')[0x1],_0x4de7ec[_0x33e948(0x1340)]=_0xb90025[_0x9be792[_0x33e948(0x355)][_0x1e6806][_0x33e948(0x94b)]]['split']('/')[0x2],_0x4de7ec[_0x33e948(0x586)]=_0x4de7ec[_0x33e948(0x1340)]?_0x4de7ec[_0x33e948(0x1340)][_0x33e948(0xbe1)]('$')[0x0]:undefined,_0x4de7ec['phone']=_0xb49153[_0x33e948(0xd8e)][_0x33e948(0x325)]?(_0x4de7ec[_0x33e948(0x586)]||'')+_0x33e948(0x19e4)+_0xb49153[_0x33e948(0xd8e)]['cutdigits']+'}':(_0x4de7ec[_0x33e948(0x586)]||'')+'${EXTEN}',_0x29abf2[_0x9be792[_0x33e948(0x355)][_0x1e6806][_0x33e948(0x94b)]]=[_0x33e948(0x2832),_0x4de7ec[_0x33e948(0x1ae0)],_0x4de7ec[_0x33e948(0x1340)]][_0x33e948(0x1f66)]('/');break;case _0x33e948(0x2224):_0x4de7ec['options']=_0xb90025[_0x9be792['fields'][_0x1e6806][_0x33e948(0x94b)]];_0xb49153[_0x33e948(0xd8e)][_0x33e948(0xf8d)]!==_0x33e948(0x13b1)?_0x4de7ec[_0x33e948(0x2224)][_0x33e948(0xd8a)]('U(xcally-mixmonitor-context)')<0x0&&(_0x4de7ec[_0x33e948(0x2224)]+='U(xcally-mixmonitor-context)'):_0x4de7ec[_0x33e948(0x2224)]=_0x4de7ec[_0x33e948(0x2224)]['replace']('U(xcally-mixmonitor-context)','');_0x29abf2[_0x9be792[_0x33e948(0x355)][_0x1e6806][_0x33e948(0x94b)]]=_0x4de7ec[_0x33e948(0x2224)];break;default:_0x29abf2[_0x9be792['fields'][_0x1e6806][_0x33e948(0x94b)]]=_0xb90025[_0x9be792['fields'][_0x1e6806][_0x33e948(0x94b)]];}}_0x3279b9[_0x33e948(0x28df)]=_0x29abf2['join'](',');}}_0x3279b9['priority']=_0x25a35c['length']?_0x39641b()['last'](_0x25a35c)[_0x33e948(0x521)]+0x1:_0x2e7992,_0x2e7992=(_0x33d048[_0x33e948(0xfd0)]?_0x39641b()['last'](_0x33d048)[_0x33e948(0x521)]:_0x3279b9['priority'])+0x1,_0x1fbbd3=_0x39641b()[_0x33e948(0x298a)](_0x1fbbd3,_0x25a35c,[_0x3279b9],_0x33d048);}_0x1fbbd3[_0x33e948(0x2785)]({'type':'outbound','app':_0x33e948(0x26e1),'exten':_0xb49153['outboundroute']['exten'],'context':_0xb49153[_0x33e948(0xd8e)][_0x33e948(0x2056)],'priority':_0x2e7992,'VoiceExtensionId':_0xb49153[_0x33e948(0xd8e)]['id']}),_0x52c687[_0x33e948(0x1059)][_0x33e948(0x2598)]({'id':_0xb49153[_0x33e948(0xd8e)]['id']},_0x39641b()['sortBy'](_0x1fbbd3,_0x33e948(0x521)))[_0x33e948(0x1d77)][_0x33e948(0x1cb0)](function(_0x352364){const _0x199307=_0x33e948;_0xb49153[_0x199307(0x15ff)][_0x199307(0x2214)]=_0x352364['rows'];})[_0x33e948(0x1c4)](function(_0x3d7633){console['error'](_0x3d7633);});}function _0x505bd9(_0x5ea3c5){return _0x5ea3c5===null?undefined:new Date(_0x5ea3c5);}function _0x5aa1ea(_0x22c308){_0x442ab4['hide'](_0x22c308);}}const _0x34ef01=_0x5b035a;;const _0x27c7d8=_0x5074a3['p']+_0x5537c6(0x801);;const _0x5c01e0=_0x5074a3['p']+_0x5537c6(0x136f);;const _0x3e3371=_0x5074a3['p']+_0x5537c6(0x2405);;_0x302c67[_0x5537c6(0x15b6)]=[_0x5537c6(0x142b),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x9bf),_0x5537c6(0x1986),_0x5537c6(0x1463),'Auth'];const _0x10bc5e={'custom':_0x27c7d8,'interval':_0x5c01e0,'outbounddial':_0x3e3371};function _0x302c67(_0x49e9d8,_0x59404e,_0xe70519,_0x11dd2a,_0x2993c1,_0xf74583,_0x26d5a4){const _0x71ed0f=_0x5537c6,_0x3c75e8=this;_0x3c75e8[_0x71ed0f(0xe76)]=_0x26d5a4[_0x71ed0f(0x21e8)](),_0x3c75e8['outboundroute']={},_0x3c75e8[_0x71ed0f(0x15ff)]={'count':0x0,'rows':[]},_0x3c75e8['selectedOutboundRouteApps']=[],_0x3c75e8['crudPermissions'],_0x3c75e8['query']={'sort':_0x71ed0f(0x521)},_0x3c75e8[_0x71ed0f(0x196f)]=_0x39641b()['reject'](_0x39641b()['sortBy']([{'app':'Interval','appType':_0x71ed0f(0x1ac8),'type':_0x71ed0f(0x1b52),'types':[_0x71ed0f(0x2017),_0x71ed0f(0x197c),'list'],'fields':[],'isApp':![]},{'app':_0x71ed0f(0x18f8),'appType':_0x71ed0f(0x25f4),'context':_0x71ed0f(0x156f),'type':_0x71ed0f(0x1b52),'icon':_0x71ed0f(0x33e),'interval':_0x71ed0f(0x965),'options':'xX','isApp':!![],'extraApi':[{'name':_0x71ed0f(0x1363),'field':{'name':_0x71ed0f(0x1ae0),'key':_0x71ed0f(0x16b6)},'route':'trunk','filters':{'fields':_0x71ed0f(0x43c),'sort':_0x71ed0f(0x16b6),'nolimit':!![]},'permissions':{'section':0x3f3}},{'name':_0x71ed0f(0xfbf),'field':{'name':_0x71ed0f(0x22b1),'key':'name'},'route':'tag','filters':{'fields':'id,name','sort':'name','nolimit':!![]},'permissions':{'section':0x3f0}}],'fields':[{'title':_0x71ed0f(0xf67),'name':_0x71ed0f(0x1ae0),'type':'apiselect','values':_0x71ed0f(0x1363),'value':_0x71ed0f(0xcb0),'option':_0x71ed0f(0xcb0),'required':!![],'param':0x0},{'title':_0x71ed0f(0x10f7),'name':_0x71ed0f(0x2816),'type':_0x71ed0f(0x19d3),'help':!![]},{'title':_0x71ed0f(0xeed),'name':'prefix','type':_0x71ed0f(0x19d3)},{'title':'Tags','name':_0x71ed0f(0x22b1),'type':'apiselect','values':_0x71ed0f(0xfbf),'value':'tag.name','option':_0x71ed0f(0x52a),'defaultValues':[{'value':_0x71ed0f(0x2ea),'option':_0x71ed0f(0x2001)}]},{'title':_0x71ed0f(0xbcc),'name':_0x71ed0f(0x1719),'type':_0x71ed0f(0x83d),'min':0x0,'param':0x1},{'title':_0x71ed0f(0x17e0),'name':_0x71ed0f(0x2224),'type':_0x71ed0f(0x19d3),'param':0x2},{'title':_0x71ed0f(0x237c),'name':_0x71ed0f(0x135b),'type':_0x71ed0f(0x19d3),'param':0x3}]},{'app':null,'type':_0x71ed0f(0x1b52),'appType':_0x71ed0f(0x197c),'icon':'icon-apps','interval':_0x71ed0f(0x965),'isApp':!![],'fields':[{'title':_0x71ed0f(0x2563),'name':_0x71ed0f(0x22e1),'type':_0x71ed0f(0x19d3),'required':!![],'param':0x0},{'title':_0x71ed0f(0x19b8),'name':_0x71ed0f(0x28df),'type':_0x71ed0f(0x19d3),'param':0x1}]}],[_0x71ed0f(0x22e1)]),{'isApp':![]}),_0x3c75e8[_0x71ed0f(0x240)]={'group':{'name':_0x71ed0f(0x2523),'pull':_0x71ed0f(0x11bc)},'animation':0x64,'sort':![]},_0x3c75e8['applications']={'group':{'name':_0x71ed0f(0x1ddb),'put':_0x71ed0f(0x2523)},'animation':0x64,'onAdd':function(_0x372391){const _0x718349=_0x71ed0f;_0x3e3b98(_0x372391,_0x372391[_0x718349(0x22e)]);},'onSort':function(){_0xb33daf();}},_0x3c75e8[_0x71ed0f(0x1a8e)]=_0x37aecd,_0x3c75e8['deleteConfirm']=_0x1da031,_0x3c75e8['getOutboundRouteApps']=_0x1b4c85,_0x3c75e8['editOutboundRouteApp']=_0x3e3b98,_0x3c75e8[_0x71ed0f(0x1eee)]=_0x4e1c86,_0x3c75e8['deleteOutboundRouteApp']=_0x77e355,_0x3c75e8['deleteSelectedOutboundRouteApps']=_0x20f012,_0x3c75e8[_0x71ed0f(0x463)]=_0xb33daf,_0x3c75e8[_0x71ed0f(0x22fc)]=_0x56407a,_0x3c75e8[_0x71ed0f(0x17a6)]=_0x14417b;function _0x37aecd(_0x15702b,_0x267c4a){const _0x27c6ba=_0x71ed0f;_0x3c75e8[_0x27c6ba(0xd8e)]=_0x15702b,_0x3c75e8['crudPermissions']=typeof _0x267c4a!=='undefined'?_0x267c4a:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x3c75e8[_0x27c6ba(0x1f2f)][_0x27c6ba(0x379)]=!_0x3c75e8[_0x27c6ba(0x1b1a)][_0x27c6ba(0xb3d)]?!![]:![],_0x2993c1['on'](_0x27c6ba(0x179c),_0x3c75e8[_0x27c6ba(0x17a6)]),_0x3c75e8[_0x27c6ba(0xae2)][_0x27c6ba(0x1cc7)]=_0x15702b['id'],_0x3c75e8[_0x27c6ba(0xae2)]['isApp']=!![],_0x3c75e8[_0x27c6ba(0xae2)][_0x27c6ba(0x2282)]=!![],_0x3c75e8[_0x27c6ba(0x22a3)](),_0x3c75e8[_0x27c6ba(0x22fc)]();}function _0x14417b(_0x541d15){const _0xa37b42=_0x71ed0f;_0x541d15['VoiceExtensionId']===_0x3c75e8[_0xa37b42(0xd8e)]['id']&&_0xb33daf(!![]);}function _0x1da031(_0x24a4f9,_0x5eb355,_0x35e102){const _0x28ff4c=_0x71ed0f,_0x3bf3a5=_0x59404e[_0x28ff4c(0x1551)]()[_0x28ff4c(0x1386)](_0x28ff4c(0xf35))[_0x28ff4c(0x49e)](_0x28ff4c(0x204d)+_0x24a4f9[_0x28ff4c(0x22e1)]+_0x28ff4c(0x1200)+'\x20will\x20be\x20deleted.')[_0x28ff4c(0x15ad)](_0x28ff4c(0x2998))[_0x28ff4c(0x728)](_0x35e102)['ok']('OK')['cancel'](_0x28ff4c(0x24ba));_0x59404e['show'](_0x3bf3a5)[_0x28ff4c(0x1cb0)](function(){const _0x442cfa=_0x28ff4c;_0x3c75e8[_0x442cfa(0x15ff)][_0x442cfa(0x2214)]['splice'](_0x5eb355,0x1),_0xb33daf();},function(){const _0x1d07c5=_0x28ff4c;console[_0x1d07c5(0x1b4f)]('CANCEL');});}function _0x3e3b98(_0xfbc28e,_0x34835e){const _0x1559b4=_0x71ed0f;if(_0x3c75e8[_0x1559b4(0x15ff)][_0x1559b4(0x2214)][_0x1559b4(0xfd0)]){const _0x1e43ff=_0x3c75e8[_0x1559b4(0x15ff)]['rows'][_0x34835e]?_0x3c75e8[_0x1559b4(0x15ff)][_0x1559b4(0x2214)][_0x34835e]:_0x3c75e8[_0x1559b4(0x15ff)][_0x1559b4(0x2214)][0x0],_0x390f79=(_0x1e43ff[_0x1559b4(0x299b)]||_0x1e43ff[_0x1559b4(0x22e1)])[_0x1559b4(0x1680)]();_0x59404e[_0x1559b4(0xe27)]({'controller':_0x1559b4(0x610)+_0x390f79+'DialogController','controllerAs':'vm','templateUrl':_0x10bc5e[_0x390f79],'parent':angular[_0x1559b4(0x1853)](_0xe70519[_0x1559b4(0x1ed9)]),'targetEvent':_0xfbc28e,'clickOutsideToClose':!![],'locals':{'outboundrouteApp':_0x1e43ff,'outboundroute':_0x3c75e8[_0x1559b4(0xd8e)],'crudPermissions':_0x3c75e8['crudPermissions']}})['then'](function(_0x2f9893){const _0xd2ae9c=_0x1559b4;_0x2f9893&&(_0x2f9893['id']?_0x3c75e8[_0xd2ae9c(0x15ff)]['rows'][_0x34835e]=_0x2f9893:_0x3c75e8[_0xd2ae9c(0x15ff)]['rows'][_0xd2ae9c(0x159c)](_0x34835e,0x0,_0x2f9893),_0xb33daf());})[_0x1559b4(0x1c4)](function(_0x4b0d7f){const _0x17135e=_0x1559b4;_0x4b0d7f&&_0x11dd2a[_0x17135e(0x218e)]({'title':_0x4b0d7f['status']?_0x17135e(0xeb9)+_0x4b0d7f[_0x17135e(0x291)]+'\x20-\x20'+_0x4b0d7f['statusText']:_0x17135e(0xd95),'msg':_0x4b0d7f[_0x17135e(0x25c)]?JSON[_0x17135e(0x2701)](_0x4b0d7f[_0x17135e(0x25c)]):_0x4b0d7f[_0x17135e(0x147f)]()});});}}function _0x4e1c86(_0x2a1565,_0x5ea801){const _0x443230=_0x71ed0f;if(_0x3c75e8[_0x443230(0x15ff)][_0x443230(0x2214)][_0x443230(0xfd0)]){const _0x3c2d2c=_0x3c75e8[_0x443230(0x15ff)]['rows'][_0x5ea801]?_0x3c75e8[_0x443230(0x15ff)]['rows'][_0x5ea801]:_0x3c75e8[_0x443230(0x15ff)][_0x443230(0x2214)][0x0];_0x59404e['show']({'controller':'EditoutboundAppintervalDialogController','controllerAs':'vm','templateUrl':_0x5c01e0,'parent':angular[_0x443230(0x1853)](_0xe70519[_0x443230(0x1ed9)]),'targetEvent':_0x2a1565,'clickOutsideToClose':!![],'locals':{'interval':{'interval':_0x3c2d2c[_0x443230(0x1ac8)],'IntervalId':_0x3c2d2c[_0x443230(0x1a60)],'application':!![]},'intervals':[],'crudPermissions':_0x3c75e8[_0x443230(0x1b1a)]}})[_0x443230(0x1cb0)](function(_0xa64a72){const _0x384483=_0x443230;_0xa64a72&&(_0x3c2d2c[_0x384483(0x1ac8)]=_0xa64a72[_0x384483(0x1ac8)]||_0x384483(0x965),_0x3c2d2c[_0x384483(0x1a60)]=_0xa64a72[_0x384483(0x1a60)]||null,_0xb33daf());});}}function _0xb33daf(_0x2e6d5f){const _0x4c5af3=_0x71ed0f;let _0x11025d=0x2,_0x4ebaca=[];_0x4ebaca[_0x4c5af3(0x2785)]({'type':_0x4c5af3(0x895),'app':_0x4c5af3(0x11c3),'appdata':_0x4c5af3(0x13db),'context':_0x3c75e8[_0x4c5af3(0xd8e)][_0x4c5af3(0x2056)],'exten':_0x3c75e8['outboundroute'][_0x4c5af3(0x26a2)],'priority':_0x11025d++,'VoiceExtensionId':_0x3c75e8[_0x4c5af3(0xd8e)]['id']}),_0x4ebaca[_0x4c5af3(0x2785)]({'type':_0x4c5af3(0x895),'app':'Set','appdata':_0x4c5af3(0x215d)+(_0x3c75e8[_0x4c5af3(0xd8e)][_0x4c5af3(0x325)]?_0x4c5af3(0x19e4)+_0x3c75e8['outboundroute'][_0x4c5af3(0x325)]+'}':_0x4c5af3(0xcdd)),'context':_0x3c75e8['outboundroute']['context'],'exten':_0x3c75e8[_0x4c5af3(0xd8e)]['exten'],'priority':_0x11025d++,'VoiceExtensionId':_0x3c75e8[_0x4c5af3(0xd8e)]['id']}),_0x4ebaca['push']({'type':_0x4c5af3(0x895),'app':_0x4c5af3(0x11c3),'appdata':_0x4c5af3(0x22da)+_0x3c75e8[_0x4c5af3(0xd8e)]['id'],'context':_0x3c75e8[_0x4c5af3(0xd8e)][_0x4c5af3(0x2056)],'exten':_0x3c75e8['outboundroute'][_0x4c5af3(0x26a2)],'priority':_0x11025d++,'VoiceExtensionId':_0x3c75e8[_0x4c5af3(0xd8e)]['id']});const _0x383f32=_0x11025d++;_0x4ebaca[_0x4c5af3(0x2785)]({'type':_0x4c5af3(0x895),'app':'ExecIf','appdata':_0x4c5af3(0x211)+(_0x383f32+0x2)+'):Goto('+(_0x383f32+0x1)+')','context':_0x3c75e8['outboundroute'][_0x4c5af3(0x2056)],'exten':_0x3c75e8['outboundroute']['exten'],'priority':_0x383f32,'VoiceExtensionId':_0x3c75e8[_0x4c5af3(0xd8e)]['id']}),_0x4ebaca['push']({'type':_0x4c5af3(0x895),'app':_0x4c5af3(0x11c3),'appdata':_0x3c75e8['outboundroute']['cutdigits']?_0x4c5af3(0x166)+_0x3c75e8[_0x4c5af3(0xd8e)][_0x4c5af3(0x325)]+'}':'CDR(prefix)=noprefix','context':_0x3c75e8[_0x4c5af3(0xd8e)][_0x4c5af3(0x2056)],'exten':_0x3c75e8[_0x4c5af3(0xd8e)][_0x4c5af3(0x26a2)],'priority':_0x11025d++,'VoiceExtensionId':_0x3c75e8[_0x4c5af3(0xd8e)]['id']}),_0x4ebaca[_0x4c5af3(0x2785)]({'type':_0x4c5af3(0x895),'app':_0x4c5af3(0x11c3),'appdata':'XCALLY-MOTION-ANSWER=0','context':_0x3c75e8[_0x4c5af3(0xd8e)][_0x4c5af3(0x2056)],'exten':_0x3c75e8[_0x4c5af3(0xd8e)][_0x4c5af3(0x26a2)],'priority':_0x11025d++,'VoiceExtensionId':_0x3c75e8[_0x4c5af3(0xd8e)]['id']}),_0x4ebaca[_0x4c5af3(0x2785)]({'type':_0x4c5af3(0x895),'app':'Set','appdata':_0x4c5af3(0x1781),'context':_0x3c75e8[_0x4c5af3(0xd8e)][_0x4c5af3(0x2056)],'exten':_0x3c75e8[_0x4c5af3(0xd8e)]['exten'],'priority':_0x11025d++,'VoiceExtensionId':_0x3c75e8[_0x4c5af3(0xd8e)]['id']}),_0x4ebaca[_0x4c5af3(0x2785)]({'type':_0x4c5af3(0x895),'app':_0x4c5af3(0x11c3),'appdata':_0x4c5af3(0x4ba),'context':_0x3c75e8[_0x4c5af3(0xd8e)][_0x4c5af3(0x2056)],'exten':_0x3c75e8[_0x4c5af3(0xd8e)][_0x4c5af3(0x26a2)],'priority':_0x11025d++,'VoiceExtensionId':_0x3c75e8['outboundroute']['id']}),_0x4ebaca[_0x4c5af3(0x2785)]({'type':_0x4c5af3(0x895),'app':_0x4c5af3(0x11c3),'appdata':_0x4c5af3(0x2712)+_0x3c75e8[_0x4c5af3(0xd8e)]['id'],'context':_0x3c75e8[_0x4c5af3(0xd8e)][_0x4c5af3(0x2056)],'exten':_0x3c75e8[_0x4c5af3(0xd8e)][_0x4c5af3(0x26a2)],'priority':_0x11025d++,'VoiceExtensionId':_0x3c75e8['outboundroute']['id']});typeof _0x3c75e8[_0x4c5af3(0xd8e)][_0x4c5af3(0xf8d)]===_0x4c5af3(0x16b5)&&_0x3c75e8['outboundroute']['recordingFormat']!==_0x4c5af3(0x13b1)&&(_0x4ebaca[_0x4c5af3(0x2785)]({'type':'outbound','app':_0x4c5af3(0x11c3),'appdata':_0x4c5af3(0x1069),'context':_0x3c75e8[_0x4c5af3(0xd8e)][_0x4c5af3(0x2056)],'exten':_0x3c75e8[_0x4c5af3(0xd8e)]['exten'],'priority':_0x11025d++,'VoiceExtensionId':_0x3c75e8['outboundroute']['id']}),_0x4ebaca['push']({'type':_0x4c5af3(0x895),'app':'Set','appdata':'_XCALLY_MIXMONITOR_FORMAT='+_0x3c75e8[_0x4c5af3(0xd8e)][_0x4c5af3(0xf8d)]||0x0,'context':_0x3c75e8[_0x4c5af3(0xd8e)][_0x4c5af3(0x2056)],'exten':_0x3c75e8[_0x4c5af3(0xd8e)][_0x4c5af3(0x26a2)],'priority':_0x11025d++,'VoiceExtensionId':_0x3c75e8[_0x4c5af3(0xd8e)]['id']}));for(let _0xb476bc=0x0,_0x38cde7=0x0;_0xb476bc<_0x3c75e8[_0x4c5af3(0x15ff)][_0x4c5af3(0x2214)][_0x4c5af3(0xfd0)];_0xb476bc++,_0x38cde7=0x0){const _0x37fdaa=_0x3c75e8[_0x4c5af3(0x15ff)][_0x4c5af3(0x2214)][_0xb476bc],_0x759524=[],_0x481479=[];_0x37fdaa[_0x4c5af3(0xb4a)]=_0x37fdaa['interval']!=='*,*,*,*'?[_0x37fdaa['interval']]:_0x37fdaa[_0x4c5af3(0x1a60)]?_0x39641b()[_0x4c5af3(0x1de2)](_0x39641b()[_0x4c5af3(0x1c99)](_0x3c75e8[_0x4c5af3(0xb4a)][_0x4c5af3(0x2214)],{'IntervalId':_0x37fdaa['IntervalId']}),_0x4c5af3(0x1ac8)):[],_0x37fdaa[_0x4c5af3(0x2056)]=_0x3c75e8[_0x4c5af3(0xd8e)]['context'],_0x37fdaa['exten']=_0x3c75e8['outboundroute']['exten'];_0x37fdaa[_0x4c5af3(0x66a)]&&(_0x37fdaa[_0x4c5af3(0x66a)]=_0x37fdaa[_0x4c5af3(0x66a)][_0x4c5af3(0x1680)]());typeof _0x37fdaa[_0x4c5af3(0x22b1)]!==_0x4c5af3(0x16b5)&&(_0x37fdaa[_0x4c5af3(0x22b1)]&&_0x37fdaa[_0x4c5af3(0x22b1)]!=='--'&&_0x759524['push']({'type':_0x37fdaa[_0x4c5af3(0x66a)][_0x4c5af3(0x1680)](),'app':_0x4c5af3(0x11c3),'appdata':_0x4c5af3(0x747)+_0x37fdaa[_0x4c5af3(0x22b1)],'context':_0x3c75e8[_0x4c5af3(0xd8e)][_0x4c5af3(0x2056)],'exten':_0x3c75e8['outboundroute'][_0x4c5af3(0x26a2)],'priority':_0x37fdaa[_0x4c5af3(0xb4a)][_0x4c5af3(0xfd0)]+_0x11025d+_0x38cde7++,'VoiceExtensionId':_0x3c75e8[_0x4c5af3(0xd8e)]['id']}));_0x37fdaa[_0x4c5af3(0x2816)]?_0x759524[_0x4c5af3(0x2785)]({'type':_0x37fdaa[_0x4c5af3(0x66a)][_0x4c5af3(0x1680)](),'app':_0x4c5af3(0x1991),'appdata':_0x4c5af3(0xd48)+_0x37fdaa[_0x4c5af3(0x2816)]+')','context':_0x3c75e8[_0x4c5af3(0xd8e)][_0x4c5af3(0x2056)],'exten':_0x3c75e8['outboundroute'][_0x4c5af3(0x26a2)],'priority':_0x37fdaa[_0x4c5af3(0xb4a)]['length']+_0x11025d+_0x38cde7++,'VoiceExtensionId':_0x3c75e8[_0x4c5af3(0xd8e)]['id']}):_0x759524[_0x4c5af3(0x2785)]({'type':_0x37fdaa['type'][_0x4c5af3(0x1680)](),'app':'ExecIf','appdata':_0x4c5af3(0x5c2),'context':_0x3c75e8[_0x4c5af3(0xd8e)][_0x4c5af3(0x2056)],'exten':_0x3c75e8[_0x4c5af3(0xd8e)][_0x4c5af3(0x26a2)],'priority':_0x37fdaa[_0x4c5af3(0xb4a)][_0x4c5af3(0xfd0)]+_0x11025d+_0x38cde7++,'VoiceExtensionId':_0x3c75e8[_0x4c5af3(0xd8e)]['id']});for(let _0x2cd20e=0x0;_0x2cd20e<_0x37fdaa[_0x4c5af3(0xb4a)][_0x4c5af3(0xfd0)];_0x2cd20e++){const _0x49fcc6=_0x11025d+_0x37fdaa[_0x4c5af3(0xb4a)][_0x4c5af3(0xfd0)],_0x9f9fa1=_0x2cd20e===_0x37fdaa[_0x4c5af3(0xb4a)][_0x4c5af3(0xfd0)]-0x1?_0x11025d+_0x759524[_0x4c5af3(0xfd0)]+_0x481479[_0x4c5af3(0xfd0)]+0x2:_0x11025d+_0x2cd20e+0x1;_0x759524[_0x4c5af3(0x159c)](_0x2cd20e,0x0,{'type':_0x4c5af3(0x895),'app':_0x4c5af3(0x1f54),'appdata':_0x37fdaa['intervals'][_0x2cd20e]+'?'+_0x3c75e8[_0x4c5af3(0xd8e)][_0x4c5af3(0x2056)]+_0x4c5af3(0xa5c)+_0x49fcc6+':'+_0x3c75e8[_0x4c5af3(0xd8e)][_0x4c5af3(0x2056)]+',${EXTEN},'+_0x9f9fa1,'exten':_0x3c75e8[_0x4c5af3(0xd8e)]['exten'],'context':_0x3c75e8[_0x4c5af3(0xd8e)]['context'],'priority':_0x11025d+_0x2cd20e,'VoiceExtensionId':_0x3c75e8[_0x4c5af3(0xd8e)]['id']});}if(_0x2e6d5f&&_0x37fdaa[_0x4c5af3(0x299b)]==='outboundDial'){const _0xaab4fe=_0x39641b()[_0x4c5af3(0x13b4)](_0x3c75e8['apps'],{'appType':_0x4c5af3(0x25f4)});if(_0xaab4fe&&_0xaab4fe[_0x4c5af3(0x355)]){const _0xc988fc={},_0x66dcbf=_0x37fdaa[_0x4c5af3(0x28df)][_0x4c5af3(0xbe1)](','),_0x3f18dc=[];for(let _0x57c28b=0x0,_0x1b4307=_0xaab4fe[_0x4c5af3(0x355)][_0x4c5af3(0xfd0)];_0x57c28b<_0x1b4307;_0x57c28b++){if(typeof _0xaab4fe[_0x4c5af3(0x355)][_0x57c28b][_0x4c5af3(0x94b)]!=='undefined')switch(_0xaab4fe[_0x4c5af3(0x355)][_0x57c28b][_0x4c5af3(0x16b6)]){case _0x4c5af3(0x1ae0):_0xc988fc[_0x4c5af3(0x1ae0)]=_0x66dcbf[_0xaab4fe['fields'][_0x57c28b]['param']][_0x4c5af3(0xbe1)]('/')[0x1],_0xc988fc[_0x4c5af3(0x1340)]=_0x66dcbf[_0xaab4fe[_0x4c5af3(0x355)][_0x57c28b]['param']]['split']('/')[0x2],_0xc988fc['prefix']=_0xc988fc[_0x4c5af3(0x1340)]?_0xc988fc[_0x4c5af3(0x1340)][_0x4c5af3(0xbe1)]('$')[0x0]:undefined,_0xc988fc['phone']=_0x3c75e8['outboundroute'][_0x4c5af3(0x325)]?(_0xc988fc[_0x4c5af3(0x586)]||'')+'${EXTEN:'+_0x3c75e8[_0x4c5af3(0xd8e)][_0x4c5af3(0x325)]+'}':(_0xc988fc[_0x4c5af3(0x586)]||'')+_0x4c5af3(0xcdd),_0x3f18dc[_0xaab4fe['fields'][_0x57c28b]['param']]=[_0x4c5af3(0x2832),_0xc988fc['trunk'],_0xc988fc[_0x4c5af3(0x1340)]][_0x4c5af3(0x1f66)]('/');break;case _0x4c5af3(0x2224):_0xc988fc[_0x4c5af3(0x2224)]=_0x66dcbf[_0xaab4fe[_0x4c5af3(0x355)][_0x57c28b][_0x4c5af3(0x94b)]];_0x3c75e8[_0x4c5af3(0xd8e)][_0x4c5af3(0xf8d)]!==_0x4c5af3(0x13b1)?_0xc988fc[_0x4c5af3(0x2224)][_0x4c5af3(0xd8a)](_0x4c5af3(0x106a))<0x0&&(_0xc988fc[_0x4c5af3(0x2224)]+=_0x4c5af3(0x106a)):_0xc988fc[_0x4c5af3(0x2224)]=_0xc988fc[_0x4c5af3(0x2224)][_0x4c5af3(0x288f)](_0x4c5af3(0x106a),'');_0x3f18dc[_0xaab4fe[_0x4c5af3(0x355)][_0x57c28b][_0x4c5af3(0x94b)]]=_0xc988fc[_0x4c5af3(0x2224)];break;default:_0x3f18dc[_0xaab4fe[_0x4c5af3(0x355)][_0x57c28b]['param']]=_0x66dcbf[_0xaab4fe[_0x4c5af3(0x355)][_0x57c28b][_0x4c5af3(0x94b)]];}}_0x37fdaa['appdata']=_0x3f18dc['join'](',');}}_0x37fdaa[_0x4c5af3(0x521)]=_0x759524[_0x4c5af3(0xfd0)]?_0x39641b()['last'](_0x759524)[_0x4c5af3(0x521)]+0x1:_0x11025d,_0x11025d=(_0x481479[_0x4c5af3(0xfd0)]?_0x39641b()[_0x4c5af3(0x1f9e)](_0x481479)[_0x4c5af3(0x521)]:_0x37fdaa[_0x4c5af3(0x521)])+0x1,_0x4ebaca=_0x39641b()[_0x4c5af3(0x298a)](_0x4ebaca,_0x759524,[_0x37fdaa],_0x481479);}_0x4ebaca[_0x4c5af3(0x2785)]({'type':_0x4c5af3(0x895),'app':_0x4c5af3(0x26e1),'exten':_0x3c75e8[_0x4c5af3(0xd8e)][_0x4c5af3(0x26a2)],'context':_0x3c75e8[_0x4c5af3(0xd8e)][_0x4c5af3(0x2056)],'priority':_0x11025d,'VoiceExtensionId':_0x3c75e8[_0x4c5af3(0xd8e)]['id']}),_0x49e9d8[_0x4c5af3(0x1059)][_0x4c5af3(0x2598)]({'id':_0x3c75e8[_0x4c5af3(0xd8e)]['id']},_0x39641b()['sortBy'](_0x4ebaca,'priority'))[_0x4c5af3(0x1d77)]['then'](function(_0x46541d){const _0x3b3ef2=_0x4c5af3;_0x3c75e8[_0x3b3ef2(0x15ff)][_0x3b3ef2(0x2214)]=_0x46541d[_0x3b3ef2(0x2214)];})[_0x4c5af3(0x1c4)](function(_0x2ddf6f){console['error'](_0x2ddf6f);});}function _0x3ebe90(_0x1aff02){_0x3c75e8['outboundrouteApps']=_0x1aff02||{'count':0x0,'rows':[]};}function _0x56407a(){const _0x2a3b27=_0x71ed0f;return _0x49e9d8[_0x2a3b27(0x1ac8)][_0x2a3b27(0xbf7)]({'fields':'id,interval,IntervalId'})[_0x2a3b27(0x1d77)]['then'](function(_0x4742d7){const _0x21280b=_0x2a3b27;_0x3c75e8[_0x21280b(0xb4a)]=_0x4742d7;})[_0x2a3b27(0x1c4)](function(_0x508410){console['error'](_0x508410);});}function _0x1b4c85(){const _0x43d165=_0x71ed0f;_0x3c75e8[_0x43d165(0x2061)]=_0x49e9d8[_0x43d165(0x1059)]['get'](_0x3c75e8[_0x43d165(0xae2)],_0x3ebe90)[_0x43d165(0x1d77)];}function _0x77e355(_0x582ac1){const _0x1c3eec=_0x71ed0f;_0x39641b()['remove'](_0x3c75e8[_0x1c3eec(0x15ff)][_0x1c3eec(0x2214)],{'id':_0x582ac1['id']}),_0xb33daf(),_0x11dd2a[_0x1c3eec(0x829)]({'title':'App\x20deleted!','msg':_0x582ac1[_0x1c3eec(0x22e1)]?_0x582ac1[_0x1c3eec(0x22e1)]+_0x1c3eec(0x3f5):''});}function _0x20f012(_0x2b5a0e){const _0x4e44a7=_0x71ed0f,_0x44922c=_0x59404e['confirm']()['title'](_0x4e44a7(0x2436))['htmlContent'](_0x4e44a7(0x204d)+_0x3c75e8[_0x4e44a7(0x260d)][_0x4e44a7(0xfd0)]+'\x20selected'+_0x4e44a7(0x1b6))[_0x4e44a7(0x15ad)](_0x4e44a7(0x531))[_0x4e44a7(0x728)](_0x2b5a0e)['ok']('OK')[_0x4e44a7(0x696)]('CANCEL');_0x59404e['show'](_0x44922c)[_0x4e44a7(0x1cb0)](function(){const _0x2c7c6e=_0x4e44a7;_0x3c75e8[_0x2c7c6e(0x260d)][_0x2c7c6e(0xf90)](function(_0x2b7ea9){const _0x3aa0ec=_0x2c7c6e;_0x39641b()[_0x3aa0ec(0x152a)](_0x3c75e8['outboundrouteApps'][_0x3aa0ec(0x2214)],{'id':_0x2b7ea9['id']});}),_0x3c75e8[_0x2c7c6e(0x260d)]=[],_0xb33daf();});}_0xf74583[_0x71ed0f(0x1d6)]('$destroy',function(){const _0x1f0fca=_0x71ed0f;_0x2993c1['removeAllListeners'](_0x1f0fca(0x179c));});}const _0x54f418=_0x302c67;;_0x13109e[_0x5537c6(0x15b6)]=['$mdDialog','$q',_0x5537c6(0x9bf),_0x5537c6(0xf8a),_0x5537c6(0xd8e),'api',_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x13109e(_0x132a48,_0x3facab,_0x16664a,_0x3904f2,_0x29d2ed,_0x537693,_0x49c22d,_0x313bf4){const _0x3c84a5=_0x5537c6,_0x8c792e=this;_0x8c792e[_0x3c84a5(0xe76)]=_0x49c22d[_0x3c84a5(0x21e8)](),_0x8c792e[_0x3c84a5(0x1a7c)]=[],_0x8c792e[_0x3c84a5(0x1386)]=_0x3c84a5(0x132b)+(_0x3904f2[_0x3c84a5(0x299b)]||_0x3904f2['app'])[_0x3c84a5(0x2335)](),_0x8c792e[_0x3c84a5(0x197c)]=angular[_0x3c84a5(0x17fe)](_0x3904f2),_0x8c792e[_0x3c84a5(0x1b1a)]=_0x313bf4,_0x8c792e[_0x3c84a5(0xf4c)]={};if(_0x8c792e['custom']['appdata'])switch(_0x8c792e[_0x3c84a5(0x197c)][_0x3c84a5(0x299b)]?_0x8c792e[_0x3c84a5(0x197c)][_0x3c84a5(0x299b)][_0x3c84a5(0x1680)]():_0x8c792e[_0x3c84a5(0x197c)][_0x3c84a5(0x22e1)][_0x3c84a5(0x1680)]()){case _0x3c84a5(0x197c):break;case _0x3c84a5(0x711):{const _0x2327fe=_0x8c792e[_0x3c84a5(0x197c)][_0x3c84a5(0x28df)][_0x3c84a5(0xbe1)](',');_0x8c792e[_0x3c84a5(0x197c)][_0x3c84a5(0xee8)]=_0x2327fe[0x0],_0x8c792e[_0x3c84a5(0x197c)][_0x3c84a5(0x12b4)]=_0x2327fe[0x1],_0x8c792e[_0x3c84a5(0x197c)][_0x3c84a5(0x173a)]=_0x2327fe[_0x3c84a5(0x14cb)](0x2,_0x2327fe['length'])[_0x3c84a5(0x1f66)](',');}break;case _0x3c84a5(0xece):{const _0x4e0774=_0x8c792e['custom'][_0x3c84a5(0x28df)][_0x3c84a5(0xbe1)](',');_0x8c792e[_0x3c84a5(0x197c)][_0x3c84a5(0x2854)]=_0x4e0774[0x0],_0x8c792e[_0x3c84a5(0x197c)]['clientEmail']=_0x4e0774[0x1],_0x8c792e[_0x3c84a5(0x197c)]['privateKey']=_0x4e0774[0x2],_0x8c792e[_0x3c84a5(0x197c)][_0x3c84a5(0x12b4)]=_0x4e0774[0x3],_0x8c792e['custom'][_0x3c84a5(0x173a)]=_0x4e0774[_0x3c84a5(0x14cb)](0x4,_0x4e0774[_0x3c84a5(0xfd0)])['join'](',');}break;case _0x3c84a5(0x123a):{const _0x5ec6b0=_0x8c792e[_0x3c84a5(0x197c)][_0x3c84a5(0x28df)][_0x3c84a5(0xbe1)](',');_0x8c792e[_0x3c84a5(0x197c)]['accesskeyid']=_0x5ec6b0[0x0],_0x8c792e[_0x3c84a5(0x197c)][_0x3c84a5(0x21d9)]=_0x5ec6b0[0x1],_0x8c792e[_0x3c84a5(0x197c)][_0x3c84a5(0xd50)]=_0x5ec6b0[0x2],_0x8c792e['custom']['botname']=_0x5ec6b0[0x3],_0x8c792e[_0x3c84a5(0x197c)][_0x3c84a5(0x173a)]=_0x5ec6b0['slice'](0x4,_0x5ec6b0[_0x3c84a5(0xfd0)])[_0x3c84a5(0x1f66)](',');}break;case'autoreply':{const _0xabe9b9=_0x8c792e[_0x3c84a5(0x197c)][_0x3c84a5(0x28df)][_0x3c84a5(0xbe1)](',');_0x8c792e[_0x3c84a5(0x197c)][_0x3c84a5(0x18a5)]=isNaN(_0xabe9b9[0x0])?_0xabe9b9[0x0]:parseInt(_0xabe9b9[0x0],0xa),_0x8c792e[_0x3c84a5(0x197c)]['text']=_0xabe9b9[_0x3c84a5(0x14cb)](0x1,_0xabe9b9['length'])[_0x3c84a5(0x1f66)](',');}break;case _0x3c84a5(0x155e):_0x8c792e[_0x3c84a5(0x197c)]['text']=_0x8c792e[_0x3c84a5(0x197c)][_0x3c84a5(0x28df)];break;case _0x3c84a5(0x19d1):_0x8c792e['custom']['name']=_0x8c792e['custom'][_0x3c84a5(0x28df)][_0x3c84a5(0xbe1)]('=')[0x0],_0x8c792e[_0x3c84a5(0x197c)]['value']=_0x8c792e[_0x3c84a5(0x197c)][_0x3c84a5(0x28df)]['split']('=')[0x1];break;case _0x3c84a5(0x4b4):_0x8c792e[_0x3c84a5(0x197c)][_0x3c84a5(0x12a7)]=_0x8c792e[_0x3c84a5(0x197c)][_0x3c84a5(0x28df)];break;default:{const _0xe11dc9=_0x8c792e[_0x3c84a5(0x197c)][_0x3c84a5(0x28df)][_0x3c84a5(0xbe1)](',');_0x8c792e['custom'][_0x3c84a5(0x22e1)]=_0x39641b()['isEmpty'](_0xe11dc9[0x0])?_0xe11dc9[0x0]:isNaN(_0xe11dc9[0x0])?_0xe11dc9[0x0]:parseInt(_0xe11dc9[0x0],0xa),_0x8c792e['custom'][_0x3c84a5(0x28df)]=_0x39641b()['isEmpty'](_0xe11dc9[0x1])?_0xe11dc9[0x1]:isNaN(_0xe11dc9[0x1])?_0xe11dc9[0x1]:parseInt(_0xe11dc9[0x1],0xa);}break;}else{}_0x8c792e[_0x3c84a5(0x197c)]['type']&&_0x8c792e['custom']['type'][_0x3c84a5(0x1680)]()===_0x3c84a5(0x895)&&_0x8c792e['custom'][_0x3c84a5(0x299b)][_0x3c84a5(0x1680)]()==='outbounddial'&&(_0x8c792e['custom'][_0x3c84a5(0x586)]=_0x8c792e[_0x3c84a5(0x197c)][_0x3c84a5(0x1340)]?_0x8c792e[_0x3c84a5(0x197c)][_0x3c84a5(0x1340)][_0x3c84a5(0xbe1)]('$')[0x0]:undefined,_0x8c792e[_0x3c84a5(0x197c)][_0x3c84a5(0x1e7c)]=_0x8c792e[_0x3c84a5(0x197c)][_0x3c84a5(0x2816)]?_0x3c84a5(0x25f9)+_0x8c792e[_0x3c84a5(0x197c)][_0x3c84a5(0x2816)]:undefined);_0x8c792e['saveOutboundRouteApp']=_0x48b804,_0x8c792e[_0x3c84a5(0xda0)]=_0x2cc326;function _0x48b804(){const _0x578e9d=_0x3c84a5;_0x8c792e[_0x578e9d(0x1a7c)]=[];const _0x4bf901=[];_0x8c792e[_0x578e9d(0x197c)][_0x578e9d(0x66a)]&&_0x8c792e[_0x578e9d(0x197c)][_0x578e9d(0x66a)][_0x578e9d(0x1680)]()===_0x578e9d(0x895)&&_0x8c792e['custom'][_0x578e9d(0x299b)]===_0x578e9d(0x25f4)&&(_0x8c792e[_0x578e9d(0x197c)][_0x578e9d(0x1340)]=_0x29d2ed['cutdigits']?(_0x8c792e[_0x578e9d(0x197c)][_0x578e9d(0x586)]||'')+_0x578e9d(0x19e4)+_0x29d2ed[_0x578e9d(0x325)]+'}':(_0x8c792e[_0x578e9d(0x197c)][_0x578e9d(0x586)]||'')+_0x578e9d(0xcdd),_0x29d2ed[_0x578e9d(0xf8d)]!==_0x578e9d(0x13b1)?_0x8c792e[_0x578e9d(0x197c)][_0x578e9d(0x2224)][_0x578e9d(0xd8a)](_0x578e9d(0x106a))<0x0&&(_0x8c792e['custom'][_0x578e9d(0x2224)]+=_0x578e9d(0x106a)):_0x8c792e[_0x578e9d(0x197c)]['options']=_0x8c792e[_0x578e9d(0x197c)][_0x578e9d(0x2224)][_0x578e9d(0x288f)](_0x578e9d(0x106a),''));if(_0x8c792e['custom'][_0x578e9d(0x299b)]&&_0x8c792e['custom'][_0x578e9d(0x299b)]===_0x578e9d(0x197c)){}else switch((_0x8c792e[_0x578e9d(0x197c)]['app']||_0x8c792e['custom'][_0x578e9d(0x299b)])[_0x578e9d(0x1680)]()){case _0x578e9d(0x19d1):_0x8c792e['custom'][_0x578e9d(0x28df)]=_0x8c792e[_0x578e9d(0x197c)][_0x578e9d(0x16b6)]+'='+_0x8c792e[_0x578e9d(0x197c)][_0x578e9d(0x327)];break;case'custom':break;default:_0x4bf901[0x0]=_0x8c792e['custom'][_0x578e9d(0x22e1)],_0x4bf901[0x1]=_0x8c792e[_0x578e9d(0x197c)][_0x578e9d(0x28df)],_0x8c792e[_0x578e9d(0x197c)][_0x578e9d(0x28df)]=_0x4bf901[_0x578e9d(0x1f66)](',');}_0x2cc326(_0x8c792e['custom']);}function _0x2cc326(_0x462b10){const _0x55df2c=_0x3c84a5;_0x132a48[_0x55df2c(0x1426)](_0x462b10);}}const _0x2390a1=_0x13109e;;_0x4dc185[_0x5537c6(0x15b6)]=['$state',_0x5537c6(0xcb9),'$mdToast','IndexFactory',_0x5537c6(0x1ac8),_0x5537c6(0xb4a),_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x4dc185(_0x52e177,_0x13e93d,_0xc62d68,_0x1b266c,_0x561cf8,_0x54b02b,_0x39e170,_0x2e809f,_0x47ddc4,_0x436e3d){const _0x509746=_0x5537c6,_0x7ef01c=this;_0x7ef01c['currentUser']=_0x47ddc4[_0x509746(0x21e8)](),_0x7ef01c[_0x509746(0x1a7c)]=[],_0x7ef01c[_0x509746(0x1386)]=_0x509746(0x1571),_0x7ef01c[_0x509746(0x1ac8)]=angular[_0x509746(0x17fe)](_0x561cf8),_0x7ef01c[_0x509746(0xb4a)]=_0x54b02b,_0x7ef01c[_0x509746(0x18c1)]=![],_0x7ef01c['types']=[_0x509746(0x2017),_0x509746(0x197c),_0x509746(0x240)],_0x7ef01c[_0x509746(0x1b1a)]=_0x436e3d,_0x7ef01c[_0x509746(0x1275)]=_0x1b266c[_0x509746(0x1aae)](),_0x7ef01c[_0x509746(0x2055)]=_0x1b266c['getMonthNumber'](),_0x7ef01c[_0x509746(0x1534)]=_0x1b266c[_0x509746(0x7cc)](),_0x7ef01c[_0x509746(0x202d)]=_0x1b266c['getDaysOfMonth']();if(!_0x7ef01c[_0x509746(0x1ac8)])_0x7ef01c[_0x509746(0x1ac8)]={'interval':_0x509746(0x965)},_0x7ef01c[_0x509746(0x66a)]=_0x509746(0x2017),_0x7ef01c[_0x509746(0x1386)]=_0x509746(0x287b),_0x7ef01c[_0x509746(0x18c1)]=!![];else{if(_0x7ef01c['interval'][_0x509746(0x1ac8)]!==_0x509746(0x965)){_0x7ef01c[_0x509746(0x66a)]='custom';const _0x504e1d=_0x7ef01c[_0x509746(0x1ac8)][_0x509746(0x1ac8)][_0x509746(0xbe1)](','),_0x29bd7d=_0x504e1d[0x0],_0x67dd65=_0x504e1d[0x1],_0x2bde89=_0x504e1d[0x2],_0x47951c=_0x504e1d[0x3];if(_0x29bd7d!=='*'){const _0x1d20b4=_0x29bd7d[_0x509746(0xbe1)]('-')[0x0],_0x487faa=_0x29bd7d[_0x509746(0xbe1)]('-')[0x1];let _0x4d3983;_0x4d3983=new Date(),_0x4d3983[_0x509746(0x201b)](Number(_0x1d20b4[_0x509746(0xbe1)](':')[0x0])),_0x4d3983['setMinutes'](Number(_0x1d20b4['split'](':')[0x1])),_0x7ef01c[_0x509746(0x718)]=_0x4d3983,_0x4d3983=new Date(),_0x4d3983[_0x509746(0x201b)](Number(_0x487faa['split'](':')[0x0])),_0x4d3983[_0x509746(0x1622)](Number(_0x487faa[_0x509746(0xbe1)](':')[0x1])),_0x7ef01c['timeRangeTo']=_0x4d3983;}_0x67dd65!=='*'&&(_0x7ef01c['dayOfWeekFrom']=_0x67dd65[_0x509746(0xbe1)]('-')[0x0],_0x7ef01c[_0x509746(0x28db)]=_0x67dd65[_0x509746(0xbe1)]('-')[0x1]),_0x2bde89!=='*'&&(_0x7ef01c['monthDayFrom']=_0x2bde89[_0x509746(0xbe1)]('-')[0x0],_0x7ef01c[_0x509746(0x194d)]=_0x2bde89[_0x509746(0xbe1)]('-')[0x1]),_0x47951c!=='*'&&(_0x7ef01c[_0x509746(0x1756)]=_0x47951c[_0x509746(0xbe1)]('-')[0x0],_0x7ef01c[_0x509746(0xa68)]=_0x47951c['split']('-')[0x1]);}else _0x7ef01c['type']=_0x509746(0x2017);}_0x52e177[_0x509746(0x1dfe)]['id']&&!_0x7ef01c[_0x509746(0x1ac8)][_0x509746(0x171b)]&&(_0x7ef01c[_0x509746(0x1ac8)][_0x509746(0x1a60)]=_0x52e177[_0x509746(0x1dfe)]['id']);_0x7ef01c['interval'][_0x509746(0x1a60)]&&_0x7ef01c[_0x509746(0x1ac8)][_0x509746(0x171b)]&&(_0x7ef01c[_0x509746(0x66a)]=_0x509746(0x240));_0x7ef01c[_0x509746(0x18b3)]=_0x540fd0,_0x7ef01c[_0x509746(0x1891)]=_0x55cb54,_0x7ef01c[_0x509746(0xda0)]=_0x13e570;_0x7ef01c[_0x509746(0x1ac8)][_0x509746(0x171b)]&&(_0x47ddc4[_0x509746(0x22b6)](_0x509746(0x1c60))?_0x2e809f[_0x509746(0x1ac8)][_0x509746(0xbf7)]({'fields':'id,name,interval,IntervalId','IntervalId':_0x509746(0xd38),'nolimit':!![]})[_0x509746(0x1d77)]['then'](function(_0x18b0c2){const _0x4446b0=_0x509746;_0x7ef01c[_0x4446b0(0xb4a)]=_0x18b0c2[_0x4446b0(0x2214)]||[];})[_0x509746(0x1c4)](function(_0x1dda8b){const _0x491a4c=_0x509746;_0x39e170['error']({'title':_0x1dda8b[_0x491a4c(0x291)]?_0x491a4c(0xeb9)+_0x1dda8b[_0x491a4c(0x291)]+_0x491a4c(0x1657)+_0x1dda8b[_0x491a4c(0xc22)]:_0x491a4c(0xdac),'msg':_0x1dda8b[_0x491a4c(0x25c)]?JSON[_0x491a4c(0x2701)](_0x1dda8b[_0x491a4c(0x25c)]):_0x1dda8b['toString']()});}):_0x2e809f['interval'][_0x509746(0xbf7)]({'fields':_0x509746(0x872),'IntervalId':_0x509746(0xd38),'nolimit':!![]})[_0x509746(0x1d77)][_0x509746(0x1cb0)](function(_0x4b7d){const _0x34333d=_0x509746;_0x7ef01c[_0x34333d(0xb4a)]=_0x4b7d[_0x34333d(0x2214)]||[];})['then'](function(){const _0x1bb9dd=_0x509746;return _0x2e809f[_0x1bb9dd(0x2199)][_0x1bb9dd(0xbf7)]({'userProfileId':_0x7ef01c['currentUser'][_0x1bb9dd(0x13c1)],'sectionId':0x3ec})[_0x1bb9dd(0x1d77)];})[_0x509746(0x1cb0)](function(_0x1c0045){const _0x4a821d=_0x509746,_0x31393b=_0x1c0045&&_0x1c0045[_0x4a821d(0x2214)]?_0x1c0045[_0x4a821d(0x2214)][0x0]:null;if(!_0x31393b)_0x7ef01c['intervals']=[];else{if(!_0x31393b[_0x4a821d(0x12f4)])return _0x2e809f[_0x4a821d(0x1198)][_0x4a821d(0xbf7)]({'sectionId':_0x31393b['id']})['$promise']['then'](function(_0x10a255){const _0x22399e=_0x4a821d,_0x455c6b=_0x10a255&&_0x10a255[_0x22399e(0x2214)]?_0x10a255['rows']:[],_0x3ad153=[];let _0x42f071=null;_0x7ef01c[_0x22399e(0x1ac8)]&&(_0x42f071=_0x39641b()[_0x22399e(0x13b4)](_0x7ef01c[_0x22399e(0xb4a)],{'name':_0x7ef01c[_0x22399e(0x1ac8)][_0x22399e(0x1a60)]})),_0x42f071&&!_0x39641b()[_0x22399e(0x727)](_0x455c6b,[_0x22399e(0x2982),_0x42f071['id']])&&_0x7ef01c[_0x22399e(0xb4a)][_0x22399e(0xf90)](function(_0x938e96){const _0x32b284=_0x22399e;_0x938e96['id']===_0x42f071['id']&&(_0x938e96[_0x32b284(0x15da)]=![],_0x3ad153['push'](_0x938e96));}),_0x7ef01c[_0x22399e(0xb4a)]=_0x3ad153;});}})['catch'](function(_0x33319f){const _0x4de0e0=_0x509746;_0x39e170[_0x4de0e0(0x218e)]({'title':_0x33319f[_0x4de0e0(0x291)]?_0x4de0e0(0xeb9)+_0x33319f[_0x4de0e0(0x291)]+_0x4de0e0(0x1657)+_0x33319f['statusText']:_0x4de0e0(0xdac),'msg':_0x33319f[_0x4de0e0(0x25c)]?JSON[_0x4de0e0(0x2701)](_0x33319f[_0x4de0e0(0x25c)]):_0x33319f[_0x4de0e0(0x147f)]()});}));function _0x1f36b6(){const _0x394bd6=_0x509746;switch(_0x7ef01c['type']){case _0x394bd6(0x2017):case'list':return _0x394bd6(0x965);case _0x394bd6(0x197c):{const _0x5b8400=[];if(_0x7ef01c[_0x394bd6(0x718)]!=='*'&&_0x7ef01c['timeRangeFrom']&&_0x7ef01c[_0x394bd6(0x64a)]){const _0x4e9b16=(_0x7ef01c[_0x394bd6(0x718)][_0x394bd6(0x1169)]()<0xa?'0':'')+_0x7ef01c['timeRangeFrom'][_0x394bd6(0x1169)]()+':'+((_0x7ef01c['timeRangeFrom']['getMinutes']()<0xa?'0':'')+_0x7ef01c[_0x394bd6(0x718)]['getMinutes']()),_0x3dc4a5=(_0x7ef01c[_0x394bd6(0x64a)][_0x394bd6(0x1169)]()<0xa?'0':'')+_0x7ef01c[_0x394bd6(0x64a)]['getHours']()+':'+((_0x7ef01c[_0x394bd6(0x64a)][_0x394bd6(0x851)]()<0xa?'0':'')+_0x7ef01c[_0x394bd6(0x64a)]['getMinutes']());_0x5b8400[_0x394bd6(0x2785)](_0x4e9b16+'-'+_0x3dc4a5);}else _0x5b8400[_0x394bd6(0x2785)]('*');return _0x7ef01c[_0x394bd6(0x28a6)]?_0x7ef01c[_0x394bd6(0x28db)]?_0x5b8400[_0x394bd6(0x2785)](_0x7ef01c['dayOfWeekFrom']+'-'+_0x7ef01c[_0x394bd6(0x28db)]):_0x5b8400[_0x394bd6(0x2785)](_0x7ef01c[_0x394bd6(0x28a6)]):_0x5b8400['push']('*'),_0x7ef01c['monthDayFrom']?_0x7ef01c['monthDayTo']?_0x5b8400[_0x394bd6(0x2785)](_0x7ef01c[_0x394bd6(0xd6f)]+'-'+_0x7ef01c[_0x394bd6(0x194d)]):_0x5b8400['push'](_0x7ef01c[_0x394bd6(0xd6f)]):_0x5b8400['push']('*'),_0x7ef01c[_0x394bd6(0x1756)]?_0x7ef01c['monthTo']?_0x5b8400[_0x394bd6(0x2785)](_0x7ef01c[_0x394bd6(0x1756)]+'-'+_0x7ef01c[_0x394bd6(0xa68)]):_0x5b8400[_0x394bd6(0x2785)](_0x7ef01c[_0x394bd6(0x1756)]):_0x5b8400[_0x394bd6(0x2785)]('*'),_0x5b8400['join']();}}}function _0x540fd0(){const _0x58c48c=_0x509746;_0x7ef01c[_0x58c48c(0x1a7c)]=[],_0x7ef01c[_0x58c48c(0x1ac8)][_0x58c48c(0x1ac8)]=_0x1f36b6(),_0x2e809f[_0x58c48c(0x1ac8)][_0x58c48c(0x1c3f)](_0x7ef01c[_0x58c48c(0x1ac8)])[_0x58c48c(0x1d77)][_0x58c48c(0x1cb0)](function(_0x5aca18){const _0x46d965=_0x58c48c;_0x7ef01c[_0x46d965(0xb4a)][_0x46d965(0x2785)](_0x5aca18),_0x39e170[_0x46d965(0x829)]({'title':'Interval\x20properly\x20created','msg':_0x7ef01c[_0x46d965(0x1ac8)]['name']?_0x7ef01c[_0x46d965(0x1ac8)]['name']+_0x46d965(0x470):''}),_0x13e570();})[_0x58c48c(0x1c4)](function(_0x4a6163){const _0x54c5e9=_0x58c48c;console[_0x54c5e9(0x218e)](_0x4a6163),_0x7ef01c[_0x54c5e9(0x1a7c)]=_0x4a6163['data'][_0x54c5e9(0x1a7c)]||[{'message':_0x4a6163[_0x54c5e9(0x147f)](),'type':_0x54c5e9(0x24f7)}];});}function _0x55cb54(){const _0x2e9409=_0x509746;_0x7ef01c['errors']=[],_0x7ef01c[_0x2e9409(0x1ac8)][_0x2e9409(0x1ac8)]=_0x1f36b6(),_0x7ef01c[_0x2e9409(0x1ac8)][_0x2e9409(0x171b)]?(_0x7ef01c[_0x2e9409(0x66a)]!==_0x2e9409(0x240)&&(_0x7ef01c['interval'][_0x2e9409(0x1a60)]=null),_0x13e570(_0x7ef01c[_0x2e9409(0x1ac8)])):_0x2e809f[_0x2e9409(0x1ac8)][_0x2e9409(0x687)]({'id':_0x7ef01c[_0x2e9409(0x1ac8)]['id']},_0x7ef01c['interval'])[_0x2e9409(0x1d77)]['then'](function(_0x137df5){const _0x1bb851=_0x2e9409,_0x10dd64=_0x39641b()[_0x1bb851(0x13b4)](_0x7ef01c[_0x1bb851(0xb4a)],{'id':_0x137df5['id']});_0x10dd64&&_0x39641b()[_0x1bb851(0x9c1)](_0x10dd64,_0x137df5),_0x39e170[_0x1bb851(0x829)]({'title':_0x1bb851(0x1498),'msg':_0x1bb851(0x2994)}),_0x13e570();})[_0x2e9409(0x1c4)](function(_0x444b4d){const _0x3733dc=_0x2e9409;console[_0x3733dc(0x218e)](_0x444b4d),_0x7ef01c[_0x3733dc(0x1a7c)]=_0x444b4d[_0x3733dc(0x25c)][_0x3733dc(0x1a7c)]||[{'message':_0x444b4d[_0x3733dc(0x147f)](),'type':_0x3733dc(0x2505)}];});}function _0x13e570(_0xb53ee5){const _0x577ea4=_0x509746;_0x13e93d[_0x577ea4(0x1426)](_0xb53ee5);}}const _0x3bd9a1=_0x4dc185;;_0x4da74b[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q','toasty',_0x5537c6(0xf8a),_0x5537c6(0xd8e),_0x5537c6(0x142b),'Auth','crudPermissions'];function _0x4da74b(_0x5989bb,_0x25c66a,_0x24ee0a,_0x2c88b1,_0x27f050,_0xdb21d4,_0x214667,_0xd1516f){const _0x161e3c=_0x5537c6,_0x53b520=this;_0x53b520['currentUser']=_0x214667['getCurrentUser'](),_0x53b520[_0x161e3c(0x1a7c)]=[],_0x53b520['title']=_0x161e3c(0x132b)+(_0x2c88b1[_0x161e3c(0x299b)]||_0x2c88b1['app'])['toUpperCase'](),_0x53b520[_0x161e3c(0x20ff)]=angular['copy'](_0x2c88b1),_0x53b520[_0x161e3c(0x1b1a)]=_0xd1516f,_0x53b520[_0x161e3c(0xf4c)]={};if(_0x53b520['outbounddial']['appdata'])switch(_0x53b520['outbounddial'][_0x161e3c(0x299b)]?_0x53b520[_0x161e3c(0x20ff)][_0x161e3c(0x299b)][_0x161e3c(0x1680)]():_0x53b520['outbounddial'][_0x161e3c(0x22e1)][_0x161e3c(0x1680)]()){case _0x161e3c(0x197c):break;case _0x161e3c(0x711):{const _0x44693b=_0x53b520[_0x161e3c(0x20ff)][_0x161e3c(0x28df)][_0x161e3c(0xbe1)](',');_0x53b520[_0x161e3c(0x20ff)][_0x161e3c(0xee8)]=_0x44693b[0x0],_0x53b520['outbounddial'][_0x161e3c(0x12b4)]=_0x44693b[0x1],_0x53b520['outbounddial'][_0x161e3c(0x173a)]=_0x44693b[_0x161e3c(0x14cb)](0x2,_0x44693b[_0x161e3c(0xfd0)])[_0x161e3c(0x1f66)](',');}break;case _0x161e3c(0xece):{const _0x2c3682=_0x53b520[_0x161e3c(0x20ff)]['appdata'][_0x161e3c(0xbe1)](',');_0x53b520[_0x161e3c(0x20ff)][_0x161e3c(0x2854)]=_0x2c3682[0x0],_0x53b520[_0x161e3c(0x20ff)]['clientEmail']=_0x2c3682[0x1],_0x53b520[_0x161e3c(0x20ff)][_0x161e3c(0x29d6)]=_0x2c3682[0x2],_0x53b520[_0x161e3c(0x20ff)]['language']=_0x2c3682[0x3],_0x53b520[_0x161e3c(0x20ff)][_0x161e3c(0x173a)]=_0x2c3682[_0x161e3c(0x14cb)](0x4,_0x2c3682['length'])['join'](',');}break;case'amazonlex':{const _0x30bf6c=_0x53b520[_0x161e3c(0x20ff)][_0x161e3c(0x28df)][_0x161e3c(0xbe1)](',');_0x53b520[_0x161e3c(0x20ff)][_0x161e3c(0x413)]=_0x30bf6c[0x0],_0x53b520[_0x161e3c(0x20ff)]['secretaccesskey']=_0x30bf6c[0x1],_0x53b520[_0x161e3c(0x20ff)][_0x161e3c(0xd50)]=_0x30bf6c[0x2],_0x53b520['outbounddial'][_0x161e3c(0x1c7f)]=_0x30bf6c[0x3],_0x53b520[_0x161e3c(0x20ff)][_0x161e3c(0x173a)]=_0x30bf6c[_0x161e3c(0x14cb)](0x4,_0x30bf6c[_0x161e3c(0xfd0)])[_0x161e3c(0x1f66)](',');}break;case _0x161e3c(0x1f71):{const _0x19b028=_0x53b520[_0x161e3c(0x20ff)][_0x161e3c(0x28df)]['split'](',');_0x53b520[_0x161e3c(0x20ff)][_0x161e3c(0x18a5)]=isNaN(_0x19b028[0x0])?_0x19b028[0x0]:parseInt(_0x19b028[0x0],0xa),_0x53b520[_0x161e3c(0x20ff)][_0x161e3c(0x19d3)]=_0x19b028['slice'](0x1,_0x19b028['length'])[_0x161e3c(0x1f66)](',');}break;case _0x161e3c(0x155e):_0x53b520['outbounddial'][_0x161e3c(0x19d3)]=_0x53b520[_0x161e3c(0x20ff)][_0x161e3c(0x28df)];break;case _0x161e3c(0x19d1):_0x53b520[_0x161e3c(0x20ff)][_0x161e3c(0x16b6)]=_0x53b520[_0x161e3c(0x20ff)][_0x161e3c(0x28df)][_0x161e3c(0xbe1)]('=')[0x0],_0x53b520[_0x161e3c(0x20ff)][_0x161e3c(0x327)]=_0x53b520[_0x161e3c(0x20ff)]['appdata']['split']('=')[0x1];break;case _0x161e3c(0x4b4):_0x53b520['outbounddial'][_0x161e3c(0x12a7)]=_0x53b520[_0x161e3c(0x20ff)]['appdata'];break;default:{const _0x41c6d5=_0x53b520[_0x161e3c(0x20ff)][_0x161e3c(0x28df)][_0x161e3c(0xbe1)](',');_0x53b520[_0x161e3c(0x20ff)][_0x161e3c(0x1ae0)]=_0x41c6d5[0x0][_0x161e3c(0xbe1)]('/')[0x1],_0x53b520['outbounddial'][_0x161e3c(0x1340)]=_0x41c6d5[0x0][_0x161e3c(0xbe1)]('/')[0x2],_0x53b520['outbounddial'][_0x161e3c(0x1719)]=_0x39641b()[_0x161e3c(0xce9)](_0x41c6d5[0x1])?_0x41c6d5[0x1]:isNaN(_0x41c6d5[0x1])?_0x41c6d5[0x1]:parseInt(_0x41c6d5[0x1],0xa),_0x53b520[_0x161e3c(0x20ff)][_0x161e3c(0x2224)]=_0x39641b()[_0x161e3c(0xce9)](_0x41c6d5[0x2])?_0x41c6d5[0x2]:isNaN(_0x41c6d5[0x2])?_0x41c6d5[0x2]:parseInt(_0x41c6d5[0x2],0xa),_0x53b520[_0x161e3c(0x20ff)][_0x161e3c(0x135b)]=_0x39641b()[_0x161e3c(0xce9)](_0x41c6d5[0x3])?_0x41c6d5[0x3]:isNaN(_0x41c6d5[0x3])?_0x41c6d5[0x3]:parseInt(_0x41c6d5[0x3],0xa);}break;}else{}_0x53b520[_0x161e3c(0x20ff)]['type']&&_0x53b520['outbounddial'][_0x161e3c(0x66a)][_0x161e3c(0x1680)]()===_0x161e3c(0x895)&&_0x53b520[_0x161e3c(0x20ff)][_0x161e3c(0x299b)][_0x161e3c(0x1680)]()===_0x161e3c(0x20ff)&&(_0x53b520[_0x161e3c(0x20ff)]['prefix']=_0x53b520['outbounddial']['phone']?_0x53b520[_0x161e3c(0x20ff)]['phone'][_0x161e3c(0xbe1)]('$')[0x0]:undefined,_0x53b520[_0x161e3c(0x20ff)]['callerId']=_0x53b520[_0x161e3c(0x20ff)][_0x161e3c(0x2816)]?_0x161e3c(0x25f9)+_0x53b520[_0x161e3c(0x20ff)][_0x161e3c(0x2816)]:undefined);_0x53b520['saveOutboundRouteApp']=_0x1c1beb,_0x53b520['closeDialog']=_0x4efae8,_0x214667['hasRole'](_0x161e3c(0x1c60))?_0xdb21d4[_0x161e3c(0x1ae0)][_0x161e3c(0xbf7)]({'fields':_0x161e3c(0x43c),'sort':_0x161e3c(0x16b6),'nolimit':_0x161e3c(0x44d)})[_0x161e3c(0x1d77)][_0x161e3c(0x1cb0)](function(_0x1f9d53){const _0x25c6b5=_0x161e3c;_0x53b520['trunks']=_0x1f9d53[_0x25c6b5(0x2214)]||[];})[_0x161e3c(0x1c4)](function(_0x5d880d){const _0x3a60db=_0x161e3c;_0x24ee0a[_0x3a60db(0x218e)]({'title':_0x5d880d[_0x3a60db(0x291)]?_0x3a60db(0xeb9)+_0x5d880d[_0x3a60db(0x291)]+_0x3a60db(0x1657)+_0x5d880d['statusText']:'SYSTEM:GET_TRUNKS','msg':_0x5d880d[_0x3a60db(0x25c)]?JSON[_0x3a60db(0x2701)](_0x5d880d[_0x3a60db(0x25c)]):_0x5d880d[_0x3a60db(0x147f)]()});}):_0xdb21d4[_0x161e3c(0x1ae0)][_0x161e3c(0xbf7)]({'fields':_0x161e3c(0x43c),'sort':'name','nolimit':_0x161e3c(0x44d)})['$promise']['then'](function(_0x4f9508){const _0x509d50=_0x161e3c;_0x53b520['trunks']=_0x4f9508[_0x509d50(0x2214)]||[];})['then'](function(){const _0x331b93=_0x161e3c;return _0xdb21d4['userProfileSection'][_0x331b93(0xbf7)]({'userProfileId':_0x53b520['currentUser'][_0x331b93(0x13c1)],'sectionId':0x3f3})[_0x331b93(0x1d77)];})[_0x161e3c(0x1cb0)](function(_0x4d7748){const _0x5b5710=_0x161e3c,_0x48fb25=_0x4d7748&&_0x4d7748[_0x5b5710(0x2214)]?_0x4d7748[_0x5b5710(0x2214)][0x0]:null;if(!_0x48fb25){const _0x35a296=[];let _0x416822=null;_0x53b520[_0x5b5710(0x20ff)]&&(_0x416822=_0x39641b()['find'](_0x53b520['trunks'],{'name':_0x53b520[_0x5b5710(0x20ff)][_0x5b5710(0x1ae0)]}));for(let _0x159d6f=0x0;_0x159d6f<_0x53b520[_0x5b5710(0x1363)][_0x5b5710(0xfd0)];_0x159d6f++){_0x416822&&_0x53b520[_0x5b5710(0x1363)][_0x159d6f]['id']===_0x416822['id']&&(_0x53b520[_0x5b5710(0x1363)][_0x159d6f][_0x5b5710(0x15da)]=![],_0x35a296[_0x5b5710(0x2785)](_0x53b520[_0x5b5710(0x1363)][_0x159d6f]));}_0x53b520[_0x5b5710(0x1363)]=_0x35a296;}else{if(!_0x48fb25['autoAssociation'])return _0xdb21d4['userProfileResource'][_0x5b5710(0xbf7)]({'sectionId':_0x48fb25['id']})[_0x5b5710(0x1d77)][_0x5b5710(0x1cb0)](function(_0x44ff01){const _0x532269=_0x5b5710,_0x148d70=_0x39641b()[_0x532269(0x1de2)](_0x44ff01[_0x532269(0x2214)],function(_0x6cce92){const _0xb58fa5=_0x532269;return _0x39641b()[_0xb58fa5(0x13b4)](_0x53b520[_0xb58fa5(0x1363)],{'id':_0x6cce92['resourceId']});});let _0x1d531f=null;_0x53b520[_0x532269(0x20ff)]&&(_0x1d531f=_0x39641b()['find'](_0x53b520[_0x532269(0x1363)],{'name':_0x53b520[_0x532269(0x20ff)][_0x532269(0x1ae0)]}));if(_0x1d531f&&!_0x39641b()[_0x532269(0x727)](_0x148d70,['id',_0x1d531f['id']])){const _0x1b6de8=_0x39641b()[_0x532269(0x13b4)](_0x53b520[_0x532269(0x1363)],{'id':_0x1d531f['id']});_0x1b6de8[_0x532269(0x15da)]=![],_0x148d70[_0x532269(0x2785)](_0x1b6de8);}_0x53b520['trunks']=_0x148d70;});}})['catch'](function(_0x580715){const _0x2e9dc2=_0x161e3c;_0x24ee0a[_0x2e9dc2(0x218e)]({'title':_0x580715[_0x2e9dc2(0x291)]?_0x2e9dc2(0xeb9)+_0x580715[_0x2e9dc2(0x291)]+'\x20-\x20'+_0x580715[_0x2e9dc2(0xc22)]:_0x2e9dc2(0x2040),'msg':_0x580715[_0x2e9dc2(0x25c)]?JSON[_0x2e9dc2(0x2701)](_0x580715[_0x2e9dc2(0x25c)]):_0x580715['toString']()});}),_0x214667[_0x161e3c(0x22b6)](_0x161e3c(0x1c60))?_0xdb21d4[_0x161e3c(0x22b1)]['get']({'fields':_0x161e3c(0x43c),'sort':_0x161e3c(0x16b6),'nolimit':_0x161e3c(0x44d)})['$promise'][_0x161e3c(0x1cb0)](function(_0x3892d6){const _0xb33d14=_0x161e3c;_0x53b520[_0xb33d14(0xfbf)]=_0x3892d6[_0xb33d14(0x2214)]||[];})[_0x161e3c(0x1c4)](function(_0xf963af){const _0x41cd38=_0x161e3c;_0x24ee0a['error']({'title':_0xf963af[_0x41cd38(0x291)]?_0x41cd38(0xeb9)+_0xf963af[_0x41cd38(0x291)]+_0x41cd38(0x1657)+_0xf963af[_0x41cd38(0xc22)]:_0x41cd38(0x12f9),'msg':_0xf963af[_0x41cd38(0x25c)]?JSON[_0x41cd38(0x2701)](_0xf963af[_0x41cd38(0x25c)]):_0xf963af[_0x41cd38(0x147f)]()});}):_0xdb21d4[_0x161e3c(0x22b1)]['get']({'fields':_0x161e3c(0x43c),'sort':_0x161e3c(0x16b6),'nolimit':_0x161e3c(0x44d)})['$promise'][_0x161e3c(0x1cb0)](function(_0x6bd6c6){const _0x2050ac=_0x161e3c;_0x53b520[_0x2050ac(0xfbf)]=_0x6bd6c6[_0x2050ac(0x2214)]||[];})[_0x161e3c(0x1cb0)](function(){const _0x425a7e=_0x161e3c;return _0xdb21d4[_0x425a7e(0x2199)][_0x425a7e(0xbf7)]({'userProfileId':_0x53b520[_0x425a7e(0xe76)]['userProfileId'],'sectionId':0x3f0})[_0x425a7e(0x1d77)];})[_0x161e3c(0x1cb0)](function(_0x243ade){const _0x292b99=_0x161e3c,_0x6adc84=_0x243ade&&_0x243ade[_0x292b99(0x2214)]?_0x243ade[_0x292b99(0x2214)][0x0]:null;if(!_0x6adc84){const _0x560f0e=[];let _0x5350ea=null;_0x53b520[_0x292b99(0x20ff)]&&(_0x5350ea=_0x39641b()[_0x292b99(0x13b4)](_0x53b520[_0x292b99(0xfbf)],{'name':_0x53b520[_0x292b99(0x20ff)][_0x292b99(0x22b1)]}));for(let _0x4fd4fd=0x0;_0x4fd4fd<_0x53b520['tags']['length'];_0x4fd4fd++){_0x5350ea&&_0x53b520['tags'][_0x4fd4fd]['id']===_0x5350ea['id']&&(_0x53b520['tags'][_0x4fd4fd][_0x292b99(0x15da)]=![],_0x560f0e[_0x292b99(0x2785)](_0x53b520[_0x292b99(0xfbf)][_0x4fd4fd]));}_0x53b520[_0x292b99(0xfbf)]=_0x560f0e;}else{if(!_0x6adc84[_0x292b99(0x12f4)])return _0xdb21d4[_0x292b99(0x1198)][_0x292b99(0xbf7)]({'sectionId':_0x6adc84['id']})[_0x292b99(0x1d77)][_0x292b99(0x1cb0)](function(_0x5e55a0){const _0x3ad506=_0x292b99,_0x3adc92=_0x39641b()[_0x3ad506(0x1de2)](_0x5e55a0[_0x3ad506(0x2214)],function(_0xc7f7c5){const _0x21086e=_0x3ad506;return _0x39641b()['find'](_0x53b520[_0x21086e(0xfbf)],{'id':_0xc7f7c5[_0x21086e(0x2982)]});});let _0x5435bc=null;_0x53b520[_0x3ad506(0x20ff)]&&(_0x5435bc=_0x39641b()[_0x3ad506(0x13b4)](_0x53b520[_0x3ad506(0xfbf)],{'name':_0x53b520[_0x3ad506(0x20ff)][_0x3ad506(0x22b1)]}));if(_0x5435bc&&!_0x39641b()[_0x3ad506(0x727)](_0x3adc92,['id',_0x5435bc['id']])){const _0x784d99=_0x39641b()['find'](_0x53b520[_0x3ad506(0xfbf)],{'id':_0x5435bc['id']});_0x784d99[_0x3ad506(0x15da)]=![],_0x3adc92[_0x3ad506(0x2785)](_0x784d99);}_0x53b520[_0x3ad506(0xfbf)]=_0x3adc92;});}})[_0x161e3c(0x1c4)](function(_0x1d0bc4){const _0x2b3afb=_0x161e3c;_0x24ee0a[_0x2b3afb(0x218e)]({'title':_0x1d0bc4[_0x2b3afb(0x291)]?_0x2b3afb(0xeb9)+_0x1d0bc4[_0x2b3afb(0x291)]+_0x2b3afb(0x1657)+_0x1d0bc4['statusText']:_0x2b3afb(0x808),'msg':_0x1d0bc4[_0x2b3afb(0x25c)]?JSON[_0x2b3afb(0x2701)](_0x1d0bc4['data']):_0x1d0bc4['toString']()});});function _0x1c1beb(){const _0x4fb2dd=_0x161e3c;_0x53b520[_0x4fb2dd(0x1a7c)]=[];const _0x1f31cd=[];_0x53b520[_0x4fb2dd(0x20ff)]['type']&&_0x53b520[_0x4fb2dd(0x20ff)][_0x4fb2dd(0x66a)][_0x4fb2dd(0x1680)]()===_0x4fb2dd(0x895)&&_0x53b520[_0x4fb2dd(0x20ff)]['appType']===_0x4fb2dd(0x25f4)&&(_0x53b520[_0x4fb2dd(0x20ff)][_0x4fb2dd(0x1340)]=_0x27f050[_0x4fb2dd(0x325)]?(_0x53b520[_0x4fb2dd(0x20ff)][_0x4fb2dd(0x586)]||'')+_0x4fb2dd(0x19e4)+_0x27f050['cutdigits']+'}':(_0x53b520[_0x4fb2dd(0x20ff)]['prefix']||'')+_0x4fb2dd(0xcdd),_0x27f050['recordingFormat']!==_0x4fb2dd(0x13b1)?_0x53b520['outbounddial']['options']['indexOf'](_0x4fb2dd(0x106a))<0x0&&(_0x53b520[_0x4fb2dd(0x20ff)][_0x4fb2dd(0x2224)]+=_0x4fb2dd(0x106a)):_0x53b520[_0x4fb2dd(0x20ff)][_0x4fb2dd(0x2224)]=_0x53b520[_0x4fb2dd(0x20ff)][_0x4fb2dd(0x2224)][_0x4fb2dd(0x288f)]('U(xcally-mixmonitor-context)',''));if(_0x53b520['outbounddial'][_0x4fb2dd(0x299b)]&&_0x53b520[_0x4fb2dd(0x20ff)][_0x4fb2dd(0x299b)]==='custom'){}else switch((_0x53b520[_0x4fb2dd(0x20ff)][_0x4fb2dd(0x22e1)]||_0x53b520['outbounddial'][_0x4fb2dd(0x299b)])[_0x4fb2dd(0x1680)]()){case _0x4fb2dd(0x19d1):_0x53b520['outbounddial'][_0x4fb2dd(0x28df)]=_0x53b520[_0x4fb2dd(0x20ff)][_0x4fb2dd(0x16b6)]+'='+_0x53b520[_0x4fb2dd(0x20ff)]['value'];break;case _0x4fb2dd(0x197c):break;default:_0x1f31cd[0x0]=[_0x4fb2dd(0x2832),_0x53b520[_0x4fb2dd(0x20ff)]['trunk'],_0x53b520[_0x4fb2dd(0x20ff)][_0x4fb2dd(0x1340)]][_0x4fb2dd(0x1f66)]('/'),_0x1f31cd[0x1]=_0x53b520['outbounddial'][_0x4fb2dd(0x1719)],_0x1f31cd[0x2]=_0x53b520[_0x4fb2dd(0x20ff)][_0x4fb2dd(0x2224)],_0x1f31cd[0x3]=_0x53b520[_0x4fb2dd(0x20ff)][_0x4fb2dd(0x135b)],_0x53b520[_0x4fb2dd(0x20ff)][_0x4fb2dd(0x28df)]=_0x1f31cd[_0x4fb2dd(0x1f66)](',');}_0x4efae8(_0x53b520['outbounddial']);}function _0x4efae8(_0x752999){const _0x25a351=_0x161e3c;_0x5989bb[_0x25a351(0x1426)](_0x752999);}}const _0x3d6e4b=_0x4da74b;;_0x59d478[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$document','$translate',_0x5537c6(0x8a5),_0x5537c6(0x9ca),'api',_0x5537c6(0x9bf),_0x5537c6(0x1774),'outboundroute',_0x5537c6(0x2199)];function _0x59d478(_0x3bdd42,_0x101e86,_0x450024,_0x5070ad,_0x2976f3,_0x24ae48,_0x2f717c,_0x1c216b,_0x5d1da6,_0x4aa111,_0x3e205d,_0x3ae071){const _0x92af6c=_0x5537c6,_0x485423=this;_0x485423[_0x92af6c(0xe76)]=_0x4aa111['getCurrentUser'](),_0x485423[_0x92af6c(0x8a5)]=_0x24ae48,_0x485423[_0x92af6c(0x9ca)]=_0x2f717c,_0x485423['passwordPattern']=_0x485423['setting'][_0x92af6c(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x485423['location']=_0x101e86[_0x92af6c(0x2276)]()+'://'+_0x101e86[_0x92af6c(0x17d8)](),_0x485423['outboundroute']=_0x3e205d||_0x3bdd42[_0x92af6c(0x1dfe)][_0x92af6c(0xd8e)]||{},_0x485423[_0x92af6c(0x2199)]=_0x3ae071&&_0x3ae071['count']==0x1?_0x3ae071[_0x92af6c(0x2214)][0x0]:null,_0x485423[_0x92af6c(0x1b1a)]=_0x4aa111[_0x92af6c(0x14ea)](_0x485423[_0x92af6c(0x2199)]?_0x485423[_0x92af6c(0x2199)][_0x92af6c(0x1b1a)]:null),_0x485423[_0x92af6c(0xf4c)]={},_0x485423[_0x92af6c(0x8ec)]=_0x3bdd42[_0x92af6c(0x1dfe)][_0x92af6c(0x291e)]||0x0,_0x485423['alert']=_0x5d1da6['info'],_0x485423['gotoOutboundRoutes']=_0x4ed5a6,_0x485423['saveOutboundRoute']=_0x1b099e,_0x4aa111['hasRole'](_0x92af6c(0x1c60))?_0x1c216b[_0x92af6c(0x1da5)][_0x92af6c(0xbf7)]({'fields':_0x92af6c(0x43c),'sort':_0x92af6c(0x16b6),'nolimit':'true'})[_0x92af6c(0x1d77)][_0x92af6c(0x1cb0)](function(_0x17210f){const _0x5e0cd8=_0x92af6c;_0x485423[_0x5e0cd8(0x14f6)]=_0x17210f[_0x5e0cd8(0x2214)]||[];})[_0x92af6c(0x1c4)](function(_0x586e25){const _0x2d3340=_0x92af6c;_0x5d1da6[_0x2d3340(0x218e)]({'title':_0x586e25['status']?'API:'+_0x586e25[_0x2d3340(0x291)]+'\x20-\x20'+_0x586e25[_0x2d3340(0xc22)]:'SYSTEM:GET_CONTEXTS','msg':_0x586e25['data']?JSON[_0x2d3340(0x2701)](_0x586e25[_0x2d3340(0x25c)]):_0x586e25[_0x2d3340(0x147f)]()});}):_0x1c216b[_0x92af6c(0x1da5)][_0x92af6c(0xbf7)]({'fields':_0x92af6c(0x43c),'sort':_0x92af6c(0x16b6),'nolimit':_0x92af6c(0x44d)})['$promise'][_0x92af6c(0x1cb0)](function(_0x3a7af1){const _0x42b021=_0x92af6c;_0x485423[_0x42b021(0x14f6)]=_0x3a7af1[_0x42b021(0x2214)]||[];})[_0x92af6c(0x1cb0)](function(){const _0x5a4e43=_0x92af6c;return _0x1c216b[_0x5a4e43(0x2199)][_0x5a4e43(0xbf7)]({'userProfileId':_0x485423[_0x5a4e43(0xe76)][_0x5a4e43(0x13c1)],'sectionId':0x195})[_0x5a4e43(0x1d77)];})['then'](function(_0x43951d){const _0x37c377=_0x92af6c,_0x210134=_0x43951d&&_0x43951d['rows']?_0x43951d[_0x37c377(0x2214)][0x0]:null;if(!_0x210134)return _0x1c216b[_0x37c377(0x1da5)]['get']({'fields':_0x37c377(0x43c),'sort':_0x37c377(0x16b6),'nolimit':_0x37c377(0x44d),'defaultEntry':0x1})['$promise'][_0x37c377(0x1cb0)](function(_0x2ffa69){const _0x263030=_0x37c377;_0x485423[_0x263030(0x14f6)]=_0x2ffa69[_0x263030(0x2214)]||[];});else{if(!_0x210134['autoAssociation'])return _0x1c216b['userProfileResource'][_0x37c377(0xbf7)]({'sectionId':_0x210134['id']})[_0x37c377(0x1d77)]['then'](function(_0x53d0e1){const _0x9b1de1=_0x37c377,_0x6524a5=_0x39641b()[_0x9b1de1(0x1de2)](_0x53d0e1['rows'],function(_0x19e342){const _0x3f79df=_0x9b1de1;return _0x39641b()[_0x3f79df(0x13b4)](_0x485423[_0x3f79df(0x14f6)],{'id':_0x19e342[_0x3f79df(0x2982)]});});let _0x10a7fa=null;_0x485423['outboundroute']&&(_0x10a7fa=_0x39641b()[_0x9b1de1(0x13b4)](_0x485423[_0x9b1de1(0x14f6)],{'name':_0x485423[_0x9b1de1(0xd8e)][_0x9b1de1(0x2056)]}));if(_0x10a7fa&&!_0x39641b()['some'](_0x6524a5,['id',_0x10a7fa['id']])){const _0x339580=_0x39641b()[_0x9b1de1(0x13b4)](_0x485423[_0x9b1de1(0x14f6)],{'id':_0x10a7fa['id']});_0x339580['canSelect']=![],_0x6524a5['push'](_0x339580);}_0x485423[_0x9b1de1(0x14f6)]=_0x6524a5;});}})[_0x92af6c(0x1c4)](function(_0x286d27){const _0xb911e6=_0x92af6c;_0x5d1da6[_0xb911e6(0x218e)]({'title':_0x286d27[_0xb911e6(0x291)]?_0xb911e6(0xeb9)+_0x286d27[_0xb911e6(0x291)]+_0xb911e6(0x1657)+_0x286d27['statusText']:_0xb911e6(0x5ac),'msg':_0x286d27[_0xb911e6(0x25c)]?JSON['stringify'](_0x286d27[_0xb911e6(0x25c)]):_0x286d27[_0xb911e6(0x147f)]()});});function _0x4ed5a6(){const _0x2ff318=_0x92af6c;_0x3bdd42['go'](_0x2ff318(0x2143),{},{'reload':_0x2ff318(0x2143)});}function _0x1b099e(){const _0x51e60e=_0x92af6c;_0x1c216b[_0x51e60e(0x1059)][_0x51e60e(0x687)]({'id':_0x485423[_0x51e60e(0xd8e)]['id']},_0x485423[_0x51e60e(0xd8e)])[_0x51e60e(0x1d77)][_0x51e60e(0x1cb0)](function(){const _0x4d87d0=_0x51e60e;_0x5d1da6[_0x4d87d0(0x829)]({'title':'OutboundRoute\x20updated!','msg':_0x485423[_0x4d87d0(0xd8e)][_0x4d87d0(0x16b6)]?_0x485423[_0x4d87d0(0xd8e)][_0x4d87d0(0x16b6)]+'\x20has\x20been\x20updated!':''});})[_0x51e60e(0x1c4)](function(_0x5629f9){const _0x3203a0=_0x51e60e;_0x5d1da6['error']({'title':_0x5629f9[_0x3203a0(0x291)]?_0x3203a0(0xeb9)+_0x5629f9[_0x3203a0(0x291)]+'\x20-\x20'+_0x5629f9[_0x3203a0(0xc22)]:_0x3203a0(0x783),'msg':_0x5629f9[_0x3203a0(0x25c)]?JSON[_0x3203a0(0x2701)](_0x5629f9[_0x3203a0(0x25c)]):_0x5629f9['toString']()});});}}const _0x235064=_0x59d478;;const _0x53b27d=_0x5074a3['p']+_0x5537c6(0xcb7);;_0x1a5fba[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),_0x5537c6(0x22bf),'$timeout',_0x5537c6(0x1ae),'outboundroutes','userProfile',_0x5537c6(0x2199),_0x5537c6(0x142b),_0x5537c6(0xde8),_0x5537c6(0x9bf),'Auth',_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x1a5fba(_0x10c4cd,_0x5a15d4,_0xabf968,_0x34386b,_0x24105b,_0x2c67be,_0x3c4c46,_0x4fd2d5,_0x1ce4fc,_0x9579ea,_0x1a0d7e,_0x7e5bbe,_0x3793f9,_0x55ff34,_0x5837c7,_0x8989b3,_0x1e44b9){const _0x18c21b=_0x5537c6,_0x1d9701=this;_0x1d9701[_0x18c21b(0x8a5)]=_0x8989b3,_0x1d9701[_0x18c21b(0x9ca)]=_0x1e44b9,_0x1d9701['currentUser']=_0x5837c7[_0x18c21b(0x21e8)](),_0x1d9701[_0x18c21b(0x128c)]=_0x1ce4fc||{'count':0x0,'rows':[]},_0x1d9701['userProfile']=_0x9579ea,_0x1d9701[_0x18c21b(0x2199)]=_0x1a0d7e&&_0x1a0d7e[_0x18c21b(0x184d)]==0x1?_0x1a0d7e['rows'][0x0]:null,_0x1d9701[_0x18c21b(0x1b1a)]=_0x5837c7['parseCrudPermissions'](_0x1d9701[_0x18c21b(0x2199)]?_0x1d9701[_0x18c21b(0x2199)][_0x18c21b(0x1b1a)]:null),_0x1d9701['table']='outboundroutes',_0x1d9701['listOrder']='',_0x1d9701[_0x18c21b(0x1cdf)]=null,_0x1d9701[_0x18c21b(0x5cb)]=[],_0x1d9701['query']={'fields':_0x18c21b(0x18ff),'type':_0x18c21b(0x895),'sort':_0x18c21b(0x282),'VoiceExtensionId':_0x18c21b(0xd38),'limit':0xa,'page':0x1},_0x1d9701['arrayrecordingFormat']=_0x39641b()[_0x18c21b(0x2631)]([{'option':_0x18c21b(0x13b1),'value':_0x18c21b(0x1128)},{'option':_0x18c21b(0xcab),'value':_0x18c21b(0x2329)},{'option':_0x18c21b(0x1f63),'value':'\x27WAV\x27'},{'option':_0x18c21b(0x2331),'value':_0x18c21b(0x24ce)}],function(_0x316d19){const _0x424457=_0x18c21b;return _0x39641b()[_0x424457(0x288f)](_0x316d19[_0x424457(0x327)],new RegExp('\x27','g'),'');}),_0x1d9701[_0x18c21b(0x235d)]=_0x2abcd1,_0x1d9701[_0x18c21b(0x27fe)]=_0x2aaa56,_0x1d9701[_0x18c21b(0x829)]=_0x1d5779,_0x1d9701[_0x18c21b(0x190b)]=_0x5b3b1d,_0x1d9701['createOrEditOutboundRoute']=_0x282c1c,_0x1d9701[_0x18c21b(0x1e7f)]=_0x1907ce,_0x1d9701[_0x18c21b(0x1716)]=_0x36b2f3,_0x1d9701[_0x18c21b(0x1aac)]=_0x1b7cc3,_0x1d9701[_0x18c21b(0x16d4)]=_0x1eb045,_0x1d9701['selectAllOutboundRoutes']=_0x520784,_0x5837c7[_0x18c21b(0x22b6)]('admin')?_0x7e5bbe['voiceContext']['get']({'fields':_0x18c21b(0x43c),'sort':_0x18c21b(0x16b6),'nolimit':_0x18c21b(0x44d)})['$promise'][_0x18c21b(0x1cb0)](function(_0x527891){const _0x24156d=_0x18c21b;_0x1d9701[_0x24156d(0x14f6)]=_0x527891[_0x24156d(0x2214)]||[];})[_0x18c21b(0x1c4)](function(_0x5bb25c){const _0x133e9e=_0x18c21b;_0x55ff34[_0x133e9e(0x218e)]({'title':_0x5bb25c[_0x133e9e(0x291)]?'API:'+_0x5bb25c['status']+_0x133e9e(0x1657)+_0x5bb25c[_0x133e9e(0xc22)]:_0x133e9e(0x2072),'msg':_0x5bb25c[_0x133e9e(0x25c)]?JSON['stringify'](_0x5bb25c['data']):_0x5bb25c['toString']()});}):_0x7e5bbe[_0x18c21b(0x1da5)][_0x18c21b(0xbf7)]({'fields':_0x18c21b(0x43c),'sort':_0x18c21b(0x16b6),'nolimit':'true'})[_0x18c21b(0x1d77)][_0x18c21b(0x1cb0)](function(_0x457e4d){const _0x3e0b38=_0x18c21b;_0x1d9701[_0x3e0b38(0x14f6)]=_0x457e4d['rows']||[];})[_0x18c21b(0x1cb0)](function(){const _0x500f71=_0x18c21b;return _0x7e5bbe[_0x500f71(0x2199)][_0x500f71(0xbf7)]({'userProfileId':_0x1d9701[_0x500f71(0xe76)][_0x500f71(0x13c1)],'sectionId':0x195})[_0x500f71(0x1d77)];})['then'](function(_0xa7bc30){const _0x13ee5f=_0x18c21b,_0x8747e3=_0xa7bc30&&_0xa7bc30[_0x13ee5f(0x2214)]?_0xa7bc30[_0x13ee5f(0x2214)][0x0]:null;if(!_0x8747e3)return _0x7e5bbe[_0x13ee5f(0x1da5)][_0x13ee5f(0xbf7)]({'fields':_0x13ee5f(0x43c),'sort':_0x13ee5f(0x16b6),'nolimit':_0x13ee5f(0x44d),'defaultEntry':0x1})['$promise'][_0x13ee5f(0x1cb0)](function(_0x1da3be){const _0x2c3055=_0x13ee5f;_0x1d9701[_0x2c3055(0x14f6)]=_0x1da3be[_0x2c3055(0x2214)]||[];});else{if(!_0x8747e3[_0x13ee5f(0x12f4)])return _0x7e5bbe[_0x13ee5f(0x1198)]['get']({'sectionId':_0x8747e3['id']})['$promise'][_0x13ee5f(0x1cb0)](function(_0x1c3a56){const _0x30890f=_0x13ee5f,_0x42b4ef=_0x39641b()['map'](_0x1c3a56[_0x30890f(0x2214)],function(_0x5599fc){const _0x26fa97=_0x30890f;return _0x39641b()[_0x26fa97(0x13b4)](_0x1d9701['contexts'],{'id':_0x5599fc[_0x26fa97(0x2982)]});});let _0x51f9ee=null;_0x1d9701[_0x30890f(0xd8e)]&&(_0x51f9ee=_0x39641b()[_0x30890f(0x13b4)](_0x1d9701[_0x30890f(0x14f6)],{'name':_0x1d9701[_0x30890f(0xd8e)][_0x30890f(0x2056)]}));if(_0x51f9ee&&!_0x39641b()[_0x30890f(0x727)](_0x42b4ef,['id',_0x51f9ee['id']])){const _0x46b170=_0x39641b()[_0x30890f(0x13b4)](_0x1d9701[_0x30890f(0x14f6)],{'id':_0x51f9ee['id']});_0x46b170[_0x30890f(0x15da)]=![],_0x42b4ef['push'](_0x46b170);}_0x1d9701['contexts']=_0x42b4ef;});}})[_0x18c21b(0x1c4)](function(_0x165cd0){const _0xaa3a27=_0x18c21b;_0x55ff34[_0xaa3a27(0x218e)]({'title':_0x165cd0[_0xaa3a27(0x291)]?'API:'+_0x165cd0[_0xaa3a27(0x291)]+_0xaa3a27(0x1657)+_0x165cd0[_0xaa3a27(0xc22)]:_0xaa3a27(0x5ac),'msg':_0x165cd0[_0xaa3a27(0x25c)]?JSON[_0xaa3a27(0x2701)](_0x165cd0['data']):_0x165cd0[_0xaa3a27(0x147f)]()});});function _0x2abcd1(_0x213b35){const _0xaf64f4=_0x18c21b;_0xabf968['go'](_0xaf64f4(0x27e5),{'id':_0x213b35['id'],'outboundroute':_0x213b35,'crudPermissions':_0x1d9701[_0xaf64f4(0x1b1a)]});}function _0x2aaa56(_0xea5a23,_0x76620e){const _0x237888=_0x18c21b,_0x485988=_0x24105b[_0x237888(0x1551)]()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20'+_0x39641b()['startCase'](_0x237888(0xd8e))+'?')[_0x237888(0x49e)](_0x237888(0x204d)+(_0xea5a23['name']||_0x237888(0xd8e))+_0x237888(0x1200)+'\x20will\x20be\x20deleted.')['ariaLabel']('delete\x20outboundroute')[_0x237888(0x728)](_0x76620e)['ok']('OK')[_0x237888(0x696)](_0x237888(0x24ba));_0x24105b[_0x237888(0xe27)](_0x485988)[_0x237888(0x1cb0)](function(){_0x1907ce(_0xea5a23);},function(){const _0x6cd661=_0x237888;console[_0x6cd661(0x1b4f)](_0x6cd661(0x24ba));});}let _0x1804d0=!![],_0x510982=0x1;_0x10c4cd[_0x18c21b(0x614)](_0x18c21b(0x957),function(_0x5d7aa4,_0x292291){const _0xadaa81=_0x18c21b;_0x1804d0?_0x3c4c46(function(){_0x1804d0=![];}):(!_0x292291&&(_0x510982=_0x1d9701[_0xadaa81(0xae2)][_0xadaa81(0x1c7b)]),_0x5d7aa4!==_0x292291&&(_0x1d9701[_0xadaa81(0xae2)]['page']=0x1),!_0x5d7aa4&&(_0x1d9701[_0xadaa81(0xae2)]['page']=_0x510982),_0x1d9701[_0xadaa81(0x190b)]());});function _0x1d5779(_0x26a308){const _0x1b58a4=_0x18c21b;_0x1d9701[_0x1b58a4(0x128c)]=_0x26a308||{'count':0x0,'rows':[]};}function _0x5b3b1d(){const _0x4acb26=_0x18c21b;_0x1d9701[_0x4acb26(0xae2)][_0x4acb26(0x184b)]=(_0x1d9701['query'][_0x4acb26(0x1c7b)]-0x1)*_0x1d9701['query'][_0x4acb26(0x236)],_0x5837c7['hasRole'](_0x4acb26(0x1c60))?_0x1d9701[_0x4acb26(0x2061)]=_0x7e5bbe[_0x4acb26(0x1059)]['get'](_0x1d9701[_0x4acb26(0xae2)],_0x1d5779)[_0x4acb26(0x1d77)]:(_0x1d9701[_0x4acb26(0xae2)]['id']=_0x1d9701[_0x4acb26(0x44a)]['id'],_0x1d9701['query'][_0x4acb26(0x1f74)]=_0x4acb26(0x257c),_0x1d9701[_0x4acb26(0x2061)]=_0x7e5bbe[_0x4acb26(0x44a)][_0x4acb26(0x1810)](_0x1d9701[_0x4acb26(0xae2)],_0x1d5779)[_0x4acb26(0x1d77)]);}function _0x282c1c(_0x19ddf7,_0x4deb0a){const _0x515dd5=_0x18c21b;_0x24105b[_0x515dd5(0xe27)]({'controller':_0x515dd5(0x2289),'controllerAs':'vm','templateUrl':_0x53b27d,'parent':angular[_0x515dd5(0x1853)](_0x2c67be[_0x515dd5(0x1ed9)]),'targetEvent':_0x19ddf7,'clickOutsideToClose':!![],'locals':{'outboundroute':_0x4deb0a,'outboundroutes':_0x1d9701[_0x515dd5(0x128c)]['rows'],'license':_0x1d9701['license'],'setting':_0x1d9701[_0x515dd5(0x9ca)],'crudPermissions':_0x1d9701[_0x515dd5(0x1b1a)]}});}function _0x1907ce(_0x129ada){const _0x317a10=_0x18c21b;_0x7e5bbe['voicePrefix'][_0x317a10(0xbf7)]({'VoiceExtensionId':_0x129ada['id']})[_0x317a10(0x1d77)][_0x317a10(0x1cb0)](function(_0x255f1f){const _0x463e7f=_0x317a10;if(_0x255f1f&&_0x255f1f[_0x463e7f(0x2214)]&&_0x255f1f[_0x463e7f(0x2214)][_0x463e7f(0xfd0)]>0x0)return _0x55ff34[_0x463e7f(0x218e)]({'title':_0x463e7f(0x22d4),'msg':_0x463e7f(0x19aa)});else _0x7e5bbe['voiceExtension'][_0x463e7f(0x111d)]({'id':_0x129ada['id']})['$promise'][_0x463e7f(0x1cb0)](function(){const _0x223094=_0x463e7f;_0x39641b()[_0x223094(0x152a)](_0x1d9701[_0x223094(0x128c)][_0x223094(0x2214)],{'id':_0x129ada['id']}),_0x1d9701['outboundroutes']['count']-=0x1,!_0x1d9701[_0x223094(0x128c)]['rows'][_0x223094(0xfd0)]&&_0x1d9701['getOutboundRoutes'](),_0x55ff34[_0x223094(0x829)]({'title':_0x39641b()[_0x223094(0xa75)](_0x223094(0x15cf))+_0x223094(0x2663),'msg':_0x129ada[_0x223094(0x16b6)]?_0x129ada['name']+'\x20has\x20been\x20deleted!':''});})['catch'](function(_0x1916e1){const _0xad5a49=_0x463e7f;if(_0x1916e1[_0xad5a49(0x25c)]&&_0x1916e1[_0xad5a49(0x25c)]['errors']&&_0x1916e1[_0xad5a49(0x25c)]['errors']['length']){_0x1d9701[_0xad5a49(0x1a7c)]=_0x1916e1[_0xad5a49(0x25c)][_0xad5a49(0x1a7c)]||[{'message':_0x1916e1[_0xad5a49(0x147f)](),'type':'SYSTEM:DELETEvoiceExtension'}];for(let _0x645735=0x0;_0x645735<_0x1916e1[_0xad5a49(0x25c)]['errors'][_0xad5a49(0xfd0)];_0x645735++){_0x55ff34[_0xad5a49(0x218e)]({'title':_0x1916e1[_0xad5a49(0x25c)]['errors'][_0x645735][_0xad5a49(0x66a)],'msg':_0x1916e1['data']['errors'][_0x645735][_0xad5a49(0x155e)]});}}else _0x55ff34[_0xad5a49(0x218e)]({'title':_0x1916e1['status']?_0xad5a49(0xeb9)+_0x1916e1['status']+_0xad5a49(0x1657)+_0x1916e1[_0xad5a49(0xc22)]:_0xad5a49(0x17dd),'msg':_0x1916e1[_0xad5a49(0x25c)]?JSON[_0xad5a49(0x2701)](_0x1916e1['data']['message']):_0x1916e1['message']||_0x1916e1[_0xad5a49(0x147f)]()});});});}function _0x36b2f3(){const _0x713dc0=_0x18c21b,_0x1d49dc=angular[_0x713dc0(0x17fe)](_0x1d9701[_0x713dc0(0x5cb)]);return _0x1d9701['selectedOutboundRoutes']=[],_0x1d49dc;}function _0x1b7cc3(_0xbc53b){const _0x3a8c68=_0x18c21b,_0xf78a00=_0x24105b[_0x3a8c68(0x1551)]()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20outboundroutes?')[_0x3a8c68(0x49e)](_0x3a8c68(0x204d)+_0x1d9701[_0x3a8c68(0x5cb)][_0x3a8c68(0xfd0)]+_0x3a8c68(0x1d6c)+_0x3a8c68(0x1b6))[_0x3a8c68(0x15ad)](_0x3a8c68(0x1a0))[_0x3a8c68(0x728)](_0xbc53b)['ok']('OK')[_0x3a8c68(0x696)]('CANCEL');_0x24105b['show'](_0xf78a00)['then'](function(){_0x1d9701['selectedOutboundRoutes']['forEach'](function(_0x87597){_0x1907ce(_0x87597);}),_0x1d9701['selectedOutboundRoutes']=[];});}function _0x1eb045(){const _0x4fc71e=_0x18c21b;_0x1d9701[_0x4fc71e(0x5cb)]=[];}function _0x520784(){const _0x207e39=_0x18c21b;_0x1d9701[_0x207e39(0x5cb)]=_0x1d9701[_0x207e39(0x128c)][_0x207e39(0x2214)];}}const _0xb2608f=_0x1a5fba;;_0x4123b3[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x1487),'voicemail',_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x4123b3(_0xedc892,_0x213bfe,_0x1fe44b,_0x535c73,_0x27f2b8,_0x119bd9,_0x591793,_0x37a568,_0x179dde,_0x397dec,_0x1c0213,_0x40e51f,_0x5d7c94,_0x3f8081){const _0xf1ad10=_0x5537c6,_0x4e4e04=this;_0x4e4e04[_0xf1ad10(0xe76)]=_0x1c0213['getCurrentUser'](),_0x4e4e04[_0xf1ad10(0x1a7c)]=[],_0x4e4e04[_0xf1ad10(0x9ca)]=_0x5d7c94,_0x4e4e04[_0xf1ad10(0x8a5)]=_0x40e51f,_0x4e4e04[_0xf1ad10(0x1b1a)]=_0x3f8081,_0x4e4e04[_0xf1ad10(0xf4c)]={},_0x4e4e04[_0xf1ad10(0x1b0c)]=_0x4e4e04[_0xf1ad10(0x9ca)]&&_0x4e4e04[_0xf1ad10(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x4e4e04[_0xf1ad10(0x1386)]=_0xf1ad10(0x297),_0x4e4e04[_0xf1ad10(0xd66)]=angular[_0xf1ad10(0x17fe)](_0x179dde),_0x4e4e04['voicemails']=_0x37a568,_0x4e4e04[_0xf1ad10(0x1b60)]=![];!_0x4e4e04['voicemail']&&(_0x4e4e04['voicemail']={'attach':'no'},_0x4e4e04[_0xf1ad10(0x1386)]=_0xf1ad10(0xff9),_0x4e4e04[_0xf1ad10(0x1b60)]=!![]);_0x4e4e04[_0xf1ad10(0xf21)]=_0x998470,_0x4e4e04[_0xf1ad10(0x1be6)]=_0x561d48,_0x4e4e04[_0xf1ad10(0xf75)]=_0x1f3d33,_0x4e4e04[_0xf1ad10(0x2c4)]=_0x293dcd,_0x4e4e04[_0xf1ad10(0xda0)]=_0x309e6a;function _0x998470(){const _0x1d08ca=_0xf1ad10;_0x4e4e04[_0x1d08ca(0x1a7c)]=[],_0x397dec['voiceMail'][_0x1d08ca(0x1c3f)](_0x4e4e04[_0x1d08ca(0xd66)])[_0x1d08ca(0x1d77)][_0x1d08ca(0x1cb0)](function(_0xe6fc1d){const _0x101db2=_0x1d08ca;_0x4e4e04[_0x101db2(0x1487)][_0x101db2(0xf63)](_0xe6fc1d[_0x101db2(0x19b2)]()),_0x591793[_0x101db2(0x829)]({'title':'Voicemail\x20properly\x20created','msg':_0x4e4e04[_0x101db2(0xd66)][_0x101db2(0x16b6)]?_0x4e4e04[_0x101db2(0xd66)][_0x101db2(0x16b6)]+'\x20has\x20been\x20created!':''}),_0x309e6a(_0xe6fc1d);})[_0x1d08ca(0x1c4)](function(_0x62395f){const _0x4e6360=_0x1d08ca;if(_0x62395f['data']&&_0x62395f[_0x4e6360(0x25c)]['errors']&&_0x62395f[_0x4e6360(0x25c)][_0x4e6360(0x1a7c)][_0x4e6360(0xfd0)]){_0x4e4e04[_0x4e6360(0x1a7c)]=_0x62395f[_0x4e6360(0x25c)][_0x4e6360(0x1a7c)]||[{'message':_0x62395f[_0x4e6360(0x147f)](),'type':_0x4e6360(0x25e7)}];for(let _0x23b456=0x0;_0x23b456<_0x62395f[_0x4e6360(0x25c)]['errors'][_0x4e6360(0xfd0)];_0x23b456+=0x1){_0x591793[_0x4e6360(0x218e)]({'title':_0x62395f['data']['errors'][_0x23b456][_0x4e6360(0x66a)],'msg':_0x62395f[_0x4e6360(0x25c)][_0x4e6360(0x1a7c)][_0x23b456]['message']});}}else _0x591793[_0x4e6360(0x218e)]({'title':_0x62395f[_0x4e6360(0x291)]?_0x4e6360(0xeb9)+_0x62395f[_0x4e6360(0x291)]+_0x4e6360(0x1657)+_0x62395f[_0x4e6360(0xc22)]:_0x4e6360(0x25e7),'msg':_0x62395f[_0x4e6360(0x25c)]?JSON[_0x4e6360(0x2701)](_0x62395f[_0x4e6360(0x25c)][_0x4e6360(0x155e)]):_0x62395f[_0x4e6360(0x147f)]()});});}function _0x561d48(){const _0x5d4f6e=_0xf1ad10;_0x4e4e04['errors']=[],_0x397dec[_0x5d4f6e(0x18d4)][_0x5d4f6e(0x687)]({'id':_0x4e4e04['voicemail']['id']},_0x4e4e04[_0x5d4f6e(0xd66)])['$promise'][_0x5d4f6e(0x1cb0)](function(_0x248b54){const _0x210626=_0x5d4f6e,_0x3155e2=_0x39641b()[_0x210626(0x13b4)](_0x4e4e04['voicemails'],{'id':_0x248b54['id']});_0x3155e2&&_0x39641b()[_0x210626(0x9c1)](_0x3155e2,_0x39641b()[_0x210626(0x169b)](_0x248b54[_0x210626(0x19b2)](),_0x39641b()['keys'](_0x3155e2))),_0x591793[_0x210626(0x829)]({'title':_0x210626(0x1943),'msg':_0x4e4e04[_0x210626(0xd66)][_0x210626(0x16b6)]?_0x4e4e04[_0x210626(0xd66)][_0x210626(0x16b6)]+_0x210626(0xedb):''}),_0x309e6a(_0x248b54);})['catch'](function(_0x2abdbd){const _0x1ac04f=_0x5d4f6e;if(_0x2abdbd[_0x1ac04f(0x25c)]&&_0x2abdbd[_0x1ac04f(0x25c)][_0x1ac04f(0x1a7c)]&&_0x2abdbd[_0x1ac04f(0x25c)][_0x1ac04f(0x1a7c)][_0x1ac04f(0xfd0)]){_0x4e4e04[_0x1ac04f(0x1a7c)]=_0x2abdbd[_0x1ac04f(0x25c)][_0x1ac04f(0x1a7c)]||[{'message':_0x2abdbd[_0x1ac04f(0x147f)](),'type':'api.voiceMail.update'}];for(let _0x170451=0x0;_0x170451<_0x2abdbd[_0x1ac04f(0x25c)][_0x1ac04f(0x1a7c)][_0x1ac04f(0xfd0)];_0x170451++){_0x591793['error']({'title':_0x2abdbd[_0x1ac04f(0x25c)][_0x1ac04f(0x1a7c)][_0x170451][_0x1ac04f(0x66a)],'msg':_0x2abdbd['data']['errors'][_0x170451][_0x1ac04f(0x155e)]});}}else _0x591793[_0x1ac04f(0x218e)]({'title':_0x2abdbd['status']?_0x1ac04f(0xeb9)+_0x2abdbd['status']+'\x20-\x20'+_0x2abdbd[_0x1ac04f(0xc22)]:_0x1ac04f(0x1023),'msg':_0x2abdbd[_0x1ac04f(0x25c)]?JSON[_0x1ac04f(0x2701)](_0x2abdbd['data'][_0x1ac04f(0x155e)]):_0x2abdbd['toString']()});});}function _0x1f3d33(_0x2e9427){const _0x16a04c=_0xf1ad10;_0x4e4e04[_0x16a04c(0x1a7c)]=[];const _0x2cc98b=_0x535c73['confirm']()[_0x16a04c(0x1386)]('Are\x20you\x20sure?')[_0x16a04c(0x862)](_0x16a04c(0x13e6))[_0x16a04c(0x15ad)]('Delete\x20Voicemail')['ok'](_0x16a04c(0x2594))[_0x16a04c(0x696)](_0x16a04c(0xde1))[_0x16a04c(0x728)](_0x2e9427);_0x535c73['show'](_0x2cc98b)[_0x16a04c(0x1cb0)](function(){const _0xcc8204=_0x16a04c;_0x397dec[_0xcc8204(0x18d4)][_0xcc8204(0x111d)]({'id':_0x4e4e04[_0xcc8204(0xd66)]['id']})[_0xcc8204(0x1d77)]['then'](function(){const _0x27fd62=_0xcc8204;_0x39641b()[_0x27fd62(0x152a)](_0x4e4e04['voicemails'],{'id':_0x4e4e04[_0x27fd62(0xd66)]['id']}),_0x591793[_0x27fd62(0x829)]({'title':_0x27fd62(0xe43),'msg':(_0x4e4e04[_0x27fd62(0xd66)][_0x27fd62(0x16b6)]||'voicemail')+_0x27fd62(0x3f5)}),_0x309e6a(_0x4e4e04[_0x27fd62(0xd66)]);})[_0xcc8204(0x1c4)](function(_0x1a31d9){const _0xf793c9=_0xcc8204;if(_0x1a31d9[_0xf793c9(0x25c)]&&_0x1a31d9[_0xf793c9(0x25c)][_0xf793c9(0x1a7c)]&&_0x1a31d9[_0xf793c9(0x25c)]['errors'][_0xf793c9(0xfd0)]){_0x4e4e04['errors']=_0x1a31d9[_0xf793c9(0x25c)]['errors']||[{'message':_0x1a31d9['toString'](),'type':_0xf793c9(0x22ba)}];for(let _0x750eee=0x0;_0x750eee<_0x1a31d9[_0xf793c9(0x25c)][_0xf793c9(0x1a7c)][_0xf793c9(0xfd0)];_0x750eee++){_0x591793['error']({'title':_0x1a31d9['data'][_0xf793c9(0x1a7c)][_0x750eee][_0xf793c9(0x66a)],'msg':_0x1a31d9[_0xf793c9(0x25c)]['errors'][_0x750eee][_0xf793c9(0x155e)]});}}else _0x591793[_0xf793c9(0x218e)]({'title':_0x1a31d9['status']?_0xf793c9(0xeb9)+_0x1a31d9[_0xf793c9(0x291)]+_0xf793c9(0x1657)+_0x1a31d9['statusText']:_0xf793c9(0x22ba),'msg':_0x1a31d9['data']?JSON['stringify'](_0x1a31d9['data']['message']):_0x1a31d9[_0xf793c9(0x155e)]||_0x1a31d9[_0xf793c9(0x147f)]()});});},function(){});}function _0x293dcd(_0xf18e21){return _0xf18e21===null?undefined:new Date(_0xf18e21);}function _0x309e6a(_0x53d79b){const _0x27388e=_0xf1ad10;_0x535c73[_0x27388e(0x1426)](_0x53d79b);}}const _0x413fca=_0x4123b3;;_0x13f2e0[_0x5537c6(0x15b6)]=[_0x5537c6(0x173),'$scope',_0x5537c6(0x406),'$q',_0x5537c6(0x1ae),_0x5537c6(0x2168),'$mdDialog','$document',_0x5537c6(0x9bf),_0x5537c6(0x142b),'Auth'];function _0x13f2e0(_0x4f8818,_0x2611e9,_0x4541c3,_0x29f78a,_0xb097f4,_0x540b80,_0x53e14e,_0x384d9b,_0x5383d4,_0x4afe3e,_0x269e5e){const _0x41b0d7=_0x5537c6,_0x2f1fc0=this;_0x2f1fc0[_0x41b0d7(0xe76)]=_0x269e5e[_0x41b0d7(0x21e8)](),_0x2f1fc0[_0x41b0d7(0xd66)]={},_0x2f1fc0['voicemailMessages']={'count':0x0,'rows':[]},_0x2f1fc0['selectedVoicemailMessages']=[],_0x2f1fc0[_0x41b0d7(0x1b1a)],_0x2f1fc0[_0x41b0d7(0xae2)]={'fields':_0x41b0d7(0x1344),'sort':_0x41b0d7(0x1f6d),'limit':0xa,'page':0x1},_0x2f1fc0['init']=_0x7b67dd,_0x2f1fc0['deleteConfirm']=_0x45184f,_0x2f1fc0[_0x41b0d7(0x1104)]=_0x708e97,_0x2f1fc0['success']=_0x44f3a2,_0x2f1fc0[_0x41b0d7(0x25c7)]=_0x57471c,_0x2f1fc0['exportSelectedVoicemailMessages']=_0x59f8f0,_0x2f1fc0[_0x41b0d7(0xc4c)]=_0x100387,_0x2f1fc0['deleteSelectedVoicemailMessages']=_0x6bd240;function _0x7b67dd(_0x88142e,_0x36146){const _0x2b55f6=_0x41b0d7;_0x2f1fc0['voicemail']=_0x88142e,_0x2f1fc0['crudPermissions']=typeof _0x36146!==_0x2b55f6(0x16b5)?_0x36146:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x2f1fc0[_0x2b55f6(0xae2)]['id']=_0x2f1fc0[_0x2b55f6(0xd66)]['id'],_0x57471c();}function _0x708e97(_0x48d314,_0x58811c,_0x3865e6){const _0x438af9=_0x41b0d7;return _0x4afe3e[_0x438af9(0x28b4)][_0x438af9(0x26ec)]({'id':_0x48d314['id'],'exists':!![],'attachments':_0x3865e6})[_0x438af9(0x1d77)][_0x438af9(0x1cb0)](function(_0x399eac){const _0x53f8bc=_0x438af9,_0x4ef259=[_0x399eac[_0x53f8bc(0xef0)]];let _0x20a65a=_0x53f8bc(0x155e)+_0x48d314['id'];const _0xe92f51=new Blob(_0x4ef259,{'type':_0x399eac['type']});_0x20a65a=_0x48d314[_0x53f8bc(0x1018)]+'.wav';const _0x557e82=window['document'][_0x53f8bc(0x8c6)]('a');_0x557e82[_0x53f8bc(0x23b9)]('href',URL[_0x53f8bc(0x2247)](_0xe92f51)),_0x557e82[_0x53f8bc(0x23b9)](_0x53f8bc(0x26ec),_0x20a65a),document[_0x53f8bc(0x1ed9)][_0x53f8bc(0x23de)](_0x557e82),_0x557e82[_0x53f8bc(0x20b8)]();})[_0x438af9(0x1c4)](function(_0x4c96ec){const _0x3e48aa=_0x438af9;if(_0x4c96ec[_0x3e48aa(0x25c)]&&_0x4c96ec[_0x3e48aa(0x25c)]['errors']&&_0x4c96ec['data'][_0x3e48aa(0x1a7c)][_0x3e48aa(0xfd0)])for(let _0x1bf339=0x0;_0x1bf339<_0x4c96ec[_0x3e48aa(0x25c)][_0x3e48aa(0x1a7c)][_0x3e48aa(0xfd0)];_0x1bf339+=0x1){_0x5383d4[_0x3e48aa(0x218e)]({'title':_0x4c96ec[_0x3e48aa(0x25c)][_0x3e48aa(0x1a7c)][_0x1bf339][_0x3e48aa(0x66a)],'msg':_0x4c96ec[_0x3e48aa(0x25c)]['errors'][_0x1bf339][_0x3e48aa(0x155e)]});}else _0x5383d4[_0x3e48aa(0x218e)]({'title':_0x4c96ec[_0x3e48aa(0x291)]?_0x3e48aa(0xeb9)+_0x4c96ec[_0x3e48aa(0x291)]+_0x3e48aa(0x1657)+_0x4c96ec[_0x3e48aa(0xc22)]:'api.voiceMail.save','msg':_0x4c96ec['data']?JSON[_0x3e48aa(0x2701)](_0x4c96ec['data']['message']):_0x4c96ec['toString']()});});}function _0x45184f(_0x3c7f3c,_0x5ade6e){const _0x49541f=_0x41b0d7,_0x10971d=_0x53e14e[_0x49541f(0x1551)]()['title'](_0x49541f(0xe50))[_0x49541f(0x49e)](_0x49541f(0x204d)+(_0x3c7f3c['name']||_0x3c7f3c['id']&&_0x39641b()[_0x49541f(0x277)](_0x49541f(0x2936))+_0x3c7f3c['id']||_0x49541f(0x155e))+_0x49541f(0x1200)+_0x49541f(0x1b6))[_0x49541f(0x15ad)](_0x49541f(0x141d))[_0x49541f(0x728)](_0x5ade6e)['ok']('OK')[_0x49541f(0x696)](_0x49541f(0x24ba));_0x53e14e[_0x49541f(0xe27)](_0x10971d)[_0x49541f(0x1cb0)](function(){_0x100387(_0x3c7f3c);},function(){const _0x16a1d5=_0x49541f;console[_0x16a1d5(0x1b4f)](_0x16a1d5(0x24ba));});}function _0x44f3a2(_0x1a6b92){const _0x52a57b=_0x41b0d7;_0x2f1fc0[_0x52a57b(0x24f6)]=_0x1a6b92||{'count':0x0,'rows':[]};}function _0x57471c(){const _0x2f8f8b=_0x41b0d7;_0x2f1fc0[_0x2f8f8b(0xae2)][_0x2f8f8b(0x184b)]=(_0x2f1fc0['query'][_0x2f8f8b(0x1c7b)]-0x1)*_0x2f1fc0[_0x2f8f8b(0xae2)]['limit'],_0x2f1fc0[_0x2f8f8b(0x2061)]=_0x4afe3e[_0x2f8f8b(0x18d4)]['getMessages'](_0x2f1fc0[_0x2f8f8b(0xae2)],_0x44f3a2)[_0x2f8f8b(0x1d77)];}function _0x100387(_0x2b7705){const _0x7915c4=_0x41b0d7;_0x4afe3e[_0x7915c4(0x28b4)]['delete']({'id':_0x2b7705['id']})[_0x7915c4(0x1d77)][_0x7915c4(0x1cb0)](function(){const _0xd0673f=_0x7915c4;_0x39641b()['remove'](_0x2f1fc0[_0xd0673f(0x24f6)][_0xd0673f(0x2214)],{'id':_0x2b7705['id']}),_0x2f1fc0['voicemailMessages'][_0xd0673f(0x184d)]-=0x1,!_0x2f1fc0['voicemailMessages'][_0xd0673f(0x2214)][_0xd0673f(0xfd0)]&&_0x57471c(),_0x5383d4[_0xd0673f(0x829)]({'title':_0xd0673f(0x6a1),'msg':_0x2b7705['name']?_0x2b7705[_0xd0673f(0x16b6)]+_0xd0673f(0x3f5):''});})[_0x7915c4(0x1c4)](function(_0x27e043){const _0x38ae93=_0x7915c4;if(_0x27e043[_0x38ae93(0x25c)]&&_0x27e043['data'][_0x38ae93(0x1a7c)]&&_0x27e043[_0x38ae93(0x25c)][_0x38ae93(0x1a7c)][_0x38ae93(0xfd0)]){_0x2f1fc0[_0x38ae93(0x1a7c)]=_0x27e043[_0x38ae93(0x25c)]['errors']||[{'message':_0x27e043[_0x38ae93(0x147f)](),'type':_0x38ae93(0x195a)}];for(let _0x37f084=0x0;_0x37f084<_0x27e043[_0x38ae93(0x25c)][_0x38ae93(0x1a7c)][_0x38ae93(0xfd0)];_0x37f084++){_0x5383d4[_0x38ae93(0x218e)]({'title':_0x27e043['data']['errors'][_0x37f084][_0x38ae93(0x66a)],'msg':_0x27e043['data'][_0x38ae93(0x1a7c)][_0x37f084][_0x38ae93(0x155e)]});}}else _0x5383d4[_0x38ae93(0x218e)]({'title':_0x27e043['status']?_0x38ae93(0xeb9)+_0x27e043['status']+'\x20-\x20'+_0x27e043[_0x38ae93(0xc22)]:_0x38ae93(0x195a),'msg':_0x27e043[_0x38ae93(0x25c)]?JSON[_0x38ae93(0x2701)](_0x27e043[_0x38ae93(0x25c)]['message']):_0x27e043['message']||_0x27e043[_0x38ae93(0x147f)]()});});}function _0x59f8f0(){const _0x204dbc=_0x41b0d7,_0x530e68=angular[_0x204dbc(0x17fe)](_0x2f1fc0[_0x204dbc(0xaa4)]);return _0x2f1fc0['selectedVoicemailMessages']=[],_0x530e68;}function _0x6bd240(_0x36bb95){const _0x3778e6=_0x41b0d7,_0x19ab82=_0x53e14e[_0x3778e6(0x1551)]()['title'](_0x3778e6(0x136c))['htmlContent'](''+_0x2f1fc0[_0x3778e6(0xaa4)][_0x3778e6(0xfd0)]+'\x20selected'+_0x3778e6(0x1b6))[_0x3778e6(0x15ad)](_0x3778e6(0x8c5))[_0x3778e6(0x728)](_0x36bb95)['ok']('OK')['cancel'](_0x3778e6(0x24ba));_0x53e14e[_0x3778e6(0xe27)](_0x19ab82)[_0x3778e6(0x1cb0)](function(){_0x2f1fc0['selectedVoicemailMessages']['forEach'](function(_0x4217e5){_0x100387(_0x4217e5);}),_0x2f1fc0['selectedVoicemailMessages']=[];});}let _0x16b005=!![],_0x34aefb=0x1;_0x2611e9[_0x41b0d7(0x614)](_0x41b0d7(0xeb6),function(_0x4b8fee,_0x4db7a5){const _0x5c5902=_0x41b0d7;_0x16b005?_0x540b80(function(){_0x16b005=![];}):(!_0x4db7a5&&(_0x34aefb=_0x2f1fc0[_0x5c5902(0xae2)][_0x5c5902(0x1c7b)]),_0x4b8fee!==_0x4db7a5&&(_0x2f1fc0[_0x5c5902(0xae2)][_0x5c5902(0x1c7b)]=0x1),!_0x4b8fee&&(_0x2f1fc0[_0x5c5902(0xae2)]['page']=_0x34aefb),_0x57471c());});}const _0x50e593=_0x13f2e0;;_0x3c0c85[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x22bf),'$translate',_0x5537c6(0x8a5),_0x5537c6(0x9ca),'api','toasty','Auth','voicemail',_0x5537c6(0x2199)];function _0x3c0c85(_0x100578,_0xad2b2,_0x16bd99,_0x15fdcc,_0x18f858,_0x24bd04,_0xc19aba,_0x590553,_0x11de2f,_0x2bb79c,_0x3d284a,_0x2473ba){const _0x124175=_0x5537c6,_0x929b78=this;_0x929b78[_0x124175(0xe76)]=_0x2bb79c[_0x124175(0x21e8)](),_0x929b78['license']=_0x24bd04,_0x929b78[_0x124175(0x9ca)]=_0xc19aba,_0x929b78['passwordPattern']=_0x929b78[_0x124175(0x9ca)][_0x124175(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x929b78['location']=_0xad2b2[_0x124175(0x2276)]()+_0x124175(0x138b)+_0xad2b2[_0x124175(0x17d8)](),_0x929b78['voicemail']=_0x3d284a||_0x100578[_0x124175(0x1dfe)]['voicemail']||{},_0x929b78[_0x124175(0x2199)]=_0x2473ba&&_0x2473ba[_0x124175(0x184d)]==0x1?_0x2473ba[_0x124175(0x2214)][0x0]:null,_0x929b78[_0x124175(0x1b1a)]=_0x2bb79c['parseCrudPermissions'](_0x929b78[_0x124175(0x2199)]?_0x929b78['userProfileSection'][_0x124175(0x1b1a)]:null),_0x929b78[_0x124175(0xf4c)]={},_0x929b78[_0x124175(0x8ec)]=_0x100578[_0x124175(0x1dfe)][_0x124175(0x291e)]||0x0,_0x929b78['alert']=_0x11de2f[_0x124175(0x28c7)],_0x929b78[_0x124175(0x1431)]=_0x21798c,_0x929b78[_0x124175(0x1be6)]=_0x1c29c3,_0x2bb79c[_0x124175(0x22b6)]('admin')?_0x590553[_0x124175(0x1da5)][_0x124175(0xbf7)]({'fields':_0x124175(0x43c),'sort':_0x124175(0x16b6)})[_0x124175(0x1d77)]['then'](function(_0x995204){const _0x20985d=_0x124175;_0x929b78['contexts']=_0x995204[_0x20985d(0x2214)]||[];})['catch'](function(_0x203a8c){const _0x435101=_0x124175;_0x11de2f['error']({'title':_0x203a8c[_0x435101(0x291)]?_0x435101(0xeb9)+_0x203a8c[_0x435101(0x291)]+_0x435101(0x1657)+_0x203a8c[_0x435101(0xc22)]:_0x435101(0x2072),'msg':_0x203a8c[_0x435101(0x25c)]?JSON['stringify'](_0x203a8c[_0x435101(0x25c)]):_0x203a8c['toString']()});}):_0x590553['voiceContext'][_0x124175(0xbf7)]({'fields':_0x124175(0x43c),'sort':_0x124175(0x16b6)})[_0x124175(0x1d77)][_0x124175(0x1cb0)](function(_0x893959){const _0x486ec1=_0x124175;_0x929b78[_0x486ec1(0x14f6)]=_0x893959[_0x486ec1(0x2214)]||[];})['then'](function(){const _0x4a9d46=_0x124175;return _0x590553['userProfileSection']['get']({'userProfileId':_0x929b78[_0x4a9d46(0xe76)][_0x4a9d46(0x13c1)],'sectionId':0x195})[_0x4a9d46(0x1d77)];})[_0x124175(0x1cb0)](function(_0x329804){const _0x233554=_0x124175,_0x3da8cd=_0x329804&&_0x329804[_0x233554(0x2214)]?_0x329804[_0x233554(0x2214)][0x0]:null;if(!_0x3da8cd)return _0x590553[_0x233554(0x1da5)][_0x233554(0xbf7)]({'fields':'id,name','sort':_0x233554(0x16b6),'defaultEntry':0x1})[_0x233554(0x1d77)][_0x233554(0x1cb0)](function(_0x315096){const _0x30dca6=_0x233554;_0x929b78[_0x30dca6(0x14f6)]=_0x315096[_0x30dca6(0x2214)]||[];});else{if(!_0x3da8cd[_0x233554(0x12f4)])return _0x590553[_0x233554(0x1198)][_0x233554(0xbf7)]({'sectionId':_0x3da8cd['id']})[_0x233554(0x1d77)][_0x233554(0x1cb0)](function(_0xf918f5){const _0x56e1e2=_0x233554,_0x62abbf=_0x39641b()[_0x56e1e2(0x1de2)](_0xf918f5[_0x56e1e2(0x2214)],function(_0x56fd1d){const _0x5a3029=_0x56e1e2;return _0x39641b()[_0x5a3029(0x13b4)](_0x929b78[_0x5a3029(0x14f6)],{'id':_0x56fd1d[_0x5a3029(0x2982)]});});let _0x54d323=null;_0x929b78[_0x56e1e2(0xd66)]&&(_0x54d323=_0x39641b()[_0x56e1e2(0x13b4)](_0x929b78['contexts'],{'name':_0x929b78['voicemail'][_0x56e1e2(0x2056)]}));if(_0x54d323&&!_0x39641b()[_0x56e1e2(0x727)](_0x62abbf,['id',_0x54d323['id']])){const _0x22d7d2=_0x39641b()[_0x56e1e2(0x13b4)](_0x929b78[_0x56e1e2(0x14f6)],{'id':_0x54d323['id']});_0x22d7d2['canSelect']=![],_0x62abbf[_0x56e1e2(0x2785)](_0x22d7d2);}_0x929b78[_0x56e1e2(0x14f6)]=_0x62abbf;});}})['catch'](function(_0x2aa9a7){const _0x29d249=_0x124175;_0x11de2f['error']({'title':_0x2aa9a7[_0x29d249(0x291)]?_0x29d249(0xeb9)+_0x2aa9a7['status']+_0x29d249(0x1657)+_0x2aa9a7[_0x29d249(0xc22)]:_0x29d249(0x5ac),'msg':_0x2aa9a7[_0x29d249(0x25c)]?JSON['stringify'](_0x2aa9a7['data']):_0x2aa9a7[_0x29d249(0x147f)]()});});function _0x21798c(){const _0x550b98=_0x124175;_0x100578['go'](_0x550b98(0x2098),{},{'reload':'app.voice.voicemails'});}function _0x1c29c3(){const _0x431df3=_0x124175;_0x590553['voiceMail'][_0x431df3(0x687)]({'id':_0x929b78[_0x431df3(0xd66)]['id']},_0x929b78[_0x431df3(0xd66)])[_0x431df3(0x1d77)][_0x431df3(0x1cb0)](function(){const _0x3518e7=_0x431df3;_0x11de2f[_0x3518e7(0x829)]({'title':_0x3518e7(0x2634),'msg':_0x929b78[_0x3518e7(0xd66)][_0x3518e7(0x16b6)]?_0x929b78[_0x3518e7(0xd66)][_0x3518e7(0x16b6)]+_0x3518e7(0x1068):''});})['catch'](function(_0x2d2572){const _0x47ea2a=_0x431df3;_0x11de2f['error']({'title':_0x2d2572[_0x47ea2a(0x291)]?_0x47ea2a(0xeb9)+_0x2d2572['status']+_0x47ea2a(0x1657)+_0x2d2572[_0x47ea2a(0xc22)]:'SYSTEM:GETvoiceMail','msg':_0x2d2572[_0x47ea2a(0x25c)]?JSON[_0x47ea2a(0x2701)](_0x2d2572['data']):_0x2d2572['toString']()});});}}const _0x2df443=_0x3c0c85;;const _0x11267f=_0x5074a3['p']+_0x5537c6(0x1197);;_0x9d5720[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0x1487),_0x5537c6(0x44a),_0x5537c6(0x2199),'api',_0x5537c6(0xde8),_0x5537c6(0x9bf),'Auth',_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x9d5720(_0x14bb1b,_0x260187,_0x55b1a8,_0x458cc2,_0x52eefa,_0x5c3788,_0x398174,_0x39a360,_0x419b97,_0x3812f5,_0x420eb6,_0x1414cf,_0x1cc506,_0x2c4001,_0x3a2c41,_0x2e5ace,_0x42be3e){const _0x37793d=_0x5537c6,_0x2e229b=this;_0x2e229b['license']=_0x2e5ace,_0x2e229b[_0x37793d(0x9ca)]=_0x42be3e,_0x2e229b[_0x37793d(0xe76)]=_0x3a2c41[_0x37793d(0x21e8)](),_0x2e229b[_0x37793d(0x1487)]=_0x419b97||{'count':0x0,'rows':[]},_0x2e229b[_0x37793d(0x44a)]=_0x3812f5,_0x2e229b[_0x37793d(0x2199)]=_0x420eb6&&_0x420eb6['count']==0x1?_0x420eb6[_0x37793d(0x2214)][0x0]:null,_0x2e229b[_0x37793d(0x1b1a)]=_0x3a2c41[_0x37793d(0x14ea)](_0x2e229b['userProfileSection']?_0x2e229b[_0x37793d(0x2199)]['crudPermissions']:null),_0x2e229b[_0x37793d(0xc83)]=_0x37793d(0x1487),_0x2e229b[_0x37793d(0x1d20)]='',_0x2e229b[_0x37793d(0x1cdf)]=null,_0x2e229b[_0x37793d(0x71d)]=[],_0x2e229b['query']={'fields':_0x37793d(0x2813),'sort':'-updatedAt','limit':0xa,'page':0x1},_0x2e229b['arrayattach']=_0x39641b()[_0x37793d(0x2631)]([{'option':'Yes','value':'\x27yes\x27'},{'option':'No','value':'\x27no\x27'}],function(_0x397b54){const _0x302273=_0x37793d;return _0x39641b()[_0x302273(0x288f)](_0x397b54[_0x302273(0x327)],new RegExp('\x27','g'),'');}),_0x2e229b[_0x37793d(0x1de4)]=_0x39641b()[_0x37793d(0x2631)]([{'option':_0x37793d(0x1ab8),'value':_0x37793d(0x27e4)},{'option':'No','value':_0x37793d(0x15b9)}],function(_0x4401bf){const _0x43080f=_0x37793d;return _0x39641b()['replace'](_0x4401bf[_0x43080f(0x327)],new RegExp('\x27','g'),'');}),_0x2e229b[_0x37793d(0x996)]=_0x39641b()[_0x37793d(0x2631)]([{'option':_0x37793d(0x1ab8),'value':_0x37793d(0x27e4)},{'option':'No','value':_0x37793d(0x15b9)}],function(_0x4880c8){const _0x42c846=_0x37793d;return _0x39641b()['replace'](_0x4880c8[_0x42c846(0x327)],new RegExp('\x27','g'),'');}),_0x2e229b[_0x37793d(0x235d)]=_0xc74339,_0x2e229b[_0x37793d(0x27fe)]=_0x443149,_0x2e229b[_0x37793d(0x829)]=_0x1f0e75,_0x2e229b['getVoicemails']=_0x9be069,_0x2e229b[_0x37793d(0x1a8c)]=_0x460099,_0x2e229b['deleteVoicemail']=_0x922dcc,_0x2e229b['exportSelectedVoicemails']=_0x3e7092,_0x2e229b[_0x37793d(0x1a80)]=_0x2dbb4e,_0x2e229b['deselectVoicemails']=_0x23169f,_0x2e229b[_0x37793d(0x1278)]=_0x37c017;function _0xc74339(_0x509faf){const _0x227a54=_0x37793d;_0x55b1a8['go'](_0x227a54(0x176c),{'id':_0x509faf['id'],'voicemail':_0x509faf,'crudPermissions':_0x2e229b['crudPermissions']});}function _0x443149(_0x59eebf,_0x3eb2d1){const _0x1053fe=_0x37793d,_0x5302fc=_0x52eefa[_0x1053fe(0x1551)]()[_0x1053fe(0x1386)](_0x1053fe(0x140b)+_0x39641b()[_0x1053fe(0xa75)](_0x1053fe(0xd66))+'?')[_0x1053fe(0x49e)](_0x1053fe(0x204d)+(_0x59eebf[_0x1053fe(0x16b6)]||_0x1053fe(0xd66))+_0x1053fe(0x1200)+_0x1053fe(0x1b6))[_0x1053fe(0x15ad)](_0x1053fe(0x637))['targetEvent'](_0x3eb2d1)['ok']('OK')[_0x1053fe(0x696)]('CANCEL');_0x52eefa[_0x1053fe(0xe27)](_0x5302fc)[_0x1053fe(0x1cb0)](function(){_0x922dcc(_0x59eebf);},function(){const _0x1c2b51=_0x1053fe;console[_0x1c2b51(0x1b4f)](_0x1c2b51(0x24ba));});}let _0x3a7961=!![],_0xd706c0=0x1;_0x14bb1b[_0x37793d(0x614)](_0x37793d(0x957),function(_0x4983a8,_0x5e7a0e){const _0x3e85c8=_0x37793d;_0x3a7961?_0x398174(function(){_0x3a7961=![];}):(!_0x5e7a0e&&(_0xd706c0=_0x2e229b[_0x3e85c8(0xae2)]['page']),_0x4983a8!==_0x5e7a0e&&(_0x2e229b[_0x3e85c8(0xae2)][_0x3e85c8(0x1c7b)]=0x1),!_0x4983a8&&(_0x2e229b[_0x3e85c8(0xae2)][_0x3e85c8(0x1c7b)]=_0xd706c0),_0x2e229b[_0x3e85c8(0x288a)]());});function _0x1f0e75(_0x42b2dd){const _0x3b9407=_0x37793d;_0x2e229b[_0x3b9407(0x1487)]=_0x42b2dd||{'count':0x0,'rows':[]};}function _0x9be069(){const _0x35c2fa=_0x37793d;_0x2e229b[_0x35c2fa(0xae2)]['offset']=(_0x2e229b[_0x35c2fa(0xae2)]['page']-0x1)*_0x2e229b[_0x35c2fa(0xae2)][_0x35c2fa(0x236)],_0x3a2c41[_0x35c2fa(0x22b6)]('admin')?_0x2e229b[_0x35c2fa(0x2061)]=_0x1414cf[_0x35c2fa(0x18d4)]['get'](_0x2e229b[_0x35c2fa(0xae2)],_0x1f0e75)['$promise']:(_0x2e229b[_0x35c2fa(0xae2)]['id']=_0x2e229b['userProfile']['id'],_0x2e229b[_0x35c2fa(0xae2)]['section']=_0x35c2fa(0x317),_0x2e229b[_0x35c2fa(0x2061)]=_0x1414cf[_0x35c2fa(0x44a)]['getResources'](_0x2e229b[_0x35c2fa(0xae2)],_0x1f0e75)[_0x35c2fa(0x1d77)]);}function _0x460099(_0x23bd77,_0x4d8546){const _0x5b4b01=_0x37793d;_0x52eefa[_0x5b4b01(0xe27)]({'controller':'CreateOrEditVoicemailDialogController','controllerAs':'vm','templateUrl':_0x11267f,'parent':angular['element'](_0x5c3788[_0x5b4b01(0x1ed9)]),'targetEvent':_0x23bd77,'clickOutsideToClose':!![],'locals':{'voicemail':_0x4d8546,'voicemails':_0x2e229b[_0x5b4b01(0x1487)][_0x5b4b01(0x2214)],'license':_0x2e229b[_0x5b4b01(0x8a5)],'setting':_0x2e229b[_0x5b4b01(0x9ca)],'crudPermissions':_0x2e229b['crudPermissions']}});}function _0x922dcc(_0x5147db){const _0x2f37e8=_0x37793d;_0x1414cf[_0x2f37e8(0x18d4)][_0x2f37e8(0x111d)]({'id':_0x5147db['id']})[_0x2f37e8(0x1d77)][_0x2f37e8(0x1cb0)](function(){const _0x52dcc0=_0x2f37e8;_0x39641b()[_0x52dcc0(0x152a)](_0x2e229b[_0x52dcc0(0x1487)][_0x52dcc0(0x2214)],{'id':_0x5147db['id']}),_0x2e229b['voicemails']['count']-=0x1,!_0x2e229b[_0x52dcc0(0x1487)]['rows'][_0x52dcc0(0xfd0)]&&_0x2e229b['getVoicemails'](),_0x2c4001[_0x52dcc0(0x829)]({'title':_0x39641b()[_0x52dcc0(0xa75)]('Voicemail')+_0x52dcc0(0x2663),'msg':_0x5147db[_0x52dcc0(0x16b6)]?_0x5147db[_0x52dcc0(0x16b6)]+_0x52dcc0(0x3f5):''});})[_0x2f37e8(0x1c4)](function(_0x2ebd44){const _0x44b043=_0x2f37e8;if(_0x2ebd44[_0x44b043(0x25c)]&&_0x2ebd44['data'][_0x44b043(0x1a7c)]&&_0x2ebd44[_0x44b043(0x25c)][_0x44b043(0x1a7c)][_0x44b043(0xfd0)]){_0x2e229b['errors']=_0x2ebd44['data']['errors']||[{'message':_0x2ebd44['toString'](),'type':'SYSTEM:DELETEvoiceMail'}];for(let _0x401214=0x0;_0x401214<_0x2ebd44[_0x44b043(0x25c)][_0x44b043(0x1a7c)]['length'];_0x401214++){_0x2c4001['error']({'title':_0x2ebd44['data'][_0x44b043(0x1a7c)][_0x401214][_0x44b043(0x66a)],'msg':_0x2ebd44[_0x44b043(0x25c)][_0x44b043(0x1a7c)][_0x401214][_0x44b043(0x155e)]});}}else _0x2c4001[_0x44b043(0x218e)]({'title':_0x2ebd44['status']?_0x44b043(0xeb9)+_0x2ebd44[_0x44b043(0x291)]+_0x44b043(0x1657)+_0x2ebd44[_0x44b043(0xc22)]:_0x44b043(0x982),'msg':_0x2ebd44[_0x44b043(0x25c)]?JSON[_0x44b043(0x2701)](_0x2ebd44['data'][_0x44b043(0x155e)]):_0x2ebd44['message']||_0x2ebd44[_0x44b043(0x147f)]()});});}function _0x3e7092(){const _0x34ab2b=_0x37793d,_0x4465c6=angular[_0x34ab2b(0x17fe)](_0x2e229b['selectedVoicemails']);return _0x2e229b[_0x34ab2b(0x71d)]=[],_0x4465c6;}function _0x2dbb4e(_0x237207){const _0x5c4b4d=_0x37793d,_0x3f1516=_0x52eefa[_0x5c4b4d(0x1551)]()[_0x5c4b4d(0x1386)](_0x5c4b4d(0xb1c))[_0x5c4b4d(0x49e)](_0x5c4b4d(0x204d)+_0x2e229b[_0x5c4b4d(0x71d)][_0x5c4b4d(0xfd0)]+'\x20selected'+_0x5c4b4d(0x1b6))['ariaLabel']('delete\x20Voicemails')[_0x5c4b4d(0x728)](_0x237207)['ok']('OK')[_0x5c4b4d(0x696)](_0x5c4b4d(0x24ba));_0x52eefa[_0x5c4b4d(0xe27)](_0x3f1516)['then'](function(){const _0x213735=_0x5c4b4d;_0x2e229b[_0x213735(0x71d)][_0x213735(0xf90)](function(_0x57d19b){_0x922dcc(_0x57d19b);}),_0x2e229b[_0x213735(0x71d)]=[];});}function _0x23169f(){const _0x1efed2=_0x37793d;_0x2e229b[_0x1efed2(0x71d)]=[];}function _0x37c017(){const _0x28029b=_0x37793d;_0x2e229b['selectedVoicemails']=_0x2e229b[_0x28029b(0x1487)][_0x28029b(0x2214)];}}const _0x15cde9=_0x9d5720;;_0x5792f4[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),'voicePrefixes',_0x5537c6(0x152c),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting','crudPermissions'];function _0x5792f4(_0x13b911,_0x3e4edf,_0x3ec67a,_0x42339c,_0x36d18e,_0x178a8d,_0x2b2eba,_0x1be304,_0x222cd5,_0x9ef7b9,_0x46e469,_0x3ec704,_0x14a1e9,_0x8dd094){const _0x5cd1b6=_0x5537c6,_0x31adb6=this;_0x31adb6[_0x5cd1b6(0xe76)]=_0x46e469[_0x5cd1b6(0x21e8)](),_0x31adb6[_0x5cd1b6(0x1a7c)]=[],_0x31adb6[_0x5cd1b6(0x9ca)]=_0x14a1e9,_0x31adb6[_0x5cd1b6(0x8a5)]=_0x3ec704,_0x31adb6[_0x5cd1b6(0x1b1a)]=_0x8dd094,_0x31adb6[_0x5cd1b6(0xf4c)]={},_0x31adb6[_0x5cd1b6(0x1b0c)]=_0x31adb6['setting']&&_0x31adb6['setting']['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x31adb6['title']='VOICE.EDIT_VOICEPREFIX',_0x31adb6[_0x5cd1b6(0x152c)]=angular[_0x5cd1b6(0x17fe)](_0x222cd5),_0x31adb6[_0x5cd1b6(0xf46)]=_0x1be304,_0x31adb6[_0x5cd1b6(0x3ff)]=![];!_0x31adb6[_0x5cd1b6(0x152c)]&&(_0x31adb6['voicePrefix']={},_0x31adb6[_0x5cd1b6(0x1386)]=_0x5cd1b6(0x28bc),_0x31adb6[_0x5cd1b6(0x3ff)]=!![]);_0x31adb6[_0x5cd1b6(0x1ea2)]=_0x5cee69,_0x31adb6[_0x5cd1b6(0x994)]=_0x660fd1,_0x31adb6[_0x5cd1b6(0xc0e)]=_0x4f9d86,_0x31adb6['getDateFromString']=_0x18f3ac,_0x31adb6[_0x5cd1b6(0xda0)]=_0x5927a5,_0x46e469[_0x5cd1b6(0x22b6)](_0x5cd1b6(0x1c60))?_0x9ef7b9[_0x5cd1b6(0x1059)][_0x5cd1b6(0xbf7)]({'fields':_0x5cd1b6(0x286f),'type':_0x5cd1b6(0x895),'VoiceExtensionId':_0x5cd1b6(0xd38),'nolimit':_0x5cd1b6(0x44d)})[_0x5cd1b6(0x1d77)][_0x5cd1b6(0x1cb0)](function(_0x348844){_0x31adb6['outboundRoutes']=_0x348844['rows']||[];})[_0x5cd1b6(0x1c4)](function(_0x537a52){const _0x47dc5a=_0x5cd1b6;_0x2b2eba[_0x47dc5a(0x218e)]({'title':_0x537a52[_0x47dc5a(0x291)]?_0x47dc5a(0xeb9)+_0x537a52[_0x47dc5a(0x291)]+_0x47dc5a(0x1657)+_0x537a52[_0x47dc5a(0xc22)]:_0x47dc5a(0x25ea),'msg':_0x537a52[_0x47dc5a(0x25c)]?JSON[_0x47dc5a(0x2701)](_0x537a52[_0x47dc5a(0x25c)]):_0x537a52[_0x47dc5a(0x147f)]()});}):_0x9ef7b9[_0x5cd1b6(0x1059)][_0x5cd1b6(0xbf7)]({'fields':'id,exten','type':_0x5cd1b6(0x895),'VoiceExtensionId':_0x5cd1b6(0xd38),'nolimit':_0x5cd1b6(0x44d)})['$promise'][_0x5cd1b6(0x1cb0)](function(_0x1a2622){const _0x32def5=_0x5cd1b6;_0x31adb6[_0x32def5(0xe57)]=_0x1a2622[_0x32def5(0x2214)]||[];})[_0x5cd1b6(0x1cb0)](function(){const _0x431020=_0x5cd1b6;return _0x9ef7b9['userProfileSection'][_0x431020(0xbf7)]({'userProfileId':_0x31adb6['currentUser'][_0x431020(0x13c1)],'sectionId':0x193})[_0x431020(0x1d77)];})[_0x5cd1b6(0x1cb0)](function(_0x2c124e){const _0x24a831=_0x5cd1b6,_0x52f91f=_0x2c124e&&_0x2c124e[_0x24a831(0x2214)]?_0x2c124e[_0x24a831(0x2214)][0x0]:null;if(!_0x52f91f){const _0x232ba6=[];let _0x4b07ed=null;_0x31adb6[_0x24a831(0x152c)]&&(_0x4b07ed=_0x39641b()[_0x24a831(0x13b4)](_0x31adb6['outboundRoutes'],{'id':Number(_0x31adb6[_0x24a831(0x152c)]['VoiceExtensionId'])}));for(let _0x56c194=0x0;_0x56c194<_0x31adb6[_0x24a831(0xe57)][_0x24a831(0xfd0)];_0x56c194++){_0x4b07ed&&_0x31adb6[_0x24a831(0xe57)][_0x56c194]['id']===_0x4b07ed['id']&&(_0x31adb6[_0x24a831(0xe57)][_0x56c194][_0x24a831(0x15da)]=![],_0x232ba6[_0x24a831(0x2785)](_0x31adb6['outboundRoutes'][_0x56c194]));}_0x31adb6[_0x24a831(0xe57)]=_0x232ba6;}else{if(!_0x52f91f[_0x24a831(0x12f4)])return _0x9ef7b9[_0x24a831(0x1198)][_0x24a831(0xbf7)]({'sectionId':_0x52f91f['id']})[_0x24a831(0x1d77)]['then'](function(_0x4efdaa){const _0x3fb7f8=_0x24a831,_0xc89b1d=_0x39641b()['map'](_0x4efdaa[_0x3fb7f8(0x2214)],function(_0x15bd76){const _0xe30abb=_0x3fb7f8;return _0x39641b()['find'](_0x31adb6[_0xe30abb(0xe57)],{'id':_0x15bd76['resourceId']});});let _0x100dba=null;_0x31adb6[_0x3fb7f8(0x152c)]&&(_0x100dba=_0x39641b()[_0x3fb7f8(0x13b4)](_0x31adb6[_0x3fb7f8(0xe57)],{'id':Number(_0x31adb6['voicePrefix'][_0x3fb7f8(0x1cc7)])}));if(_0x100dba&&!_0x39641b()['some'](_0xc89b1d,['id',_0x100dba['id']])){const _0x571fac=_0x39641b()['find'](_0x31adb6[_0x3fb7f8(0xe57)],{'id':_0x100dba['id']});_0x571fac['canSelect']=![],_0xc89b1d['push'](_0x571fac);}_0x31adb6[_0x3fb7f8(0xe57)]=_0xc89b1d;});}})[_0x5cd1b6(0x1c4)](function(_0xfc02e4){const _0x56b3b7=_0x5cd1b6;_0x2b2eba[_0x56b3b7(0x218e)]({'title':_0xfc02e4[_0x56b3b7(0x291)]?_0x56b3b7(0xeb9)+_0xfc02e4[_0x56b3b7(0x291)]+_0x56b3b7(0x1657)+_0xfc02e4[_0x56b3b7(0xc22)]:_0x56b3b7(0x15c5),'msg':_0xfc02e4[_0x56b3b7(0x25c)]?JSON['stringify'](_0xfc02e4['data']):_0xfc02e4[_0x56b3b7(0x147f)]()});});function _0x5cee69(){const _0xa08cb7=_0x5cd1b6;_0x31adb6[_0xa08cb7(0x1a7c)]=[],_0x9ef7b9[_0xa08cb7(0x152c)]['save'](_0x31adb6['voicePrefix'])[_0xa08cb7(0x1d77)][_0xa08cb7(0x1cb0)](function(_0x40ac41){const _0x3df308=_0xa08cb7;_0x31adb6['voicePrefixes'][_0x3df308(0xf63)](_0x40ac41[_0x3df308(0x19b2)]()),_0x2b2eba[_0x3df308(0x829)]({'title':'voicePrefix\x20properly\x20created','msg':_0x31adb6['voicePrefix']['name']?_0x31adb6[_0x3df308(0x152c)][_0x3df308(0x16b6)]+_0x3df308(0x470):''}),_0x5927a5(_0x40ac41);})[_0xa08cb7(0x1c4)](function(_0xcb5d6a){const _0x5e390a=_0xa08cb7;if(_0xcb5d6a[_0x5e390a(0x25c)]&&_0xcb5d6a[_0x5e390a(0x25c)]['errors']&&_0xcb5d6a[_0x5e390a(0x25c)]['errors']['length']){_0x31adb6[_0x5e390a(0x1a7c)]=_0xcb5d6a['data'][_0x5e390a(0x1a7c)]||[{'message':_0xcb5d6a['toString'](),'type':_0x5e390a(0x18d)}];for(let _0x52334a=0x0;_0x52334a<_0xcb5d6a[_0x5e390a(0x25c)][_0x5e390a(0x1a7c)][_0x5e390a(0xfd0)];_0x52334a+=0x1){_0x2b2eba[_0x5e390a(0x218e)]({'title':_0xcb5d6a['data'][_0x5e390a(0x1a7c)][_0x52334a]['type'],'msg':_0xcb5d6a[_0x5e390a(0x25c)][_0x5e390a(0x1a7c)][_0x52334a][_0x5e390a(0x155e)]});}}else _0x2b2eba[_0x5e390a(0x218e)]({'title':_0xcb5d6a[_0x5e390a(0x291)]?_0x5e390a(0xeb9)+_0xcb5d6a[_0x5e390a(0x291)]+'\x20-\x20'+_0xcb5d6a[_0x5e390a(0xc22)]:_0x5e390a(0x18d),'msg':_0xcb5d6a[_0x5e390a(0x25c)]?JSON[_0x5e390a(0x2701)](_0xcb5d6a[_0x5e390a(0x25c)]['message']):_0xcb5d6a['toString']()});});}function _0x660fd1(){const _0x547ef8=_0x5cd1b6;_0x31adb6[_0x547ef8(0x1a7c)]=[],_0x9ef7b9[_0x547ef8(0x152c)][_0x547ef8(0x687)]({'id':_0x31adb6[_0x547ef8(0x152c)]['id']},_0x31adb6[_0x547ef8(0x152c)])['$promise'][_0x547ef8(0x1cb0)](function(_0x59d83f){const _0x560056=_0x547ef8,_0x42aa07=_0x39641b()[_0x560056(0x13b4)](_0x31adb6[_0x560056(0xf46)],{'id':_0x59d83f['id']});_0x42aa07&&_0x39641b()['merge'](_0x42aa07,_0x39641b()['pick'](_0x59d83f['toJSON'](),_0x39641b()[_0x560056(0x1be5)](_0x42aa07))),_0x2b2eba[_0x560056(0x829)]({'title':_0x560056(0x38b),'msg':_0x31adb6[_0x560056(0x152c)][_0x560056(0x16b6)]?_0x31adb6[_0x560056(0x152c)][_0x560056(0x16b6)]+_0x560056(0xedb):''}),_0x5927a5(_0x59d83f);})['catch'](function(_0x386a14){const _0x3fa13b=_0x547ef8;if(_0x386a14[_0x3fa13b(0x25c)]&&_0x386a14[_0x3fa13b(0x25c)]['errors']&&_0x386a14['data'][_0x3fa13b(0x1a7c)][_0x3fa13b(0xfd0)]){_0x31adb6['errors']=_0x386a14[_0x3fa13b(0x25c)][_0x3fa13b(0x1a7c)]||[{'message':_0x386a14['toString'](),'type':'api.voicePrefix.update'}];for(let _0x5838b3=0x0;_0x5838b3<_0x386a14[_0x3fa13b(0x25c)][_0x3fa13b(0x1a7c)][_0x3fa13b(0xfd0)];_0x5838b3++){_0x2b2eba[_0x3fa13b(0x218e)]({'title':_0x386a14[_0x3fa13b(0x25c)][_0x3fa13b(0x1a7c)][_0x5838b3][_0x3fa13b(0x66a)],'msg':_0x386a14[_0x3fa13b(0x25c)][_0x3fa13b(0x1a7c)][_0x5838b3][_0x3fa13b(0x155e)]});}}else _0x2b2eba[_0x3fa13b(0x218e)]({'title':_0x386a14[_0x3fa13b(0x291)]?_0x3fa13b(0xeb9)+_0x386a14[_0x3fa13b(0x291)]+'\x20-\x20'+_0x386a14[_0x3fa13b(0xc22)]:_0x3fa13b(0x2097),'msg':_0x386a14[_0x3fa13b(0x25c)]?JSON[_0x3fa13b(0x2701)](_0x386a14[_0x3fa13b(0x25c)][_0x3fa13b(0x155e)]):_0x386a14[_0x3fa13b(0x147f)]()});});}function _0x4f9d86(_0x1965e0){const _0x50b061=_0x5cd1b6;_0x31adb6['errors']=[];const _0x4b63a2=_0x42339c[_0x50b061(0x1551)]()[_0x50b061(0x1386)](_0x50b061(0x1a2e))[_0x50b061(0x862)](_0x50b061(0x1c5b))['ariaLabel'](_0x50b061(0x1455))['ok'](_0x50b061(0x2594))[_0x50b061(0x696)]('Cancel')['targetEvent'](_0x1965e0);_0x42339c[_0x50b061(0xe27)](_0x4b63a2)[_0x50b061(0x1cb0)](function(){const _0x25909b=_0x50b061;_0x9ef7b9[_0x25909b(0x152c)][_0x25909b(0x111d)]({'id':_0x31adb6[_0x25909b(0x152c)]['id']})[_0x25909b(0x1d77)][_0x25909b(0x1cb0)](function(){const _0x507df8=_0x25909b;_0x39641b()[_0x507df8(0x152a)](_0x31adb6['voicePrefixes'],{'id':_0x31adb6[_0x507df8(0x152c)]['id']}),_0x2b2eba['success']({'title':_0x507df8(0x659),'msg':(_0x31adb6['voicePrefix']['name']||'voicePrefix')+_0x507df8(0x3f5)}),_0x5927a5(_0x31adb6[_0x507df8(0x152c)]);})[_0x25909b(0x1c4)](function(_0x213041){const _0x4f33cd=_0x25909b;if(_0x213041[_0x4f33cd(0x25c)]&&_0x213041['data'][_0x4f33cd(0x1a7c)]&&_0x213041[_0x4f33cd(0x25c)]['errors'][_0x4f33cd(0xfd0)]){_0x31adb6[_0x4f33cd(0x1a7c)]=_0x213041[_0x4f33cd(0x25c)][_0x4f33cd(0x1a7c)]||[{'message':_0x213041[_0x4f33cd(0x147f)](),'type':_0x4f33cd(0x18f4)}];for(let _0x555cda=0x0;_0x555cda<_0x213041[_0x4f33cd(0x25c)][_0x4f33cd(0x1a7c)][_0x4f33cd(0xfd0)];_0x555cda++){_0x2b2eba[_0x4f33cd(0x218e)]({'title':_0x213041[_0x4f33cd(0x25c)][_0x4f33cd(0x1a7c)][_0x555cda]['type'],'msg':_0x213041[_0x4f33cd(0x25c)][_0x4f33cd(0x1a7c)][_0x555cda]['message']});}}else _0x2b2eba[_0x4f33cd(0x218e)]({'title':_0x213041[_0x4f33cd(0x291)]?'API:'+_0x213041[_0x4f33cd(0x291)]+_0x4f33cd(0x1657)+_0x213041[_0x4f33cd(0xc22)]:_0x4f33cd(0x18f4),'msg':_0x213041[_0x4f33cd(0x25c)]?JSON[_0x4f33cd(0x2701)](_0x213041['data'][_0x4f33cd(0x155e)]):_0x213041[_0x4f33cd(0x155e)]||_0x213041[_0x4f33cd(0x147f)]()});});},function(){});}function _0x18f3ac(_0x2432da){return _0x2432da===null?undefined:new Date(_0x2432da);}function _0x5927a5(_0x498f5e){const _0x11cedc=_0x5cd1b6;_0x42339c[_0x11cedc(0x1426)](_0x498f5e);}}const _0x469560=_0x5792f4;;_0x334167[_0x5537c6(0x15b6)]=['$mdDialog','$q','toasty','api',_0x5537c6(0x152c),_0x5537c6(0xf46),_0x5537c6(0x217b),_0x5537c6(0x1ae),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x334167(_0x2e29dd,_0x8f634e,_0x12f8f8,_0x26437e,_0x510062,_0x5e3859,_0x586379,_0x1ef3cc,_0x5a87ec,_0x321774){const _0x3fad4b=_0x5537c6,_0x25421c=this;_0x25421c['currentUser']=_0x5a87ec[_0x3fad4b(0x21e8)](),_0x25421c[_0x3fad4b(0x152c)]=_0x510062,_0x25421c['crudPermissions']=_0x321774,_0x25421c['realtime']=_0x586379,_0x25421c[_0x3fad4b(0x122f)]=[],_0x25421c[_0x3fad4b(0x1372)]=[],_0x25421c['selectedItems']=[],_0x25421c[_0x3fad4b(0xbd5)]=[],_0x25421c[_0x3fad4b(0x1456)]=[],_0x25421c[_0x3fad4b(0x50c)]=![],_0x25421c['onInit']=_0x5077f9,_0x25421c[_0x3fad4b(0x1dd5)]=_0x15366c,_0x25421c[_0x3fad4b(0xda0)]=_0x52db24,_0x25421c['dualMultiselectOptions']={'readOnly':!_0x25421c['crudPermissions'][_0x3fad4b(0xb3d)],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x3fad4b(0x16b6),'line1':_0x3fad4b(0x1d14),'line2':[_0x3fad4b(0x16b6),'internal'],'line3':'','labelAll':_0x1ef3cc[_0x3fad4b(0x25cc)]('APP.ALL_AGENTS'),'labelSelected':_0x1ef3cc['instant'](_0x3fad4b(0x1cd5)),'transferCallback':function(){const _0x14935d=_0x3fad4b,_0x5bc992=_0x39641b()[_0x14935d(0x1423)](_0x25421c[_0x14935d(0x1456)],_0x25421c[_0x14935d(0x20bb)],'id');_0x25421c[_0x14935d(0x50c)]=_0x39641b()[_0x14935d(0xce9)](_0x5bc992)?![]:!![];}};function _0x5077f9(){const _0x2f5234=_0x3fad4b;return _0x5a87ec[_0x2f5234(0x22b6)](_0x2f5234(0x1c60))?_0x55bf3f()[_0x2f5234(0x1c4)](function(_0x54caea){const _0x4526fe=_0x2f5234;_0x12f8f8['error']({'title':_0x54caea[_0x4526fe(0x291)]?_0x4526fe(0xeb9)+_0x54caea['status']+_0x4526fe(0x1657)+_0x54caea[_0x4526fe(0xc22)]:_0x4526fe(0x799),'msg':_0x54caea[_0x4526fe(0x291)]?JSON[_0x4526fe(0x2701)](_0x54caea[_0x4526fe(0x25c)]):_0x54caea[_0x4526fe(0x147f)]()});}):_0x53013c()['then'](function(_0x3ef885){const _0x12a4da=_0x2f5234;return _0x25421c[_0x12a4da(0x1f74)]=_0x3ef885,_0x55bf3f();})[_0x2f5234(0x1c4)](function(_0x2f0454){const _0x50e2aa=_0x2f5234;_0x12f8f8['error']({'title':_0x2f0454['status']?'API:'+_0x2f0454[_0x50e2aa(0x291)]+_0x50e2aa(0x1657)+_0x2f0454[_0x50e2aa(0xc22)]:_0x50e2aa(0x799),'msg':_0x2f0454[_0x50e2aa(0x291)]?JSON[_0x50e2aa(0x2701)](_0x2f0454['data']):_0x2f0454[_0x50e2aa(0x147f)]()});});}function _0x53013c(){return _0x8f634e(function(_0x364da1,_0x876ca9){const _0x4b6ffd=a0_0x5cbd;_0x26437e[_0x4b6ffd(0x2199)]['get']({'userProfileId':_0x25421c[_0x4b6ffd(0xe76)][_0x4b6ffd(0x13c1)],'name':_0x4b6ffd(0x2536)})['$promise'][_0x4b6ffd(0x1cb0)](function(_0xc1db83){const _0x42832b=_0x4b6ffd,_0x1c22c9=_0xc1db83&&_0xc1db83[_0x42832b(0x2214)]?_0xc1db83['rows'][0x0]:null;_0x364da1(_0x1c22c9);})['catch'](function(_0x58f097){_0x876ca9(_0x58f097);});});}function _0x55bf3f(){return _0x8f634e(function(_0x3d3f7d,_0x196df2){const _0x2a0a59=a0_0x5cbd;return _0x40031f()[_0x2a0a59(0x1cb0)](function(_0x14c6f1){const _0x3e345e=_0x2a0a59;return _0x25421c[_0x3e345e(0x122f)]=_0x14c6f1['rows']?_0x14c6f1[_0x3e345e(0x2214)]:[],_0x5a87ec[_0x3e345e(0x22b6)](_0x3e345e(0x1c60))?_0x14c6f1:_0x25421c[_0x3e345e(0x1f74)]?_0x25421c[_0x3e345e(0x1f74)][_0x3e345e(0x12f4)]?_0x14c6f1:_0x5ba740():null;})['then'](function(_0xba9ecc){const _0x4231b7=_0x2a0a59,_0x50bc81=_0xba9ecc&&_0xba9ecc[_0x4231b7(0x2214)]?_0xba9ecc[_0x4231b7(0x2214)]:[];return _0x25421c[_0x4231b7(0x1372)]=_0x39641b()[_0x4231b7(0x1de2)](_0x50bc81,function(_0xa713b9){const _0x43e72e=_0x4231b7;return _0x39641b()['find'](_0x25421c[_0x43e72e(0x122f)],{'id':_0x5a87ec[_0x43e72e(0x22b6)](_0x43e72e(0x1c60))||_0x25421c[_0x43e72e(0x1f74)][_0x43e72e(0x12f4)]?_0xa713b9['id']:_0xa713b9['resourceId']});}),_0x25421c[_0x4231b7(0xbd5)]=angular[_0x4231b7(0x17fe)](_0x25421c[_0x4231b7(0x1372)]),_0x25421c['items'][_0x4231b7(0xf90)](function(_0x2566ef){const _0x5cdc95=_0x4231b7,_0x2ec30a=_0x39641b()['find'](_0x25421c[_0x5cdc95(0x1372)],{'id':_0x2566ef['id']});_0x5a87ec[_0x5cdc95(0x22b6)](_0x5cdc95(0x1c60))?_0x2566ef[_0x5cdc95(0x1a4f)]=!![]:_0x2566ef[_0x5cdc95(0x1a4f)]=typeof _0x2ec30a!==_0x5cdc95(0x16b5)?!![]:![];}),_0x49bf75();})['then'](function(_0x85c779){const _0x1406ed=_0x2a0a59,_0x3bcf24=_0x85c779&&_0x85c779[_0x1406ed(0x2214)]?_0x85c779[_0x1406ed(0x2214)]:[];_0x25421c[_0x1406ed(0x20bb)]=_0x39641b()['map'](_0x3bcf24,function(_0x366f94){const _0x439097=_0x1406ed,_0x401365=_0x39641b()[_0x439097(0x13b4)](_0x25421c[_0x439097(0x122f)],{'id':_0x366f94['id']});return _0x401365[_0x439097(0x1cbc)]=_0x366f94[_0x439097(0xdd6)]?'penalty\x20'+_0x366f94[_0x439097(0xdd6)][_0x439097(0x1cbc)]:'',_0x401365[_0x439097(0xdbd)]=typeof _0x366f94[_0x439097(0xdbd)]!==_0x439097(0x16b5)?'<'+_0x366f94[_0x439097(0xdbd)]+'>':'',_0x401365;}),_0x25421c[_0x1406ed(0x1456)]=angular[_0x1406ed(0x17fe)](_0x25421c[_0x1406ed(0x20bb)]),_0x25421c[_0x1406ed(0x18c0)][_0x1406ed(0x20bb)]=_0x25421c['selectedItems'],_0x25421c['dualMultiselectOptions']['items']=_0x39641b()[_0x1406ed(0x2128)](_0x25421c[_0x1406ed(0x1372)],_0x25421c[_0x1406ed(0x18c0)][_0x1406ed(0x20bb)],'id'),_0x3d3f7d();})['catch'](function(_0x21d026){_0x196df2(_0x21d026);});});}function _0x5ba740(){return _0x8f634e(function(_0xf0a092,_0x359bf6){const _0x100b97=a0_0x5cbd;return _0x26437e[_0x100b97(0x1198)][_0x100b97(0xbf7)]({'sectionId':_0x25421c[_0x100b97(0x1f74)]['id'],'nolimit':!![]})[_0x100b97(0x1d77)][_0x100b97(0x1cb0)](function(_0x1f8524){_0xf0a092(_0x1f8524);})['catch'](function(_0x2f6c7a){_0x359bf6(_0x2f6c7a);});});}function _0x49bf75(){return _0x8f634e(function(_0x5e2e73,_0x2c712c){const _0x12553c=a0_0x5cbd;return _0x26437e[_0x12553c(0x152c)][_0x12553c(0x2348)]({'id':_0x25421c[_0x12553c(0x152c)]['id'],'fields':'id,name,internal,fullname','nolimit':!![],'role':_0x12553c(0x1eff)})[_0x12553c(0x1d77)][_0x12553c(0x1cb0)](function(_0x2d2bab){_0x5e2e73(_0x2d2bab);})[_0x12553c(0x1c4)](function(_0x47bfc1){_0x2c712c(_0x47bfc1);});});}function _0x40031f(){return _0x8f634e(function(_0x4b5302,_0x751abd){const _0xe0f6c8=a0_0x5cbd;return _0x26437e['user'][_0xe0f6c8(0xbf7)]({'fields':_0xe0f6c8(0x251e),'nolimit':!![],'role':_0xe0f6c8(0x1eff)})[_0xe0f6c8(0x1d77)]['then'](function(_0x12ad96){_0x4b5302(_0x12ad96);})[_0xe0f6c8(0x1c4)](function(_0x17ee45){_0x751abd(_0x17ee45);});});}function _0x2b4cbf(_0x366466){return _0x8f634e(function(_0x1aed90,_0x4df96e){const _0x1a8e17=a0_0x5cbd;_0x39641b()[_0x1a8e17(0xce9)](_0x366466)?_0x1aed90():_0x26437e[_0x1a8e17(0x152c)][_0x1a8e17(0x2057)]({'id':_0x25421c[_0x1a8e17(0x152c)]['id'],'ids':_0x39641b()[_0x1a8e17(0x1de2)](_0x366466,'id')})[_0x1a8e17(0x1d77)][_0x1a8e17(0x1cb0)](function(){_0x1aed90();})[_0x1a8e17(0x1c4)](function(_0x1246c6){_0x4df96e(_0x1246c6);});});}function _0x4db339(_0xfa9080){return _0x8f634e(function(_0x4703c6,_0x266c87){const _0x14640e=a0_0x5cbd;_0x39641b()[_0x14640e(0xce9)](_0xfa9080)?_0x4703c6():_0x26437e[_0x14640e(0x152c)][_0x14640e(0x223f)]({'id':_0x25421c[_0x14640e(0x152c)]['id'],'ids':_0x39641b()[_0x14640e(0x1de2)](_0xfa9080,'id')})[_0x14640e(0x1d77)]['then'](function(){_0x4703c6();})[_0x14640e(0x1c4)](function(_0x422364){_0x266c87(_0x422364);});});}function _0x15366c(){const _0x2665fe=_0x3fad4b,_0x163447=_0x39641b()[_0x2665fe(0x2128)](_0x25421c['startingSelectedItems'],_0x25421c['selectedItems'],'id'),_0x3c2222=_0x39641b()[_0x2665fe(0x2128)](_0x25421c[_0x2665fe(0x20bb)],_0x25421c['startingSelectedItems'],'id');return _0x4db339(_0x163447)[_0x2665fe(0x1cb0)](function(){return _0x2b4cbf(_0x3c2222);})[_0x2665fe(0x1cb0)](function(){const _0x1c0333=_0x2665fe;_0x25421c[_0x1c0333(0x50c)]=![],_0x25421c[_0x1c0333(0xbd5)]=angular[_0x1c0333(0x17fe)](_0x25421c[_0x1c0333(0x1372)]),_0x25421c[_0x1c0333(0x1456)]=angular[_0x1c0333(0x17fe)](_0x25421c[_0x1c0333(0x20bb)]),_0x12f8f8[_0x1c0333(0x829)]({'title':_0x1c0333(0x201),'msg':'Agents\x20association\x20has\x20been\x20updated!'});})[_0x2665fe(0x1c4)](function(_0x487f79){const _0x5ba233=_0x2665fe;_0x12f8f8[_0x5ba233(0x218e)]({'title':_0x487f79[_0x5ba233(0x291)]?'API:'+_0x487f79[_0x5ba233(0x291)]+_0x5ba233(0x1657)+_0x487f79[_0x5ba233(0xc22)]:_0x5ba233(0x1221),'msg':_0x487f79[_0x5ba233(0x291)]?JSON[_0x5ba233(0x2701)](_0x487f79['data']):_0x487f79[_0x5ba233(0x147f)]()});});}function _0x52db24(){const _0x9a9179=_0x3fad4b;_0x2e29dd[_0x9a9179(0x1426)]();}}const _0x4e1e2d=_0x334167;;const _0x331c83=_0x5074a3['p']+_0x5537c6(0x2114);;const _0x2fd839=_0x5074a3['p']+'src/js/modules/main/apps/voice/views/voicePrefixes/edit/agentadd/agentadd.html/agentadd.html';;_0x371248[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0xf46),_0x5537c6(0x44a),_0x5537c6(0x2199),'api','msUtils',_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x371248(_0x200f36,_0x44cf7b,_0xd878f3,_0x9e5b3,_0x3558c8,_0x3c0608,_0x4b65f1,_0x3bd93b,_0x3297e7,_0x4e1c72,_0x12d386,_0x48e753,_0x85463b,_0x49c15d,_0x404ecf,_0x16a9f1,_0x11e64f){const _0x1e954e=_0x5537c6,_0x4531f4=this;_0x4531f4[_0x1e954e(0x8a5)]=_0x16a9f1,_0x4531f4['setting']=_0x11e64f,_0x4531f4['currentUser']=_0x404ecf[_0x1e954e(0x21e8)](),_0x4531f4[_0x1e954e(0xf46)]=_0x3297e7||{'count':0x0,'rows':[]},_0x4531f4['userProfile']=_0x4e1c72,_0x4531f4['userProfileSection']=_0x12d386&&_0x12d386[_0x1e954e(0x184d)]==0x1?_0x12d386[_0x1e954e(0x2214)][0x0]:null,_0x4531f4[_0x1e954e(0x1b1a)]=_0x404ecf['parseCrudPermissions'](_0x4531f4['userProfileSection']?_0x4531f4[_0x1e954e(0x2199)][_0x1e954e(0x1b1a)]:null),_0x4531f4[_0x1e954e(0xc83)]=_0x1e954e(0xf46),_0x4531f4[_0x1e954e(0x1d20)]='',_0x4531f4['listOrderAsc']=null,_0x4531f4['selectedVoicePrefixes']=[],_0x4531f4[_0x1e954e(0xae2)]={'fields':'createdAt,updatedAt,id,name,VoiceExtensionId,callerIdAll,description','limit':0xa,'page':0x1},_0x4531f4[_0x1e954e(0xc93)]=_0x3f1ff1,_0x4531f4[_0x1e954e(0x1379)]=_0x6fccab,_0x4531f4[_0x1e954e(0x27fe)]=_0x956fc,_0x4531f4[_0x1e954e(0x829)]=_0x4006de,_0x4531f4['getVoicePrefixes']=_0xe8aa32,_0x4531f4[_0x1e954e(0x2175)]=_0x54db79,_0x4531f4[_0x1e954e(0xc0e)]=_0x242c2e,_0x4531f4[_0x1e954e(0x779)]=_0x5d2ca3,_0x4531f4['deleteSelectedVoicePrefixes']=_0x3d2a24,_0x4531f4[_0x1e954e(0x1327)]=_0x42e023,_0x4531f4['selectAllVoicePrefixes']=_0x300abd,_0x404ecf[_0x1e954e(0x22b6)](_0x1e954e(0x1c60))?_0x48e753['voiceExtension'][_0x1e954e(0xbf7)]({'fields':_0x1e954e(0x286f),'type':_0x1e954e(0x895),'VoiceExtensionId':_0x1e954e(0xd38),'nolimit':_0x1e954e(0x44d)})[_0x1e954e(0x1d77)][_0x1e954e(0x1cb0)](function(_0x5e7f52){const _0xe2ab7d=_0x1e954e;_0x4531f4['outboundRoutes']=_0x5e7f52[_0xe2ab7d(0x2214)]||[];})[_0x1e954e(0x1c4)](function(_0xc185d8){const _0x320edd=_0x1e954e;_0x49c15d[_0x320edd(0x218e)]({'title':_0xc185d8[_0x320edd(0x291)]?_0x320edd(0xeb9)+_0xc185d8[_0x320edd(0x291)]+'\x20-\x20'+_0xc185d8[_0x320edd(0xc22)]:_0x320edd(0x25ea),'msg':_0xc185d8[_0x320edd(0x25c)]?JSON[_0x320edd(0x2701)](_0xc185d8[_0x320edd(0x25c)]):_0xc185d8[_0x320edd(0x147f)]()});}):_0x48e753[_0x1e954e(0x1059)][_0x1e954e(0xbf7)]({'fields':'id,exten','type':'outbound','VoiceExtensionId':_0x1e954e(0xd38),'nolimit':_0x1e954e(0x44d)})['$promise'][_0x1e954e(0x1cb0)](function(_0x5ca031){const _0x73c7b3=_0x1e954e;_0x4531f4[_0x73c7b3(0xe57)]=_0x5ca031[_0x73c7b3(0x2214)]||[];})['then'](function(){const _0x274734=_0x1e954e;return _0x48e753['userProfileSection']['get']({'userProfileId':_0x4531f4[_0x274734(0xe76)][_0x274734(0x13c1)],'sectionId':0x193})['$promise'];})[_0x1e954e(0x1cb0)](function(_0x1e380d){const _0x5e95c5=_0x1e954e,_0x4816d1=_0x1e380d&&_0x1e380d[_0x5e95c5(0x2214)]?_0x1e380d[_0x5e95c5(0x2214)][0x0]:null;if(!_0x4816d1){const _0x14105c=[];let _0x1b1eaf=null;_0x4531f4[_0x5e95c5(0x152c)]&&(_0x1b1eaf=_0x39641b()['find'](_0x4531f4['outboundRoutes'],{'id':Number(_0x4531f4['voicePrefix'][_0x5e95c5(0x1cc7)])}));for(let _0x281088=0x0;_0x281088<_0x4531f4[_0x5e95c5(0xe57)][_0x5e95c5(0xfd0)];_0x281088++){_0x1b1eaf&&_0x4531f4[_0x5e95c5(0xe57)][_0x281088]['id']===_0x1b1eaf['id']&&(_0x4531f4[_0x5e95c5(0xe57)][_0x281088][_0x5e95c5(0x15da)]=![],_0x14105c['push'](_0x4531f4[_0x5e95c5(0xe57)][_0x281088]));}_0x4531f4['outboundRoutes']=_0x14105c;}else{if(!_0x4816d1['autoAssociation'])return _0x48e753[_0x5e95c5(0x1198)][_0x5e95c5(0xbf7)]({'sectionId':_0x4816d1['id']})['$promise'][_0x5e95c5(0x1cb0)](function(_0x4201f0){const _0x34142e=_0x5e95c5,_0x4ddfb6=_0x39641b()[_0x34142e(0x1de2)](_0x4201f0[_0x34142e(0x2214)],function(_0x37521a){const _0x361348=_0x34142e;return _0x39641b()['find'](_0x4531f4['outboundRoutes'],{'id':_0x37521a[_0x361348(0x2982)]});});let _0x378572=null;_0x4531f4[_0x34142e(0x152c)]&&(_0x378572=_0x39641b()[_0x34142e(0x13b4)](_0x4531f4[_0x34142e(0xe57)],{'id':Number(_0x4531f4[_0x34142e(0x152c)][_0x34142e(0x1cc7)])}));if(_0x378572&&!_0x39641b()[_0x34142e(0x727)](_0x4ddfb6,['id',_0x378572['id']])){const _0x22457a=_0x39641b()[_0x34142e(0x13b4)](_0x4531f4[_0x34142e(0xe57)],{'id':_0x378572['id']});_0x22457a[_0x34142e(0x15da)]=![],_0x4ddfb6['push'](_0x22457a);}_0x4531f4['outboundRoutes']=_0x4ddfb6;});}})['catch'](function(_0xc72386){const _0x4455bf=_0x1e954e;_0x49c15d['error']({'title':_0xc72386['status']?_0x4455bf(0xeb9)+_0xc72386['status']+'\x20-\x20'+_0xc72386[_0x4455bf(0xc22)]:_0x4455bf(0x15c5),'msg':_0xc72386[_0x4455bf(0x25c)]?JSON[_0x4455bf(0x2701)](_0xc72386[_0x4455bf(0x25c)]):_0xc72386['toString']()});});function _0x3f1ff1(_0x5b6313,_0x83dde6){const _0x53646b=_0x1e954e;_0x3558c8[_0x53646b(0xe27)]({'controller':_0x53646b(0x786),'controllerAs':'vm','templateUrl':_0x331c83,'parent':angular[_0x53646b(0x1853)](_0x3c0608[_0x53646b(0x1ed9)]),'targetEvent':_0x83dde6,'clickOutsideToClose':!![],'locals':{'voicePrefix':_0x5b6313,'voicePrefixes':_0x4531f4[_0x53646b(0xf46)][_0x53646b(0x2214)],'license':_0x4531f4['license'],'setting':null,'crudPermissions':_0x4531f4[_0x53646b(0x1b1a)]}});}function _0x6fccab(_0x269de9,_0x70aa2c){const _0x5db17b=_0x1e954e;_0x3558c8[_0x5db17b(0xe27)]({'controller':_0x5db17b(0x27de),'controllerAs':'vm','templateUrl':_0x2fd839,'parent':angular['element'](_0x3c0608[_0x5db17b(0x1ed9)]),'targetEvent':_0x70aa2c,'clickOutsideToClose':!![],'locals':{'voicePrefix':_0x269de9,'voicePrefixes':_0x4531f4['voicePrefixes']?_0x4531f4[_0x5db17b(0xf46)][_0x5db17b(0x2214)]:[],'crudPermissions':_0x4531f4['crudPermissions'],'realtime':![]}});}function _0x956fc(_0x58e6c0,_0x1d2b5f){const _0x5f4b6e=_0x1e954e,_0x5d1863=_0x3558c8[_0x5f4b6e(0x1551)]()[_0x5f4b6e(0x1386)](_0x5f4b6e(0x140b)+_0x39641b()['startCase']('voicePrefix')+'?')[_0x5f4b6e(0x49e)](''+(_0x58e6c0[_0x5f4b6e(0x16b6)]||_0x5f4b6e(0x152c))+''+_0x5f4b6e(0x1b6))[_0x5f4b6e(0x15ad)]('delete\x20voicePrefix')['targetEvent'](_0x1d2b5f)['ok']('OK')[_0x5f4b6e(0x696)](_0x5f4b6e(0x24ba));_0x3558c8[_0x5f4b6e(0xe27)](_0x5d1863)[_0x5f4b6e(0x1cb0)](function(){_0x242c2e(_0x58e6c0);},function(){const _0x58b204=_0x5f4b6e;console[_0x58b204(0x1b4f)](_0x58b204(0x24ba));});}let _0x3e2d9f=!![],_0x4590b5=0x1;_0x200f36[_0x1e954e(0x614)](_0x1e954e(0x957),function(_0xdcdde1,_0x4878b1){const _0x320903=_0x1e954e;_0x3e2d9f?_0x4b65f1(function(){_0x3e2d9f=![];}):(!_0x4878b1&&(_0x4590b5=_0x4531f4[_0x320903(0xae2)][_0x320903(0x1c7b)]),_0xdcdde1!==_0x4878b1&&(_0x4531f4[_0x320903(0xae2)][_0x320903(0x1c7b)]=0x1),!_0xdcdde1&&(_0x4531f4['query'][_0x320903(0x1c7b)]=_0x4590b5),_0x4531f4[_0x320903(0x26de)]());});function _0x4006de(_0x1cc7f5){_0x4531f4['voicePrefixes']=_0x1cc7f5||{'count':0x0,'rows':[]};}function _0xe8aa32(){const _0x24a27d=_0x1e954e;_0x4531f4['query']['offset']=(_0x4531f4[_0x24a27d(0xae2)][_0x24a27d(0x1c7b)]-0x1)*_0x4531f4[_0x24a27d(0xae2)][_0x24a27d(0x236)],_0x404ecf[_0x24a27d(0x22b6)](_0x24a27d(0x1c60))?_0x4531f4[_0x24a27d(0x2061)]=_0x48e753[_0x24a27d(0x152c)][_0x24a27d(0xbf7)](_0x4531f4[_0x24a27d(0xae2)],_0x4006de)['$promise']:(_0x4531f4[_0x24a27d(0xae2)]['id']=_0x4531f4[_0x24a27d(0x44a)]['id'],_0x4531f4[_0x24a27d(0xae2)][_0x24a27d(0x1f74)]=_0x24a27d(0x111b),_0x4531f4[_0x24a27d(0x2061)]=_0x48e753[_0x24a27d(0x44a)][_0x24a27d(0x1810)](_0x4531f4['query'],_0x4006de)[_0x24a27d(0x1d77)]);}function _0x54db79(_0x5f28b7,_0x43dd3a){const _0x372bc4=_0x1e954e;_0x3558c8[_0x372bc4(0xe27)]({'controller':_0x372bc4(0x786),'controllerAs':'vm','templateUrl':_0x331c83,'parent':angular['element'](_0x3c0608['body']),'targetEvent':_0x5f28b7,'clickOutsideToClose':!![],'locals':{'voicePrefix':_0x43dd3a,'voicePrefixes':_0x4531f4[_0x372bc4(0xf46)][_0x372bc4(0x2214)],'license':_0x4531f4['license'],'setting':_0x4531f4['setting'],'crudPermissions':_0x4531f4[_0x372bc4(0x1b1a)]}});}function _0x242c2e(_0x3f887a){const _0x4d1ce5=_0x1e954e;_0x48e753['voicePrefix'][_0x4d1ce5(0x111d)]({'id':_0x3f887a['id']})[_0x4d1ce5(0x1d77)][_0x4d1ce5(0x1cb0)](function(){const _0x329c33=_0x4d1ce5;_0x39641b()['remove'](_0x4531f4['voicePrefixes'][_0x329c33(0x2214)],{'id':_0x3f887a['id']}),_0x4531f4[_0x329c33(0xf46)][_0x329c33(0x184d)]-=0x1,!_0x4531f4['voicePrefixes']['rows'][_0x329c33(0xfd0)]&&_0x4531f4[_0x329c33(0x26de)](),_0x49c15d[_0x329c33(0x829)]({'title':_0x39641b()['startCase'](_0x329c33(0x152c))+_0x329c33(0x2663),'msg':_0x3f887a['name']?_0x3f887a[_0x329c33(0x16b6)]+'\x20has\x20been\x20deleted!':''});})[_0x4d1ce5(0x1c4)](function(_0x1ca541){const _0xc304b5=_0x4d1ce5;if(_0x1ca541[_0xc304b5(0x25c)]&&_0x1ca541[_0xc304b5(0x25c)]['errors']&&_0x1ca541[_0xc304b5(0x25c)]['errors'][_0xc304b5(0xfd0)]){_0x4531f4[_0xc304b5(0x1a7c)]=_0x1ca541[_0xc304b5(0x25c)][_0xc304b5(0x1a7c)]||[{'message':_0x1ca541[_0xc304b5(0x147f)](),'type':_0xc304b5(0x159a)}];for(let _0x50bb56=0x0;_0x50bb56<_0x1ca541[_0xc304b5(0x25c)][_0xc304b5(0x1a7c)][_0xc304b5(0xfd0)];_0x50bb56++){_0x49c15d[_0xc304b5(0x218e)]({'title':_0x1ca541[_0xc304b5(0x25c)][_0xc304b5(0x1a7c)][_0x50bb56]['type'],'msg':_0x1ca541[_0xc304b5(0x25c)][_0xc304b5(0x1a7c)][_0x50bb56]['message']});}}else _0x49c15d[_0xc304b5(0x218e)]({'title':_0x1ca541[_0xc304b5(0x291)]?'API:'+_0x1ca541['status']+_0xc304b5(0x1657)+_0x1ca541[_0xc304b5(0xc22)]:'SYSTEM:DELETEvoicePrefix','msg':_0x1ca541[_0xc304b5(0x25c)]?JSON[_0xc304b5(0x2701)](_0x1ca541[_0xc304b5(0x25c)][_0xc304b5(0x155e)]):_0x1ca541[_0xc304b5(0x155e)]||_0x1ca541[_0xc304b5(0x147f)]()});});}function _0x5d2ca3(){const _0x47572f=_0x1e954e,_0x2c73eb=angular['copy'](_0x4531f4[_0x47572f(0x541)]);return _0x4531f4[_0x47572f(0x541)]=[],_0x2c73eb;}function _0x3d2a24(_0x1cb2b0){const _0xc2cbfd=_0x1e954e,_0x468e46=_0x3558c8['confirm']()['title'](_0xc2cbfd(0x474))[_0xc2cbfd(0x49e)](_0xc2cbfd(0x204d)+_0x4531f4[_0xc2cbfd(0x541)][_0xc2cbfd(0xfd0)]+_0xc2cbfd(0x1d6c)+'\x20will\x20be\x20deleted.')[_0xc2cbfd(0x15ad)]('delete\x20voicePrefixs')[_0xc2cbfd(0x728)](_0x1cb2b0)['ok']('OK')[_0xc2cbfd(0x696)](_0xc2cbfd(0x24ba));_0x3558c8[_0xc2cbfd(0xe27)](_0x468e46)[_0xc2cbfd(0x1cb0)](function(){const _0x1cc433=_0xc2cbfd;_0x4531f4['selectedVoicePrefixes']['forEach'](function(_0x3be41f){_0x242c2e(_0x3be41f);}),_0x4531f4[_0x1cc433(0x541)]=[];});}function _0x42e023(){const _0x4c6b59=_0x1e954e;_0x4531f4[_0x4c6b59(0x541)]=[];}function _0x300abd(){const _0x16ec50=_0x1e954e;_0x4531f4[_0x16ec50(0x541)]=_0x4531f4[_0x16ec50(0xf46)][_0x16ec50(0x2214)];}}const _0x5f19df=_0x371248;;_0x59a035[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$state',_0x5537c6(0x21c8),'$mdDialog','$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x278a),_0x5537c6(0x26b3),_0x5537c6(0x142b),'Auth',_0x5537c6(0x8a5),'setting',_0x5537c6(0x1b1a)];function _0x59a035(_0x261c2d,_0x5bade6,_0x57b4b9,_0x290eb8,_0x36f1bf,_0x1dfce2,_0x57e496,_0x3a6c3a,_0x3cd771,_0x3c42b4,_0x3adaf9,_0x4db91e,_0x4af946,_0x52b9b0){const _0x33b470=_0x5537c6,_0x19ea75=this;_0x19ea75[_0x33b470(0xe76)]=_0x3adaf9['getCurrentUser'](),_0x19ea75['errors']=[],_0x19ea75[_0x33b470(0x9ca)]=_0x4af946,_0x19ea75[_0x33b470(0x8a5)]=_0x4db91e,_0x19ea75[_0x33b470(0x1b1a)]=_0x52b9b0,_0x19ea75[_0x33b470(0xf4c)]={},_0x19ea75[_0x33b470(0x1b0c)]=_0x19ea75['setting']&&_0x19ea75[_0x33b470(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x19ea75[_0x33b470(0x1386)]=_0x33b470(0x1337),_0x19ea75[_0x33b470(0x26b3)]=angular['copy'](_0x3cd771),_0x19ea75[_0x33b470(0x278a)]=_0x3a6c3a,_0x19ea75[_0x33b470(0x1caa)]=![];!_0x19ea75['voiceQueue']&&(_0x19ea75['voiceQueue']={'type':_0x33b470(0x26c0),'strategy':'ringall','timeout':0xf,'retry':0x2,'random_periodic_announce':'no','announce_holdtime':'no','announce_position':'no','reportholdtime':'no','autopause':'no','ringinuse':'no','timeoutrestart':'no','setinterfacevar':'no','setqueuevar':'no','setqueueentryvar':'no'},_0x19ea75[_0x33b470(0x1386)]=_0x33b470(0x17b),_0x19ea75['newVoiceQueue']=!![]);_0x19ea75[_0x33b470(0x160a)]=_0x10ceaa,_0x19ea75[_0x33b470(0x10ab)]=_0x5129e2,_0x19ea75[_0x33b470(0x1d75)]=_0x58f2cb,_0x19ea75[_0x33b470(0x2c4)]=_0x482f43,_0x19ea75[_0x33b470(0xda0)]=_0x380ad3;function _0x10ceaa(){const _0x3d42df=_0x33b470;_0x19ea75[_0x3d42df(0x1a7c)]=[],_0x3c42b4['voiceQueue'][_0x3d42df(0x1c3f)](_0x19ea75['voiceQueue'])[_0x3d42df(0x1d77)]['then'](function(_0x139579){const _0x3c6181=_0x3d42df;_0x19ea75[_0x3c6181(0x278a)][_0x3c6181(0xf63)](_0x139579[_0x3c6181(0x19b2)]()),_0x57e496[_0x3c6181(0x829)]({'title':'VoiceQueue\x20properly\x20created','msg':_0x19ea75[_0x3c6181(0x26b3)][_0x3c6181(0x16b6)]?_0x19ea75[_0x3c6181(0x26b3)][_0x3c6181(0x16b6)]+_0x3c6181(0x470):''}),_0x380ad3(_0x139579);})[_0x3d42df(0x1c4)](function(_0x311180){const _0x55a95c=_0x3d42df;if(_0x311180['data']&&_0x311180['data'][_0x55a95c(0x1a7c)]&&_0x311180['data']['errors']['length']){_0x19ea75['errors']=_0x311180[_0x55a95c(0x25c)]['errors']||[{'message':_0x311180[_0x55a95c(0x147f)](),'type':_0x55a95c(0x1bb)}];for(let _0x2f2846=0x0;_0x2f2846<_0x311180[_0x55a95c(0x25c)][_0x55a95c(0x1a7c)][_0x55a95c(0xfd0)];_0x2f2846+=0x1){_0x57e496[_0x55a95c(0x218e)]({'title':_0x311180[_0x55a95c(0x25c)][_0x55a95c(0x1a7c)][_0x2f2846]['type'],'msg':_0x311180[_0x55a95c(0x25c)][_0x55a95c(0x1a7c)][_0x2f2846]['message']});}}else _0x57e496[_0x55a95c(0x218e)]({'title':_0x311180['status']?_0x55a95c(0xeb9)+_0x311180[_0x55a95c(0x291)]+_0x55a95c(0x1657)+_0x311180[_0x55a95c(0xc22)]:_0x55a95c(0x1bb),'msg':_0x311180[_0x55a95c(0x25c)]?JSON[_0x55a95c(0x2701)](_0x311180['data'][_0x55a95c(0x155e)]):_0x311180['toString']()});});}function _0x5129e2(){const _0x2f9785=_0x33b470;_0x19ea75[_0x2f9785(0x1a7c)]=[],_0x3c42b4['voiceQueue'][_0x2f9785(0x687)]({'id':_0x19ea75[_0x2f9785(0x26b3)]['id']},_0x19ea75[_0x2f9785(0x26b3)])['$promise'][_0x2f9785(0x1cb0)](function(_0x34fa5b){const _0x506147=_0x2f9785,_0x2b1eac=_0x39641b()[_0x506147(0x13b4)](_0x19ea75[_0x506147(0x278a)],{'id':_0x34fa5b['id']});_0x2b1eac&&_0x39641b()[_0x506147(0x9c1)](_0x2b1eac,_0x39641b()[_0x506147(0x169b)](_0x34fa5b[_0x506147(0x19b2)](),_0x39641b()[_0x506147(0x1be5)](_0x2b1eac))),_0x57e496[_0x506147(0x829)]({'title':_0x506147(0x1c2d),'msg':_0x19ea75[_0x506147(0x26b3)][_0x506147(0x16b6)]?_0x19ea75[_0x506147(0x26b3)][_0x506147(0x16b6)]+'\x20has\x20been\x20saved!':''}),_0x380ad3(_0x34fa5b);})[_0x2f9785(0x1c4)](function(_0x307bc3){const _0x285fd9=_0x2f9785;if(_0x307bc3[_0x285fd9(0x25c)]&&_0x307bc3[_0x285fd9(0x25c)][_0x285fd9(0x1a7c)]&&_0x307bc3[_0x285fd9(0x25c)][_0x285fd9(0x1a7c)][_0x285fd9(0xfd0)]){_0x19ea75[_0x285fd9(0x1a7c)]=_0x307bc3[_0x285fd9(0x25c)][_0x285fd9(0x1a7c)]||[{'message':_0x307bc3[_0x285fd9(0x147f)](),'type':_0x285fd9(0x2396)}];for(let _0x276d83=0x0;_0x276d83<_0x307bc3[_0x285fd9(0x25c)][_0x285fd9(0x1a7c)][_0x285fd9(0xfd0)];_0x276d83++){_0x57e496[_0x285fd9(0x218e)]({'title':_0x307bc3[_0x285fd9(0x25c)][_0x285fd9(0x1a7c)][_0x276d83]['type'],'msg':_0x307bc3[_0x285fd9(0x25c)]['errors'][_0x276d83][_0x285fd9(0x155e)]});}}else _0x57e496['error']({'title':_0x307bc3['status']?_0x285fd9(0xeb9)+_0x307bc3[_0x285fd9(0x291)]+'\x20-\x20'+_0x307bc3['statusText']:_0x285fd9(0x2396),'msg':_0x307bc3[_0x285fd9(0x25c)]?JSON[_0x285fd9(0x2701)](_0x307bc3['data']['message']):_0x307bc3[_0x285fd9(0x147f)]()});});}function _0x58f2cb(_0x1e60fa){const _0x1e5966=_0x33b470;_0x19ea75['errors']=[];const _0xdb9f7b=_0x290eb8[_0x1e5966(0x1551)]()[_0x1e5966(0x1386)](_0x1e5966(0x1a2e))[_0x1e5966(0x862)](_0x1e5966(0x169a))[_0x1e5966(0x15ad)]('Delete\x20VoiceQueue')['ok']('Delete')['cancel']('Cancel')[_0x1e5966(0x728)](_0x1e60fa);_0x290eb8[_0x1e5966(0xe27)](_0xdb9f7b)[_0x1e5966(0x1cb0)](function(){const _0x3af200=_0x1e5966;_0x3c42b4['voiceQueue']['delete']({'id':_0x19ea75[_0x3af200(0x26b3)]['id']})[_0x3af200(0x1d77)]['then'](function(){const _0x4888f3=_0x3af200;_0x39641b()['remove'](_0x19ea75['voiceQueues'],{'id':_0x19ea75[_0x4888f3(0x26b3)]['id']}),_0x57e496[_0x4888f3(0x829)]({'title':_0x4888f3(0x16ea),'msg':(_0x19ea75[_0x4888f3(0x26b3)][_0x4888f3(0x16b6)]||_0x4888f3(0x26b3))+_0x4888f3(0x3f5)}),_0x380ad3(_0x19ea75[_0x4888f3(0x26b3)]);})[_0x3af200(0x1c4)](function(_0x150a9f){const _0x3ffc47=_0x3af200;if(_0x150a9f['data']&&_0x150a9f['data']['errors']&&_0x150a9f[_0x3ffc47(0x25c)]['errors'][_0x3ffc47(0xfd0)]){_0x19ea75['errors']=_0x150a9f[_0x3ffc47(0x25c)][_0x3ffc47(0x1a7c)]||[{'message':_0x150a9f[_0x3ffc47(0x147f)](),'type':_0x3ffc47(0x1cb2)}];for(let _0x475f4b=0x0;_0x475f4b<_0x150a9f[_0x3ffc47(0x25c)][_0x3ffc47(0x1a7c)][_0x3ffc47(0xfd0)];_0x475f4b++){_0x57e496[_0x3ffc47(0x218e)]({'title':_0x150a9f[_0x3ffc47(0x25c)][_0x3ffc47(0x1a7c)][_0x475f4b][_0x3ffc47(0x66a)],'msg':_0x150a9f['data'][_0x3ffc47(0x1a7c)][_0x475f4b]['message']});}}else _0x57e496[_0x3ffc47(0x218e)]({'title':_0x150a9f[_0x3ffc47(0x291)]?_0x3ffc47(0xeb9)+_0x150a9f[_0x3ffc47(0x291)]+_0x3ffc47(0x1657)+_0x150a9f[_0x3ffc47(0xc22)]:_0x3ffc47(0x1cb2),'msg':_0x150a9f[_0x3ffc47(0x25c)]?JSON['stringify'](_0x150a9f[_0x3ffc47(0x25c)]['message']):_0x150a9f[_0x3ffc47(0x155e)]||_0x150a9f[_0x3ffc47(0x147f)]()});});},function(){});}function _0x482f43(_0x20f893){return _0x20f893===null?undefined:new Date(_0x20f893);}function _0x380ad3(_0x459e32){_0x290eb8['hide'](_0x459e32);}}const _0x14261d=_0x59a035;;_0x1ce97e[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x26b3),_0x5537c6(0x278a),_0x5537c6(0x217b),_0x5537c6(0x1ae),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x1ce97e(_0x3ce958,_0x18630a,_0x3dcf49,_0x35f405,_0x335cff,_0x300ebc,_0x4ab0f4,_0x278bef,_0x3cba40,_0x4c772a){const _0x4afae2=_0x5537c6,_0x4e1e5a=this;_0x4e1e5a[_0x4afae2(0xe76)]=_0x3cba40[_0x4afae2(0x21e8)](),_0x4e1e5a['voiceQueue']=_0x335cff,_0x4e1e5a[_0x4afae2(0x1b1a)]=_0x4c772a,_0x4e1e5a[_0x4afae2(0x1cbc)]=0x0,_0x4e1e5a[_0x4afae2(0x217b)]=_0x4ab0f4,_0x4e1e5a[_0x4afae2(0x122f)]=[],_0x4e1e5a[_0x4afae2(0x1372)]=[],_0x4e1e5a['selectedItems']=[],_0x4e1e5a[_0x4afae2(0xbd5)]=[],_0x4e1e5a['startingSelectedItems']=[],_0x4e1e5a[_0x4afae2(0x50c)]=![],_0x4e1e5a[_0x4afae2(0x1a34)]=_0x2f718c,_0x4e1e5a[_0x4afae2(0x1dd5)]=_0x386cd5,_0x4e1e5a['closeDialog']=_0x2de395,_0x4e1e5a[_0x4afae2(0x18c0)]={'readOnly':!_0x4e1e5a[_0x4afae2(0x1b1a)][_0x4afae2(0xb3d)],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':'name','line1':_0x4afae2(0x1d14),'line2':[_0x4afae2(0x16b6),_0x4afae2(0xdbd)],'line3':_0x4afae2(0x1cbc),'labelAll':_0x278bef['instant'](_0x4afae2(0x21e7)),'labelSelected':_0x278bef['instant'](_0x4afae2(0x1cd5)),'transferCallback':function(_0x4c5906,_0x4e64ce){const _0x28b008=_0x4afae2;_0x4e64ce?_0x4c5906[_0x28b008(0xf90)](function(_0x113cf4){const _0x52363e=_0x28b008;_0x113cf4[_0x52363e(0x1cbc)]=undefined;}):_0x4c5906[_0x28b008(0xf90)](function(_0x5d9e28){const _0xe410fa=_0x28b008;_0x5d9e28[_0xe410fa(0x1cbc)]=_0xe410fa(0x455)+_0x4e1e5a[_0xe410fa(0x1cbc)];});const _0x3f38a4=_0x39641b()[_0x28b008(0x218a)](_0x4e1e5a[_0x28b008(0x1456)],_0x4e1e5a[_0x28b008(0x20bb)],function(_0x2ceb04,_0x25421b){const _0xac9dea=_0x28b008;return _0x2ceb04['id']===_0x25421b['id']&&_0x2ceb04[_0xac9dea(0x1cbc)]===_0x25421b['penalty'];});_0x4e1e5a[_0x28b008(0x50c)]=_0x39641b()['isEmpty'](_0x3f38a4)?![]:!![];}};function _0x2f718c(){const _0x525cdd=_0x4afae2;return _0x3cba40[_0x525cdd(0x22b6)]('admin')?_0x59d3fe()[_0x525cdd(0x1c4)](function(_0x1af564){const _0x500b49=_0x525cdd;_0x3dcf49[_0x500b49(0x218e)]({'title':_0x1af564[_0x500b49(0x291)]?_0x500b49(0xeb9)+_0x1af564[_0x500b49(0x291)]+'\x20-\x20'+_0x1af564[_0x500b49(0xc22)]:'SYSTEM:GET_AGENTS','msg':_0x1af564[_0x500b49(0x291)]?JSON['stringify'](_0x1af564[_0x500b49(0x25c)]):_0x1af564[_0x500b49(0x147f)]()});}):_0x4624c5()['then'](function(_0x164e23){const _0x4c8652=_0x525cdd;return _0x4e1e5a[_0x4c8652(0x1f74)]=_0x164e23,_0x59d3fe();})[_0x525cdd(0x1c4)](function(_0xe66405){const _0x53ccfb=_0x525cdd;_0x3dcf49['error']({'title':_0xe66405[_0x53ccfb(0x291)]?_0x53ccfb(0xeb9)+_0xe66405[_0x53ccfb(0x291)]+'\x20-\x20'+_0xe66405['statusText']:_0x53ccfb(0x799),'msg':_0xe66405[_0x53ccfb(0x291)]?JSON[_0x53ccfb(0x2701)](_0xe66405[_0x53ccfb(0x25c)]):_0xe66405[_0x53ccfb(0x147f)]()});});}function _0x4624c5(){return _0x18630a(function(_0x489f72,_0x542947){const _0x2215b6=a0_0x5cbd;_0x35f405[_0x2215b6(0x2199)][_0x2215b6(0xbf7)]({'userProfileId':_0x4e1e5a[_0x2215b6(0xe76)]['userProfileId'],'name':_0x2215b6(0x2536)})[_0x2215b6(0x1d77)][_0x2215b6(0x1cb0)](function(_0x5655d1){const _0x41781e=_0x2215b6,_0x24ff38=_0x5655d1&&_0x5655d1[_0x41781e(0x2214)]?_0x5655d1[_0x41781e(0x2214)][0x0]:null;_0x489f72(_0x24ff38);})[_0x2215b6(0x1c4)](function(_0x330cb2){_0x542947(_0x330cb2);});});}function _0x59d3fe(){return _0x18630a(function(_0x3ba2da,_0x579145){const _0x435f5c=a0_0x5cbd;return _0x404d68()['then'](function(_0x57a546){const _0xd5710c=a0_0x5cbd;return _0x4e1e5a[_0xd5710c(0x122f)]=_0x57a546[_0xd5710c(0x2214)]?_0x57a546['rows']:[],_0x3cba40[_0xd5710c(0x22b6)](_0xd5710c(0x1c60))?_0x57a546:_0x4e1e5a[_0xd5710c(0x1f74)]?_0x4e1e5a[_0xd5710c(0x1f74)][_0xd5710c(0x12f4)]?_0x57a546:_0x3cac66():null;})[_0x435f5c(0x1cb0)](function(_0x15fcd9){const _0x432b36=_0x435f5c,_0xacf87e=_0x15fcd9&&_0x15fcd9[_0x432b36(0x2214)]?_0x15fcd9[_0x432b36(0x2214)]:[];return _0x4e1e5a[_0x432b36(0x1372)]=_0x39641b()['map'](_0xacf87e,function(_0x467a6f){const _0x387981=_0x432b36;return _0x39641b()[_0x387981(0x13b4)](_0x4e1e5a['items'],{'id':_0x3cba40[_0x387981(0x22b6)](_0x387981(0x1c60))||_0x4e1e5a[_0x387981(0x1f74)][_0x387981(0x12f4)]?_0x467a6f['id']:_0x467a6f['resourceId']});}),_0x4e1e5a['startingAllowedItems']=angular[_0x432b36(0x17fe)](_0x4e1e5a[_0x432b36(0x1372)]),_0x4e1e5a[_0x432b36(0x122f)][_0x432b36(0xf90)](function(_0x559fc7){const _0x18bf73=_0x432b36,_0x356726=_0x39641b()[_0x18bf73(0x13b4)](_0x4e1e5a[_0x18bf73(0x1372)],{'id':_0x559fc7['id']});_0x3cba40[_0x18bf73(0x22b6)](_0x18bf73(0x1c60))?_0x559fc7[_0x18bf73(0x1a4f)]=!![]:_0x559fc7[_0x18bf73(0x1a4f)]=typeof _0x356726!==_0x18bf73(0x16b5)?!![]:![];}),_0x48bf56();})[_0x435f5c(0x1cb0)](function(_0x4bd272){const _0x1e19ff=_0x435f5c,_0x3c0dcf=_0x4bd272&&_0x4bd272['rows']?_0x4bd272['rows']:[];_0x4e1e5a['selectedItems']=_0x39641b()[_0x1e19ff(0x1de2)](_0x3c0dcf,function(_0x2c40a1){const _0x1798f8=_0x1e19ff,_0x3979eb=_0x39641b()[_0x1798f8(0x13b4)](_0x4e1e5a[_0x1798f8(0x122f)],{'id':_0x2c40a1['id']});return _0x3979eb['penalty']=_0x2c40a1['UserVoiceQueue']?_0x1798f8(0x455)+_0x2c40a1[_0x1798f8(0x2071)]['penalty']:'',_0x3979eb['internal']=typeof _0x2c40a1[_0x1798f8(0xdbd)]!==_0x1798f8(0x16b5)?'<'+_0x2c40a1[_0x1798f8(0xdbd)]+'>':'',_0x3979eb;}),_0x4e1e5a[_0x1e19ff(0x1456)]=angular[_0x1e19ff(0x17fe)](_0x4e1e5a[_0x1e19ff(0x20bb)]),_0x4e1e5a[_0x1e19ff(0x18c0)][_0x1e19ff(0x20bb)]=_0x4e1e5a[_0x1e19ff(0x20bb)],_0x4e1e5a[_0x1e19ff(0x18c0)][_0x1e19ff(0x122f)]=_0x39641b()[_0x1e19ff(0x2128)](_0x4e1e5a[_0x1e19ff(0x1372)],_0x4e1e5a[_0x1e19ff(0x18c0)][_0x1e19ff(0x20bb)],'id'),_0x3ba2da();})[_0x435f5c(0x1c4)](function(_0x1e1082){_0x579145(_0x1e1082);});});}function _0x3cac66(){return _0x18630a(function(_0x5387be,_0x432464){const _0x15e089=a0_0x5cbd;return _0x35f405[_0x15e089(0x1198)][_0x15e089(0xbf7)]({'sectionId':_0x4e1e5a[_0x15e089(0x1f74)]['id'],'nolimit':!![]})[_0x15e089(0x1d77)][_0x15e089(0x1cb0)](function(_0x1a6179){_0x5387be(_0x1a6179);})['catch'](function(_0x2f105b){_0x432464(_0x2f105b);});});}function _0x48bf56(){return _0x18630a(function(_0x3c47da,_0x1730f9){const _0x3cd010=a0_0x5cbd;return _0x35f405[_0x3cd010(0x26b3)][_0x3cd010(0x2348)]({'id':_0x4e1e5a[_0x3cd010(0x26b3)]['id'],'fields':_0x3cd010(0x251e),'nolimit':!![],'role':'agent'})['$promise'][_0x3cd010(0x1cb0)](function(_0x253a47){_0x3c47da(_0x253a47);})['catch'](function(_0x3a59b6){_0x1730f9(_0x3a59b6);});});}function _0x404d68(){return _0x18630a(function(_0x340bc7,_0x295739){const _0x43a5f9=a0_0x5cbd;return _0x35f405['user']['get']({'fields':_0x43a5f9(0x251e),'nolimit':!![],'role':_0x43a5f9(0x1eff)})[_0x43a5f9(0x1d77)][_0x43a5f9(0x1cb0)](function(_0x3e4f48){_0x340bc7(_0x3e4f48);})[_0x43a5f9(0x1c4)](function(_0x52dce4){_0x295739(_0x52dce4);});});}function _0x14cab1(_0x3b1d7e){return _0x18630a(function(_0x5a9766,_0x10d6ec){const _0x446494=a0_0x5cbd;if(_0x39641b()[_0x446494(0xce9)](_0x3b1d7e))_0x5a9766();else{const _0x5a84f3=[],_0x3353b4=_0x39641b()[_0x446494(0x1374)](_0x3b1d7e,_0x446494(0x1cbc));for(let _0x4d3dde=0x0;_0x4d3dde'+_0x30c78f['selectedVoiceQueues']['length']+_0x1df2fa(0x1d6c)+_0x1df2fa(0x1b6))[_0x1df2fa(0x15ad)](_0x1df2fa(0x1da4))['targetEvent'](_0xee3c7e)['ok']('OK')['cancel'](_0x1df2fa(0x24ba));_0x384aca[_0x1df2fa(0xe27)](_0x534e02)['then'](function(){const _0x16879e=_0x1df2fa;_0x30c78f[_0x16879e(0xc06)]['forEach'](function(_0x2a14f7){_0x2531b8(_0x2a14f7);}),_0x30c78f[_0x16879e(0xc06)]=[];});}function _0x361734(){_0x30c78f['selectedVoiceQueues']=[];}function _0x40cb21(){const _0x4020e7=_0x340ebe;_0x30c78f[_0x4020e7(0xc06)]=_0x30c78f['voiceQueues'][_0x4020e7(0x2214)];}}const _0x38499e=_0x1d4d83;;_0xe2fda[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$state',_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x44e),'voiceRecording',_0x5537c6(0x142b),_0x5537c6(0x1774),'license','setting',_0x5537c6(0x1b1a)];function _0xe2fda(_0x4eb439,_0x23096d,_0x2370fc,_0x47386c,_0x7482b6,_0x1aec3d,_0x38189a,_0x5056df,_0x427433,_0x3dce9b,_0x362ad1,_0xb140d9,_0x3422f7,_0x62e2d8){const _0x2ac73a=_0x5537c6,_0x9d549=this;_0x9d549[_0x2ac73a(0xe76)]=_0x362ad1['getCurrentUser'](),_0x9d549['errors']=[],_0x9d549[_0x2ac73a(0x9ca)]=_0x3422f7,_0x9d549['license']=_0xb140d9,_0x9d549[_0x2ac73a(0x1b1a)]=_0x62e2d8,_0x9d549[_0x2ac73a(0xf4c)]={},_0x9d549[_0x2ac73a(0x1b0c)]=_0x9d549['setting']&&_0x9d549[_0x2ac73a(0x9ca)][_0x2ac73a(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x9d549[_0x2ac73a(0x1386)]=_0x2ac73a(0x2753),_0x9d549[_0x2ac73a(0x23d1)]=angular[_0x2ac73a(0x17fe)](_0x427433),_0x9d549['voiceRecordings']=_0x5056df,_0x9d549['newVoiceRecording']=![];!_0x9d549[_0x2ac73a(0x23d1)]&&(_0x9d549['voiceRecording']={},_0x9d549[_0x2ac73a(0x1386)]='VOICE.NEW_VOICERECORDING',_0x9d549[_0x2ac73a(0x22ff)]=!![]);_0x9d549[_0x2ac73a(0x227c)]=_0x1840ab,_0x9d549[_0x2ac73a(0x57e)]=_0x499490,_0x9d549[_0x2ac73a(0x1f14)]=_0xb9d4ae,_0x9d549[_0x2ac73a(0x2c4)]=_0x539fa4,_0x9d549[_0x2ac73a(0xda0)]=_0x32f0f7;function _0x1840ab(){const _0x179168=_0x2ac73a;_0x9d549[_0x179168(0x1a7c)]=[],_0x3dce9b['voiceRecording']['save'](_0x9d549[_0x179168(0x23d1)])[_0x179168(0x1d77)]['then'](function(_0x302e22){const _0x438b35=_0x179168;_0x9d549[_0x438b35(0x44e)][_0x438b35(0xf63)](_0x302e22[_0x438b35(0x19b2)]()),_0x38189a[_0x438b35(0x829)]({'title':_0x438b35(0x1912),'msg':_0x9d549[_0x438b35(0x23d1)][_0x438b35(0x16b6)]?_0x9d549[_0x438b35(0x23d1)]['name']+_0x438b35(0x470):''}),_0x32f0f7(_0x302e22);})[_0x179168(0x1c4)](function(_0x34fd0b){const _0x48417c=_0x179168;if(_0x34fd0b[_0x48417c(0x25c)]&&_0x34fd0b[_0x48417c(0x25c)][_0x48417c(0x1a7c)]&&_0x34fd0b[_0x48417c(0x25c)]['errors'][_0x48417c(0xfd0)]){_0x9d549[_0x48417c(0x1a7c)]=_0x34fd0b[_0x48417c(0x25c)][_0x48417c(0x1a7c)]||[{'message':_0x34fd0b[_0x48417c(0x147f)](),'type':_0x48417c(0x25a2)}];for(let _0x2848f3=0x0;_0x2848f3<_0x34fd0b[_0x48417c(0x25c)]['errors'][_0x48417c(0xfd0)];_0x2848f3+=0x1){_0x38189a[_0x48417c(0x218e)]({'title':_0x34fd0b[_0x48417c(0x25c)][_0x48417c(0x1a7c)][_0x2848f3]['type'],'msg':_0x34fd0b[_0x48417c(0x25c)][_0x48417c(0x1a7c)][_0x2848f3][_0x48417c(0x155e)]});}}else _0x38189a['error']({'title':_0x34fd0b[_0x48417c(0x291)]?_0x48417c(0xeb9)+_0x34fd0b[_0x48417c(0x291)]+_0x48417c(0x1657)+_0x34fd0b[_0x48417c(0xc22)]:'api.voiceRecording.save','msg':_0x34fd0b[_0x48417c(0x25c)]?JSON[_0x48417c(0x2701)](_0x34fd0b[_0x48417c(0x25c)]['message']):_0x34fd0b[_0x48417c(0x147f)]()});});}function _0x499490(){const _0xefefa=_0x2ac73a;_0x9d549[_0xefefa(0x1a7c)]=[],_0x3dce9b['voiceRecording'][_0xefefa(0x687)]({'id':_0x9d549[_0xefefa(0x23d1)]['id']},_0x9d549['voiceRecording'])['$promise'][_0xefefa(0x1cb0)](function(_0xb0a89f){const _0x81e94b=_0xefefa,_0x12f7f6=_0x39641b()[_0x81e94b(0x13b4)](_0x9d549[_0x81e94b(0x44e)],{'id':_0xb0a89f['id']});_0x12f7f6&&_0x39641b()[_0x81e94b(0x9c1)](_0x12f7f6,_0x39641b()[_0x81e94b(0x169b)](_0xb0a89f['toJSON'](),_0x39641b()[_0x81e94b(0x1be5)](_0x12f7f6))),_0x38189a[_0x81e94b(0x829)]({'title':_0x81e94b(0x29a1),'msg':_0x9d549[_0x81e94b(0x23d1)][_0x81e94b(0x16b6)]?_0x9d549[_0x81e94b(0x23d1)][_0x81e94b(0x16b6)]+_0x81e94b(0xedb):''}),_0x32f0f7(_0xb0a89f);})[_0xefefa(0x1c4)](function(_0x3a9be3){const _0x3686c9=_0xefefa;if(_0x3a9be3[_0x3686c9(0x25c)]&&_0x3a9be3[_0x3686c9(0x25c)][_0x3686c9(0x1a7c)]&&_0x3a9be3[_0x3686c9(0x25c)][_0x3686c9(0x1a7c)][_0x3686c9(0xfd0)]){_0x9d549[_0x3686c9(0x1a7c)]=_0x3a9be3['data']['errors']||[{'message':_0x3a9be3[_0x3686c9(0x147f)](),'type':_0x3686c9(0x20a7)}];for(let _0x218c30=0x0;_0x218c30<_0x3a9be3[_0x3686c9(0x25c)]['errors'][_0x3686c9(0xfd0)];_0x218c30++){_0x38189a[_0x3686c9(0x218e)]({'title':_0x3a9be3[_0x3686c9(0x25c)][_0x3686c9(0x1a7c)][_0x218c30][_0x3686c9(0x66a)],'msg':_0x3a9be3['data'][_0x3686c9(0x1a7c)][_0x218c30][_0x3686c9(0x155e)]});}}else _0x38189a['error']({'title':_0x3a9be3['status']?_0x3686c9(0xeb9)+_0x3a9be3['status']+_0x3686c9(0x1657)+_0x3a9be3['statusText']:_0x3686c9(0x20a7),'msg':_0x3a9be3[_0x3686c9(0x25c)]?JSON[_0x3686c9(0x2701)](_0x3a9be3[_0x3686c9(0x25c)][_0x3686c9(0x155e)]):_0x3a9be3[_0x3686c9(0x147f)]()});});}function _0xb9d4ae(_0x1b1420){const _0xc5ca71=_0x2ac73a;_0x9d549[_0xc5ca71(0x1a7c)]=[];const _0x46684a=_0x47386c[_0xc5ca71(0x1551)]()[_0xc5ca71(0x1386)](_0xc5ca71(0x1a2e))[_0xc5ca71(0x862)]('The\x20voiceRecording\x20will\x20be\x20deleted.')[_0xc5ca71(0x15ad)](_0xc5ca71(0x174f))['ok']('Delete')[_0xc5ca71(0x696)](_0xc5ca71(0xde1))['targetEvent'](_0x1b1420);_0x47386c[_0xc5ca71(0xe27)](_0x46684a)[_0xc5ca71(0x1cb0)](function(){const _0x3c2d89=_0xc5ca71;_0x3dce9b['voiceRecording']['delete']({'id':_0x9d549[_0x3c2d89(0x23d1)]['id']})[_0x3c2d89(0x1d77)]['then'](function(){const _0x141075=_0x3c2d89;_0x39641b()['remove'](_0x9d549['voiceRecordings'],{'id':_0x9d549[_0x141075(0x23d1)]['id']}),_0x38189a[_0x141075(0x829)]({'title':'VoiceRecording\x20properly\x20deleted!','msg':(_0x9d549['voiceRecording']['name']||_0x141075(0x23d1))+_0x141075(0x3f5)}),_0x32f0f7(_0x9d549[_0x141075(0x23d1)]);})['catch'](function(_0x36a64c){const _0xe0c60b=_0x3c2d89;if(_0x36a64c[_0xe0c60b(0x25c)]&&_0x36a64c[_0xe0c60b(0x25c)][_0xe0c60b(0x1a7c)]&&_0x36a64c[_0xe0c60b(0x25c)][_0xe0c60b(0x1a7c)][_0xe0c60b(0xfd0)]){_0x9d549[_0xe0c60b(0x1a7c)]=_0x36a64c[_0xe0c60b(0x25c)][_0xe0c60b(0x1a7c)]||[{'message':_0x36a64c['toString'](),'type':'api.voiceRecording.delete'}];for(let _0x42285b=0x0;_0x42285b<_0x36a64c[_0xe0c60b(0x25c)]['errors'][_0xe0c60b(0xfd0)];_0x42285b++){_0x38189a['error']({'title':_0x36a64c[_0xe0c60b(0x25c)][_0xe0c60b(0x1a7c)][_0x42285b][_0xe0c60b(0x66a)],'msg':_0x36a64c[_0xe0c60b(0x25c)][_0xe0c60b(0x1a7c)][_0x42285b][_0xe0c60b(0x155e)]});}}else _0x38189a[_0xe0c60b(0x218e)]({'title':_0x36a64c['status']?_0xe0c60b(0xeb9)+_0x36a64c[_0xe0c60b(0x291)]+_0xe0c60b(0x1657)+_0x36a64c['statusText']:_0xe0c60b(0xa61),'msg':_0x36a64c[_0xe0c60b(0x25c)]?JSON[_0xe0c60b(0x2701)](_0x36a64c[_0xe0c60b(0x25c)][_0xe0c60b(0x155e)]):_0x36a64c[_0xe0c60b(0x155e)]||_0x36a64c['toString']()});});},function(){});}function _0x539fa4(_0x10c988){return _0x10c988===null?undefined:new Date(_0x10c988);}function _0x32f0f7(_0x21898c){const _0x1d8e47=_0x2ac73a;_0x47386c[_0x1d8e47(0x1426)](_0x21898c);}}const _0x2a9eb3=_0xe2fda;;function _0x4b1776(){const _0x28ea08=_0x5537c6,_0x1e7fb9=this;_0x1e7fb9[_0x28ea08(0x23d1)]={},_0x1e7fb9[_0x28ea08(0x1a8e)]=_0x39b90c;function _0x39b90c(_0xc1cf14){const _0x317f3d=_0x28ea08;_0x1e7fb9[_0x317f3d(0x23d1)]=_0xc1cf14;}}const _0xe3ea63=_0x4b1776;;_0xb7d2a3[_0x5537c6(0x15b6)]=['$state','$location',_0x5537c6(0xcb9),'$document','$translate','license','setting',_0x5537c6(0x142b),'toasty',_0x5537c6(0x1774),_0x5537c6(0x23d1),_0x5537c6(0x2199)];function _0xb7d2a3(_0x26a429,_0x95fe85,_0x36b161,_0x2e962e,_0x4ad145,_0x139724,_0xd4d1b6,_0x4ad5a3,_0x237b41,_0x4857ef,_0x4f1116,_0x1168c8){const _0x215daa=_0x5537c6,_0x2a748e=this;_0x2a748e[_0x215daa(0xe76)]=_0x4857ef[_0x215daa(0x21e8)](),_0x2a748e[_0x215daa(0x8a5)]=_0x139724,_0x2a748e[_0x215daa(0x9ca)]=_0xd4d1b6,_0x2a748e[_0x215daa(0x1b0c)]=_0x2a748e[_0x215daa(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x2a748e['location']=_0x95fe85['protocol']()+_0x215daa(0x138b)+_0x95fe85[_0x215daa(0x17d8)](),_0x2a748e[_0x215daa(0x23d1)]=_0x4f1116||_0x26a429[_0x215daa(0x1dfe)][_0x215daa(0x23d1)]||{},_0x2a748e[_0x215daa(0x2199)]=_0x1168c8&&_0x1168c8[_0x215daa(0x184d)]==0x1?_0x1168c8[_0x215daa(0x2214)][0x0]:null,_0x2a748e[_0x215daa(0x1b1a)]=_0x4857ef[_0x215daa(0x14ea)](_0x2a748e[_0x215daa(0x2199)]?_0x2a748e[_0x215daa(0x2199)]['crudPermissions']:null),_0x2a748e[_0x215daa(0xf4c)]={},_0x2a748e[_0x215daa(0x8ec)]=_0x26a429['params'][_0x215daa(0x291e)]||0x0,_0x2a748e['alert']=_0x237b41[_0x215daa(0x28c7)],_0x2a748e[_0x215daa(0x4ed)]=_0x5969a6,_0x2a748e[_0x215daa(0x57e)]=_0x59e0d3;function _0x5969a6(){const _0x1af0f8=_0x215daa;_0x26a429['go']('app.voice.voiceRecordings',{},{'reload':_0x1af0f8(0x1b39)});}function _0x59e0d3(){const _0x47489b=_0x215daa;_0x4ad5a3['voiceRecording']['update']({'id':_0x2a748e[_0x47489b(0x23d1)]['id']},_0x2a748e[_0x47489b(0x23d1)])[_0x47489b(0x1d77)][_0x47489b(0x1cb0)](function(){const _0xd8e5de=_0x47489b;_0x237b41[_0xd8e5de(0x829)]({'title':_0xd8e5de(0x1e24),'msg':_0x2a748e[_0xd8e5de(0x23d1)][_0xd8e5de(0x16b6)]?_0x2a748e['voiceRecording'][_0xd8e5de(0x16b6)]+'\x20has\x20been\x20updated!':''});})[_0x47489b(0x1c4)](function(_0xf836f4){const _0x4681f5=_0x47489b;_0x237b41[_0x4681f5(0x218e)]({'title':_0xf836f4[_0x4681f5(0x291)]?_0x4681f5(0xeb9)+_0xf836f4['status']+'\x20-\x20'+_0xf836f4['statusText']:'SYSTEM:GETvoiceRecording','msg':_0xf836f4[_0x4681f5(0x25c)]?JSON['stringify'](_0xf836f4[_0x4681f5(0x25c)]):_0xf836f4[_0x4681f5(0x147f)]()});});}}const _0x58992d=_0xb7d2a3;;const _0x34bd3d=_0x5074a3['p']+_0x5537c6(0xe58);;_0x5c58a8[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$window','$state',_0x5537c6(0x417),_0x5537c6(0xcb9),_0x5537c6(0x22bf),'$timeout',_0x5537c6(0x1ae),_0x5537c6(0x44e),_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x142b),_0x5537c6(0xde8),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x5c58a8(_0x2c58c3,_0x287bb3,_0x94b698,_0x38729e,_0x5747a3,_0x584572,_0x5ea548,_0x4cabb6,_0x1f1d25,_0x3a3467,_0x5e9abd,_0x3d972a,_0x224445,_0xbc186c,_0x2a8c54,_0x3f285b,_0x19d3c2){const _0x46d812=_0x5537c6,_0x4f9a36=this;_0x4f9a36[_0x46d812(0x8a5)]=_0x3f285b,_0x4f9a36[_0x46d812(0x9ca)]=_0x19d3c2,_0x4f9a36['currentUser']=_0x2a8c54[_0x46d812(0x21e8)](),_0x4f9a36['voiceRecordings']=_0x1f1d25||{'count':0x0,'rows':[]},_0x4f9a36[_0x46d812(0x44a)]=_0x3a3467,_0x4f9a36[_0x46d812(0x2199)]=_0x5e9abd&&_0x5e9abd[_0x46d812(0x184d)]==0x1?_0x5e9abd[_0x46d812(0x2214)][0x0]:null,_0x4f9a36[_0x46d812(0x1b1a)]=_0x2a8c54[_0x46d812(0x14ea)](_0x4f9a36[_0x46d812(0x2199)]?_0x4f9a36[_0x46d812(0x2199)][_0x46d812(0x1b1a)]:null),_0x4f9a36['table']=_0x46d812(0x44e),_0x4f9a36[_0x46d812(0x1d20)]='',_0x4f9a36[_0x46d812(0x1cdf)]=null,_0x4f9a36[_0x46d812(0x43e)]=[],_0x4f9a36[_0x46d812(0xae2)]={'fields':_0x46d812(0xed8),'sort':_0x46d812(0x282),'createdAt':'@msUtils.firstLastDayMonth()','limit':0xa,'page':0x1},_0x4f9a36[_0x46d812(0xae2)][_0x46d812(0x24cb)]=_0x224445['firstLastDayMonth'](),_0x4f9a36['quickFilters']=_0xef68e4();function _0xef68e4(){const _0x237045=_0x46d812;return[{'name':_0x237045(0x68d),'key':_0x237045(0x24cb),'type':_0x237045(0x18ec),'label':_0x237045(0x2186)}];}_0x4f9a36['editstate']=_0x40f26b,_0x4f9a36['runtranscriberuntranscribesentiment']=_0x15788d,_0x4f9a36[_0x46d812(0x1034)]=_0x32a4f7,_0x4f9a36['runsentimentruntranscribesentiment']=_0x35d01e,_0x4f9a36['downloadtranscribefile2']=_0x2fed35,_0x4f9a36['downloadfile2']=_0x4fa0bf,_0x4f9a36[_0x46d812(0x27fe)]=_0xdc85cf,_0x4f9a36[_0x46d812(0x829)]=_0x5073a5,_0x4f9a36['getVoiceRecordings']=_0x2090f7,_0x4f9a36['createOrEditVoiceRecording']=_0x2fa15a,_0x4f9a36['deleteVoiceRecording']=_0x3c8c48,_0x4f9a36[_0x46d812(0x25ce)]=_0xcd607,_0x4f9a36['deleteSelectedVoiceRecordings']=_0x25512e,_0x4f9a36[_0x46d812(0x1382)]=_0x2219f6,_0x4f9a36['selectAllVoiceRecordings']=_0x53f926;function _0x40f26b(_0x3eac67){const _0x57aa02=_0x46d812;_0x94b698['go'](_0x57aa02(0x170e),{'id':_0x3eac67['id'],'voiceRecording':_0x3eac67,'crudPermissions':_0x4f9a36[_0x57aa02(0x1b1a)]});}_0x4f9a36['runtranscribeSelectedVoiceRecordings']=_0x681492;function _0x15788d(_0x2591e1){const _0x59282b=_0x46d812;return _0x3d972a['voiceRecording'][_0x59282b(0x264e)]({'id':_0x2591e1['id'],'runSentiment':![]})[_0x59282b(0x1d77)][_0x59282b(0x1cb0)](function(_0x50823d){const _0x1910fe=_0x59282b;_0xbc186c[_0x1910fe(0x829)]({'title':_0x4cabb6['instant'](_0x1910fe(0x2650)),'msg':_0x4cabb6[_0x1910fe(0x25cc)]('VOICE.RUNTRANSCRIBE_VOICERECORDING')+_0x1910fe(0xf9c)}),_0x2591e1[_0x1910fe(0x152b)]=_0x50823d[_0x1910fe(0x152b)];})['catch'](function(_0x30923c){const _0x3fa98c=_0x59282b;_0xbc186c['error']({'title':_0x4cabb6[_0x3fa98c(0x25cc)](_0x3fa98c(0x2650)),'msg':_0x30923c[_0x3fa98c(0x25c)]?_0x30923c[_0x3fa98c(0x25c)]['message']?_0x30923c[_0x3fa98c(0x25c)][_0x3fa98c(0x155e)]:JSON[_0x3fa98c(0x2701)](_0x30923c['data']):_0x30923c[_0x3fa98c(0x147f)]()});});}function _0x681492(){const _0x475022=_0x46d812;_0x4f9a36[_0x475022(0x43e)][_0x475022(0xf90)](function(_0x4ac8d6){_0x15788d(_0x4ac8d6);}),_0x4f9a36[_0x475022(0x43e)]=[];}_0x4f9a36['runtranscribesentimentSelectedVoiceRecordings']=_0x2c0501;function _0x32a4f7(_0x48192a){const _0x5e75b5=_0x46d812;return _0x3d972a[_0x5e75b5(0x23d1)][_0x5e75b5(0x264e)]({'id':_0x48192a['id'],'runSentiment':!![]})[_0x5e75b5(0x1d77)][_0x5e75b5(0x1cb0)](function(_0x457ef0){const _0x1e2426=_0x5e75b5;_0xbc186c[_0x1e2426(0x829)]({'title':_0x4cabb6[_0x1e2426(0x25cc)]('VOICE.RUNTRANSCRIBESENTIMENT_VOICERECORDING'),'msg':_0x4cabb6[_0x1e2426(0x25cc)](_0x1e2426(0x1ff0))+_0x1e2426(0xf9c)}),_0x48192a[_0x1e2426(0x152b)]=_0x457ef0[_0x1e2426(0x152b)];})[_0x5e75b5(0x1c4)](function(_0x3f3629){const _0x23c6eb=_0x5e75b5;_0xbc186c[_0x23c6eb(0x218e)]({'title':_0x4cabb6[_0x23c6eb(0x25cc)](_0x23c6eb(0x1ff0)),'msg':_0x3f3629[_0x23c6eb(0x25c)]?_0x3f3629[_0x23c6eb(0x25c)]['message']?_0x3f3629[_0x23c6eb(0x25c)][_0x23c6eb(0x155e)]:JSON[_0x23c6eb(0x2701)](_0x3f3629['data']):_0x3f3629[_0x23c6eb(0x147f)]()});});}function _0x2c0501(){const _0x2ea34b=_0x46d812;_0x4f9a36[_0x2ea34b(0x43e)][_0x2ea34b(0xf90)](function(_0x250c5d){_0x32a4f7(_0x250c5d);}),_0x4f9a36[_0x2ea34b(0x43e)]=[];}_0x4f9a36[_0x46d812(0xca3)]=_0x204f6c;function _0x35d01e(_0xd4450c){const _0x9970=_0x46d812;return _0x3d972a[_0x9970(0x23d1)][_0x9970(0x264e)]({'id':_0xd4450c['id'],'runSentiment':!![]})[_0x9970(0x1d77)][_0x9970(0x1cb0)](function(){const _0x3f9dc3=_0x9970;_0xbc186c['success']({'title':_0x4cabb6['instant'](_0x3f9dc3(0x765)),'msg':_0x4cabb6['instant'](_0x3f9dc3(0x765))+_0x3f9dc3(0xf9c)});})[_0x9970(0x1c4)](function(_0x5e5266){const _0x432556=_0x9970;_0xbc186c[_0x432556(0x218e)]({'title':_0x4cabb6[_0x432556(0x25cc)](_0x432556(0x765)),'msg':_0x5e5266[_0x432556(0x25c)]?_0x5e5266['data'][_0x432556(0x155e)]?_0x5e5266['data']['message']:JSON[_0x432556(0x2701)](_0x5e5266[_0x432556(0x25c)]):_0x5e5266[_0x432556(0x147f)]()});});}function _0x204f6c(){const _0x4ce950=_0x46d812;_0x4f9a36[_0x4ce950(0x43e)][_0x4ce950(0xf90)](function(_0x33efc8){_0x35d01e(_0x33efc8);}),_0x4f9a36[_0x4ce950(0x43e)]=[];}function _0x2fed35(_0x387bfc){const _0x2036c5=_0x46d812;let _0x2a1187=![];const _0x1d5418=!_0x39641b()[_0x2036c5(0x958)](_0x387bfc[_0x2036c5(0x1f31)])?_0x387bfc[_0x2036c5(0x1f31)]:_0x387bfc[_0x2036c5(0x327)]['substring'](_0x387bfc[_0x2036c5(0x327)][_0x2036c5(0x142c)]('.'));return _0x2a1187=_0x1d5418===_0x2036c5(0x2006)?!![]:![],_0x3d972a[_0x2036c5(0x23d1)][_0x2036c5(0x194e)]({'id':_0x387bfc['id']})['$promise']['then'](function(_0x4b915f){const _0xbd3925=_0x2036c5,_0x393540=[_0x4b915f[_0xbd3925(0xef0)]];let _0x147f3f=_0xbd3925(0x26ec);const _0x220954=new Blob(_0x393540,{'type':_0x4b915f[_0xbd3925(0x66a)]});_0x147f3f=_0x387bfc[_0xbd3925(0x1425)]+_0xbd3925(0x113e);const _0x20bcd4=window['document'][_0xbd3925(0x8c6)]('a');_0x20bcd4[_0xbd3925(0x23b9)]('href',URL[_0xbd3925(0x2247)](_0x220954)),_0x20bcd4[_0xbd3925(0x23b9)](_0xbd3925(0x26ec),_0x147f3f),document[_0xbd3925(0x1ed9)]['appendChild'](_0x20bcd4),_0x20bcd4[_0xbd3925(0x20b8)]();})[_0x2036c5(0x1c4)](function(_0x365b37){const _0x4d3b9b=_0x2036c5;if(_0x365b37[_0x4d3b9b(0x25c)]&&_0x365b37[_0x4d3b9b(0x25c)]['errors']&&_0x365b37[_0x4d3b9b(0x25c)]['errors'][_0x4d3b9b(0xfd0)])for(let _0xdea6f1=0x0;_0xdea6f1<_0x365b37[_0x4d3b9b(0x25c)][_0x4d3b9b(0x1a7c)]['length'];_0xdea6f1++){_0xbc186c[_0x4d3b9b(0x218e)]({'title':_0x365b37['data']['errors'][_0xdea6f1][_0x4d3b9b(0x66a)],'msg':_0x365b37[_0x4d3b9b(0x25c)]['errors'][_0xdea6f1][_0x4d3b9b(0x155e)]});}else{if(String(_0x365b37[_0x4d3b9b(0x291)])===_0x4d3b9b(0x2926)&&_0x2a1187===![])_0xbc186c[_0x4d3b9b(0x28c7)]({'title':_0x4cabb6['instant'](_0x4d3b9b(0x638)),'msg':_0x4cabb6[_0x4d3b9b(0x25cc)](_0x4d3b9b(0x19bc))});else String(_0x365b37[_0x4d3b9b(0x291)])==='404'?_0xbc186c[_0x4d3b9b(0x28c7)]({'title':_0x4cabb6[_0x4d3b9b(0x25cc)](_0x4d3b9b(0x638)),'msg':_0x4cabb6[_0x4d3b9b(0x25cc)](_0x4d3b9b(0x869))}):_0xbc186c['error']({'title':_0x365b37[_0x4d3b9b(0x291)]?_0x4d3b9b(0xeb9)+_0x365b37[_0x4d3b9b(0x291)]+_0x4d3b9b(0x1657)+_0x365b37[_0x4d3b9b(0xc22)]:_0x4d3b9b(0x25a2),'msg':_0x365b37[_0x4d3b9b(0x25c)]?JSON[_0x4d3b9b(0x2701)](_0x365b37['data'][_0x4d3b9b(0x155e)]):_0x365b37[_0x4d3b9b(0x147f)]()});}});}function _0x471497(_0x570218){const _0x59876f=_0x46d812;let _0x51a366=_0x570218[_0x59876f(0x142c)]('/')>-0x1?_0x570218[_0x59876f(0x2371)](_0x570218[_0x59876f(0x142c)]('/')+0x1):_0x570218[_0x59876f(0x142c)]('\x5c')>-0x1?_0x570218[_0x59876f(0x2371)](_0x570218[_0x59876f(0x142c)]('\x5c')+0x1):_0x570218;return _0x51a366=_0x51a366[_0x59876f(0x2371)](0x0,_0x51a366[_0x59876f(0x142c)]('.')),_0x51a366;}function _0x4fa0bf(_0x14383b){const _0x3413fb=_0x46d812;let _0x304b8c=![];const _0x37889c=!_0x39641b()['isNil'](_0x14383b[_0x3413fb(0x1f31)])?_0x14383b[_0x3413fb(0x1f31)]:_0x14383b[_0x3413fb(0x327)][_0x3413fb(0x2371)](_0x14383b[_0x3413fb(0x327)][_0x3413fb(0x142c)]('.'));return _0x304b8c=_0x37889c===_0x3413fb(0x2006)?!![]:![],_0x3d972a[_0x3413fb(0x23d1)][_0x3413fb(0x26ec)]({'id':_0x14383b['id']})['$promise'][_0x3413fb(0x1cb0)](function(_0x598e34){const _0x4c591f=_0x3413fb,_0x274d9a=[_0x598e34[_0x4c591f(0xef0)]];let _0xe7b579=_0x4c591f(0x26ec);const _0x1c2e70=new Blob(_0x274d9a,{'type':_0x598e34[_0x4c591f(0x66a)]});_0xe7b579=_0x471497(_0x14383b['value'])+_0x14383b[_0x4c591f(0x1f31)];const _0x1f3762=window[_0x4c591f(0x1db8)][_0x4c591f(0x8c6)]('a');_0x1f3762[_0x4c591f(0x23b9)](_0x4c591f(0x105b),URL[_0x4c591f(0x2247)](_0x1c2e70)),_0x1f3762[_0x4c591f(0x23b9)](_0x4c591f(0x26ec),_0xe7b579),document[_0x4c591f(0x1ed9)][_0x4c591f(0x23de)](_0x1f3762),_0x1f3762[_0x4c591f(0x20b8)]();})[_0x3413fb(0x1c4)](function(_0x625d62){const _0x2b43ad=_0x3413fb;if(_0x625d62[_0x2b43ad(0x25c)]&&_0x625d62[_0x2b43ad(0x25c)][_0x2b43ad(0x1a7c)]&&_0x625d62[_0x2b43ad(0x25c)][_0x2b43ad(0x1a7c)][_0x2b43ad(0xfd0)])for(let _0x5281e3=0x0;_0x5281e3<_0x625d62['data'][_0x2b43ad(0x1a7c)]['length'];_0x5281e3++){_0xbc186c[_0x2b43ad(0x218e)]({'title':_0x625d62[_0x2b43ad(0x25c)][_0x2b43ad(0x1a7c)][_0x5281e3]['type'],'msg':_0x625d62[_0x2b43ad(0x25c)]['errors'][_0x5281e3]['message']});}else{if(String(_0x625d62[_0x2b43ad(0x291)])===_0x2b43ad(0x2926)&&_0x304b8c===![])_0xbc186c[_0x2b43ad(0x28c7)]({'title':_0x4cabb6[_0x2b43ad(0x25cc)]('APP.CANT_DOWNLOAD_THIS_FILE'),'msg':_0x4cabb6[_0x2b43ad(0x25cc)](_0x2b43ad(0x19bc))});else String(_0x625d62[_0x2b43ad(0x291)])===_0x2b43ad(0x2926)?_0xbc186c[_0x2b43ad(0x28c7)]({'title':_0x4cabb6[_0x2b43ad(0x25cc)](_0x2b43ad(0x638)),'msg':_0x4cabb6[_0x2b43ad(0x25cc)](_0x2b43ad(0x869))}):_0xbc186c[_0x2b43ad(0x218e)]({'title':_0x625d62['status']?_0x2b43ad(0xeb9)+_0x625d62[_0x2b43ad(0x291)]+_0x2b43ad(0x1657)+_0x625d62[_0x2b43ad(0xc22)]:'api.voiceRecording.save','msg':_0x625d62[_0x2b43ad(0x25c)]?JSON[_0x2b43ad(0x2701)](_0x625d62[_0x2b43ad(0x25c)][_0x2b43ad(0x155e)]):_0x625d62[_0x2b43ad(0x147f)]()});}});}function _0xdc85cf(_0x343759,_0x99c5ad){const _0x39aa55=_0x46d812,_0x358c82=_0x5747a3[_0x39aa55(0x1551)]()[_0x39aa55(0x1386)](_0x39aa55(0x140b)+_0x39641b()[_0x39aa55(0xa75)](_0x39aa55(0x23d1))+'?')[_0x39aa55(0x49e)](_0x39aa55(0x204d)+(_0x343759[_0x39aa55(0x16b6)]||'voiceRecording')+''+_0x39aa55(0x1b6))[_0x39aa55(0x15ad)](_0x39aa55(0x1efc))[_0x39aa55(0x728)](_0x99c5ad)['ok']('OK')[_0x39aa55(0x696)](_0x39aa55(0x24ba));_0x5747a3[_0x39aa55(0xe27)](_0x358c82)['then'](function(){_0x3c8c48(_0x343759);},function(){const _0x484b7e=_0x39aa55;console[_0x484b7e(0x1b4f)](_0x484b7e(0x24ba));});}let _0x42d999=!![],_0x52ba06=0x1;_0x2c58c3[_0x46d812(0x614)](_0x46d812(0x957),function(_0x1d7586,_0x243285){const _0x4f54e1=_0x46d812;_0x42d999?_0x5ea548(function(){_0x42d999=![];}):(!_0x243285&&(_0x52ba06=_0x4f9a36[_0x4f54e1(0xae2)][_0x4f54e1(0x1c7b)]),_0x1d7586!==_0x243285&&(_0x4f9a36[_0x4f54e1(0xae2)]['page']=0x1),!_0x1d7586&&(_0x4f9a36[_0x4f54e1(0xae2)][_0x4f54e1(0x1c7b)]=_0x52ba06),_0x4f9a36[_0x4f54e1(0x82d)]());});function _0x5073a5(_0x46a214){const _0x563661=_0x46d812;_0x4f9a36[_0x563661(0x44e)]=_0x46a214||{'count':0x0,'rows':[]};}function _0x2090f7(){const _0x1c1410=_0x46d812;_0x4f9a36[_0x1c1410(0xae2)]['offset']=(_0x4f9a36[_0x1c1410(0xae2)][_0x1c1410(0x1c7b)]-0x1)*_0x4f9a36[_0x1c1410(0xae2)][_0x1c1410(0x236)],_0x2a8c54['hasRole']('admin')?_0x4f9a36[_0x1c1410(0x2061)]=_0x3d972a['voiceRecording']['get'](_0x4f9a36[_0x1c1410(0xae2)],_0x5073a5)[_0x1c1410(0x1d77)]:(_0x4f9a36[_0x1c1410(0xae2)]['id']=_0x4f9a36[_0x1c1410(0x44a)]['id'],_0x4f9a36['query'][_0x1c1410(0x1f74)]=_0x1c1410(0x2ce),_0x4f9a36['promise']=_0x3d972a[_0x1c1410(0x44a)]['getResources'](_0x4f9a36[_0x1c1410(0xae2)],_0x5073a5)[_0x1c1410(0x1d77)]);}function _0x2fa15a(_0x4f0ce8,_0x1ff0b2){const _0x4801db=_0x46d812;_0x5747a3[_0x4801db(0xe27)]({'controller':_0x4801db(0x15ec),'controllerAs':'vm','templateUrl':_0x34bd3d,'parent':angular['element'](_0x584572[_0x4801db(0x1ed9)]),'targetEvent':_0x4f0ce8,'clickOutsideToClose':!![],'locals':{'voiceRecording':_0x1ff0b2,'voiceRecordings':_0x4f9a36[_0x4801db(0x44e)][_0x4801db(0x2214)],'license':_0x4f9a36['license'],'setting':_0x4f9a36['setting'],'crudPermissions':_0x4f9a36['crudPermissions']}});}function _0x3c8c48(_0x43a4d4){const _0x2ca1ae=_0x46d812;_0x3d972a[_0x2ca1ae(0x23d1)][_0x2ca1ae(0x111d)]({'id':_0x43a4d4['id']})['$promise'][_0x2ca1ae(0x1cb0)](function(){const _0xaa51ba=_0x2ca1ae;_0x39641b()[_0xaa51ba(0x152a)](_0x4f9a36[_0xaa51ba(0x44e)][_0xaa51ba(0x2214)],{'id':_0x43a4d4['id']}),_0x4f9a36[_0xaa51ba(0x44e)][_0xaa51ba(0x184d)]-=0x1,!_0x4f9a36['voiceRecordings'][_0xaa51ba(0x2214)]['length']&&_0x4f9a36[_0xaa51ba(0x82d)](),_0xbc186c[_0xaa51ba(0x829)]({'title':_0x39641b()[_0xaa51ba(0xa75)](_0xaa51ba(0x124a))+_0xaa51ba(0x2663),'msg':_0x43a4d4[_0xaa51ba(0x16b6)]?_0x43a4d4[_0xaa51ba(0x16b6)]+_0xaa51ba(0x3f5):''});})[_0x2ca1ae(0x1c4)](function(_0xb1b23e){const _0x564cfb=_0x2ca1ae;if(_0xb1b23e[_0x564cfb(0x25c)]&&_0xb1b23e[_0x564cfb(0x25c)][_0x564cfb(0x1a7c)]&&_0xb1b23e[_0x564cfb(0x25c)]['errors']['length']){_0x4f9a36[_0x564cfb(0x1a7c)]=_0xb1b23e[_0x564cfb(0x25c)][_0x564cfb(0x1a7c)]||[{'message':_0xb1b23e[_0x564cfb(0x147f)](),'type':_0x564cfb(0x2484)}];for(let _0x528ffd=0x0;_0x528ffd<_0xb1b23e['data'][_0x564cfb(0x1a7c)]['length'];_0x528ffd++){_0xbc186c[_0x564cfb(0x218e)]({'title':_0xb1b23e[_0x564cfb(0x25c)]['errors'][_0x528ffd][_0x564cfb(0x66a)],'msg':_0xb1b23e[_0x564cfb(0x25c)]['errors'][_0x528ffd][_0x564cfb(0x155e)]});}}else _0xbc186c[_0x564cfb(0x218e)]({'title':_0xb1b23e[_0x564cfb(0x291)]?_0x564cfb(0xeb9)+_0xb1b23e[_0x564cfb(0x291)]+'\x20-\x20'+_0xb1b23e['statusText']:_0x564cfb(0x2484),'msg':_0xb1b23e[_0x564cfb(0x25c)]?JSON[_0x564cfb(0x2701)](_0xb1b23e[_0x564cfb(0x25c)][_0x564cfb(0x155e)]):_0xb1b23e[_0x564cfb(0x155e)]||_0xb1b23e['toString']()});});}function _0xcd607(){const _0x4e38ae=_0x46d812,_0x58dacf=angular['copy'](_0x4f9a36[_0x4e38ae(0x43e)]);return _0x4f9a36[_0x4e38ae(0x43e)]=[],_0x58dacf;}function _0x25512e(_0x3a44b9){const _0x26331c=_0x46d812,_0x2885db=_0x5747a3[_0x26331c(0x1551)]()['title'](_0x26331c(0xeef))[_0x26331c(0x49e)](_0x26331c(0x204d)+_0x4f9a36[_0x26331c(0x43e)]['length']+'\x20selected'+_0x26331c(0x1b6))[_0x26331c(0x15ad)](_0x26331c(0x2644))[_0x26331c(0x728)](_0x3a44b9)['ok']('OK')[_0x26331c(0x696)](_0x26331c(0x24ba));_0x5747a3[_0x26331c(0xe27)](_0x2885db)[_0x26331c(0x1cb0)](function(){const _0x5e050d=_0x26331c;_0x4f9a36[_0x5e050d(0x43e)]['forEach'](function(_0x3cfe17){_0x3c8c48(_0x3cfe17);}),_0x4f9a36[_0x5e050d(0x43e)]=[];});}function _0x2219f6(){const _0x43729c=_0x46d812;_0x4f9a36[_0x43729c(0x43e)]=[];}function _0x53f926(){const _0x47f38f=_0x46d812;_0x4f9a36['selectedVoiceRecordings']=_0x4f9a36[_0x47f38f(0x44e)]['rows'];}}const _0x34a201=_0x5c58a8;;const _0xe49ae3=_0x5074a3['p']+'src/js/modules/main/apps/voice/views/voiceQueues/voiceQueues.html/voiceQueues.html';;const _0x17a6e8=_0x5074a3['p']+_0x5537c6(0x25ae);;const _0x499839=_0x5074a3['p']+_0x5537c6(0x29d8);;const _0x3c8009=_0x5074a3['p']+_0x5537c6(0x14e8);;const _0x1f6272=_0x5074a3['p']+_0x5537c6(0x19a2);;const _0x49fbc3=_0x5074a3['p']+_0x5537c6(0xf14);;const _0x4ca2b7=_0x5074a3['p']+_0x5537c6(0x683);;const _0x225e12=_0x5074a3['p']+'src/js/modules/main/apps/voice/views/internalroutes/edit/view.html/view.html';;const _0x247934=_0x5074a3['p']+_0x5537c6(0xf81);;const _0x50a728=_0x5074a3['p']+'src/js/modules/main/apps/voice/views/voicemails/voicemails.html/voicemails.html';;const _0x32aa72=_0x5074a3['p']+_0x5537c6(0x274);;const _0x50a67a=_0x5074a3['p']+_0x5537c6(0x9a2);;const _0x520808=_0x5074a3['p']+'src/js/modules/main/apps/voice/views/musiconholds/edit/view.html/view.html';;const _0x195136=_0x5074a3['p']+_0x5537c6(0xd55);;const _0x263aa8=_0x5074a3['p']+_0x5537c6(0x7e1);;const _0x336455=_0x5074a3['p']+_0x5537c6(0x16ee);;const _0xf87e8b=_0x5074a3['p']+_0x5537c6(0x263b);;_0x3dee09[_0x5537c6(0x15b6)]=[_0x5537c6(0xbd6),'$translatePartialLoaderProvider'];function _0x3dee09(_0x58d077,_0x8faf57){const _0x136163=_0x5537c6;_0x58d077[_0x136163(0x27e0)](_0x136163(0x29bb),{'abstract':!![],'url':_0x136163(0x1936)})[_0x136163(0x27e0)]('app.voice.voiceQueues',{'url':_0x136163(0x19dc),'views':{'content@app':{'templateUrl':_0xe49ae3,'controller':_0x136163(0x636)}},'resolve':{'voiceQueues':[_0x136163(0x1e0b),_0x136163(0x1774),function(_0xe65fff,_0x75f2bc){const _0x18a240=_0x136163;return _0x75f2bc[_0x18a240(0x22b6)](_0x18a240(0x1c60))?_0xe65fff[_0x18a240(0x19a3)]('voiceQueue@get',{'fields':_0x18a240(0x4fe),'type':'inbound','sort':_0x18a240(0x282),'channel':_0x18a240(0x1fd4),'limit':0xa,'offset':0x0}):_0xe65fff['resolve'](_0x18a240(0x12da),{'id':_0x75f2bc['getCurrentUser']()[_0x18a240(0x13c1)],'section':'VoiceQueues','fields':_0x18a240(0x4fe),'type':_0x18a240(0x26c0),'sort':_0x18a240(0x282),'channel':'voice','limit':0xa,'offset':0x0});}],'userProfile':[_0x136163(0x1e0b),'Auth',function(_0x475677,_0x47d5e0){const _0x2b647d=_0x136163;return _0x47d5e0[_0x2b647d(0x22b6)](_0x2b647d(0x1c60))?null:_0x475677['resolve'](_0x2b647d(0x9ae),{'fields':_0x2b647d(0x279),'id':_0x47d5e0[_0x2b647d(0x21e8)]()[_0x2b647d(0x13c1)]});}],'userProfileSection':[_0x136163(0x1e0b),_0x136163(0x1774),function(_0x23ccb7,_0x3b7ff5){const _0x36af96=_0x136163;return _0x3b7ff5[_0x36af96(0x22b6)](_0x36af96(0x1c60))?null:_0x23ccb7[_0x36af96(0x19a3)](_0x36af96(0x2182),{'fields':_0x36af96(0x1f5f),'userProfileId':_0x3b7ff5[_0x36af96(0x21e8)]()[_0x36af96(0x13c1)],'sectionId':0x191});}]},'authenticate':!![],'permissionId':0x191,'bodyClass':'voice'})['state'](_0x136163(0x1c1e),{'url':_0x136163(0x1bf2),'params':{'voiceQueue':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x17a6e8,'controller':_0x136163(0xf68)}},'resolve':{'voiceQueue':[_0x136163(0x1e0b),_0x136163(0x225c),function(_0x1fbadf,_0x199168){const _0x1b086d=_0x136163;return _0x1fbadf['resolve'](_0x1b086d(0x1c4d),{'fields':_0x1b086d(0x4fe),'id':_0x199168['id']});}],'userProfileSection':[_0x136163(0x1e0b),'Auth',function(_0x11e964,_0x48f123){const _0x4be708=_0x136163;return _0x11e964[_0x4be708(0x19a3)](_0x4be708(0x2182),{'fields':_0x4be708(0x1f5f),'userProfileId':_0x48f123['getCurrentUser']()[_0x4be708(0x13c1)],'sectionId':0x191});}]},'authenticate':!![],'permissionId':0x191,'bodyClass':_0x136163(0x1fd4)})[_0x136163(0x27e0)](_0x136163(0x1802),{'url':_0x136163(0xce4),'views':{'content@app':{'templateUrl':_0x499839,'controller':'InboundRoutesController\x20as\x20vm'}},'resolve':{'inboundroutes':[_0x136163(0x1e0b),'Auth',function(_0xd20ab8,_0x4be055){const _0x4608e5=_0x136163;return _0x4be055[_0x4608e5(0x22b6)](_0x4608e5(0x1c60))?_0xd20ab8[_0x4608e5(0x19a3)](_0x4608e5(0x1a3),{'fields':_0x4608e5(0x1aec),'type':_0x4608e5(0x26c0),'sort':_0x4608e5(0x282),'VoiceExtensionId':'null','limit':0xa,'offset':0x0}):_0xd20ab8[_0x4608e5(0x19a3)](_0x4608e5(0x12da),{'id':_0x4be055['getCurrentUser']()['userProfileId'],'section':_0x4608e5(0x23e2),'fields':_0x4608e5(0x1aec),'type':_0x4608e5(0x26c0),'sort':_0x4608e5(0x282),'VoiceExtensionId':_0x4608e5(0xd38),'limit':0xa,'offset':0x0});}],'userProfile':[_0x136163(0x1e0b),_0x136163(0x1774),function(_0x3926a6,_0x26f22c){const _0x5cafb0=_0x136163;return _0x26f22c[_0x5cafb0(0x22b6)](_0x5cafb0(0x1c60))?null:_0x3926a6[_0x5cafb0(0x19a3)](_0x5cafb0(0x9ae),{'fields':_0x5cafb0(0x279),'id':_0x26f22c[_0x5cafb0(0x21e8)]()[_0x5cafb0(0x13c1)]});}],'userProfileSection':[_0x136163(0x1e0b),_0x136163(0x1774),function(_0x1f3a0d,_0x548e95){const _0xfc7e0b=_0x136163;return _0x548e95[_0xfc7e0b(0x22b6)](_0xfc7e0b(0x1c60))?null:_0x1f3a0d['resolve'](_0xfc7e0b(0x2182),{'fields':_0xfc7e0b(0x1f5f),'userProfileId':_0x548e95[_0xfc7e0b(0x21e8)]()[_0xfc7e0b(0x13c1)],'sectionId':0x192});}]},'authenticate':!![],'permissionId':0x192,'bodyClass':_0x136163(0x1fd4)})[_0x136163(0x27e0)](_0x136163(0x1992),{'url':_0x136163(0x1bf2),'params':{'inboundroute':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x3c8009,'controller':_0x136163(0x25d2)}},'resolve':{'inboundroute':[_0x136163(0x1e0b),_0x136163(0x225c),function(_0x37e6dc,_0x51fd7d){const _0x4a5391=_0x136163;return _0x37e6dc['resolve'](_0x4a5391(0x1a3),{'fields':'createdAt,updatedAt,id,appdata,type,exten,context,description','id':_0x51fd7d['id']});}],'userProfileSection':['apiResolver',_0x136163(0x1774),function(_0x51db42,_0x14f0a7){const _0x17323b=_0x136163;return _0x51db42[_0x17323b(0x19a3)](_0x17323b(0x2182),{'fields':_0x17323b(0x1f5f),'userProfileId':_0x14f0a7[_0x17323b(0x21e8)]()[_0x17323b(0x13c1)],'sectionId':0x192});}]},'authenticate':!![],'permissionId':0x192,'bodyClass':_0x136163(0x1fd4)})['state'](_0x136163(0x2143),{'url':_0x136163(0xaf8),'views':{'content@app':{'templateUrl':_0x1f6272,'controller':'OutboundRoutesController\x20as\x20vm'}},'resolve':{'outboundroutes':['apiResolver',_0x136163(0x1774),function(_0x16932d,_0x2ac4ca){const _0x46f44a=_0x136163;return _0x2ac4ca[_0x46f44a(0x22b6)](_0x46f44a(0x1c60))?_0x16932d['resolve'](_0x46f44a(0x1a3),{'fields':_0x46f44a(0x18ff),'type':_0x46f44a(0x895),'sort':_0x46f44a(0x282),'VoiceExtensionId':_0x46f44a(0xd38),'limit':0xa,'offset':0x0}):_0x16932d[_0x46f44a(0x19a3)]('userProfile@getResources',{'id':_0x2ac4ca[_0x46f44a(0x21e8)]()[_0x46f44a(0x13c1)],'section':_0x46f44a(0x257c),'fields':_0x46f44a(0x18ff),'type':_0x46f44a(0x895),'sort':_0x46f44a(0x282),'VoiceExtensionId':_0x46f44a(0xd38),'limit':0xa,'offset':0x0});}],'userProfile':[_0x136163(0x1e0b),_0x136163(0x1774),function(_0x3aa006,_0x3dd4e0){const _0x2636e4=_0x136163;return _0x3dd4e0[_0x2636e4(0x22b6)](_0x2636e4(0x1c60))?null:_0x3aa006[_0x2636e4(0x19a3)](_0x2636e4(0x9ae),{'fields':_0x2636e4(0x279),'id':_0x3dd4e0[_0x2636e4(0x21e8)]()['userProfileId']});}],'userProfileSection':[_0x136163(0x1e0b),'Auth',function(_0x28798c,_0x29934d){const _0x55580b=_0x136163;return _0x29934d[_0x55580b(0x22b6)](_0x55580b(0x1c60))?null:_0x28798c[_0x55580b(0x19a3)]('userProfileSection@get',{'fields':_0x55580b(0x1f5f),'userProfileId':_0x29934d[_0x55580b(0x21e8)]()[_0x55580b(0x13c1)],'sectionId':0x193});}]},'authenticate':!![],'permissionId':0x193,'bodyClass':_0x136163(0x1fd4)})['state']('app.voice.outboundroutes.edit',{'url':_0x136163(0x1bf2),'params':{'outboundroute':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x49fbc3,'controller':_0x136163(0x1cc2)}},'resolve':{'outboundroute':[_0x136163(0x1e0b),_0x136163(0x225c),function(_0x278474,_0x2a1141){const _0x6f1e78=_0x136163;return _0x278474[_0x6f1e78(0x19a3)]('voiceExtension@get',{'fields':'createdAt,updatedAt,id,appdata,type,exten,context,recordingFormat,cutdigits,description','id':_0x2a1141['id']});}],'userProfileSection':[_0x136163(0x1e0b),_0x136163(0x1774),function(_0x534aef,_0x44723b){const _0x407eb9=_0x136163;return _0x534aef[_0x407eb9(0x19a3)](_0x407eb9(0x2182),{'fields':_0x407eb9(0x1f5f),'userProfileId':_0x44723b[_0x407eb9(0x21e8)]()[_0x407eb9(0x13c1)],'sectionId':0x193});}]},'authenticate':!![],'permissionId':0x193,'bodyClass':_0x136163(0x1fd4)})[_0x136163(0x27e0)](_0x136163(0x525),{'url':_0x136163(0x29e1),'views':{'content@app':{'templateUrl':_0x4ca2b7,'controller':_0x136163(0x2299)}},'resolve':{'internalroutes':[_0x136163(0x1e0b),_0x136163(0x1774),function(_0x3132d6,_0x5588f0){const _0x4c7da2=_0x136163;return _0x5588f0[_0x4c7da2(0x22b6)](_0x4c7da2(0x1c60))?_0x3132d6[_0x4c7da2(0x19a3)](_0x4c7da2(0x1a3),{'fields':_0x4c7da2(0x1aec),'type':_0x4c7da2(0xdbd),'sort':_0x4c7da2(0x282),'VoiceExtensionId':_0x4c7da2(0xd38),'limit':0xa,'offset':0x0}):_0x3132d6[_0x4c7da2(0x19a3)](_0x4c7da2(0x12da),{'id':_0x5588f0[_0x4c7da2(0x21e8)]()[_0x4c7da2(0x13c1)],'section':_0x4c7da2(0x6fc),'fields':'createdAt,updatedAt,id,appdata,type,exten,context,description','type':_0x4c7da2(0xdbd),'sort':'-updatedAt','VoiceExtensionId':_0x4c7da2(0xd38),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver','Auth',function(_0x4af6d9,_0x1c45a0){const _0x3de4e4=_0x136163;return _0x1c45a0[_0x3de4e4(0x22b6)](_0x3de4e4(0x1c60))?null:_0x4af6d9[_0x3de4e4(0x19a3)]('userProfile@get',{'fields':_0x3de4e4(0x279),'id':_0x1c45a0['getCurrentUser']()['userProfileId']});}],'userProfileSection':[_0x136163(0x1e0b),_0x136163(0x1774),function(_0xf7433e,_0x2eb7d1){const _0x50033f=_0x136163;return _0x2eb7d1[_0x50033f(0x22b6)]('admin')?null:_0xf7433e[_0x50033f(0x19a3)](_0x50033f(0x2182),{'fields':_0x50033f(0x1f5f),'userProfileId':_0x2eb7d1[_0x50033f(0x21e8)]()[_0x50033f(0x13c1)],'sectionId':0x194});}]},'authenticate':!![],'permissionId':0x194,'bodyClass':_0x136163(0x1fd4)})['state'](_0x136163(0x1166),{'url':'/:id?tab','params':{'internalroute':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x225e12,'controller':_0x136163(0x13eb)}},'resolve':{'internalroute':[_0x136163(0x1e0b),_0x136163(0x225c),function(_0x3a90fe,_0x416687){const _0x3dc2a2=_0x136163;return _0x3a90fe[_0x3dc2a2(0x19a3)](_0x3dc2a2(0x1a3),{'fields':_0x3dc2a2(0x1aec),'id':_0x416687['id']});}],'userProfileSection':[_0x136163(0x1e0b),_0x136163(0x1774),function(_0x2dfd1c,_0x1d0d89){const _0x38d7d2=_0x136163;return _0x2dfd1c['resolve'](_0x38d7d2(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x1d0d89[_0x38d7d2(0x21e8)]()[_0x38d7d2(0x13c1)],'sectionId':0x194});}]},'authenticate':!![],'permissionId':0x194,'bodyClass':_0x136163(0x1fd4)})[_0x136163(0x27e0)]('app.voice.contexts',{'url':'/contexts','views':{'content@app':{'templateUrl':_0x247934,'controller':_0x136163(0x23a7)}},'resolve':{'contexts':['apiResolver',_0x136163(0x1774),function(_0x3fdd6b,_0x4bf8fc){const _0x2bce08=_0x136163;return _0x4bf8fc['hasRole'](_0x2bce08(0x1c60))?_0x3fdd6b[_0x2bce08(0x19a3)](_0x2bce08(0x28f),{'fields':_0x2bce08(0x12e8),'sort':_0x2bce08(0x282),'defaultEntry':_0x2bce08(0xdec),'limit':0xa,'offset':0x0}):_0x3fdd6b[_0x2bce08(0x19a3)](_0x2bce08(0x12da),{'id':_0x4bf8fc['getCurrentUser']()[_0x2bce08(0x13c1)],'section':'Contexts','fields':_0x2bce08(0x12e8),'sort':'-updatedAt','defaultEntry':_0x2bce08(0xdec),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver',_0x136163(0x1774),function(_0x189e6d,_0x451e29){const _0x36fc4a=_0x136163;return _0x451e29[_0x36fc4a(0x22b6)](_0x36fc4a(0x1c60))?null:_0x189e6d[_0x36fc4a(0x19a3)](_0x36fc4a(0x9ae),{'fields':_0x36fc4a(0x279),'id':_0x451e29[_0x36fc4a(0x21e8)]()[_0x36fc4a(0x13c1)]});}],'userProfileSection':[_0x136163(0x1e0b),_0x136163(0x1774),function(_0x3a7c5e,_0x405658){const _0xe138ac=_0x136163;return _0x405658[_0xe138ac(0x22b6)](_0xe138ac(0x1c60))?null:_0x3a7c5e[_0xe138ac(0x19a3)](_0xe138ac(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x405658['getCurrentUser']()[_0xe138ac(0x13c1)],'sectionId':0x195});}]},'authenticate':!![],'permissionId':0x195,'bodyClass':'voice'})[_0x136163(0x27e0)](_0x136163(0x2098),{'url':_0x136163(0x1e2),'views':{'content@app':{'templateUrl':_0x50a728,'controller':_0x136163(0x1799)}},'resolve':{'voicemails':[_0x136163(0x1e0b),_0x136163(0x1774),function(_0x109243,_0x22baae){const _0xb453b7=_0x136163;return _0x22baae['hasRole'](_0xb453b7(0x1c60))?_0x109243[_0xb453b7(0x19a3)](_0xb453b7(0x278f),{'fields':'createdAt,updatedAt,id,customer_id,fullname,email,password,mailbox,context,tz,attach,envelope,delete,emailbody,emailsubject,maxsecs,maxmsg','sort':_0xb453b7(0x282),'limit':0xa,'offset':0x0}):_0x109243['resolve'](_0xb453b7(0x12da),{'id':_0x22baae[_0xb453b7(0x21e8)]()[_0xb453b7(0x13c1)],'section':'Voicemails','fields':_0xb453b7(0x2813),'sort':'-updatedAt','limit':0xa,'offset':0x0});}],'userProfile':['apiResolver',_0x136163(0x1774),function(_0x32a4cb,_0x28a1cb){const _0x3af804=_0x136163;return _0x28a1cb[_0x3af804(0x22b6)]('admin')?null:_0x32a4cb[_0x3af804(0x19a3)]('userProfile@get',{'fields':_0x3af804(0x279),'id':_0x28a1cb[_0x3af804(0x21e8)]()['userProfileId']});}],'userProfileSection':[_0x136163(0x1e0b),_0x136163(0x1774),function(_0x388c44,_0x2f48de){const _0x3442e0=_0x136163;return _0x2f48de['hasRole'](_0x3442e0(0x1c60))?null:_0x388c44['resolve'](_0x3442e0(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x2f48de['getCurrentUser']()[_0x3442e0(0x13c1)],'sectionId':0x196});}]},'authenticate':!![],'permissionId':0x196,'bodyClass':'voice'})['state']('app.voice.voicemails.edit',{'url':'/:id?tab','params':{'voicemail':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x32aa72,'controller':_0x136163(0x407)}},'resolve':{'voicemail':[_0x136163(0x1e0b),_0x136163(0x225c),function(_0x5ba83a,_0x22aa44){const _0x42d267=_0x136163;return _0x5ba83a[_0x42d267(0x19a3)](_0x42d267(0x278f),{'fields':'createdAt,updatedAt,id,customer_id,fullname,email,password,mailbox,context,tz,attach,envelope,delete,emailbody,emailsubject,maxsecs,maxmsg','id':_0x22aa44['id']});}],'userProfileSection':['apiResolver','Auth',function(_0x183c73,_0x5a15c1){const _0x2aab54=_0x136163;return _0x183c73[_0x2aab54(0x19a3)](_0x2aab54(0x2182),{'fields':_0x2aab54(0x1f5f),'userProfileId':_0x5a15c1[_0x2aab54(0x21e8)]()['userProfileId'],'sectionId':0x196});}]},'authenticate':!![],'permissionId':0x196,'bodyClass':_0x136163(0x1fd4)})[_0x136163(0x27e0)](_0x136163(0x156a),{'url':_0x136163(0x2234),'views':{'content@app':{'templateUrl':_0x50a67a,'controller':_0x136163(0x21c6)}},'resolve':{'musiconholds':[_0x136163(0x1e0b),_0x136163(0x1774),function(_0x40fff3,_0xde28d5){const _0x42d70a=_0x136163;return _0xde28d5['hasRole'](_0x42d70a(0x1c60))?_0x40fff3[_0x42d70a(0x19a3)](_0x42d70a(0x25bd),{'fields':'createdAt,updatedAt,id,name,mode,directory,application,format,sort','defaultEntry':_0x42d70a(0xdec),'limit':0xa,'offset':0x0}):_0x40fff3[_0x42d70a(0x19a3)]('userProfile@getResources',{'id':_0xde28d5['getCurrentUser']()[_0x42d70a(0x13c1)],'section':'MusicOnHolds','fields':'createdAt,updatedAt,id,name,mode,directory,application,format,sort','defaultEntry':_0x42d70a(0xdec),'limit':0xa,'offset':0x0});}],'userProfile':[_0x136163(0x1e0b),_0x136163(0x1774),function(_0x1ffa4e,_0x13cd24){const _0x545c79=_0x136163;return _0x13cd24[_0x545c79(0x22b6)]('admin')?null:_0x1ffa4e[_0x545c79(0x19a3)]('userProfile@get',{'fields':'id,name,crudPermissions','id':_0x13cd24[_0x545c79(0x21e8)]()['userProfileId']});}],'userProfileSection':[_0x136163(0x1e0b),_0x136163(0x1774),function(_0x165832,_0x5f068b){const _0x57ff9d=_0x136163;return _0x5f068b[_0x57ff9d(0x22b6)](_0x57ff9d(0x1c60))?null:_0x165832['resolve'](_0x57ff9d(0x2182),{'fields':_0x57ff9d(0x1f5f),'userProfileId':_0x5f068b[_0x57ff9d(0x21e8)]()[_0x57ff9d(0x13c1)],'sectionId':0x197});}]},'authenticate':!![],'permissionId':0x197,'bodyClass':_0x136163(0x1fd4)})[_0x136163(0x27e0)](_0x136163(0x2062),{'url':_0x136163(0x1bf2),'params':{'musiconhold':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x520808,'controller':_0x136163(0x1a9a)}},'resolve':{'musiconhold':[_0x136163(0x1e0b),_0x136163(0x225c),function(_0xa7e695,_0x530737){const _0x58d6b4=_0x136163;return _0xa7e695[_0x58d6b4(0x19a3)](_0x58d6b4(0x25bd),{'fields':_0x58d6b4(0x1e64),'id':_0x530737['id']});}],'userProfileSection':['apiResolver','Auth',function(_0x273ab6,_0x5e414d){const _0x39e693=_0x136163;return _0x273ab6[_0x39e693(0x19a3)](_0x39e693(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x5e414d[_0x39e693(0x21e8)]()[_0x39e693(0x13c1)],'sectionId':0x197});}]},'authenticate':!![],'permissionId':0x197,'bodyClass':_0x136163(0x1fd4)})[_0x136163(0x27e0)](_0x136163(0x1b39),{'url':'/voiceRecordings','views':{'content@app':{'templateUrl':_0x195136,'controller':_0x136163(0xf57)}},'resolve':{'voiceRecordings':['apiResolver',_0x136163(0x1774),_0x136163(0xde8),function(_0x408435,_0x1a3058,_0x289d54){const _0xfc32d8=_0x136163;return _0x1a3058['hasRole'](_0xfc32d8(0x1c60))?_0x408435['resolve'](_0xfc32d8(0x1faf),{'fields':_0xfc32d8(0xed8),'sort':_0xfc32d8(0x282),'createdAt':_0x289d54[_0xfc32d8(0xa1e)](),'limit':0xa,'offset':0x0}):_0x408435[_0xfc32d8(0x19a3)]('userProfile@getResources',{'id':_0x1a3058[_0xfc32d8(0x21e8)]()[_0xfc32d8(0x13c1)],'section':'VoiceRecordings','fields':_0xfc32d8(0xed8),'sort':_0xfc32d8(0x282),'createdAt':_0x289d54[_0xfc32d8(0xa1e)](),'limit':0xa,'offset':0x0});}],'userProfile':[_0x136163(0x1e0b),'Auth',function(_0x46f7a4,_0x93af4f){const _0x1f5fb2=_0x136163;return _0x93af4f[_0x1f5fb2(0x22b6)](_0x1f5fb2(0x1c60))?null:_0x46f7a4['resolve'](_0x1f5fb2(0x9ae),{'fields':_0x1f5fb2(0x279),'id':_0x93af4f[_0x1f5fb2(0x21e8)]()[_0x1f5fb2(0x13c1)]});}],'userProfileSection':[_0x136163(0x1e0b),_0x136163(0x1774),function(_0x373be1,_0x380e97){const _0x41cd63=_0x136163;return _0x380e97[_0x41cd63(0x22b6)](_0x41cd63(0x1c60))?null:_0x373be1[_0x41cd63(0x19a3)](_0x41cd63(0x2182),{'fields':_0x41cd63(0x1f5f),'userProfileId':_0x380e97['getCurrentUser']()[_0x41cd63(0x13c1)],'sectionId':0x198});}]},'authenticate':!![],'permissionId':0x198,'bodyClass':_0x136163(0x1fd4)})[_0x136163(0x27e0)](_0x136163(0x170e),{'url':_0x136163(0x1bf2),'params':{'voiceRecording':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x263aa8,'controller':_0x136163(0x1f4f)}},'resolve':{'voiceRecording':[_0x136163(0x1e0b),_0x136163(0x225c),function(_0xb757e2,_0x1dfbad){const _0x154482=_0x136163;return _0xb757e2[_0x154482(0x19a3)]('voiceRecording@get',{'fields':_0x154482(0xed8),'id':_0x1dfbad['id']});}],'userProfileSection':[_0x136163(0x1e0b),_0x136163(0x1774),function(_0x4e8895,_0x19953f){const _0x97c762=_0x136163;return _0x4e8895[_0x97c762(0x19a3)](_0x97c762(0x2182),{'fields':_0x97c762(0x1f5f),'userProfileId':_0x19953f[_0x97c762(0x21e8)]()[_0x97c762(0x13c1)],'sectionId':0x198});}]},'authenticate':!![],'permissionId':0x198,'bodyClass':_0x136163(0x1fd4)})['state'](_0x136163(0x2309),{'url':_0x136163(0x12fa),'views':{'content@app':{'templateUrl':_0x336455,'controller':_0x136163(0x2503)}},'resolve':{'chanSpies':[_0x136163(0x1e0b),_0x136163(0x1774),function(_0x3e3072,_0x10cf42){const _0x5e0ecc=_0x136163;return _0x10cf42[_0x5e0ecc(0x22b6)](_0x5e0ecc(0x1c60))?_0x3e3072['resolve'](_0x5e0ecc(0x1d6a),{'fields':_0x5e0ecc(0x2498),'sort':_0x5e0ecc(0x282),'limit':0xa,'offset':0x0}):_0x3e3072['resolve'](_0x5e0ecc(0x12da),{'id':_0x10cf42[_0x5e0ecc(0x21e8)]()[_0x5e0ecc(0x13c1)],'section':_0x5e0ecc(0x10c9),'fields':'createdAt,updatedAt,id,name,prefix,options,auth,password,record,recordingFormat,description','sort':'-updatedAt','limit':0xa,'offset':0x0});}],'userProfile':[_0x136163(0x1e0b),_0x136163(0x1774),function(_0x3f63fd,_0x7bf4d){const _0x4d2d49=_0x136163;return _0x7bf4d[_0x4d2d49(0x22b6)](_0x4d2d49(0x1c60))?null:_0x3f63fd[_0x4d2d49(0x19a3)](_0x4d2d49(0x9ae),{'fields':'id,name,crudPermissions','id':_0x7bf4d['getCurrentUser']()[_0x4d2d49(0x13c1)]});}],'userProfileSection':['apiResolver','Auth',function(_0x16c772,_0x2c8275){const _0xe4966c=_0x136163;return _0x2c8275[_0xe4966c(0x22b6)]('admin')?null:_0x16c772[_0xe4966c(0x19a3)](_0xe4966c(0x2182),{'fields':_0xe4966c(0x1f5f),'userProfileId':_0x2c8275[_0xe4966c(0x21e8)]()[_0xe4966c(0x13c1)],'sectionId':0x199});}]},'authenticate':!![],'permissionId':0x199,'bodyClass':'voice'})['state'](_0x136163(0x1db0),{'url':_0x136163(0x1f0a),'views':{'content@app':{'templateUrl':_0xf87e8b,'controller':'VoicePrefixesController\x20as\x20vm'}},'resolve':{'voicePrefixes':['apiResolver',_0x136163(0x1774),function(_0x336540,_0x551b57){const _0x5ec6a3=_0x136163;return _0x551b57[_0x5ec6a3(0x22b6)](_0x5ec6a3(0x1c60))?_0x336540['resolve']('voicePrefix@get',{'fields':_0x5ec6a3(0x1dae),'limit':0xa,'offset':0x0}):_0x336540[_0x5ec6a3(0x19a3)](_0x5ec6a3(0x12da),{'id':_0x551b57[_0x5ec6a3(0x21e8)]()['userProfileId'],'section':_0x5ec6a3(0x111b),'fields':_0x5ec6a3(0x1dae),'limit':0xa,'offset':0x0});}],'userProfile':[_0x136163(0x1e0b),_0x136163(0x1774),function(_0xf2bdeb,_0x42a64d){const _0x4e8388=_0x136163;return _0x42a64d['hasRole'](_0x4e8388(0x1c60))?null:_0xf2bdeb[_0x4e8388(0x19a3)](_0x4e8388(0x9ae),{'fields':_0x4e8388(0x279),'id':_0x42a64d[_0x4e8388(0x21e8)]()[_0x4e8388(0x13c1)]});}],'userProfileSection':['apiResolver',_0x136163(0x1774),function(_0x2c3919,_0x38401c){const _0x29d3b6=_0x136163;return _0x38401c[_0x29d3b6(0x22b6)]('admin')?null:_0x2c3919[_0x29d3b6(0x19a3)](_0x29d3b6(0x2182),{'fields':_0x29d3b6(0x1f5f),'userProfileId':_0x38401c[_0x29d3b6(0x21e8)]()[_0x29d3b6(0x13c1)],'sectionId':0x19a});}]},'authenticate':!![],'permissionId':0x19a,'bodyClass':'voice'}),_0x8faf57[_0x136163(0x4e7)](_0x136163(0x295b));}angular[_0x5537c6(0x9ab)]('app.voice',[_0x5537c6(0x2770),_0x5537c6(0x2135),_0x5537c6(0x1260),_0x5537c6(0xacf),_0x5537c6(0x18f6),_0x5537c6(0xd19),_0x5537c6(0x44c),_0x5537c6(0x2ec),'ngAnimate',_0x5537c6(0x167d),_0x5537c6(0x208f),_0x5537c6(0x1b65),'mwFormUtils',_0x5537c6(0x3b9),_0x5537c6(0x7c9),_0x5537c6(0xeb5),_0x5537c6(0x27af),_0x5537c6(0x13b6),_0x5537c6(0x1cbd),'angular.filter',_0x5537c6(0xb19)])[_0x5537c6(0xa60)](_0x3dee09)[_0x5537c6(0x6e5)]('ChanSpiesController',_0x18d2c6)[_0x5537c6(0x6e5)](_0x5537c6(0x8f9),_0x4e46d6)['controller'](_0x5537c6(0x230c),_0x44c60f)[_0x5537c6(0x6e5)](_0x5537c6(0x3ab),_0x43f917)[_0x5537c6(0x6e5)](_0x5537c6(0x1ebb),_0x421c40)[_0x5537c6(0x6e5)]('InboundRouteActionsController',_0x32d3a7)[_0x5537c6(0x6e5)](_0x5537c6(0x84d),_0x4bff43)[_0x5537c6(0x6e5)]('EditInboundAppcustomDialogController',_0x3288f3)[_0x5537c6(0x6e5)]('EditInboundAppdialDialogController',_0x373cc7)['controller'](_0x5537c6(0x17a),_0x2b9ff1)[_0x5537c6(0x6e5)](_0x5537c6(0x1448),_0x1a77b7)[_0x5537c6(0x6e5)](_0x5537c6(0x1e38),_0x255db6)[_0x5537c6(0x6e5)](_0x5537c6(0x23e5),_0x136a5d)['controller'](_0x5537c6(0x1838),_0x35489b)[_0x5537c6(0x6e5)](_0x5537c6(0xa96),_0x4fe3ea)[_0x5537c6(0x6e5)](_0x5537c6(0x841),_0x282566)[_0x5537c6(0x6e5)]('EditInboundAppringgroupDialogController',_0x347c0a)[_0x5537c6(0x6e5)](_0x5537c6(0x10af),_0x39be69)['controller'](_0x5537c6(0x2092),_0x940b06)[_0x5537c6(0x6e5)]('InboundRouteController',_0x534e34)[_0x5537c6(0x6e5)](_0x5537c6(0x78c),_0x4ee0f2)[_0x5537c6(0x6e5)](_0x5537c6(0x2820),_0x289d0e)[_0x5537c6(0x6e5)]('InternalRouteActionsController',_0x2218eb)[_0x5537c6(0x6e5)](_0x5537c6(0x1953),_0x52bf91)[_0x5537c6(0x6e5)]('EditInternalAppcustomDialogController',_0x33af41)[_0x5537c6(0x6e5)]('EditInternalAppdialDialogController',_0x1eff40)[_0x5537c6(0x6e5)](_0x5537c6(0x11c1),_0x1d2d24)[_0x5537c6(0x6e5)](_0x5537c6(0x1201),_0x35eed8)[_0x5537c6(0x6e5)](_0x5537c6(0x1882),_0x24c0f3)['controller'](_0x5537c6(0x1259),_0x3a58c5)[_0x5537c6(0x6e5)](_0x5537c6(0x80f),_0x3d071f)[_0x5537c6(0x6e5)]('EditInternalAppplaybackDialogController',_0x50c3d8)[_0x5537c6(0x6e5)](_0x5537c6(0x27c5),_0x484cce)[_0x5537c6(0x6e5)](_0x5537c6(0xce5),_0x33dda0)[_0x5537c6(0x6e5)](_0x5537c6(0x19b0),_0x3e3a9e)[_0x5537c6(0x6e5)](_0x5537c6(0x22a5),_0x542d3f)[_0x5537c6(0x6e5)](_0x5537c6(0xf6a),_0x96bd48)[_0x5537c6(0x6e5)](_0x5537c6(0x10fd),_0x2486a8)[_0x5537c6(0x6e5)](_0x5537c6(0x53e),_0x273c1c)[_0x5537c6(0x6e5)](_0x5537c6(0xe94),_0x1f4a42)[_0x5537c6(0x6e5)](_0x5537c6(0x1c23),_0x8d62a1)['controller'](_0x5537c6(0x35c),_0x3d1765)[_0x5537c6(0x6e5)](_0x5537c6(0x1d8a),_0x5f5d79),angular[_0x5537c6(0x9ab)]('app.voice')['controller'](_0x5537c6(0x2289),_0x34ef01)[_0x5537c6(0x6e5)](_0x5537c6(0x896),_0x54f418)[_0x5537c6(0x6e5)](_0x5537c6(0x1532),_0x2390a1)[_0x5537c6(0x6e5)](_0x5537c6(0xc87),_0x3bd9a1)[_0x5537c6(0x6e5)](_0x5537c6(0xee3),_0x3d6e4b)[_0x5537c6(0x6e5)](_0x5537c6(0x14b9),_0x235064)[_0x5537c6(0x6e5)](_0x5537c6(0x1d1a),_0xb2608f)[_0x5537c6(0x6e5)](_0x5537c6(0x1e5),_0x413fca)[_0x5537c6(0x6e5)]('VoicemailMessagesController',_0x50e593)[_0x5537c6(0x6e5)](_0x5537c6(0x1c83),_0x2df443)[_0x5537c6(0x6e5)](_0x5537c6(0x1492),_0x15cde9)[_0x5537c6(0x6e5)](_0x5537c6(0x786),_0x469560)[_0x5537c6(0x6e5)]('voicePrefixagentaddController',_0x4e1e2d)[_0x5537c6(0x6e5)](_0x5537c6(0x1147),_0x5f19df)[_0x5537c6(0x6e5)]('CreateOrEditVoiceQueueDialogController',_0x14261d)['controller'](_0x5537c6(0x148f),_0xa3b6c4)[_0x5537c6(0x6e5)](_0x5537c6(0x604),_0x1ade76)[_0x5537c6(0x6e5)](_0x5537c6(0x1314),_0x217ec2)[_0x5537c6(0x6e5)]('VoiceQueuesController',_0x38499e)[_0x5537c6(0x6e5)](_0x5537c6(0x15ec),_0x2a9eb3)['controller'](_0x5537c6(0x15b2),_0xe3ea63)[_0x5537c6(0x6e5)](_0x5537c6(0x8f2),_0x58992d)[_0x5537c6(0x6e5)](_0x5537c6(0x331),_0x34a201);;const _0xe068ae=_0x5074a3['p']+_0x5537c6(0x1f21);;const _0x51d0c9=_0x5074a3['p']+_0x5537c6(0x10aa);;const _0x2360f3=_0x5074a3['p']+_0x5537c6(0x2747);;const _0x8ebc41=_0x5074a3['p']+_0x5537c6(0x135e);;const _0x552dd6=_0x5074a3['p']+_0x5537c6(0x1daf);;const _0x1c4910=_0x5074a3['p']+_0x5537c6(0x885);;const _0x4df0b6=_0x5074a3['p']+_0x5537c6(0xbd3);;_0x2a032b['$inject']=[_0x5537c6(0x1463),'$q',_0x5537c6(0x2168),'$mdDialog',_0x5537c6(0x22bf),_0x5537c6(0xc34),_0x5537c6(0x8de),_0x5537c6(0xc12),_0x5537c6(0x212d),_0x5537c6(0x142b),_0x5537c6(0x1986),_0x5537c6(0x9bf),'helperClasses',_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x1774),'license',_0x5537c6(0x1714)];function _0x2a032b(_0x312680,_0x25ca12,_0x5532eb,_0x5000db,_0x40a7b6,_0x5b5841,_0x2b583b,_0x1f23a6,_0x434004,_0x1d7492,_0x21222e,_0x364e93,_0x3ea0a1,_0xed6744,_0x2d161c,_0x2ae1e5,_0xf775bd,_0x3a7138){const _0x281b88=_0x5537c6,_0x361ac1=this,_0x3e651e=[_0x281b88(0x70a),_0x281b88(0xa28),'lastLoginAt',_0x281b88(0x25db),_0x281b88(0x16e6),_0x281b88(0x25db),_0x281b88(0xdbd),_0x281b88(0x16aa),_0x281b88(0xf0c),_0x281b88(0x1c7d),_0x281b88(0x2035),'smsPause','whatsappPause',_0x281b88(0x1ce),'voicePause',_0x281b88(0x1aee),_0x281b88(0x391),_0x281b88(0x2789),_0x281b88(0x3d0),_0x281b88(0x9cd),_0x281b88(0x2451),_0x281b88(0x132d),_0x281b88(0x1cd3),'mailCurrentCapacity','smsCurrentCapacity',_0x281b88(0x700),_0x281b88(0x2336),_0x281b88(0x2208),_0x281b88(0x1446),_0x281b88(0x11b2),'smsStatus',_0x281b88(0x2453),_0x281b88(0x542),_0x281b88(0x2de),_0x281b88(0x1b11),'openchannelStatusTime',_0x281b88(0x568),_0x281b88(0x9eb),_0x281b88(0x2064),_0x281b88(0x1997),_0x281b88(0xc9c),_0x281b88(0x11cf),'destconnectedlinenum','screenrecording',_0x281b88(0x2569),_0x281b88(0x1f23)],_0x167503=[_0x281b88(0xf0c),_0x281b88(0x1c7d),_0x281b88(0x2035),'smsPause',_0x281b88(0x24ff),'faxPause',_0x281b88(0x2478),_0x281b88(0xa28)];_0x361ac1['currentUser']=_0x2ae1e5[_0x281b88(0x21e8)](),_0x361ac1[_0x281b88(0x46c)]=[_0x281b88(0x1c7e),'inuse',_0x281b88(0x5b2),'onhold',_0x281b88(0x1abd)],_0x361ac1[_0x281b88(0x8de)]=_0x2b583b||{'count':0x0,'rows':[]},_0x361ac1['license']=_0xf775bd,_0x361ac1[_0x281b88(0x44a)]=_0xed6744,_0x361ac1[_0x281b88(0x2199)]=_0x2d161c&&_0x2d161c[_0x281b88(0x184d)]==0x1?_0x2d161c['rows'][0x0]:null,_0x361ac1['crudPermissions']=_0x2ae1e5['parseCrudPermissions'](_0x361ac1[_0x281b88(0x2199)]?_0x361ac1[_0x281b88(0x2199)][_0x281b88(0x1b1a)]:null),_0x361ac1[_0x281b88(0xc12)]=_0x1f23a6?_0x39641b()['keyBy'](_0x1f23a6[_0x281b88(0x2214)]?_0x1f23a6['rows']:[],'id'):{},_0x361ac1[_0x281b88(0x212d)]=_0x434004?_0x39641b()[_0x281b88(0x2631)](_0x434004[_0x281b88(0x2214)]?_0x434004['rows']:[],'id'):{},_0x361ac1[_0x281b88(0x260a)]={},_0x361ac1[_0x281b88(0x997)]=![],_0x361ac1['order']=[],_0x361ac1[_0x281b88(0x1fdd)]={};for(const _0x439f6d in _0x361ac1[_0x281b88(0xc12)]){typeof _0x361ac1[_0x281b88(0xc12)][_0x439f6d]!==_0x281b88(0x16b5)&&(_0x361ac1['agents'][_0x439f6d][_0x281b88(0x1a8e)]=!![]);}_0x361ac1[_0x281b88(0xae2)]={'limit':0xa,'page':0x1,'order':_0x281b88(0x1d14),'globalStatusFilter':'','pauseTypeFilter':''},_0x361ac1[_0x281b88(0x1366)]=_0x1c63bc,_0x361ac1[_0x281b88(0x6ad)]=_0x1cf725,_0x361ac1['pause']=_0x1b251f,_0x361ac1['unPause']=_0x46c3b1,_0x361ac1[_0x281b88(0x191a)]=_0xca5f1,_0x361ac1[_0x281b88(0x616)]=_0x56e529,_0x361ac1[_0x281b88(0xfe7)]=_0x53af39,_0x361ac1[_0x281b88(0x1090)]=_0x3274a2,_0x361ac1[_0x281b88(0x225e)]=_0x2cdc06,_0x361ac1[_0x281b88(0x1bc4)]=_0x1bbc8f,_0x361ac1[_0x281b88(0x294c)]=_0x22814d,_0x361ac1[_0x281b88(0x13e7)]=_0x189847,_0x361ac1[_0x281b88(0x1a34)]=_0x1cd5e0,_0x361ac1[_0x281b88(0x1978)]=_0x34956f,_0x361ac1[_0x281b88(0x1b4a)]=_0x3f9837,_0x361ac1[_0x281b88(0xd97)]=_0x2cbaad,_0x21222e['on'](_0x281b88(0x2464),_0x361ac1[_0x281b88(0x294c)]),_0x21222e['on'](_0x281b88(0x14c6),_0x361ac1[_0x281b88(0x13e7)]),_0x21222e['on']('user:agentconnect',_0x361ac1[_0x281b88(0x1978)]),_0x21222e['on'](_0x281b88(0x10b3),_0x361ac1[_0x281b88(0x1b4a)]),_0x1cd5e0();let _0x19c100=_0x3a7138(function(){const _0x37ee95=_0x281b88;_0x361ac1['load']&&(_0x361ac1[_0x37ee95(0x997)]=![],_0x1cd5e0());},0x3e7);function _0x1cd5e0(){const _0x5de179=_0x281b88;_0x361ac1[_0x5de179(0x997)]=![];const _0x53cbeb=_0x25ca12[_0x5de179(0x11f4)]();_0x361ac1[_0x5de179(0x2061)]=_0x53cbeb['promise'],_0x361ac1['filteredAgents']=[],_0x361ac1[_0x5de179(0x237f)]=[],_0x39641b()[_0x5de179(0x1ebd)](_0x361ac1[_0x5de179(0xc12)],function(_0x3aebda,_0x40ce35){const _0x2f795c=_0x5de179;_0x361ac1[_0x2f795c(0x212d)][_0x40ce35]&&_0x39641b()[_0x2f795c(0x9c1)](_0x3aebda,_0x39641b()['pick'](_0x39641b()['omit'](_0x361ac1[_0x2f795c(0x212d)][_0x40ce35],_0x167503),_0x3e651e)),_0x53af39(_0x3aebda),_0x3aebda[_0x2f795c(0xa28)]?(_0x361ac1[_0x2f795c(0xe0e)][_0x2f795c(0x2785)](_0x3aebda),_0x361ac1[_0x2f795c(0x1fdd)][_0x3aebda['internal']]=_0x40ce35):_0x3aebda[_0x2f795c(0xdb9)]=_0x39641b()[_0x2f795c(0x106d)](_0x543b5a()()['format']('x'));});_0x361ac1[_0x5de179(0xae2)][_0x5de179(0x1ef1)]&&_0x39641b()[_0x5de179(0x152a)](_0x361ac1[_0x5de179(0xe0e)],function(_0x2fc723){const _0x150f1d=_0x5de179;return _0x2fc723[_0x150f1d(0x1fbe)]!==_0x361ac1[_0x150f1d(0xae2)]['globalStatusFilter'];});_0x361ac1['query'][_0x5de179(0x25ff)]&&_0x39641b()[_0x5de179(0x152a)](_0x361ac1[_0x5de179(0xe0e)],function(_0x52a784){const _0x4c8d8d=_0x5de179;return _0x52a784[_0x4c8d8d(0x16e6)]!==_0x361ac1[_0x4c8d8d(0xae2)][_0x4c8d8d(0x25ff)];});_0x361ac1[_0x5de179(0xae2)][_0x5de179(0x1c99)]&&_0x39641b()['remove'](_0x361ac1[_0x5de179(0xe0e)],function(_0x20436e){const _0x38472d=_0x5de179;return _0x20436e[_0x38472d(0x1d14)][_0x38472d(0x1680)]()['indexOf'](_0x361ac1[_0x38472d(0xae2)][_0x38472d(0x1c99)][_0x38472d(0x1680)]())<0x0;});_0x361ac1['filteredAgents']=_0x153f4a(_0x361ac1[_0x5de179(0xe0e)]);const _0x10346a=(_0x361ac1[_0x5de179(0xae2)][_0x5de179(0x1c7b)]-0x1)*_0x361ac1['query'][_0x5de179(0x236)];_0x361ac1['paginatedAgents']=_0x39641b()[_0x5de179(0x276f)](_0x361ac1[_0x5de179(0xe0e)],_0x10346a)['slice'](0x0,_0x361ac1[_0x5de179(0xae2)][_0x5de179(0x236)]);for(let _0xff69c=0x0;_0xff69c<_0x361ac1[_0x5de179(0x260a)][_0x5de179(0xfd0)];_0xff69c+=0x1){_0x361ac1[_0x5de179(0x237f)]['push'](_0x361ac1[_0x5de179(0x260a)][_0xff69c]['id']);}_0x53cbeb[_0x5de179(0x19a3)](),_0x361ac1[_0x5de179(0x997)]=!![];}function _0x22814d(_0x4ddd35){const _0x5380a8=_0x281b88;_0x361ac1['agents'][_0x4ddd35['id']]&&(_0x39641b()[_0x5380a8(0x9c1)](_0x361ac1[_0x5380a8(0xc12)][_0x4ddd35['id']],_0x39641b()['pick'](_0x39641b()[_0x5380a8(0x1bd8)](_0x4ddd35,_0x167503),_0x3e651e)),_0x39641b()[_0x5380a8(0x9c1)](_0x361ac1[_0x5380a8(0x212d)][_0x4ddd35['id']],_0x39641b()[_0x5380a8(0x169b)](_0x39641b()[_0x5380a8(0x1bd8)](_0x4ddd35,_0x167503),_0x3e651e)),_0x53af39(_0x361ac1[_0x5380a8(0xc12)][_0x4ddd35['id']]));}function _0x189847(_0x57d973){const _0x360c06=_0x281b88;_0x361ac1[_0x360c06(0xc12)][_0x57d973['id']]&&(_0x39641b()[_0x360c06(0x9c1)](_0x361ac1[_0x360c06(0xc12)][_0x57d973['id']],_0x39641b()['pick'](_0x57d973,_0x3e651e)),_0x39641b()[_0x360c06(0x9c1)](_0x361ac1[_0x360c06(0x212d)][_0x57d973['id']],_0x39641b()[_0x360c06(0x169b)](_0x57d973,_0x3e651e)),_0x57d973[_0x360c06(0x25db)]&&(_0x361ac1[_0x360c06(0xc12)][_0x57d973['id']][_0x360c06(0xdb9)]=_0x57d973[_0x360c06(0x25db)],_0x361ac1[_0x360c06(0x212d)][_0x57d973['id']]['globalStatusTime']=_0x57d973['lastPauseAt']),_0x53af39(_0x361ac1[_0x360c06(0xc12)][_0x57d973['id']]));}function _0x34956f(_0x26ed25){const _0x4fe11b=_0x281b88;_0x361ac1[_0x4fe11b(0xc12)][_0x361ac1[_0x4fe11b(0x1fdd)][_0x26ed25[_0x4fe11b(0x7ea)]]]&&(_0x39641b()['merge'](_0x361ac1[_0x4fe11b(0xc12)][_0x361ac1['agentInternal'][_0x26ed25[_0x4fe11b(0x7ea)]]],_0x39641b()[_0x4fe11b(0x169b)](_0x26ed25,['queue',_0x4fe11b(0x247)])),_0x39641b()[_0x4fe11b(0x9c1)](_0x361ac1['rpcAgents'][_0x361ac1[_0x4fe11b(0x1fdd)][_0x26ed25[_0x4fe11b(0x7ea)]]],_0x39641b()[_0x4fe11b(0x169b)](_0x26ed25,[_0x4fe11b(0x11cf),_0x4fe11b(0x247)])));}function _0x3f9837(_0x156968){const _0x3ca510=_0x281b88;_0x361ac1[_0x3ca510(0xc12)][_0x361ac1[_0x3ca510(0x1fdd)][_0x156968[_0x3ca510(0x7ea)]]]&&(_0x361ac1[_0x3ca510(0xc12)][_0x361ac1['agentInternal'][_0x156968[_0x3ca510(0x7ea)]]]=_0x39641b()[_0x3ca510(0x1bd8)](_0x361ac1[_0x3ca510(0xc12)][_0x361ac1[_0x3ca510(0x1fdd)][_0x156968[_0x3ca510(0x7ea)]]],['queue','destconnectedlinenum']),_0x361ac1[_0x3ca510(0x212d)][_0x361ac1[_0x3ca510(0x1fdd)][_0x156968[_0x3ca510(0x7ea)]]]=_0x39641b()['omit'](_0x361ac1['rpcAgents'][_0x361ac1[_0x3ca510(0x1fdd)][_0x156968[_0x3ca510(0x7ea)]]],[_0x3ca510(0x11cf),_0x3ca510(0x247)]));}function _0x1c63bc(_0x11cd05){const _0x10c631=_0x281b88;return _0x1d7492[_0x10c631(0xebe)]['logout']({'id':_0x11cd05['id'],'device':_0x10c631(0x217b),'agent_id':_0x11cd05['id'],'agent_name':_0x11cd05['name']})[_0x10c631(0x1d77)][_0x10c631(0x1cb0)](function(){const _0x4ba853=_0x10c631;_0x364e93[_0x4ba853(0x829)]({'title':_0x4ba853(0x23af),'msg':_0x11cd05['fullname']+_0x4ba853(0x1540)}),_0x361ac1['agents'][_0x11cd05['id']]&&(_0x361ac1[_0x4ba853(0xc12)][_0x11cd05['id']][_0x4ba853(0xa28)]=![]),_0x361ac1['rpcAgents'][_0x11cd05['id']]&&(_0x361ac1[_0x4ba853(0x212d)][_0x11cd05['id']][_0x4ba853(0xa28)]=![]),_0x1cd5e0();})[_0x10c631(0x1c4)](function(_0x14e23e){const _0x130acb=_0x10c631;_0x364e93['error']({'title':_0x14e23e[_0x130acb(0x291)]?_0x130acb(0xeb9)+_0x14e23e[_0x130acb(0x291)]+'\x20-\x20'+_0x14e23e[_0x130acb(0xc22)]:_0x130acb(0xcd6),'msg':_0x14e23e[_0x130acb(0x25c)]?JSON['stringify'](_0x14e23e['data']['message']):_0x14e23e['toString']()});});}function _0x1b251f(_0x410b7e,_0x476f92){const _0x1e1204=_0x281b88;return _0x1d7492['user'][_0x1e1204(0x785)]({'id':_0x410b7e['id'],'type':_0x476f92})[_0x1e1204(0x1d77)][_0x1e1204(0x1cb0)](function(_0x51e049){const _0x195ca0=_0x1e1204;_0x361ac1[_0x195ca0(0xc12)][_0x410b7e['id']]&&_0x39641b()[_0x195ca0(0x9c1)](_0x361ac1[_0x195ca0(0xc12)][_0x410b7e['id']],_0x39641b()[_0x195ca0(0x169b)](_0x51e049,_0x3e651e)),_0x361ac1[_0x195ca0(0x212d)][_0x410b7e['id']]&&_0x39641b()[_0x195ca0(0x9c1)](_0x361ac1['rpcAgents'][_0x410b7e['id']],_0x39641b()[_0x195ca0(0x169b)](_0x51e049,_0x3e651e)),_0x53af39(_0x361ac1[_0x195ca0(0xc12)][_0x410b7e['id']]);})[_0x1e1204(0x1c4)](function(_0x338193){const _0x34d2e6=_0x1e1204;_0x364e93[_0x34d2e6(0x218e)]({'title':_0x338193[_0x34d2e6(0x291)]?_0x34d2e6(0xeb9)+_0x338193[_0x34d2e6(0x291)]+'\x20-\x20'+_0x338193['statusText']:_0x34d2e6(0xcd6),'msg':_0x338193[_0x34d2e6(0x25c)]?JSON['stringify'](_0x338193['data'][_0x34d2e6(0x155e)]):_0x338193[_0x34d2e6(0x147f)]()});});}function _0x46c3b1(_0x4a6d58){const _0x1d6170=_0x281b88;return _0x1d7492[_0x1d6170(0xebe)][_0x1d6170(0xf5d)]({'id':_0x4a6d58['id']})['$promise']['then'](function(_0x49e320){const _0x365f14=_0x1d6170;_0x361ac1[_0x365f14(0xc12)][_0x4a6d58['id']]&&_0x39641b()[_0x365f14(0x9c1)](_0x361ac1['agents'][_0x4a6d58['id']],_0x39641b()[_0x365f14(0x169b)](_0x49e320,_0x3e651e)),_0x361ac1['rpcAgents'][_0x4a6d58['id']]&&_0x39641b()[_0x365f14(0x9c1)](_0x361ac1[_0x365f14(0x212d)][_0x4a6d58['id']],_0x39641b()[_0x365f14(0x169b)](_0x49e320,_0x3e651e)),_0x53af39(_0x361ac1['agents'][_0x4a6d58['id']]);})['catch'](function(_0x13e7a7){const _0x485078=_0x1d6170;_0x364e93[_0x485078(0x218e)]({'title':_0x13e7a7[_0x485078(0x291)]?_0x485078(0xeb9)+_0x13e7a7[_0x485078(0x291)]+'\x20-\x20'+_0x13e7a7['statusText']:'api.staff.save','msg':_0x13e7a7[_0x485078(0x25c)]?JSON[_0x485078(0x2701)](_0x13e7a7[_0x485078(0x25c)][_0x485078(0x155e)]):_0x13e7a7['toString']()});});}function _0xca5f1(_0xb37de5){const _0x4d18df=_0x281b88;_0x5000db[_0x4d18df(0xe27)](_0x5000db[_0x4d18df(0x494)]()['clickOutsideToClose'](!![])[_0x4d18df(0x1386)](_0x4d18df(0x1bc1))[_0x4d18df(0x49e)]('
TODO\x20legend\x20status\x20+\x20state
')['ok']('Ok')[_0x4d18df(0x728)](_0xb37de5));}function _0x1cf725(_0x23e0dd,_0x966ef8){const _0xaf230=_0x281b88;_0x5000db[_0xaf230(0xe27)]({'controller':_0xaf230(0x7e6),'controllerAs':'vm','templateUrl':_0x535a6f,'parent':angular[_0xaf230(0x1853)](_0x40a7b6[_0xaf230(0x1ed9)]),'targetEvent':_0x966ef8,'clickOutsideToClose':!![],'locals':{'agent':_0x23e0dd,'agents':[],'channel':'chat','direction':_0xaf230(0x26c0),'crudPermissions':_0x361ac1[_0xaf230(0x1b1a)]}});}function _0x3274a2(_0x1d702c){const _0x28c6df=_0x281b88;return _0x1d702c===_0x28c6df(0x785)||_0x1d702c==='*pause';}function _0x2cdc06(_0x7317e7){const _0x300f58=_0x281b88;return _0x7317e7===_0x300f58(0x785);}function _0x1bbc8f(_0x66020d){return _0x66020d==='*pause';}function _0x1863a1(_0x543d2f){const _0x4b56a5=_0x281b88;return _0x543d2f===_0x4b56a5(0x8df)||_0x543d2f==='unknown'||_0x543d2f===_0x4b56a5(0x13a2)||_0x543d2f==='ringing'||_0x39641b()[_0x4b56a5(0x958)](_0x543d2f);}function _0x56e529(_0x1a5e4c,_0x455228){const _0x39663f=_0x281b88;if(_0x455228===_0x39663f(0x1fd4)){if(_0x1a5e4c[_0x455228+_0x39663f(0x938)]!==_0x39663f(0x8df))return _0x1a5e4c[_0x455228+_0x39663f(0x938)];if(_0x1a5e4c[_0x455228+'Pause'])return _0x1a5e4c[_0x455228+_0x39663f(0x938)]=_0x39663f(0x785),'pause';return _0x39663f(0x8df);}else{if(_0x1a5e4c[_0x455228+_0x39663f(0x1e09)])return _0x1a5e4c[_0x455228+_0x39663f(0x938)]=_0x39663f(0x785),_0x39663f(0x785);return _0x1a5e4c[_0x455228+_0x39663f(0x938)];}}function _0x53af39(_0x3de9c0){const _0x3ca3ee=_0x281b88;let _0x1abaf0=_0x3ca3ee(0x1c9d);if(_0x39641b()['every']([_0x56e529(_0x3de9c0,_0x3ca3ee(0x1fd4)),_0x56e529(_0x3de9c0,_0x3ca3ee(0x174c)),_0x56e529(_0x3de9c0,_0x3ca3ee(0x2651)),_0x56e529(_0x3de9c0,_0x3ca3ee(0x15e0)),_0x56e529(_0x3de9c0,_0x3ca3ee(0x689)),_0x56e529(_0x3de9c0,_0x3ca3ee(0x2476)),_0x56e529(_0x3de9c0,'fax')],_0x3274a2))_0x1abaf0='pause';else{if(_0x39641b()['some']([_0x56e529(_0x3de9c0,_0x3ca3ee(0x1fd4)),_0x56e529(_0x3de9c0,_0x3ca3ee(0x174c)),_0x56e529(_0x3de9c0,_0x3ca3ee(0x2651)),_0x56e529(_0x3de9c0,'openchannel'),_0x56e529(_0x3de9c0,'sms'),_0x56e529(_0x3de9c0,'whatsapp'),_0x56e529(_0x3de9c0,_0x3ca3ee(0x1944))],_0x3274a2))_0x1abaf0=_0x3ca3ee(0x1767);else _0x39641b()['every']([_0x56e529(_0x3de9c0,_0x3ca3ee(0x1fd4)),_0x56e529(_0x3de9c0,_0x3ca3ee(0x174c)),_0x56e529(_0x3de9c0,_0x3ca3ee(0x2651)),_0x56e529(_0x3de9c0,_0x3ca3ee(0x15e0)),_0x56e529(_0x3de9c0,'sms'),_0x56e529(_0x3de9c0,'whatsapp'),_0x56e529(_0x3de9c0,_0x3ca3ee(0x1944))],_0x1863a1)?_0x1abaf0=_0x3ca3ee(0x8df):_0x1abaf0=_0x3ca3ee(0x5b2);}_0x3de9c0[_0x3ca3ee(0x1fbe)]!==_0x1abaf0&&(_0x3de9c0[_0x3ca3ee(0x1fbe)]=_0x1abaf0,_0x3de9c0['init']?(_0x3de9c0[_0x3ca3ee(0x1a8e)]=![],_0x3de9c0['globalStatusTime']=_0x3274a2(_0x1abaf0)?_0x39641b()[_0x3ca3ee(0x106d)](_0x543b5a()(_0x3de9c0['lastPauseAt'])[_0x3ca3ee(0x1f31)]('x')):_0x39641b()[_0x3ca3ee(0xb33)]([_0x3de9c0['voiceStatusTime'],_0x3de9c0[_0x3ca3ee(0x1b11)],_0x3de9c0[_0x3ca3ee(0x568)],_0x3de9c0['smsStatusTime'],_0x3de9c0[_0x3ca3ee(0x2064)],_0x3de9c0[_0x3ca3ee(0x22a6)],_0x3de9c0[_0x3ca3ee(0x1997)]])):_0x3de9c0[_0x3ca3ee(0xdb9)]=_0x39641b()[_0x3ca3ee(0x106d)](_0x543b5a()()['format']('x')));}function _0x153f4a(_0x22b4f0){const _0x17c8d6=_0x281b88,_0x5a6edd=_0x39641b()[_0x17c8d6(0x1f2c)](_0x361ac1[_0x17c8d6(0xae2)][_0x17c8d6(0x237f)],'-')?_0x17c8d6(0x20a5):_0x17c8d6(0x24cc);return _0x39641b()[_0x17c8d6(0x17ca)](_0x22b4f0,[_0x361ac1[_0x17c8d6(0xae2)]['order'][_0x17c8d6(0x288f)]('-','')],[_0x5a6edd]);}function _0x3d544d(){const _0x45290f=_0x281b88;_0x19c100&&(_0x3a7138[_0x45290f(0x696)](_0x19c100),_0x19c100=null);}function _0x2cbaad(_0x3c817,_0x53a8bc){const _0x15e2b4=_0x281b88;return _0x1d7492[_0x15e2b4(0xebe)][_0x15e2b4(0x687)]({'id':_0x3c817['id']},{'screenrecording':_0x53a8bc})[_0x15e2b4(0x1d77)][_0x15e2b4(0x1c4)](function(_0x9cc086){const _0x275179=_0x15e2b4;_0x364e93[_0x275179(0x218e)]({'title':_0x9cc086[_0x275179(0x291)]?_0x275179(0xeb9)+_0x9cc086['status']+'\x20-\x20'+_0x9cc086[_0x275179(0xc22)]:_0x275179(0x498),'msg':_0x9cc086[_0x275179(0x25c)]?JSON[_0x275179(0x2701)](_0x9cc086[_0x275179(0x25c)]['message']):_0x9cc086[_0x275179(0x147f)]()});});}_0x312680[_0x281b88(0x1d6)](_0x281b88(0x291c),function(){const _0x130d68=_0x281b88;_0x21222e[_0x130d68(0xfb8)]('user:save'),_0x21222e[_0x130d68(0xfb8)]('user:update'),_0x21222e[_0x130d68(0xfb8)](_0x130d68(0x2290)),_0x21222e[_0x130d68(0xfb8)](_0x130d68(0x10b3)),_0x3d544d();});}const _0x2fac9c=_0x2a032b;;const _0x2b3c8c=_0x5074a3['p']+_0x5537c6(0x1b1b);;const _0x18839a=_0x5074a3['p']+_0x5537c6(0x205f);;_0x5ef6c9['$inject']=[_0x5537c6(0x1463),'$timeout',_0x5537c6(0xcb9),'$q',_0x5537c6(0x22bf),_0x5537c6(0x1714),_0x5537c6(0x971),_0x5537c6(0x2255),_0x5537c6(0x142b),_0x5537c6(0x1986),_0x5537c6(0x9bf),'userProfile',_0x5537c6(0x2199),'Auth'];function _0x5ef6c9(_0x5456ce,_0x36c8ce,_0x18640a,_0x7b0344,_0x15258d,_0x831a76,_0x5f68f6,_0x352a85,_0x3e1c97,_0x2c9413,_0x15c23c,_0x11c676,_0x211fe2,_0x1219ef){const _0x4dc52d=_0x5537c6,_0x1e93d2=this,_0x3e8e65=[_0x4dc52d(0x22dc),_0x4dc52d(0x2803),_0x4dc52d(0x26b6),_0x4dc52d(0x192a),_0x4dc52d(0xfb2),_0x4dc52d(0x2315),_0x4dc52d(0x155e),'dialActive',_0x4dc52d(0xda8),_0x4dc52d(0xf67),_0x4dc52d(0x139c)];_0x1e93d2['currentUser']=_0x1219ef[_0x4dc52d(0x21e8)](),_0x1e93d2[_0x4dc52d(0x184d)]=_0x5f68f6[_0x4dc52d(0x184d)]?_0x5f68f6[_0x4dc52d(0x184d)]:0x0,_0x1e93d2['queues']=_0x5f68f6?_0x39641b()[_0x4dc52d(0x2631)](_0x5f68f6[_0x4dc52d(0x2214)]?_0x5f68f6['rows']:[],'id'):{},_0x1e93d2[_0x4dc52d(0x2255)]=_0x352a85?_0x39641b()[_0x4dc52d(0x2631)](_0x352a85[_0x4dc52d(0x2214)]?_0x352a85[_0x4dc52d(0x2214)]:[],'id'):{},_0x1e93d2[_0x4dc52d(0x44a)]=_0x11c676,_0x1e93d2[_0x4dc52d(0x2199)]=_0x211fe2&&_0x211fe2[_0x4dc52d(0x184d)]==0x1?_0x211fe2[_0x4dc52d(0x2214)][0x0]:null,_0x1e93d2['crudPermissions']=_0x1219ef[_0x4dc52d(0x14ea)](_0x1e93d2[_0x4dc52d(0x2199)]?_0x1e93d2[_0x4dc52d(0x2199)]['crudPermissions']:null);_0x1219ef[_0x4dc52d(0x22b6)](_0x4dc52d(0x1c60))?_0x1e93d2[_0x4dc52d(0xae2)]={'type':_0x4dc52d(0x26c0),'sort':'-updatedAt','limit':0xa,'page':0x1}:_0x1e93d2[_0x4dc52d(0xae2)]={'id':_0x1e93d2['currentUser']['id'],'channel':_0x4dc52d(0x174c),'type':_0x4dc52d(0x26c0),'sort':'-updatedAt','limit':0xa,'page':0x1};_0x1e93d2[_0x4dc52d(0x829)]=_0x1b80ad,_0x1e93d2[_0x4dc52d(0x13e9)]=_0x129cf9,_0x1e93d2[_0x4dc52d(0x1516)]=_0x494a4b,_0x1e93d2[_0x4dc52d(0x1be7)]=_0x4ebdd0,_0x1e93d2['updateQueue']=_0x1bab42,_0x1e93d2[_0x4dc52d(0x294c)]=_0x37839d,_0x1e93d2[_0x4dc52d(0xc88)]=_0x3adfdf,_0x1e93d2['onRemoveMember']=_0x5c5a53,_0x1e93d2[_0x4dc52d(0x18e4)]=_0x48c298,_0x1e93d2[_0x4dc52d(0x26c9)]=_0x3fe65b,_0x1e93d2[_0x4dc52d(0x3be)]=_0x14d4cf,_0x1e93d2[_0x4dc52d(0x129c)]=_0x1ae2c2,_0x1e93d2[_0x4dc52d(0x237f)]=[],_0x39641b()[_0x4dc52d(0x1ebd)](_0x1e93d2[_0x4dc52d(0x971)],function(_0xe8f51d){const _0x2ee54a=_0x4dc52d;_0x1e93d2[_0x2ee54a(0x237f)][_0x2ee54a(0x2785)](_0xe8f51d['id']);}),_0x2c9413['on'](_0x4dc52d(0xd8c),_0x1e93d2[_0x4dc52d(0x294c)]),_0x2c9413['on']('userChatQueue:save',_0x1e93d2[_0x4dc52d(0xc88)]),_0x2c9413['on'](_0x4dc52d(0x1103),_0x1e93d2[_0x4dc52d(0x365)]),_0x2c9413['on'](_0x4dc52d(0x14c6),_0x1e93d2['onPause']),_0x2c9413['on'](_0x4dc52d(0x201e),_0x1e93d2[_0x4dc52d(0x3be)]),_0x2c9413['on']('userVoiceQueue:save',_0x1e93d2[_0x4dc52d(0x26c9)]);function _0x1ae2c2(){const _0x3c5c06=_0x4dc52d,_0x2e5ed1=[];return _0x39641b()[_0x3c5c06(0x1ebd)](_0x1e93d2['queues'],function(_0x2d4abc,_0x5e1673){const _0x330ec3=_0x3c5c06;_0x2d4abc[_0x330ec3(0x139c)]=0x0,_0x2d4abc[_0x330ec3(0x29a2)]=0x0,_0x1e93d2[_0x330ec3(0x971)][_0x5e1673][_0x330ec3(0xc12)]={},_0x2e5ed1['push'](_0x3e1c97[_0x330ec3(0x1e86)][_0x330ec3(0x11dd)]({'id':_0x5e1673})[_0x330ec3(0x1d77)]),_0x1e93d2[_0x330ec3(0x2255)][_0x5e1673]&&_0x39641b()[_0x330ec3(0x9c1)](_0x2d4abc,_0x39641b()[_0x330ec3(0x169b)](_0x1e93d2['rpcQueues'][_0x5e1673],_0x3e8e65));}),_0x7b0344[_0x3c5c06(0x223b)](_0x2e5ed1)[_0x3c5c06(0x1cb0)](function(_0x2b8c59){const _0x36e47f=_0x3c5c06;for(let _0x400287=0x0;_0x400287<_0x2b8c59[_0x36e47f(0xfd0)];_0x400287+=0x1){for(let _0x536acb=0x0;_0x536acb<_0x2b8c59[_0x400287][_0x36e47f(0x2214)]['length'];_0x536acb++){_0x2b8c59[_0x400287]['rows'][_0x536acb][_0x36e47f(0x139c)]&&_0x1e93d2['queues'][_0x2b8c59[_0x400287]['rows'][_0x536acb][_0x36e47f(0xd0f)]][_0x36e47f(0x139c)]++,_0x1e93d2[_0x36e47f(0x971)][_0x2b8c59[_0x400287][_0x36e47f(0x2214)][_0x536acb][_0x36e47f(0xd0f)]]['loggedInDb']++,_0x1e93d2['queues'][_0x2b8c59[_0x400287]['rows'][_0x536acb][_0x36e47f(0xd0f)]][_0x36e47f(0xc12)][_0x2b8c59[_0x400287]['rows'][_0x536acb][_0x36e47f(0x21ab)]]=_0x2b8c59[_0x400287]['rows'][_0x536acb][_0x36e47f(0xd0f)];}}})[_0x3c5c06(0x1c4)](function(_0x206803){const _0x57cde7=_0x3c5c06;console[_0x57cde7(0x218e)](_0x206803);});}function _0x37839d(_0x15147f){const _0x279be8=_0x4dc52d;_0x1e93d2[_0x279be8(0x971)][_0x15147f['id']]&&_0x39641b()[_0x279be8(0x9c1)](_0x1e93d2[_0x279be8(0x971)][_0x15147f['id']],_0x39641b()[_0x279be8(0x169b)](_0x15147f,_0x3e8e65));}function _0x3adfdf(_0x386c34){const _0x51d3ee=_0x4dc52d;_0x1e93d2[_0x51d3ee(0x971)][_0x386c34['ChatQueueId']]&&_0x1e93d2[_0x51d3ee(0x971)][_0x386c34[_0x51d3ee(0xd0f)]][_0x51d3ee(0x29a2)]++;}function _0x5c5a53(_0x4cc76b){const _0x40bf72=_0x4dc52d;_0x1e93d2[_0x40bf72(0x971)][_0x4cc76b['ChatQueueId']]&&_0x1e93d2[_0x40bf72(0x971)][_0x4cc76b['ChatQueueId']][_0x40bf72(0x29a2)]--;}function _0x48c298(_0x41e654){const _0x387945=_0x4dc52d;console['log'](_0x387945(0x18e4),_0x41e654);if(_0x41e654['voicePause'])_0x39641b()[_0x387945(0x1ebd)](_0x1e93d2[_0x387945(0x971)],function(_0x57162d){const _0x139ecb=_0x387945;_0x57162d['agents'][_0x41e654['id']]&&(_0x57162d[_0x139ecb(0x139c)]+=0x1,console[_0x139ecb(0x1b4f)](_0x139ecb(0x785)));});else!_0x41e654[_0x387945(0x2478)]&&_0x39641b()[_0x387945(0x1ebd)](_0x1e93d2['queues'],function(_0xa494d1){const _0x3fda77=_0x387945;_0xa494d1['agents'][_0x41e654['id']]&&(_0xa494d1[_0x3fda77(0x139c)]>0x0&&(_0xa494d1[_0x3fda77(0x139c)]-=0x1,console[_0x3fda77(0x1b4f)](_0x3fda77(0xf5d))));});}function _0x3fe65b(_0x39c845){const _0x17eca3=_0x4dc52d;console[_0x17eca3(0x1b4f)](_0x17eca3(0x2557),_0x39c845),_0x1e93d2['queues'][_0x39c845[_0x17eca3(0xd0f)]]&&(_0x1e93d2[_0x17eca3(0x971)][_0x39c845[_0x17eca3(0xd0f)]][_0x17eca3(0x29a2)]+=0x1,_0x1e93d2[_0x17eca3(0x971)][_0x39c845[_0x17eca3(0xd0f)]][_0x17eca3(0xc12)][_0x39c845[_0x17eca3(0x21ab)]]=_0x39c845['ChatQueueId']);}function _0x14d4cf(_0x5d2fed){const _0x3642f6=_0x4dc52d;console[_0x3642f6(0x1b4f)](_0x3642f6(0x3be),_0x5d2fed),_0x1e93d2['queues'][_0x5d2fed[_0x3642f6(0xd0f)]]&&_0x1e93d2[_0x3642f6(0x971)][_0x5d2fed[_0x3642f6(0xd0f)]][_0x3642f6(0x29a2)]>0x0&&(_0x1e93d2[_0x3642f6(0x971)][_0x5d2fed[_0x3642f6(0xd0f)]]['loggedInDb']-=0x1,delete _0x1e93d2[_0x3642f6(0x971)][_0x5d2fed['ChatQueueId']][_0x3642f6(0xc12)][_0x5d2fed[_0x3642f6(0x21ab)]]);}let _0x1fcd48=!![],_0x27d98a=0x1;_0x5456ce[_0x4dc52d(0x614)](_0x4dc52d(0x957),function(_0xefd3be,_0x173e76){const _0x2c2bca=_0x4dc52d;_0x1fcd48?_0x36c8ce(function(){_0x1fcd48=![];}):(!_0x173e76&&(_0x27d98a=_0x1e93d2[_0x2c2bca(0xae2)][_0x2c2bca(0x1c7b)]),_0xefd3be!==_0x173e76&&(_0x1e93d2['query'][_0x2c2bca(0x1c7b)]=0x1),!_0xefd3be&&(_0x1e93d2[_0x2c2bca(0xae2)][_0x2c2bca(0x1c7b)]=_0x27d98a),_0x1e93d2[_0x2c2bca(0x13e9)]());});function _0x1b80ad(_0x1a0c3a){const _0x27a06b=_0x4dc52d;_0x1e93d2[_0x27a06b(0x184d)]=_0x1a0c3a[_0x27a06b(0x184d)],_0x1e93d2['queues']=_0x1a0c3a?_0x39641b()[_0x27a06b(0x2631)](_0x1a0c3a['rows']?_0x1a0c3a['rows']:[],'id'):{};if(_0x1a0c3a[_0x27a06b(0x2214)]){_0x1e93d2['order']=[];for(let _0x5356eb=0x0;_0x5356eb<_0x1a0c3a[_0x27a06b(0x2214)][_0x27a06b(0xfd0)];_0x5356eb++){_0x1e93d2[_0x27a06b(0x237f)][_0x27a06b(0x2785)](_0x1a0c3a[_0x27a06b(0x2214)][_0x5356eb]['id']);}}_0x1ae2c2();}function _0x129cf9(){const _0x4b39a1=_0x4dc52d;_0x1e93d2['query'][_0x4b39a1(0x184b)]=(_0x1e93d2['query'][_0x4b39a1(0x1c7b)]-0x1)*_0x1e93d2[_0x4b39a1(0xae2)][_0x4b39a1(0x236)],_0x1219ef['hasRole'](_0x4b39a1(0x1c60))?_0x1e93d2[_0x4b39a1(0x2061)]=_0x3e1c97[_0x4b39a1(0x1e86)][_0x4b39a1(0xbf7)](_0x1e93d2[_0x4b39a1(0xae2)],_0x1b80ad)[_0x4b39a1(0x1d77)]:(_0x1e93d2['query']['id']=_0x1e93d2['userProfile']['id'],_0x1e93d2[_0x4b39a1(0xae2)][_0x4b39a1(0x1f74)]='ChatQueues',_0x1e93d2[_0x4b39a1(0x2061)]=_0x3e1c97[_0x4b39a1(0x44a)][_0x4b39a1(0x1810)](_0x1e93d2[_0x4b39a1(0xae2)],_0x1b80ad)['$promise']);}function _0x494a4b(_0x5d874e,_0x17a04e){const _0x35244e=_0x4dc52d;_0x18640a['show']({'controller':'CreateOrEditChatQueueDialogController','controllerAs':'vm','templateUrl':_0x2b3c8c,'parent':angular[_0x35244e(0x1853)](_0x15258d[_0x35244e(0x1ed9)]),'targetEvent':_0x5d874e,'clickOutsideToClose':!![],'locals':{'chatQueue':_0x17a04e,'chatQueues':_0x39641b()['values'](_0x1e93d2[_0x35244e(0x971)]),'license':null,'setting':null,'crudPermissions':_0x1e93d2['crudPermissions']}});}function _0x4ebdd0(_0x3589bc,_0x4ba474){const _0x4b84dc=_0x4dc52d;_0x18640a[_0x4b84dc(0xe27)]({'controller':_0x4b84dc(0x6dd),'controllerAs':'vm','templateUrl':_0x18839a,'parent':angular['element'](_0x15258d['body']),'targetEvent':_0x3589bc,'clickOutsideToClose':!![],'locals':{'chatQueue':_0x4ba474,'chatQueues':_0x1e93d2['queues']?_0x1e93d2[_0x4b84dc(0x971)][_0x4b84dc(0x2214)]:[],'realtime':![],'crudPermissions':_0x1e93d2[_0x4b84dc(0x1b1a)]}});}function _0x1bab42(_0x1949a4){const _0x7e30f=_0x4dc52d;return _0x3e1c97[_0x7e30f(0x1e86)]['update'](_0x1949a4)[_0x7e30f(0x1d77)][_0x7e30f(0x1cb0)](function(){const _0x3bae61=_0x7e30f;_0x15c23c[_0x3bae61(0x829)]({'title':_0x3bae61(0x264c),'msg':_0x1949a4['name']?_0x1949a4[_0x3bae61(0x16b6)]+'\x20has\x20been\x20updated!':''});})[_0x7e30f(0x1c4)](function(_0x10d6da){const _0x41f532=_0x7e30f;_0x15c23c[_0x41f532(0x218e)]({'title':_0x41f532(0x454),'msg':_0x10d6da[_0x41f532(0x155e)]});});}_0x5456ce[_0x4dc52d(0x1d6)](_0x4dc52d(0x291c),function(){const _0x33a349=_0x4dc52d;_0x2c9413[_0x33a349(0xfb8)]('chat_queue:save'),_0x2c9413[_0x33a349(0xfb8)](_0x33a349(0x1103)),_0x2c9413[_0x33a349(0xfb8)](_0x33a349(0x1e92)),_0x2c9413[_0x33a349(0xfb8)](_0x33a349(0x14c6)),_0x2c9413[_0x33a349(0xfb8)]('userVoiceQueue:remove'),_0x2c9413[_0x33a349(0xfb8)](_0x33a349(0x828));});}const _0x51f603=_0x5ef6c9;;function _0x40d646(){const _0x257aed=_0x5537c6;return{'status':{'registered':_0x257aed(0x1170),'unregistered':'red-300-fg\x20icon-close-circle','lagged':_0x257aed(0x29a3),'reachable':_0x257aed(0x1170),'unreachable':_0x257aed(0x29a3),'unknown':_0x257aed(0xb74)},'state':{'unknown':'grey-fg\x20icon-phone-hangup','not_inuse':_0x257aed(0x25c2),'inuse':'red-300-fg\x20icon-phone-in-talk','busy':_0x257aed(0x35b),'invalid':_0x257aed(0x181),'unavailable':_0x257aed(0x181),'ringing':_0x257aed(0x1c43),'ringinuse':'blue-300-fg\x20icon-phone-incoming','onhold':_0x257aed(0x1825)},'channelStatus':{'ring':_0x257aed(0x1c43),'up':_0x257aed(0x142f),'hangup':_0x257aed(0xe67)},'channelStatusOut':{'ring':_0x257aed(0x53c),'up':_0x257aed(0x142f),'hangup':_0x257aed(0xe67)}};}const _0x4ae960=_0x40d646;;_0x661983['$inject']=[_0x5537c6(0x1463),'$state',_0x5537c6(0x1986)];function _0x661983(_0x52a66e,_0x12c8b8,_0x52fac5){const _0x1be934=_0x5537c6,_0x20084a=this;_0x52fac5[_0x1be934(0x22e8)](),_0x52fac5[_0x1be934(0x8b0)](),_0x20084a[_0x1be934(0x8ec)]=0x0;switch(_0x12c8b8['current'][_0x1be934(0x16b6)]){case _0x1be934(0x224f):_0x20084a[_0x1be934(0x8ec)]=0x0;break;case _0x1be934(0x21a):_0x20084a[_0x1be934(0x8ec)]=0x1;break;default:_0x20084a[_0x1be934(0x8ec)]=0x0,_0x12c8b8['go']('app.chat.realtime.agents');}_0x52a66e[_0x1be934(0x614)](_0x1be934(0x1ced),function(_0x1e1b45,_0x43ce5c){const _0x1cd178=_0x1be934;if(_0x1e1b45!==_0x43ce5c)switch(_0x1e1b45){case 0x0:_0x12c8b8['go'](_0x1cd178(0x224f));break;case 0x1:_0x12c8b8['go'](_0x1cd178(0x21a));break;default:_0x12c8b8['go'](_0x1cd178(0x224f));}});}const _0x26a825=_0x661983;;_0x19bc88[_0x5537c6(0x15b6)]=[_0x5537c6(0xbd6)];function _0x19bc88(_0x512e63){const _0x246623=_0x5537c6;_0x512e63['state']('app.chat.realtime',{'url':_0x246623(0x1625),'views':{'content@app':{'templateUrl':_0x552dd6,'controller':'ChatRealtimeController\x20as\x20vm'}},'resolve':{'userProfile':['apiResolver',_0x246623(0x1774),function(_0x4a06c7,_0xad2c59){const _0x478e8e=_0x246623;return _0xad2c59[_0x478e8e(0x22b6)]('admin')?null:_0x4a06c7[_0x478e8e(0x19a3)](_0x478e8e(0x9ae),{'fields':'id,name,crudPermissions','id':_0xad2c59[_0x478e8e(0x21e8)]()[_0x478e8e(0x13c1)]});}],'userProfileSection':[_0x246623(0x1e0b),_0x246623(0x1774),function(_0x317a25,_0x3f45f7){const _0x4e0a6b=_0x246623;return _0x3f45f7[_0x4e0a6b(0x22b6)](_0x4e0a6b(0x1c60))?null:_0x317a25['resolve'](_0x4e0a6b(0x2182),{'fields':_0x4e0a6b(0x1f5f),'userProfileId':_0x3f45f7[_0x4e0a6b(0x21e8)]()[_0x4e0a6b(0x13c1)],'sectionId':0x1fe});}]},'authenticate':!![],'permissionId':0x1fe})['state'](_0x246623(0x224f),{'url':_0x246623(0xefc),'controller':_0x246623(0x1ddf),'templateUrl':_0x1c4910,'resolve':{'pauses':['apiResolver',function(_0x3b4bc3){const _0x2b9692=_0x246623;return _0x3b4bc3['resolve'](_0x2b9692(0xeeb),{'nolimit':!![]});}],'agents':['apiResolver',_0x246623(0x1774),function(_0x20cb21,_0x18bd50){const _0x4ac4b3=_0x246623;return _0x18bd50[_0x4ac4b3(0x22b6)](_0x4ac4b3(0x1c60))?_0x20cb21[_0x4ac4b3(0x19a3)](_0x4ac4b3(0x1c86),{'fields':_0x4ac4b3(0x1599),'role':_0x4ac4b3(0x1eff),'sort':_0x4ac4b3(0x1d14),'nolimit':!![]}):_0x20cb21[_0x4ac4b3(0x19a3)](_0x4ac4b3(0x12da),{'id':_0x18bd50[_0x4ac4b3(0x21e8)]()['userProfileId'],'section':_0x4ac4b3(0x2536),'fields':_0x4ac4b3(0x1599),'role':_0x4ac4b3(0x1eff),'sort':'fullname','nolimit':!![]});}],'userProfile':['apiResolver',_0x246623(0x1774),function(_0x583e5e,_0x3610aa){const _0x55b41a=_0x246623;return _0x3610aa[_0x55b41a(0x22b6)](_0x55b41a(0x1c60))?null:_0x583e5e['resolve'](_0x55b41a(0x9ae),{'fields':_0x55b41a(0x279),'id':_0x3610aa[_0x55b41a(0x21e8)]()[_0x55b41a(0x13c1)]});}],'userProfileSection':[_0x246623(0x1e0b),'Auth',function(_0x4a3453,_0x3bbf30){const _0x5e51d6=_0x246623;return _0x3bbf30[_0x5e51d6(0x22b6)](_0x5e51d6(0x1c60))?null:_0x4a3453[_0x5e51d6(0x19a3)](_0x5e51d6(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x3bbf30[_0x5e51d6(0x21e8)]()[_0x5e51d6(0x13c1)],'sectionId':0xca});}],'rpcAgents':['apiResolver',function(_0x181e03){const _0x4f1a4c=_0x246623;return _0x181e03[_0x4f1a4c(0x19a3)](_0x4f1a4c(0x12c0));}]},'authenticate':!![],'permissionId':0x1fe})['state']('app.chat.realtime.queues',{'url':_0x246623(0x1596),'controller':_0x246623(0x1561),'templateUrl':_0x4df0b6,'resolve':{'queues':[_0x246623(0x1e0b),_0x246623(0x1774),function(_0x161e7b,_0x3a1fc2){const _0x812232=_0x246623;return _0x3a1fc2['hasRole'](_0x812232(0x1c60))?_0x161e7b['resolve'](_0x812232(0x29c0),{'type':_0x812232(0x26c0),'sort':'-updatedAt','limit':0xa,'offset':0x0}):_0x161e7b[_0x812232(0x19a3)](_0x812232(0x12da),{'id':_0x3a1fc2[_0x812232(0x21e8)]()[_0x812232(0x13c1)],'section':'ChatQueues','channel':'chat','type':_0x812232(0x26c0),'sort':_0x812232(0x282),'limit':0xa,'page':0x1});}],'userProfile':[_0x246623(0x1e0b),'Auth',function(_0x5b42fe,_0x337fdf){const _0x470ddb=_0x246623;return _0x337fdf[_0x470ddb(0x22b6)](_0x470ddb(0x1c60))?null:_0x5b42fe[_0x470ddb(0x19a3)](_0x470ddb(0x9ae),{'fields':_0x470ddb(0x279),'id':_0x337fdf[_0x470ddb(0x21e8)]()['userProfileId']});}],'userProfileSection':[_0x246623(0x1e0b),_0x246623(0x1774),function(_0x3e498f,_0x4f24c3){const _0x5c115b=_0x246623;return _0x4f24c3[_0x5c115b(0x22b6)](_0x5c115b(0x1c60))?null:_0x3e498f[_0x5c115b(0x19a3)]('userProfileSection@get',{'fields':_0x5c115b(0x1f5f),'userProfileId':_0x4f24c3[_0x5c115b(0x21e8)]()[_0x5c115b(0x13c1)],'sectionId':0x1f5});}],'rpcQueues':[_0x246623(0x1e0b),function(_0x2491c0){const _0x387b60=_0x246623;return _0x2491c0['resolve'](_0x387b60(0x1706));}]},'authenticate':!![],'permissionId':0x1fe});}angular[_0x5537c6(0x9ab)]('app.chat.realtime',[])[_0x5537c6(0xa60)](_0x19bc88)['controller']('AgentsChatRealtimeController',_0x2fac9c)['controller']('QueuesChatRealtimeController',_0x51f603)[_0x5537c6(0x234a)](_0x5537c6(0x125c),_0x4ae960)[_0x5537c6(0x6e5)](_0x5537c6(0x188c),_0x26a825);;const _0x285e42=_0x5074a3['p']+_0x5537c6(0x14f9);;_0x1d5353[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x2168),_0x5537c6(0x1ae),'chatQueues',_0x5537c6(0x44a),_0x5537c6(0x2199),'api','msUtils','toasty',_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x1d5353(_0x3ebb80,_0x42e4ee,_0x26ed1e,_0x570af8,_0x5c737c,_0x481658,_0x45dd97,_0x3f2ae2,_0x26d46b,_0x18c13f,_0x1e390b,_0x3e276e,_0x227207,_0x35741a,_0x4c55fc,_0xb63ea0,_0x10f6bc){const _0x17222e=_0x5537c6,_0xd416bd=this;_0xd416bd[_0x17222e(0x8a5)]=_0xb63ea0,_0xd416bd[_0x17222e(0x9ca)]=_0x10f6bc,_0xd416bd[_0x17222e(0xe76)]=_0x4c55fc[_0x17222e(0x21e8)](),_0xd416bd['chatQueues']=_0x26d46b||{'count':0x0,'rows':[]},_0xd416bd[_0x17222e(0x44a)]=_0x18c13f,_0xd416bd[_0x17222e(0x2199)]=_0x1e390b&&_0x1e390b[_0x17222e(0x184d)]==0x1?_0x1e390b[_0x17222e(0x2214)][0x0]:null,_0xd416bd[_0x17222e(0x1b1a)]=_0x4c55fc['parseCrudPermissions'](_0xd416bd[_0x17222e(0x2199)]?_0xd416bd[_0x17222e(0x2199)]['crudPermissions']:null),_0xd416bd['table']=_0x17222e(0x25cd),_0xd416bd[_0x17222e(0x1d20)]='',_0xd416bd[_0x17222e(0x1cdf)]=null,_0xd416bd['selectedChatQueues']=[],_0xd416bd[_0x17222e(0xae2)]={'fields':_0x17222e(0x22f3),'sort':'-updatedAt','channel':_0x17222e(0x174c),'limit':0xa,'page':0x1},_0xd416bd['arraystrategy']=_0x39641b()[_0x17222e(0x2631)]([{'option':_0x17222e(0xfd9),'value':_0x17222e(0xcf1)},{'option':'RR\x20Memory','value':_0x17222e(0x15b5)}],function(_0x5c50fe){const _0x47ba47=_0x17222e;return _0x39641b()[_0x47ba47(0x288f)](_0x5c50fe[_0x47ba47(0x327)],new RegExp('\x27','g'),'');}),_0xd416bd[_0x17222e(0x235d)]=_0x33214e,_0xd416bd[_0x17222e(0x1d63)]=_0x5e3124,_0xd416bd[_0x17222e(0x1379)]=_0xbd7e4f,_0xd416bd['deleteconfirm']=_0x41308b,_0xd416bd['gotorealtimegoto']=_0x19ced7,_0xd416bd[_0x17222e(0x829)]=_0x555c38,_0xd416bd['getChatQueues']=_0x50fd7d,_0xd416bd[_0x17222e(0x1516)]=_0x1e77aa,_0xd416bd[_0x17222e(0x392)]=_0x437ce7,_0xd416bd['exportSelectedChatQueues']=_0x57b965,_0xd416bd[_0x17222e(0x1a50)]=_0x22b407,_0xd416bd[_0x17222e(0x253e)]=_0x5d3c25,_0xd416bd[_0x17222e(0x1b8a)]=_0x8c4d5f;function _0x33214e(_0x465720){const _0x1cadb2=_0x17222e;_0x26ed1e['go'](_0x1cadb2(0x16c7),{'id':_0x465720['id'],'chatQueue':_0x465720,'crudPermissions':_0xd416bd[_0x1cadb2(0x1b1a)]});}function _0x5e3124(_0x203814,_0x4a1e99){const _0x3fc792=_0x17222e;_0x5c737c[_0x3fc792(0xe27)]({'controller':_0x3fc792(0x151a),'controllerAs':'vm','templateUrl':_0x285e42,'parent':angular[_0x3fc792(0x1853)](_0x481658[_0x3fc792(0x1ed9)]),'targetEvent':_0x4a1e99,'clickOutsideToClose':!![],'locals':{'chatQueue':_0x203814,'chatQueues':_0xd416bd['chatQueues']?_0xd416bd[_0x3fc792(0x25cd)][_0x3fc792(0x2214)]:[],'crudPermissions':_0xd416bd[_0x3fc792(0x1b1a)]}});}function _0xbd7e4f(_0x417441,_0x27c647){const _0x4ff7b5=_0x17222e;_0x5c737c[_0x4ff7b5(0xe27)]({'controller':_0x4ff7b5(0x6dd),'controllerAs':'vm','templateUrl':_0x18839a,'parent':angular[_0x4ff7b5(0x1853)](_0x481658['body']),'targetEvent':_0x27c647,'clickOutsideToClose':!![],'locals':{'chatQueue':_0x417441,'chatQueues':_0xd416bd[_0x4ff7b5(0x25cd)]?_0xd416bd[_0x4ff7b5(0x25cd)][_0x4ff7b5(0x2214)]:[],'crudPermissions':_0xd416bd['crudPermissions'],'realtime':![]}});}function _0x41308b(_0x2204e,_0xbf8e8e){const _0x3a5952=_0x17222e,_0x19f947=_0x5c737c[_0x3a5952(0x1551)]()[_0x3a5952(0x1386)](_0x3a5952(0x140b)+_0x39641b()[_0x3a5952(0xa75)](_0x3a5952(0x1e86))+'?')['htmlContent'](''+(_0x2204e['name']||_0x3a5952(0x1e86))+_0x3a5952(0x1200)+_0x3a5952(0x1b6))['ariaLabel'](_0x3a5952(0x61e))['targetEvent'](_0xbf8e8e)['ok']('OK')[_0x3a5952(0x696)](_0x3a5952(0x24ba));_0x5c737c[_0x3a5952(0xe27)](_0x19f947)[_0x3a5952(0x1cb0)](function(){_0x437ce7(_0x2204e);},function(){console['log']('CANCEL');});}function _0x19ced7(){const _0x1b71b7=_0x17222e;if(_0x4c55fc[_0x1b71b7(0x22b6)](_0x1b71b7(0x1c60)))_0x26ed1e['go'](_0x1b71b7(0x21a),{});else return _0x3e276e[_0x1b71b7(0x2199)]['get']({'userProfileId':_0x4c55fc[_0x1b71b7(0x21e8)]()[_0x1b71b7(0x13c1)],'sectionId':0x1fe})[_0x1b71b7(0x1d77)][_0x1b71b7(0x1cb0)](function(_0x1e81c6){const _0x597569=_0x1b71b7,_0x5ef3c4=_0x1e81c6&&_0x1e81c6['rows']?_0x1e81c6['rows'][0x0]:null;_0x5ef3c4&&_0x5ef3c4[_0x597569(0x281c)]?_0x26ed1e['go'](_0x597569(0x21a),{}):_0x35741a['info']({'title':_0x3f2ae2[_0x597569(0x25cc)](_0x597569(0x370)),'msg':_0x3f2ae2['instant'](_0x597569(0x33a))});})[_0x1b71b7(0x1c4)](function(_0x322f2c){const _0x5b6689=_0x1b71b7;_0x35741a[_0x5b6689(0x218e)]({'title':_0x322f2c['status']?_0x5b6689(0xeb9)+_0x322f2c[_0x5b6689(0x291)]+'\x20-\x20'+_0x322f2c[_0x5b6689(0xc22)]:_0x5b6689(0x17ba),'msg':_0x322f2c['status']?JSON['stringify'](_0x322f2c['data']):_0x322f2c['toString']()});});}let _0x5618fa=!![],_0x14ebc1=0x1;_0x3ebb80[_0x17222e(0x614)](_0x17222e(0x957),function(_0x4996a4,_0x49d671){const _0x641677=_0x17222e;_0x5618fa?_0x45dd97(function(){_0x5618fa=![];}):(!_0x49d671&&(_0x14ebc1=_0xd416bd[_0x641677(0xae2)][_0x641677(0x1c7b)]),_0x4996a4!==_0x49d671&&(_0xd416bd[_0x641677(0xae2)]['page']=0x1),!_0x4996a4&&(_0xd416bd[_0x641677(0xae2)][_0x641677(0x1c7b)]=_0x14ebc1),_0xd416bd['getChatQueues']());});function _0x555c38(_0x58f07b){const _0x24cb49=_0x17222e;_0xd416bd[_0x24cb49(0x25cd)]=_0x58f07b||{'count':0x0,'rows':[]};}function _0x50fd7d(){const _0x40e0e3=_0x17222e;_0xd416bd[_0x40e0e3(0xae2)]['offset']=(_0xd416bd[_0x40e0e3(0xae2)][_0x40e0e3(0x1c7b)]-0x1)*_0xd416bd[_0x40e0e3(0xae2)]['limit'],_0x4c55fc[_0x40e0e3(0x22b6)](_0x40e0e3(0x1c60))?_0xd416bd[_0x40e0e3(0x2061)]=_0x3e276e[_0x40e0e3(0x1e86)][_0x40e0e3(0xbf7)](_0xd416bd[_0x40e0e3(0xae2)],_0x555c38)[_0x40e0e3(0x1d77)]:(_0xd416bd[_0x40e0e3(0xae2)]['id']=_0xd416bd['userProfile']['id'],_0xd416bd[_0x40e0e3(0xae2)][_0x40e0e3(0x1f74)]=_0x40e0e3(0x23a4),_0xd416bd['promise']=_0x3e276e['userProfile']['getResources'](_0xd416bd['query'],_0x555c38)[_0x40e0e3(0x1d77)]);}function _0x1e77aa(_0x530c9e,_0x5d398e){const _0x2f26c4=_0x17222e;_0x5c737c[_0x2f26c4(0xe27)]({'controller':'CreateOrEditChatQueueDialogController','controllerAs':'vm','templateUrl':_0x2b3c8c,'parent':angular[_0x2f26c4(0x1853)](_0x481658[_0x2f26c4(0x1ed9)]),'targetEvent':_0x530c9e,'clickOutsideToClose':!![],'locals':{'chatQueue':_0x5d398e,'chatQueues':_0xd416bd[_0x2f26c4(0x25cd)][_0x2f26c4(0x2214)],'license':_0xd416bd[_0x2f26c4(0x8a5)],'setting':_0xd416bd['setting'],'crudPermissions':_0xd416bd['crudPermissions']}});}function _0x437ce7(_0xb90d){const _0x223d66=_0x17222e;_0x3e276e[_0x223d66(0x1e86)][_0x223d66(0x111d)]({'id':_0xb90d['id']})['$promise']['then'](function(){const _0xfed256=_0x223d66;_0x39641b()[_0xfed256(0x152a)](_0xd416bd['chatQueues']['rows'],{'id':_0xb90d['id']}),_0xd416bd[_0xfed256(0x25cd)]['count']-=0x1,!_0xd416bd[_0xfed256(0x25cd)][_0xfed256(0x2214)][_0xfed256(0xfd0)]&&_0xd416bd[_0xfed256(0x730)](),_0x35741a[_0xfed256(0x829)]({'title':_0x39641b()[_0xfed256(0xa75)](_0xfed256(0x245))+_0xfed256(0x2663),'msg':_0xb90d[_0xfed256(0x16b6)]?_0xb90d[_0xfed256(0x16b6)]+_0xfed256(0x3f5):''});})['catch'](function(_0xa6b1b6){const _0x1583af=_0x223d66;if(_0xa6b1b6['data']&&_0xa6b1b6[_0x1583af(0x25c)][_0x1583af(0x1a7c)]&&_0xa6b1b6[_0x1583af(0x25c)][_0x1583af(0x1a7c)][_0x1583af(0xfd0)]){_0xd416bd[_0x1583af(0x1a7c)]=_0xa6b1b6[_0x1583af(0x25c)][_0x1583af(0x1a7c)]||[{'message':_0xa6b1b6[_0x1583af(0x147f)](),'type':_0x1583af(0x1baf)}];for(let _0x15d4f0=0x0;_0x15d4f0<_0xa6b1b6['data'][_0x1583af(0x1a7c)]['length'];_0x15d4f0++){_0x35741a[_0x1583af(0x218e)]({'title':_0xa6b1b6[_0x1583af(0x25c)][_0x1583af(0x1a7c)][_0x15d4f0][_0x1583af(0x66a)],'msg':_0xa6b1b6[_0x1583af(0x25c)][_0x1583af(0x1a7c)][_0x15d4f0][_0x1583af(0x155e)]});}}else _0x35741a[_0x1583af(0x218e)]({'title':_0xa6b1b6[_0x1583af(0x291)]?_0x1583af(0xeb9)+_0xa6b1b6['status']+_0x1583af(0x1657)+_0xa6b1b6[_0x1583af(0xc22)]:_0x1583af(0x1baf),'msg':_0xa6b1b6[_0x1583af(0x25c)]?JSON[_0x1583af(0x2701)](_0xa6b1b6['data'][_0x1583af(0x155e)]):_0xa6b1b6[_0x1583af(0x155e)]||_0xa6b1b6[_0x1583af(0x147f)]()});});}function _0x57b965(){const _0x37a242=_0x17222e,_0x1022ac=angular[_0x37a242(0x17fe)](_0xd416bd[_0x37a242(0x195b)]);return _0xd416bd[_0x37a242(0x195b)]=[],_0x1022ac;}function _0x22b407(_0x263d11){const _0x1bb01f=_0x17222e,_0x4f6367=_0x5c737c[_0x1bb01f(0x1551)]()[_0x1bb01f(0x1386)](_0x1bb01f(0x8f0))[_0x1bb01f(0x49e)](_0x1bb01f(0x204d)+_0xd416bd[_0x1bb01f(0x195b)]['length']+'\x20selected'+'\x20will\x20be\x20deleted.')[_0x1bb01f(0x15ad)](_0x1bb01f(0x273f))[_0x1bb01f(0x728)](_0x263d11)['ok']('OK')[_0x1bb01f(0x696)](_0x1bb01f(0x24ba));_0x5c737c['show'](_0x4f6367)['then'](function(){const _0x55c3a2=_0x1bb01f;_0xd416bd[_0x55c3a2(0x195b)][_0x55c3a2(0xf90)](function(_0x18be7e){_0x437ce7(_0x18be7e);}),_0xd416bd[_0x55c3a2(0x195b)]=[];});}function _0x5d3c25(){const _0x93d1ea=_0x17222e;_0xd416bd[_0x93d1ea(0x195b)]=[];}function _0x8c4d5f(){const _0x270779=_0x17222e;_0xd416bd[_0x270779(0x195b)]=_0xd416bd['chatQueues'][_0x270779(0x2214)];}}const _0x178e04=_0x1d5353;;_0x5a0cc0[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$state','$location',_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x25cd),_0x5537c6(0x1e86),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca),'crudPermissions'];function _0x5a0cc0(_0x18b926,_0x4232a8,_0x1356b0,_0x469d39,_0x178883,_0x45cff8,_0x23e8db,_0x45b539,_0x4ea936,_0x25a809,_0x2efd46,_0x4fdcfa,_0x8c1498,_0x4e99c6){const _0x38f319=_0x5537c6,_0x17a98f=this;_0x17a98f[_0x38f319(0xe76)]=_0x2efd46[_0x38f319(0x21e8)](),_0x17a98f[_0x38f319(0x1a7c)]=[],_0x17a98f[_0x38f319(0x9ca)]=_0x8c1498,_0x17a98f[_0x38f319(0x8a5)]=_0x4fdcfa,_0x17a98f['crudPermissions']=_0x4e99c6,_0x17a98f[_0x38f319(0xf4c)]={},_0x17a98f['passwordPattern']=_0x17a98f[_0x38f319(0x9ca)]&&_0x17a98f[_0x38f319(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x17a98f['title']='CHAT.EDIT_CHATQUEUE',_0x17a98f['chatQueue']=angular[_0x38f319(0x17fe)](_0x4ea936),_0x17a98f[_0x38f319(0x25cd)]=_0x45b539,_0x17a98f[_0x38f319(0x1a33)]=![];!_0x17a98f[_0x38f319(0x1e86)]&&(_0x17a98f['chatQueue']={'strategy':'beepall','timeout':0xa},_0x17a98f[_0x38f319(0x1386)]=_0x38f319(0x244b),_0x17a98f[_0x38f319(0x1a33)]=!![]);_0x17a98f['addNewChatQueue']=_0x4fc133,_0x17a98f['saveChatQueue']=_0x3fffbb,_0x17a98f[_0x38f319(0x392)]=_0xc09daa,_0x17a98f[_0x38f319(0x2c4)]=_0x7947ef,_0x17a98f[_0x38f319(0xda0)]=_0x46c645;function _0x4fc133(){const _0x3b581b=_0x38f319;_0x17a98f[_0x3b581b(0x1a7c)]=[],_0x25a809[_0x3b581b(0x1e86)][_0x3b581b(0x1c3f)](_0x17a98f['chatQueue'])[_0x3b581b(0x1d77)][_0x3b581b(0x1cb0)](function(_0x11da7a){const _0x192aa7=_0x3b581b;_0x17a98f[_0x192aa7(0x25cd)][_0x192aa7(0xf63)](_0x11da7a['toJSON']()),_0x23e8db[_0x192aa7(0x829)]({'title':'ChatQueue\x20properly\x20created','msg':_0x17a98f[_0x192aa7(0x1e86)][_0x192aa7(0x16b6)]?_0x17a98f[_0x192aa7(0x1e86)][_0x192aa7(0x16b6)]+_0x192aa7(0x470):''}),_0x46c645(_0x11da7a);})[_0x3b581b(0x1c4)](function(_0x1780a6){const _0x5deeb0=_0x3b581b;if(_0x1780a6[_0x5deeb0(0x25c)]&&_0x1780a6['data'][_0x5deeb0(0x1a7c)]&&_0x1780a6['data'][_0x5deeb0(0x1a7c)][_0x5deeb0(0xfd0)]){_0x17a98f[_0x5deeb0(0x1a7c)]=_0x1780a6['data'][_0x5deeb0(0x1a7c)]||[{'message':_0x1780a6[_0x5deeb0(0x147f)](),'type':_0x5deeb0(0x2475)}];for(let _0x56ec2a=0x0;_0x56ec2a<_0x1780a6[_0x5deeb0(0x25c)][_0x5deeb0(0x1a7c)][_0x5deeb0(0xfd0)];_0x56ec2a+=0x1){_0x23e8db[_0x5deeb0(0x218e)]({'title':_0x1780a6[_0x5deeb0(0x25c)][_0x5deeb0(0x1a7c)][_0x56ec2a][_0x5deeb0(0x66a)],'msg':_0x1780a6['data'][_0x5deeb0(0x1a7c)][_0x56ec2a][_0x5deeb0(0x155e)]});}}else _0x23e8db[_0x5deeb0(0x218e)]({'title':_0x1780a6[_0x5deeb0(0x291)]?'API:'+_0x1780a6[_0x5deeb0(0x291)]+_0x5deeb0(0x1657)+_0x1780a6[_0x5deeb0(0xc22)]:_0x5deeb0(0x2475),'msg':_0x1780a6[_0x5deeb0(0x25c)]?JSON['stringify'](_0x1780a6['data'][_0x5deeb0(0x155e)]):_0x1780a6[_0x5deeb0(0x147f)]()});});}function _0x3fffbb(){const _0x305998=_0x38f319;_0x17a98f[_0x305998(0x1a7c)]=[],_0x25a809[_0x305998(0x1e86)][_0x305998(0x687)]({'id':_0x17a98f[_0x305998(0x1e86)]['id']},_0x17a98f['chatQueue'])['$promise'][_0x305998(0x1cb0)](function(_0x2e7120){const _0x38bf7a=_0x305998,_0x54345e=_0x39641b()[_0x38bf7a(0x13b4)](_0x17a98f[_0x38bf7a(0x25cd)],{'id':_0x2e7120['id']});_0x54345e&&_0x39641b()[_0x38bf7a(0x9c1)](_0x54345e,_0x39641b()[_0x38bf7a(0x169b)](_0x2e7120[_0x38bf7a(0x19b2)](),_0x39641b()[_0x38bf7a(0x1be5)](_0x54345e))),_0x23e8db['success']({'title':_0x38bf7a(0x1b69),'msg':_0x17a98f[_0x38bf7a(0x1e86)][_0x38bf7a(0x16b6)]?_0x17a98f[_0x38bf7a(0x1e86)][_0x38bf7a(0x16b6)]+'\x20has\x20been\x20saved!':''}),_0x46c645(_0x2e7120);})['catch'](function(_0x4f3b74){const _0x59d7a6=_0x305998;if(_0x4f3b74[_0x59d7a6(0x25c)]&&_0x4f3b74['data'][_0x59d7a6(0x1a7c)]&&_0x4f3b74[_0x59d7a6(0x25c)]['errors'][_0x59d7a6(0xfd0)]){_0x17a98f['errors']=_0x4f3b74['data']['errors']||[{'message':_0x4f3b74[_0x59d7a6(0x147f)](),'type':_0x59d7a6(0x2425)}];for(let _0x2203ce=0x0;_0x2203ce<_0x4f3b74[_0x59d7a6(0x25c)]['errors'][_0x59d7a6(0xfd0)];_0x2203ce++){_0x23e8db[_0x59d7a6(0x218e)]({'title':_0x4f3b74[_0x59d7a6(0x25c)][_0x59d7a6(0x1a7c)][_0x2203ce][_0x59d7a6(0x66a)],'msg':_0x4f3b74[_0x59d7a6(0x25c)][_0x59d7a6(0x1a7c)][_0x2203ce][_0x59d7a6(0x155e)]});}}else _0x23e8db[_0x59d7a6(0x218e)]({'title':_0x4f3b74[_0x59d7a6(0x291)]?'API:'+_0x4f3b74[_0x59d7a6(0x291)]+_0x59d7a6(0x1657)+_0x4f3b74[_0x59d7a6(0xc22)]:_0x59d7a6(0x2425),'msg':_0x4f3b74[_0x59d7a6(0x25c)]?JSON[_0x59d7a6(0x2701)](_0x4f3b74['data'][_0x59d7a6(0x155e)]):_0x4f3b74[_0x59d7a6(0x147f)]()});});}function _0xc09daa(_0x18376d){const _0x37ec3d=_0x38f319;_0x17a98f[_0x37ec3d(0x1a7c)]=[];const _0x42bcf4=_0x469d39[_0x37ec3d(0x1551)]()['title'](_0x37ec3d(0x1a2e))['content'](_0x37ec3d(0x12e1))[_0x37ec3d(0x15ad)](_0x37ec3d(0x1783))['ok'](_0x37ec3d(0x2594))['cancel'](_0x37ec3d(0xde1))[_0x37ec3d(0x728)](_0x18376d);_0x469d39[_0x37ec3d(0xe27)](_0x42bcf4)[_0x37ec3d(0x1cb0)](function(){const _0x35c989=_0x37ec3d;_0x25a809[_0x35c989(0x1e86)]['delete']({'id':_0x17a98f['chatQueue']['id']})[_0x35c989(0x1d77)][_0x35c989(0x1cb0)](function(){const _0x11c62e=_0x35c989;_0x39641b()[_0x11c62e(0x152a)](_0x17a98f[_0x11c62e(0x25cd)],{'id':_0x17a98f[_0x11c62e(0x1e86)]['id']}),_0x23e8db[_0x11c62e(0x829)]({'title':_0x11c62e(0x238c),'msg':(_0x17a98f[_0x11c62e(0x1e86)][_0x11c62e(0x16b6)]||_0x11c62e(0x1e86))+_0x11c62e(0x3f5)}),_0x46c645(_0x17a98f[_0x11c62e(0x1e86)]);})[_0x35c989(0x1c4)](function(_0x246af9){const _0x303b1c=_0x35c989;if(_0x246af9['data']&&_0x246af9[_0x303b1c(0x25c)][_0x303b1c(0x1a7c)]&&_0x246af9[_0x303b1c(0x25c)][_0x303b1c(0x1a7c)]['length']){_0x17a98f[_0x303b1c(0x1a7c)]=_0x246af9['data'][_0x303b1c(0x1a7c)]||[{'message':_0x246af9[_0x303b1c(0x147f)](),'type':_0x303b1c(0x2739)}];for(let _0x36d28b=0x0;_0x36d28b<_0x246af9[_0x303b1c(0x25c)]['errors']['length'];_0x36d28b++){_0x23e8db[_0x303b1c(0x218e)]({'title':_0x246af9[_0x303b1c(0x25c)][_0x303b1c(0x1a7c)][_0x36d28b][_0x303b1c(0x66a)],'msg':_0x246af9[_0x303b1c(0x25c)][_0x303b1c(0x1a7c)][_0x36d28b]['message']});}}else _0x23e8db[_0x303b1c(0x218e)]({'title':_0x246af9[_0x303b1c(0x291)]?_0x303b1c(0xeb9)+_0x246af9[_0x303b1c(0x291)]+_0x303b1c(0x1657)+_0x246af9['statusText']:_0x303b1c(0x2739),'msg':_0x246af9[_0x303b1c(0x25c)]?JSON[_0x303b1c(0x2701)](_0x246af9[_0x303b1c(0x25c)]['message']):_0x246af9[_0x303b1c(0x155e)]||_0x246af9[_0x303b1c(0x147f)]()});});},function(){});}function _0x7947ef(_0x371d53){return _0x371d53===null?undefined:new Date(_0x371d53);}function _0x46c645(_0x44487a){const _0x5b6266=_0x38f319;_0x469d39[_0x5b6266(0x1426)](_0x44487a);}}const _0x3c8291=_0x5a0cc0;;_0x5b3be6[_0x5537c6(0x15b6)]=['$mdDialog','$q',_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x1e86),_0x5537c6(0x25cd),_0x5537c6(0x217b),_0x5537c6(0x1ae),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x5b3be6(_0xc03dab,_0x435b18,_0x2495f4,_0x36b126,_0x562dfd,_0x5fa05b,_0xf7913f,_0x43809d,_0x2eef09,_0x4345a8){const _0x2f7399=_0x5537c6,_0x24c5f7=this;_0x24c5f7[_0x2f7399(0xe76)]=_0x2eef09['getCurrentUser'](),_0x24c5f7['chatQueue']=_0x562dfd,_0x24c5f7[_0x2f7399(0x1b1a)]=_0x4345a8,_0x24c5f7[_0x2f7399(0x217b)]=_0xf7913f,_0x24c5f7['items']=[],_0x24c5f7['allowedItems']=[],_0x24c5f7['selectedItems']=[],_0x24c5f7[_0x2f7399(0xbd5)]=[],_0x24c5f7['startingSelectedItems']=[],_0x24c5f7[_0x2f7399(0x50c)]=![],_0x24c5f7[_0x2f7399(0x1a34)]=_0x161507,_0x24c5f7[_0x2f7399(0x1dd5)]=_0x1c9366,_0x24c5f7[_0x2f7399(0xda0)]=_0x4308ad,_0x24c5f7[_0x2f7399(0x18c0)]={'readOnly':!_0x24c5f7[_0x2f7399(0x1b1a)][_0x2f7399(0xb3d)],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x2f7399(0x16b6),'line1':_0x2f7399(0x1d14),'line2':['name',_0x2f7399(0xdbd)],'line3':'','labelAll':_0x43809d[_0x2f7399(0x25cc)](_0x2f7399(0x21e7)),'labelSelected':_0x43809d[_0x2f7399(0x25cc)]('APP.SELECTED_AGENTS'),'transferCallback':function(){const _0x376354=_0x2f7399,_0x523245=_0x39641b()['xorBy'](_0x24c5f7['startingSelectedItems'],_0x24c5f7[_0x376354(0x20bb)],'id');_0x24c5f7['pendingChanges']=_0x39641b()[_0x376354(0xce9)](_0x523245)?![]:!![];}};function _0x161507(){const _0xf7e1f8=_0x2f7399;return _0x2eef09[_0xf7e1f8(0x22b6)](_0xf7e1f8(0x1c60))?_0x4ca4d2()[_0xf7e1f8(0x1c4)](function(_0x2cfad5){const _0x46b8ea=_0xf7e1f8;_0x2495f4[_0x46b8ea(0x218e)]({'title':_0x2cfad5[_0x46b8ea(0x291)]?_0x46b8ea(0xeb9)+_0x2cfad5[_0x46b8ea(0x291)]+_0x46b8ea(0x1657)+_0x2cfad5['statusText']:'SYSTEM:GET_AGENTS','msg':_0x2cfad5[_0x46b8ea(0x291)]?JSON[_0x46b8ea(0x2701)](_0x2cfad5['data']):_0x2cfad5['toString']()});}):_0x12896f()[_0xf7e1f8(0x1cb0)](function(_0x12444a){const _0x5c180b=_0xf7e1f8;return _0x24c5f7[_0x5c180b(0x1f74)]=_0x12444a,_0x4ca4d2();})[_0xf7e1f8(0x1c4)](function(_0x1da0cc){const _0xc8fe89=_0xf7e1f8;_0x2495f4[_0xc8fe89(0x218e)]({'title':_0x1da0cc[_0xc8fe89(0x291)]?_0xc8fe89(0xeb9)+_0x1da0cc[_0xc8fe89(0x291)]+_0xc8fe89(0x1657)+_0x1da0cc[_0xc8fe89(0xc22)]:_0xc8fe89(0x799),'msg':_0x1da0cc[_0xc8fe89(0x291)]?JSON[_0xc8fe89(0x2701)](_0x1da0cc[_0xc8fe89(0x25c)]):_0x1da0cc[_0xc8fe89(0x147f)]()});});}function _0x12896f(){return _0x435b18(function(_0x575cd7,_0x2ac993){const _0x50b2fe=a0_0x5cbd;_0x36b126[_0x50b2fe(0x2199)]['get']({'userProfileId':_0x24c5f7[_0x50b2fe(0xe76)][_0x50b2fe(0x13c1)],'name':'Agents'})[_0x50b2fe(0x1d77)][_0x50b2fe(0x1cb0)](function(_0x316d67){const _0x10ec34=_0x50b2fe,_0x155bbc=_0x316d67&&_0x316d67[_0x10ec34(0x2214)]?_0x316d67[_0x10ec34(0x2214)][0x0]:null;_0x575cd7(_0x155bbc);})[_0x50b2fe(0x1c4)](function(_0x5400ed){_0x2ac993(_0x5400ed);});});}function _0x4ca4d2(){return _0x435b18(function(_0xd68343,_0x573af6){const _0x11c095=a0_0x5cbd;return _0x4fb3e2()[_0x11c095(0x1cb0)](function(_0x29ff7d){const _0x176399=_0x11c095;return _0x24c5f7[_0x176399(0x122f)]=_0x29ff7d[_0x176399(0x2214)]?_0x29ff7d[_0x176399(0x2214)]:[],_0x2eef09[_0x176399(0x22b6)]('admin')?_0x29ff7d:_0x24c5f7[_0x176399(0x1f74)]?_0x24c5f7[_0x176399(0x1f74)][_0x176399(0x12f4)]?_0x29ff7d:_0x2bf8bc():null;})[_0x11c095(0x1cb0)](function(_0x111c7d){const _0x1507e6=_0x11c095,_0x8356e8=_0x111c7d&&_0x111c7d[_0x1507e6(0x2214)]?_0x111c7d[_0x1507e6(0x2214)]:[];return _0x24c5f7['allowedItems']=_0x39641b()[_0x1507e6(0x1de2)](_0x8356e8,function(_0xc739a8){const _0x1da7b0=_0x1507e6;return _0x39641b()[_0x1da7b0(0x13b4)](_0x24c5f7[_0x1da7b0(0x122f)],{'id':_0x2eef09[_0x1da7b0(0x22b6)]('admin')||_0x24c5f7['section'][_0x1da7b0(0x12f4)]?_0xc739a8['id']:_0xc739a8[_0x1da7b0(0x2982)]});}),_0x24c5f7['startingAllowedItems']=angular[_0x1507e6(0x17fe)](_0x24c5f7[_0x1507e6(0x1372)]),_0x24c5f7['items'][_0x1507e6(0xf90)](function(_0x1db8d9){const _0x33c8c6=_0x1507e6,_0x5f20c7=_0x39641b()[_0x33c8c6(0x13b4)](_0x24c5f7[_0x33c8c6(0x1372)],{'id':_0x1db8d9['id']});_0x2eef09[_0x33c8c6(0x22b6)](_0x33c8c6(0x1c60))?_0x1db8d9[_0x33c8c6(0x1a4f)]=!![]:_0x1db8d9[_0x33c8c6(0x1a4f)]=typeof _0x5f20c7!==_0x33c8c6(0x16b5)?!![]:![];}),_0x2d9c35();})[_0x11c095(0x1cb0)](function(_0x112ba4){const _0x150363=_0x11c095,_0x2f96b1=_0x112ba4&&_0x112ba4[_0x150363(0x2214)]?_0x112ba4[_0x150363(0x2214)]:[];_0x24c5f7[_0x150363(0x20bb)]=_0x39641b()[_0x150363(0x1de2)](_0x2f96b1,function(_0xc9f3b3){const _0x4ecdf4=_0x150363,_0x5d6078=_0x39641b()[_0x4ecdf4(0x13b4)](_0x24c5f7['items'],{'id':_0xc9f3b3['id']});return _0x5d6078['penalty']=_0xc9f3b3['UserChatQueue']?_0x4ecdf4(0x455)+_0xc9f3b3['UserChatQueue']['penalty']:'',_0x5d6078[_0x4ecdf4(0xdbd)]=typeof _0xc9f3b3[_0x4ecdf4(0xdbd)]!==_0x4ecdf4(0x16b5)?'<'+_0xc9f3b3[_0x4ecdf4(0xdbd)]+'>':'',_0x5d6078;}),_0x24c5f7['startingSelectedItems']=angular[_0x150363(0x17fe)](_0x24c5f7[_0x150363(0x20bb)]),_0x24c5f7[_0x150363(0x18c0)][_0x150363(0x20bb)]=_0x24c5f7['selectedItems'],_0x24c5f7[_0x150363(0x18c0)]['items']=_0x39641b()['differenceBy'](_0x24c5f7[_0x150363(0x1372)],_0x24c5f7[_0x150363(0x18c0)]['selectedItems'],'id'),_0xd68343();})['catch'](function(_0x2ff498){_0x573af6(_0x2ff498);});});}function _0x2bf8bc(){return _0x435b18(function(_0x5eb1dc,_0x3fc3f6){const _0x3a513d=a0_0x5cbd;return _0x36b126['userProfileResource']['get']({'sectionId':_0x24c5f7[_0x3a513d(0x1f74)]['id'],'nolimit':!![]})[_0x3a513d(0x1d77)][_0x3a513d(0x1cb0)](function(_0x4d4980){_0x5eb1dc(_0x4d4980);})[_0x3a513d(0x1c4)](function(_0x34f9b8){_0x3fc3f6(_0x34f9b8);});});}function _0x2d9c35(){return _0x435b18(function(_0x152867,_0xfab3d4){const _0x1c0bf8=a0_0x5cbd;return _0x36b126[_0x1c0bf8(0x1e86)][_0x1c0bf8(0x2348)]({'id':_0x24c5f7[_0x1c0bf8(0x1e86)]['id'],'fields':_0x1c0bf8(0x251e),'nolimit':!![],'role':'agent'})[_0x1c0bf8(0x1d77)]['then'](function(_0x26a071){_0x152867(_0x26a071);})[_0x1c0bf8(0x1c4)](function(_0x19f42c){_0xfab3d4(_0x19f42c);});});}function _0x4fb3e2(){return _0x435b18(function(_0xe02d3d,_0x5b9f8b){const _0x1a72a2=a0_0x5cbd;return _0x36b126[_0x1a72a2(0xebe)][_0x1a72a2(0xbf7)]({'fields':_0x1a72a2(0x251e),'nolimit':!![],'role':_0x1a72a2(0x1eff)})[_0x1a72a2(0x1d77)][_0x1a72a2(0x1cb0)](function(_0x3d9608){_0xe02d3d(_0x3d9608);})[_0x1a72a2(0x1c4)](function(_0x34865c){_0x5b9f8b(_0x34865c);});});}function _0x4eefb3(_0xb9d8f){return _0x435b18(function(_0x2ae515,_0x2e0e2b){const _0x4f82d1=a0_0x5cbd;_0x39641b()[_0x4f82d1(0xce9)](_0xb9d8f)?_0x2ae515():_0x36b126['chatQueue'][_0x4f82d1(0x2057)]({'id':_0x24c5f7[_0x4f82d1(0x1e86)]['id'],'ids':_0x39641b()[_0x4f82d1(0x1de2)](_0xb9d8f,'id')})[_0x4f82d1(0x1d77)][_0x4f82d1(0x1cb0)](function(){_0x2ae515();})[_0x4f82d1(0x1c4)](function(_0x287cad){_0x2e0e2b(_0x287cad);});});}function _0x6398a6(_0x5de503){return _0x435b18(function(_0x412421,_0xa9f919){const _0x2f73c1=a0_0x5cbd;_0x39641b()[_0x2f73c1(0xce9)](_0x5de503)?_0x412421():_0x36b126[_0x2f73c1(0x1e86)][_0x2f73c1(0x223f)]({'id':_0x24c5f7['chatQueue']['id'],'ids':_0x39641b()[_0x2f73c1(0x1de2)](_0x5de503,'id')})[_0x2f73c1(0x1d77)][_0x2f73c1(0x1cb0)](function(){_0x412421();})['catch'](function(_0x332233){_0xa9f919(_0x332233);});});}function _0x1c9366(){const _0x15a320=_0x2f7399,_0x1e0c58=_0x39641b()['differenceBy'](_0x24c5f7[_0x15a320(0x1456)],_0x24c5f7[_0x15a320(0x20bb)],'id'),_0x5978d2=_0x39641b()[_0x15a320(0x2128)](_0x24c5f7[_0x15a320(0x20bb)],_0x24c5f7[_0x15a320(0x1456)],'id');return _0x6398a6(_0x1e0c58)['then'](function(){return _0x4eefb3(_0x5978d2);})[_0x15a320(0x1cb0)](function(){const _0xc7efa5=_0x15a320;_0x24c5f7[_0xc7efa5(0x50c)]=![],_0x24c5f7[_0xc7efa5(0xbd5)]=angular['copy'](_0x24c5f7[_0xc7efa5(0x1372)]),_0x24c5f7[_0xc7efa5(0x1456)]=angular['copy'](_0x24c5f7[_0xc7efa5(0x20bb)]),_0x2495f4['success']({'title':_0xc7efa5(0x201),'msg':_0xc7efa5(0x976)});})[_0x15a320(0x1c4)](function(_0x101fae){const _0x3b8c26=_0x15a320;_0x2495f4[_0x3b8c26(0x218e)]({'title':_0x101fae[_0x3b8c26(0x291)]?_0x3b8c26(0xeb9)+_0x101fae[_0x3b8c26(0x291)]+_0x3b8c26(0x1657)+_0x101fae[_0x3b8c26(0xc22)]:'SYSTEM:LISTS_ASSOCIATION','msg':_0x101fae[_0x3b8c26(0x291)]?JSON[_0x3b8c26(0x2701)](_0x101fae[_0x3b8c26(0x25c)]):_0x101fae[_0x3b8c26(0x147f)]()});});}function _0x4308ad(){const _0x577b72=_0x2f7399;_0xc03dab[_0x577b72(0x1426)]();}}const _0x3d9ca5=_0x5b3be6;;_0x4d5bc0[_0x5537c6(0x15b6)]=['$mdDialog','$q',_0x5537c6(0x9bf),_0x5537c6(0x142b),'chatQueue',_0x5537c6(0x1ae),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x4d5bc0(_0x268620,_0x3b51c4,_0x5e4868,_0x56b582,_0x430108,_0x285415,_0x2f83c1,_0x4bebe9){const _0x68638a=_0x5537c6,_0x547255=this;_0x547255['currentUser']=_0x2f83c1['getCurrentUser'](),_0x547255[_0x68638a(0x1e86)]=_0x430108,_0x547255[_0x68638a(0x1b1a)]=_0x4bebe9,_0x547255['items']=[],_0x547255[_0x68638a(0x1372)]=[],_0x547255[_0x68638a(0x20bb)]=[],_0x547255['startingAllowedItems']=[],_0x547255[_0x68638a(0x1456)]=[],_0x547255[_0x68638a(0x50c)]=![],_0x547255['dualMultiselectOptions']={'readOnly':!_0x547255[_0x68638a(0x1b1a)][_0x68638a(0xb3d)],'allowedItems':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x68638a(0x16b6),'line1':_0x68638a(0x16b6),'line2':'','line3':'','labelAll':_0x285415['instant']('APP.ALL_TEAMS'),'labelSelected':_0x285415[_0x68638a(0x25cc)]('APP.SELECTED_TEAMS'),'transferCallback':function(){const _0x19915=_0x68638a,_0x5d51e4=_0x39641b()['xorBy'](_0x547255[_0x19915(0x1456)],_0x547255[_0x19915(0x20bb)],'id');_0x547255[_0x19915(0x50c)]=_0x39641b()['isEmpty'](_0x5d51e4)?![]:!![];}},_0x547255['onInit']=_0x2fcbeb,_0x547255[_0x68638a(0x1c56)]=_0x58b86e,_0x547255[_0x68638a(0xda0)]=_0x2962f4;function _0x2fcbeb(){const _0x1495da=_0x68638a;return _0x2f83c1[_0x1495da(0x22b6)](_0x1495da(0x1c60))?_0x285d28()[_0x1495da(0x1c4)](function(_0x2eaf26){const _0x10b90b=_0x1495da;_0x5e4868[_0x10b90b(0x218e)]({'title':_0x2eaf26[_0x10b90b(0x291)]?_0x10b90b(0xeb9)+_0x2eaf26['status']+_0x10b90b(0x1657)+_0x2eaf26[_0x10b90b(0xc22)]:'SYSTEM:GET_TEAMS','msg':_0x2eaf26[_0x10b90b(0x291)]?JSON[_0x10b90b(0x2701)](_0x2eaf26['data']):_0x2eaf26['toString']()});}):_0x1997bb()['then'](function(_0x20323f){return _0x547255['section']=_0x20323f,_0x285d28();})[_0x1495da(0x1c4)](function(_0x865bd0){const _0x3a863c=_0x1495da;_0x5e4868[_0x3a863c(0x218e)]({'title':_0x865bd0['status']?_0x3a863c(0xeb9)+_0x865bd0[_0x3a863c(0x291)]+_0x3a863c(0x1657)+_0x865bd0[_0x3a863c(0xc22)]:_0x3a863c(0x2206),'msg':_0x865bd0[_0x3a863c(0x291)]?JSON[_0x3a863c(0x2701)](_0x865bd0['data']):_0x865bd0[_0x3a863c(0x147f)]()});});}function _0x1997bb(){return _0x3b51c4(function(_0x55bcf6,_0x29c914){const _0x347be7=a0_0x5cbd;_0x56b582['userProfileSection'][_0x347be7(0xbf7)]({'userProfileId':_0x547255[_0x347be7(0xe76)][_0x347be7(0x13c1)],'name':'Teams'})[_0x347be7(0x1d77)][_0x347be7(0x1cb0)](function(_0x4dc660){const _0x18c49f=_0x347be7,_0x25d575=_0x4dc660&&_0x4dc660['rows']?_0x4dc660[_0x18c49f(0x2214)][0x0]:null;_0x55bcf6(_0x25d575);})[_0x347be7(0x1c4)](function(_0x3b9b81){_0x29c914(_0x3b9b81);});});}function _0x285d28(){return _0x3b51c4(function(_0xb73472,_0x1b2085){const _0x55b86a=a0_0x5cbd;return _0x345515()[_0x55b86a(0x1cb0)](function(_0x4bb7ce){const _0x140d8f=_0x55b86a;return _0x547255[_0x140d8f(0x122f)]=_0x4bb7ce['rows']?_0x4bb7ce[_0x140d8f(0x2214)]:[],_0x2f83c1['hasRole']('admin')?_0x4bb7ce:_0x547255[_0x140d8f(0x1f74)]?_0x547255[_0x140d8f(0x1f74)][_0x140d8f(0x12f4)]?_0x4bb7ce:_0x438a4e():null;})[_0x55b86a(0x1cb0)](function(_0x456f68){const _0x460777=_0x55b86a,_0x82236b=_0x456f68&&_0x456f68[_0x460777(0x2214)]?_0x456f68[_0x460777(0x2214)]:[];return _0x547255[_0x460777(0x1372)]=_0x39641b()['map'](_0x82236b,function(_0xc145da){const _0x536df8=_0x460777;return _0x39641b()[_0x536df8(0x13b4)](_0x547255[_0x536df8(0x122f)],{'id':_0x2f83c1[_0x536df8(0x22b6)](_0x536df8(0x1c60))||_0x547255[_0x536df8(0x1f74)][_0x536df8(0x12f4)]?_0xc145da['id']:_0xc145da[_0x536df8(0x2982)]});}),_0x547255[_0x460777(0x122f)][_0x460777(0xf90)](function(_0x5334ae){const _0x4adb1c=_0x460777,_0x29a4c3=_0x39641b()['find'](_0x547255[_0x4adb1c(0x1372)],{'id':_0x5334ae['id']});_0x2f83c1[_0x4adb1c(0x22b6)](_0x4adb1c(0x1c60))?_0x5334ae[_0x4adb1c(0x1a4f)]=!![]:_0x5334ae['isValid']=typeof _0x29a4c3!==_0x4adb1c(0x16b5)?!![]:![];}),_0x27139e();})[_0x55b86a(0x1cb0)](function(_0xdd3380){const _0xa756c7=_0x55b86a,_0x53f559=_0xdd3380&&_0xdd3380[_0xa756c7(0x2214)]?_0xdd3380['rows']:[];_0x547255[_0xa756c7(0x20bb)]=_0x39641b()[_0xa756c7(0x1de2)](_0x53f559,function(_0x1e3a6f){const _0x2f5dab=_0xa756c7;return _0x39641b()[_0x2f5dab(0x13b4)](_0x547255[_0x2f5dab(0x122f)],{'id':_0x1e3a6f['id']});}),_0x547255[_0xa756c7(0x1456)]=angular[_0xa756c7(0x17fe)](_0x547255[_0xa756c7(0x20bb)]),_0x547255[_0xa756c7(0x18c0)][_0xa756c7(0x20bb)]=_0x547255[_0xa756c7(0x20bb)],_0x547255[_0xa756c7(0x18c0)][_0xa756c7(0x122f)]=_0x39641b()[_0xa756c7(0x2128)](_0x547255['allowedItems'],_0x547255['dualMultiselectOptions'][_0xa756c7(0x20bb)],'id'),_0xb73472();})['catch'](function(_0x38615a){_0x1b2085(_0x38615a);});});}function _0x438a4e(){return _0x3b51c4(function(_0x5278eb,_0x4051f4){const _0x54013c=a0_0x5cbd;return _0x56b582[_0x54013c(0x1198)]['get']({'sectionId':_0x547255[_0x54013c(0x1f74)]['id'],'nolimit':!![]})[_0x54013c(0x1d77)][_0x54013c(0x1cb0)](function(_0x3998dd){_0x5278eb(_0x3998dd);})[_0x54013c(0x1c4)](function(_0x4447b7){_0x4051f4(_0x4447b7);});});}function _0x27139e(){return _0x3b51c4(function(_0x30eedf,_0x5e1e22){const _0x5f33c4=a0_0x5cbd;return _0x56b582[_0x5f33c4(0x1e86)][_0x5f33c4(0x1407)]({'id':_0x547255[_0x5f33c4(0x1e86)]['id'],'fields':_0x5f33c4(0x43c),'nolimit':!![]})['$promise'][_0x5f33c4(0x1cb0)](function(_0x3cc979){_0x30eedf(_0x3cc979);})[_0x5f33c4(0x1c4)](function(_0x2c8ddd){_0x5e1e22(_0x2c8ddd);});});}function _0x345515(){return _0x3b51c4(function(_0x327dde,_0x1b0ee6){const _0x144068=a0_0x5cbd;return _0x56b582['team'][_0x144068(0xbf7)]({'fields':_0x144068(0x43c),'nolimit':!![]})[_0x144068(0x1d77)][_0x144068(0x1cb0)](function(_0x582212){_0x327dde(_0x582212);})[_0x144068(0x1c4)](function(_0x55a0b5){_0x1b0ee6(_0x55a0b5);});});}function _0x950c2e(_0x35c003){return _0x3b51c4(function(_0x5c80e1,_0x503c29){const _0x4911f6=a0_0x5cbd;_0x39641b()['isEmpty'](_0x35c003)?_0x5c80e1():_0x56b582[_0x4911f6(0x1e86)][_0x4911f6(0x28d9)]({'id':_0x547255['chatQueue']['id'],'ids':_0x39641b()[_0x4911f6(0x1de2)](_0x35c003,'id')})[_0x4911f6(0x1d77)][_0x4911f6(0x1cb0)](function(){_0x5c80e1();})[_0x4911f6(0x1c4)](function(_0x3bc887){_0x503c29(_0x3bc887);});});}function _0x38d4ec(_0x5eda2e){return _0x3b51c4(function(_0x55844d,_0x58d3f5){const _0x438887=a0_0x5cbd;_0x39641b()[_0x438887(0xce9)](_0x5eda2e)?_0x55844d():_0x56b582[_0x438887(0x1e86)][_0x438887(0xfcc)]({'id':_0x547255[_0x438887(0x1e86)]['id'],'ids':_0x39641b()[_0x438887(0x1de2)](_0x5eda2e,'id')})[_0x438887(0x1d77)][_0x438887(0x1cb0)](function(){_0x55844d();})[_0x438887(0x1c4)](function(_0x332b09){_0x58d3f5(_0x332b09);});});}function _0x58b86e(){const _0x1cbb7e=_0x68638a,_0x35a0b9=_0x39641b()[_0x1cbb7e(0x2128)](_0x547255[_0x1cbb7e(0x1456)],_0x547255[_0x1cbb7e(0x20bb)],'id'),_0x14adea=_0x39641b()[_0x1cbb7e(0x2128)](_0x547255[_0x1cbb7e(0x20bb)],_0x547255[_0x1cbb7e(0x1456)],'id');return _0x38d4ec(_0x35a0b9)[_0x1cbb7e(0x1cb0)](function(){return _0x950c2e(_0x14adea);})['then'](function(){const _0xe66e04=_0x1cbb7e;_0x547255['pendingChanges']=![],_0x547255[_0xe66e04(0xbd5)]=angular[_0xe66e04(0x17fe)](_0x547255['allowedItems']),_0x547255[_0xe66e04(0x1456)]=angular['copy'](_0x547255[_0xe66e04(0x20bb)]),_0x5e4868[_0xe66e04(0x829)]({'title':_0xe66e04(0x201),'msg':_0xe66e04(0xc72)});})[_0x1cbb7e(0x1c4)](function(_0x1d50c4){const _0x528dfe=_0x1cbb7e;_0x5e4868['error']({'title':_0x1d50c4[_0x528dfe(0x291)]?_0x528dfe(0xeb9)+_0x1d50c4[_0x528dfe(0x291)]+'\x20-\x20'+_0x1d50c4[_0x528dfe(0xc22)]:'SYSTEM:LISTS_ASSOCIATION','msg':_0x1d50c4[_0x528dfe(0x291)]?JSON[_0x528dfe(0x2701)](_0x1d50c4['data']):_0x1d50c4[_0x528dfe(0x147f)]()});});}function _0x2962f4(){const _0x3a03f8=_0x68638a;_0x268620[_0x3a03f8(0x1426)]();}}const _0x3d2f51=_0x4d5bc0;;_0x335aef[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$document','$translate',_0x5537c6(0x8a5),'setting',_0x5537c6(0x142b),_0x5537c6(0x9bf),'Auth',_0x5537c6(0x1e86),_0x5537c6(0x2199)];function _0x335aef(_0x35e300,_0x2a1c81,_0x5c68dd,_0xaed62f,_0x4f4b4e,_0x5c0fcf,_0x4b6e38,_0x520da1,_0x3dece5,_0x7eb348,_0x3859ff,_0x5c8927){const _0x42c8fe=_0x5537c6,_0x1ec2dd=this;_0x1ec2dd[_0x42c8fe(0xe76)]=_0x7eb348[_0x42c8fe(0x21e8)](),_0x1ec2dd['license']=_0x5c0fcf,_0x1ec2dd['setting']=_0x4b6e38,_0x1ec2dd[_0x42c8fe(0x1b0c)]=_0x1ec2dd[_0x42c8fe(0x9ca)][_0x42c8fe(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x1ec2dd[_0x42c8fe(0x2404)]=_0x2a1c81['protocol']()+'://'+_0x2a1c81['host'](),_0x1ec2dd[_0x42c8fe(0x1e86)]=_0x3859ff||_0x35e300['params'][_0x42c8fe(0x1e86)]||{},_0x1ec2dd[_0x42c8fe(0x2199)]=_0x5c8927&&_0x5c8927[_0x42c8fe(0x184d)]==0x1?_0x5c8927[_0x42c8fe(0x2214)][0x0]:null,_0x1ec2dd['crudPermissions']=_0x7eb348[_0x42c8fe(0x14ea)](_0x1ec2dd[_0x42c8fe(0x2199)]?_0x1ec2dd[_0x42c8fe(0x2199)][_0x42c8fe(0x1b1a)]:null),_0x1ec2dd[_0x42c8fe(0xf4c)]={},_0x1ec2dd[_0x42c8fe(0x8ec)]=_0x35e300[_0x42c8fe(0x1dfe)][_0x42c8fe(0x291e)]||0x0,_0x1ec2dd['teamadddialog']=_0xd18e30,_0x1ec2dd[_0x42c8fe(0x1379)]=_0x425258,_0x1ec2dd[_0x42c8fe(0x494)]=_0x3dece5[_0x42c8fe(0x28c7)],_0x1ec2dd['gotoChatQueues']=_0x460ff1,_0x1ec2dd[_0x42c8fe(0x1fc1)]=_0x567175;function _0xd18e30(_0x256c0d,_0x2636a6){const _0x561b63=_0x42c8fe;_0x5c68dd['show']({'controller':_0x561b63(0x151a),'controllerAs':'vm','templateUrl':_0x285e42,'parent':angular[_0x561b63(0x1853)](_0xaed62f[_0x561b63(0x1ed9)]),'targetEvent':_0x2636a6,'clickOutsideToClose':!![],'locals':{'chatQueue':_0x256c0d,'chatQueues':_0x1ec2dd['chatQueues']?_0x1ec2dd['chatQueues']['rows']:[],'crudPermissions':_0x1ec2dd[_0x561b63(0x1b1a)]}});}function _0x425258(_0x5ec71f,_0x3e8742){const _0xb69825=_0x42c8fe;_0x5c68dd[_0xb69825(0xe27)]({'controller':_0xb69825(0x6dd),'controllerAs':'vm','templateUrl':_0x18839a,'parent':angular['element'](_0xaed62f[_0xb69825(0x1ed9)]),'targetEvent':_0x3e8742,'clickOutsideToClose':!![],'locals':{'chatQueue':_0x5ec71f,'chatQueues':_0x1ec2dd[_0xb69825(0x25cd)]?_0x1ec2dd['chatQueues'][_0xb69825(0x2214)]:[],'crudPermissions':_0x1ec2dd['crudPermissions'],'realtime':![]}});}function _0x460ff1(){const _0x5ae60a=_0x42c8fe;_0x35e300['go'](_0x5ae60a(0x1c96),{},{'reload':'app.chat.chatQueues'});}function _0x567175(){const _0x57e221=_0x42c8fe;_0x520da1[_0x57e221(0x1e86)]['update']({'id':_0x1ec2dd[_0x57e221(0x1e86)]['id']},_0x1ec2dd[_0x57e221(0x1e86)])[_0x57e221(0x1d77)][_0x57e221(0x1cb0)](function(){const _0x22b9d4=_0x57e221;_0x3dece5[_0x22b9d4(0x829)]({'title':'ChatQueue\x20updated!','msg':_0x1ec2dd[_0x22b9d4(0x1e86)][_0x22b9d4(0x16b6)]?_0x1ec2dd[_0x22b9d4(0x1e86)][_0x22b9d4(0x16b6)]+'\x20has\x20been\x20updated!':''});})['catch'](function(_0x5597ae){const _0x21b2e9=_0x57e221;_0x3dece5[_0x21b2e9(0x218e)]({'title':_0x5597ae[_0x21b2e9(0x291)]?_0x21b2e9(0xeb9)+_0x5597ae[_0x21b2e9(0x291)]+'\x20-\x20'+_0x5597ae[_0x21b2e9(0xc22)]:'SYSTEM:GETchatQueue','msg':_0x5597ae[_0x21b2e9(0x25c)]?JSON['stringify'](_0x5597ae[_0x21b2e9(0x25c)]):_0x5597ae[_0x21b2e9(0x147f)]()});});}}const _0xffd31b=_0x335aef;;const _0x316723=_0x5074a3['p']+'src/js/modules/main/apps/chat/views/chatWebsites/edit/agentadd/agentadd.html/agentadd.html';;const _0x5f2911=_0x5074a3['p']+'src/js/modules/main/apps/chat/views/chatWebsites/create/dialog.html/dialog.html';;_0x26f262['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),_0x5537c6(0x22bf),'$timeout',_0x5537c6(0x1ae),_0x5537c6(0x2137),_0x5537c6(0x44a),_0x5537c6(0x2199),'api',_0x5537c6(0xde8),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x26f262(_0x3d9116,_0x3f51d3,_0x1e24c7,_0x34bd95,_0x3e832d,_0x4c7399,_0x992d5e,_0x44e5a0,_0x201ee4,_0x1b47c4,_0x201f70,_0xe9791,_0x1e61ce,_0x47fba9,_0x537956,_0x3efc35,_0x929769){const _0x5bb01b=_0x5537c6,_0x13d75b=this;_0x13d75b['license']=_0x3efc35,_0x13d75b['setting']=_0x929769,_0x13d75b['currentUser']=_0x537956[_0x5bb01b(0x21e8)](),_0x13d75b[_0x5bb01b(0x2137)]=_0x201ee4||{'count':0x0,'rows':[]},_0x13d75b[_0x5bb01b(0x44a)]=_0x1b47c4,_0x13d75b[_0x5bb01b(0x2199)]=_0x201f70&&_0x201f70[_0x5bb01b(0x184d)]==0x1?_0x201f70[_0x5bb01b(0x2214)][0x0]:null,_0x13d75b[_0x5bb01b(0x1b1a)]=_0x537956[_0x5bb01b(0x14ea)](_0x13d75b[_0x5bb01b(0x2199)]?_0x13d75b[_0x5bb01b(0x2199)]['crudPermissions']:null),_0x13d75b['table']=_0x5bb01b(0x2137),_0x13d75b['listOrder']='',_0x13d75b[_0x5bb01b(0x1cdf)]=null,_0x13d75b[_0x5bb01b(0x157e)]=[],_0x13d75b[_0x5bb01b(0xae2)]={'fields':_0x5bb01b(0x12a4),'sort':_0x5bb01b(0x282),'limit':0xa,'page':0x1},_0x13d75b[_0x5bb01b(0x21f5)]=_0x39641b()[_0x5bb01b(0x2631)]([{'option':'WebsiteAlias','value':_0x5bb01b(0x130b)},{'option':_0x5bb01b(0x2212),'value':_0x5bb01b(0x1d16)},{'option':'AgentFullname','value':_0x5bb01b(0xa47)}],function(_0x42f05e){const _0x587a8c=_0x5bb01b;return _0x39641b()['replace'](_0x42f05e[_0x587a8c(0x327)],new RegExp('\x27','g'),'');}),_0x13d75b[_0x5bb01b(0x11a6)]=_0x39641b()[_0x5bb01b(0x2631)]([{'option':_0x5bb01b(0xa7a),'value':_0x5bb01b(0x126b)},{'option':_0x5bb01b(0x43f),'value':_0x5bb01b(0x208b)}],function(_0x3a32b0){const _0x442bb5=_0x5bb01b;return _0x39641b()[_0x442bb5(0x288f)](_0x3a32b0['value'],new RegExp('\x27','g'),'');}),_0x13d75b[_0x5bb01b(0x14aa)]=_0x39641b()[_0x5bb01b(0x2631)]([{'option':_0x5bb01b(0x1d95),'value':_0x5bb01b(0x40f)},{'option':'right','value':_0x5bb01b(0x1049)},{'option':'left','value':'\x27left\x27'}],function(_0x2f3d36){const _0x114ef4=_0x5bb01b;return _0x39641b()['replace'](_0x2f3d36[_0x114ef4(0x327)],new RegExp('\x27','g'),'');}),_0x13d75b[_0x5bb01b(0xeb0)]=_0x39641b()[_0x5bb01b(0x2631)]([{'option':'alternate','value':_0x5bb01b(0x1d0f)},{'option':_0x5bb01b(0xa52),'value':'\x27centered\x27'}],function(_0x3a42b5){const _0x448e28=_0x5bb01b;return _0x39641b()[_0x448e28(0x288f)](_0x3a42b5[_0x448e28(0x327)],new RegExp('\x27','g'),'');}),_0x13d75b['arrayratingType']=_0x39641b()[_0x5bb01b(0x2631)]([{'option':_0x5bb01b(0x1b43),'value':_0x5bb01b(0xd33)},{'option':_0x5bb01b(0x128f),'value':_0x5bb01b(0x1fd5)}],function(_0x5bc09d){const _0x473741=_0x5bb01b;return _0x39641b()[_0x473741(0x288f)](_0x5bc09d[_0x473741(0x327)],new RegExp('\x27','g'),'');}),_0x13d75b['editstate']=_0x19fda5,_0x13d75b[_0x5bb01b(0x1552)]=_0x2ddf96,_0x13d75b['offlinemessagesgoto']=_0x59b7e9,_0x13d75b[_0x5bb01b(0x1379)]=_0x141046,_0x13d75b['deleteconfirm']=_0x19bf5f,_0x13d75b[_0x5bb01b(0x829)]=_0x2745ac,_0x13d75b['getChatWebsites']=_0x1e5fea,_0x13d75b[_0x5bb01b(0x1c3e)]=_0x453f14,_0x13d75b['deleteChatWebsite']=_0x47ec89,_0x13d75b[_0x5bb01b(0x2562)]=_0x175b4d,_0x13d75b['deleteSelectedChatWebsites']=_0xdf3bbb,_0x13d75b[_0x5bb01b(0x26c2)]=_0x372348,_0x13d75b[_0x5bb01b(0x270d)]=_0x4da9f7,_0x537956['hasRole'](_0x5bb01b(0x1c60))?_0xe9791['cmList'][_0x5bb01b(0xbf7)]({'fields':_0x5bb01b(0x43c),'sort':_0x5bb01b(0x16b6)})[_0x5bb01b(0x1d77)][_0x5bb01b(0x1cb0)](function(_0x28041b){const _0x2cc3e2=_0x5bb01b;_0x13d75b[_0x2cc3e2(0x1046)]=_0x28041b['rows']||[];})[_0x5bb01b(0x1c4)](function(_0x433677){const _0x2b3017=_0x5bb01b;_0x47fba9['error']({'title':_0x433677[_0x2b3017(0x291)]?_0x2b3017(0xeb9)+_0x433677[_0x2b3017(0x291)]+_0x2b3017(0x1657)+_0x433677['statusText']:'SYSTEM:GET_LISTS','msg':_0x433677[_0x2b3017(0x25c)]?JSON[_0x2b3017(0x2701)](_0x433677['data']):_0x433677[_0x2b3017(0x147f)]()});}):_0xe9791[_0x5bb01b(0x22f2)][_0x5bb01b(0xbf7)]({'fields':'id,name','sort':_0x5bb01b(0x16b6)})['$promise'][_0x5bb01b(0x1cb0)](function(_0x270074){const _0x3476f5=_0x5bb01b;_0x13d75b[_0x3476f5(0x1046)]=_0x270074['rows']||[];})[_0x5bb01b(0x1cb0)](function(){const _0x42f320=_0x5bb01b;return _0xe9791[_0x42f320(0x2199)][_0x42f320(0xbf7)]({'userProfileId':_0x13d75b[_0x42f320(0xe76)][_0x42f320(0x13c1)],'sectionId':0x12d})[_0x42f320(0x1d77)];})[_0x5bb01b(0x1cb0)](function(_0x4938df){const _0x205b2c=_0x5bb01b,_0x3a4efc=_0x4938df&&_0x4938df[_0x205b2c(0x2214)]?_0x4938df['rows'][0x0]:null;if(!_0x3a4efc){const _0xd1d224=[];let _0x3abea3=null;_0x13d75b[_0x205b2c(0x226c)]&&(_0x3abea3=_0x39641b()[_0x205b2c(0x13b4)](_0x13d75b['lists'],{'id':Number(_0x13d75b[_0x205b2c(0x226c)][_0x205b2c(0x20a6)])}));for(let _0xf5473c=0x0;_0xf5473c<_0x13d75b[_0x205b2c(0x1046)][_0x205b2c(0xfd0)];_0xf5473c++){_0x3abea3&&_0x13d75b[_0x205b2c(0x1046)][_0xf5473c]['id']===_0x3abea3['id']&&(_0x13d75b[_0x205b2c(0x1046)][_0xf5473c][_0x205b2c(0x15da)]=![],_0xd1d224[_0x205b2c(0x2785)](_0x13d75b['lists'][_0xf5473c]));}_0x13d75b[_0x205b2c(0x1046)]=_0xd1d224;}else{if(!_0x3a4efc[_0x205b2c(0x12f4)])return _0xe9791[_0x205b2c(0x1198)]['get']({'sectionId':_0x3a4efc['id']})[_0x205b2c(0x1d77)][_0x205b2c(0x1cb0)](function(_0x28bbc3){const _0x5697d2=_0x205b2c,_0x254e1f=_0x39641b()['map'](_0x28bbc3[_0x5697d2(0x2214)],function(_0x1d8919){const _0x464d97=_0x5697d2;return _0x39641b()[_0x464d97(0x13b4)](_0x13d75b[_0x464d97(0x1046)],{'id':_0x1d8919[_0x464d97(0x2982)]});});let _0x8b1033=null;_0x13d75b[_0x5697d2(0x226c)]&&(_0x8b1033=_0x39641b()[_0x5697d2(0x13b4)](_0x13d75b['lists'],{'id':Number(_0x13d75b[_0x5697d2(0x226c)][_0x5697d2(0x20a6)])}));if(_0x8b1033&&!_0x39641b()['some'](_0x254e1f,['id',_0x8b1033['id']])){const _0x38f560=_0x39641b()[_0x5697d2(0x13b4)](_0x13d75b['lists'],{'id':_0x8b1033['id']});_0x38f560[_0x5697d2(0x15da)]=![],_0x254e1f[_0x5697d2(0x2785)](_0x38f560);}_0x13d75b['lists']=_0x254e1f;});}})['catch'](function(_0x1b51b5){const _0xa97f7b=_0x5bb01b;_0x47fba9[_0xa97f7b(0x218e)]({'title':_0x1b51b5[_0xa97f7b(0x291)]?_0xa97f7b(0xeb9)+_0x1b51b5[_0xa97f7b(0x291)]+_0xa97f7b(0x1657)+_0x1b51b5[_0xa97f7b(0xc22)]:'SYSTEM:GETlists','msg':_0x1b51b5[_0xa97f7b(0x25c)]?JSON[_0xa97f7b(0x2701)](_0x1b51b5[_0xa97f7b(0x25c)]):_0x1b51b5['toString']()});}),_0x537956[_0x5bb01b(0x22b6)]('admin')?_0xe9791[_0x5bb01b(0x1ac8)][_0x5bb01b(0xbf7)]({'fields':_0x5bb01b(0x43c),'sort':_0x5bb01b(0x16b6),'IntervalId':_0x5bb01b(0xd38)})[_0x5bb01b(0x1d77)]['then'](function(_0x518e3c){const _0x1039ef=_0x5bb01b;_0x13d75b[_0x1039ef(0x1ac8)]=_0x518e3c['rows']||[];})[_0x5bb01b(0x1c4)](function(_0x5e69bc){const _0x349b79=_0x5bb01b;_0x47fba9['error']({'title':_0x5e69bc['status']?_0x349b79(0xeb9)+_0x5e69bc[_0x349b79(0x291)]+'\x20-\x20'+_0x5e69bc['statusText']:'SYSTEM:GET_INTERVAL','msg':_0x5e69bc[_0x349b79(0x25c)]?JSON[_0x349b79(0x2701)](_0x5e69bc[_0x349b79(0x25c)]):_0x5e69bc[_0x349b79(0x147f)]()});}):_0xe9791['interval'][_0x5bb01b(0xbf7)]({'fields':'id,name','sort':_0x5bb01b(0x16b6),'IntervalId':_0x5bb01b(0xd38)})['$promise'][_0x5bb01b(0x1cb0)](function(_0x1798fb){const _0x2f96cf=_0x5bb01b;_0x13d75b[_0x2f96cf(0x1ac8)]=_0x1798fb[_0x2f96cf(0x2214)]||[];})[_0x5bb01b(0x1cb0)](function(){const _0x1ccf4b=_0x5bb01b;return _0xe9791[_0x1ccf4b(0x2199)][_0x1ccf4b(0xbf7)]({'userProfileId':_0x13d75b['currentUser'][_0x1ccf4b(0x13c1)],'sectionId':0x3ec})['$promise'];})[_0x5bb01b(0x1cb0)](function(_0x21359b){const _0x3ec365=_0x5bb01b,_0x33403c=_0x21359b&&_0x21359b[_0x3ec365(0x2214)]?_0x21359b[_0x3ec365(0x2214)][0x0]:null;if(!_0x33403c){const _0x547a2c=[];let _0x274a84=null;_0x13d75b['chatWebsite']&&(_0x274a84=_0x39641b()[_0x3ec365(0x13b4)](_0x13d75b[_0x3ec365(0x1ac8)],{'id':Number(_0x13d75b[_0x3ec365(0x226c)][_0x3ec365(0x1a60)])}));for(let _0xbc695b=0x0;_0xbc695b<_0x13d75b[_0x3ec365(0x1ac8)][_0x3ec365(0xfd0)];_0xbc695b++){_0x274a84&&_0x13d75b[_0x3ec365(0x1ac8)][_0xbc695b]['id']===_0x274a84['id']&&(_0x13d75b['interval'][_0xbc695b][_0x3ec365(0x15da)]=![],_0x547a2c[_0x3ec365(0x2785)](_0x13d75b[_0x3ec365(0x1ac8)][_0xbc695b]));}_0x13d75b[_0x3ec365(0x1ac8)]=_0x547a2c;}else{if(!_0x33403c[_0x3ec365(0x12f4)])return _0xe9791[_0x3ec365(0x1198)][_0x3ec365(0xbf7)]({'sectionId':_0x33403c['id']})[_0x3ec365(0x1d77)][_0x3ec365(0x1cb0)](function(_0x19cbbc){const _0x24abd1=_0x3ec365,_0x5abf87=_0x39641b()[_0x24abd1(0x1de2)](_0x19cbbc[_0x24abd1(0x2214)],function(_0x58752f){const _0x34f6e1=_0x24abd1;return _0x39641b()['find'](_0x13d75b[_0x34f6e1(0x1ac8)],{'id':_0x58752f[_0x34f6e1(0x2982)]});});let _0x23b165=null;_0x13d75b[_0x24abd1(0x226c)]&&(_0x23b165=_0x39641b()[_0x24abd1(0x13b4)](_0x13d75b[_0x24abd1(0x1ac8)],{'id':Number(_0x13d75b['chatWebsite'][_0x24abd1(0x1a60)])}));if(_0x23b165&&!_0x39641b()['some'](_0x5abf87,['id',_0x23b165['id']])){const _0xa49acd=_0x39641b()[_0x24abd1(0x13b4)](_0x13d75b[_0x24abd1(0x1ac8)],{'id':_0x23b165['id']});_0xa49acd['canSelect']=![],_0x5abf87[_0x24abd1(0x2785)](_0xa49acd);}_0x13d75b[_0x24abd1(0x1ac8)]=_0x5abf87;});}})[_0x5bb01b(0x1c4)](function(_0x15efe9){const _0x35cf16=_0x5bb01b;_0x47fba9[_0x35cf16(0x218e)]({'title':_0x15efe9[_0x35cf16(0x291)]?_0x35cf16(0xeb9)+_0x15efe9['status']+'\x20-\x20'+_0x15efe9[_0x35cf16(0xc22)]:_0x35cf16(0x1b58),'msg':_0x15efe9[_0x35cf16(0x25c)]?JSON[_0x35cf16(0x2701)](_0x15efe9[_0x35cf16(0x25c)]):_0x15efe9[_0x35cf16(0x147f)]()});});function _0x19fda5(_0x17d204){const _0x5f0365=_0x5bb01b;_0x1e24c7['go'](_0x5f0365(0x10ae),{'id':_0x17d204['id'],'chatWebsite':_0x17d204,'crudPermissions':_0x13d75b[_0x5f0365(0x1b1a)]});}function _0x2ddf96(_0xc8f1d3){const _0x16bbe2=_0x5bb01b;_0x1e24c7['go'](_0x16bbe2(0x10ae),{'id':_0xc8f1d3['id'],'tab':0xa});}function _0x59b7e9(_0x509549){const _0x50ef39=_0x5bb01b;_0x1e24c7['go'](_0x50ef39(0x10ae),{'id':_0x509549['id'],'tab':0xb});}function _0x141046(_0x5d1f69,_0x212914){const _0xe4ae96=_0x5bb01b;_0x3e832d[_0xe4ae96(0xe27)]({'controller':_0xe4ae96(0x1db9),'controllerAs':'vm','templateUrl':_0x316723,'parent':angular[_0xe4ae96(0x1853)](_0x4c7399['body']),'targetEvent':_0x212914,'clickOutsideToClose':!![],'locals':{'chatWebsite':_0x5d1f69,'chatWebsites':_0x13d75b[_0xe4ae96(0x2137)]?_0x13d75b[_0xe4ae96(0x2137)]['rows']:[],'crudPermissions':_0x13d75b[_0xe4ae96(0x1b1a)],'realtime':![]}});}function _0x19bf5f(_0x3739ea,_0x3e6abb){const _0x5b6231=_0x5bb01b,_0x1dd225=_0x3e832d['confirm']()['title'](_0x5b6231(0x140b)+_0x39641b()[_0x5b6231(0xa75)](_0x5b6231(0x226c))+'?')[_0x5b6231(0x49e)](''+(_0x3739ea[_0x5b6231(0x16b6)]||_0x5b6231(0x226c))+_0x5b6231(0x1200)+_0x5b6231(0x1b6))[_0x5b6231(0x15ad)](_0x5b6231(0x1bf1))['targetEvent'](_0x3e6abb)['ok']('OK')[_0x5b6231(0x696)](_0x5b6231(0x24ba));_0x3e832d[_0x5b6231(0xe27)](_0x1dd225)[_0x5b6231(0x1cb0)](function(){_0x47ec89(_0x3739ea);},function(){const _0x433b9c=_0x5b6231;console[_0x433b9c(0x1b4f)](_0x433b9c(0x24ba));});}let _0x5af338=!![],_0x40de5a=0x1;_0x3d9116[_0x5bb01b(0x614)](_0x5bb01b(0x957),function(_0x4dc24f,_0x509010){const _0x11e197=_0x5bb01b;_0x5af338?_0x992d5e(function(){_0x5af338=![];}):(!_0x509010&&(_0x40de5a=_0x13d75b[_0x11e197(0xae2)][_0x11e197(0x1c7b)]),_0x4dc24f!==_0x509010&&(_0x13d75b[_0x11e197(0xae2)][_0x11e197(0x1c7b)]=0x1),!_0x4dc24f&&(_0x13d75b[_0x11e197(0xae2)]['page']=_0x40de5a),_0x13d75b[_0x11e197(0x1cb7)]());});function _0x2745ac(_0x44d51e){const _0x510fb1=_0x5bb01b;_0x13d75b[_0x510fb1(0x2137)]=_0x44d51e||{'count':0x0,'rows':[]};}function _0x1e5fea(){const _0x38684f=_0x5bb01b;_0x13d75b[_0x38684f(0xae2)][_0x38684f(0x184b)]=(_0x13d75b[_0x38684f(0xae2)][_0x38684f(0x1c7b)]-0x1)*_0x13d75b['query']['limit'],_0x537956['hasRole'](_0x38684f(0x1c60))?_0x13d75b[_0x38684f(0x2061)]=_0xe9791[_0x38684f(0x226c)][_0x38684f(0xbf7)](_0x13d75b[_0x38684f(0xae2)],_0x2745ac)[_0x38684f(0x1d77)]:(_0x13d75b['query']['id']=_0x13d75b[_0x38684f(0x44a)]['id'],_0x13d75b[_0x38684f(0xae2)][_0x38684f(0x1f74)]=_0x38684f(0x1c4a),_0x13d75b[_0x38684f(0x2061)]=_0xe9791[_0x38684f(0x44a)][_0x38684f(0x1810)](_0x13d75b[_0x38684f(0xae2)],_0x2745ac)[_0x38684f(0x1d77)]);}function _0x453f14(_0x1cd0b5,_0x5225bd){const _0x1f81e5=_0x5bb01b;_0x3e832d[_0x1f81e5(0xe27)]({'controller':_0x1f81e5(0x4e2),'controllerAs':'vm','templateUrl':_0x5f2911,'parent':angular[_0x1f81e5(0x1853)](_0x4c7399['body']),'targetEvent':_0x1cd0b5,'clickOutsideToClose':!![],'locals':{'chatWebsite':_0x5225bd,'chatWebsites':_0x13d75b['chatWebsites'][_0x1f81e5(0x2214)],'license':_0x13d75b[_0x1f81e5(0x8a5)],'setting':_0x13d75b[_0x1f81e5(0x9ca)],'crudPermissions':_0x13d75b[_0x1f81e5(0x1b1a)]}});}function _0x47ec89(_0x184125){const _0x4b725c=_0x5bb01b;_0xe9791[_0x4b725c(0x226c)][_0x4b725c(0x111d)]({'id':_0x184125['id']})['$promise'][_0x4b725c(0x1cb0)](function(){const _0xa9046c=_0x4b725c;_0x39641b()[_0xa9046c(0x152a)](_0x13d75b['chatWebsites'][_0xa9046c(0x2214)],{'id':_0x184125['id']}),_0x13d75b[_0xa9046c(0x2137)][_0xa9046c(0x184d)]-=0x1,!_0x13d75b[_0xa9046c(0x2137)][_0xa9046c(0x2214)][_0xa9046c(0xfd0)]&&_0x13d75b[_0xa9046c(0x1cb7)](),_0x47fba9[_0xa9046c(0x829)]({'title':_0x39641b()[_0xa9046c(0xa75)]('ChatWebsite')+'\x20deleted!','msg':_0x184125[_0xa9046c(0x16b6)]?_0x184125['name']+_0xa9046c(0x3f5):''});})[_0x4b725c(0x1c4)](function(_0x119c6c){const _0x572d7b=_0x4b725c;if(_0x119c6c[_0x572d7b(0x25c)]&&_0x119c6c[_0x572d7b(0x25c)][_0x572d7b(0x1a7c)]&&_0x119c6c[_0x572d7b(0x25c)][_0x572d7b(0x1a7c)][_0x572d7b(0xfd0)]){_0x13d75b[_0x572d7b(0x1a7c)]=_0x119c6c[_0x572d7b(0x25c)][_0x572d7b(0x1a7c)]||[{'message':_0x119c6c[_0x572d7b(0x147f)](),'type':_0x572d7b(0x110e)}];for(let _0x425e0f=0x0;_0x425e0f<_0x119c6c['data'][_0x572d7b(0x1a7c)]['length'];_0x425e0f++){_0x47fba9[_0x572d7b(0x218e)]({'title':_0x119c6c[_0x572d7b(0x25c)]['errors'][_0x425e0f]['type'],'msg':_0x119c6c[_0x572d7b(0x25c)][_0x572d7b(0x1a7c)][_0x425e0f]['message']});}}else _0x47fba9[_0x572d7b(0x218e)]({'title':_0x119c6c[_0x572d7b(0x291)]?_0x572d7b(0xeb9)+_0x119c6c['status']+_0x572d7b(0x1657)+_0x119c6c[_0x572d7b(0xc22)]:'SYSTEM:DELETEchatWebsite','msg':_0x119c6c['data']?JSON[_0x572d7b(0x2701)](_0x119c6c[_0x572d7b(0x25c)][_0x572d7b(0x155e)]):_0x119c6c[_0x572d7b(0x155e)]||_0x119c6c[_0x572d7b(0x147f)]()});});}function _0x175b4d(){const _0x6ecc43=_0x5bb01b,_0x510e18=angular['copy'](_0x13d75b[_0x6ecc43(0x157e)]);return _0x13d75b[_0x6ecc43(0x157e)]=[],_0x510e18;}function _0xdf3bbb(_0x3d726e){const _0x20a7a7=_0x5bb01b,_0x3d2dc0=_0x3e832d[_0x20a7a7(0x1551)]()[_0x20a7a7(0x1386)](_0x20a7a7(0x236d))[_0x20a7a7(0x49e)](''+_0x13d75b[_0x20a7a7(0x157e)][_0x20a7a7(0xfd0)]+_0x20a7a7(0x1d6c)+_0x20a7a7(0x1b6))['ariaLabel'](_0x20a7a7(0x1304))[_0x20a7a7(0x728)](_0x3d726e)['ok']('OK')[_0x20a7a7(0x696)]('CANCEL');_0x3e832d['show'](_0x3d2dc0)[_0x20a7a7(0x1cb0)](function(){const _0x48d76c=_0x20a7a7;_0x13d75b[_0x48d76c(0x157e)][_0x48d76c(0xf90)](function(_0x14434a){_0x47ec89(_0x14434a);}),_0x13d75b[_0x48d76c(0x157e)]=[];});}function _0x372348(){const _0x45686d=_0x5bb01b;_0x13d75b[_0x45686d(0x157e)]=[];}function _0x4da9f7(){const _0x326a98=_0x5bb01b;_0x13d75b[_0x326a98(0x157e)]=_0x13d75b[_0x326a98(0x2137)][_0x326a98(0x2214)];}}const _0x384f40=_0x26f262;;_0x310c2a[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$state',_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),'toasty',_0x5537c6(0x2137),'chatWebsite',_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca),'crudPermissions'];function _0x310c2a(_0x36d95f,_0x3388aa,_0x1ab35d,_0x1c4e5c,_0xdec904,_0x4c8743,_0x3c5ee9,_0x49ca18,_0x214995,_0x40c873,_0x2daa2d,_0x32415d,_0x349256,_0x70ff38){const _0xacbbe6=_0x5537c6,_0x52046f=this;_0x52046f[_0xacbbe6(0xe76)]=_0x2daa2d[_0xacbbe6(0x21e8)](),_0x52046f[_0xacbbe6(0x1a7c)]=[],_0x52046f[_0xacbbe6(0x9ca)]=_0x349256,_0x52046f[_0xacbbe6(0x8a5)]=_0x32415d,_0x52046f[_0xacbbe6(0x1b1a)]=_0x70ff38,_0x52046f[_0xacbbe6(0xf4c)]={},_0x52046f[_0xacbbe6(0x1b0c)]=_0x52046f[_0xacbbe6(0x9ca)]&&_0x52046f['setting'][_0xacbbe6(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x52046f[_0xacbbe6(0x1386)]=_0xacbbe6(0x24dc),_0x52046f[_0xacbbe6(0x226c)]=angular[_0xacbbe6(0x17fe)](_0x214995),_0x52046f['chatWebsites']=_0x49ca18,_0x52046f[_0xacbbe6(0x1d48)]=![];!_0x52046f['chatWebsite']&&(_0x52046f['chatWebsite']={'remote':_0x1ab35d[_0xacbbe6(0x2276)]()+_0xacbbe6(0x138b)+_0x1ab35d[_0xacbbe6(0x17d8)]()+(_0x1ab35d[_0xacbbe6(0x477)]()?':'+_0x1ab35d['port']():''),'header_shape':_0xacbbe6(0x13e1),'alignment':_0xacbbe6(0x1d95),'verticalAlignment':0x1e,'messagesAlignment':'alternate','IntervalId':_0xacbbe6(0xd38),'timezone':'null','waitForTheAssignedAgent':0xa,'notificationSound':!![],'queueTransferTimeout':0x12c,'agentTransferTimeout':0x12c},_0x52046f[_0xacbbe6(0x1386)]=_0xacbbe6(0x6a2),_0x52046f[_0xacbbe6(0x1d48)]=!![]);_0x3388aa[_0xacbbe6(0x1dfe)]['id']&&(_0x52046f[_0xacbbe6(0x226c)][_0xacbbe6(0x67c)]=_0x3388aa[_0xacbbe6(0x1dfe)]['id']);_0x52046f['addNewChatWebsite']=_0x2b2a8b,_0x52046f['saveChatWebsite']=_0x2b8075,_0x52046f[_0xacbbe6(0x1c68)]=_0x8911aa,_0x52046f['getDateFromString']=_0x19cbb0,_0x52046f['closeDialog']=_0x38f635,_0x2daa2d[_0xacbbe6(0x22b6)](_0xacbbe6(0x1c60))?_0x40c873[_0xacbbe6(0x22f2)][_0xacbbe6(0xbf7)]({'fields':_0xacbbe6(0x43c),'sort':_0xacbbe6(0x16b6)})[_0xacbbe6(0x1d77)][_0xacbbe6(0x1cb0)](function(_0x5cb8ff){const _0x1a7b2c=_0xacbbe6;_0x52046f[_0x1a7b2c(0x1046)]=_0x5cb8ff[_0x1a7b2c(0x2214)]||[];})[_0xacbbe6(0x1c4)](function(_0x3751a0){const _0x42eb39=_0xacbbe6;_0x3c5ee9[_0x42eb39(0x218e)]({'title':_0x3751a0[_0x42eb39(0x291)]?_0x42eb39(0xeb9)+_0x3751a0[_0x42eb39(0x291)]+_0x42eb39(0x1657)+_0x3751a0[_0x42eb39(0xc22)]:_0x42eb39(0x149e),'msg':_0x3751a0[_0x42eb39(0x25c)]?JSON[_0x42eb39(0x2701)](_0x3751a0[_0x42eb39(0x25c)]):_0x3751a0[_0x42eb39(0x147f)]()});}):_0x40c873[_0xacbbe6(0x22f2)][_0xacbbe6(0xbf7)]({'fields':_0xacbbe6(0x43c),'sort':'name'})['$promise']['then'](function(_0x12fe28){const _0x5a62ab=_0xacbbe6;_0x52046f[_0x5a62ab(0x1046)]=_0x12fe28['rows']||[];})[_0xacbbe6(0x1cb0)](function(){const _0x5b829f=_0xacbbe6;return _0x40c873[_0x5b829f(0x2199)][_0x5b829f(0xbf7)]({'userProfileId':_0x52046f[_0x5b829f(0xe76)][_0x5b829f(0x13c1)],'sectionId':0x12d})[_0x5b829f(0x1d77)];})['then'](function(_0x468e35){const _0x39d784=_0xacbbe6,_0x4ed3e9=_0x468e35&&_0x468e35[_0x39d784(0x2214)]?_0x468e35[_0x39d784(0x2214)][0x0]:null;if(!_0x4ed3e9){const _0x38272b=[];let _0x2b6fd1=null;_0x52046f[_0x39d784(0x226c)]&&(_0x2b6fd1=_0x39641b()[_0x39d784(0x13b4)](_0x52046f[_0x39d784(0x1046)],{'id':Number(_0x52046f['chatWebsite'][_0x39d784(0x20a6)])}));for(let _0x40483c=0x0;_0x40483c<_0x52046f[_0x39d784(0x1046)][_0x39d784(0xfd0)];_0x40483c++){_0x2b6fd1&&_0x52046f[_0x39d784(0x1046)][_0x40483c]['id']===_0x2b6fd1['id']&&(_0x52046f[_0x39d784(0x1046)][_0x40483c][_0x39d784(0x15da)]=![],_0x38272b[_0x39d784(0x2785)](_0x52046f[_0x39d784(0x1046)][_0x40483c]));}_0x52046f[_0x39d784(0x1046)]=_0x38272b;}else{if(!_0x4ed3e9[_0x39d784(0x12f4)])return _0x40c873[_0x39d784(0x1198)][_0x39d784(0xbf7)]({'sectionId':_0x4ed3e9['id']})[_0x39d784(0x1d77)][_0x39d784(0x1cb0)](function(_0x1a6164){const _0x4d91ad=_0x39d784,_0x3163cd=_0x39641b()['map'](_0x1a6164[_0x4d91ad(0x2214)],function(_0x5a6ef3){const _0x40655d=_0x4d91ad;return _0x39641b()[_0x40655d(0x13b4)](_0x52046f[_0x40655d(0x1046)],{'id':_0x5a6ef3[_0x40655d(0x2982)]});});let _0x1c6a0a=null;_0x52046f[_0x4d91ad(0x226c)]&&(_0x1c6a0a=_0x39641b()['find'](_0x52046f[_0x4d91ad(0x1046)],{'id':Number(_0x52046f[_0x4d91ad(0x226c)][_0x4d91ad(0x20a6)])}));if(_0x1c6a0a&&!_0x39641b()['some'](_0x3163cd,['id',_0x1c6a0a['id']])){const _0x333e2d=_0x39641b()[_0x4d91ad(0x13b4)](_0x52046f[_0x4d91ad(0x1046)],{'id':_0x1c6a0a['id']});_0x333e2d['canSelect']=![],_0x3163cd[_0x4d91ad(0x2785)](_0x333e2d);}_0x52046f[_0x4d91ad(0x1046)]=_0x3163cd;});}})[_0xacbbe6(0x1c4)](function(_0x2afdab){const _0x341af1=_0xacbbe6;_0x3c5ee9[_0x341af1(0x218e)]({'title':_0x2afdab[_0x341af1(0x291)]?_0x341af1(0xeb9)+_0x2afdab[_0x341af1(0x291)]+_0x341af1(0x1657)+_0x2afdab['statusText']:'SYSTEM:GETlists','msg':_0x2afdab[_0x341af1(0x25c)]?JSON[_0x341af1(0x2701)](_0x2afdab[_0x341af1(0x25c)]):_0x2afdab[_0x341af1(0x147f)]()});}),_0x2daa2d['hasRole']('admin')?_0x40c873['interval'][_0xacbbe6(0xbf7)]({'fields':_0xacbbe6(0x43c),'sort':_0xacbbe6(0x16b6),'IntervalId':_0xacbbe6(0xd38)})[_0xacbbe6(0x1d77)][_0xacbbe6(0x1cb0)](function(_0x4d1129){const _0x89194d=_0xacbbe6;_0x52046f[_0x89194d(0x1ac8)]=_0x4d1129['rows']||[];})['catch'](function(_0x4fb2c5){const _0xb71c17=_0xacbbe6;_0x3c5ee9[_0xb71c17(0x218e)]({'title':_0x4fb2c5[_0xb71c17(0x291)]?_0xb71c17(0xeb9)+_0x4fb2c5[_0xb71c17(0x291)]+'\x20-\x20'+_0x4fb2c5[_0xb71c17(0xc22)]:_0xb71c17(0x1917),'msg':_0x4fb2c5[_0xb71c17(0x25c)]?JSON[_0xb71c17(0x2701)](_0x4fb2c5[_0xb71c17(0x25c)]):_0x4fb2c5[_0xb71c17(0x147f)]()});}):_0x40c873[_0xacbbe6(0x1ac8)][_0xacbbe6(0xbf7)]({'fields':_0xacbbe6(0x43c),'sort':'name','IntervalId':_0xacbbe6(0xd38)})[_0xacbbe6(0x1d77)][_0xacbbe6(0x1cb0)](function(_0x22b484){const _0x5a92eb=_0xacbbe6;_0x52046f[_0x5a92eb(0x1ac8)]=_0x22b484[_0x5a92eb(0x2214)]||[];})[_0xacbbe6(0x1cb0)](function(){const _0x4d4bf4=_0xacbbe6;return _0x40c873[_0x4d4bf4(0x2199)]['get']({'userProfileId':_0x52046f[_0x4d4bf4(0xe76)][_0x4d4bf4(0x13c1)],'sectionId':0x3ec})['$promise'];})[_0xacbbe6(0x1cb0)](function(_0xc5b659){const _0x588373=_0xacbbe6,_0x45e518=_0xc5b659&&_0xc5b659[_0x588373(0x2214)]?_0xc5b659['rows'][0x0]:null;if(!_0x45e518){const _0x284fcf=[];let _0x53e1fc=null;_0x52046f[_0x588373(0x226c)]&&(_0x53e1fc=_0x39641b()[_0x588373(0x13b4)](_0x52046f[_0x588373(0x1ac8)],{'id':Number(_0x52046f[_0x588373(0x226c)]['IntervalId'])}));for(let _0x1f9634=0x0;_0x1f9634<_0x52046f[_0x588373(0x1ac8)][_0x588373(0xfd0)];_0x1f9634++){_0x53e1fc&&_0x52046f[_0x588373(0x1ac8)][_0x1f9634]['id']===_0x53e1fc['id']&&(_0x52046f[_0x588373(0x1ac8)][_0x1f9634]['canSelect']=![],_0x284fcf[_0x588373(0x2785)](_0x52046f['interval'][_0x1f9634]));}_0x52046f[_0x588373(0x1ac8)]=_0x284fcf;}else{if(!_0x45e518[_0x588373(0x12f4)])return _0x40c873[_0x588373(0x1198)][_0x588373(0xbf7)]({'sectionId':_0x45e518['id']})[_0x588373(0x1d77)][_0x588373(0x1cb0)](function(_0x140bef){const _0xdd2dad=_0x588373,_0x5e6c9c=_0x39641b()[_0xdd2dad(0x1de2)](_0x140bef['rows'],function(_0x4651a7){const _0x2dac75=_0xdd2dad;return _0x39641b()[_0x2dac75(0x13b4)](_0x52046f['interval'],{'id':_0x4651a7['resourceId']});});let _0x515ebd=null;_0x52046f[_0xdd2dad(0x226c)]&&(_0x515ebd=_0x39641b()[_0xdd2dad(0x13b4)](_0x52046f[_0xdd2dad(0x1ac8)],{'id':Number(_0x52046f[_0xdd2dad(0x226c)][_0xdd2dad(0x1a60)])}));if(_0x515ebd&&!_0x39641b()[_0xdd2dad(0x727)](_0x5e6c9c,['id',_0x515ebd['id']])){const _0x1f2f21=_0x39641b()[_0xdd2dad(0x13b4)](_0x52046f[_0xdd2dad(0x1ac8)],{'id':_0x515ebd['id']});_0x1f2f21[_0xdd2dad(0x15da)]=![],_0x5e6c9c[_0xdd2dad(0x2785)](_0x1f2f21);}_0x52046f[_0xdd2dad(0x1ac8)]=_0x5e6c9c;});}})[_0xacbbe6(0x1c4)](function(_0x57a6b2){const _0x41d846=_0xacbbe6;_0x3c5ee9['error']({'title':_0x57a6b2[_0x41d846(0x291)]?_0x41d846(0xeb9)+_0x57a6b2[_0x41d846(0x291)]+'\x20-\x20'+_0x57a6b2['statusText']:_0x41d846(0x1b58),'msg':_0x57a6b2[_0x41d846(0x25c)]?JSON[_0x41d846(0x2701)](_0x57a6b2[_0x41d846(0x25c)]):_0x57a6b2[_0x41d846(0x147f)]()});});function _0x2b2a8b(){const _0xd5c719=_0xacbbe6;_0x52046f[_0xd5c719(0x1a7c)]=[],_0x40c873[_0xd5c719(0x226c)][_0xd5c719(0x1c3f)](_0x52046f[_0xd5c719(0x226c)])['$promise'][_0xd5c719(0x1cb0)](function(_0x36239b){const _0x263719=_0xd5c719;_0x52046f[_0x263719(0x2137)][_0x263719(0xf63)](_0x36239b['toJSON']()),_0x3c5ee9['success']({'title':_0x263719(0x755),'msg':_0x52046f[_0x263719(0x226c)][_0x263719(0x16b6)]?_0x52046f[_0x263719(0x226c)][_0x263719(0x16b6)]+'\x20has\x20been\x20created!':''}),_0x38f635(_0x36239b);})[_0xd5c719(0x1c4)](function(_0x24cdb7){const _0x58a4a8=_0xd5c719;if(_0x24cdb7[_0x58a4a8(0x25c)]&&_0x24cdb7[_0x58a4a8(0x25c)][_0x58a4a8(0x1a7c)]&&_0x24cdb7[_0x58a4a8(0x25c)]['errors'][_0x58a4a8(0xfd0)]){_0x52046f[_0x58a4a8(0x1a7c)]=_0x24cdb7[_0x58a4a8(0x25c)]['errors']||[{'message':_0x24cdb7[_0x58a4a8(0x147f)](),'type':'api.chatWebsite.save'}];for(let _0x11b324=0x0;_0x11b324<_0x24cdb7[_0x58a4a8(0x25c)][_0x58a4a8(0x1a7c)][_0x58a4a8(0xfd0)];_0x11b324+=0x1){_0x3c5ee9[_0x58a4a8(0x218e)]({'title':_0x24cdb7['data'][_0x58a4a8(0x1a7c)][_0x11b324]['type'],'msg':_0x24cdb7[_0x58a4a8(0x25c)][_0x58a4a8(0x1a7c)][_0x11b324][_0x58a4a8(0x155e)]});}}else _0x3c5ee9['error']({'title':_0x24cdb7[_0x58a4a8(0x291)]?_0x58a4a8(0xeb9)+_0x24cdb7['status']+'\x20-\x20'+_0x24cdb7[_0x58a4a8(0xc22)]:_0x58a4a8(0x1a39),'msg':_0x24cdb7['data']?JSON['stringify'](_0x24cdb7[_0x58a4a8(0x25c)][_0x58a4a8(0x155e)]):_0x24cdb7[_0x58a4a8(0x147f)]()});});}function _0x2b8075(){const _0x35c8a0=_0xacbbe6;_0x52046f['errors']=[],_0x40c873['chatWebsite'][_0x35c8a0(0x687)]({'id':_0x52046f[_0x35c8a0(0x226c)]['id']},_0x52046f['chatWebsite'])[_0x35c8a0(0x1d77)][_0x35c8a0(0x1cb0)](function(_0x5d7a3b){const _0x413fa9=_0x35c8a0,_0x29f652=_0x39641b()['find'](_0x52046f[_0x413fa9(0x2137)],{'id':_0x5d7a3b['id']});_0x29f652&&_0x39641b()['merge'](_0x29f652,_0x39641b()['pick'](_0x5d7a3b[_0x413fa9(0x19b2)](),_0x39641b()[_0x413fa9(0x1be5)](_0x29f652))),_0x3c5ee9['success']({'title':'ChatWebsite\x20properly\x20saved!','msg':_0x52046f['chatWebsite']['name']?_0x52046f[_0x413fa9(0x226c)][_0x413fa9(0x16b6)]+_0x413fa9(0xedb):''}),_0x38f635(_0x5d7a3b);})[_0x35c8a0(0x1c4)](function(_0x41cb20){const _0x5456b7=_0x35c8a0;if(_0x41cb20['data']&&_0x41cb20[_0x5456b7(0x25c)][_0x5456b7(0x1a7c)]&&_0x41cb20[_0x5456b7(0x25c)][_0x5456b7(0x1a7c)][_0x5456b7(0xfd0)]){_0x52046f[_0x5456b7(0x1a7c)]=_0x41cb20[_0x5456b7(0x25c)]['errors']||[{'message':_0x41cb20[_0x5456b7(0x147f)](),'type':_0x5456b7(0x99a)}];for(let _0x4568ee=0x0;_0x4568ee<_0x41cb20[_0x5456b7(0x25c)][_0x5456b7(0x1a7c)][_0x5456b7(0xfd0)];_0x4568ee++){_0x3c5ee9[_0x5456b7(0x218e)]({'title':_0x41cb20[_0x5456b7(0x25c)][_0x5456b7(0x1a7c)][_0x4568ee][_0x5456b7(0x66a)],'msg':_0x41cb20[_0x5456b7(0x25c)][_0x5456b7(0x1a7c)][_0x4568ee][_0x5456b7(0x155e)]});}}else _0x3c5ee9[_0x5456b7(0x218e)]({'title':_0x41cb20[_0x5456b7(0x291)]?_0x5456b7(0xeb9)+_0x41cb20['status']+_0x5456b7(0x1657)+_0x41cb20[_0x5456b7(0xc22)]:_0x5456b7(0x99a),'msg':_0x41cb20[_0x5456b7(0x25c)]?JSON['stringify'](_0x41cb20[_0x5456b7(0x25c)][_0x5456b7(0x155e)]):_0x41cb20['toString']()});});}function _0x8911aa(_0x24240f){const _0x56d1f8=_0xacbbe6;_0x52046f[_0x56d1f8(0x1a7c)]=[];const _0x1f4c8f=_0x1c4e5c[_0x56d1f8(0x1551)]()[_0x56d1f8(0x1386)]('Are\x20you\x20sure?')[_0x56d1f8(0x862)](_0x56d1f8(0x1410))[_0x56d1f8(0x15ad)](_0x56d1f8(0x2be))['ok'](_0x56d1f8(0x2594))[_0x56d1f8(0x696)](_0x56d1f8(0xde1))[_0x56d1f8(0x728)](_0x24240f);_0x1c4e5c[_0x56d1f8(0xe27)](_0x1f4c8f)['then'](function(){const _0x1a9ae8=_0x56d1f8;_0x40c873['chatWebsite'][_0x1a9ae8(0x111d)]({'id':_0x52046f[_0x1a9ae8(0x226c)]['id']})['$promise'][_0x1a9ae8(0x1cb0)](function(){const _0x58ff58=_0x1a9ae8;_0x39641b()['remove'](_0x52046f[_0x58ff58(0x2137)],{'id':_0x52046f[_0x58ff58(0x226c)]['id']}),_0x3c5ee9[_0x58ff58(0x829)]({'title':_0x58ff58(0x1ae3),'msg':(_0x52046f[_0x58ff58(0x226c)][_0x58ff58(0x16b6)]||_0x58ff58(0x226c))+_0x58ff58(0x3f5)}),_0x38f635(_0x52046f[_0x58ff58(0x226c)]);})[_0x1a9ae8(0x1c4)](function(_0x159e2e){const _0x1208f3=_0x1a9ae8;if(_0x159e2e[_0x1208f3(0x25c)]&&_0x159e2e[_0x1208f3(0x25c)][_0x1208f3(0x1a7c)]&&_0x159e2e[_0x1208f3(0x25c)]['errors'][_0x1208f3(0xfd0)]){_0x52046f[_0x1208f3(0x1a7c)]=_0x159e2e[_0x1208f3(0x25c)][_0x1208f3(0x1a7c)]||[{'message':_0x159e2e[_0x1208f3(0x147f)](),'type':_0x1208f3(0x187a)}];for(let _0x2d1a07=0x0;_0x2d1a07<_0x159e2e[_0x1208f3(0x25c)]['errors']['length'];_0x2d1a07++){_0x3c5ee9[_0x1208f3(0x218e)]({'title':_0x159e2e[_0x1208f3(0x25c)][_0x1208f3(0x1a7c)][_0x2d1a07]['type'],'msg':_0x159e2e['data'][_0x1208f3(0x1a7c)][_0x2d1a07][_0x1208f3(0x155e)]});}}else _0x3c5ee9[_0x1208f3(0x218e)]({'title':_0x159e2e[_0x1208f3(0x291)]?_0x1208f3(0xeb9)+_0x159e2e[_0x1208f3(0x291)]+_0x1208f3(0x1657)+_0x159e2e[_0x1208f3(0xc22)]:_0x1208f3(0x187a),'msg':_0x159e2e[_0x1208f3(0x25c)]?JSON[_0x1208f3(0x2701)](_0x159e2e[_0x1208f3(0x25c)][_0x1208f3(0x155e)]):_0x159e2e[_0x1208f3(0x155e)]||_0x159e2e[_0x1208f3(0x147f)]()});});},function(){});}function _0x19cbb0(_0x5c4bdd){return _0x5c4bdd===null?undefined:new Date(_0x5c4bdd);}function _0x38f635(_0x51fde4){const _0x2cab3d=_0xacbbe6;_0x1c4e5c[_0x2cab3d(0x1426)](_0x51fde4);}}const _0x56dfdc=_0x310c2a;;const _0x4759f4=_0x5074a3['p']+_0x5537c6(0xe33);;const _0x2e4617=_0x5074a3['p']+_0x5537c6(0xc0a);;const _0x53f042=_0x5074a3['p']+_0x5537c6(0x1745);;const _0x5318ba=_0x5074a3['p']+_0x5537c6(0x2746);;const _0x1af5f2=_0x5074a3['p']+'src/js/modules/main/apps/chat/views/chatWebsites/edit/apps/dialogflow/dialog.html/dialog.html';;const _0x3d05ce=_0x5074a3['p']+_0x5537c6(0xb8e);;const _0x67e034=_0x5074a3['p']+'src/js/modules/main/apps/chat/views/chatWebsites/edit/apps/gotop/dialog.html/dialog.html';;const _0x587a29=_0x5074a3['p']+_0x5537c6(0xa21);;const _0x3ca732=_0x5074a3['p']+_0x5537c6(0x19c4);;const _0x1f9691=_0x5074a3['p']+'src/js/modules/main/apps/chat/views/chatWebsites/edit/apps/queue/dialog.html/dialog.html';;const _0x2f815d=_0x5074a3['p']+_0x5537c6(0x2145);;const _0x89c9f6=_0x5074a3['p']+_0x5537c6(0xe11);;_0x2ace5a['$inject']=['api',_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x9bf),_0x5537c6(0x1774)];const _0x3e9fd8={'agent':_0x4759f4,'amazonlex':_0x2e4617,'autoreply':_0x53f042,'close':_0x5318ba,'dialogflow':_0x1af5f2,'gotoif':_0x3d05ce,'gotop':_0x67e034,'interval':_0x587a29,'noop':_0x3ca732,'queue':_0x1f9691,'system':_0x2f815d,'tag':_0x89c9f6};function _0x2ace5a(_0x5003bb,_0x59bfd6,_0x43a3a5,_0x5ceb52,_0x3037b8){const _0x213c3c=_0x5537c6,_0x483aa5=this;_0x483aa5[_0x213c3c(0xe76)]=_0x3037b8['getCurrentUser'](),_0x483aa5[_0x213c3c(0x226c)]={},_0x483aa5[_0x213c3c(0xa54)]={'count':0x0,'rows':[]},_0x483aa5['selectedChatWebsiteApps']=[],_0x483aa5['crudPermissions'],_0x483aa5[_0x213c3c(0xae2)]={'sort':'priority'},_0x483aa5[_0x213c3c(0x196f)]=_0x39641b()[_0x213c3c(0x988)](_0x39641b()[_0x213c3c(0x1d33)]([{'app':'Interval','appType':_0x213c3c(0x1ac8),'types':[_0x213c3c(0x2017),_0x213c3c(0x197c),'list'],'fields':[],'isApp':![]},{'app':_0x213c3c(0x1234),'appType':_0x213c3c(0x1234),'type':_0x213c3c(0x1234),'icon':_0x213c3c(0x33e),'interval':_0x213c3c(0x965),'required':!![],'isApp':!![],'fields':[{'title':_0x213c3c(0x1e10),'name':_0x213c3c(0x327),'type':_0x213c3c(0x19d3),'param':0x0}]},{'app':_0x213c3c(0x7be),'appType':'system','type':_0x213c3c(0x7be),'icon':_0x213c3c(0x33e),'interval':_0x213c3c(0x965),'required':!![],'isApp':!![],'extraApi':[{'name':_0x213c3c(0x9a9),'field':{'name':_0x213c3c(0x1822),'key':_0x213c3c(0x16b6)},'route':'variable','filters':{'fields':_0x213c3c(0x43c),'sort':_0x213c3c(0x16b6),'nolimit':!![]},'permissions':{'section':0x3f4}}],'fields':[{'title':_0x213c3c(0x1b9),'name':_0x213c3c(0xd0d),'type':_0x213c3c(0x19d3),'required':!![],'param':0x0},{'title':_0x213c3c(0x1b02),'name':_0x213c3c(0x1822),'type':'apiselect','values':_0x213c3c(0x9a9),'value':_0x213c3c(0x3f3),'option':_0x213c3c(0x3f3),'defaultValues':[{'value':'\x27\x27','option':'None'}],'defaultValue':'\x27\x27','param':0x1}]},{'app':_0x213c3c(0x265b),'appType':_0x213c3c(0x265b),'type':_0x213c3c(0x3da),'icon':_0x213c3c(0x33e),'interval':_0x213c3c(0x965),'isApp':!![],'fields':[{'title':_0x213c3c(0x1240),'name':_0x213c3c(0x521),'type':_0x213c3c(0x83d),'required':!![],'min':0x0,'param':0x0}]},{'app':_0x213c3c(0x13a0),'appType':_0x213c3c(0x13a0),'type':_0x213c3c(0x13a0),'icon':'icon-apps','interval':_0x213c3c(0x965),'isApp':!![],'fields':[{'title':_0x213c3c(0x28f5),'name':_0x213c3c(0x166c),'type':'text','required':!![],'param':0x0},{'title':_0x213c3c(0xb24),'name':'truepriority','type':'number','min':0x1,'required':!![],'param':0x1},{'title':'falsepriority','name':'falsepriority','type':_0x213c3c(0x83d),'min':0x1,'required':!![],'param':0x2}]},{'app':_0x213c3c(0x11cf),'appType':_0x213c3c(0x11cf),'foreignKey':'ChatQueueId','type':_0x213c3c(0x11cf),'icon':'icon-apps','interval':_0x213c3c(0x965),'isApp':!![],'extraApi':[{'name':'queues','field':{'name':_0x213c3c(0x11cf),'key':_0x213c3c(0x16b6)},'route':_0x213c3c(0x1e86),'filters':{'fields':_0x213c3c(0x1896),'sort':_0x213c3c(0x16b6),'nolimit':!![]},'permissions':{'section':0x1f5}}],'fields':[{'title':_0x213c3c(0x2687),'name':_0x213c3c(0x11cf),'type':_0x213c3c(0xb52),'values':_0x213c3c(0x971),'value':_0x213c3c(0x19b3),'option':_0x213c3c(0x19b3),'defaultValue':0x12c,'required':!![],'param':0x0},{'title':_0x213c3c(0xbcc),'name':_0x213c3c(0x1719),'type':'number','max':0x20c49b,'min':0x0,'required':!![],'defaultValue':0x12c,'param':0x1}]},{'app':_0x213c3c(0x1eff),'appType':_0x213c3c(0x1eff),'type':_0x213c3c(0x1eff),'foreignKey':_0x213c3c(0x21ab),'icon':_0x213c3c(0x33e),'interval':_0x213c3c(0x965),'isApp':!![],'extraApi':[{'name':_0x213c3c(0xc12),'field':{'name':_0x213c3c(0x1eff),'key':'name'},'route':_0x213c3c(0xebe),'filters':{'fields':_0x213c3c(0x43c),'role':_0x213c3c(0x1eff),'sort':'name','nolimit':!![]},'permissions':{'section':0xca}}],'fields':[{'title':'Agent','name':_0x213c3c(0x1eff),'type':_0x213c3c(0xb52),'values':_0x213c3c(0xc12),'value':'agent.name','option':_0x213c3c(0x302),'required':!![],'param':0x0},{'title':'Timeout','name':_0x213c3c(0x1719),'type':_0x213c3c(0x83d),'max':0x20c49b,'min':0x0,'required':!![],'defaultValue':0x1e,'param':0x1}]},{'app':_0x213c3c(0xf3b),'appType':_0x213c3c(0xf3b),'type':_0x213c3c(0xf3b),'icon':'icon-apps','interval':'*,*,*,*','isApp':!![],'fields':[{'title':'Disposition','name':'disposition','type':_0x213c3c(0x19d3),'required':!![],'param':0x0}]},{'app':_0x213c3c(0x1f71),'appType':_0x213c3c(0x1f71),'type':_0x213c3c(0x1f71),'icon':_0x213c3c(0x33e),'interval':_0x213c3c(0x965),'isApp':!![],'fields':[{'title':_0x213c3c(0x18a5),'name':_0x213c3c(0x18a5),'type':_0x213c3c(0x220f),'defaultValue':'1','values':[{'option':'One\x20Time','value':'1'},{'option':'Always','value':'0'}],'required':!![],'param':0x0},{'title':_0x213c3c(0x620),'name':_0x213c3c(0x19d3),'type':'textarea','required':!![],'param':0x1}]},{'app':_0x213c3c(0x22b1),'appType':_0x213c3c(0x22b1),'type':_0x213c3c(0x22b1),'foreignKey':_0x213c3c(0x1754),'icon':'icon-apps','interval':_0x213c3c(0x965),'isApp':!![],'extraApi':[{'name':_0x213c3c(0xfbf),'field':{'name':_0x213c3c(0x22b1),'key':_0x213c3c(0x16b6)},'route':_0x213c3c(0x22b1),'filters':{'fields':_0x213c3c(0x43c),'sort':_0x213c3c(0x16b6),'nolimit':!![]},'permissions':{'section':0x3f0}}],'fields':[{'title':_0x213c3c(0xf2d),'name':_0x213c3c(0x22b1),'type':'apiselect','values':_0x213c3c(0xfbf),'value':_0x213c3c(0x52a),'option':'tag.name','required':!![],'param':0x0}]},{'app':_0x213c3c(0x711),'appType':_0x213c3c(0x711),'type':_0x213c3c(0x711),'icon':_0x213c3c(0x33e),'interval':'*,*,*,*','isApp':!![],'fields':[{'title':_0x213c3c(0xad8),'name':_0x213c3c(0xee8),'type':_0x213c3c(0x19d3),'required':!![],'param':0x0},{'title':_0x213c3c(0x12b4),'name':_0x213c3c(0x12b4),'type':_0x213c3c(0x220f),'defaultValue':'\x27en\x27','values':[{'option':'Danish','value':_0x213c3c(0x1dac)},{'option':'English','value':_0x213c3c(0x1ffc)},{'option':_0x213c3c(0x41b),'value':_0x213c3c(0x134a)},{'option':_0x213c3c(0x2257),'value':_0x213c3c(0x6a6)},{'option':_0x213c3c(0x2672),'value':_0x213c3c(0xb87)},{'option':'French','value':_0x213c3c(0x1040)},{'option':_0x213c3c(0x600),'value':_0x213c3c(0x1cf7)},{'option':'Japanese','value':'\x27ja\x27'},{'option':_0x213c3c(0x2773),'value':_0x213c3c(0x1ce3)},{'option':_0x213c3c(0x2027),'value':_0x213c3c(0x1298)},{'option':_0x213c3c(0x12a8),'value':_0x213c3c(0x15b9)},{'option':'Portuguese\x20(European)','value':_0x213c3c(0x16db)},{'option':_0x213c3c(0x1a45),'value':_0x213c3c(0x741)},{'option':_0x213c3c(0x1dec),'value':'\x27ru\x27'},{'option':_0x213c3c(0x15fe),'value':_0x213c3c(0x1dce)},{'option':_0x213c3c(0x20c),'value':_0x213c3c(0x1047)},{'option':_0x213c3c(0x526),'value':'\x27uk\x27'},{'option':_0x213c3c(0xb4e),'value':_0x213c3c(0x18f1)},{'option':'Chinese\x20(Hong\x20Kong)','value':_0x213c3c(0x1d01)},{'option':_0x213c3c(0x1784),'value':_0x213c3c(0xad9)}],'required':!![],'param':0x1},{'title':_0x213c3c(0x173a),'name':_0x213c3c(0x173a),'type':_0x213c3c(0x2424),'maxlength':0xff,'param':0x2,'help':!![]}]},{'app':_0x213c3c(0x385),'appType':_0x213c3c(0xece),'type':_0x213c3c(0xece),'icon':_0x213c3c(0x33e),'interval':_0x213c3c(0x965),'isApp':!![],'fields':[{'title':_0x213c3c(0x1e76),'name':_0x213c3c(0x2854),'type':_0x213c3c(0x19d3),'required':!![],'param':0x0},{'title':_0x213c3c(0x9e0),'name':'clientEmail','type':_0x213c3c(0x19d3),'required':!![],'param':0x1},{'title':_0x213c3c(0x2393),'name':'privateKey','type':_0x213c3c(0x2424),'required':!![],'param':0x2},{'title':'language','name':'language','type':_0x213c3c(0x220f),'defaultValue':_0x213c3c(0x1ffc),'values':[{'value':'\x27zh-HK\x27','option':_0x213c3c(0x261e)},{'value':_0x213c3c(0x18f1),'option':'Chinese\x20(Simplified)'},{'value':'\x27zh-TW\x27','option':'Chinese\x20(Traditional)'},{'value':'\x27da\x27','option':'Danish'},{'value':'\x27nl\x27','option':_0x213c3c(0x2027)},{'value':'\x27en\x27','option':'English'},{'value':_0x213c3c(0x1548),'option':_0x213c3c(0x4a2)},{'value':_0x213c3c(0x9ed),'option':'English\x20(Canada)'},{'value':_0x213c3c(0x21a8),'option':_0x213c3c(0x28eb)},{'value':'\x27en-IN\x27','option':_0x213c3c(0x57b)},{'value':'\x27en-US\x27','option':_0x213c3c(0x146b)},{'value':_0x213c3c(0x1040),'option':_0x213c3c(0x19a)},{'value':_0x213c3c(0x271a),'option':_0x213c3c(0x2207)},{'value':_0x213c3c(0x15ea),'option':_0x213c3c(0xe34)},{'value':_0x213c3c(0x134a),'option':'German'},{'value':'\x27hi\x27','option':_0x213c3c(0x1265)},{'value':'\x27id\x27','option':_0x213c3c(0x600)},{'value':'\x27it\x27','option':_0x213c3c(0x2257)},{'value':_0x213c3c(0x5c5),'option':_0x213c3c(0x243f)},{'value':_0x213c3c(0x1ce3),'option':'Korean'},{'value':_0x213c3c(0x15b9),'option':_0x213c3c(0x12a8)},{'value':_0x213c3c(0x85d),'option':'Polish'},{'value':_0x213c3c(0x1ccb),'option':_0x213c3c(0xa0f)},{'value':'\x27pt-PT\x27','option':_0x213c3c(0x967)},{'value':_0x213c3c(0x258b),'option':'Russian'},{'value':_0x213c3c(0xb87),'option':_0x213c3c(0x2672)},{'value':_0x213c3c(0x1ff7),'option':'Spanish\x20(Latin\x20America)'},{'value':_0x213c3c(0x22ed),'option':_0x213c3c(0x24bd)},{'value':_0x213c3c(0x21c7),'option':_0x213c3c(0x15fe)},{'value':'\x27th\x27','option':_0x213c3c(0x20c)},{'value':'\x27tr\x27','option':_0x213c3c(0x1543)},{'value':_0x213c3c(0x199c),'option':'Ukrainian'}],'required':!![],'param':0x3},{'title':'welcomemessage','name':'welcomemessage','type':_0x213c3c(0x2424),'maxlength':0xff,'param':0x4,'help':!![]}]},{'app':_0x213c3c(0x123a),'appType':'amazonlex','type':_0x213c3c(0x123a),'icon':'icon-apps','interval':_0x213c3c(0x965),'isApp':!![],'fields':[{'title':'accesskeyid','name':_0x213c3c(0x413),'type':_0x213c3c(0x19d3),'required':!![],'param':0x0},{'title':_0x213c3c(0x21d9),'name':'secretaccesskey','type':'text','required':!![],'param':0x1},{'title':_0x213c3c(0xd50),'name':'lexregion','type':'select','defaultValue':_0x213c3c(0x1c93),'values':[{'option':'US\x20East\x20(N.\x20Virginia)','value':_0x213c3c(0x1c93)},{'option':'US\x20West\x20(Oregon)','value':_0x213c3c(0x2646)},{'option':_0x213c3c(0x14ca),'value':'\x27eu-west-1\x27'},{'option':_0x213c3c(0x1eae),'value':'\x27ap-southeast-2\x27'}],'required':!![],'param':0x2},{'title':_0x213c3c(0x1c7f),'name':_0x213c3c(0x1c7f),'type':'text','required':!![],'param':0x3},{'title':'welcomemessage','name':_0x213c3c(0x173a),'type':_0x213c3c(0x2424),'maxlength':0xff,'param':0x4,'help':!![]}]}],['app']),{'isApp':![]}),_0x483aa5[_0x213c3c(0x240)]={'group':{'name':'opt1','pull':_0x213c3c(0x11bc)},'animation':0x64,'sort':![]},_0x483aa5[_0x213c3c(0x1f2f)]={'group':{'name':_0x213c3c(0x1ddb),'put':_0x213c3c(0x2523)},'animation':0x64,'onAdd':function(_0x48b038){const _0x589bd4=_0x213c3c;_0x37e864(_0x48b038,_0x48b038[_0x589bd4(0x22e)]);},'onSort':function(){_0x1f068a();}},_0x483aa5[_0x213c3c(0x1a8e)]=_0x1f972c,_0x483aa5[_0x213c3c(0xb25)]=_0x5e7c23,_0x483aa5[_0x213c3c(0xbc6)]=_0x5e8efd,_0x483aa5[_0x213c3c(0x2958)]=_0x37e864,_0x483aa5[_0x213c3c(0x1eee)]=_0x143126,_0x483aa5[_0x213c3c(0x296b)]=_0x2b2766,_0x483aa5[_0x213c3c(0x2203)]=_0x8f9e78,_0x483aa5[_0x213c3c(0x463)]=_0x1f068a,_0x483aa5[_0x213c3c(0x22fc)]=_0x2bc963;function _0x1f972c(_0x195225,_0x1ebdfb){const _0x49ba5f=_0x213c3c;_0x483aa5['chatWebsite']=_0x195225,_0x483aa5[_0x49ba5f(0x1b1a)]=typeof _0x1ebdfb!=='undefined'?_0x1ebdfb:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x483aa5['applications'][_0x49ba5f(0x379)]=!_0x483aa5[_0x49ba5f(0x1b1a)][_0x49ba5f(0xb3d)]?!![]:![],_0x483aa5[_0x49ba5f(0xae2)]['id']=_0x195225['id'],_0x483aa5[_0x49ba5f(0xae2)][_0x49ba5f(0xead)]=!![],_0x483aa5[_0x49ba5f(0xae2)][_0x49ba5f(0x2282)]=!![],_0x483aa5[_0x49ba5f(0xbc6)](),_0x483aa5[_0x49ba5f(0x22fc)]();}function _0x5e7c23(_0x4e687c,_0x1185b3,_0x184e7b){const _0x41947d=_0x213c3c,_0x39bda2=_0x59bfd6[_0x41947d(0x1551)]()[_0x41947d(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20application?')[_0x41947d(0x49e)](''+_0x4e687c[_0x41947d(0x22e1)]+_0x41947d(0x1200)+'\x20will\x20be\x20deleted.')[_0x41947d(0x15ad)](_0x41947d(0x2998))[_0x41947d(0x728)](_0x184e7b)['ok']('OK')[_0x41947d(0x696)]('CANCEL');_0x59bfd6['show'](_0x39bda2)[_0x41947d(0x1cb0)](function(){const _0x539f37=_0x41947d;_0x483aa5[_0x539f37(0xa54)][_0x539f37(0x2214)][_0x539f37(0x159c)](_0x1185b3,0x1),_0x1f068a();},function(){const _0x3690f6=_0x41947d;console[_0x3690f6(0x1b4f)](_0x3690f6(0x24ba));});}function _0x37e864(_0x33d452,_0x390421){const _0x24bedd=_0x213c3c;if(_0x483aa5['chatWebsiteApps'][_0x24bedd(0x2214)][_0x24bedd(0xfd0)]){const _0x2a3c9d=_0x483aa5['chatWebsiteApps'][_0x24bedd(0x2214)][_0x390421]?_0x483aa5[_0x24bedd(0xa54)][_0x24bedd(0x2214)][_0x390421]:_0x483aa5[_0x24bedd(0xa54)]['rows'][0x0],_0x1fc949=(_0x2a3c9d['appType']||_0x2a3c9d[_0x24bedd(0x22e1)])['toLowerCase']();_0x59bfd6[_0x24bedd(0xe27)]({'controller':_0x24bedd(0x1396)+_0x1fc949+_0x24bedd(0x198f),'controllerAs':'vm','templateUrl':_0x3e9fd8[_0x1fc949],'parent':angular['element'](_0x43a3a5[_0x24bedd(0x1ed9)]),'targetEvent':_0x33d452,'clickOutsideToClose':!![],'locals':{'chatWebsiteApp':_0x2a3c9d,'chatWebsite':_0x483aa5['chatWebsite'],'crudPermissions':_0x483aa5[_0x24bedd(0x1b1a)]}})[_0x24bedd(0x1cb0)](function(_0x47c82e){const _0x4c2484=_0x24bedd;_0x47c82e&&(_0x47c82e['id']?_0x483aa5['chatWebsiteApps'][_0x4c2484(0x2214)][_0x390421]=_0x47c82e:_0x483aa5['chatWebsiteApps'][_0x4c2484(0x2214)][_0x4c2484(0x159c)](_0x390421,0x0,_0x47c82e),_0x1f068a());})[_0x24bedd(0x1c4)](function(_0x456672){const _0x45f64f=_0x24bedd;_0x456672&&_0x5ceb52[_0x45f64f(0x218e)]({'title':_0x456672[_0x45f64f(0x291)]?_0x45f64f(0xeb9)+_0x456672[_0x45f64f(0x291)]+_0x45f64f(0x1657)+_0x456672[_0x45f64f(0xc22)]:_0x45f64f(0xd95),'msg':_0x456672['data']?JSON[_0x45f64f(0x2701)](_0x456672['data']):_0x456672[_0x45f64f(0x147f)]()});});}}function _0x143126(_0x380696,_0x568000){const _0x1594eb=_0x213c3c;if(_0x483aa5['chatWebsiteApps'][_0x1594eb(0x2214)][_0x1594eb(0xfd0)]){const _0x2dd991=_0x483aa5[_0x1594eb(0xa54)]['rows'][_0x568000]?_0x483aa5[_0x1594eb(0xa54)][_0x1594eb(0x2214)][_0x568000]:_0x483aa5['chatWebsiteApps']['rows'][0x0];_0x59bfd6[_0x1594eb(0xe27)]({'controller':_0x1594eb(0x1a19),'controllerAs':'vm','templateUrl':_0x587a29,'parent':angular[_0x1594eb(0x1853)](_0x43a3a5[_0x1594eb(0x1ed9)]),'targetEvent':_0x380696,'clickOutsideToClose':!![],'locals':{'interval':{'interval':_0x2dd991[_0x1594eb(0x1ac8)],'IntervalId':_0x2dd991[_0x1594eb(0x1a60)],'application':!![]},'intervals':[],'crudPermissions':_0x483aa5['crudPermissions']}})['then'](function(_0x113dd1){const _0x44ca4a=_0x1594eb;_0x113dd1&&(_0x2dd991[_0x44ca4a(0x1ac8)]=_0x113dd1[_0x44ca4a(0x1ac8)]||_0x44ca4a(0x965),_0x2dd991['IntervalId']=_0x113dd1['IntervalId']||null,_0x1f068a());});}}function _0x1f068a(){const _0x19024a=_0x213c3c;let _0x3bd944=0x1,_0x3a96f1=[];for(let _0x4684a9=0x0;_0x4684a9<_0x483aa5[_0x19024a(0xa54)][_0x19024a(0x2214)][_0x19024a(0xfd0)];_0x4684a9++){const _0x2f4a72=_0x483aa5[_0x19024a(0xa54)]['rows'][_0x4684a9],_0x5798f5=[],_0x3a54cf=[];_0x2f4a72[_0x19024a(0xb4a)]=_0x2f4a72[_0x19024a(0x1ac8)]!==_0x19024a(0x965)?[_0x2f4a72[_0x19024a(0x1ac8)]]:_0x2f4a72[_0x19024a(0x1a60)]?_0x39641b()['map'](_0x39641b()[_0x19024a(0x1c99)](_0x483aa5['intervals'][_0x19024a(0x2214)],{'IntervalId':_0x2f4a72['IntervalId']}),'interval'):[],_0x2f4a72[_0x19024a(0x2056)]=_0x483aa5[_0x19024a(0x226c)]['context'],_0x2f4a72[_0x19024a(0x26a2)]=_0x483aa5[_0x19024a(0x226c)]['exten'],_0x2f4a72[_0x19024a(0x66a)]&&(_0x2f4a72[_0x19024a(0x66a)]=_0x2f4a72[_0x19024a(0x66a)][_0x19024a(0x1680)]()),_0x2f4a72['priority']=_0x5798f5[_0x19024a(0xfd0)]?_0x39641b()[_0x19024a(0x1f9e)](_0x5798f5)[_0x19024a(0x521)]+0x1:_0x3bd944,_0x3bd944=(_0x3a54cf[_0x19024a(0xfd0)]?_0x39641b()[_0x19024a(0x1f9e)](_0x3a54cf)[_0x19024a(0x521)]:_0x2f4a72['priority'])+0x1,_0x3a96f1=_0x39641b()[_0x19024a(0x298a)](_0x3a96f1,_0x5798f5,[_0x2f4a72],_0x3a54cf);}_0x5003bb[_0x19024a(0x226c)][_0x19024a(0x2598)]({'id':_0x483aa5['chatWebsite']['id']},_0x39641b()['sortBy'](_0x3a96f1,_0x19024a(0x521)))[_0x19024a(0x1d77)][_0x19024a(0x1cb0)](function(_0x3dcff6){const _0x380026=_0x19024a;_0x483aa5[_0x380026(0xa54)][_0x380026(0x2214)]=_0x3dcff6[_0x380026(0x2214)];})[_0x19024a(0x1c4)](function(_0xd96e2c){const _0x3e732e=_0x19024a;console[_0x3e732e(0x218e)](_0xd96e2c);});}function _0x384e37(_0x480f6d){const _0x3d7316=_0x213c3c;_0x483aa5[_0x3d7316(0xa54)]=_0x480f6d||{'count':0x0,'rows':[]};}function _0x2bc963(){const _0x3be59e=_0x213c3c;return _0x5003bb[_0x3be59e(0x1ac8)][_0x3be59e(0xbf7)]({'fields':'id,interval,IntervalId'})[_0x3be59e(0x1d77)]['then'](function(_0x37ec71){const _0x5e833b=_0x3be59e;_0x483aa5[_0x5e833b(0xb4a)]=_0x37ec71;})[_0x3be59e(0x1c4)](function(_0x33aa4c){const _0x1ee642=_0x3be59e;console[_0x1ee642(0x218e)](_0x33aa4c);});}function _0x5e8efd(){const _0x457383=_0x213c3c;_0x483aa5[_0x457383(0x2061)]=_0x5003bb['chatWebsite'][_0x457383(0x930)](_0x483aa5[_0x457383(0xae2)],_0x384e37)[_0x457383(0x1d77)];}function _0x2b2766(_0x2a8670){const _0x98827e=_0x213c3c;_0x39641b()[_0x98827e(0x152a)](_0x483aa5[_0x98827e(0xa54)][_0x98827e(0x2214)],{'id':_0x2a8670['id']}),_0x1f068a(),_0x5ceb52[_0x98827e(0x829)]({'title':_0x98827e(0x2923),'msg':_0x2a8670[_0x98827e(0x22e1)]?_0x2a8670['app']+_0x98827e(0x3f5):''});}function _0x8f9e78(_0x353fae){const _0xea3771=_0x213c3c,_0x49d8d7=_0x59bfd6[_0xea3771(0x1551)]()[_0xea3771(0x1386)](_0xea3771(0x2436))['htmlContent'](_0xea3771(0x204d)+_0x483aa5[_0xea3771(0x2408)][_0xea3771(0xfd0)]+_0xea3771(0x1d6c)+_0xea3771(0x1b6))['ariaLabel'](_0xea3771(0x531))['targetEvent'](_0x353fae)['ok']('OK')[_0xea3771(0x696)]('CANCEL');_0x59bfd6[_0xea3771(0xe27)](_0x49d8d7)[_0xea3771(0x1cb0)](function(){const _0x210ee9=_0xea3771;_0x483aa5[_0x210ee9(0x2408)][_0x210ee9(0xf90)](function(_0x42bc32){const _0x5e8cc5=_0x210ee9;_0x39641b()[_0x5e8cc5(0x152a)](_0x483aa5['chatWebsiteApps'][_0x5e8cc5(0x2214)],{'id':_0x42bc32['id']});}),_0x483aa5[_0x210ee9(0x2408)]=[],_0x1f068a();});}}const _0x38084a=_0x2ace5a;;_0x216929['$inject']=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),'api',_0x5537c6(0x226c),_0x5537c6(0x2137),'realtime',_0x5537c6(0x1ae),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x216929(_0x49abf2,_0x259097,_0xf10ef0,_0x5b4c3f,_0x31443e,_0x50f07d,_0x553e04,_0xf6986b,_0x39c24a,_0x2aa6bc){const _0xe80528=_0x5537c6,_0x41b5f8=this;_0x41b5f8[_0xe80528(0xe76)]=_0x39c24a[_0xe80528(0x21e8)](),_0x41b5f8[_0xe80528(0x226c)]=_0x31443e,_0x41b5f8[_0xe80528(0x1b1a)]=_0x2aa6bc,_0x41b5f8['realtime']=_0x553e04,_0x41b5f8['items']=[],_0x41b5f8[_0xe80528(0x1372)]=[],_0x41b5f8['selectedItems']=[],_0x41b5f8[_0xe80528(0xbd5)]=[],_0x41b5f8[_0xe80528(0x1456)]=[],_0x41b5f8[_0xe80528(0x50c)]=![],_0x41b5f8[_0xe80528(0x1a34)]=_0x343d8b,_0x41b5f8[_0xe80528(0x1dd5)]=_0x49e561,_0x41b5f8[_0xe80528(0xda0)]=_0x19f616,_0x41b5f8[_0xe80528(0x18c0)]={'readOnly':!_0x41b5f8[_0xe80528(0x1b1a)][_0xe80528(0xb3d)],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0xe80528(0x16b6),'line1':_0xe80528(0x1d14),'line2':[_0xe80528(0x16b6),_0xe80528(0xdbd)],'line3':'','labelAll':_0xf6986b[_0xe80528(0x25cc)]('APP.ALL_AGENTS'),'labelSelected':_0xf6986b[_0xe80528(0x25cc)](_0xe80528(0x1cd5)),'transferCallback':function(){const _0x3ce2f1=_0x39641b()['xorBy'](_0x41b5f8['startingSelectedItems'],_0x41b5f8['selectedItems'],'id');_0x41b5f8['pendingChanges']=_0x39641b()['isEmpty'](_0x3ce2f1)?![]:!![];}};function _0x343d8b(){const _0x17b263=_0xe80528;return _0x39c24a['hasRole']('admin')?_0x444bde()['catch'](function(_0x5bc4c8){const _0x49b9e3=a0_0x5cbd;_0xf10ef0[_0x49b9e3(0x218e)]({'title':_0x5bc4c8[_0x49b9e3(0x291)]?'API:'+_0x5bc4c8[_0x49b9e3(0x291)]+_0x49b9e3(0x1657)+_0x5bc4c8[_0x49b9e3(0xc22)]:'SYSTEM:GET_AGENTS','msg':_0x5bc4c8[_0x49b9e3(0x291)]?JSON[_0x49b9e3(0x2701)](_0x5bc4c8['data']):_0x5bc4c8['toString']()});}):_0x161801()[_0x17b263(0x1cb0)](function(_0x3b68a5){const _0x37fa41=_0x17b263;return _0x41b5f8[_0x37fa41(0x1f74)]=_0x3b68a5,_0x444bde();})[_0x17b263(0x1c4)](function(_0x46f40a){const _0x3bdb1c=_0x17b263;_0xf10ef0[_0x3bdb1c(0x218e)]({'title':_0x46f40a[_0x3bdb1c(0x291)]?_0x3bdb1c(0xeb9)+_0x46f40a['status']+_0x3bdb1c(0x1657)+_0x46f40a[_0x3bdb1c(0xc22)]:_0x3bdb1c(0x799),'msg':_0x46f40a[_0x3bdb1c(0x291)]?JSON[_0x3bdb1c(0x2701)](_0x46f40a[_0x3bdb1c(0x25c)]):_0x46f40a[_0x3bdb1c(0x147f)]()});});}function _0x161801(){return _0x259097(function(_0x59580c,_0x424439){const _0x35bdd1=a0_0x5cbd;_0x5b4c3f[_0x35bdd1(0x2199)][_0x35bdd1(0xbf7)]({'userProfileId':_0x41b5f8[_0x35bdd1(0xe76)][_0x35bdd1(0x13c1)],'name':_0x35bdd1(0x2536)})[_0x35bdd1(0x1d77)][_0x35bdd1(0x1cb0)](function(_0x35dc64){const _0x2da90b=_0x35dc64&&_0x35dc64['rows']?_0x35dc64['rows'][0x0]:null;_0x59580c(_0x2da90b);})[_0x35bdd1(0x1c4)](function(_0x1d6460){_0x424439(_0x1d6460);});});}function _0x444bde(){return _0x259097(function(_0x35c3b0,_0x39c3cc){const _0x2e0286=a0_0x5cbd;return _0x25a8d2()[_0x2e0286(0x1cb0)](function(_0x157d9a){const _0x52f3e4=_0x2e0286;return _0x41b5f8['items']=_0x157d9a[_0x52f3e4(0x2214)]?_0x157d9a[_0x52f3e4(0x2214)]:[],_0x39c24a[_0x52f3e4(0x22b6)]('admin')?_0x157d9a:_0x41b5f8[_0x52f3e4(0x1f74)]?_0x41b5f8[_0x52f3e4(0x1f74)][_0x52f3e4(0x12f4)]?_0x157d9a:_0xed3d79():null;})[_0x2e0286(0x1cb0)](function(_0xa2dca0){const _0x57ea88=_0x2e0286,_0x2f6a72=_0xa2dca0&&_0xa2dca0[_0x57ea88(0x2214)]?_0xa2dca0[_0x57ea88(0x2214)]:[];return _0x41b5f8[_0x57ea88(0x1372)]=_0x39641b()[_0x57ea88(0x1de2)](_0x2f6a72,function(_0x12c944){const _0xc882e2=_0x57ea88;return _0x39641b()[_0xc882e2(0x13b4)](_0x41b5f8[_0xc882e2(0x122f)],{'id':_0x39c24a['hasRole']('admin')||_0x41b5f8[_0xc882e2(0x1f74)][_0xc882e2(0x12f4)]?_0x12c944['id']:_0x12c944['resourceId']});}),_0x41b5f8['startingAllowedItems']=angular[_0x57ea88(0x17fe)](_0x41b5f8[_0x57ea88(0x1372)]),_0x41b5f8['items']['forEach'](function(_0x24964f){const _0x5686a5=_0x57ea88,_0x386139=_0x39641b()[_0x5686a5(0x13b4)](_0x41b5f8[_0x5686a5(0x1372)],{'id':_0x24964f['id']});_0x39c24a[_0x5686a5(0x22b6)]('admin')?_0x24964f['isValid']=!![]:_0x24964f[_0x5686a5(0x1a4f)]=typeof _0x386139!=='undefined'?!![]:![];}),_0x2311cf();})[_0x2e0286(0x1cb0)](function(_0x1b2357){const _0x15ca3a=_0x2e0286,_0x5bd939=_0x1b2357&&_0x1b2357['rows']?_0x1b2357[_0x15ca3a(0x2214)]:[];_0x41b5f8[_0x15ca3a(0x20bb)]=_0x39641b()['map'](_0x5bd939,function(_0x36d803){const _0x3ea48c=_0x15ca3a,_0x452ed3=_0x39641b()[_0x3ea48c(0x13b4)](_0x41b5f8[_0x3ea48c(0x122f)],{'id':_0x36d803['id']});return _0x452ed3[_0x3ea48c(0x1cbc)]=_0x36d803[_0x3ea48c(0x1bfe)]?_0x3ea48c(0x455)+_0x36d803['UserChatWebsite'][_0x3ea48c(0x1cbc)]:'',_0x452ed3[_0x3ea48c(0xdbd)]=typeof _0x36d803[_0x3ea48c(0xdbd)]!=='undefined'?'<'+_0x36d803[_0x3ea48c(0xdbd)]+'>':'',_0x452ed3;}),_0x41b5f8[_0x15ca3a(0x1456)]=angular['copy'](_0x41b5f8['selectedItems']),_0x41b5f8[_0x15ca3a(0x18c0)][_0x15ca3a(0x20bb)]=_0x41b5f8[_0x15ca3a(0x20bb)],_0x41b5f8[_0x15ca3a(0x18c0)]['items']=_0x39641b()[_0x15ca3a(0x2128)](_0x41b5f8[_0x15ca3a(0x1372)],_0x41b5f8[_0x15ca3a(0x18c0)][_0x15ca3a(0x20bb)],'id'),_0x35c3b0();})['catch'](function(_0x288b44){_0x39c3cc(_0x288b44);});});}function _0xed3d79(){return _0x259097(function(_0xdb5e47,_0x441c83){const _0x2560c9=a0_0x5cbd;return _0x5b4c3f[_0x2560c9(0x1198)]['get']({'sectionId':_0x41b5f8[_0x2560c9(0x1f74)]['id'],'nolimit':!![]})[_0x2560c9(0x1d77)][_0x2560c9(0x1cb0)](function(_0x309cf4){_0xdb5e47(_0x309cf4);})[_0x2560c9(0x1c4)](function(_0x4ce7a1){_0x441c83(_0x4ce7a1);});});}function _0x2311cf(){return _0x259097(function(_0x24b4b6,_0xc32ec7){const _0x492d81=a0_0x5cbd;return _0x5b4c3f['chatWebsite'][_0x492d81(0x2348)]({'id':_0x41b5f8[_0x492d81(0x226c)]['id'],'fields':_0x492d81(0x251e),'nolimit':!![],'role':_0x492d81(0x1eff)})['$promise'][_0x492d81(0x1cb0)](function(_0xe2a3a8){_0x24b4b6(_0xe2a3a8);})[_0x492d81(0x1c4)](function(_0x178058){_0xc32ec7(_0x178058);});});}function _0x25a8d2(){return _0x259097(function(_0x5cceeb,_0x2d5b3){const _0x42324c=a0_0x5cbd;return _0x5b4c3f[_0x42324c(0xebe)]['get']({'fields':'id,name,internal,fullname','nolimit':!![],'role':_0x42324c(0x1eff)})[_0x42324c(0x1d77)]['then'](function(_0x2f8f40){_0x5cceeb(_0x2f8f40);})[_0x42324c(0x1c4)](function(_0x52ee9b){_0x2d5b3(_0x52ee9b);});});}function _0x571594(_0xd1b750){return _0x259097(function(_0x235eed,_0x5173d9){const _0x349755=a0_0x5cbd;_0x39641b()['isEmpty'](_0xd1b750)?_0x235eed():_0x5b4c3f[_0x349755(0x226c)][_0x349755(0x2057)]({'id':_0x41b5f8[_0x349755(0x226c)]['id'],'ids':_0x39641b()[_0x349755(0x1de2)](_0xd1b750,'id')})[_0x349755(0x1d77)][_0x349755(0x1cb0)](function(){_0x235eed();})[_0x349755(0x1c4)](function(_0x176dac){_0x5173d9(_0x176dac);});});}function _0x17c1e7(_0x33a073){return _0x259097(function(_0x1f4cef,_0x3c286d){const _0x205582=a0_0x5cbd;_0x39641b()[_0x205582(0xce9)](_0x33a073)?_0x1f4cef():_0x5b4c3f['chatWebsite']['removeAgents']({'id':_0x41b5f8['chatWebsite']['id'],'ids':_0x39641b()['map'](_0x33a073,'id')})[_0x205582(0x1d77)][_0x205582(0x1cb0)](function(){_0x1f4cef();})[_0x205582(0x1c4)](function(_0x584980){_0x3c286d(_0x584980);});});}function _0x49e561(){const _0x57ab32=_0xe80528,_0x382e80=_0x39641b()[_0x57ab32(0x2128)](_0x41b5f8[_0x57ab32(0x1456)],_0x41b5f8[_0x57ab32(0x20bb)],'id'),_0x26768d=_0x39641b()[_0x57ab32(0x2128)](_0x41b5f8[_0x57ab32(0x20bb)],_0x41b5f8[_0x57ab32(0x1456)],'id');return _0x17c1e7(_0x382e80)[_0x57ab32(0x1cb0)](function(){return _0x571594(_0x26768d);})[_0x57ab32(0x1cb0)](function(){const _0x128d7e=_0x57ab32;_0x41b5f8[_0x128d7e(0x50c)]=![],_0x41b5f8[_0x128d7e(0xbd5)]=angular['copy'](_0x41b5f8['allowedItems']),_0x41b5f8['startingSelectedItems']=angular[_0x128d7e(0x17fe)](_0x41b5f8[_0x128d7e(0x20bb)]),_0xf10ef0['success']({'title':_0x128d7e(0x201),'msg':'Agents\x20association\x20has\x20been\x20updated!'});})[_0x57ab32(0x1c4)](function(_0x54922d){const _0x145149=_0x57ab32;_0xf10ef0['error']({'title':_0x54922d['status']?'API:'+_0x54922d[_0x145149(0x291)]+_0x145149(0x1657)+_0x54922d['statusText']:_0x145149(0x1221),'msg':_0x54922d['status']?JSON[_0x145149(0x2701)](_0x54922d['data']):_0x54922d['toString']()});});}function _0x19f616(){const _0x5cd063=_0xe80528;_0x49abf2[_0x5cd063(0x1426)]();}}const _0x37f0cf=_0x216929;;_0x53f5c9['$inject']=[_0x5537c6(0x173),_0x5537c6(0x9bf)];function _0x53f5c9(_0x35eeda,_0x16c8bc){const _0x21ec6a=_0x5537c6,_0x1a9240=this,_0x5309af=0x8,_0x3c5591=_0x5309af*0x400*0x400;_0x1a9240[_0x21ec6a(0x226c)]={},_0x1a9240[_0x21ec6a(0x1b1a)],_0x1a9240[_0x21ec6a(0x15e8)]={'singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0x3c5591,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x1a9240[_0x21ec6a(0x125a)]={'flow':{}},_0x1a9240[_0x21ec6a(0x18dc)]=![],_0x1a9240[_0x21ec6a(0x15c2)]=_0x9eff42,_0x1a9240[_0x21ec6a(0x1c97)]=_0x445f1b,_0x1a9240['fileSuccess']=_0x486f2a,_0x1a9240['init']=_0x153844;function _0x153844(_0x5c991b,_0x22bdde){const _0x4064e=_0x21ec6a;_0x1a9240[_0x4064e(0x226c)]=angular[_0x4064e(0x17fe)](_0x5c991b),_0x1a9240['crudPermissions']=typeof _0x22bdde!==_0x4064e(0x16b5)?_0x22bdde:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x1a9240[_0x4064e(0x15e8)]['target']=_0x4064e(0x24e0)['replace'](_0x4064e(0xfa9),_0x1a9240[_0x4064e(0x226c)]['id']),_0x1a9240[_0x4064e(0x226c)][_0x4064e(0x105c)]=[];}function _0x9eff42(_0x11ce57){const _0x446d2c=_0x21ec6a,_0x3857b2=[_0x446d2c(0x844),'jpg'];if(!_0x39641b()['includes'](_0x3857b2,_0x11ce57[_0x446d2c(0x1cb1)]()))return _0x16c8bc['error']({'title':'Invalid\x20extension:\x20'+_0x11ce57[_0x446d2c(0x1cb1)](),'msg':_0x446d2c(0x4d4)+_0x3857b2['join']()}),![];if(_0x11ce57[_0x446d2c(0x1813)]>_0x3c5591)return _0x16c8bc[_0x446d2c(0x218e)]({'title':_0x446d2c(0x2749),'msg':'The\x20max\x20allowed\x20size\x20is\x20'+_0x5309af+'MB'}),![];const _0x16b3f0={'id':_0x11ce57[_0x446d2c(0x27c2)],'file':_0x11ce57,'type':_0x446d2c(0x2989)};return _0x1a9240[_0x446d2c(0x226c)][_0x446d2c(0x105c)]['unshift'](_0x16b3f0),!![];}function _0x445f1b(){const _0x573aeb=_0x21ec6a;_0x1a9240['ngFlow'][_0x573aeb(0xacf)]['opts'][_0x573aeb(0x5e7)]={'X-Requested-With':'XMLHttpRequest','Authorization':_0x573aeb(0x1923)+_0x35eeda[_0x573aeb(0xbf7)](_0x573aeb(0x1b26))},_0x1a9240[_0x573aeb(0x125a)][_0x573aeb(0xacf)][_0x573aeb(0x1c97)]();}function _0x486f2a(_0x1b5eec){const _0x447d5a=_0x21ec6a;angular['forEach'](_0x1a9240[_0x447d5a(0x226c)]['images'],function(_0x3bf0c0){const _0x56e8bd=_0x447d5a;if(_0x3bf0c0['id']===_0x1b5eec[_0x56e8bd(0x27c2)]){const _0xd67c2=new FileReader();_0xd67c2[_0x56e8bd(0x245d)](_0x3bf0c0[_0x56e8bd(0x1ac4)]['file']),_0xd67c2['onload']=function(_0x284ec5){const _0x586308=_0x56e8bd;_0x3bf0c0[_0x586308(0x135b)]=_0x284ec5[_0x586308(0x251d)]['result'];},_0x3bf0c0[_0x56e8bd(0x66a)]=_0x56e8bd(0x499);}});}}const _0x4459e1=_0x53f5c9;;_0x5dfb7f[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x422),_0x5537c6(0x226c),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x5dfb7f(_0x379607,_0x283ace,_0x41aa4d,_0x2d4d11,_0x4a2351,_0x257abb,_0x6d50d,_0x485aed){const _0x110a45=_0x5537c6,_0x2ba7f3=this;_0x2ba7f3[_0x110a45(0xe76)]=_0x6d50d[_0x110a45(0x21e8)](),_0x2ba7f3[_0x110a45(0x1a7c)]=[],_0x2ba7f3[_0x110a45(0x1386)]=_0x110a45(0x1e59)+(_0x2d4d11[_0x110a45(0x299b)]||_0x2d4d11[_0x110a45(0x22e1)])[_0x110a45(0x2335)](),_0x2ba7f3[_0x110a45(0x1eff)]=angular['copy'](_0x2d4d11),_0x2ba7f3[_0x110a45(0x1b1a)]=_0x485aed,_0x2ba7f3[_0x110a45(0xf4c)]={};if(_0x2ba7f3[_0x110a45(0x1eff)][_0x110a45(0x28df)])switch(_0x2ba7f3[_0x110a45(0x1eff)][_0x110a45(0x299b)]?_0x2ba7f3[_0x110a45(0x1eff)][_0x110a45(0x299b)][_0x110a45(0x1680)]():_0x2ba7f3['agent'][_0x110a45(0x22e1)]['toLowerCase']()){case _0x110a45(0x197c):break;case'dialogflow':{const _0x4b77da=_0x2ba7f3[_0x110a45(0x1eff)]['appdata']['split'](',');_0x2ba7f3['agent']['key']=_0x4b77da[0x0],_0x2ba7f3[_0x110a45(0x1eff)][_0x110a45(0x12b4)]=_0x4b77da[0x1],_0x2ba7f3[_0x110a45(0x1eff)][_0x110a45(0x173a)]=_0x4b77da['slice'](0x2,_0x4b77da[_0x110a45(0xfd0)])[_0x110a45(0x1f66)](',');}break;case _0x110a45(0xece):{const _0x6cce0c=_0x2ba7f3['agent'][_0x110a45(0x28df)][_0x110a45(0xbe1)](',');_0x2ba7f3[_0x110a45(0x1eff)][_0x110a45(0x2854)]=_0x6cce0c[0x0],_0x2ba7f3[_0x110a45(0x1eff)][_0x110a45(0x12bf)]=_0x6cce0c[0x1],_0x2ba7f3[_0x110a45(0x1eff)][_0x110a45(0x29d6)]=_0x6cce0c[0x2],_0x2ba7f3['agent'][_0x110a45(0x12b4)]=_0x6cce0c[0x3],_0x2ba7f3['agent'][_0x110a45(0x173a)]=_0x6cce0c['slice'](0x4,_0x6cce0c[_0x110a45(0xfd0)])[_0x110a45(0x1f66)](',');}break;case _0x110a45(0x123a):{const _0x47dd63=_0x2ba7f3[_0x110a45(0x1eff)][_0x110a45(0x28df)][_0x110a45(0xbe1)](',');_0x2ba7f3[_0x110a45(0x1eff)][_0x110a45(0x413)]=_0x47dd63[0x0],_0x2ba7f3[_0x110a45(0x1eff)][_0x110a45(0x21d9)]=_0x47dd63[0x1],_0x2ba7f3[_0x110a45(0x1eff)][_0x110a45(0xd50)]=_0x47dd63[0x2],_0x2ba7f3[_0x110a45(0x1eff)]['botname']=_0x47dd63[0x3],_0x2ba7f3[_0x110a45(0x1eff)][_0x110a45(0x173a)]=_0x47dd63[_0x110a45(0x14cb)](0x4,_0x47dd63[_0x110a45(0xfd0)])['join'](',');}break;case _0x110a45(0x1f71):{const _0x301b5d=_0x2ba7f3[_0x110a45(0x1eff)][_0x110a45(0x28df)][_0x110a45(0xbe1)](',');_0x2ba7f3[_0x110a45(0x1eff)]['times']=isNaN(_0x301b5d[0x0])?_0x301b5d[0x0]:parseInt(_0x301b5d[0x0],0xa),_0x2ba7f3[_0x110a45(0x1eff)][_0x110a45(0x19d3)]=_0x301b5d[_0x110a45(0x14cb)](0x1,_0x301b5d[_0x110a45(0xfd0)])['join'](',');}break;case'message':_0x2ba7f3[_0x110a45(0x1eff)][_0x110a45(0x19d3)]=_0x2ba7f3[_0x110a45(0x1eff)]['appdata'];break;case _0x110a45(0x19d1):_0x2ba7f3[_0x110a45(0x1eff)]['name']=_0x2ba7f3[_0x110a45(0x1eff)][_0x110a45(0x28df)]['split']('=')[0x0],_0x2ba7f3[_0x110a45(0x1eff)]['value']=_0x2ba7f3[_0x110a45(0x1eff)][_0x110a45(0x28df)][_0x110a45(0xbe1)]('=')[0x1];break;case _0x110a45(0x4b4):_0x2ba7f3[_0x110a45(0x1eff)][_0x110a45(0x12a7)]=_0x2ba7f3[_0x110a45(0x1eff)][_0x110a45(0x28df)];break;default:{const _0x1a2c8c=_0x2ba7f3[_0x110a45(0x1eff)][_0x110a45(0x28df)]['split'](',');_0x2ba7f3[_0x110a45(0x1eff)][_0x110a45(0x1eff)]=_0x39641b()[_0x110a45(0xce9)](_0x1a2c8c[0x0])?_0x1a2c8c[0x0]:isNaN(_0x1a2c8c[0x0])?_0x1a2c8c[0x0]:parseInt(_0x1a2c8c[0x0],0xa),_0x2ba7f3[_0x110a45(0x1eff)][_0x110a45(0x1719)]=_0x39641b()[_0x110a45(0xce9)](_0x1a2c8c[0x1])?_0x1a2c8c[0x1]:isNaN(_0x1a2c8c[0x1])?_0x1a2c8c[0x1]:parseInt(_0x1a2c8c[0x1],0xa);}break;}else _0x2ba7f3[_0x110a45(0x1eff)]['timeout']=0x1e;_0x2ba7f3[_0x110a45(0x1eff)]['type']&&_0x2ba7f3[_0x110a45(0x1eff)]['type']['toLowerCase']()===_0x110a45(0x895)&&_0x2ba7f3[_0x110a45(0x1eff)]['appType'][_0x110a45(0x1680)]()===_0x110a45(0x20ff)&&(_0x2ba7f3[_0x110a45(0x1eff)][_0x110a45(0x586)]=_0x2ba7f3[_0x110a45(0x1eff)][_0x110a45(0x1340)]?_0x2ba7f3[_0x110a45(0x1eff)][_0x110a45(0x1340)]['split']('$')[0x0]:undefined,_0x2ba7f3[_0x110a45(0x1eff)][_0x110a45(0x1e7c)]=_0x2ba7f3['agent'][_0x110a45(0x2816)]?_0x110a45(0x25f9)+_0x2ba7f3[_0x110a45(0x1eff)][_0x110a45(0x2816)]:undefined);_0x2ba7f3[_0x110a45(0x7b3)]=_0x3eb323,_0x2ba7f3['closeDialog']=_0x3f5d50,_0x6d50d[_0x110a45(0x22b6)](_0x110a45(0x1c60))?_0x257abb[_0x110a45(0xebe)]['get']({'fields':'id,name','role':_0x110a45(0x1eff),'sort':'name','nolimit':_0x110a45(0x44d)})[_0x110a45(0x1d77)][_0x110a45(0x1cb0)](function(_0x3c086){const _0x183088=_0x110a45;_0x2ba7f3[_0x183088(0xc12)]=_0x3c086['rows']||[];})[_0x110a45(0x1c4)](function(_0x58b56b){const _0x2c7e80=_0x110a45;_0x41aa4d['error']({'title':_0x58b56b[_0x2c7e80(0x291)]?_0x2c7e80(0xeb9)+_0x58b56b[_0x2c7e80(0x291)]+_0x2c7e80(0x1657)+_0x58b56b['statusText']:_0x2c7e80(0x799),'msg':_0x58b56b['data']?JSON[_0x2c7e80(0x2701)](_0x58b56b[_0x2c7e80(0x25c)]):_0x58b56b['toString']()});}):_0x257abb[_0x110a45(0xebe)][_0x110a45(0xbf7)]({'fields':_0x110a45(0x43c),'role':'agent','sort':_0x110a45(0x16b6),'nolimit':'true'})[_0x110a45(0x1d77)][_0x110a45(0x1cb0)](function(_0x3fd08e){const _0x4df08e=_0x110a45;_0x2ba7f3[_0x4df08e(0xc12)]=_0x3fd08e['rows']||[];})[_0x110a45(0x1cb0)](function(){const _0x453d2e=_0x110a45;return _0x257abb[_0x453d2e(0x2199)][_0x453d2e(0xbf7)]({'userProfileId':_0x2ba7f3[_0x453d2e(0xe76)][_0x453d2e(0x13c1)],'sectionId':0xca})[_0x453d2e(0x1d77)];})[_0x110a45(0x1cb0)](function(_0x47eb96){const _0xe8ec19=_0x110a45,_0x3b5bcd=_0x47eb96&&_0x47eb96[_0xe8ec19(0x2214)]?_0x47eb96[_0xe8ec19(0x2214)][0x0]:null;if(!_0x3b5bcd){const _0xe8d3b=[];let _0x26d807=null;_0x2ba7f3[_0xe8ec19(0x1eff)]&&(_0x26d807=_0x39641b()[_0xe8ec19(0x13b4)](_0x2ba7f3[_0xe8ec19(0xc12)],{'name':_0x2ba7f3[_0xe8ec19(0x1eff)]['agent']}));for(let _0x270a41=0x0;_0x270a41<_0x2ba7f3[_0xe8ec19(0xc12)]['length'];_0x270a41++){_0x26d807&&_0x2ba7f3['agents'][_0x270a41]['id']===_0x26d807['id']&&(_0x2ba7f3[_0xe8ec19(0xc12)][_0x270a41]['canSelect']=![],_0xe8d3b[_0xe8ec19(0x2785)](_0x2ba7f3['agents'][_0x270a41]));}_0x2ba7f3['agents']=_0xe8d3b;}else{if(!_0x3b5bcd[_0xe8ec19(0x12f4)])return _0x257abb[_0xe8ec19(0x1198)]['get']({'sectionId':_0x3b5bcd['id']})[_0xe8ec19(0x1d77)][_0xe8ec19(0x1cb0)](function(_0x38acb4){const _0x41822c=_0xe8ec19,_0x4845a5=_0x39641b()[_0x41822c(0x1de2)](_0x38acb4[_0x41822c(0x2214)],function(_0x4709de){const _0x1d2e57=_0x41822c;return _0x39641b()[_0x1d2e57(0x13b4)](_0x2ba7f3[_0x1d2e57(0xc12)],{'id':_0x4709de['resourceId']});});let _0xc37fbb=null;_0x2ba7f3[_0x41822c(0x1eff)]&&(_0xc37fbb=_0x39641b()[_0x41822c(0x13b4)](_0x2ba7f3[_0x41822c(0xc12)],{'name':_0x2ba7f3['agent']['agent']}));if(_0xc37fbb&&!_0x39641b()['some'](_0x4845a5,['id',_0xc37fbb['id']])){const _0x22fb02=_0x39641b()[_0x41822c(0x13b4)](_0x2ba7f3['agents'],{'id':_0xc37fbb['id']});_0x22fb02['canSelect']=![],_0x4845a5['push'](_0x22fb02);}_0x2ba7f3['agents']=_0x4845a5;});}})[_0x110a45(0x1c4)](function(_0x594b8e){const _0x564aa7=_0x110a45;_0x41aa4d[_0x564aa7(0x218e)]({'title':_0x594b8e[_0x564aa7(0x291)]?_0x564aa7(0xeb9)+_0x594b8e[_0x564aa7(0x291)]+_0x564aa7(0x1657)+_0x594b8e[_0x564aa7(0xc22)]:_0x564aa7(0x2159),'msg':_0x594b8e[_0x564aa7(0x25c)]?JSON['stringify'](_0x594b8e[_0x564aa7(0x25c)]):_0x594b8e[_0x564aa7(0x147f)]()});});function _0x3eb323(){const _0x341d6c=_0x110a45;_0x2ba7f3[_0x341d6c(0x1a7c)]=[];const _0x14ad6e=[];_0x2ba7f3[_0x341d6c(0x1eff)][_0x341d6c(0x66a)]&&_0x2ba7f3[_0x341d6c(0x1eff)]['type']['toLowerCase']()===_0x341d6c(0x895)&&_0x2ba7f3[_0x341d6c(0x1eff)]['appType']===_0x341d6c(0x25f4)&&(_0x2ba7f3[_0x341d6c(0x1eff)][_0x341d6c(0x1340)]=_0x4a2351['cutdigits']?(_0x2ba7f3[_0x341d6c(0x1eff)][_0x341d6c(0x586)]||'')+_0x341d6c(0x19e4)+_0x4a2351[_0x341d6c(0x325)]+'}':(_0x2ba7f3[_0x341d6c(0x1eff)][_0x341d6c(0x586)]||'')+_0x341d6c(0xcdd),_0x4a2351['recordingFormat']!==_0x341d6c(0x13b1)?_0x2ba7f3['agent']['options'][_0x341d6c(0xd8a)](_0x341d6c(0x106a))<0x0&&(_0x2ba7f3['agent'][_0x341d6c(0x2224)]+=_0x341d6c(0x106a)):_0x2ba7f3['agent'][_0x341d6c(0x2224)]=_0x2ba7f3[_0x341d6c(0x1eff)]['options']['replace'](_0x341d6c(0x106a),''));const _0x48b9ae=_0x39641b()[_0x341d6c(0x13b4)](_0x2ba7f3[_0x341d6c(0xc12)],{'name':_0x2ba7f3[_0x341d6c(0x1eff)]['agent']});_0x48b9ae&&(_0x2ba7f3[_0x341d6c(0x1eff)][_0x341d6c(0x21ab)]=_0x48b9ae['id']);if(_0x2ba7f3[_0x341d6c(0x1eff)][_0x341d6c(0x299b)]&&_0x2ba7f3['agent'][_0x341d6c(0x299b)]==='custom'){}else switch((_0x2ba7f3['agent'][_0x341d6c(0x22e1)]||_0x2ba7f3['agent'][_0x341d6c(0x299b)])['toLowerCase']()){case'set':_0x2ba7f3[_0x341d6c(0x1eff)][_0x341d6c(0x28df)]=_0x2ba7f3[_0x341d6c(0x1eff)][_0x341d6c(0x16b6)]+'='+_0x2ba7f3['agent'][_0x341d6c(0x327)];break;case _0x341d6c(0x197c):break;default:_0x14ad6e[0x0]=_0x2ba7f3['agent'][_0x341d6c(0x1eff)],_0x14ad6e[0x1]=_0x2ba7f3[_0x341d6c(0x1eff)][_0x341d6c(0x1719)],_0x2ba7f3['agent'][_0x341d6c(0x28df)]=_0x14ad6e['join'](',');}_0x3f5d50(_0x2ba7f3[_0x341d6c(0x1eff)]);}function _0x3f5d50(_0x3b62c1){const _0x1bf7d3=_0x110a45;_0x379607[_0x1bf7d3(0x1426)](_0x3b62c1);}}const _0x4579a3=_0x5dfb7f;;_0x31a658[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q','toasty','chatWebsiteApp',_0x5537c6(0x226c),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x31a658(_0xea000,_0x53fcb6,_0x5c3e20,_0x948b3a,_0x3f2eee,_0x10d402,_0x531d99,_0xa5d1cb){const _0x43e42a=_0x5537c6,_0x30b474=this;_0x30b474['currentUser']=_0x531d99[_0x43e42a(0x21e8)](),_0x30b474['errors']=[],_0x30b474[_0x43e42a(0x1386)]='CHAT.EDIT_'+(_0x948b3a['appType']||_0x948b3a['app'])[_0x43e42a(0x2335)](),_0x30b474[_0x43e42a(0x123a)]=angular[_0x43e42a(0x17fe)](_0x948b3a),_0x30b474[_0x43e42a(0x1b1a)]=_0xa5d1cb,_0x30b474['hasModulePermissions']={};if(_0x30b474[_0x43e42a(0x123a)][_0x43e42a(0x28df)])switch(_0x30b474[_0x43e42a(0x123a)][_0x43e42a(0x299b)]?_0x30b474[_0x43e42a(0x123a)]['appType'][_0x43e42a(0x1680)]():_0x30b474['amazonlex'][_0x43e42a(0x22e1)]['toLowerCase']()){case _0x43e42a(0x197c):break;case'dialogflow':{const _0x48af97=_0x30b474[_0x43e42a(0x123a)][_0x43e42a(0x28df)][_0x43e42a(0xbe1)](',');_0x30b474['amazonlex'][_0x43e42a(0xee8)]=_0x48af97[0x0],_0x30b474[_0x43e42a(0x123a)][_0x43e42a(0x12b4)]=_0x48af97[0x1],_0x30b474[_0x43e42a(0x123a)][_0x43e42a(0x173a)]=_0x48af97[_0x43e42a(0x14cb)](0x2,_0x48af97[_0x43e42a(0xfd0)])['join'](',');}break;case _0x43e42a(0xece):{const _0x509d37=_0x30b474[_0x43e42a(0x123a)][_0x43e42a(0x28df)]['split'](',');_0x30b474[_0x43e42a(0x123a)]['projectId']=_0x509d37[0x0],_0x30b474[_0x43e42a(0x123a)]['clientEmail']=_0x509d37[0x1],_0x30b474[_0x43e42a(0x123a)][_0x43e42a(0x29d6)]=_0x509d37[0x2],_0x30b474[_0x43e42a(0x123a)][_0x43e42a(0x12b4)]=_0x509d37[0x3],_0x30b474[_0x43e42a(0x123a)][_0x43e42a(0x173a)]=_0x509d37[_0x43e42a(0x14cb)](0x4,_0x509d37[_0x43e42a(0xfd0)])[_0x43e42a(0x1f66)](',');}break;case'amazonlex':{const _0x285643=_0x30b474[_0x43e42a(0x123a)]['appdata'][_0x43e42a(0xbe1)](',');_0x30b474[_0x43e42a(0x123a)]['accesskeyid']=_0x285643[0x0],_0x30b474[_0x43e42a(0x123a)]['secretaccesskey']=_0x285643[0x1],_0x30b474[_0x43e42a(0x123a)][_0x43e42a(0xd50)]=_0x285643[0x2],_0x30b474['amazonlex'][_0x43e42a(0x1c7f)]=_0x285643[0x3],_0x30b474[_0x43e42a(0x123a)][_0x43e42a(0x173a)]=_0x285643[_0x43e42a(0x14cb)](0x4,_0x285643[_0x43e42a(0xfd0)])[_0x43e42a(0x1f66)](',');}break;case _0x43e42a(0x1f71):{const _0x5a5a2b=_0x30b474['amazonlex'][_0x43e42a(0x28df)][_0x43e42a(0xbe1)](',');_0x30b474[_0x43e42a(0x123a)]['times']=isNaN(_0x5a5a2b[0x0])?_0x5a5a2b[0x0]:parseInt(_0x5a5a2b[0x0],0xa),_0x30b474[_0x43e42a(0x123a)][_0x43e42a(0x19d3)]=_0x5a5a2b[_0x43e42a(0x14cb)](0x1,_0x5a5a2b[_0x43e42a(0xfd0)])[_0x43e42a(0x1f66)](',');}break;case _0x43e42a(0x155e):_0x30b474[_0x43e42a(0x123a)]['text']=_0x30b474[_0x43e42a(0x123a)][_0x43e42a(0x28df)];break;case _0x43e42a(0x19d1):_0x30b474[_0x43e42a(0x123a)][_0x43e42a(0x16b6)]=_0x30b474['amazonlex']['appdata'][_0x43e42a(0xbe1)]('=')[0x0],_0x30b474['amazonlex'][_0x43e42a(0x327)]=_0x30b474['amazonlex'][_0x43e42a(0x28df)]['split']('=')[0x1];break;case'agi':_0x30b474[_0x43e42a(0x123a)][_0x43e42a(0x12a7)]=_0x30b474[_0x43e42a(0x123a)]['appdata'];break;default:{const _0x40ad9f=_0x30b474[_0x43e42a(0x123a)][_0x43e42a(0x28df)][_0x43e42a(0xbe1)](',');_0x30b474[_0x43e42a(0x123a)][_0x43e42a(0x413)]=_0x39641b()[_0x43e42a(0xce9)](_0x40ad9f[0x0])?_0x40ad9f[0x0]:isNaN(_0x40ad9f[0x0])?_0x40ad9f[0x0]:parseInt(_0x40ad9f[0x0],0xa),_0x30b474[_0x43e42a(0x123a)]['secretaccesskey']=_0x39641b()[_0x43e42a(0xce9)](_0x40ad9f[0x1])?_0x40ad9f[0x1]:isNaN(_0x40ad9f[0x1])?_0x40ad9f[0x1]:parseInt(_0x40ad9f[0x1],0xa),_0x30b474[_0x43e42a(0x123a)][_0x43e42a(0xd50)]=_0x39641b()[_0x43e42a(0xce9)](_0x40ad9f[0x2])?_0x40ad9f[0x2]:isNaN(_0x40ad9f[0x2])?_0x40ad9f[0x2]:parseInt(_0x40ad9f[0x2],0xa),_0x30b474[_0x43e42a(0x123a)]['botname']=_0x39641b()[_0x43e42a(0xce9)](_0x40ad9f[0x3])?_0x40ad9f[0x3]:isNaN(_0x40ad9f[0x3])?_0x40ad9f[0x3]:parseInt(_0x40ad9f[0x3],0xa),_0x30b474[_0x43e42a(0x123a)][_0x43e42a(0x173a)]=_0x39641b()[_0x43e42a(0xce9)](_0x40ad9f[0x4])?_0x40ad9f[0x4]:isNaN(_0x40ad9f[0x4])?_0x40ad9f[0x4]:parseInt(_0x40ad9f[0x4],0xa);}break;}else _0x30b474[_0x43e42a(0x123a)][_0x43e42a(0xd50)]='us-east-1';_0x30b474['amazonlex']['type']&&_0x30b474[_0x43e42a(0x123a)][_0x43e42a(0x66a)][_0x43e42a(0x1680)]()===_0x43e42a(0x895)&&_0x30b474['amazonlex'][_0x43e42a(0x299b)]['toLowerCase']()==='outbounddial'&&(_0x30b474['amazonlex']['prefix']=_0x30b474[_0x43e42a(0x123a)][_0x43e42a(0x1340)]?_0x30b474[_0x43e42a(0x123a)][_0x43e42a(0x1340)][_0x43e42a(0xbe1)]('$')[0x0]:undefined,_0x30b474['amazonlex'][_0x43e42a(0x1e7c)]=_0x30b474['amazonlex'][_0x43e42a(0x2816)]?_0x43e42a(0x25f9)+_0x30b474[_0x43e42a(0x123a)][_0x43e42a(0x2816)]:undefined);_0x30b474[_0x43e42a(0x7b3)]=_0x11f009,_0x30b474[_0x43e42a(0xda0)]=_0x24aaed;function _0x11f009(){const _0xfb26a=_0x43e42a;_0x30b474[_0xfb26a(0x1a7c)]=[];const _0x3a66bc=[];_0x30b474[_0xfb26a(0x123a)][_0xfb26a(0x66a)]&&_0x30b474['amazonlex'][_0xfb26a(0x66a)][_0xfb26a(0x1680)]()===_0xfb26a(0x895)&&_0x30b474[_0xfb26a(0x123a)][_0xfb26a(0x299b)]===_0xfb26a(0x25f4)&&(_0x30b474['amazonlex'][_0xfb26a(0x1340)]=_0x3f2eee[_0xfb26a(0x325)]?(_0x30b474[_0xfb26a(0x123a)][_0xfb26a(0x586)]||'')+_0xfb26a(0x19e4)+_0x3f2eee[_0xfb26a(0x325)]+'}':(_0x30b474[_0xfb26a(0x123a)][_0xfb26a(0x586)]||'')+_0xfb26a(0xcdd),_0x3f2eee[_0xfb26a(0xf8d)]!==_0xfb26a(0x13b1)?_0x30b474[_0xfb26a(0x123a)][_0xfb26a(0x2224)][_0xfb26a(0xd8a)]('U(xcally-mixmonitor-context)')<0x0&&(_0x30b474['amazonlex'][_0xfb26a(0x2224)]+=_0xfb26a(0x106a)):_0x30b474[_0xfb26a(0x123a)]['options']=_0x30b474[_0xfb26a(0x123a)][_0xfb26a(0x2224)][_0xfb26a(0x288f)](_0xfb26a(0x106a),''));if(_0x30b474[_0xfb26a(0x123a)]['appType']&&_0x30b474[_0xfb26a(0x123a)][_0xfb26a(0x299b)]===_0xfb26a(0x197c)){}else switch((_0x30b474[_0xfb26a(0x123a)]['app']||_0x30b474[_0xfb26a(0x123a)][_0xfb26a(0x299b)])[_0xfb26a(0x1680)]()){case _0xfb26a(0x19d1):_0x30b474[_0xfb26a(0x123a)][_0xfb26a(0x28df)]=_0x30b474[_0xfb26a(0x123a)][_0xfb26a(0x16b6)]+'='+_0x30b474[_0xfb26a(0x123a)][_0xfb26a(0x327)];break;case'custom':break;default:_0x3a66bc[0x0]=_0x30b474[_0xfb26a(0x123a)][_0xfb26a(0x413)],_0x3a66bc[0x1]=_0x30b474['amazonlex']['secretaccesskey'],_0x3a66bc[0x2]=_0x30b474[_0xfb26a(0x123a)][_0xfb26a(0xd50)],_0x3a66bc[0x3]=_0x30b474[_0xfb26a(0x123a)]['botname'],_0x3a66bc[0x4]=_0x30b474[_0xfb26a(0x123a)][_0xfb26a(0x173a)],_0x30b474[_0xfb26a(0x123a)][_0xfb26a(0x28df)]=_0x3a66bc[_0xfb26a(0x1f66)](',');}_0x24aaed(_0x30b474[_0xfb26a(0x123a)]);}function _0x24aaed(_0x561bdb){const _0x20b1b8=_0x43e42a;_0xea000[_0x20b1b8(0x1426)](_0x561bdb);}}const _0x4ca663=_0x31a658;;_0x256238['$inject']=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x422),'chatWebsite','api',_0x5537c6(0x1774),'crudPermissions'];function _0x256238(_0x5e1b04,_0x55dd19,_0x2a5925,_0x34faf2,_0xcd523b,_0xd79ec0,_0x482261,_0x3d577d){const _0x1452c7=_0x5537c6,_0x1184b5=this;_0x1184b5[_0x1452c7(0xe76)]=_0x482261[_0x1452c7(0x21e8)](),_0x1184b5[_0x1452c7(0x1a7c)]=[],_0x1184b5[_0x1452c7(0x1386)]=_0x1452c7(0x1e59)+(_0x34faf2['appType']||_0x34faf2['app'])['toUpperCase'](),_0x1184b5[_0x1452c7(0x1f71)]=angular[_0x1452c7(0x17fe)](_0x34faf2),_0x1184b5[_0x1452c7(0x1b1a)]=_0x3d577d,_0x1184b5[_0x1452c7(0xf4c)]={};if(_0x1184b5[_0x1452c7(0x1f71)][_0x1452c7(0x28df)])switch(_0x1184b5[_0x1452c7(0x1f71)]['appType']?_0x1184b5[_0x1452c7(0x1f71)][_0x1452c7(0x299b)][_0x1452c7(0x1680)]():_0x1184b5['autoreply']['app'][_0x1452c7(0x1680)]()){case _0x1452c7(0x197c):break;case _0x1452c7(0x711):{const _0x476a0d=_0x1184b5['autoreply'][_0x1452c7(0x28df)][_0x1452c7(0xbe1)](',');_0x1184b5[_0x1452c7(0x1f71)]['key']=_0x476a0d[0x0],_0x1184b5[_0x1452c7(0x1f71)][_0x1452c7(0x12b4)]=_0x476a0d[0x1],_0x1184b5[_0x1452c7(0x1f71)][_0x1452c7(0x173a)]=_0x476a0d[_0x1452c7(0x14cb)](0x2,_0x476a0d[_0x1452c7(0xfd0)])['join'](',');}break;case _0x1452c7(0xece):{const _0x373fde=_0x1184b5[_0x1452c7(0x1f71)][_0x1452c7(0x28df)]['split'](',');_0x1184b5['autoreply'][_0x1452c7(0x2854)]=_0x373fde[0x0],_0x1184b5[_0x1452c7(0x1f71)][_0x1452c7(0x12bf)]=_0x373fde[0x1],_0x1184b5['autoreply'][_0x1452c7(0x29d6)]=_0x373fde[0x2],_0x1184b5['autoreply'][_0x1452c7(0x12b4)]=_0x373fde[0x3],_0x1184b5[_0x1452c7(0x1f71)][_0x1452c7(0x173a)]=_0x373fde[_0x1452c7(0x14cb)](0x4,_0x373fde['length'])['join'](',');}break;case'amazonlex':{const _0x13e760=_0x1184b5[_0x1452c7(0x1f71)]['appdata'][_0x1452c7(0xbe1)](',');_0x1184b5[_0x1452c7(0x1f71)]['accesskeyid']=_0x13e760[0x0],_0x1184b5[_0x1452c7(0x1f71)][_0x1452c7(0x21d9)]=_0x13e760[0x1],_0x1184b5[_0x1452c7(0x1f71)][_0x1452c7(0xd50)]=_0x13e760[0x2],_0x1184b5[_0x1452c7(0x1f71)][_0x1452c7(0x1c7f)]=_0x13e760[0x3],_0x1184b5['autoreply']['welcomemessage']=_0x13e760[_0x1452c7(0x14cb)](0x4,_0x13e760[_0x1452c7(0xfd0)])[_0x1452c7(0x1f66)](',');}break;case'autoreply':{const _0x52d441=_0x1184b5[_0x1452c7(0x1f71)]['appdata']['split'](',');_0x1184b5[_0x1452c7(0x1f71)][_0x1452c7(0x18a5)]=isNaN(_0x52d441[0x0])?_0x52d441[0x0]:parseInt(_0x52d441[0x0],0xa),_0x1184b5[_0x1452c7(0x1f71)][_0x1452c7(0x19d3)]=_0x52d441[_0x1452c7(0x14cb)](0x1,_0x52d441[_0x1452c7(0xfd0)])['join'](',');}break;case _0x1452c7(0x155e):_0x1184b5[_0x1452c7(0x1f71)][_0x1452c7(0x19d3)]=_0x1184b5[_0x1452c7(0x1f71)][_0x1452c7(0x28df)];break;case _0x1452c7(0x19d1):_0x1184b5[_0x1452c7(0x1f71)]['name']=_0x1184b5[_0x1452c7(0x1f71)][_0x1452c7(0x28df)]['split']('=')[0x0],_0x1184b5[_0x1452c7(0x1f71)][_0x1452c7(0x327)]=_0x1184b5[_0x1452c7(0x1f71)][_0x1452c7(0x28df)]['split']('=')[0x1];break;case'agi':_0x1184b5['autoreply']['project']=_0x1184b5['autoreply'][_0x1452c7(0x28df)];break;default:{const _0x1fcc35=_0x1184b5[_0x1452c7(0x1f71)][_0x1452c7(0x28df)][_0x1452c7(0xbe1)](',');_0x1184b5[_0x1452c7(0x1f71)][_0x1452c7(0x18a5)]=_0x39641b()[_0x1452c7(0xce9)](_0x1fcc35[0x0])?_0x1fcc35[0x0]:isNaN(_0x1fcc35[0x0])?_0x1fcc35[0x0]:parseInt(_0x1fcc35[0x0],0xa),_0x1184b5['autoreply'][_0x1452c7(0x19d3)]=_0x39641b()[_0x1452c7(0xce9)](_0x1fcc35[0x1])?_0x1fcc35[0x1]:isNaN(_0x1fcc35[0x1])?_0x1fcc35[0x1]:parseInt(_0x1fcc35[0x1],0xa);}break;}else _0x1184b5['autoreply'][_0x1452c7(0x18a5)]=0x1;_0x1184b5[_0x1452c7(0x1f71)][_0x1452c7(0x66a)]&&_0x1184b5['autoreply'][_0x1452c7(0x66a)][_0x1452c7(0x1680)]()===_0x1452c7(0x895)&&_0x1184b5[_0x1452c7(0x1f71)]['appType'][_0x1452c7(0x1680)]()===_0x1452c7(0x20ff)&&(_0x1184b5[_0x1452c7(0x1f71)][_0x1452c7(0x586)]=_0x1184b5[_0x1452c7(0x1f71)][_0x1452c7(0x1340)]?_0x1184b5[_0x1452c7(0x1f71)]['phone'][_0x1452c7(0xbe1)]('$')[0x0]:undefined,_0x1184b5[_0x1452c7(0x1f71)][_0x1452c7(0x1e7c)]=_0x1184b5[_0x1452c7(0x1f71)][_0x1452c7(0x2816)]?_0x1452c7(0x25f9)+_0x1184b5[_0x1452c7(0x1f71)][_0x1452c7(0x2816)]:undefined);_0x1184b5[_0x1452c7(0x7b3)]=_0x368655,_0x1184b5[_0x1452c7(0xda0)]=_0x4109e3;function _0x368655(){const _0x353f76=_0x1452c7;_0x1184b5[_0x353f76(0x1a7c)]=[];const _0x544b8e=[];_0x1184b5[_0x353f76(0x1f71)][_0x353f76(0x66a)]&&_0x1184b5[_0x353f76(0x1f71)][_0x353f76(0x66a)][_0x353f76(0x1680)]()===_0x353f76(0x895)&&_0x1184b5[_0x353f76(0x1f71)][_0x353f76(0x299b)]===_0x353f76(0x25f4)&&(_0x1184b5[_0x353f76(0x1f71)]['phone']=_0xcd523b['cutdigits']?(_0x1184b5[_0x353f76(0x1f71)][_0x353f76(0x586)]||'')+'${EXTEN:'+_0xcd523b['cutdigits']+'}':(_0x1184b5['autoreply']['prefix']||'')+_0x353f76(0xcdd),_0xcd523b[_0x353f76(0xf8d)]!==_0x353f76(0x13b1)?_0x1184b5[_0x353f76(0x1f71)]['options'][_0x353f76(0xd8a)](_0x353f76(0x106a))<0x0&&(_0x1184b5[_0x353f76(0x1f71)][_0x353f76(0x2224)]+=_0x353f76(0x106a)):_0x1184b5[_0x353f76(0x1f71)]['options']=_0x1184b5[_0x353f76(0x1f71)][_0x353f76(0x2224)][_0x353f76(0x288f)](_0x353f76(0x106a),''));if(_0x1184b5[_0x353f76(0x1f71)][_0x353f76(0x299b)]&&_0x1184b5['autoreply']['appType']===_0x353f76(0x197c)){}else switch((_0x1184b5[_0x353f76(0x1f71)][_0x353f76(0x22e1)]||_0x1184b5['autoreply']['appType'])['toLowerCase']()){case _0x353f76(0x19d1):_0x1184b5[_0x353f76(0x1f71)][_0x353f76(0x28df)]=_0x1184b5[_0x353f76(0x1f71)][_0x353f76(0x16b6)]+'='+_0x1184b5[_0x353f76(0x1f71)]['value'];break;case'custom':break;default:_0x544b8e[0x0]=_0x1184b5[_0x353f76(0x1f71)][_0x353f76(0x18a5)],_0x544b8e[0x1]=_0x1184b5[_0x353f76(0x1f71)][_0x353f76(0x19d3)],_0x1184b5[_0x353f76(0x1f71)][_0x353f76(0x28df)]=_0x544b8e[_0x353f76(0x1f66)](',');}_0x4109e3(_0x1184b5[_0x353f76(0x1f71)]);}function _0x4109e3(_0x322852){const _0x458899=_0x1452c7;_0x5e1b04[_0x458899(0x1426)](_0x322852);}}const _0x5b3f4a=_0x256238;;_0x14e9e5[_0x5537c6(0x15b6)]=['$mdDialog','$q','toasty',_0x5537c6(0x422),'chatWebsite','api',_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x14e9e5(_0x2c55e4,_0x28da45,_0x87a429,_0x18f1f2,_0x30f14a,_0x9c1b8b,_0x3d59f5,_0x248372){const _0x32bbc8=_0x5537c6,_0x28d98a=this;_0x28d98a[_0x32bbc8(0xe76)]=_0x3d59f5[_0x32bbc8(0x21e8)](),_0x28d98a[_0x32bbc8(0x1a7c)]=[],_0x28d98a[_0x32bbc8(0x1386)]=_0x32bbc8(0x1e59)+(_0x18f1f2[_0x32bbc8(0x299b)]||_0x18f1f2[_0x32bbc8(0x22e1)])[_0x32bbc8(0x2335)](),_0x28d98a['close']=angular[_0x32bbc8(0x17fe)](_0x18f1f2),_0x28d98a[_0x32bbc8(0x1b1a)]=_0x248372,_0x28d98a['hasModulePermissions']={};if(_0x28d98a['close']['appdata'])switch(_0x28d98a[_0x32bbc8(0xf3b)]['appType']?_0x28d98a[_0x32bbc8(0xf3b)]['appType'][_0x32bbc8(0x1680)]():_0x28d98a[_0x32bbc8(0xf3b)][_0x32bbc8(0x22e1)][_0x32bbc8(0x1680)]()){case _0x32bbc8(0x197c):break;case'dialogflow':{const _0x3030e6=_0x28d98a['close'][_0x32bbc8(0x28df)]['split'](',');_0x28d98a[_0x32bbc8(0xf3b)][_0x32bbc8(0xee8)]=_0x3030e6[0x0],_0x28d98a['close']['language']=_0x3030e6[0x1],_0x28d98a[_0x32bbc8(0xf3b)][_0x32bbc8(0x173a)]=_0x3030e6[_0x32bbc8(0x14cb)](0x2,_0x3030e6[_0x32bbc8(0xfd0)])[_0x32bbc8(0x1f66)](',');}break;case _0x32bbc8(0xece):{const _0x2c7964=_0x28d98a[_0x32bbc8(0xf3b)][_0x32bbc8(0x28df)]['split'](',');_0x28d98a['close'][_0x32bbc8(0x2854)]=_0x2c7964[0x0],_0x28d98a[_0x32bbc8(0xf3b)][_0x32bbc8(0x12bf)]=_0x2c7964[0x1],_0x28d98a[_0x32bbc8(0xf3b)][_0x32bbc8(0x29d6)]=_0x2c7964[0x2],_0x28d98a['close'][_0x32bbc8(0x12b4)]=_0x2c7964[0x3],_0x28d98a[_0x32bbc8(0xf3b)]['welcomemessage']=_0x2c7964[_0x32bbc8(0x14cb)](0x4,_0x2c7964[_0x32bbc8(0xfd0)])[_0x32bbc8(0x1f66)](',');}break;case'amazonlex':{const _0x4f6292=_0x28d98a['close'][_0x32bbc8(0x28df)][_0x32bbc8(0xbe1)](',');_0x28d98a[_0x32bbc8(0xf3b)][_0x32bbc8(0x413)]=_0x4f6292[0x0],_0x28d98a[_0x32bbc8(0xf3b)]['secretaccesskey']=_0x4f6292[0x1],_0x28d98a['close'][_0x32bbc8(0xd50)]=_0x4f6292[0x2],_0x28d98a[_0x32bbc8(0xf3b)]['botname']=_0x4f6292[0x3],_0x28d98a[_0x32bbc8(0xf3b)][_0x32bbc8(0x173a)]=_0x4f6292[_0x32bbc8(0x14cb)](0x4,_0x4f6292[_0x32bbc8(0xfd0)])[_0x32bbc8(0x1f66)](',');}break;case _0x32bbc8(0x1f71):{const _0x5cef9c=_0x28d98a['close'][_0x32bbc8(0x28df)]['split'](',');_0x28d98a[_0x32bbc8(0xf3b)][_0x32bbc8(0x18a5)]=isNaN(_0x5cef9c[0x0])?_0x5cef9c[0x0]:parseInt(_0x5cef9c[0x0],0xa),_0x28d98a[_0x32bbc8(0xf3b)][_0x32bbc8(0x19d3)]=_0x5cef9c[_0x32bbc8(0x14cb)](0x1,_0x5cef9c['length'])['join'](',');}break;case'message':_0x28d98a[_0x32bbc8(0xf3b)][_0x32bbc8(0x19d3)]=_0x28d98a[_0x32bbc8(0xf3b)][_0x32bbc8(0x28df)];break;case _0x32bbc8(0x19d1):_0x28d98a[_0x32bbc8(0xf3b)][_0x32bbc8(0x16b6)]=_0x28d98a['close'][_0x32bbc8(0x28df)][_0x32bbc8(0xbe1)]('=')[0x0],_0x28d98a[_0x32bbc8(0xf3b)][_0x32bbc8(0x327)]=_0x28d98a[_0x32bbc8(0xf3b)]['appdata'][_0x32bbc8(0xbe1)]('=')[0x1];break;case _0x32bbc8(0x4b4):_0x28d98a[_0x32bbc8(0xf3b)][_0x32bbc8(0x12a7)]=_0x28d98a[_0x32bbc8(0xf3b)][_0x32bbc8(0x28df)];break;default:{const _0xa16d48=_0x28d98a[_0x32bbc8(0xf3b)][_0x32bbc8(0x28df)][_0x32bbc8(0xbe1)](',');_0x28d98a[_0x32bbc8(0xf3b)][_0x32bbc8(0x1746)]=_0x39641b()[_0x32bbc8(0xce9)](_0xa16d48[0x0])?_0xa16d48[0x0]:isNaN(_0xa16d48[0x0])?_0xa16d48[0x0]:parseInt(_0xa16d48[0x0],0xa);}break;}else{}_0x28d98a[_0x32bbc8(0xf3b)]['type']&&_0x28d98a[_0x32bbc8(0xf3b)][_0x32bbc8(0x66a)][_0x32bbc8(0x1680)]()===_0x32bbc8(0x895)&&_0x28d98a[_0x32bbc8(0xf3b)]['appType'][_0x32bbc8(0x1680)]()==='outbounddial'&&(_0x28d98a[_0x32bbc8(0xf3b)][_0x32bbc8(0x586)]=_0x28d98a['close'][_0x32bbc8(0x1340)]?_0x28d98a[_0x32bbc8(0xf3b)][_0x32bbc8(0x1340)][_0x32bbc8(0xbe1)]('$')[0x0]:undefined,_0x28d98a[_0x32bbc8(0xf3b)]['callerId']=_0x28d98a['close'][_0x32bbc8(0x2816)]?_0x32bbc8(0x25f9)+_0x28d98a[_0x32bbc8(0xf3b)]['callerID']:undefined);_0x28d98a[_0x32bbc8(0x7b3)]=_0xfdc62,_0x28d98a[_0x32bbc8(0xda0)]=_0x5ae73f;function _0xfdc62(){const _0x174df1=_0x32bbc8;_0x28d98a[_0x174df1(0x1a7c)]=[];const _0x5425ce=[];_0x28d98a[_0x174df1(0xf3b)][_0x174df1(0x66a)]&&_0x28d98a[_0x174df1(0xf3b)][_0x174df1(0x66a)][_0x174df1(0x1680)]()==='outbound'&&_0x28d98a['close'][_0x174df1(0x299b)]===_0x174df1(0x25f4)&&(_0x28d98a['close'][_0x174df1(0x1340)]=_0x30f14a['cutdigits']?(_0x28d98a[_0x174df1(0xf3b)][_0x174df1(0x586)]||'')+_0x174df1(0x19e4)+_0x30f14a['cutdigits']+'}':(_0x28d98a[_0x174df1(0xf3b)][_0x174df1(0x586)]||'')+_0x174df1(0xcdd),_0x30f14a['recordingFormat']!==_0x174df1(0x13b1)?_0x28d98a[_0x174df1(0xf3b)][_0x174df1(0x2224)][_0x174df1(0xd8a)](_0x174df1(0x106a))<0x0&&(_0x28d98a[_0x174df1(0xf3b)][_0x174df1(0x2224)]+=_0x174df1(0x106a)):_0x28d98a[_0x174df1(0xf3b)][_0x174df1(0x2224)]=_0x28d98a[_0x174df1(0xf3b)][_0x174df1(0x2224)]['replace']('U(xcally-mixmonitor-context)',''));if(_0x28d98a[_0x174df1(0xf3b)][_0x174df1(0x299b)]&&_0x28d98a[_0x174df1(0xf3b)][_0x174df1(0x299b)]===_0x174df1(0x197c)){}else switch((_0x28d98a[_0x174df1(0xf3b)][_0x174df1(0x22e1)]||_0x28d98a[_0x174df1(0xf3b)]['appType'])['toLowerCase']()){case _0x174df1(0x19d1):_0x28d98a[_0x174df1(0xf3b)][_0x174df1(0x28df)]=_0x28d98a[_0x174df1(0xf3b)][_0x174df1(0x16b6)]+'='+_0x28d98a['close'][_0x174df1(0x327)];break;case'custom':break;default:_0x5425ce[0x0]=_0x28d98a[_0x174df1(0xf3b)][_0x174df1(0x1746)],_0x28d98a[_0x174df1(0xf3b)]['appdata']=_0x5425ce[_0x174df1(0x1f66)](',');}_0x5ae73f(_0x28d98a[_0x174df1(0xf3b)]);}function _0x5ae73f(_0x3f3251){const _0x4f3242=_0x32bbc8;_0x2c55e4[_0x4f3242(0x1426)](_0x3f3251);}}const _0x2a383f=_0x14e9e5;;_0xe9f7c4[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x422),_0x5537c6(0x226c),_0x5537c6(0x142b),_0x5537c6(0x1774),'crudPermissions'];function _0xe9f7c4(_0x17923d,_0x2ddbd3,_0x225183,_0x35e362,_0x445bcc,_0x3db756,_0x46ea09,_0x30c66b){const _0xbf7594=_0x5537c6,_0x28d8e2=this;_0x28d8e2[_0xbf7594(0xe76)]=_0x46ea09[_0xbf7594(0x21e8)](),_0x28d8e2[_0xbf7594(0x1a7c)]=[],_0x28d8e2[_0xbf7594(0x1386)]='CHAT.EDIT_'+(_0x35e362['appType']||_0x35e362[_0xbf7594(0x22e1)])['toUpperCase'](),_0x28d8e2['dialogflow']=angular[_0xbf7594(0x17fe)](_0x35e362),_0x28d8e2[_0xbf7594(0x1b1a)]=_0x30c66b,_0x28d8e2[_0xbf7594(0xf4c)]={};if(_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0x28df)])switch(_0x28d8e2[_0xbf7594(0x711)]['appType']?_0x28d8e2[_0xbf7594(0x711)]['appType']['toLowerCase']():_0x28d8e2['dialogflow'][_0xbf7594(0x22e1)][_0xbf7594(0x1680)]()){case'custom':break;case'dialogflow':{const _0x5391c5=_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0x28df)]['split'](',');_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0xee8)]=_0x5391c5[0x0],_0x28d8e2['dialogflow']['language']=_0x5391c5[0x1],_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0x173a)]=_0x5391c5['slice'](0x2,_0x5391c5[_0xbf7594(0xfd0)])['join'](',');}break;case _0xbf7594(0xece):{const _0x4da61f=_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0x28df)][_0xbf7594(0xbe1)](',');_0x28d8e2['dialogflow'][_0xbf7594(0x2854)]=_0x4da61f[0x0],_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0x12bf)]=_0x4da61f[0x1],_0x28d8e2['dialogflow'][_0xbf7594(0x29d6)]=_0x4da61f[0x2],_0x28d8e2['dialogflow'][_0xbf7594(0x12b4)]=_0x4da61f[0x3],_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0x173a)]=_0x4da61f[_0xbf7594(0x14cb)](0x4,_0x4da61f[_0xbf7594(0xfd0)])['join'](',');}break;case _0xbf7594(0x123a):{const _0x1a4851=_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0x28df)]['split'](',');_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0x413)]=_0x1a4851[0x0],_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0x21d9)]=_0x1a4851[0x1],_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0xd50)]=_0x1a4851[0x2],_0x28d8e2['dialogflow'][_0xbf7594(0x1c7f)]=_0x1a4851[0x3],_0x28d8e2['dialogflow'][_0xbf7594(0x173a)]=_0x1a4851[_0xbf7594(0x14cb)](0x4,_0x1a4851[_0xbf7594(0xfd0)])[_0xbf7594(0x1f66)](',');}break;case _0xbf7594(0x1f71):{const _0x344c15=_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0x28df)]['split'](',');_0x28d8e2[_0xbf7594(0x711)]['times']=isNaN(_0x344c15[0x0])?_0x344c15[0x0]:parseInt(_0x344c15[0x0],0xa),_0x28d8e2['dialogflow'][_0xbf7594(0x19d3)]=_0x344c15[_0xbf7594(0x14cb)](0x1,_0x344c15[_0xbf7594(0xfd0)])[_0xbf7594(0x1f66)](',');}break;case _0xbf7594(0x155e):_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0x19d3)]=_0x28d8e2['dialogflow'][_0xbf7594(0x28df)];break;case _0xbf7594(0x19d1):_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0x16b6)]=_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0x28df)][_0xbf7594(0xbe1)]('=')[0x0],_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0x327)]=_0x28d8e2[_0xbf7594(0x711)]['appdata'][_0xbf7594(0xbe1)]('=')[0x1];break;case _0xbf7594(0x4b4):_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0x12a7)]=_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0x28df)];break;default:{const _0x39403a=_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0x28df)]['split'](',');_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0xee8)]=_0x39641b()[_0xbf7594(0xce9)](_0x39403a[0x0])?_0x39403a[0x0]:isNaN(_0x39403a[0x0])?_0x39403a[0x0]:parseInt(_0x39403a[0x0],0xa),_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0x12b4)]=_0x39641b()[_0xbf7594(0xce9)](_0x39403a[0x1])?_0x39403a[0x1]:isNaN(_0x39403a[0x1])?_0x39403a[0x1]:parseInt(_0x39403a[0x1],0xa),_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0x173a)]=_0x39641b()['isEmpty'](_0x39403a[0x2])?_0x39403a[0x2]:isNaN(_0x39403a[0x2])?_0x39403a[0x2]:parseInt(_0x39403a[0x2],0xa);}break;}else _0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0x12b4)]='en';_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0x66a)]&&_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0x66a)][_0xbf7594(0x1680)]()===_0xbf7594(0x895)&&_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0x299b)][_0xbf7594(0x1680)]()===_0xbf7594(0x20ff)&&(_0x28d8e2['dialogflow'][_0xbf7594(0x586)]=_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0x1340)]?_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0x1340)][_0xbf7594(0xbe1)]('$')[0x0]:undefined,_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0x1e7c)]=_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0x2816)]?_0xbf7594(0x25f9)+_0x28d8e2[_0xbf7594(0x711)][_0xbf7594(0x2816)]:undefined);_0x28d8e2[_0xbf7594(0x7b3)]=_0x425d17,_0x28d8e2['closeDialog']=_0x57187c;function _0x425d17(){const _0x4d254f=_0xbf7594;_0x28d8e2[_0x4d254f(0x1a7c)]=[];const _0x19455f=[];_0x28d8e2[_0x4d254f(0x711)][_0x4d254f(0x66a)]&&_0x28d8e2[_0x4d254f(0x711)][_0x4d254f(0x66a)][_0x4d254f(0x1680)]()===_0x4d254f(0x895)&&_0x28d8e2[_0x4d254f(0x711)]['appType']===_0x4d254f(0x25f4)&&(_0x28d8e2['dialogflow']['phone']=_0x445bcc['cutdigits']?(_0x28d8e2['dialogflow'][_0x4d254f(0x586)]||'')+_0x4d254f(0x19e4)+_0x445bcc[_0x4d254f(0x325)]+'}':(_0x28d8e2[_0x4d254f(0x711)][_0x4d254f(0x586)]||'')+_0x4d254f(0xcdd),_0x445bcc[_0x4d254f(0xf8d)]!==_0x4d254f(0x13b1)?_0x28d8e2[_0x4d254f(0x711)]['options'][_0x4d254f(0xd8a)]('U(xcally-mixmonitor-context)')<0x0&&(_0x28d8e2[_0x4d254f(0x711)][_0x4d254f(0x2224)]+='U(xcally-mixmonitor-context)'):_0x28d8e2[_0x4d254f(0x711)][_0x4d254f(0x2224)]=_0x28d8e2[_0x4d254f(0x711)][_0x4d254f(0x2224)]['replace']('U(xcally-mixmonitor-context)',''));if(_0x28d8e2[_0x4d254f(0x711)][_0x4d254f(0x299b)]&&_0x28d8e2[_0x4d254f(0x711)][_0x4d254f(0x299b)]==='custom'){}else switch((_0x28d8e2['dialogflow']['app']||_0x28d8e2[_0x4d254f(0x711)][_0x4d254f(0x299b)])[_0x4d254f(0x1680)]()){case _0x4d254f(0x19d1):_0x28d8e2['dialogflow'][_0x4d254f(0x28df)]=_0x28d8e2[_0x4d254f(0x711)][_0x4d254f(0x16b6)]+'='+_0x28d8e2[_0x4d254f(0x711)][_0x4d254f(0x327)];break;case _0x4d254f(0x197c):break;default:_0x19455f[0x0]=_0x28d8e2[_0x4d254f(0x711)][_0x4d254f(0xee8)],_0x19455f[0x1]=_0x28d8e2[_0x4d254f(0x711)][_0x4d254f(0x12b4)],_0x19455f[0x2]=_0x28d8e2[_0x4d254f(0x711)]['welcomemessage'],_0x28d8e2[_0x4d254f(0x711)][_0x4d254f(0x28df)]=_0x19455f[_0x4d254f(0x1f66)](',');}_0x57187c(_0x28d8e2[_0x4d254f(0x711)]);}function _0x57187c(_0x273d3d){const _0x550ab4=_0xbf7594;_0x17923d[_0x550ab4(0x1426)](_0x273d3d);}}const _0x10fc86=_0xe9f7c4;;_0x3bdf73['$inject']=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),'chatWebsiteApp',_0x5537c6(0x226c),_0x5537c6(0x142b),'Auth','crudPermissions'];function _0x3bdf73(_0x5e01ad,_0x57ff29,_0x4acf8e,_0x2c1d82,_0x504188,_0x4716ed,_0x1c25c7,_0x2ab2bc){const _0x5d47c8=_0x5537c6,_0x1df4c5=this;_0x1df4c5['currentUser']=_0x1c25c7[_0x5d47c8(0x21e8)](),_0x1df4c5[_0x5d47c8(0x1a7c)]=[],_0x1df4c5[_0x5d47c8(0x1386)]=_0x5d47c8(0x1e59)+(_0x2c1d82['appType']||_0x2c1d82['app'])['toUpperCase'](),_0x1df4c5[_0x5d47c8(0x13a0)]=angular['copy'](_0x2c1d82),_0x1df4c5['crudPermissions']=_0x2ab2bc,_0x1df4c5['hasModulePermissions']={};if(_0x1df4c5['gotoif']['appdata'])switch(_0x1df4c5['gotoif'][_0x5d47c8(0x299b)]?_0x1df4c5[_0x5d47c8(0x13a0)][_0x5d47c8(0x299b)]['toLowerCase']():_0x1df4c5[_0x5d47c8(0x13a0)]['app'][_0x5d47c8(0x1680)]()){case'custom':break;case _0x5d47c8(0x711):{const _0x5d24e8=_0x1df4c5[_0x5d47c8(0x13a0)]['appdata'][_0x5d47c8(0xbe1)](',');_0x1df4c5[_0x5d47c8(0x13a0)]['key']=_0x5d24e8[0x0],_0x1df4c5[_0x5d47c8(0x13a0)]['language']=_0x5d24e8[0x1],_0x1df4c5[_0x5d47c8(0x13a0)][_0x5d47c8(0x173a)]=_0x5d24e8[_0x5d47c8(0x14cb)](0x2,_0x5d24e8[_0x5d47c8(0xfd0)])[_0x5d47c8(0x1f66)](',');}break;case _0x5d47c8(0xece):{const _0x9b9fce=_0x1df4c5[_0x5d47c8(0x13a0)]['appdata'][_0x5d47c8(0xbe1)](',');_0x1df4c5[_0x5d47c8(0x13a0)]['projectId']=_0x9b9fce[0x0],_0x1df4c5['gotoif'][_0x5d47c8(0x12bf)]=_0x9b9fce[0x1],_0x1df4c5['gotoif'][_0x5d47c8(0x29d6)]=_0x9b9fce[0x2],_0x1df4c5[_0x5d47c8(0x13a0)]['language']=_0x9b9fce[0x3],_0x1df4c5['gotoif'][_0x5d47c8(0x173a)]=_0x9b9fce[_0x5d47c8(0x14cb)](0x4,_0x9b9fce[_0x5d47c8(0xfd0)])[_0x5d47c8(0x1f66)](',');}break;case'amazonlex':{const _0x7b5f10=_0x1df4c5['gotoif'][_0x5d47c8(0x28df)][_0x5d47c8(0xbe1)](',');_0x1df4c5[_0x5d47c8(0x13a0)][_0x5d47c8(0x413)]=_0x7b5f10[0x0],_0x1df4c5[_0x5d47c8(0x13a0)][_0x5d47c8(0x21d9)]=_0x7b5f10[0x1],_0x1df4c5[_0x5d47c8(0x13a0)][_0x5d47c8(0xd50)]=_0x7b5f10[0x2],_0x1df4c5['gotoif'][_0x5d47c8(0x1c7f)]=_0x7b5f10[0x3],_0x1df4c5[_0x5d47c8(0x13a0)][_0x5d47c8(0x173a)]=_0x7b5f10[_0x5d47c8(0x14cb)](0x4,_0x7b5f10[_0x5d47c8(0xfd0)])[_0x5d47c8(0x1f66)](',');}break;case _0x5d47c8(0x1f71):{const _0xca9de0=_0x1df4c5[_0x5d47c8(0x13a0)]['appdata']['split'](',');_0x1df4c5['gotoif'][_0x5d47c8(0x18a5)]=isNaN(_0xca9de0[0x0])?_0xca9de0[0x0]:parseInt(_0xca9de0[0x0],0xa),_0x1df4c5[_0x5d47c8(0x13a0)][_0x5d47c8(0x19d3)]=_0xca9de0[_0x5d47c8(0x14cb)](0x1,_0xca9de0[_0x5d47c8(0xfd0)])[_0x5d47c8(0x1f66)](',');}break;case _0x5d47c8(0x155e):_0x1df4c5[_0x5d47c8(0x13a0)][_0x5d47c8(0x19d3)]=_0x1df4c5[_0x5d47c8(0x13a0)][_0x5d47c8(0x28df)];break;case _0x5d47c8(0x19d1):_0x1df4c5[_0x5d47c8(0x13a0)][_0x5d47c8(0x16b6)]=_0x1df4c5['gotoif']['appdata']['split']('=')[0x0],_0x1df4c5['gotoif'][_0x5d47c8(0x327)]=_0x1df4c5['gotoif'][_0x5d47c8(0x28df)][_0x5d47c8(0xbe1)]('=')[0x1];break;case'agi':_0x1df4c5[_0x5d47c8(0x13a0)][_0x5d47c8(0x12a7)]=_0x1df4c5[_0x5d47c8(0x13a0)]['appdata'];break;default:{const _0x579dab=_0x1df4c5[_0x5d47c8(0x13a0)][_0x5d47c8(0x28df)][_0x5d47c8(0xbe1)](',');_0x1df4c5['gotoif'][_0x5d47c8(0x166c)]=_0x39641b()['isEmpty'](_0x579dab[0x0])?_0x579dab[0x0]:isNaN(_0x579dab[0x0])?_0x579dab[0x0]:parseInt(_0x579dab[0x0],0xa),_0x1df4c5[_0x5d47c8(0x13a0)][_0x5d47c8(0xb24)]=_0x39641b()['isEmpty'](_0x579dab[0x1])?_0x579dab[0x1]:isNaN(_0x579dab[0x1])?_0x579dab[0x1]:parseInt(_0x579dab[0x1],0xa),_0x1df4c5[_0x5d47c8(0x13a0)][_0x5d47c8(0x17d)]=_0x39641b()[_0x5d47c8(0xce9)](_0x579dab[0x2])?_0x579dab[0x2]:isNaN(_0x579dab[0x2])?_0x579dab[0x2]:parseInt(_0x579dab[0x2],0xa);}break;}else{}_0x1df4c5['gotoif'][_0x5d47c8(0x66a)]&&_0x1df4c5[_0x5d47c8(0x13a0)][_0x5d47c8(0x66a)][_0x5d47c8(0x1680)]()===_0x5d47c8(0x895)&&_0x1df4c5['gotoif']['appType'][_0x5d47c8(0x1680)]()===_0x5d47c8(0x20ff)&&(_0x1df4c5[_0x5d47c8(0x13a0)][_0x5d47c8(0x586)]=_0x1df4c5[_0x5d47c8(0x13a0)]['phone']?_0x1df4c5[_0x5d47c8(0x13a0)]['phone'][_0x5d47c8(0xbe1)]('$')[0x0]:undefined,_0x1df4c5['gotoif'][_0x5d47c8(0x1e7c)]=_0x1df4c5[_0x5d47c8(0x13a0)][_0x5d47c8(0x2816)]?_0x5d47c8(0x25f9)+_0x1df4c5[_0x5d47c8(0x13a0)][_0x5d47c8(0x2816)]:undefined);_0x1df4c5[_0x5d47c8(0x7b3)]=_0x5d5d3e,_0x1df4c5[_0x5d47c8(0xda0)]=_0x20fafd;function _0x5d5d3e(){const _0x5e62ed=_0x5d47c8;_0x1df4c5[_0x5e62ed(0x1a7c)]=[];const _0x59e1d2=[];_0x1df4c5[_0x5e62ed(0x13a0)]['type']&&_0x1df4c5[_0x5e62ed(0x13a0)][_0x5e62ed(0x66a)][_0x5e62ed(0x1680)]()==='outbound'&&_0x1df4c5[_0x5e62ed(0x13a0)]['appType']==='outboundDial'&&(_0x1df4c5['gotoif'][_0x5e62ed(0x1340)]=_0x504188[_0x5e62ed(0x325)]?(_0x1df4c5['gotoif']['prefix']||'')+_0x5e62ed(0x19e4)+_0x504188[_0x5e62ed(0x325)]+'}':(_0x1df4c5[_0x5e62ed(0x13a0)][_0x5e62ed(0x586)]||'')+'${EXTEN}',_0x504188[_0x5e62ed(0xf8d)]!==_0x5e62ed(0x13b1)?_0x1df4c5[_0x5e62ed(0x13a0)][_0x5e62ed(0x2224)][_0x5e62ed(0xd8a)]('U(xcally-mixmonitor-context)')<0x0&&(_0x1df4c5[_0x5e62ed(0x13a0)][_0x5e62ed(0x2224)]+=_0x5e62ed(0x106a)):_0x1df4c5[_0x5e62ed(0x13a0)][_0x5e62ed(0x2224)]=_0x1df4c5[_0x5e62ed(0x13a0)][_0x5e62ed(0x2224)]['replace'](_0x5e62ed(0x106a),''));if(_0x1df4c5['gotoif'][_0x5e62ed(0x299b)]&&_0x1df4c5['gotoif'][_0x5e62ed(0x299b)]===_0x5e62ed(0x197c)){}else switch((_0x1df4c5[_0x5e62ed(0x13a0)][_0x5e62ed(0x22e1)]||_0x1df4c5[_0x5e62ed(0x13a0)][_0x5e62ed(0x299b)])[_0x5e62ed(0x1680)]()){case _0x5e62ed(0x19d1):_0x1df4c5[_0x5e62ed(0x13a0)]['appdata']=_0x1df4c5[_0x5e62ed(0x13a0)][_0x5e62ed(0x16b6)]+'='+_0x1df4c5[_0x5e62ed(0x13a0)][_0x5e62ed(0x327)];break;case _0x5e62ed(0x197c):break;default:_0x59e1d2[0x0]=_0x1df4c5['gotoif']['condition'],_0x59e1d2[0x1]=_0x1df4c5[_0x5e62ed(0x13a0)][_0x5e62ed(0xb24)],_0x59e1d2[0x2]=_0x1df4c5[_0x5e62ed(0x13a0)]['falsepriority'],_0x1df4c5['gotoif']['appdata']=_0x59e1d2[_0x5e62ed(0x1f66)](',');}_0x20fafd(_0x1df4c5['gotoif']);}function _0x20fafd(_0x42d3ba){_0x5e01ad['hide'](_0x42d3ba);}}const _0x6a385a=_0x3bdf73;;_0x159607['$inject']=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x422),_0x5537c6(0x226c),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x159607(_0x192db0,_0x52a4a6,_0x540892,_0x2a0e5f,_0x26fe9e,_0x1464a8,_0xaf10d,_0x4b4f2e){const _0x29e5e0=_0x5537c6,_0x4f0d29=this;_0x4f0d29[_0x29e5e0(0xe76)]=_0xaf10d[_0x29e5e0(0x21e8)](),_0x4f0d29[_0x29e5e0(0x1a7c)]=[],_0x4f0d29[_0x29e5e0(0x1386)]=_0x29e5e0(0x1e59)+(_0x2a0e5f[_0x29e5e0(0x299b)]||_0x2a0e5f[_0x29e5e0(0x22e1)])[_0x29e5e0(0x2335)](),_0x4f0d29[_0x29e5e0(0x265b)]=angular['copy'](_0x2a0e5f),_0x4f0d29[_0x29e5e0(0x1b1a)]=_0x4b4f2e,_0x4f0d29['hasModulePermissions']={};if(_0x4f0d29['gotop'][_0x29e5e0(0x28df)])switch(_0x4f0d29[_0x29e5e0(0x265b)][_0x29e5e0(0x299b)]?_0x4f0d29[_0x29e5e0(0x265b)][_0x29e5e0(0x299b)]['toLowerCase']():_0x4f0d29[_0x29e5e0(0x265b)][_0x29e5e0(0x22e1)]['toLowerCase']()){case _0x29e5e0(0x197c):break;case'dialogflow':{const _0x59e9a0=_0x4f0d29[_0x29e5e0(0x265b)]['appdata'][_0x29e5e0(0xbe1)](',');_0x4f0d29['gotop'][_0x29e5e0(0xee8)]=_0x59e9a0[0x0],_0x4f0d29[_0x29e5e0(0x265b)][_0x29e5e0(0x12b4)]=_0x59e9a0[0x1],_0x4f0d29[_0x29e5e0(0x265b)]['welcomemessage']=_0x59e9a0[_0x29e5e0(0x14cb)](0x2,_0x59e9a0[_0x29e5e0(0xfd0)])[_0x29e5e0(0x1f66)](',');}break;case _0x29e5e0(0xece):{const _0x462f71=_0x4f0d29[_0x29e5e0(0x265b)][_0x29e5e0(0x28df)]['split'](',');_0x4f0d29[_0x29e5e0(0x265b)][_0x29e5e0(0x2854)]=_0x462f71[0x0],_0x4f0d29[_0x29e5e0(0x265b)][_0x29e5e0(0x12bf)]=_0x462f71[0x1],_0x4f0d29[_0x29e5e0(0x265b)][_0x29e5e0(0x29d6)]=_0x462f71[0x2],_0x4f0d29[_0x29e5e0(0x265b)][_0x29e5e0(0x12b4)]=_0x462f71[0x3],_0x4f0d29['gotop'][_0x29e5e0(0x173a)]=_0x462f71['slice'](0x4,_0x462f71['length'])[_0x29e5e0(0x1f66)](',');}break;case'amazonlex':{const _0xa5627a=_0x4f0d29[_0x29e5e0(0x265b)][_0x29e5e0(0x28df)][_0x29e5e0(0xbe1)](',');_0x4f0d29[_0x29e5e0(0x265b)][_0x29e5e0(0x413)]=_0xa5627a[0x0],_0x4f0d29[_0x29e5e0(0x265b)][_0x29e5e0(0x21d9)]=_0xa5627a[0x1],_0x4f0d29['gotop'][_0x29e5e0(0xd50)]=_0xa5627a[0x2],_0x4f0d29[_0x29e5e0(0x265b)][_0x29e5e0(0x1c7f)]=_0xa5627a[0x3],_0x4f0d29[_0x29e5e0(0x265b)]['welcomemessage']=_0xa5627a['slice'](0x4,_0xa5627a['length'])[_0x29e5e0(0x1f66)](',');}break;case'autoreply':{const _0x190563=_0x4f0d29['gotop'][_0x29e5e0(0x28df)][_0x29e5e0(0xbe1)](',');_0x4f0d29[_0x29e5e0(0x265b)][_0x29e5e0(0x18a5)]=isNaN(_0x190563[0x0])?_0x190563[0x0]:parseInt(_0x190563[0x0],0xa),_0x4f0d29[_0x29e5e0(0x265b)]['text']=_0x190563[_0x29e5e0(0x14cb)](0x1,_0x190563['length'])['join'](',');}break;case _0x29e5e0(0x155e):_0x4f0d29['gotop'][_0x29e5e0(0x19d3)]=_0x4f0d29['gotop'][_0x29e5e0(0x28df)];break;case _0x29e5e0(0x19d1):_0x4f0d29[_0x29e5e0(0x265b)][_0x29e5e0(0x16b6)]=_0x4f0d29[_0x29e5e0(0x265b)][_0x29e5e0(0x28df)][_0x29e5e0(0xbe1)]('=')[0x0],_0x4f0d29[_0x29e5e0(0x265b)][_0x29e5e0(0x327)]=_0x4f0d29[_0x29e5e0(0x265b)][_0x29e5e0(0x28df)][_0x29e5e0(0xbe1)]('=')[0x1];break;case'agi':_0x4f0d29['gotop'][_0x29e5e0(0x12a7)]=_0x4f0d29[_0x29e5e0(0x265b)][_0x29e5e0(0x28df)];break;default:{const _0x4f944f=_0x4f0d29[_0x29e5e0(0x265b)][_0x29e5e0(0x28df)][_0x29e5e0(0xbe1)](',');_0x4f0d29[_0x29e5e0(0x265b)]['priority']=_0x39641b()['isEmpty'](_0x4f944f[0x0])?_0x4f944f[0x0]:isNaN(_0x4f944f[0x0])?_0x4f944f[0x0]:parseInt(_0x4f944f[0x0],0xa);}break;}else{}_0x4f0d29[_0x29e5e0(0x265b)][_0x29e5e0(0x66a)]&&_0x4f0d29['gotop']['type'][_0x29e5e0(0x1680)]()==='outbound'&&_0x4f0d29['gotop'][_0x29e5e0(0x299b)][_0x29e5e0(0x1680)]()===_0x29e5e0(0x20ff)&&(_0x4f0d29['gotop'][_0x29e5e0(0x586)]=_0x4f0d29['gotop'][_0x29e5e0(0x1340)]?_0x4f0d29[_0x29e5e0(0x265b)][_0x29e5e0(0x1340)]['split']('$')[0x0]:undefined,_0x4f0d29[_0x29e5e0(0x265b)][_0x29e5e0(0x1e7c)]=_0x4f0d29['gotop']['callerID']?_0x29e5e0(0x25f9)+_0x4f0d29[_0x29e5e0(0x265b)][_0x29e5e0(0x2816)]:undefined);_0x4f0d29[_0x29e5e0(0x7b3)]=_0x3231eb,_0x4f0d29[_0x29e5e0(0xda0)]=_0x36b965;function _0x3231eb(){const _0x26fc84=_0x29e5e0;_0x4f0d29[_0x26fc84(0x1a7c)]=[];const _0x275a59=[];_0x4f0d29[_0x26fc84(0x265b)][_0x26fc84(0x66a)]&&_0x4f0d29[_0x26fc84(0x265b)]['type'][_0x26fc84(0x1680)]()===_0x26fc84(0x895)&&_0x4f0d29[_0x26fc84(0x265b)][_0x26fc84(0x299b)]===_0x26fc84(0x25f4)&&(_0x4f0d29[_0x26fc84(0x265b)][_0x26fc84(0x1340)]=_0x26fe9e['cutdigits']?(_0x4f0d29[_0x26fc84(0x265b)]['prefix']||'')+_0x26fc84(0x19e4)+_0x26fe9e[_0x26fc84(0x325)]+'}':(_0x4f0d29[_0x26fc84(0x265b)][_0x26fc84(0x586)]||'')+_0x26fc84(0xcdd),_0x26fe9e[_0x26fc84(0xf8d)]!==_0x26fc84(0x13b1)?_0x4f0d29[_0x26fc84(0x265b)][_0x26fc84(0x2224)][_0x26fc84(0xd8a)](_0x26fc84(0x106a))<0x0&&(_0x4f0d29[_0x26fc84(0x265b)][_0x26fc84(0x2224)]+=_0x26fc84(0x106a)):_0x4f0d29['gotop'][_0x26fc84(0x2224)]=_0x4f0d29[_0x26fc84(0x265b)]['options'][_0x26fc84(0x288f)](_0x26fc84(0x106a),''));if(_0x4f0d29[_0x26fc84(0x265b)][_0x26fc84(0x299b)]&&_0x4f0d29[_0x26fc84(0x265b)][_0x26fc84(0x299b)]==='custom'){}else switch((_0x4f0d29['gotop'][_0x26fc84(0x22e1)]||_0x4f0d29[_0x26fc84(0x265b)][_0x26fc84(0x299b)])[_0x26fc84(0x1680)]()){case _0x26fc84(0x19d1):_0x4f0d29[_0x26fc84(0x265b)][_0x26fc84(0x28df)]=_0x4f0d29[_0x26fc84(0x265b)][_0x26fc84(0x16b6)]+'='+_0x4f0d29[_0x26fc84(0x265b)][_0x26fc84(0x327)];break;case'custom':break;default:_0x275a59[0x0]=_0x4f0d29[_0x26fc84(0x265b)][_0x26fc84(0x521)],_0x4f0d29['gotop'][_0x26fc84(0x28df)]=_0x275a59[_0x26fc84(0x1f66)](',');}_0x36b965(_0x4f0d29[_0x26fc84(0x265b)]);}function _0x36b965(_0x4321f4){const _0xa339ca=_0x29e5e0;_0x192db0[_0xa339ca(0x1426)](_0x4321f4);}}const _0x24b804=_0x159607;;_0x14f091[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),_0x5537c6(0xcb9),'$mdToast',_0x5537c6(0x1b2),_0x5537c6(0x1ac8),_0x5537c6(0xb4a),'toasty',_0x5537c6(0x142b),_0x5537c6(0x1774),'crudPermissions'];function _0x14f091(_0x174ed2,_0x2efce2,_0x2f6ec0,_0xa3a74c,_0x552759,_0x974262,_0x46e95a,_0x42f1ce,_0x37b6bb,_0x136d3e){const _0x43c26c=_0x5537c6,_0x24f5a9=this;_0x24f5a9[_0x43c26c(0xe76)]=_0x37b6bb[_0x43c26c(0x21e8)](),_0x24f5a9[_0x43c26c(0x1a7c)]=[],_0x24f5a9['title']=_0x43c26c(0x1571),_0x24f5a9[_0x43c26c(0x1ac8)]=angular[_0x43c26c(0x17fe)](_0x552759),_0x24f5a9['intervals']=_0x974262,_0x24f5a9[_0x43c26c(0x18c1)]=![],_0x24f5a9['types']=[_0x43c26c(0x2017),_0x43c26c(0x197c),_0x43c26c(0x240)],_0x24f5a9[_0x43c26c(0x1b1a)]=_0x136d3e,_0x24f5a9['daysOfWeek']=_0xa3a74c['getDaysOfWeek'](),_0x24f5a9[_0x43c26c(0x2055)]=_0xa3a74c['getMonthNumber'](),_0x24f5a9[_0x43c26c(0x1534)]=_0xa3a74c['getMonthName'](),_0x24f5a9['daysOfMonth']=_0xa3a74c[_0x43c26c(0xc1e)]();if(!_0x24f5a9[_0x43c26c(0x1ac8)])_0x24f5a9[_0x43c26c(0x1ac8)]={'interval':_0x43c26c(0x965)},_0x24f5a9[_0x43c26c(0x66a)]=_0x43c26c(0x2017),_0x24f5a9['title']=_0x43c26c(0x287b),_0x24f5a9[_0x43c26c(0x18c1)]=!![];else{if(_0x24f5a9[_0x43c26c(0x1ac8)]['interval']!=='*,*,*,*'){_0x24f5a9[_0x43c26c(0x66a)]=_0x43c26c(0x197c);const _0x499b39=_0x24f5a9[_0x43c26c(0x1ac8)][_0x43c26c(0x1ac8)]['split'](','),_0xe1ef4e=_0x499b39[0x0],_0x2634f6=_0x499b39[0x1],_0x55d7bb=_0x499b39[0x2],_0x20390f=_0x499b39[0x3];if(_0xe1ef4e!=='*'){const _0x240b42=_0xe1ef4e['split']('-')[0x0],_0x51dd1c=_0xe1ef4e[_0x43c26c(0xbe1)]('-')[0x1];let _0x5e511b;_0x5e511b=new Date(),_0x5e511b['setHours'](Number(_0x240b42[_0x43c26c(0xbe1)](':')[0x0])),_0x5e511b[_0x43c26c(0x1622)](Number(_0x240b42[_0x43c26c(0xbe1)](':')[0x1])),_0x24f5a9['timeRangeFrom']=_0x5e511b,_0x5e511b=new Date(),_0x5e511b[_0x43c26c(0x201b)](Number(_0x51dd1c[_0x43c26c(0xbe1)](':')[0x0])),_0x5e511b[_0x43c26c(0x1622)](Number(_0x51dd1c[_0x43c26c(0xbe1)](':')[0x1])),_0x24f5a9[_0x43c26c(0x64a)]=_0x5e511b;}_0x2634f6!=='*'&&(_0x24f5a9[_0x43c26c(0x28a6)]=_0x2634f6[_0x43c26c(0xbe1)]('-')[0x0],_0x24f5a9[_0x43c26c(0x28db)]=_0x2634f6[_0x43c26c(0xbe1)]('-')[0x1]),_0x55d7bb!=='*'&&(_0x24f5a9[_0x43c26c(0xd6f)]=_0x55d7bb[_0x43c26c(0xbe1)]('-')[0x0],_0x24f5a9[_0x43c26c(0x194d)]=_0x55d7bb[_0x43c26c(0xbe1)]('-')[0x1]),_0x20390f!=='*'&&(_0x24f5a9[_0x43c26c(0x1756)]=_0x20390f['split']('-')[0x0],_0x24f5a9[_0x43c26c(0xa68)]=_0x20390f[_0x43c26c(0xbe1)]('-')[0x1]);}else _0x24f5a9[_0x43c26c(0x66a)]=_0x43c26c(0x2017);}_0x174ed2['params']['id']&&!_0x24f5a9[_0x43c26c(0x1ac8)][_0x43c26c(0x171b)]&&(_0x24f5a9[_0x43c26c(0x1ac8)][_0x43c26c(0x1a60)]=_0x174ed2[_0x43c26c(0x1dfe)]['id']);_0x24f5a9[_0x43c26c(0x1ac8)]['IntervalId']&&_0x24f5a9[_0x43c26c(0x1ac8)][_0x43c26c(0x171b)]&&(_0x24f5a9[_0x43c26c(0x66a)]=_0x43c26c(0x240));_0x24f5a9[_0x43c26c(0x18b3)]=_0x24566a,_0x24f5a9['saveInterval']=_0x1fca0a,_0x24f5a9[_0x43c26c(0xda0)]=_0x2e33aa;_0x24f5a9[_0x43c26c(0x1ac8)][_0x43c26c(0x171b)]&&(_0x37b6bb[_0x43c26c(0x22b6)](_0x43c26c(0x1c60))?_0x42f1ce[_0x43c26c(0x1ac8)][_0x43c26c(0xbf7)]({'fields':_0x43c26c(0x872),'IntervalId':_0x43c26c(0xd38),'nolimit':!![]})['$promise']['then'](function(_0x1da83d){const _0x152c21=_0x43c26c;_0x24f5a9['intervals']=_0x1da83d[_0x152c21(0x2214)]||[];})[_0x43c26c(0x1c4)](function(_0xcfa0e){const _0x139c30=_0x43c26c;_0x46e95a[_0x139c30(0x218e)]({'title':_0xcfa0e[_0x139c30(0x291)]?_0x139c30(0xeb9)+_0xcfa0e[_0x139c30(0x291)]+_0x139c30(0x1657)+_0xcfa0e[_0x139c30(0xc22)]:'SYSTEM:GET_INTERVALS','msg':_0xcfa0e[_0x139c30(0x25c)]?JSON[_0x139c30(0x2701)](_0xcfa0e[_0x139c30(0x25c)]):_0xcfa0e['toString']()});}):_0x42f1ce[_0x43c26c(0x1ac8)]['get']({'fields':_0x43c26c(0x872),'IntervalId':_0x43c26c(0xd38),'nolimit':!![]})[_0x43c26c(0x1d77)][_0x43c26c(0x1cb0)](function(_0x355f56){const _0x27f637=_0x43c26c;_0x24f5a9[_0x27f637(0xb4a)]=_0x355f56[_0x27f637(0x2214)]||[];})[_0x43c26c(0x1cb0)](function(){const _0x1ddd62=_0x43c26c;return _0x42f1ce['userProfileSection'][_0x1ddd62(0xbf7)]({'userProfileId':_0x24f5a9[_0x1ddd62(0xe76)][_0x1ddd62(0x13c1)],'sectionId':0x3ec})[_0x1ddd62(0x1d77)];})[_0x43c26c(0x1cb0)](function(_0x2ea5d1){const _0x4f731d=_0x43c26c,_0x5a8e4b=_0x2ea5d1&&_0x2ea5d1[_0x4f731d(0x2214)]?_0x2ea5d1[_0x4f731d(0x2214)][0x0]:null;if(!_0x5a8e4b)_0x24f5a9[_0x4f731d(0xb4a)]=[];else{if(!_0x5a8e4b['autoAssociation'])return _0x42f1ce[_0x4f731d(0x1198)][_0x4f731d(0xbf7)]({'sectionId':_0x5a8e4b['id']})[_0x4f731d(0x1d77)][_0x4f731d(0x1cb0)](function(_0x43aec4){const _0x1a3158=_0x4f731d,_0x55225e=_0x43aec4&&_0x43aec4[_0x1a3158(0x2214)]?_0x43aec4[_0x1a3158(0x2214)]:[],_0x58fc4d=[];let _0x4650f0=null;_0x24f5a9[_0x1a3158(0x1ac8)]&&(_0x4650f0=_0x39641b()[_0x1a3158(0x13b4)](_0x24f5a9[_0x1a3158(0xb4a)],{'name':_0x24f5a9[_0x1a3158(0x1ac8)]['IntervalId']})),_0x4650f0&&!_0x39641b()[_0x1a3158(0x727)](_0x55225e,[_0x1a3158(0x2982),_0x4650f0['id']])&&_0x24f5a9[_0x1a3158(0xb4a)][_0x1a3158(0xf90)](function(_0xc006c3){const _0x47e955=_0x1a3158;_0xc006c3['id']===_0x4650f0['id']&&(_0xc006c3[_0x47e955(0x15da)]=![],_0x58fc4d[_0x47e955(0x2785)](_0xc006c3));}),_0x24f5a9[_0x1a3158(0xb4a)]=_0x58fc4d;});}})[_0x43c26c(0x1c4)](function(_0x12bea8){const _0x430428=_0x43c26c;_0x46e95a[_0x430428(0x218e)]({'title':_0x12bea8[_0x430428(0x291)]?_0x430428(0xeb9)+_0x12bea8[_0x430428(0x291)]+'\x20-\x20'+_0x12bea8[_0x430428(0xc22)]:_0x430428(0xdac),'msg':_0x12bea8['data']?JSON[_0x430428(0x2701)](_0x12bea8[_0x430428(0x25c)]):_0x12bea8[_0x430428(0x147f)]()});}));function _0x1cfb11(){const _0x33e679=_0x43c26c;switch(_0x24f5a9['type']){case _0x33e679(0x2017):case _0x33e679(0x240):return _0x33e679(0x965);case'custom':{const _0x11c663=[];if(_0x24f5a9[_0x33e679(0x718)]!=='*'&&_0x24f5a9[_0x33e679(0x718)]&&_0x24f5a9[_0x33e679(0x64a)]){const _0x499e29=(_0x24f5a9[_0x33e679(0x718)][_0x33e679(0x1169)]()<0xa?'0':'')+_0x24f5a9['timeRangeFrom'][_0x33e679(0x1169)]()+':'+((_0x24f5a9[_0x33e679(0x718)][_0x33e679(0x851)]()<0xa?'0':'')+_0x24f5a9[_0x33e679(0x718)][_0x33e679(0x851)]()),_0x8d4569=(_0x24f5a9[_0x33e679(0x64a)]['getHours']()<0xa?'0':'')+_0x24f5a9[_0x33e679(0x64a)][_0x33e679(0x1169)]()+':'+((_0x24f5a9[_0x33e679(0x64a)][_0x33e679(0x851)]()<0xa?'0':'')+_0x24f5a9[_0x33e679(0x64a)][_0x33e679(0x851)]());_0x11c663['push'](_0x499e29+'-'+_0x8d4569);}else _0x11c663[_0x33e679(0x2785)]('*');return _0x24f5a9[_0x33e679(0x28a6)]?_0x24f5a9[_0x33e679(0x28db)]?_0x11c663[_0x33e679(0x2785)](_0x24f5a9[_0x33e679(0x28a6)]+'-'+_0x24f5a9[_0x33e679(0x28db)]):_0x11c663[_0x33e679(0x2785)](_0x24f5a9['dayOfWeekFrom']):_0x11c663[_0x33e679(0x2785)]('*'),_0x24f5a9[_0x33e679(0xd6f)]?_0x24f5a9[_0x33e679(0x194d)]?_0x11c663[_0x33e679(0x2785)](_0x24f5a9[_0x33e679(0xd6f)]+'-'+_0x24f5a9['monthDayTo']):_0x11c663[_0x33e679(0x2785)](_0x24f5a9[_0x33e679(0xd6f)]):_0x11c663[_0x33e679(0x2785)]('*'),_0x24f5a9['monthFrom']?_0x24f5a9[_0x33e679(0xa68)]?_0x11c663['push'](_0x24f5a9[_0x33e679(0x1756)]+'-'+_0x24f5a9[_0x33e679(0xa68)]):_0x11c663[_0x33e679(0x2785)](_0x24f5a9[_0x33e679(0x1756)]):_0x11c663[_0x33e679(0x2785)]('*'),_0x11c663[_0x33e679(0x1f66)]();}}}function _0x24566a(){const _0x343426=_0x43c26c;_0x24f5a9[_0x343426(0x1a7c)]=[],_0x24f5a9[_0x343426(0x1ac8)][_0x343426(0x1ac8)]=_0x1cfb11(),_0x42f1ce[_0x343426(0x1ac8)]['save'](_0x24f5a9['interval'])['$promise'][_0x343426(0x1cb0)](function(_0x4024cb){const _0x4c0f3e=_0x343426;_0x24f5a9['intervals']['push'](_0x4024cb),_0x46e95a[_0x4c0f3e(0x829)]({'title':_0x4c0f3e(0x1d4e),'msg':_0x24f5a9[_0x4c0f3e(0x1ac8)]['name']?_0x24f5a9[_0x4c0f3e(0x1ac8)][_0x4c0f3e(0x16b6)]+_0x4c0f3e(0x470):''}),_0x2e33aa();})[_0x343426(0x1c4)](function(_0x2d02d9){const _0x163d57=_0x343426;console[_0x163d57(0x218e)](_0x2d02d9),_0x24f5a9[_0x163d57(0x1a7c)]=_0x2d02d9[_0x163d57(0x25c)][_0x163d57(0x1a7c)]||[{'message':_0x2d02d9['toString'](),'type':_0x163d57(0x24f7)}];});}function _0x1fca0a(){const _0x4ce9aa=_0x43c26c;_0x24f5a9[_0x4ce9aa(0x1a7c)]=[],_0x24f5a9[_0x4ce9aa(0x1ac8)][_0x4ce9aa(0x1ac8)]=_0x1cfb11(),_0x24f5a9[_0x4ce9aa(0x1ac8)][_0x4ce9aa(0x171b)]?(_0x24f5a9['type']!==_0x4ce9aa(0x240)&&(_0x24f5a9[_0x4ce9aa(0x1ac8)][_0x4ce9aa(0x1a60)]=null),_0x2e33aa(_0x24f5a9[_0x4ce9aa(0x1ac8)])):_0x42f1ce[_0x4ce9aa(0x1ac8)][_0x4ce9aa(0x687)]({'id':_0x24f5a9['interval']['id']},_0x24f5a9[_0x4ce9aa(0x1ac8)])[_0x4ce9aa(0x1d77)][_0x4ce9aa(0x1cb0)](function(_0x5a2619){const _0x535e2a=_0x4ce9aa,_0x29af75=_0x39641b()[_0x535e2a(0x13b4)](_0x24f5a9['intervals'],{'id':_0x5a2619['id']});_0x29af75&&_0x39641b()[_0x535e2a(0x9c1)](_0x29af75,_0x5a2619),_0x46e95a[_0x535e2a(0x829)]({'title':'Interval\x20properly\x20saved!','msg':_0x535e2a(0x2994)}),_0x2e33aa();})['catch'](function(_0x42a86e){const _0x49f5b7=_0x4ce9aa;console[_0x49f5b7(0x218e)](_0x42a86e),_0x24f5a9[_0x49f5b7(0x1a7c)]=_0x42a86e[_0x49f5b7(0x25c)][_0x49f5b7(0x1a7c)]||[{'message':_0x42a86e[_0x49f5b7(0x147f)](),'type':'api.interval.update'}];});}function _0x2e33aa(_0x5e533c){const _0x14ad14=_0x43c26c;_0x2efce2[_0x14ad14(0x1426)](_0x5e533c);}}const _0x1a2682=_0x14f091;;_0x27bfa9['$inject']=['$mdDialog','$q',_0x5537c6(0x9bf),_0x5537c6(0x422),_0x5537c6(0x226c),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x27bfa9(_0x5c31fb,_0x4f2f52,_0x2b1efb,_0x192a93,_0x2b02d6,_0x1a051c,_0x113206,_0x4f24a9){const _0x329f50=_0x5537c6,_0xb9345f=this;_0xb9345f[_0x329f50(0xe76)]=_0x113206[_0x329f50(0x21e8)](),_0xb9345f['errors']=[],_0xb9345f[_0x329f50(0x1386)]=_0x329f50(0x1e59)+(_0x192a93[_0x329f50(0x299b)]||_0x192a93[_0x329f50(0x22e1)])['toUpperCase'](),_0xb9345f[_0x329f50(0x1234)]=angular[_0x329f50(0x17fe)](_0x192a93),_0xb9345f[_0x329f50(0x1b1a)]=_0x4f24a9,_0xb9345f['hasModulePermissions']={};if(_0xb9345f[_0x329f50(0x1234)][_0x329f50(0x28df)])switch(_0xb9345f['noop']['appType']?_0xb9345f[_0x329f50(0x1234)][_0x329f50(0x299b)][_0x329f50(0x1680)]():_0xb9345f[_0x329f50(0x1234)][_0x329f50(0x22e1)]['toLowerCase']()){case _0x329f50(0x197c):break;case _0x329f50(0x711):{const _0x65ef5=_0xb9345f[_0x329f50(0x1234)][_0x329f50(0x28df)]['split'](',');_0xb9345f[_0x329f50(0x1234)][_0x329f50(0xee8)]=_0x65ef5[0x0],_0xb9345f['noop'][_0x329f50(0x12b4)]=_0x65ef5[0x1],_0xb9345f['noop'][_0x329f50(0x173a)]=_0x65ef5['slice'](0x2,_0x65ef5[_0x329f50(0xfd0)])['join'](',');}break;case'dialogflowv2':{const _0x36a8be=_0xb9345f['noop'][_0x329f50(0x28df)][_0x329f50(0xbe1)](',');_0xb9345f[_0x329f50(0x1234)][_0x329f50(0x2854)]=_0x36a8be[0x0],_0xb9345f['noop'][_0x329f50(0x12bf)]=_0x36a8be[0x1],_0xb9345f[_0x329f50(0x1234)]['privateKey']=_0x36a8be[0x2],_0xb9345f['noop'][_0x329f50(0x12b4)]=_0x36a8be[0x3],_0xb9345f[_0x329f50(0x1234)]['welcomemessage']=_0x36a8be[_0x329f50(0x14cb)](0x4,_0x36a8be[_0x329f50(0xfd0)])[_0x329f50(0x1f66)](',');}break;case _0x329f50(0x123a):{const _0x529142=_0xb9345f[_0x329f50(0x1234)]['appdata']['split'](',');_0xb9345f[_0x329f50(0x1234)][_0x329f50(0x413)]=_0x529142[0x0],_0xb9345f[_0x329f50(0x1234)]['secretaccesskey']=_0x529142[0x1],_0xb9345f[_0x329f50(0x1234)][_0x329f50(0xd50)]=_0x529142[0x2],_0xb9345f[_0x329f50(0x1234)]['botname']=_0x529142[0x3],_0xb9345f['noop'][_0x329f50(0x173a)]=_0x529142[_0x329f50(0x14cb)](0x4,_0x529142[_0x329f50(0xfd0)])['join'](',');}break;case _0x329f50(0x1f71):{const _0x26d120=_0xb9345f[_0x329f50(0x1234)][_0x329f50(0x28df)][_0x329f50(0xbe1)](',');_0xb9345f[_0x329f50(0x1234)]['times']=isNaN(_0x26d120[0x0])?_0x26d120[0x0]:parseInt(_0x26d120[0x0],0xa),_0xb9345f[_0x329f50(0x1234)]['text']=_0x26d120['slice'](0x1,_0x26d120['length'])[_0x329f50(0x1f66)](',');}break;case _0x329f50(0x155e):_0xb9345f[_0x329f50(0x1234)]['text']=_0xb9345f[_0x329f50(0x1234)][_0x329f50(0x28df)];break;case _0x329f50(0x19d1):_0xb9345f[_0x329f50(0x1234)][_0x329f50(0x16b6)]=_0xb9345f['noop'][_0x329f50(0x28df)][_0x329f50(0xbe1)]('=')[0x0],_0xb9345f[_0x329f50(0x1234)]['value']=_0xb9345f[_0x329f50(0x1234)][_0x329f50(0x28df)][_0x329f50(0xbe1)]('=')[0x1];break;case _0x329f50(0x4b4):_0xb9345f['noop'][_0x329f50(0x12a7)]=_0xb9345f[_0x329f50(0x1234)][_0x329f50(0x28df)];break;default:{const _0x1769cd=_0xb9345f[_0x329f50(0x1234)][_0x329f50(0x28df)][_0x329f50(0xbe1)](',');_0xb9345f[_0x329f50(0x1234)]['value']=_0x39641b()[_0x329f50(0xce9)](_0x1769cd[0x0])?_0x1769cd[0x0]:isNaN(_0x1769cd[0x0])?_0x1769cd[0x0]:parseInt(_0x1769cd[0x0],0xa);}break;}else{}_0xb9345f[_0x329f50(0x1234)][_0x329f50(0x66a)]&&_0xb9345f[_0x329f50(0x1234)]['type']['toLowerCase']()===_0x329f50(0x895)&&_0xb9345f[_0x329f50(0x1234)]['appType'][_0x329f50(0x1680)]()===_0x329f50(0x20ff)&&(_0xb9345f[_0x329f50(0x1234)][_0x329f50(0x586)]=_0xb9345f['noop'][_0x329f50(0x1340)]?_0xb9345f[_0x329f50(0x1234)][_0x329f50(0x1340)][_0x329f50(0xbe1)]('$')[0x0]:undefined,_0xb9345f[_0x329f50(0x1234)][_0x329f50(0x1e7c)]=_0xb9345f[_0x329f50(0x1234)][_0x329f50(0x2816)]?_0x329f50(0x25f9)+_0xb9345f['noop'][_0x329f50(0x2816)]:undefined);_0xb9345f[_0x329f50(0x7b3)]=_0x411912,_0xb9345f['closeDialog']=_0x529bb8;function _0x411912(){const _0xe8a28b=_0x329f50;_0xb9345f[_0xe8a28b(0x1a7c)]=[];const _0x52cc9c=[];_0xb9345f['noop'][_0xe8a28b(0x66a)]&&_0xb9345f[_0xe8a28b(0x1234)][_0xe8a28b(0x66a)][_0xe8a28b(0x1680)]()===_0xe8a28b(0x895)&&_0xb9345f[_0xe8a28b(0x1234)]['appType']==='outboundDial'&&(_0xb9345f[_0xe8a28b(0x1234)]['phone']=_0x2b02d6[_0xe8a28b(0x325)]?(_0xb9345f['noop']['prefix']||'')+'${EXTEN:'+_0x2b02d6[_0xe8a28b(0x325)]+'}':(_0xb9345f[_0xe8a28b(0x1234)][_0xe8a28b(0x586)]||'')+_0xe8a28b(0xcdd),_0x2b02d6[_0xe8a28b(0xf8d)]!==_0xe8a28b(0x13b1)?_0xb9345f[_0xe8a28b(0x1234)]['options'][_0xe8a28b(0xd8a)]('U(xcally-mixmonitor-context)')<0x0&&(_0xb9345f['noop'][_0xe8a28b(0x2224)]+=_0xe8a28b(0x106a)):_0xb9345f[_0xe8a28b(0x1234)]['options']=_0xb9345f[_0xe8a28b(0x1234)][_0xe8a28b(0x2224)][_0xe8a28b(0x288f)]('U(xcally-mixmonitor-context)',''));if(_0xb9345f[_0xe8a28b(0x1234)][_0xe8a28b(0x299b)]&&_0xb9345f[_0xe8a28b(0x1234)][_0xe8a28b(0x299b)]===_0xe8a28b(0x197c)){}else switch((_0xb9345f[_0xe8a28b(0x1234)][_0xe8a28b(0x22e1)]||_0xb9345f[_0xe8a28b(0x1234)][_0xe8a28b(0x299b)])[_0xe8a28b(0x1680)]()){case'set':_0xb9345f['noop'][_0xe8a28b(0x28df)]=_0xb9345f[_0xe8a28b(0x1234)]['name']+'='+_0xb9345f[_0xe8a28b(0x1234)][_0xe8a28b(0x327)];break;case _0xe8a28b(0x197c):break;default:_0x52cc9c[0x0]=_0xb9345f['noop']['value'],_0xb9345f[_0xe8a28b(0x1234)][_0xe8a28b(0x28df)]=_0x52cc9c[_0xe8a28b(0x1f66)](',');}_0x529bb8(_0xb9345f['noop']);}function _0x529bb8(_0x43cb45){_0x5c31fb['hide'](_0x43cb45);}}const _0x27be5c=_0x27bfa9;;_0x20deb6[_0x5537c6(0x15b6)]=['$mdDialog','$q',_0x5537c6(0x9bf),_0x5537c6(0x422),_0x5537c6(0x226c),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x20deb6(_0x25bff3,_0x281135,_0xe711dd,_0x4fe209,_0x59cc10,_0x2e1f0e,_0x425c26,_0x598548){const _0x405802=_0x5537c6,_0x2ff1d4=this;_0x2ff1d4['currentUser']=_0x425c26[_0x405802(0x21e8)](),_0x2ff1d4['errors']=[],_0x2ff1d4['title']=_0x405802(0x1e59)+(_0x4fe209[_0x405802(0x299b)]||_0x4fe209['app'])[_0x405802(0x2335)](),_0x2ff1d4['queue']=angular[_0x405802(0x17fe)](_0x4fe209),_0x2ff1d4[_0x405802(0x1b1a)]=_0x598548,_0x2ff1d4[_0x405802(0xf4c)]={};if(_0x2ff1d4[_0x405802(0x11cf)][_0x405802(0x28df)])switch(_0x2ff1d4[_0x405802(0x11cf)]['appType']?_0x2ff1d4[_0x405802(0x11cf)][_0x405802(0x299b)][_0x405802(0x1680)]():_0x2ff1d4[_0x405802(0x11cf)][_0x405802(0x22e1)]['toLowerCase']()){case _0x405802(0x197c):break;case _0x405802(0x711):{const _0x1c7606=_0x2ff1d4['queue'][_0x405802(0x28df)]['split'](',');_0x2ff1d4['queue'][_0x405802(0xee8)]=_0x1c7606[0x0],_0x2ff1d4[_0x405802(0x11cf)][_0x405802(0x12b4)]=_0x1c7606[0x1],_0x2ff1d4[_0x405802(0x11cf)][_0x405802(0x173a)]=_0x1c7606['slice'](0x2,_0x1c7606[_0x405802(0xfd0)])[_0x405802(0x1f66)](',');}break;case _0x405802(0xece):{const _0x1a7a9b=_0x2ff1d4[_0x405802(0x11cf)]['appdata'][_0x405802(0xbe1)](',');_0x2ff1d4[_0x405802(0x11cf)]['projectId']=_0x1a7a9b[0x0],_0x2ff1d4[_0x405802(0x11cf)]['clientEmail']=_0x1a7a9b[0x1],_0x2ff1d4['queue'][_0x405802(0x29d6)]=_0x1a7a9b[0x2],_0x2ff1d4[_0x405802(0x11cf)]['language']=_0x1a7a9b[0x3],_0x2ff1d4[_0x405802(0x11cf)][_0x405802(0x173a)]=_0x1a7a9b[_0x405802(0x14cb)](0x4,_0x1a7a9b[_0x405802(0xfd0)])[_0x405802(0x1f66)](',');}break;case _0x405802(0x123a):{const _0x162ab1=_0x2ff1d4[_0x405802(0x11cf)]['appdata'][_0x405802(0xbe1)](',');_0x2ff1d4[_0x405802(0x11cf)][_0x405802(0x413)]=_0x162ab1[0x0],_0x2ff1d4['queue'][_0x405802(0x21d9)]=_0x162ab1[0x1],_0x2ff1d4[_0x405802(0x11cf)]['lexregion']=_0x162ab1[0x2],_0x2ff1d4[_0x405802(0x11cf)][_0x405802(0x1c7f)]=_0x162ab1[0x3],_0x2ff1d4['queue'][_0x405802(0x173a)]=_0x162ab1['slice'](0x4,_0x162ab1[_0x405802(0xfd0)])['join'](',');}break;case'autoreply':{const _0x2f6fd0=_0x2ff1d4['queue'][_0x405802(0x28df)][_0x405802(0xbe1)](',');_0x2ff1d4[_0x405802(0x11cf)][_0x405802(0x18a5)]=isNaN(_0x2f6fd0[0x0])?_0x2f6fd0[0x0]:parseInt(_0x2f6fd0[0x0],0xa),_0x2ff1d4[_0x405802(0x11cf)][_0x405802(0x19d3)]=_0x2f6fd0[_0x405802(0x14cb)](0x1,_0x2f6fd0[_0x405802(0xfd0)])[_0x405802(0x1f66)](',');}break;case'message':_0x2ff1d4[_0x405802(0x11cf)][_0x405802(0x19d3)]=_0x2ff1d4['queue'][_0x405802(0x28df)];break;case _0x405802(0x19d1):_0x2ff1d4[_0x405802(0x11cf)]['name']=_0x2ff1d4[_0x405802(0x11cf)][_0x405802(0x28df)]['split']('=')[0x0],_0x2ff1d4['queue'][_0x405802(0x327)]=_0x2ff1d4[_0x405802(0x11cf)]['appdata'][_0x405802(0xbe1)]('=')[0x1];break;case _0x405802(0x4b4):_0x2ff1d4[_0x405802(0x11cf)][_0x405802(0x12a7)]=_0x2ff1d4[_0x405802(0x11cf)][_0x405802(0x28df)];break;default:{const _0x4e4364=_0x2ff1d4[_0x405802(0x11cf)][_0x405802(0x28df)][_0x405802(0xbe1)](',');_0x2ff1d4[_0x405802(0x11cf)][_0x405802(0x11cf)]=_0x39641b()[_0x405802(0xce9)](_0x4e4364[0x0])?_0x4e4364[0x0]:isNaN(_0x4e4364[0x0])?_0x4e4364[0x0]:parseInt(_0x4e4364[0x0],0xa),_0x2ff1d4[_0x405802(0x11cf)][_0x405802(0x1719)]=_0x39641b()[_0x405802(0xce9)](_0x4e4364[0x1])?_0x4e4364[0x1]:isNaN(_0x4e4364[0x1])?_0x4e4364[0x1]:parseInt(_0x4e4364[0x1],0xa);}break;}else _0x2ff1d4[_0x405802(0x11cf)][_0x405802(0x11cf)]=0x12c,_0x2ff1d4[_0x405802(0x11cf)][_0x405802(0x1719)]=0x12c;_0x2ff1d4['queue'][_0x405802(0x66a)]&&_0x2ff1d4[_0x405802(0x11cf)][_0x405802(0x66a)][_0x405802(0x1680)]()===_0x405802(0x895)&&_0x2ff1d4[_0x405802(0x11cf)][_0x405802(0x299b)]['toLowerCase']()==='outbounddial'&&(_0x2ff1d4['queue']['prefix']=_0x2ff1d4[_0x405802(0x11cf)]['phone']?_0x2ff1d4['queue']['phone']['split']('$')[0x0]:undefined,_0x2ff1d4[_0x405802(0x11cf)][_0x405802(0x1e7c)]=_0x2ff1d4['queue']['callerID']?'CALLERID(all)='+_0x2ff1d4[_0x405802(0x11cf)][_0x405802(0x2816)]:undefined);_0x2ff1d4[_0x405802(0x7b3)]=_0x8099ab,_0x2ff1d4[_0x405802(0xda0)]=_0x24a61c,_0x425c26[_0x405802(0x22b6)]('admin')?_0x2e1f0e['chatQueue'][_0x405802(0xbf7)]({'fields':_0x405802(0x1896),'sort':'name','nolimit':_0x405802(0x44d)})['$promise'][_0x405802(0x1cb0)](function(_0x5ca401){_0x2ff1d4['queues']=_0x5ca401['rows']||[];})[_0x405802(0x1c4)](function(_0x413dc9){const _0x31b20a=_0x405802;_0xe711dd[_0x31b20a(0x218e)]({'title':_0x413dc9[_0x31b20a(0x291)]?_0x31b20a(0xeb9)+_0x413dc9[_0x31b20a(0x291)]+'\x20-\x20'+_0x413dc9[_0x31b20a(0xc22)]:_0x31b20a(0x277c),'msg':_0x413dc9[_0x31b20a(0x25c)]?JSON['stringify'](_0x413dc9[_0x31b20a(0x25c)]):_0x413dc9[_0x31b20a(0x147f)]()});}):_0x2e1f0e['chatQueue'][_0x405802(0xbf7)]({'fields':_0x405802(0x1896),'sort':_0x405802(0x16b6),'nolimit':_0x405802(0x44d)})['$promise'][_0x405802(0x1cb0)](function(_0x1b0603){const _0x24eec3=_0x405802;_0x2ff1d4[_0x24eec3(0x971)]=_0x1b0603[_0x24eec3(0x2214)]||[];})[_0x405802(0x1cb0)](function(){const _0x31c541=_0x405802;return _0x2e1f0e['userProfileSection'][_0x31c541(0xbf7)]({'userProfileId':_0x2ff1d4['currentUser']['userProfileId'],'sectionId':0x1f5})[_0x31c541(0x1d77)];})['then'](function(_0x31f842){const _0x518ee9=_0x405802,_0x45322f=_0x31f842&&_0x31f842[_0x518ee9(0x2214)]?_0x31f842[_0x518ee9(0x2214)][0x0]:null;if(!_0x45322f){const _0x568441=[];let _0xaa0016=null;_0x2ff1d4[_0x518ee9(0x11cf)]&&(_0xaa0016=_0x39641b()[_0x518ee9(0x13b4)](_0x2ff1d4['queues'],{'name':_0x2ff1d4[_0x518ee9(0x11cf)]['queue']}));for(let _0x59088a=0x0;_0x59088a<_0x2ff1d4[_0x518ee9(0x971)]['length'];_0x59088a++){_0xaa0016&&_0x2ff1d4[_0x518ee9(0x971)][_0x59088a]['id']===_0xaa0016['id']&&(_0x2ff1d4[_0x518ee9(0x971)][_0x59088a][_0x518ee9(0x15da)]=![],_0x568441[_0x518ee9(0x2785)](_0x2ff1d4[_0x518ee9(0x971)][_0x59088a]));}_0x2ff1d4[_0x518ee9(0x971)]=_0x568441;}else{if(!_0x45322f[_0x518ee9(0x12f4)])return _0x2e1f0e[_0x518ee9(0x1198)][_0x518ee9(0xbf7)]({'sectionId':_0x45322f['id']})['$promise']['then'](function(_0x3a03ce){const _0x31da9c=_0x518ee9,_0x13cb9f=_0x39641b()[_0x31da9c(0x1de2)](_0x3a03ce['rows'],function(_0x24b0bd){const _0x33acb1=_0x31da9c;return _0x39641b()['find'](_0x2ff1d4[_0x33acb1(0x971)],{'id':_0x24b0bd[_0x33acb1(0x2982)]});});let _0x22852b=null;_0x2ff1d4[_0x31da9c(0x11cf)]&&(_0x22852b=_0x39641b()[_0x31da9c(0x13b4)](_0x2ff1d4['queues'],{'name':_0x2ff1d4[_0x31da9c(0x11cf)][_0x31da9c(0x11cf)]}));if(_0x22852b&&!_0x39641b()[_0x31da9c(0x727)](_0x13cb9f,['id',_0x22852b['id']])){const _0x1a1d16=_0x39641b()['find'](_0x2ff1d4[_0x31da9c(0x971)],{'id':_0x22852b['id']});_0x1a1d16[_0x31da9c(0x15da)]=![],_0x13cb9f['push'](_0x1a1d16);}_0x2ff1d4[_0x31da9c(0x971)]=_0x13cb9f;});}})[_0x405802(0x1c4)](function(_0x2323b3){const _0x96c7d1=_0x405802;_0xe711dd[_0x96c7d1(0x218e)]({'title':_0x2323b3[_0x96c7d1(0x291)]?_0x96c7d1(0xeb9)+_0x2323b3[_0x96c7d1(0x291)]+_0x96c7d1(0x1657)+_0x2323b3[_0x96c7d1(0xc22)]:'SYSTEM:GETqueues','msg':_0x2323b3['data']?JSON[_0x96c7d1(0x2701)](_0x2323b3[_0x96c7d1(0x25c)]):_0x2323b3[_0x96c7d1(0x147f)]()});});function _0x8099ab(){const _0x1b23ce=_0x405802;_0x2ff1d4[_0x1b23ce(0x1a7c)]=[];const _0x548d2e=[];_0x2ff1d4['queue']['type']&&_0x2ff1d4['queue'][_0x1b23ce(0x66a)][_0x1b23ce(0x1680)]()==='outbound'&&_0x2ff1d4[_0x1b23ce(0x11cf)][_0x1b23ce(0x299b)]===_0x1b23ce(0x25f4)&&(_0x2ff1d4[_0x1b23ce(0x11cf)]['phone']=_0x59cc10[_0x1b23ce(0x325)]?(_0x2ff1d4[_0x1b23ce(0x11cf)][_0x1b23ce(0x586)]||'')+'${EXTEN:'+_0x59cc10[_0x1b23ce(0x325)]+'}':(_0x2ff1d4[_0x1b23ce(0x11cf)][_0x1b23ce(0x586)]||'')+_0x1b23ce(0xcdd),_0x59cc10[_0x1b23ce(0xf8d)]!==_0x1b23ce(0x13b1)?_0x2ff1d4[_0x1b23ce(0x11cf)][_0x1b23ce(0x2224)][_0x1b23ce(0xd8a)](_0x1b23ce(0x106a))<0x0&&(_0x2ff1d4['queue']['options']+=_0x1b23ce(0x106a)):_0x2ff1d4['queue'][_0x1b23ce(0x2224)]=_0x2ff1d4[_0x1b23ce(0x11cf)][_0x1b23ce(0x2224)]['replace']('U(xcally-mixmonitor-context)',''));const _0x50e6e8=_0x39641b()[_0x1b23ce(0x13b4)](_0x2ff1d4[_0x1b23ce(0x971)],{'name':_0x2ff1d4[_0x1b23ce(0x11cf)]['queue']});_0x50e6e8&&(_0x2ff1d4[_0x1b23ce(0x11cf)][_0x39641b()[_0x1b23ce(0x432)]('chat')+_0x1b23ce(0x14d1)]=_0x50e6e8['id']);if(_0x2ff1d4[_0x1b23ce(0x11cf)][_0x1b23ce(0x299b)]&&_0x2ff1d4[_0x1b23ce(0x11cf)]['appType']===_0x1b23ce(0x197c)){}else switch((_0x2ff1d4[_0x1b23ce(0x11cf)][_0x1b23ce(0x22e1)]||_0x2ff1d4['queue'][_0x1b23ce(0x299b)])[_0x1b23ce(0x1680)]()){case _0x1b23ce(0x19d1):_0x2ff1d4[_0x1b23ce(0x11cf)]['appdata']=_0x2ff1d4[_0x1b23ce(0x11cf)][_0x1b23ce(0x16b6)]+'='+_0x2ff1d4[_0x1b23ce(0x11cf)][_0x1b23ce(0x327)];break;case _0x1b23ce(0x197c):break;default:_0x548d2e[0x0]=_0x2ff1d4[_0x1b23ce(0x11cf)]['queue'],_0x548d2e[0x1]=_0x2ff1d4[_0x1b23ce(0x11cf)][_0x1b23ce(0x1719)],_0x2ff1d4[_0x1b23ce(0x11cf)][_0x1b23ce(0x28df)]=_0x548d2e['join'](',');}_0x24a61c(_0x2ff1d4['queue']);}function _0x24a61c(_0x645b18){const _0x13e269=_0x405802;_0x25bff3[_0x13e269(0x1426)](_0x645b18);}}const _0x15b893=_0x20deb6;;_0x455a2a['$inject']=['$mdDialog','$q',_0x5537c6(0x9bf),_0x5537c6(0x422),_0x5537c6(0x226c),'api','Auth',_0x5537c6(0x1b1a)];function _0x455a2a(_0xa32488,_0x46f25b,_0x1da8f6,_0x28eb0d,_0x1b23b5,_0x8873f8,_0x43ee1a,_0x5b12a0){const _0x34624a=_0x5537c6,_0x56eb54=this;_0x56eb54[_0x34624a(0xe76)]=_0x43ee1a[_0x34624a(0x21e8)](),_0x56eb54[_0x34624a(0x1a7c)]=[],_0x56eb54['title']='CHAT.EDIT_'+(_0x28eb0d[_0x34624a(0x299b)]||_0x28eb0d[_0x34624a(0x22e1)])[_0x34624a(0x2335)](),_0x56eb54[_0x34624a(0x7be)]=angular[_0x34624a(0x17fe)](_0x28eb0d),_0x56eb54['crudPermissions']=_0x5b12a0,_0x56eb54[_0x34624a(0xf4c)]={};if(_0x56eb54[_0x34624a(0x7be)][_0x34624a(0x28df)])switch(_0x56eb54[_0x34624a(0x7be)]['appType']?_0x56eb54[_0x34624a(0x7be)][_0x34624a(0x299b)]['toLowerCase']():_0x56eb54[_0x34624a(0x7be)][_0x34624a(0x22e1)]['toLowerCase']()){case _0x34624a(0x197c):break;case _0x34624a(0x711):{const _0x221636=_0x56eb54[_0x34624a(0x7be)]['appdata'][_0x34624a(0xbe1)](',');_0x56eb54['system']['key']=_0x221636[0x0],_0x56eb54[_0x34624a(0x7be)][_0x34624a(0x12b4)]=_0x221636[0x1],_0x56eb54[_0x34624a(0x7be)][_0x34624a(0x173a)]=_0x221636[_0x34624a(0x14cb)](0x2,_0x221636['length'])['join'](',');}break;case _0x34624a(0xece):{const _0x5c277a=_0x56eb54[_0x34624a(0x7be)][_0x34624a(0x28df)][_0x34624a(0xbe1)](',');_0x56eb54[_0x34624a(0x7be)]['projectId']=_0x5c277a[0x0],_0x56eb54[_0x34624a(0x7be)]['clientEmail']=_0x5c277a[0x1],_0x56eb54[_0x34624a(0x7be)][_0x34624a(0x29d6)]=_0x5c277a[0x2],_0x56eb54[_0x34624a(0x7be)][_0x34624a(0x12b4)]=_0x5c277a[0x3],_0x56eb54['system'][_0x34624a(0x173a)]=_0x5c277a[_0x34624a(0x14cb)](0x4,_0x5c277a[_0x34624a(0xfd0)])[_0x34624a(0x1f66)](',');}break;case _0x34624a(0x123a):{const _0x425224=_0x56eb54[_0x34624a(0x7be)]['appdata']['split'](',');_0x56eb54['system'][_0x34624a(0x413)]=_0x425224[0x0],_0x56eb54[_0x34624a(0x7be)][_0x34624a(0x21d9)]=_0x425224[0x1],_0x56eb54[_0x34624a(0x7be)]['lexregion']=_0x425224[0x2],_0x56eb54[_0x34624a(0x7be)]['botname']=_0x425224[0x3],_0x56eb54['system'][_0x34624a(0x173a)]=_0x425224[_0x34624a(0x14cb)](0x4,_0x425224[_0x34624a(0xfd0)])[_0x34624a(0x1f66)](',');}break;case'autoreply':{const _0x44d864=_0x56eb54[_0x34624a(0x7be)][_0x34624a(0x28df)][_0x34624a(0xbe1)](',');_0x56eb54[_0x34624a(0x7be)][_0x34624a(0x18a5)]=isNaN(_0x44d864[0x0])?_0x44d864[0x0]:parseInt(_0x44d864[0x0],0xa),_0x56eb54[_0x34624a(0x7be)][_0x34624a(0x19d3)]=_0x44d864[_0x34624a(0x14cb)](0x1,_0x44d864['length'])[_0x34624a(0x1f66)](',');}break;case _0x34624a(0x155e):_0x56eb54[_0x34624a(0x7be)][_0x34624a(0x19d3)]=_0x56eb54[_0x34624a(0x7be)][_0x34624a(0x28df)];break;case _0x34624a(0x19d1):_0x56eb54[_0x34624a(0x7be)][_0x34624a(0x16b6)]=_0x56eb54[_0x34624a(0x7be)]['appdata'][_0x34624a(0xbe1)]('=')[0x0],_0x56eb54[_0x34624a(0x7be)][_0x34624a(0x327)]=_0x56eb54[_0x34624a(0x7be)][_0x34624a(0x28df)][_0x34624a(0xbe1)]('=')[0x1];break;case _0x34624a(0x4b4):_0x56eb54[_0x34624a(0x7be)]['project']=_0x56eb54['system'][_0x34624a(0x28df)];break;default:{const _0x2cc811=_0x56eb54[_0x34624a(0x7be)][_0x34624a(0x28df)][_0x34624a(0xbe1)](',');_0x56eb54['system']['command']=_0x39641b()['isEmpty'](_0x2cc811[0x0])?_0x2cc811[0x0]:isNaN(_0x2cc811[0x0])?_0x2cc811[0x0]:parseInt(_0x2cc811[0x0],0xa),_0x56eb54[_0x34624a(0x7be)][_0x34624a(0x1822)]=_0x39641b()[_0x34624a(0xce9)](_0x2cc811[0x1])?_0x2cc811[0x1]:isNaN(_0x2cc811[0x1])?_0x2cc811[0x1]:parseInt(_0x2cc811[0x1],0xa);}break;}else _0x56eb54[_0x34624a(0x7be)][_0x34624a(0x1822)]='';_0x56eb54['system'][_0x34624a(0x66a)]&&_0x56eb54['system']['type'][_0x34624a(0x1680)]()===_0x34624a(0x895)&&_0x56eb54['system'][_0x34624a(0x299b)]['toLowerCase']()==='outbounddial'&&(_0x56eb54[_0x34624a(0x7be)][_0x34624a(0x586)]=_0x56eb54[_0x34624a(0x7be)][_0x34624a(0x1340)]?_0x56eb54[_0x34624a(0x7be)][_0x34624a(0x1340)][_0x34624a(0xbe1)]('$')[0x0]:undefined,_0x56eb54[_0x34624a(0x7be)][_0x34624a(0x1e7c)]=_0x56eb54[_0x34624a(0x7be)]['callerID']?'CALLERID(all)='+_0x56eb54['system'][_0x34624a(0x2816)]:undefined);_0x56eb54['saveChatWebsiteApp']=_0x312653,_0x56eb54[_0x34624a(0xda0)]=_0x100b1c,_0x43ee1a[_0x34624a(0x22b6)](_0x34624a(0x1c60))?_0x8873f8[_0x34624a(0x1822)][_0x34624a(0xbf7)]({'fields':_0x34624a(0x43c),'sort':_0x34624a(0x16b6),'nolimit':_0x34624a(0x44d)})['$promise'][_0x34624a(0x1cb0)](function(_0xd13ffd){const _0xceb5b9=_0x34624a;_0x56eb54[_0xceb5b9(0x9a9)]=_0xd13ffd[_0xceb5b9(0x2214)]||[];})[_0x34624a(0x1c4)](function(_0x2e0447){const _0xbe3ea3=_0x34624a;_0x1da8f6[_0xbe3ea3(0x218e)]({'title':_0x2e0447[_0xbe3ea3(0x291)]?_0xbe3ea3(0xeb9)+_0x2e0447[_0xbe3ea3(0x291)]+_0xbe3ea3(0x1657)+_0x2e0447['statusText']:_0xbe3ea3(0xe04),'msg':_0x2e0447['data']?JSON['stringify'](_0x2e0447[_0xbe3ea3(0x25c)]):_0x2e0447['toString']()});}):_0x8873f8[_0x34624a(0x1822)][_0x34624a(0xbf7)]({'fields':_0x34624a(0x43c),'sort':_0x34624a(0x16b6),'nolimit':_0x34624a(0x44d)})[_0x34624a(0x1d77)]['then'](function(_0x589a5b){const _0x77e291=_0x34624a;_0x56eb54[_0x77e291(0x9a9)]=_0x589a5b[_0x77e291(0x2214)]||[];})[_0x34624a(0x1cb0)](function(){const _0x343c22=_0x34624a;return _0x8873f8[_0x343c22(0x2199)][_0x343c22(0xbf7)]({'userProfileId':_0x56eb54[_0x343c22(0xe76)][_0x343c22(0x13c1)],'sectionId':0x3f4})[_0x343c22(0x1d77)];})[_0x34624a(0x1cb0)](function(_0x3fd829){const _0x14185f=_0x34624a,_0x1dd3fd=_0x3fd829&&_0x3fd829[_0x14185f(0x2214)]?_0x3fd829[_0x14185f(0x2214)][0x0]:null;if(!_0x1dd3fd){const _0x353b51=[];let _0x55def7=null;_0x56eb54['system']&&(_0x55def7=_0x39641b()[_0x14185f(0x13b4)](_0x56eb54[_0x14185f(0x9a9)],{'name':_0x56eb54[_0x14185f(0x7be)][_0x14185f(0x1822)]}));for(let _0x3afa13=0x0;_0x3afa13<_0x56eb54[_0x14185f(0x9a9)]['length'];_0x3afa13++){_0x55def7&&_0x56eb54['variables'][_0x3afa13]['id']===_0x55def7['id']&&(_0x56eb54[_0x14185f(0x9a9)][_0x3afa13][_0x14185f(0x15da)]=![],_0x353b51[_0x14185f(0x2785)](_0x56eb54[_0x14185f(0x9a9)][_0x3afa13]));}_0x56eb54[_0x14185f(0x9a9)]=_0x353b51;}else{if(!_0x1dd3fd[_0x14185f(0x12f4)])return _0x8873f8[_0x14185f(0x1198)][_0x14185f(0xbf7)]({'sectionId':_0x1dd3fd['id']})['$promise'][_0x14185f(0x1cb0)](function(_0x40a900){const _0x3e4203=_0x14185f,_0x52cee7=_0x39641b()[_0x3e4203(0x1de2)](_0x40a900['rows'],function(_0x52f46d){const _0x4f1989=_0x3e4203;return _0x39641b()[_0x4f1989(0x13b4)](_0x56eb54[_0x4f1989(0x9a9)],{'id':_0x52f46d[_0x4f1989(0x2982)]});});let _0x4dc5d3=null;_0x56eb54[_0x3e4203(0x7be)]&&(_0x4dc5d3=_0x39641b()['find'](_0x56eb54[_0x3e4203(0x9a9)],{'name':_0x56eb54[_0x3e4203(0x7be)][_0x3e4203(0x1822)]}));if(_0x4dc5d3&&!_0x39641b()[_0x3e4203(0x727)](_0x52cee7,['id',_0x4dc5d3['id']])){const _0xc0573f=_0x39641b()[_0x3e4203(0x13b4)](_0x56eb54[_0x3e4203(0x9a9)],{'id':_0x4dc5d3['id']});_0xc0573f[_0x3e4203(0x15da)]=![],_0x52cee7['push'](_0xc0573f);}_0x56eb54['variables']=_0x52cee7;});}})[_0x34624a(0x1c4)](function(_0x220db7){const _0x2bd267=_0x34624a;_0x1da8f6['error']({'title':_0x220db7['status']?_0x2bd267(0xeb9)+_0x220db7[_0x2bd267(0x291)]+'\x20-\x20'+_0x220db7[_0x2bd267(0xc22)]:_0x2bd267(0xda5),'msg':_0x220db7['data']?JSON['stringify'](_0x220db7[_0x2bd267(0x25c)]):_0x220db7['toString']()});});function _0x312653(){const _0x381f1f=_0x34624a;_0x56eb54[_0x381f1f(0x1a7c)]=[];const _0x4843a5=[];_0x56eb54[_0x381f1f(0x7be)][_0x381f1f(0x66a)]&&_0x56eb54[_0x381f1f(0x7be)][_0x381f1f(0x66a)][_0x381f1f(0x1680)]()==='outbound'&&_0x56eb54[_0x381f1f(0x7be)][_0x381f1f(0x299b)]==='outboundDial'&&(_0x56eb54['system'][_0x381f1f(0x1340)]=_0x1b23b5[_0x381f1f(0x325)]?(_0x56eb54[_0x381f1f(0x7be)][_0x381f1f(0x586)]||'')+_0x381f1f(0x19e4)+_0x1b23b5[_0x381f1f(0x325)]+'}':(_0x56eb54[_0x381f1f(0x7be)][_0x381f1f(0x586)]||'')+'${EXTEN}',_0x1b23b5['recordingFormat']!==_0x381f1f(0x13b1)?_0x56eb54['system'][_0x381f1f(0x2224)][_0x381f1f(0xd8a)](_0x381f1f(0x106a))<0x0&&(_0x56eb54[_0x381f1f(0x7be)][_0x381f1f(0x2224)]+=_0x381f1f(0x106a)):_0x56eb54['system']['options']=_0x56eb54[_0x381f1f(0x7be)][_0x381f1f(0x2224)][_0x381f1f(0x288f)]('U(xcally-mixmonitor-context)',''));if(_0x56eb54[_0x381f1f(0x7be)][_0x381f1f(0x299b)]&&_0x56eb54[_0x381f1f(0x7be)]['appType']===_0x381f1f(0x197c)){}else switch((_0x56eb54[_0x381f1f(0x7be)][_0x381f1f(0x22e1)]||_0x56eb54[_0x381f1f(0x7be)][_0x381f1f(0x299b)])['toLowerCase']()){case _0x381f1f(0x19d1):_0x56eb54[_0x381f1f(0x7be)][_0x381f1f(0x28df)]=_0x56eb54[_0x381f1f(0x7be)]['name']+'='+_0x56eb54[_0x381f1f(0x7be)]['value'];break;case _0x381f1f(0x197c):break;default:_0x4843a5[0x0]=_0x56eb54['system'][_0x381f1f(0xd0d)],_0x4843a5[0x1]=_0x56eb54[_0x381f1f(0x7be)][_0x381f1f(0x1822)],_0x56eb54[_0x381f1f(0x7be)][_0x381f1f(0x28df)]=_0x4843a5['join'](',');}_0x100b1c(_0x56eb54['system']);}function _0x100b1c(_0x47ae49){_0xa32488['hide'](_0x47ae49);}}const _0x2b59dd=_0x455a2a;;_0x25728a[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q','toasty',_0x5537c6(0x422),_0x5537c6(0x226c),_0x5537c6(0x142b),_0x5537c6(0x1774),'crudPermissions'];function _0x25728a(_0xb2c0a5,_0x5063bd,_0x297fbf,_0x18f78c,_0x23f1c0,_0x5c8b0d,_0x43fe2e,_0x1e5703){const _0x16562e=_0x5537c6,_0x1dcdcd=this;_0x1dcdcd[_0x16562e(0xe76)]=_0x43fe2e[_0x16562e(0x21e8)](),_0x1dcdcd[_0x16562e(0x1a7c)]=[],_0x1dcdcd[_0x16562e(0x1386)]='CHAT.EDIT_'+(_0x18f78c[_0x16562e(0x299b)]||_0x18f78c['app'])['toUpperCase'](),_0x1dcdcd[_0x16562e(0x22b1)]=angular[_0x16562e(0x17fe)](_0x18f78c),_0x1dcdcd['crudPermissions']=_0x1e5703,_0x1dcdcd[_0x16562e(0xf4c)]={};if(_0x1dcdcd['tag'][_0x16562e(0x28df)])switch(_0x1dcdcd[_0x16562e(0x22b1)][_0x16562e(0x299b)]?_0x1dcdcd['tag']['appType'][_0x16562e(0x1680)]():_0x1dcdcd['tag']['app'][_0x16562e(0x1680)]()){case _0x16562e(0x197c):break;case'dialogflow':{const _0x38abaa=_0x1dcdcd['tag'][_0x16562e(0x28df)][_0x16562e(0xbe1)](',');_0x1dcdcd[_0x16562e(0x22b1)]['key']=_0x38abaa[0x0],_0x1dcdcd[_0x16562e(0x22b1)]['language']=_0x38abaa[0x1],_0x1dcdcd[_0x16562e(0x22b1)][_0x16562e(0x173a)]=_0x38abaa[_0x16562e(0x14cb)](0x2,_0x38abaa[_0x16562e(0xfd0)])[_0x16562e(0x1f66)](',');}break;case _0x16562e(0xece):{const _0x879139=_0x1dcdcd['tag'][_0x16562e(0x28df)][_0x16562e(0xbe1)](',');_0x1dcdcd[_0x16562e(0x22b1)][_0x16562e(0x2854)]=_0x879139[0x0],_0x1dcdcd['tag'][_0x16562e(0x12bf)]=_0x879139[0x1],_0x1dcdcd[_0x16562e(0x22b1)][_0x16562e(0x29d6)]=_0x879139[0x2],_0x1dcdcd[_0x16562e(0x22b1)][_0x16562e(0x12b4)]=_0x879139[0x3],_0x1dcdcd['tag']['welcomemessage']=_0x879139['slice'](0x4,_0x879139['length'])[_0x16562e(0x1f66)](',');}break;case _0x16562e(0x123a):{const _0x53ec01=_0x1dcdcd[_0x16562e(0x22b1)][_0x16562e(0x28df)]['split'](',');_0x1dcdcd[_0x16562e(0x22b1)][_0x16562e(0x413)]=_0x53ec01[0x0],_0x1dcdcd[_0x16562e(0x22b1)]['secretaccesskey']=_0x53ec01[0x1],_0x1dcdcd[_0x16562e(0x22b1)][_0x16562e(0xd50)]=_0x53ec01[0x2],_0x1dcdcd[_0x16562e(0x22b1)]['botname']=_0x53ec01[0x3],_0x1dcdcd[_0x16562e(0x22b1)][_0x16562e(0x173a)]=_0x53ec01[_0x16562e(0x14cb)](0x4,_0x53ec01[_0x16562e(0xfd0)])[_0x16562e(0x1f66)](',');}break;case _0x16562e(0x1f71):{const _0x7b1e99=_0x1dcdcd[_0x16562e(0x22b1)][_0x16562e(0x28df)][_0x16562e(0xbe1)](',');_0x1dcdcd[_0x16562e(0x22b1)][_0x16562e(0x18a5)]=isNaN(_0x7b1e99[0x0])?_0x7b1e99[0x0]:parseInt(_0x7b1e99[0x0],0xa),_0x1dcdcd[_0x16562e(0x22b1)][_0x16562e(0x19d3)]=_0x7b1e99[_0x16562e(0x14cb)](0x1,_0x7b1e99[_0x16562e(0xfd0)])[_0x16562e(0x1f66)](',');}break;case _0x16562e(0x155e):_0x1dcdcd[_0x16562e(0x22b1)][_0x16562e(0x19d3)]=_0x1dcdcd[_0x16562e(0x22b1)][_0x16562e(0x28df)];break;case _0x16562e(0x19d1):_0x1dcdcd['tag']['name']=_0x1dcdcd[_0x16562e(0x22b1)][_0x16562e(0x28df)][_0x16562e(0xbe1)]('=')[0x0],_0x1dcdcd[_0x16562e(0x22b1)][_0x16562e(0x327)]=_0x1dcdcd[_0x16562e(0x22b1)][_0x16562e(0x28df)][_0x16562e(0xbe1)]('=')[0x1];break;case'agi':_0x1dcdcd[_0x16562e(0x22b1)]['project']=_0x1dcdcd[_0x16562e(0x22b1)][_0x16562e(0x28df)];break;default:{const _0x15f329=_0x1dcdcd[_0x16562e(0x22b1)]['appdata'][_0x16562e(0xbe1)](',');_0x1dcdcd[_0x16562e(0x22b1)][_0x16562e(0x22b1)]=_0x39641b()[_0x16562e(0xce9)](_0x15f329[0x0])?_0x15f329[0x0]:isNaN(_0x15f329[0x0])?_0x15f329[0x0]:parseInt(_0x15f329[0x0],0xa);}break;}else{}_0x1dcdcd[_0x16562e(0x22b1)]['type']&&_0x1dcdcd[_0x16562e(0x22b1)][_0x16562e(0x66a)][_0x16562e(0x1680)]()==='outbound'&&_0x1dcdcd[_0x16562e(0x22b1)]['appType'][_0x16562e(0x1680)]()==='outbounddial'&&(_0x1dcdcd[_0x16562e(0x22b1)][_0x16562e(0x586)]=_0x1dcdcd[_0x16562e(0x22b1)][_0x16562e(0x1340)]?_0x1dcdcd[_0x16562e(0x22b1)][_0x16562e(0x1340)][_0x16562e(0xbe1)]('$')[0x0]:undefined,_0x1dcdcd[_0x16562e(0x22b1)][_0x16562e(0x1e7c)]=_0x1dcdcd[_0x16562e(0x22b1)][_0x16562e(0x2816)]?'CALLERID(all)='+_0x1dcdcd[_0x16562e(0x22b1)]['callerID']:undefined);_0x1dcdcd[_0x16562e(0x7b3)]=_0x351731,_0x1dcdcd[_0x16562e(0xda0)]=_0x44ce9e,_0x43fe2e[_0x16562e(0x22b6)](_0x16562e(0x1c60))?_0x5c8b0d[_0x16562e(0x22b1)][_0x16562e(0xbf7)]({'fields':'id,name','sort':_0x16562e(0x16b6),'nolimit':'true'})[_0x16562e(0x1d77)][_0x16562e(0x1cb0)](function(_0xb380be){const _0x9e04d2=_0x16562e;_0x1dcdcd[_0x9e04d2(0xfbf)]=_0xb380be[_0x9e04d2(0x2214)]||[];})['catch'](function(_0xa35c7b){const _0x53cb9e=_0x16562e;_0x297fbf[_0x53cb9e(0x218e)]({'title':_0xa35c7b[_0x53cb9e(0x291)]?_0x53cb9e(0xeb9)+_0xa35c7b[_0x53cb9e(0x291)]+_0x53cb9e(0x1657)+_0xa35c7b['statusText']:_0x53cb9e(0x12f9),'msg':_0xa35c7b[_0x53cb9e(0x25c)]?JSON[_0x53cb9e(0x2701)](_0xa35c7b[_0x53cb9e(0x25c)]):_0xa35c7b[_0x53cb9e(0x147f)]()});}):_0x5c8b0d[_0x16562e(0x22b1)][_0x16562e(0xbf7)]({'fields':_0x16562e(0x43c),'sort':_0x16562e(0x16b6),'nolimit':_0x16562e(0x44d)})[_0x16562e(0x1d77)][_0x16562e(0x1cb0)](function(_0x1f67ff){const _0x5c5bd4=_0x16562e;_0x1dcdcd[_0x5c5bd4(0xfbf)]=_0x1f67ff[_0x5c5bd4(0x2214)]||[];})[_0x16562e(0x1cb0)](function(){const _0xa4cb0e=_0x16562e;return _0x5c8b0d['userProfileSection'][_0xa4cb0e(0xbf7)]({'userProfileId':_0x1dcdcd[_0xa4cb0e(0xe76)][_0xa4cb0e(0x13c1)],'sectionId':0x3f0})['$promise'];})[_0x16562e(0x1cb0)](function(_0x4c22aa){const _0x5d2a89=_0x16562e,_0x42cdf9=_0x4c22aa&&_0x4c22aa[_0x5d2a89(0x2214)]?_0x4c22aa['rows'][0x0]:null;if(!_0x42cdf9){const _0x488780=[];let _0xf745e8=null;_0x1dcdcd[_0x5d2a89(0x22b1)]&&(_0xf745e8=_0x39641b()['find'](_0x1dcdcd['tags'],{'name':_0x1dcdcd[_0x5d2a89(0x22b1)][_0x5d2a89(0x22b1)]}));for(let _0x35fd12=0x0;_0x35fd12<_0x1dcdcd[_0x5d2a89(0xfbf)][_0x5d2a89(0xfd0)];_0x35fd12++){_0xf745e8&&_0x1dcdcd['tags'][_0x35fd12]['id']===_0xf745e8['id']&&(_0x1dcdcd['tags'][_0x35fd12][_0x5d2a89(0x15da)]=![],_0x488780[_0x5d2a89(0x2785)](_0x1dcdcd[_0x5d2a89(0xfbf)][_0x35fd12]));}_0x1dcdcd['tags']=_0x488780;}else{if(!_0x42cdf9[_0x5d2a89(0x12f4)])return _0x5c8b0d[_0x5d2a89(0x1198)]['get']({'sectionId':_0x42cdf9['id']})[_0x5d2a89(0x1d77)][_0x5d2a89(0x1cb0)](function(_0x13cf2b){const _0x1241d7=_0x5d2a89,_0x3800fd=_0x39641b()['map'](_0x13cf2b['rows'],function(_0xc874cc){const _0x4b55a6=a0_0x5cbd;return _0x39641b()['find'](_0x1dcdcd[_0x4b55a6(0xfbf)],{'id':_0xc874cc['resourceId']});});let _0x4e53d0=null;_0x1dcdcd[_0x1241d7(0x22b1)]&&(_0x4e53d0=_0x39641b()['find'](_0x1dcdcd['tags'],{'name':_0x1dcdcd[_0x1241d7(0x22b1)][_0x1241d7(0x22b1)]}));if(_0x4e53d0&&!_0x39641b()['some'](_0x3800fd,['id',_0x4e53d0['id']])){const _0x369f80=_0x39641b()[_0x1241d7(0x13b4)](_0x1dcdcd[_0x1241d7(0xfbf)],{'id':_0x4e53d0['id']});_0x369f80[_0x1241d7(0x15da)]=![],_0x3800fd[_0x1241d7(0x2785)](_0x369f80);}_0x1dcdcd['tags']=_0x3800fd;});}})[_0x16562e(0x1c4)](function(_0x344ff1){const _0x33f8ee=_0x16562e;_0x297fbf[_0x33f8ee(0x218e)]({'title':_0x344ff1[_0x33f8ee(0x291)]?_0x33f8ee(0xeb9)+_0x344ff1['status']+_0x33f8ee(0x1657)+_0x344ff1[_0x33f8ee(0xc22)]:'SYSTEM:GETtags','msg':_0x344ff1[_0x33f8ee(0x25c)]?JSON['stringify'](_0x344ff1[_0x33f8ee(0x25c)]):_0x344ff1['toString']()});});function _0x351731(){const _0x60a6c5=_0x16562e;_0x1dcdcd[_0x60a6c5(0x1a7c)]=[];const _0x571dfb=[];_0x1dcdcd[_0x60a6c5(0x22b1)][_0x60a6c5(0x66a)]&&_0x1dcdcd[_0x60a6c5(0x22b1)][_0x60a6c5(0x66a)]['toLowerCase']()===_0x60a6c5(0x895)&&_0x1dcdcd[_0x60a6c5(0x22b1)][_0x60a6c5(0x299b)]===_0x60a6c5(0x25f4)&&(_0x1dcdcd['tag']['phone']=_0x23f1c0[_0x60a6c5(0x325)]?(_0x1dcdcd[_0x60a6c5(0x22b1)]['prefix']||'')+'${EXTEN:'+_0x23f1c0['cutdigits']+'}':(_0x1dcdcd[_0x60a6c5(0x22b1)][_0x60a6c5(0x586)]||'')+_0x60a6c5(0xcdd),_0x23f1c0[_0x60a6c5(0xf8d)]!==_0x60a6c5(0x13b1)?_0x1dcdcd['tag']['options']['indexOf'](_0x60a6c5(0x106a))<0x0&&(_0x1dcdcd[_0x60a6c5(0x22b1)][_0x60a6c5(0x2224)]+=_0x60a6c5(0x106a)):_0x1dcdcd['tag'][_0x60a6c5(0x2224)]=_0x1dcdcd[_0x60a6c5(0x22b1)][_0x60a6c5(0x2224)][_0x60a6c5(0x288f)]('U(xcally-mixmonitor-context)',''));const _0x598d1b=_0x39641b()[_0x60a6c5(0x13b4)](_0x1dcdcd[_0x60a6c5(0xfbf)],{'name':_0x1dcdcd[_0x60a6c5(0x22b1)][_0x60a6c5(0x22b1)]});_0x598d1b&&(_0x1dcdcd[_0x60a6c5(0x22b1)][_0x60a6c5(0x1754)]=_0x598d1b['id']);if(_0x1dcdcd[_0x60a6c5(0x22b1)][_0x60a6c5(0x299b)]&&_0x1dcdcd[_0x60a6c5(0x22b1)][_0x60a6c5(0x299b)]==='custom'){}else switch((_0x1dcdcd['tag'][_0x60a6c5(0x22e1)]||_0x1dcdcd[_0x60a6c5(0x22b1)][_0x60a6c5(0x299b)])[_0x60a6c5(0x1680)]()){case _0x60a6c5(0x19d1):_0x1dcdcd[_0x60a6c5(0x22b1)][_0x60a6c5(0x28df)]=_0x1dcdcd[_0x60a6c5(0x22b1)][_0x60a6c5(0x16b6)]+'='+_0x1dcdcd[_0x60a6c5(0x22b1)][_0x60a6c5(0x327)];break;case _0x60a6c5(0x197c):break;default:_0x571dfb[0x0]=_0x1dcdcd[_0x60a6c5(0x22b1)][_0x60a6c5(0x22b1)],_0x1dcdcd['tag']['appdata']=_0x571dfb['join'](',');}_0x44ce9e(_0x1dcdcd[_0x60a6c5(0x22b1)]);}function _0x44ce9e(_0x258ed3){const _0x15c290=_0x16562e;_0xb2c0a5[_0x15c290(0x1426)](_0x258ed3);}}const _0x14d15c=_0x25728a;;const _0x19dbee=_0x5074a3['p']+_0x5537c6(0x516);;_0x59179c['$inject']=[_0x5537c6(0x173),_0x5537c6(0x1463),_0x5537c6(0x406),'$q',_0x5537c6(0x1ae),_0x5537c6(0x2168),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x1774)];function _0x59179c(_0x497f2f,_0x26aed3,_0x536a6f,_0x2bdc4b,_0x30a63b,_0x32fe06,_0x1f8c6b,_0x7480bf,_0x108745,_0x30cab9,_0x5a7cd3){const _0x3205ad=_0x5537c6,_0x26a2c6=this;_0x26a2c6[_0x3205ad(0xe76)]=_0x5a7cd3[_0x3205ad(0x21e8)](),_0x26a2c6['chatWebsite']={},_0x26a2c6['chatWebsiteChatCannedAnswers']={'count':0x0,'rows':[]},_0x26a2c6[_0x3205ad(0x1524)]=[],_0x26a2c6[_0x3205ad(0x1b1a)],_0x26a2c6[_0x3205ad(0xae2)]={'fields':_0x3205ad(0x1d68),'limit':0xa,'page':0x1},_0x26a2c6[_0x3205ad(0x1a8e)]=_0x4c783a,_0x26a2c6['deleteConfirm']=_0x48ca3b,_0x26a2c6['success']=_0x4e5347,_0x26a2c6['getChatWebsiteChatCannedAnswers']=_0x3c156d,_0x26a2c6[_0x3205ad(0x27d3)]=_0x3e3d53,_0x26a2c6[_0x3205ad(0x1f3c)]=_0x372dee,_0x26a2c6[_0x3205ad(0x1b06)]=_0x9aa2bd,_0x26a2c6['deleteSelectedChatWebsiteChatCannedAnswers']=_0x20411a;function _0x4c783a(_0x5010e8,_0x472f3c){const _0x38abc6=_0x3205ad;_0x26a2c6[_0x38abc6(0x226c)]=_0x5010e8,_0x26a2c6[_0x38abc6(0x1b1a)]=typeof _0x472f3c!==_0x38abc6(0x16b5)?_0x472f3c:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x26a2c6[_0x38abc6(0xae2)][_0x38abc6(0x67c)]=_0x26a2c6[_0x38abc6(0x226c)]['id'],_0x26a2c6[_0x38abc6(0xae2)]['id']=_0x26a2c6[_0x38abc6(0x226c)]['id'],_0x3c156d();}function _0x48ca3b(_0x5c7f25,_0x1b231d){const _0x5a1bb7=_0x3205ad,_0x151ee3=_0x1f8c6b['confirm']()[_0x5a1bb7(0x1386)](_0x5a1bb7(0x17f8))['htmlContent'](_0x5a1bb7(0x204d)+(_0x5c7f25[_0x5a1bb7(0x16b6)]||_0x5c7f25['id']&&_0x39641b()['upperFirst'](_0x5a1bb7(0x21b7))+_0x5c7f25['id']||_0x5a1bb7(0x2190))+_0x5a1bb7(0x1200)+_0x5a1bb7(0x1b6))[_0x5a1bb7(0x15ad)](_0x5a1bb7(0x1110))[_0x5a1bb7(0x728)](_0x1b231d)['ok']('OK')[_0x5a1bb7(0x696)](_0x5a1bb7(0x24ba));_0x1f8c6b[_0x5a1bb7(0xe27)](_0x151ee3)[_0x5a1bb7(0x1cb0)](function(){_0x9aa2bd(_0x5c7f25);},function(){const _0x26601b=_0x5a1bb7;console[_0x26601b(0x1b4f)](_0x26601b(0x24ba));});}function _0x4e5347(_0xb82ffe){const _0x3929ef=_0x3205ad;_0x26a2c6[_0x3929ef(0x8a6)]=_0xb82ffe||{'count':0x0,'rows':[]};}function _0x3c156d(){const _0x2ffbb0=_0x3205ad;_0x26a2c6['query'][_0x2ffbb0(0x184b)]=(_0x26a2c6[_0x2ffbb0(0xae2)][_0x2ffbb0(0x1c7b)]-0x1)*_0x26a2c6[_0x2ffbb0(0xae2)][_0x2ffbb0(0x236)],_0x26a2c6[_0x2ffbb0(0x2061)]=_0x30cab9[_0x2ffbb0(0x226c)][_0x2ffbb0(0x1ec5)](_0x26a2c6[_0x2ffbb0(0xae2)],_0x4e5347)[_0x2ffbb0(0x1d77)];}function _0x3e3d53(_0x2c699d,_0x355c90){const _0x29555c=_0x3205ad;_0x1f8c6b[_0x29555c(0xe27)]({'controller':_0x29555c(0x1b7b),'controllerAs':'vm','templateUrl':_0x19dbee,'parent':angular['element'](_0x7480bf[_0x29555c(0x1ed9)]),'targetEvent':_0x2c699d,'clickOutsideToClose':!![],'locals':{'chatWebsite':_0x26a2c6['chatWebsite'],'chatCannedAnswer':_0x355c90,'chatCannedAnswers':_0x26a2c6['chatWebsiteChatCannedAnswers'][_0x29555c(0x2214)],'license':null,'setting':null,'crudPermissions':_0x26a2c6[_0x29555c(0x1b1a)]}});}function _0x9aa2bd(_0x2ef028){const _0x167296=_0x3205ad;_0x30cab9[_0x167296(0x1c25)][_0x167296(0x111d)]({'id':_0x2ef028['id']})[_0x167296(0x1d77)]['then'](function(){const _0x15071e=_0x167296;_0x39641b()[_0x15071e(0x152a)](_0x26a2c6[_0x15071e(0x8a6)]['rows'],{'id':_0x2ef028['id']}),_0x26a2c6[_0x15071e(0x8a6)]['count']-=0x1,!_0x26a2c6[_0x15071e(0x8a6)][_0x15071e(0x2214)][_0x15071e(0xfd0)]&&_0x3c156d(),_0x108745[_0x15071e(0x829)]({'title':_0x15071e(0x164c),'msg':_0x2ef028[_0x15071e(0x16b6)]?_0x2ef028[_0x15071e(0x16b6)]+_0x15071e(0x3f5):''});})[_0x167296(0x1c4)](function(_0x193ddb){const _0x24a7b3=_0x167296;if(_0x193ddb[_0x24a7b3(0x25c)]&&_0x193ddb[_0x24a7b3(0x25c)][_0x24a7b3(0x1a7c)]&&_0x193ddb[_0x24a7b3(0x25c)][_0x24a7b3(0x1a7c)][_0x24a7b3(0xfd0)]){_0x26a2c6[_0x24a7b3(0x1a7c)]=_0x193ddb['data'][_0x24a7b3(0x1a7c)]||[{'message':_0x193ddb[_0x24a7b3(0x147f)](),'type':_0x24a7b3(0x5d5)}];for(let _0xa27555=0x0;_0xa27555<_0x193ddb[_0x24a7b3(0x25c)][_0x24a7b3(0x1a7c)]['length'];_0xa27555++){_0x108745[_0x24a7b3(0x218e)]({'title':_0x193ddb[_0x24a7b3(0x25c)][_0x24a7b3(0x1a7c)][_0xa27555][_0x24a7b3(0x66a)],'msg':_0x193ddb[_0x24a7b3(0x25c)][_0x24a7b3(0x1a7c)][_0xa27555][_0x24a7b3(0x155e)]});}}else _0x108745[_0x24a7b3(0x218e)]({'title':_0x193ddb[_0x24a7b3(0x291)]?_0x24a7b3(0xeb9)+_0x193ddb[_0x24a7b3(0x291)]+_0x24a7b3(0x1657)+_0x193ddb[_0x24a7b3(0xc22)]:_0x24a7b3(0x5d5),'msg':_0x193ddb[_0x24a7b3(0x25c)]?JSON['stringify'](_0x193ddb['data'][_0x24a7b3(0x155e)]):_0x193ddb['message']||_0x193ddb[_0x24a7b3(0x147f)]()});});}function _0x372dee(){const _0x1eaad5=_0x3205ad,_0x462c6d=angular[_0x1eaad5(0x17fe)](_0x26a2c6[_0x1eaad5(0x1524)]);return _0x26a2c6['selectedChatWebsiteChatCannedAnswers']=[],_0x462c6d;}function _0x20411a(_0xf528c4){const _0x5c132=_0x3205ad,_0x5b39b0=_0x1f8c6b[_0x5c132(0x1551)]()[_0x5c132(0x1386)](_0x5c132(0xdee))[_0x5c132(0x49e)](_0x5c132(0x204d)+_0x26a2c6[_0x5c132(0x1524)][_0x5c132(0xfd0)]+_0x5c132(0x1d6c)+_0x5c132(0x1b6))[_0x5c132(0x15ad)]('delete\x20chatCannedAnswers')[_0x5c132(0x728)](_0xf528c4)['ok']('OK')['cancel'](_0x5c132(0x24ba));_0x1f8c6b[_0x5c132(0xe27)](_0x5b39b0)[_0x5c132(0x1cb0)](function(){const _0x379ae3=_0x5c132;_0x26a2c6[_0x379ae3(0x1524)][_0x379ae3(0xf90)](function(_0x194c98){_0x9aa2bd(_0x194c98);}),_0x26a2c6['selectedChatWebsiteChatCannedAnswers']=[];});}let _0x459ccc=!![],_0x4c6169=0x1;_0x26aed3['$watch'](_0x3205ad(0xeb6),function(_0x2b6228,_0x2a44f3){const _0x498023=_0x3205ad;_0x459ccc?_0x32fe06(function(){_0x459ccc=![];}):(!_0x2a44f3&&(_0x4c6169=_0x26a2c6[_0x498023(0xae2)][_0x498023(0x1c7b)]),_0x2b6228!==_0x2a44f3&&(_0x26a2c6[_0x498023(0xae2)]['page']=0x1),!_0x2b6228&&(_0x26a2c6[_0x498023(0xae2)][_0x498023(0x1c7b)]=_0x4c6169),_0x3c156d());});}const _0x16d587=_0x59179c;;_0x24441d['$inject']=['$scope',_0x5537c6(0x406),'$location',_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0xa51),'chatCannedAnswer',_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca),'crudPermissions'];function _0x24441d(_0x18224b,_0x239fe3,_0xbedd0e,_0x201835,_0xd3e224,_0x19a203,_0x33cf22,_0xd1bcf6,_0x3734ec,_0x5140e0,_0x5c631e,_0x3782b3,_0x575bcf,_0x28d7fa){const _0x4bb12e=_0x5537c6,_0x428195=this;_0x428195['currentUser']=_0x5c631e['getCurrentUser'](),_0x428195[_0x4bb12e(0x1a7c)]=[],_0x428195[_0x4bb12e(0x9ca)]=_0x575bcf,_0x428195[_0x4bb12e(0x8a5)]=_0x3782b3,_0x428195['crudPermissions']=_0x28d7fa,_0x428195[_0x4bb12e(0xf4c)]={},_0x428195[_0x4bb12e(0x1b0c)]=_0x428195[_0x4bb12e(0x9ca)]&&_0x428195[_0x4bb12e(0x9ca)][_0x4bb12e(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x428195[_0x4bb12e(0x1386)]=_0x4bb12e(0x1109),_0x428195[_0x4bb12e(0x2190)]=angular[_0x4bb12e(0x17fe)](_0x3734ec),_0x428195['chatCannedAnswers']=_0xd1bcf6,_0x428195['newChatCannedAnswer']=![];!_0x428195['chatCannedAnswer']&&(_0x428195['chatCannedAnswer']={},_0x428195[_0x4bb12e(0x1386)]=_0x4bb12e(0x16e5),_0x428195[_0x4bb12e(0x1f7f)]=!![]);_0x239fe3['params']['id']&&(_0x428195['chatCannedAnswer'][_0x4bb12e(0x67c)]=_0x239fe3[_0x4bb12e(0x1dfe)]['id']);_0x428195[_0x4bb12e(0x13d2)]=_0x4f9451,_0x428195[_0x4bb12e(0x4f9)]=_0xe33e04,_0x428195[_0x4bb12e(0x13e0)]=_0x1c9f7,_0x428195[_0x4bb12e(0x2c4)]=_0x3ca9ba,_0x428195[_0x4bb12e(0xda0)]=_0x2329fb;function _0x4f9451(){const _0x4d6971=_0x4bb12e;_0x428195[_0x4d6971(0x1a7c)]=[],_0x5140e0['cannedAnswer'][_0x4d6971(0x1c3f)](_0x428195[_0x4d6971(0x2190)])[_0x4d6971(0x1d77)][_0x4d6971(0x1cb0)](function(_0x3769d6){const _0x31007d=_0x4d6971;_0x428195['chatCannedAnswers'][_0x31007d(0xf63)](_0x3769d6[_0x31007d(0x19b2)]()),_0x33cf22[_0x31007d(0x829)]({'title':_0x31007d(0xf84),'msg':_0x428195['chatCannedAnswer'][_0x31007d(0x16b6)]?_0x428195['chatCannedAnswer'][_0x31007d(0x16b6)]+'\x20has\x20been\x20created!':''}),_0x2329fb(_0x3769d6);})[_0x4d6971(0x1c4)](function(_0x36d7b0){const _0x34cf1a=_0x4d6971;if(_0x36d7b0['data']&&_0x36d7b0['data'][_0x34cf1a(0x1a7c)]&&_0x36d7b0[_0x34cf1a(0x25c)][_0x34cf1a(0x1a7c)]['length']){_0x428195[_0x34cf1a(0x1a7c)]=_0x36d7b0[_0x34cf1a(0x25c)][_0x34cf1a(0x1a7c)]||[{'message':_0x36d7b0['toString'](),'type':_0x34cf1a(0x224)}];for(let _0x39db2c=0x0;_0x39db2c<_0x36d7b0[_0x34cf1a(0x25c)][_0x34cf1a(0x1a7c)][_0x34cf1a(0xfd0)];_0x39db2c+=0x1){_0x33cf22[_0x34cf1a(0x218e)]({'title':_0x36d7b0[_0x34cf1a(0x25c)][_0x34cf1a(0x1a7c)][_0x39db2c][_0x34cf1a(0x66a)],'msg':_0x36d7b0[_0x34cf1a(0x25c)]['errors'][_0x39db2c][_0x34cf1a(0x155e)]});}}else _0x33cf22[_0x34cf1a(0x218e)]({'title':_0x36d7b0['status']?_0x34cf1a(0xeb9)+_0x36d7b0[_0x34cf1a(0x291)]+_0x34cf1a(0x1657)+_0x36d7b0[_0x34cf1a(0xc22)]:_0x34cf1a(0x224),'msg':_0x36d7b0['data']?JSON[_0x34cf1a(0x2701)](_0x36d7b0[_0x34cf1a(0x25c)][_0x34cf1a(0x155e)]):_0x36d7b0[_0x34cf1a(0x147f)]()});});}function _0xe33e04(){const _0x16cecd=_0x4bb12e;_0x428195[_0x16cecd(0x1a7c)]=[],_0x5140e0[_0x16cecd(0x1c25)]['update']({'id':_0x428195[_0x16cecd(0x2190)]['id']},_0x428195['chatCannedAnswer'])[_0x16cecd(0x1d77)][_0x16cecd(0x1cb0)](function(_0x8929a2){const _0x51d9f1=_0x16cecd,_0x2f1321=_0x39641b()[_0x51d9f1(0x13b4)](_0x428195['chatCannedAnswers'],{'id':_0x8929a2['id']});_0x2f1321&&_0x39641b()[_0x51d9f1(0x9c1)](_0x2f1321,_0x39641b()[_0x51d9f1(0x169b)](_0x8929a2[_0x51d9f1(0x19b2)](),_0x39641b()[_0x51d9f1(0x1be5)](_0x2f1321))),_0x33cf22['success']({'title':_0x51d9f1(0x374),'msg':_0x428195[_0x51d9f1(0x2190)]['name']?_0x428195[_0x51d9f1(0x2190)][_0x51d9f1(0x16b6)]+'\x20has\x20been\x20saved!':''}),_0x2329fb(_0x8929a2);})['catch'](function(_0x42f387){const _0x5011b9=_0x16cecd;if(_0x42f387[_0x5011b9(0x25c)]&&_0x42f387[_0x5011b9(0x25c)][_0x5011b9(0x1a7c)]&&_0x42f387[_0x5011b9(0x25c)][_0x5011b9(0x1a7c)][_0x5011b9(0xfd0)]){_0x428195[_0x5011b9(0x1a7c)]=_0x42f387['data'][_0x5011b9(0x1a7c)]||[{'message':_0x42f387['toString'](),'type':_0x5011b9(0x922)}];for(let _0x936c92=0x0;_0x936c92<_0x42f387[_0x5011b9(0x25c)][_0x5011b9(0x1a7c)]['length'];_0x936c92++){_0x33cf22['error']({'title':_0x42f387[_0x5011b9(0x25c)]['errors'][_0x936c92][_0x5011b9(0x66a)],'msg':_0x42f387['data'][_0x5011b9(0x1a7c)][_0x936c92][_0x5011b9(0x155e)]});}}else _0x33cf22['error']({'title':_0x42f387['status']?_0x5011b9(0xeb9)+_0x42f387[_0x5011b9(0x291)]+'\x20-\x20'+_0x42f387[_0x5011b9(0xc22)]:_0x5011b9(0x922),'msg':_0x42f387[_0x5011b9(0x25c)]?JSON[_0x5011b9(0x2701)](_0x42f387[_0x5011b9(0x25c)][_0x5011b9(0x155e)]):_0x42f387[_0x5011b9(0x147f)]()});});}function _0x1c9f7(_0x354aa1){const _0x31d0c4=_0x4bb12e;_0x428195[_0x31d0c4(0x1a7c)]=[];const _0x3edbcc=_0x201835['confirm']()['title'](_0x31d0c4(0x1a2e))[_0x31d0c4(0x862)](_0x31d0c4(0x112c))[_0x31d0c4(0x15ad)](_0x31d0c4(0x1214))['ok'](_0x31d0c4(0x2594))[_0x31d0c4(0x696)](_0x31d0c4(0xde1))[_0x31d0c4(0x728)](_0x354aa1);_0x201835['show'](_0x3edbcc)[_0x31d0c4(0x1cb0)](function(){const _0x25ec9d=_0x31d0c4;_0x5140e0[_0x25ec9d(0x1c25)][_0x25ec9d(0x111d)]({'id':_0x428195[_0x25ec9d(0x2190)]['id']})[_0x25ec9d(0x1d77)][_0x25ec9d(0x1cb0)](function(){const _0x59b47a=_0x25ec9d;_0x39641b()['remove'](_0x428195[_0x59b47a(0xa51)],{'id':_0x428195[_0x59b47a(0x2190)]['id']}),_0x33cf22['success']({'title':_0x59b47a(0xbba),'msg':(_0x428195['chatCannedAnswer'][_0x59b47a(0x16b6)]||'chatCannedAnswer')+_0x59b47a(0x3f5)}),_0x2329fb(_0x428195[_0x59b47a(0x2190)]);})[_0x25ec9d(0x1c4)](function(_0x3f1576){const _0x2e27e2=_0x25ec9d;if(_0x3f1576[_0x2e27e2(0x25c)]&&_0x3f1576['data'][_0x2e27e2(0x1a7c)]&&_0x3f1576[_0x2e27e2(0x25c)][_0x2e27e2(0x1a7c)]['length']){_0x428195[_0x2e27e2(0x1a7c)]=_0x3f1576[_0x2e27e2(0x25c)]['errors']||[{'message':_0x3f1576[_0x2e27e2(0x147f)](),'type':_0x2e27e2(0x1399)}];for(let _0x28fa16=0x0;_0x28fa16<_0x3f1576['data']['errors'][_0x2e27e2(0xfd0)];_0x28fa16++){_0x33cf22[_0x2e27e2(0x218e)]({'title':_0x3f1576[_0x2e27e2(0x25c)][_0x2e27e2(0x1a7c)][_0x28fa16][_0x2e27e2(0x66a)],'msg':_0x3f1576[_0x2e27e2(0x25c)]['errors'][_0x28fa16][_0x2e27e2(0x155e)]});}}else _0x33cf22[_0x2e27e2(0x218e)]({'title':_0x3f1576[_0x2e27e2(0x291)]?'API:'+_0x3f1576[_0x2e27e2(0x291)]+_0x2e27e2(0x1657)+_0x3f1576[_0x2e27e2(0xc22)]:_0x2e27e2(0x1399),'msg':_0x3f1576[_0x2e27e2(0x25c)]?JSON[_0x2e27e2(0x2701)](_0x3f1576[_0x2e27e2(0x25c)]['message']):_0x3f1576[_0x2e27e2(0x155e)]||_0x3f1576['toString']()});});},function(){});}function _0x3ca9ba(_0x1257d3){return _0x1257d3===null?undefined:new Date(_0x1257d3);}function _0x2329fb(_0x57dd89){_0x201835['hide'](_0x57dd89);}}const _0x2a8571=_0x24441d;;_0xb6cf24[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),'$state','$mdSidenav',_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x1774)];function _0xb6cf24(_0x2cb579,_0x2fcde3,_0x125404,_0x1c9aeb,_0x37c140,_0x20ade6,_0x3f1e8a,_0x204863,_0x46ec53,_0x1a8e76,_0x36f53f){const _0x38f38a=_0x5537c6,_0x17aa3e=this;_0x17aa3e['currentUser']=_0x36f53f['getCurrentUser'](),_0x17aa3e[_0x38f38a(0xdf2)]={'count':0x0,'rows':[]},_0x17aa3e[_0x38f38a(0x122a)]=[],_0x17aa3e[_0x38f38a(0x1b1a)],_0x17aa3e[_0x38f38a(0x2376)]={'first':_0x38f38a(0x29a0),'second':_0x38f38a(0x26db),'third':_0x38f38a(0xfbe)},_0x17aa3e[_0x38f38a(0xae2)]={'fields':_0x38f38a(0x1f4b),'sort':_0x38f38a(0x282),'limit':0xa,'page':0x1},_0x17aa3e['init']=_0x42ea4b,_0x17aa3e['deleteConfirm']=_0x5278dd,_0x17aa3e[_0x38f38a(0x829)]=_0x1135b0,_0x17aa3e[_0x38f38a(0x640)]=_0x3d1cb5,_0x17aa3e[_0x38f38a(0x932)]=_0x211ad4,_0x17aa3e[_0x38f38a(0x20b3)]=_0x2eaf03,_0x17aa3e['exportSelectedDispositions']=_0x42c4bb,_0x17aa3e['deleteSelectedDispositions']=_0x49d976,_0x17aa3e[_0x38f38a(0x25ec)]=_0x4582cf,_0x17aa3e['selectAllDispositions']=_0x5119cf;function _0x42ea4b(_0x43b188,_0x1511c4){const _0x23b8b6=_0x38f38a;_0x17aa3e[_0x23b8b6(0x226c)]=_0x43b188||{},_0x17aa3e[_0x23b8b6(0x1b1a)]=typeof _0x1511c4!==_0x23b8b6(0x16b5)?_0x1511c4:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x17aa3e[_0x23b8b6(0xae2)][_0x23b8b6(0x67c)]=_0x17aa3e[_0x23b8b6(0x226c)]['id'],_0x17aa3e[_0x23b8b6(0xae2)]['id']=_0x17aa3e[_0x23b8b6(0x226c)]['id'],_0x3d1cb5();}function _0x211ad4(_0x11682a,_0x154083){const _0x4d68f7=_0x38f38a;_0x37c140[_0x4d68f7(0xe27)]({'controller':_0x4d68f7(0x201d),'controllerAs':'vm','templateUrl':_0x3d7049,'parent':angular[_0x4d68f7(0x1853)](_0x20ade6[_0x4d68f7(0x1ed9)]),'targetEvent':_0x11682a,'clickOutsideToClose':!![],'locals':{'disposition':_0x154083,'model':{'id':_0x17aa3e['chatWebsite']['id'],'field':_0x4d68f7(0x67c),'route':_0x4d68f7(0x226c)},'license':null,'setting':null,'crudPermissions':_0x17aa3e[_0x4d68f7(0x1b1a)]}})[_0x4d68f7(0x1cb0)](function(_0x1977d7){if(_0x1977d7)_0x3d1cb5();});}function _0x5278dd(_0x5dbfdf,_0x219270){const _0x506236=_0x38f38a,_0x4356d4=_0x39641b()[_0x506236(0x727)](_0x17aa3e['dispositions'][_0x506236(0x2214)],['ParentId',_0x5dbfdf['id']]),_0x15889a=_0x37c140['confirm']()[_0x506236(0x1386)](_0x204863['instant']('TOOLS.NOTIFICATIONS.DISPOSITION_DELETE_TITLE'))[_0x506236(0x862)](_0x204863['instant'](_0x506236(0x250b)+(_0x4356d4?'DISPOSITION_DELETE_MESSAGE_CHILDREN':'DISPOSITION_DELETE_MESSAGE'),{'name':_0x5dbfdf[_0x506236(0x16b6)]}))[_0x506236(0x15ad)](_0x506236(0x35d))['targetEvent'](_0x219270)['ok']('OK')[_0x506236(0x696)](_0x204863[_0x506236(0x25cc)](_0x506236(0x2768)));_0x37c140[_0x506236(0xe27)](_0x15889a)[_0x506236(0x1cb0)](function(){_0x2eaf03(_0x5dbfdf);});}function _0x1135b0(_0x4017ab){_0x17aa3e['dispositions']=_0x4017ab||{'count':0x0,'rows':[]};}function _0x3d1cb5(){const _0x1500f0=_0x38f38a;_0x17aa3e[_0x1500f0(0xae2)]['offset']=(_0x17aa3e[_0x1500f0(0xae2)][_0x1500f0(0x1c7b)]-0x1)*_0x17aa3e['query']['limit'],_0x17aa3e[_0x1500f0(0x2061)]=_0x46ec53[_0x1500f0(0x226c)][_0x1500f0(0x640)](_0x17aa3e['query'],_0x1135b0)[_0x1500f0(0x1d77)];}function _0x2eaf03(_0x2ee6c4){const _0x4e5633=_0x38f38a;_0x46ec53[_0x4e5633(0x1746)][_0x4e5633(0x111d)]({'id':_0x2ee6c4['id']})[_0x4e5633(0x1d77)][_0x4e5633(0x1cb0)](function(){const _0x3a6d15=_0x4e5633;_0x3d1cb5(),_0x1a8e76[_0x3a6d15(0x829)]({'title':_0x204863[_0x3a6d15(0x25cc)](_0x3a6d15(0x1f96))});})[_0x4e5633(0x1c4)](function(_0x796300){const _0x3a8c8a=_0x4e5633;if(_0x796300[_0x3a8c8a(0x25c)]&&_0x796300['data'][_0x3a8c8a(0x1a7c)]&&_0x796300[_0x3a8c8a(0x25c)]['errors'][_0x3a8c8a(0xfd0)]){_0x17aa3e[_0x3a8c8a(0x1a7c)]=_0x796300[_0x3a8c8a(0x25c)]['errors']||[{'message':_0x796300[_0x3a8c8a(0x147f)](),'type':_0x3a8c8a(0x2618)}];for(let _0x46da6d=0x0;_0x46da6d<_0x796300[_0x3a8c8a(0x25c)]['errors'][_0x3a8c8a(0xfd0)];_0x46da6d++){_0x1a8e76[_0x3a8c8a(0x218e)]({'title':_0x796300[_0x3a8c8a(0x25c)][_0x3a8c8a(0x1a7c)][_0x46da6d][_0x3a8c8a(0x66a)],'msg':_0x796300[_0x3a8c8a(0x25c)][_0x3a8c8a(0x1a7c)][_0x46da6d][_0x3a8c8a(0x155e)]});}}else _0x1a8e76[_0x3a8c8a(0x218e)]({'title':_0x796300[_0x3a8c8a(0x291)]?_0x3a8c8a(0xeb9)+_0x796300[_0x3a8c8a(0x291)]+_0x3a8c8a(0x1657)+_0x796300[_0x3a8c8a(0xc22)]:_0x3a8c8a(0x2618),'msg':_0x796300[_0x3a8c8a(0x25c)]?JSON[_0x3a8c8a(0x2701)](_0x796300['data'][_0x3a8c8a(0x155e)]):_0x796300[_0x3a8c8a(0x155e)]||_0x796300[_0x3a8c8a(0x147f)]()});});}function _0x42c4bb(){const _0x2153ca=_0x38f38a,_0x2ce790=angular[_0x2153ca(0x17fe)](_0x17aa3e[_0x2153ca(0x122a)]);return _0x17aa3e['selectedDispositions']=[],_0x2ce790;}function _0x49d976(_0xd47dae){const _0x4ef021=_0x38f38a,_0x33a832=_0x37c140[_0x4ef021(0x1551)]()[_0x4ef021(0x1386)](_0x204863['instant'](_0x4ef021(0x969)))[_0x4ef021(0x862)](_0x204863[_0x4ef021(0x25cc)](_0x4ef021(0xb3c),{'total':_0x17aa3e[_0x4ef021(0x122a)]['length']}))[_0x4ef021(0x15ad)](_0x4ef021(0x1e1c))[_0x4ef021(0x728)](_0xd47dae)['ok']('OK')['cancel'](_0x204863[_0x4ef021(0x25cc)](_0x4ef021(0x2768)));_0x37c140[_0x4ef021(0xe27)](_0x33a832)[_0x4ef021(0x1cb0)](function(){const _0x4a09cb=_0x4ef021;_0x17aa3e[_0x4a09cb(0x122a)]['forEach'](function(_0xe9f2e){_0x2eaf03(_0xe9f2e);}),_0x17aa3e[_0x4a09cb(0x122a)]=[];});}function _0x4582cf(){_0x17aa3e['selectedDispositions']=[];}function _0x5119cf(){const _0x47a48e=_0x38f38a;_0x17aa3e[_0x47a48e(0x122a)]=_0x17aa3e['dispositions'][_0x47a48e(0x2214)];}let _0x3abdaa=!![],_0x5bfb0d=0x1;_0x2cb579['$watch'](_0x38f38a(0xeb6),function(_0x293276,_0xf2a55b){const _0x4989c4=_0x38f38a;_0x3abdaa?_0x3f1e8a(function(){_0x3abdaa=![];}):(!_0xf2a55b&&(_0x5bfb0d=_0x17aa3e['query'][_0x4989c4(0x1c7b)]),_0x293276!==_0xf2a55b&&(_0x17aa3e[_0x4989c4(0xae2)][_0x4989c4(0x1c7b)]=0x1),!_0x293276&&(_0x17aa3e[_0x4989c4(0xae2)]['page']=_0x5bfb0d),_0x3d1cb5());});}const _0x52614b=_0xb6cf24;;_0x42da2a[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x142b)];function _0x42da2a(_0x25d347,_0x2b8468,_0x2346f1){const _0x13fafc=_0x5537c6,_0xa05dd4=this;_0xa05dd4[_0x13fafc(0x226c)]={},_0xa05dd4[_0x13fafc(0x1cf2)]={},_0xa05dd4[_0x13fafc(0x22a9)]=[{'type':_0x13fafc(0x10f8),'name':_0x13fafc(0x20c7),'icon':_0x13fafc(0x1c51)},{'type':_0x13fafc(0x409),'name':_0x13fafc(0x1f6b),'icon':_0x13fafc(0x7c7)},{'type':_0x13fafc(0x169c),'name':_0x13fafc(0x847),'icon':'icon-keyboard'},{'type':_0x13fafc(0x2424),'name':'Textarea','icon':_0x13fafc(0x276)},{'type':_0x13fafc(0xba0),'name':_0x13fafc(0x1c72),'icon':_0x13fafc(0x2351)},{'type':_0x13fafc(0x12ce),'name':'Checkboxes','icon':_0x13fafc(0x2883)},{'type':_0x13fafc(0x1d4f),'name':_0x13fafc(0x1af1),'icon':'icon-checkbox-marked-outline'}],_0xa05dd4[_0x13fafc(0x1a8e)]=_0x5d0f50,_0xa05dd4['up']=_0x16158f,_0xa05dd4[_0x13fafc(0x1fcf)]=_0x1dd535,_0xa05dd4['add']=_0xd22210,_0xa05dd4[_0x13fafc(0x152a)]=_0x487e97;function _0x5d0f50(_0xb4c48b){const _0x44c05c=_0x13fafc;_0xa05dd4[_0x44c05c(0x355)]=[],_0xa05dd4[_0x44c05c(0x2242)]=[],_0xa05dd4[_0x44c05c(0x1f8c)]=['id',_0x44c05c(0x24cb),_0x44c05c(0x27ba),_0x44c05c(0x20a6),_0x44c05c(0x27ac),_0x44c05c(0x1d77),_0x44c05c(0x248e)],_0xa05dd4[_0x44c05c(0x226c)]=_0xb4c48b,_0x39641b()[_0x44c05c(0xce9)](_0xa05dd4[_0x44c05c(0x226c)][_0x44c05c(0x1cf2)])&&(_0xa05dd4[_0x44c05c(0x226c)][_0x44c05c(0x1cf2)]={'items':[],'fromKey':null}),_0x2346f1[_0x44c05c(0x22f2)][_0x44c05c(0x178f)]({'id':_0xa05dd4[_0x44c05c(0x226c)][_0x44c05c(0x20a6)]})['$promise']['then'](function(_0x209100){const _0x51d3b6=_0x44c05c;for(let _0x35ae93=0x0;_0x35ae93<_0x209100[_0x51d3b6(0x2214)][_0x51d3b6(0xfd0)];_0x35ae93++){_0xa05dd4['customFields'][_0x51d3b6(0x2785)]({'key':_0x51d3b6(0x1e4b)+_0x209100[_0x51d3b6(0x2214)][_0x35ae93]['id'],'value':_0x209100['rows'][_0x35ae93][_0x51d3b6(0xfb1)]});}return _0x2346f1[_0x51d3b6(0xbe7)]['describe']()[_0x51d3b6(0x1d77)];})['then'](function(_0x1df49d){const _0x3dfc6c=_0x44c05c,_0x4702e2=_0x39641b()[_0x3dfc6c(0x1be5)](_0x1df49d);for(let _0x3a2672=0x0;_0x3a2672<_0x4702e2[_0x3dfc6c(0xfd0)];_0x3a2672++){!_0x39641b()[_0x3dfc6c(0x250a)](_0xa05dd4[_0x3dfc6c(0x1f8c)],_0x4702e2[_0x3a2672])&&!_0x39641b()[_0x3dfc6c(0x1f2c)](_0x4702e2[_0x3a2672],_0x3dfc6c(0x1e4b))&&_0xa05dd4[_0x3dfc6c(0x355)]['unshift']({'key':_0x4702e2[_0x3a2672],'value':_0x4702e2[_0x3a2672]});}return _0x2346f1['variable'][_0x3dfc6c(0xbf7)]()[_0x3dfc6c(0x1d77)];})[_0x44c05c(0x1cb0)](function(_0x198950){const _0x1fd6a3=_0x44c05c;_0xa05dd4[_0x1fd6a3(0x9a9)]=_0x198950[_0x1fd6a3(0x2214)];})['catch'](function(_0x36d9e5){console['error'](_0x36d9e5);});}function _0x16158f(_0x233b1f,_0x4c8144){const _0x554545=_0x13fafc;if(_0x4c8144!==0x0){const _0x3a55d4=_0xa05dd4['chatWebsite']['offlineForm'][_0x554545(0x122f)][_0x4c8144-0x1];_0xa05dd4[_0x554545(0x226c)][_0x554545(0x1cf2)]['items'][_0x4c8144]=_0x3a55d4,_0xa05dd4[_0x554545(0x226c)][_0x554545(0x1cf2)][_0x554545(0x122f)][_0x4c8144-0x1]=angular['copy'](_0x233b1f);if(_0xa05dd4[_0x554545(0x226c)]['offlineForm'][_0x554545(0x2956)]===_0x4c8144)_0xa05dd4[_0x554545(0x226c)][_0x554545(0x1cf2)]['fromKey']--;else _0xa05dd4[_0x554545(0x226c)]['offlineForm'][_0x554545(0x2956)]===_0x4c8144-0x1&&_0xa05dd4[_0x554545(0x226c)][_0x554545(0x1cf2)][_0x554545(0x2956)]++;}}function _0x1dd535(_0x54c090,_0xd51982){const _0x8593c1=_0x13fafc;if(_0xd51982!==_0xa05dd4[_0x8593c1(0x226c)][_0x8593c1(0x1cf2)][_0x8593c1(0x122f)][_0x8593c1(0xfd0)]-0x1){const _0x15d9a6=_0xa05dd4[_0x8593c1(0x226c)][_0x8593c1(0x1cf2)][_0x8593c1(0x122f)][_0xd51982+0x1];_0xa05dd4[_0x8593c1(0x226c)][_0x8593c1(0x1cf2)]['items'][_0xd51982]=_0x15d9a6,_0xa05dd4[_0x8593c1(0x226c)][_0x8593c1(0x1cf2)]['items'][_0xd51982+0x1]=angular[_0x8593c1(0x17fe)](_0x54c090);if(_0xa05dd4[_0x8593c1(0x226c)]['offlineForm'][_0x8593c1(0x2956)]===_0xd51982)_0xa05dd4[_0x8593c1(0x226c)][_0x8593c1(0x1cf2)][_0x8593c1(0x2956)]++;else _0xa05dd4['chatWebsite'][_0x8593c1(0x1cf2)][_0x8593c1(0x2956)]===_0xd51982+0x1&&_0xa05dd4[_0x8593c1(0x226c)][_0x8593c1(0x1cf2)][_0x8593c1(0x2956)]--;}}function _0xd22210(_0x229c3b){const _0x16e030=_0x13fafc;_0xa05dd4[_0x16e030(0x226c)]['offlineForm'][_0x16e030(0x122f)][_0x16e030(0x2785)]({'type':_0x229c3b,'cmField':null,'variable':null});}function _0x487e97(_0x5639c3){const _0x2dbf17=_0x13fafc,_0x357ef1=_0x25d347[_0x2dbf17(0x1551)]()[_0x2dbf17(0x1386)](_0x2dbf17(0x199a))[_0x2dbf17(0x1152)](_0x2dbf17(0x1a2e))[_0x2dbf17(0x15ad)](_0x2dbf17(0x28e1))['ok']('Ok')[_0x2dbf17(0x696)](_0x2dbf17(0xde1));_0x25d347[_0x2dbf17(0xe27)](_0x357ef1)[_0x2dbf17(0x1cb0)](function(){const _0x53ab2a=_0x2dbf17;_0xa05dd4[_0x53ab2a(0x226c)][_0x53ab2a(0x1cf2)][_0x53ab2a(0x122f)][_0x53ab2a(0x159c)](_0x5639c3,0x1);});}}const _0xfbe62e=_0x42da2a;;_0x347d90[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x142b)];function _0x347d90(_0x4d974f,_0x4e3257,_0x21e736){const _0x4c2eb5=_0x5537c6,_0x294158=this;_0x294158[_0x4c2eb5(0x226c)]={},_0x294158[_0x4c2eb5(0x1a4c)]={},_0x294158['buttons']=[{'type':_0x4c2eb5(0x10f8),'name':_0x4c2eb5(0x20c7),'icon':'icon-label'},{'type':_0x4c2eb5(0x409),'name':'Select','icon':_0x4c2eb5(0x7c7)},{'type':_0x4c2eb5(0x169c),'name':_0x4c2eb5(0x847),'icon':_0x4c2eb5(0x292d)},{'type':_0x4c2eb5(0x2424),'name':'Textarea','icon':_0x4c2eb5(0x276)},{'type':_0x4c2eb5(0xba0),'name':_0x4c2eb5(0x1c72),'icon':_0x4c2eb5(0x2351)},{'type':_0x4c2eb5(0x12ce),'name':'Checkboxes','icon':'icon-checkbox-multiple-marked-outline'},{'type':_0x4c2eb5(0x1d4f),'name':_0x4c2eb5(0x1af1),'icon':_0x4c2eb5(0xfa7)}],_0x294158[_0x4c2eb5(0x1a8e)]=_0x22d96f,_0x294158['up']=_0x4402fb,_0x294158['down']=_0x2dfba4,_0x294158[_0x4c2eb5(0x17a1)]=_0x47ad3f,_0x294158[_0x4c2eb5(0x152a)]=_0x3fd45a;function _0x22d96f(_0x46d3fb){const _0x5aa650=_0x4c2eb5;_0x294158[_0x5aa650(0x355)]=[],_0x294158[_0x5aa650(0x2242)]=[],_0x294158[_0x5aa650(0x1f8c)]=['id','createdAt',_0x5aa650(0x27ba),_0x5aa650(0x20a6),_0x5aa650(0x27ac),_0x5aa650(0x1d77),_0x5aa650(0x248e)],_0x294158['chatWebsite']=_0x46d3fb,_0x39641b()[_0x5aa650(0xce9)](_0x294158[_0x5aa650(0x226c)][_0x5aa650(0x1a4c)])&&(_0x294158['chatWebsite']['onlineForm']={'items':[],'fromKey':null}),_0x21e736[_0x5aa650(0x22f2)][_0x5aa650(0x178f)]({'id':_0x294158[_0x5aa650(0x226c)][_0x5aa650(0x20a6)]})[_0x5aa650(0x1d77)][_0x5aa650(0x1cb0)](function(_0x76e1bc){const _0x17d509=_0x5aa650;for(let _0x162688=0x0;_0x162688<_0x76e1bc[_0x17d509(0x2214)]['length'];_0x162688++){_0x294158[_0x17d509(0x2242)][_0x17d509(0x2785)]({'key':'cf_'+_0x76e1bc[_0x17d509(0x2214)][_0x162688]['id'],'value':_0x76e1bc[_0x17d509(0x2214)][_0x162688][_0x17d509(0xfb1)]});}return _0x21e736['cmContact']['describe']()[_0x17d509(0x1d77)];})[_0x5aa650(0x1cb0)](function(_0x1e6070){const _0x185b88=_0x5aa650,_0x2a9d38=_0x39641b()['keys'](_0x1e6070);for(let _0x5b2d4a=0x0;_0x5b2d4a<_0x2a9d38[_0x185b88(0xfd0)];_0x5b2d4a++){!_0x39641b()[_0x185b88(0x250a)](_0x294158[_0x185b88(0x1f8c)],_0x2a9d38[_0x5b2d4a])&&!_0x39641b()[_0x185b88(0x1f2c)](_0x2a9d38[_0x5b2d4a],_0x185b88(0x1e4b))&&_0x294158[_0x185b88(0x355)]['unshift']({'key':_0x2a9d38[_0x5b2d4a],'value':_0x2a9d38[_0x5b2d4a]});}return _0x21e736['variable'][_0x185b88(0xbf7)]()[_0x185b88(0x1d77)];})[_0x5aa650(0x1cb0)](function(_0xeab773){const _0x5dfb18=_0x5aa650;_0x294158[_0x5dfb18(0x9a9)]=_0xeab773[_0x5dfb18(0x2214)];})['catch'](function(_0x119bbc){const _0x1034d6=_0x5aa650;console[_0x1034d6(0x218e)](_0x119bbc);});}function _0x4402fb(_0x3ef914,_0x3f85cc){const _0x491922=_0x4c2eb5;if(_0x3f85cc!==0x0){const _0x36e102=_0x294158[_0x491922(0x226c)][_0x491922(0x1a4c)][_0x491922(0x122f)][_0x3f85cc-0x1];_0x294158[_0x491922(0x226c)][_0x491922(0x1a4c)][_0x491922(0x122f)][_0x3f85cc]=_0x36e102,_0x294158['chatWebsite'][_0x491922(0x1a4c)][_0x491922(0x122f)][_0x3f85cc-0x1]=angular[_0x491922(0x17fe)](_0x3ef914);if(_0x294158[_0x491922(0x226c)][_0x491922(0x1a4c)][_0x491922(0x2956)]===_0x3f85cc)_0x294158[_0x491922(0x226c)]['onlineForm'][_0x491922(0x2956)]--;else _0x294158[_0x491922(0x226c)][_0x491922(0x1a4c)]['fromKey']===_0x3f85cc-0x1&&_0x294158[_0x491922(0x226c)][_0x491922(0x1a4c)]['fromKey']++;}}function _0x2dfba4(_0x3def77,_0x3960eb){const _0x303a02=_0x4c2eb5;if(_0x3960eb!==_0x294158[_0x303a02(0x226c)]['onlineForm']['items'][_0x303a02(0xfd0)]-0x1){const _0x5799bb=_0x294158[_0x303a02(0x226c)][_0x303a02(0x1a4c)][_0x303a02(0x122f)][_0x3960eb+0x1];_0x294158[_0x303a02(0x226c)][_0x303a02(0x1a4c)][_0x303a02(0x122f)][_0x3960eb]=_0x5799bb,_0x294158[_0x303a02(0x226c)][_0x303a02(0x1a4c)][_0x303a02(0x122f)][_0x3960eb+0x1]=angular[_0x303a02(0x17fe)](_0x3def77);if(_0x294158[_0x303a02(0x226c)][_0x303a02(0x1a4c)]['fromKey']===_0x3960eb)_0x294158[_0x303a02(0x226c)][_0x303a02(0x1a4c)][_0x303a02(0x2956)]++;else _0x294158[_0x303a02(0x226c)][_0x303a02(0x1a4c)][_0x303a02(0x2956)]===_0x3960eb+0x1&&_0x294158[_0x303a02(0x226c)][_0x303a02(0x1a4c)][_0x303a02(0x2956)]--;}}function _0x47ad3f(_0x51b8c0){const _0x112f19=_0x4c2eb5;_0x294158[_0x112f19(0x226c)][_0x112f19(0x1a4c)]['items'][_0x112f19(0x2785)]({'type':_0x51b8c0,'cmField':null,'variable':null});}function _0x3fd45a(_0x1b8b2d){const _0x137e26=_0x4c2eb5,_0x6b5d46=_0x4d974f[_0x137e26(0x1551)]()[_0x137e26(0x1386)](_0x137e26(0x199a))['textContent'](_0x137e26(0x1a2e))[_0x137e26(0x15ad)](_0x137e26(0x28e1))['ok']('Ok')[_0x137e26(0x696)]('Cancel');_0x4d974f[_0x137e26(0xe27)](_0x6b5d46)['then'](function(){const _0x472a47=_0x137e26;_0x294158[_0x472a47(0x226c)][_0x472a47(0x1a4c)][_0x472a47(0x2956)]===_0x1b8b2d&&(_0x294158[_0x472a47(0x226c)][_0x472a47(0x1a4c)][_0x472a47(0x2956)]=null),_0x294158[_0x472a47(0x226c)][_0x472a47(0x1a4c)][_0x472a47(0x122f)]['splice'](_0x1b8b2d,0x1),!_0x294158[_0x472a47(0x226c)][_0x472a47(0x1a4c)][_0x472a47(0x122f)][_0x472a47(0xfd0)]&&(_0x294158[_0x472a47(0x226c)]['onlineForm']['fromKey']=null);});}}const _0x309eb5=_0x347d90;;_0x123109[_0x5537c6(0x15b6)]=['$cookies',_0x5537c6(0x9bf)];function _0x123109(_0x3dea21,_0xa2b163){const _0x467369=_0x5537c6,_0x45a476=this,_0x370f90=0x8,_0x58153f=_0x370f90*0x400*0x400;_0x45a476[_0x467369(0x226c)]={},_0x45a476[_0x467369(0x1b1a)],_0x45a476['ngFlowOptions']={'singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0x58153f,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x45a476[_0x467369(0x125a)]={'flow':{}},_0x45a476[_0x467369(0x18dc)]=![],_0x45a476['fileAdded']=_0x181fa7,_0x45a476[_0x467369(0x1c97)]=_0x5b1827,_0x45a476[_0x467369(0x200f)]=_0x4c80da,_0x45a476[_0x467369(0x1a8e)]=_0x444e05;function _0x444e05(_0x2a6932,_0x4e753f){const _0x179b3c=_0x467369;_0x45a476[_0x179b3c(0x226c)]=angular['copy'](_0x2a6932),_0x45a476[_0x179b3c(0x1b1a)]=typeof _0x4e753f!==_0x179b3c(0x16b5)?_0x4e753f:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x45a476[_0x179b3c(0x15e8)][_0x179b3c(0x251d)]=_0x179b3c(0x1bff)['replace'](_0x179b3c(0xfa9),_0x45a476[_0x179b3c(0x226c)]['id']),_0x45a476[_0x179b3c(0x226c)][_0x179b3c(0x105c)]=[];}function _0x181fa7(_0x5bf57a){const _0x14f3a0=_0x467369,_0x1f251a=['png',_0x14f3a0(0xb97)];if(!_0x39641b()[_0x14f3a0(0x250a)](_0x1f251a,_0x5bf57a['getExtension']()))return _0xa2b163[_0x14f3a0(0x218e)]({'title':_0x14f3a0(0x14d4)+_0x5bf57a[_0x14f3a0(0x1cb1)](),'msg':'Supported\x20extension:\x20'+_0x1f251a['join']()}),![];if(_0x5bf57a[_0x14f3a0(0x1813)]>_0x58153f)return _0xa2b163['error']({'title':_0x14f3a0(0x2749),'msg':_0x14f3a0(0xe61)+_0x370f90+'MB'}),![];const _0x5a84de={'id':_0x5bf57a[_0x14f3a0(0x27c2)],'file':_0x5bf57a,'type':'uploading'};return _0x45a476['chatWebsite'][_0x14f3a0(0x105c)]['unshift'](_0x5a84de),!![];}function _0x5b1827(){const _0x2a5fe9=_0x467369;_0x45a476[_0x2a5fe9(0x125a)]['flow'][_0x2a5fe9(0xd56)]['headers']={'X-Requested-With':_0x2a5fe9(0x2711),'Authorization':'Bearer\x20'+_0x3dea21[_0x2a5fe9(0xbf7)](_0x2a5fe9(0x1b26))},_0x45a476[_0x2a5fe9(0x125a)]['flow'][_0x2a5fe9(0x1c97)]();}function _0x4c80da(_0x35449f){const _0x1d9187=_0x467369;angular['forEach'](_0x45a476[_0x1d9187(0x226c)][_0x1d9187(0x105c)],function(_0x47936b){const _0x3d5d3f=_0x1d9187;if(_0x47936b['id']===_0x35449f[_0x3d5d3f(0x27c2)]){const _0x5d1346=new FileReader();_0x5d1346[_0x3d5d3f(0x245d)](_0x47936b[_0x3d5d3f(0x1ac4)][_0x3d5d3f(0x1ac4)]),_0x5d1346[_0x3d5d3f(0x198d)]=function(_0x348bd4){const _0x12f284=_0x3d5d3f;_0x47936b['url']=_0x348bd4['target'][_0x12f284(0x1205)];},_0x47936b[_0x3d5d3f(0x66a)]=_0x3d5d3f(0x499);}});}}const _0x4dc3c0=_0x123109;;const _0x50da87=_0x5074a3['p']+'src/js/modules/main/apps/dashboards/views/general/agent/interaction/agent.general.interaction.dialog.html/agent.general.interaction.dialog.html';;_0x18fe8b[_0x5537c6(0x15b6)]=[_0x5537c6(0x173),'$scope',_0x5537c6(0x406),'$q',_0x5537c6(0x1ae),_0x5537c6(0x2168),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x9bf),_0x5537c6(0x142b),'Auth'];function _0x18fe8b(_0x1237a4,_0x5c1229,_0x266a4e,_0x19c5d8,_0x5a7995,_0x1fda99,_0x5651a8,_0x3003d0,_0x1b426a,_0x566203,_0x1dba9c){const _0x9be5bc=_0x5537c6,_0x4c19e7=this;_0x4c19e7[_0x9be5bc(0xe76)]=_0x1dba9c[_0x9be5bc(0x21e8)](),_0x4c19e7[_0x9be5bc(0x226c)]={},_0x4c19e7[_0x9be5bc(0x1b63)]={'count':0x0,'rows':[]},_0x4c19e7['selectedChatWebsiteInteractions']=[],_0x4c19e7[_0x9be5bc(0x1b1a)],_0x4c19e7['query']={'read':_0x9be5bc(0xd38),'closed':_0x9be5bc(0xd38),'sort':_0x9be5bc(0x1c45),'includeAll':_0x9be5bc(0x44d),'limit':0xa,'page':0x1},_0x4c19e7[_0x9be5bc(0x1a8e)]=_0x282a76,_0x4c19e7[_0x9be5bc(0xb25)]=_0x8514af,_0x4c19e7[_0x9be5bc(0x1f3f)]=_0x1e0d2c,_0x4c19e7[_0x9be5bc(0x829)]=_0x59cdec,_0x4c19e7['getChatWebsiteInteractions']=_0x1d7e84,_0x4c19e7['spychatInteraction']=_0x3c7030,_0x4c19e7['openAdvancedSearch']=_0x156466,_0x4c19e7['exportSelectedChatWebsiteInteractions']=_0x2273f5,_0x4c19e7[_0x9be5bc(0x1833)]=_0x638159,_0x4c19e7[_0x9be5bc(0x1478)]=_0x156fa9;function _0x282a76(_0x5361e4,_0x10b2d0){const _0x50eea3=_0x9be5bc;_0x4c19e7[_0x50eea3(0x226c)]=_0x5361e4,_0x4c19e7[_0x50eea3(0x1b1a)]=typeof _0x10b2d0!==_0x50eea3(0x16b5)?_0x10b2d0:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x4c19e7['query'][_0x50eea3(0x67c)]=_0x4c19e7[_0x50eea3(0x226c)]['id'],_0x4c19e7[_0x50eea3(0x16ad)]={'fields':_0x4b70b3()},_0x2eabdf();}function _0x2eabdf(){const _0x282138=_0x9be5bc;return _0x566203['tag'][_0x282138(0xbf7)]()[_0x282138(0x1d77)]['then'](function(_0xea5f0){const _0x278002=_0x282138;_0x4c19e7[_0x278002(0xfbf)]=_0xea5f0||{'count':0x0,'rows':[]};})[_0x282138(0x1cb0)](function(){const _0x50f610=_0x282138;_0x4c19e7[_0x50f610(0x789)]=_0x74f4f();});}function _0x74f4f(){const _0x9463e1=_0x9be5bc;return[{'name':_0x9463e1(0x68d),'key':_0x9463e1(0x24cb),'type':_0x9463e1(0x18ec),'label':_0x9463e1(0xf5e)},{'name':_0x9463e1(0x223c),'key':_0x9463e1(0xfc1),'type':_0x9463e1(0x220f),'label':'DASHBOARDS.SELECT_READ_UNREAD','customOptions':[{'value':0x0,'translate':'DASHBOARDS.UNREAD'},{'value':0x1,'translate':_0x9463e1(0x27a4)},{'value':null,'translate':_0x9463e1(0x2706)}]},{'name':_0x9463e1(0x938),'key':'closed','type':_0x9463e1(0x220f),'label':_0x9463e1(0x24b6),'customOptions':[{'value':0x0,'translate':_0x9463e1(0x1bbd)},{'value':0x1,'translate':_0x9463e1(0x191b)},{'value':null,'translate':_0x9463e1(0x2706)}]},{'name':'Agent','key':_0x9463e1(0x21ab),'type':_0x9463e1(0x220f),'label':_0x9463e1(0x7bb),'customOptions':[{'value':_0x9463e1(0xd38),'translate':_0x9463e1(0x68f)},{'value':undefined,'translate':_0x9463e1(0x2706)}]},{'name':'Tag','key':'tag','type':_0x9463e1(0x1d50),'label':_0x9463e1(0x3c3),'options':_0x4c19e7[_0x9463e1(0xfbf)]['rows'],'placeholder':'DASHBOARDS.TAGS'}];}function _0x4b70b3(){const _0xbeefe4=_0x9be5bc;return[{'name':'Id','column':'id','type':_0xbeefe4(0x83d)},{'name':'Contact','column':_0xbeefe4(0x8d3),'type':_0xbeefe4(0x19e0),'options':{'searchFields':['firstName',_0xbeefe4(0x1fbb),'email'],'route':{'model':_0xbeefe4(0xbe7),'action':'get','params':{'fields':'id,firstName,lastName,email','Contact':_0xbeefe4(0xed6),'nolimit':!![]}},'extraOperators':[_0xbeefe4(0x1fb1)],'excludedOperators':[_0xbeefe4(0x15ce)]}},{'name':_0xbeefe4(0x228c),'column':_0xbeefe4(0x1e5d),'type':_0xbeefe4(0x19d3),'options':{'excludedOperators':['$eq','$ne']}},{'name':'Body','column':'plainBody','type':_0xbeefe4(0x19d3),'options':{'excludedOperators':['$eq',_0xbeefe4(0x15ce)]}},{'name':'Status','column':_0xbeefe4(0x22aa),'type':_0xbeefe4(0x220f),'values':[{'id':0x0,'translate':_0xbeefe4(0x1bbd)},{'id':0x1,'translate':_0xbeefe4(0x191b)}],'options':{'excludedOperators':[_0xbeefe4(0x15ce)]}},{'name':'Agent','column':_0xbeefe4(0x1597),'type':_0xbeefe4(0x19e0),'options':{'table':'i','route':{'model':'user','action':_0xbeefe4(0xbf7),'params':{'role':_0xbeefe4(0x1eff),'fields':_0xbeefe4(0x1d34),'nolimit':!![]}},'searchFields':['fullname',_0xbeefe4(0x16b6)],'extraOperators':[_0xbeefe4(0x1fb1)],'excludedOperators':[_0xbeefe4(0x15ce)]}},{'name':_0xbeefe4(0x190),'column':_0xbeefe4(0xf2d),'type':_0xbeefe4(0x1d50),'options':{'route':{'model':_0xbeefe4(0x22b1),'action':_0xbeefe4(0xbf7),'params':{'nolimit':!![]}},'excludedOperators':[_0xbeefe4(0x62d)]}},{'name':_0xbeefe4(0x68d),'column':_0xbeefe4(0x24cb),'type':_0xbeefe4(0x18ec),'options':{'excludedOperators':[_0xbeefe4(0x15ce)]}},{'name':'Read','column':_0xbeefe4(0x1554),'type':_0xbeefe4(0x220f),'values':[{'id':0x1,'translate':'DASHBOARDS.READ'},{'id':0x0,'translate':_0xbeefe4(0x1115)}],'options':{'excludedOperators':['$ne']}}];}function _0x156466(){const _0x66cd68=_0x9be5bc;_0x5651a8[_0x66cd68(0xe27)]({'controller':'AdvancedSearchController','controllerAs':'vm','templateUrl':_0x3530d6,'parent':angular['element'](_0x3003d0[_0x66cd68(0x1ed9)]),'clickOutsideToClose':![],'locals':{'fields':_0x4c19e7[_0x66cd68(0x16ad)][_0x66cd68(0x355)],'color':undefined,'storagePath':_0x66cd68(0x1026)},'fullscreen':!![]})[_0x66cd68(0x1cb0)](function(_0x23aa12){const _0xb30627=_0x66cd68;_0x4c19e7[_0xb30627(0xae2)][_0xb30627(0x24be)]=_0x23aa12===![]?undefined:_0x23aa12;if(_0x4c19e7[_0xb30627(0xae2)][_0xb30627(0x24be)])_0x1d7e84();else _0x23aa12===![]&&_0x1d7e84();})['catch'](function(_0x5b7c8b){const _0xe28c55=_0x66cd68;_0x1b426a['error']({'title':'ERROR','msg':_0x5b7c8b[_0xe28c55(0x25c)]?JSON[_0xe28c55(0x2701)](_0x5b7c8b[_0xe28c55(0x25c)][_0xe28c55(0x155e)]):_0x5b7c8b[_0xe28c55(0x147f)]()});});}function _0x1e0d2c(_0x102ff8,_0x2c55c3,_0x240ba9){const _0x4d2264=_0x9be5bc;return _0x566203['chatInteraction']['download']({'id':_0x102ff8['id'],'exists':!![],'attachments':_0x240ba9})[_0x4d2264(0x1d77)][_0x4d2264(0x1cb0)](function(_0xb40f65){const _0x2d1f77=_0x4d2264,_0xf7830=[_0xb40f65[_0x2d1f77(0xef0)]];let _0x50f7d8=_0x2d1f77(0x10e4)+_0x102ff8['id'];const _0x309a1f=new Blob(_0xf7830,{'type':_0xb40f65[_0x2d1f77(0x66a)]});_0x50f7d8=_0x2d1f77(0x19ed)+_0x102ff8['id']+_0x2d1f77(0x1b16);const _0x28bdd8=window['document'][_0x2d1f77(0x8c6)]('a');_0x28bdd8['setAttribute']('href',URL[_0x2d1f77(0x2247)](_0x309a1f)),_0x28bdd8[_0x2d1f77(0x23b9)]('download',_0x50f7d8),document['body'][_0x2d1f77(0x23de)](_0x28bdd8),_0x28bdd8[_0x2d1f77(0x20b8)]();})[_0x4d2264(0x1c4)](function(_0x4c6155){const _0x3dec59=_0x4d2264;if(_0x4c6155[_0x3dec59(0x25c)]&&_0x4c6155[_0x3dec59(0x25c)][_0x3dec59(0x1a7c)]&&_0x4c6155[_0x3dec59(0x25c)]['errors'][_0x3dec59(0xfd0)])for(let _0x420959=0x0;_0x420959<_0x4c6155[_0x3dec59(0x25c)][_0x3dec59(0x1a7c)][_0x3dec59(0xfd0)];_0x420959+=0x1){_0x1b426a[_0x3dec59(0x218e)]({'title':_0x4c6155['data'][_0x3dec59(0x1a7c)][_0x420959][_0x3dec59(0x66a)],'msg':_0x4c6155[_0x3dec59(0x25c)]['errors'][_0x420959][_0x3dec59(0x155e)]});}else _0x1b426a[_0x3dec59(0x218e)]({'title':_0x4c6155['status']?_0x3dec59(0xeb9)+_0x4c6155[_0x3dec59(0x291)]+_0x3dec59(0x1657)+_0x4c6155[_0x3dec59(0xc22)]:_0x3dec59(0x1a39),'msg':_0x4c6155[_0x3dec59(0x25c)]?JSON[_0x3dec59(0x2701)](_0x4c6155[_0x3dec59(0x25c)][_0x3dec59(0x155e)]):_0x4c6155['toString']()});});}function _0x8514af(_0x33628d,_0x258745){const _0x57d983=_0x9be5bc,_0x247f5f=_0x5651a8[_0x57d983(0x1551)]()['title'](_0x57d983(0x9bc))[_0x57d983(0x49e)](_0x57d983(0x204d)+(_0x33628d[_0x57d983(0x16b6)]||_0x33628d['id']&&_0x39641b()[_0x57d983(0x277)](_0x57d983(0xf82))+_0x33628d['id']||_0x57d983(0x10e4))+_0x57d983(0x1200)+'\x20will\x20be\x20deleted.')['ariaLabel'](_0x57d983(0x116f))[_0x57d983(0x728)](_0x258745)['ok']('OK')[_0x57d983(0x696)](_0x57d983(0x24ba));_0x5651a8[_0x57d983(0xe27)](_0x247f5f)[_0x57d983(0x1cb0)](function(){_0x638159(_0x33628d);},function(){const _0x2141b4=_0x57d983;console[_0x2141b4(0x1b4f)](_0x2141b4(0x24ba));});}function _0x59cdec(_0x25f11e){const _0x24ca6e=_0x9be5bc;_0x4c19e7[_0x24ca6e(0x1b63)]=_0x25f11e||{'count':0x0,'rows':[]};for(let _0x3a50dd=0x0;_0x3a50dd<_0x4c19e7[_0x24ca6e(0x1b63)][_0x24ca6e(0x2214)]['length'];_0x3a50dd+=0x1){const _0x5c4246=_0x4c19e7[_0x24ca6e(0x1b63)][_0x24ca6e(0x2214)][_0x3a50dd];_0x2f9c11(_0x5c4246),_0x5c4246[_0x24ca6e(0x135d)]['name']=_0x5a0815(_0x5c4246);}}function _0x1d7e84(){const _0x1dc63c=_0x9be5bc;_0x4c19e7[_0x1dc63c(0xae2)][_0x1dc63c(0x184b)]=(_0x4c19e7[_0x1dc63c(0xae2)]['page']-0x1)*_0x4c19e7[_0x1dc63c(0xae2)][_0x1dc63c(0x236)],_0x4c19e7[_0x1dc63c(0x2061)]=_0x566203[_0x1dc63c(0x115b)]['get'](_0x4c19e7[_0x1dc63c(0xae2)],_0x59cdec)[_0x1dc63c(0x1d77)];}function _0x3c7030(_0x3febbe,_0x500af1){const _0x4aff73=_0x9be5bc;_0x5651a8[_0x4aff73(0xe27)]({'controller':_0x4aff73(0x4b2),'controllerAs':'vm','templateUrl':_0x50da87,'parent':angular[_0x4aff73(0x1853)](_0x3003d0[_0x4aff73(0x1ed9)]),'targetEvent':_0x3febbe,'clickOutsideToClose':!![],'onShowing':function(_0xbd6757){const _0x3834be=_0x4aff73;_0xbd6757['vm'][_0x3834be(0x1a8e)]({'id':0x1,'channel':'chat','interaction':_0x500af1,'spy':!![]},_0x5c1229[_0x3834be(0x17bc)]['vm'][_0x3834be(0x9ca)]);}});}function _0x638159(_0x3d195f){const _0x1757a7=_0x9be5bc;_0x566203[_0x1757a7(0x115b)][_0x1757a7(0x111d)]({'id':_0x3d195f['id']})[_0x1757a7(0x1d77)][_0x1757a7(0x1cb0)](function(){const _0xf36c42=_0x1757a7;_0x39641b()[_0xf36c42(0x152a)](_0x4c19e7[_0xf36c42(0x1b63)][_0xf36c42(0x2214)],{'id':_0x3d195f['id']}),_0x4c19e7['chatWebsiteInteractions'][_0xf36c42(0x184d)]-=0x1,!_0x4c19e7['chatWebsiteInteractions']['rows'][_0xf36c42(0xfd0)]&&_0x1d7e84(),_0x1b426a[_0xf36c42(0x829)]({'title':_0xf36c42(0x1c2b),'msg':_0x3d195f[_0xf36c42(0x16b6)]?_0x3d195f[_0xf36c42(0x16b6)]+_0xf36c42(0x3f5):''});})[_0x1757a7(0x1c4)](function(_0x43abc3){const _0x5de664=_0x1757a7;if(_0x43abc3[_0x5de664(0x25c)]&&_0x43abc3[_0x5de664(0x25c)][_0x5de664(0x1a7c)]&&_0x43abc3['data'][_0x5de664(0x1a7c)][_0x5de664(0xfd0)]){_0x4c19e7[_0x5de664(0x1a7c)]=_0x43abc3[_0x5de664(0x25c)]['errors']||[{'message':_0x43abc3[_0x5de664(0x147f)](),'type':_0x5de664(0x5d5)}];for(let _0x3ee872=0x0;_0x3ee872<_0x43abc3[_0x5de664(0x25c)][_0x5de664(0x1a7c)]['length'];_0x3ee872++){_0x1b426a[_0x5de664(0x218e)]({'title':_0x43abc3[_0x5de664(0x25c)][_0x5de664(0x1a7c)][_0x3ee872][_0x5de664(0x66a)],'msg':_0x43abc3[_0x5de664(0x25c)]['errors'][_0x3ee872][_0x5de664(0x155e)]});}}else _0x1b426a['error']({'title':_0x43abc3[_0x5de664(0x291)]?_0x5de664(0xeb9)+_0x43abc3[_0x5de664(0x291)]+_0x5de664(0x1657)+_0x43abc3[_0x5de664(0xc22)]:_0x5de664(0x5d5),'msg':_0x43abc3[_0x5de664(0x25c)]?JSON[_0x5de664(0x2701)](_0x43abc3['data'][_0x5de664(0x155e)]):_0x43abc3[_0x5de664(0x155e)]||_0x43abc3[_0x5de664(0x147f)]()});});}function _0x2273f5(){const _0x5658c8=_0x9be5bc,_0x5393e1=angular[_0x5658c8(0x17fe)](_0x4c19e7[_0x5658c8(0x255f)]);return _0x4c19e7[_0x5658c8(0x255f)]=[],_0x5393e1;}function _0x156fa9(_0x3f8f51){const _0x449b90=_0x9be5bc,_0x4413a4=_0x5651a8['confirm']()[_0x449b90(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20interactions?')[_0x449b90(0x49e)](''+_0x4c19e7[_0x449b90(0x255f)][_0x449b90(0xfd0)]+_0x449b90(0x1d6c)+_0x449b90(0x1b6))[_0x449b90(0x15ad)](_0x449b90(0x8ca))[_0x449b90(0x728)](_0x3f8f51)['ok']('OK')[_0x449b90(0x696)](_0x449b90(0x24ba));_0x5651a8[_0x449b90(0xe27)](_0x4413a4)[_0x449b90(0x1cb0)](function(){_0x4c19e7['selectedChatWebsiteInteractions']['forEach'](function(_0xf3ab6e){_0x638159(_0xf3ab6e);}),_0x4c19e7['selectedChatWebsiteInteractions']=[];});}function _0x2f9c11(_0xa4c9dd){const _0x4088fc=_0x9be5bc;_0xa4c9dd[_0x4088fc(0x8d3)]?_0xa4c9dd['contactName']=(_0xa4c9dd['Contact'][_0x4088fc(0x1491)]||'')+'\x20'+(_0xa4c9dd['Contact'][_0x4088fc(0x1fbb)]||''):_0xa4c9dd[_0x4088fc(0x1325)]=_0x5a7995[_0x4088fc(0x25cc)](_0x4088fc(0x2b9));}function _0x5a0815(_0x232570){const _0x372ab1=_0x9be5bc;if(_0x232570[_0x372ab1(0x21ab)])return _0x232570['UserId']===_0x4c19e7[_0x372ab1(0xe76)]['id']?_0x5a7995['instant'](_0x372ab1(0x1ea6)):_0x232570[_0x372ab1(0x135d)][_0x372ab1(0x1d14)]+'\x20<'+_0x232570[_0x372ab1(0x135d)]['internal']+'>';return _0x5a7995[_0x372ab1(0x25cc)](_0x372ab1(0x68f));}let _0x25236e=!![],_0x4a6d56=0x1;_0x5c1229[_0x9be5bc(0x614)]('vm_dc.query.filter',function(_0x433350,_0x1352b6){const _0x1785eb=_0x9be5bc;_0x25236e?_0x1fda99(function(){_0x25236e=![];}):(!_0x1352b6&&(_0x4a6d56=_0x4c19e7[_0x1785eb(0xae2)][_0x1785eb(0x1c7b)]),_0x433350!==_0x1352b6&&(_0x4c19e7['query'][_0x1785eb(0x1c7b)]=0x1),!_0x433350&&(_0x4c19e7['query'][_0x1785eb(0x1c7b)]=_0x4a6d56),_0x1d7e84());});}const _0x59eb72=_0x18fe8b;;_0x3afc91['$inject']=['$cookies','toasty'];function _0x3afc91(_0xbe1284,_0x1291f9){const _0x2409d6=_0x5537c6,_0x5bf3d7=this,_0xc6f229=0x8,_0x56dd3c=_0xc6f229*0x400*0x400;_0x5bf3d7['chatWebsite']={},_0x5bf3d7[_0x2409d6(0x1b1a)],_0x5bf3d7['ngFlowOptions']={'singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0x56dd3c,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x5bf3d7['ngFlow']={'flow':{}},_0x5bf3d7['dropping']=![],_0x5bf3d7[_0x2409d6(0x15c2)]=_0x32699a,_0x5bf3d7[_0x2409d6(0x1c97)]=_0x5dff5d,_0x5bf3d7['fileSuccess']=_0x1d44f9,_0x5bf3d7[_0x2409d6(0x1a8e)]=_0x600dd0;function _0x600dd0(_0x4c37e4,_0x170448){const _0xa710d5=_0x2409d6;_0x5bf3d7[_0xa710d5(0x226c)]=angular[_0xa710d5(0x17fe)](_0x4c37e4),_0x5bf3d7[_0xa710d5(0x1b1a)]=typeof _0x170448!==_0xa710d5(0x16b5)?_0x170448:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x5bf3d7[_0xa710d5(0x15e8)][_0xa710d5(0x251d)]=_0xa710d5(0x1bf8)['replace'](':id',_0x5bf3d7[_0xa710d5(0x226c)]['id']),_0x5bf3d7[_0xa710d5(0x226c)][_0xa710d5(0x105c)]=[];}function _0x32699a(_0x2b8a50){const _0x17a3b7=_0x2409d6,_0x2be933=['png',_0x17a3b7(0xb97)];if(!_0x39641b()['includes'](_0x2be933,_0x2b8a50['getExtension']()))return _0x1291f9[_0x17a3b7(0x218e)]({'title':_0x17a3b7(0x14d4)+_0x2b8a50[_0x17a3b7(0x1cb1)](),'msg':_0x17a3b7(0x4d4)+_0x2be933['join']()}),![];if(_0x2b8a50['size']>_0x56dd3c)return _0x1291f9['error']({'title':_0x17a3b7(0x2749),'msg':_0x17a3b7(0xe61)+_0xc6f229+'MB'}),![];const _0x57858c={'id':_0x2b8a50[_0x17a3b7(0x27c2)],'file':_0x2b8a50,'type':_0x17a3b7(0x2989)};return _0x5bf3d7[_0x17a3b7(0x226c)][_0x17a3b7(0x105c)][_0x17a3b7(0xf63)](_0x57858c),!![];}function _0x5dff5d(){const _0x1fa942=_0x2409d6;_0x5bf3d7['ngFlow'][_0x1fa942(0xacf)][_0x1fa942(0xd56)][_0x1fa942(0x5e7)]={'X-Requested-With':_0x1fa942(0x2711),'Authorization':_0x1fa942(0x1923)+_0xbe1284[_0x1fa942(0xbf7)]('motion.token')},_0x5bf3d7[_0x1fa942(0x125a)][_0x1fa942(0xacf)][_0x1fa942(0x1c97)]();}function _0x1d44f9(_0x9f5ae4){const _0x170c43=_0x2409d6;angular['forEach'](_0x5bf3d7[_0x170c43(0x226c)][_0x170c43(0x105c)],function(_0x30e14b){const _0x1c101a=_0x170c43;if(_0x30e14b['id']===_0x9f5ae4['uniqueIdentifier']){const _0x5ebd2d=new FileReader();_0x5ebd2d[_0x1c101a(0x245d)](_0x30e14b[_0x1c101a(0x1ac4)]['file']),_0x5ebd2d[_0x1c101a(0x198d)]=function(_0x38bc45){const _0x342660=_0x1c101a;_0x30e14b['url']=_0x38bc45[_0x342660(0x251d)][_0x342660(0x1205)];},_0x30e14b['type']='image';}});}}const _0x2021c3=_0x3afc91;;const _0x5328d7=_0x5074a3['p']+_0x5537c6(0x2af);;_0x3711f9[_0x5537c6(0x15b6)]=[_0x5537c6(0x173),_0x5537c6(0x1463),_0x5537c6(0x406),'$q',_0x5537c6(0x1ae),'$timeout',_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x9bf),'api',_0x5537c6(0x1774)];function _0x3711f9(_0x5c1c54,_0x314bec,_0x121ff4,_0x363c35,_0x15b036,_0x1309ea,_0x19f16c,_0x153af0,_0x21fd53,_0x5674a2,_0x2a7176){const _0x44da96=_0x5537c6,_0x44ee26=this;_0x44ee26[_0x44da96(0xe76)]=_0x2a7176[_0x44da96(0x21e8)](),_0x44ee26['chatWebsite']={},_0x44ee26[_0x44da96(0xbbf)]={'count':0x0,'rows':[]},_0x44ee26[_0x44da96(0x1f98)]=[],_0x44ee26[_0x44da96(0x1b1a)],_0x44ee26[_0x44da96(0xae2)]={'fields':'createdAt,updatedAt,id,Contact.firstName','sort':'-createdAt','limit':0xa,'page':0x1},_0x44ee26['init']=_0x18b137,_0x44ee26['deleteConfirm']=_0x32c85e,_0x44ee26[_0x44da96(0x829)]=_0x990086,_0x44ee26[_0x44da96(0x1280)]=_0x5ab20b,_0x44ee26[_0x44da96(0x527)]=_0x1fd8bc,_0x44ee26['showOfflineMessageChatWebsiteOfflineMessage']=_0x205f04,_0x44ee26[_0x44da96(0x270a)]=_0x47664a,_0x44ee26[_0x44da96(0x2543)]=_0x14dcf7,_0x44ee26[_0x44da96(0x23f7)]=_0x5610eb;function _0x18b137(_0x55b7b4,_0x426d28){const _0x19b5f2=_0x44da96;_0x44ee26[_0x19b5f2(0x226c)]=_0x55b7b4,_0x44ee26[_0x19b5f2(0x1b1a)]=typeof _0x426d28!==_0x19b5f2(0x16b5)?_0x426d28:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x44ee26[_0x19b5f2(0xae2)]['id']=_0x44ee26['chatWebsite']['id'],_0x5ab20b();}function _0x32c85e(_0x29b197,_0x11b8e2){const _0x4e08ad=_0x44da96,_0x54b16b=_0x19f16c['confirm']()[_0x4e08ad(0x1386)](_0x4e08ad(0x1439))['htmlContent'](_0x4e08ad(0x204d)+(_0x29b197[_0x4e08ad(0x16b6)]||_0x29b197['id']&&_0x39641b()[_0x4e08ad(0x277)]('offlineMessage\x20#')+_0x29b197['id']||_0x4e08ad(0x24e4))+_0x4e08ad(0x1200)+'\x20will\x20be\x20deleted.')[_0x4e08ad(0x15ad)](_0x4e08ad(0x1d85))[_0x4e08ad(0x728)](_0x11b8e2)['ok']('OK')['cancel'](_0x4e08ad(0x24ba));_0x19f16c['show'](_0x54b16b)[_0x4e08ad(0x1cb0)](function(){_0x14dcf7(_0x29b197);},function(){const _0x461551=_0x4e08ad;console['log'](_0x461551(0x24ba));});}function _0x990086(_0x2d3452){const _0x34d68b=_0x44da96;_0x44ee26[_0x34d68b(0xbbf)]=_0x2d3452||{'count':0x0,'rows':[]};}function _0x5ab20b(){const _0xb29a81=_0x44da96;_0x44ee26[_0xb29a81(0xae2)][_0xb29a81(0x184b)]=(_0x44ee26[_0xb29a81(0xae2)][_0xb29a81(0x1c7b)]-0x1)*_0x44ee26['query']['limit'],_0x44ee26['promise']=_0x5674a2[_0xb29a81(0x226c)][_0xb29a81(0xae4)](_0x44ee26[_0xb29a81(0xae2)],_0x990086)[_0xb29a81(0x1d77)];}function _0x1fd8bc(_0x1a300a,_0x43dfeb){const _0x33ce41=_0x44da96;_0x19f16c[_0x33ce41(0xe27)]({'controller':_0x33ce41(0xba9),'controllerAs':'vm','templateUrl':_0x5328d7,'parent':angular['element'](_0x153af0['body']),'targetEvent':_0x1a300a,'clickOutsideToClose':!![],'locals':{'chatWebsite':_0x44ee26[_0x33ce41(0x226c)],'offlineMessage':_0x43dfeb,'offlineMessages':_0x44ee26['chatWebsiteOfflineMessages'][_0x33ce41(0x2214)],'license':null,'setting':null,'crudPermissions':_0x44ee26[_0x33ce41(0x1b1a)]}});}function _0x205f04(_0x4b5184,_0x149f4a){const _0xa838ed=_0x44da96;_0x19f16c[_0xa838ed(0xe27)]({'controller':'ShowOfflineMessageOfflineMessageDialogController','controllerAs':'vm','templateUrl':_0x5328d7,'parent':angular[_0xa838ed(0x1853)](_0x153af0['body']),'targetEvent':_0x4b5184,'clickOutsideToClose':!![],'resolve':{'message':[_0xa838ed(0x1e0b),function(_0x4ca80a){const _0xaa064c=_0xa838ed;return _0x4ca80a[_0xaa064c(0x19a3)](_0xaa064c(0x18ea),{'fields':_0xaa064c(0x195d),'id':_0x149f4a['id']});}]}});}function _0x14dcf7(_0x21145c){const _0x5ce7ce=_0x44da96;_0x5674a2['chatOfflineMessage'][_0x5ce7ce(0x111d)]({'id':_0x21145c['id']})[_0x5ce7ce(0x1d77)][_0x5ce7ce(0x1cb0)](function(){const _0x111e66=_0x5ce7ce;_0x39641b()[_0x111e66(0x152a)](_0x44ee26[_0x111e66(0xbbf)][_0x111e66(0x2214)],{'id':_0x21145c['id']}),_0x44ee26[_0x111e66(0xbbf)][_0x111e66(0x184d)]-=0x1,!_0x44ee26[_0x111e66(0xbbf)]['rows'][_0x111e66(0xfd0)]&&_0x5ab20b(),_0x21fd53['success']({'title':_0x111e66(0x2e9),'msg':_0x21145c['name']?_0x21145c['name']+_0x111e66(0x3f5):''});})['catch'](function(_0x3fe26c){const _0x2cde16=_0x5ce7ce;if(_0x3fe26c['data']&&_0x3fe26c[_0x2cde16(0x25c)][_0x2cde16(0x1a7c)]&&_0x3fe26c[_0x2cde16(0x25c)]['errors'][_0x2cde16(0xfd0)]){_0x44ee26['errors']=_0x3fe26c[_0x2cde16(0x25c)]['errors']||[{'message':_0x3fe26c[_0x2cde16(0x147f)](),'type':_0x2cde16(0x5d5)}];for(let _0x2e66b7=0x0;_0x2e66b7<_0x3fe26c[_0x2cde16(0x25c)][_0x2cde16(0x1a7c)][_0x2cde16(0xfd0)];_0x2e66b7++){_0x21fd53[_0x2cde16(0x218e)]({'title':_0x3fe26c[_0x2cde16(0x25c)][_0x2cde16(0x1a7c)][_0x2e66b7][_0x2cde16(0x66a)],'msg':_0x3fe26c[_0x2cde16(0x25c)][_0x2cde16(0x1a7c)][_0x2e66b7][_0x2cde16(0x155e)]});}}else _0x21fd53[_0x2cde16(0x218e)]({'title':_0x3fe26c[_0x2cde16(0x291)]?_0x2cde16(0xeb9)+_0x3fe26c['status']+_0x2cde16(0x1657)+_0x3fe26c[_0x2cde16(0xc22)]:'SYSTEM:GETchatWebsite','msg':_0x3fe26c[_0x2cde16(0x25c)]?JSON['stringify'](_0x3fe26c[_0x2cde16(0x25c)]['message']):_0x3fe26c[_0x2cde16(0x155e)]||_0x3fe26c[_0x2cde16(0x147f)]()});});}function _0x47664a(){const _0x367fa6=_0x44da96,_0x346491=angular[_0x367fa6(0x17fe)](_0x44ee26['selectedChatWebsiteOfflineMessages']);return _0x44ee26[_0x367fa6(0x1f98)]=[],_0x346491;}function _0x5610eb(_0x42b250){const _0x25b024=_0x44da96,_0x591f41=_0x19f16c[_0x25b024(0x1551)]()[_0x25b024(0x1386)](_0x25b024(0x21b8))[_0x25b024(0x49e)](''+_0x44ee26[_0x25b024(0x1f98)]['length']+_0x25b024(0x1d6c)+'\x20will\x20be\x20deleted.')[_0x25b024(0x15ad)](_0x25b024(0x12e6))['targetEvent'](_0x42b250)['ok']('OK')[_0x25b024(0x696)]('CANCEL');_0x19f16c[_0x25b024(0xe27)](_0x591f41)[_0x25b024(0x1cb0)](function(){const _0x3b9c9c=_0x25b024;_0x44ee26[_0x3b9c9c(0x1f98)][_0x3b9c9c(0xf90)](function(_0x482a69){_0x14dcf7(_0x482a69);}),_0x44ee26[_0x3b9c9c(0x1f98)]=[];});}let _0x317d2f=!![],_0x356aad=0x1;_0x314bec[_0x44da96(0x614)](_0x44da96(0xeb6),function(_0x423398,_0x2addfd){const _0x87c3bb=_0x44da96;_0x317d2f?_0x1309ea(function(){_0x317d2f=![];}):(!_0x2addfd&&(_0x356aad=_0x44ee26[_0x87c3bb(0xae2)][_0x87c3bb(0x1c7b)]),_0x423398!==_0x2addfd&&(_0x44ee26[_0x87c3bb(0xae2)][_0x87c3bb(0x1c7b)]=0x1),!_0x423398&&(_0x44ee26[_0x87c3bb(0xae2)]['page']=_0x356aad),_0x5ab20b());});}const _0x555e75=_0x3711f9;;_0x44f00[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),_0x5537c6(0x155e)];function _0x44f00(_0x4256eb,_0x5ab6ba){const _0x125b24=_0x5537c6,_0x52ba47=this;_0x52ba47[_0x125b24(0x1386)]=_0x125b24(0xe54),_0x52ba47['message']=_0x5ab6ba,_0x52ba47['closeDialog']=_0x51c0ed;function _0x51c0ed(_0x3bd67f){const _0x2072da=_0x125b24;_0x4256eb[_0x2072da(0x1426)](_0x3bd67f);}}const _0x1a5e26=_0x44f00;;const _0x56ccf1=_0x5074a3['p']+'src/js/modules/main/apps/chat/views/chatWebsites/edit/proactive/dialog.html/dialog.html';;_0x526f34[_0x5537c6(0x15b6)]=[_0x5537c6(0x173),_0x5537c6(0x1463),_0x5537c6(0x406),'$q',_0x5537c6(0x1ae),_0x5537c6(0x2168),'$mdDialog','$document',_0x5537c6(0x9bf),_0x5537c6(0x142b),'Auth'];function _0x526f34(_0x1facdc,_0x1a123f,_0x154b32,_0x5dfafe,_0x43a613,_0x964b00,_0x208091,_0x385731,_0x2027ff,_0x21beb9,_0x1dbf86){const _0x446f9c=_0x5537c6,_0x375d80=this;_0x375d80[_0x446f9c(0xe76)]=_0x1dbf86[_0x446f9c(0x21e8)](),_0x375d80[_0x446f9c(0x226c)]={},_0x375d80['chatWebsiteProactiveActions']={'count':0x0,'rows':[]},_0x375d80[_0x446f9c(0x27a9)]=[],_0x375d80[_0x446f9c(0x1b1a)],_0x375d80['query']={'fields':_0x446f9c(0x39a),'limit':0xa,'page':0x1},_0x375d80[_0x446f9c(0x101d)]=_0x39641b()['keyBy']([{'option':_0x446f9c(0xb45),'value':_0x446f9c(0x59d)},{'option':_0x446f9c(0xbcc),'value':_0x446f9c(0x487)}],function(_0x2a3b){const _0x1bccc1=_0x446f9c;return _0x39641b()[_0x1bccc1(0x288f)](_0x2a3b[_0x1bccc1(0x327)],new RegExp('\x27','g'),'');}),_0x375d80['init']=_0x1367a5,_0x375d80[_0x446f9c(0xb25)]=_0x3330bb,_0x375d80[_0x446f9c(0x829)]=_0x4a9a85,_0x375d80[_0x446f9c(0xd7d)]=_0x3c03c0,_0x375d80['createOrEditChatWebsiteChatProactiveAction']=_0x2ba5ca,_0x375d80['exportSelectedChatWebsiteProactiveActions']=_0x42d3e7,_0x375d80[_0x446f9c(0xf0a)]=_0x5aafcb,_0x375d80['deleteSelectedChatWebsiteProactiveActions']=_0x4301b5;function _0x1367a5(_0x31ac5c,_0x32439b){const _0x1d7ed2=_0x446f9c;_0x375d80[_0x1d7ed2(0x226c)]=_0x31ac5c,_0x375d80[_0x1d7ed2(0x1b1a)]=typeof _0x32439b!==_0x1d7ed2(0x16b5)?_0x32439b:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x375d80['query'][_0x1d7ed2(0x67c)]=_0x375d80[_0x1d7ed2(0x226c)]['id'],_0x375d80['query']['id']=_0x375d80[_0x1d7ed2(0x226c)]['id'],_0x3c03c0();}function _0x3330bb(_0x5dcc23,_0x1c49f4){const _0x26d512=_0x446f9c,_0x308e22=_0x208091[_0x26d512(0x1551)]()['title'](_0x26d512(0x4fa))[_0x26d512(0x49e)](_0x26d512(0x204d)+(_0x5dcc23['name']||_0x5dcc23['id']&&_0x39641b()[_0x26d512(0x277)](_0x26d512(0x1aa5))+_0x5dcc23['id']||_0x26d512(0x28fc))+''+_0x26d512(0x1b6))[_0x26d512(0x15ad)](_0x26d512(0x3d3))[_0x26d512(0x728)](_0x1c49f4)['ok']('OK')[_0x26d512(0x696)](_0x26d512(0x24ba));_0x208091[_0x26d512(0xe27)](_0x308e22)['then'](function(){_0x5aafcb(_0x5dcc23);},function(){const _0x511bae=_0x26d512;console[_0x511bae(0x1b4f)]('CANCEL');});}function _0x4a9a85(_0x3e8f4e){const _0x19347c=_0x446f9c;_0x375d80[_0x19347c(0x2494)]=_0x3e8f4e||{'count':0x0,'rows':[]};}function _0x3c03c0(){const _0x2decc3=_0x446f9c;_0x375d80[_0x2decc3(0xae2)]['offset']=(_0x375d80['query'][_0x2decc3(0x1c7b)]-0x1)*_0x375d80[_0x2decc3(0xae2)][_0x2decc3(0x236)],_0x375d80[_0x2decc3(0x2061)]=_0x21beb9[_0x2decc3(0x226c)]['getProactiveActions'](_0x375d80[_0x2decc3(0xae2)],_0x4a9a85)[_0x2decc3(0x1d77)];}function _0x2ba5ca(_0x298d4b,_0xe58b29){const _0x25122e=_0x446f9c;_0x208091[_0x25122e(0xe27)]({'controller':'CreateOrEditChatProactiveActionDialogController','controllerAs':'vm','templateUrl':_0x56ccf1,'parent':angular[_0x25122e(0x1853)](_0x385731['body']),'targetEvent':_0x298d4b,'clickOutsideToClose':!![],'locals':{'chatWebsite':_0x375d80['chatWebsite'],'chatProactiveAction':_0xe58b29,'proactive':_0x375d80[_0x25122e(0x2494)][_0x25122e(0x2214)],'license':null,'setting':null,'crudPermissions':_0x375d80[_0x25122e(0x1b1a)]}});}function _0x5aafcb(_0x32bcda){const _0x3fc94f=_0x446f9c;_0x21beb9[_0x3fc94f(0x28fc)][_0x3fc94f(0x111d)]({'id':_0x32bcda['id']})['$promise'][_0x3fc94f(0x1cb0)](function(){const _0xf76819=_0x3fc94f;_0x39641b()[_0xf76819(0x152a)](_0x375d80[_0xf76819(0x2494)][_0xf76819(0x2214)],{'id':_0x32bcda['id']}),_0x375d80[_0xf76819(0x2494)]['count']-=0x1,!_0x375d80[_0xf76819(0x2494)][_0xf76819(0x2214)][_0xf76819(0xfd0)]&&_0x3c03c0(),_0x2027ff[_0xf76819(0x829)]({'title':_0xf76819(0x173f),'msg':_0x32bcda[_0xf76819(0x16b6)]?_0x32bcda[_0xf76819(0x16b6)]+_0xf76819(0x3f5):''});})[_0x3fc94f(0x1c4)](function(_0x1a7e09){const _0x1e6dd2=_0x3fc94f;if(_0x1a7e09[_0x1e6dd2(0x25c)]&&_0x1a7e09[_0x1e6dd2(0x25c)]['errors']&&_0x1a7e09['data'][_0x1e6dd2(0x1a7c)][_0x1e6dd2(0xfd0)]){_0x375d80[_0x1e6dd2(0x1a7c)]=_0x1a7e09[_0x1e6dd2(0x25c)][_0x1e6dd2(0x1a7c)]||[{'message':_0x1a7e09['toString'](),'type':_0x1e6dd2(0x5d5)}];for(let _0x4e8f1e=0x0;_0x4e8f1e<_0x1a7e09[_0x1e6dd2(0x25c)][_0x1e6dd2(0x1a7c)]['length'];_0x4e8f1e++){_0x2027ff[_0x1e6dd2(0x218e)]({'title':_0x1a7e09[_0x1e6dd2(0x25c)][_0x1e6dd2(0x1a7c)][_0x4e8f1e][_0x1e6dd2(0x66a)],'msg':_0x1a7e09[_0x1e6dd2(0x25c)][_0x1e6dd2(0x1a7c)][_0x4e8f1e][_0x1e6dd2(0x155e)]});}}else _0x2027ff[_0x1e6dd2(0x218e)]({'title':_0x1a7e09[_0x1e6dd2(0x291)]?_0x1e6dd2(0xeb9)+_0x1a7e09[_0x1e6dd2(0x291)]+_0x1e6dd2(0x1657)+_0x1a7e09[_0x1e6dd2(0xc22)]:'SYSTEM:GETchatWebsite','msg':_0x1a7e09[_0x1e6dd2(0x25c)]?JSON[_0x1e6dd2(0x2701)](_0x1a7e09[_0x1e6dd2(0x25c)][_0x1e6dd2(0x155e)]):_0x1a7e09[_0x1e6dd2(0x155e)]||_0x1a7e09[_0x1e6dd2(0x147f)]()});});}function _0x42d3e7(){const _0x25d076=_0x446f9c,_0x532896=angular[_0x25d076(0x17fe)](_0x375d80['selectedChatWebsiteProactiveActions']);return _0x375d80['selectedChatWebsiteProactiveActions']=[],_0x532896;}function _0x4301b5(_0x51622b){const _0x48ccee=_0x446f9c,_0x29499a=_0x208091[_0x48ccee(0x1551)]()[_0x48ccee(0x1386)](_0x48ccee(0xc4d))[_0x48ccee(0x49e)](_0x48ccee(0x204d)+_0x375d80[_0x48ccee(0x27a9)][_0x48ccee(0xfd0)]+'\x20selected'+_0x48ccee(0x1b6))[_0x48ccee(0x15ad)](_0x48ccee(0x1bbe))[_0x48ccee(0x728)](_0x51622b)['ok']('OK')[_0x48ccee(0x696)](_0x48ccee(0x24ba));_0x208091[_0x48ccee(0xe27)](_0x29499a)[_0x48ccee(0x1cb0)](function(){const _0x2c8d1f=_0x48ccee;_0x375d80[_0x2c8d1f(0x27a9)][_0x2c8d1f(0xf90)](function(_0x47bc1f){_0x5aafcb(_0x47bc1f);}),_0x375d80[_0x2c8d1f(0x27a9)]=[];});}let _0x104a13=!![],_0x66299d=0x1;_0x1a123f['$watch']('vm_dc.query.filter',function(_0x19a05a,_0x1bfd32){const _0x567dc1=_0x446f9c;_0x104a13?_0x964b00(function(){_0x104a13=![];}):(!_0x1bfd32&&(_0x66299d=_0x375d80['query'][_0x567dc1(0x1c7b)]),_0x19a05a!==_0x1bfd32&&(_0x375d80['query'][_0x567dc1(0x1c7b)]=0x1),!_0x19a05a&&(_0x375d80[_0x567dc1(0xae2)][_0x567dc1(0x1c7b)]=_0x66299d),_0x3c03c0());});}const _0xd8e815=_0x526f34;;_0x397c5[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),'toasty','proactive',_0x5537c6(0x28fc),'api','Auth',_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x397c5(_0x5aa770,_0x331fa6,_0xe0d6a7,_0x565461,_0xd24ca5,_0x54d904,_0x4678e9,_0x2dbc63,_0x3ffb72,_0x1aa0e4,_0x193d2f,_0x1ecf82,_0x50a752,_0x53d2f1){const _0x1facea=_0x5537c6,_0x4b42b5=this;_0x4b42b5[_0x1facea(0xe76)]=_0x193d2f[_0x1facea(0x21e8)](),_0x4b42b5['errors']=[],_0x4b42b5['setting']=_0x50a752,_0x4b42b5[_0x1facea(0x8a5)]=_0x1ecf82,_0x4b42b5['crudPermissions']=_0x53d2f1,_0x4b42b5[_0x1facea(0xf4c)]={},_0x4b42b5[_0x1facea(0x1b0c)]=_0x4b42b5['setting']&&_0x4b42b5[_0x1facea(0x9ca)][_0x1facea(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x4b42b5['title']=_0x1facea(0xc15),_0x4b42b5[_0x1facea(0x28fc)]=angular[_0x1facea(0x17fe)](_0x3ffb72),_0x4b42b5[_0x1facea(0x14a6)]=_0x2dbc63,_0x4b42b5[_0x1facea(0x23b5)]=![];!_0x4b42b5['chatProactiveAction']&&(_0x4b42b5[_0x1facea(0x28fc)]={'type':_0x1facea(0x551)},_0x4b42b5[_0x1facea(0x1386)]='CHAT.NEW_CHATPROACTIVEACTION',_0x4b42b5[_0x1facea(0x23b5)]=!![]);_0x331fa6['params']['id']&&(_0x4b42b5[_0x1facea(0x28fc)][_0x1facea(0x67c)]=_0x331fa6['params']['id']);_0x4b42b5[_0x1facea(0x139d)]=_0x35ef2b,_0x4b42b5[_0x1facea(0x1415)]=_0x502a79,_0x4b42b5[_0x1facea(0x1389)]=_0xecd7b4,_0x4b42b5[_0x1facea(0x2c4)]=_0x5c94d8,_0x4b42b5[_0x1facea(0xda0)]=_0x19b3f7;function _0x35ef2b(){const _0x2137b4=_0x1facea;_0x4b42b5['errors']=[],_0x1aa0e4[_0x2137b4(0x28fc)]['save'](_0x4b42b5[_0x2137b4(0x28fc)])[_0x2137b4(0x1d77)][_0x2137b4(0x1cb0)](function(_0x3f6978){const _0xadea2f=_0x2137b4;_0x4b42b5[_0xadea2f(0x14a6)]['unshift'](_0x3f6978[_0xadea2f(0x19b2)]()),_0x4678e9['success']({'title':_0xadea2f(0x193),'msg':_0x4b42b5[_0xadea2f(0x28fc)][_0xadea2f(0x16b6)]?_0x4b42b5[_0xadea2f(0x28fc)][_0xadea2f(0x16b6)]+_0xadea2f(0x470):''}),_0x19b3f7(_0x3f6978);})[_0x2137b4(0x1c4)](function(_0xb603aa){const _0x3caf45=_0x2137b4;if(_0xb603aa[_0x3caf45(0x25c)]&&_0xb603aa[_0x3caf45(0x25c)][_0x3caf45(0x1a7c)]&&_0xb603aa[_0x3caf45(0x25c)][_0x3caf45(0x1a7c)][_0x3caf45(0xfd0)]){_0x4b42b5[_0x3caf45(0x1a7c)]=_0xb603aa['data'][_0x3caf45(0x1a7c)]||[{'message':_0xb603aa[_0x3caf45(0x147f)](),'type':_0x3caf45(0xac8)}];for(let _0x59258a=0x0;_0x59258a<_0xb603aa[_0x3caf45(0x25c)]['errors'][_0x3caf45(0xfd0)];_0x59258a+=0x1){_0x4678e9['error']({'title':_0xb603aa[_0x3caf45(0x25c)][_0x3caf45(0x1a7c)][_0x59258a][_0x3caf45(0x66a)],'msg':_0xb603aa[_0x3caf45(0x25c)][_0x3caf45(0x1a7c)][_0x59258a][_0x3caf45(0x155e)]});}}else _0x4678e9[_0x3caf45(0x218e)]({'title':_0xb603aa[_0x3caf45(0x291)]?_0x3caf45(0xeb9)+_0xb603aa[_0x3caf45(0x291)]+_0x3caf45(0x1657)+_0xb603aa[_0x3caf45(0xc22)]:'api.chatProactiveAction.save','msg':_0xb603aa[_0x3caf45(0x25c)]?JSON['stringify'](_0xb603aa[_0x3caf45(0x25c)][_0x3caf45(0x155e)]):_0xb603aa[_0x3caf45(0x147f)]()});});}function _0x502a79(){const _0x34e335=_0x1facea;_0x4b42b5[_0x34e335(0x1a7c)]=[],_0x1aa0e4['chatProactiveAction'][_0x34e335(0x687)]({'id':_0x4b42b5[_0x34e335(0x28fc)]['id']},_0x4b42b5[_0x34e335(0x28fc)])['$promise']['then'](function(_0x1ab3c2){const _0x56f1fd=_0x34e335,_0x168732=_0x39641b()[_0x56f1fd(0x13b4)](_0x4b42b5[_0x56f1fd(0x14a6)],{'id':_0x1ab3c2['id']});_0x168732&&_0x39641b()[_0x56f1fd(0x9c1)](_0x168732,_0x39641b()[_0x56f1fd(0x169b)](_0x1ab3c2[_0x56f1fd(0x19b2)](),_0x39641b()[_0x56f1fd(0x1be5)](_0x168732))),_0x4678e9[_0x56f1fd(0x829)]({'title':_0x56f1fd(0x10c5),'msg':_0x4b42b5[_0x56f1fd(0x28fc)][_0x56f1fd(0x16b6)]?_0x4b42b5[_0x56f1fd(0x28fc)][_0x56f1fd(0x16b6)]+_0x56f1fd(0xedb):''}),_0x19b3f7(_0x1ab3c2);})['catch'](function(_0x292b56){const _0x21f886=_0x34e335;if(_0x292b56['data']&&_0x292b56[_0x21f886(0x25c)][_0x21f886(0x1a7c)]&&_0x292b56[_0x21f886(0x25c)][_0x21f886(0x1a7c)][_0x21f886(0xfd0)]){_0x4b42b5[_0x21f886(0x1a7c)]=_0x292b56['data'][_0x21f886(0x1a7c)]||[{'message':_0x292b56[_0x21f886(0x147f)](),'type':_0x21f886(0x289f)}];for(let _0x237c76=0x0;_0x237c76<_0x292b56[_0x21f886(0x25c)][_0x21f886(0x1a7c)][_0x21f886(0xfd0)];_0x237c76++){_0x4678e9[_0x21f886(0x218e)]({'title':_0x292b56[_0x21f886(0x25c)][_0x21f886(0x1a7c)][_0x237c76]['type'],'msg':_0x292b56[_0x21f886(0x25c)]['errors'][_0x237c76][_0x21f886(0x155e)]});}}else _0x4678e9[_0x21f886(0x218e)]({'title':_0x292b56[_0x21f886(0x291)]?'API:'+_0x292b56[_0x21f886(0x291)]+_0x21f886(0x1657)+_0x292b56[_0x21f886(0xc22)]:'api.chatProactiveAction.update','msg':_0x292b56[_0x21f886(0x25c)]?JSON['stringify'](_0x292b56[_0x21f886(0x25c)][_0x21f886(0x155e)]):_0x292b56[_0x21f886(0x147f)]()});});}function _0xecd7b4(_0x38d31c){const _0x197d2b=_0x1facea;_0x4b42b5[_0x197d2b(0x1a7c)]=[];const _0x19a595=_0x565461[_0x197d2b(0x1551)]()['title']('Are\x20you\x20sure?')[_0x197d2b(0x862)](_0x197d2b(0x1343))[_0x197d2b(0x15ad)](_0x197d2b(0x22b4))['ok'](_0x197d2b(0x2594))[_0x197d2b(0x696)](_0x197d2b(0xde1))[_0x197d2b(0x728)](_0x38d31c);_0x565461['show'](_0x19a595)[_0x197d2b(0x1cb0)](function(){const _0x4555fc=_0x197d2b;_0x1aa0e4[_0x4555fc(0x28fc)][_0x4555fc(0x111d)]({'id':_0x4b42b5[_0x4555fc(0x28fc)]['id']})['$promise'][_0x4555fc(0x1cb0)](function(){const _0x3240fc=_0x4555fc;_0x39641b()[_0x3240fc(0x152a)](_0x4b42b5['proactive'],{'id':_0x4b42b5[_0x3240fc(0x28fc)]['id']}),_0x4678e9[_0x3240fc(0x829)]({'title':_0x3240fc(0x2225),'msg':(_0x4b42b5['chatProactiveAction'][_0x3240fc(0x16b6)]||_0x3240fc(0x28fc))+_0x3240fc(0x3f5)}),_0x19b3f7(_0x4b42b5['chatProactiveAction']);})[_0x4555fc(0x1c4)](function(_0x279354){const _0x921554=_0x4555fc;if(_0x279354[_0x921554(0x25c)]&&_0x279354[_0x921554(0x25c)]['errors']&&_0x279354[_0x921554(0x25c)][_0x921554(0x1a7c)][_0x921554(0xfd0)]){_0x4b42b5[_0x921554(0x1a7c)]=_0x279354[_0x921554(0x25c)][_0x921554(0x1a7c)]||[{'message':_0x279354[_0x921554(0x147f)](),'type':_0x921554(0x16e8)}];for(let _0x3294fb=0x0;_0x3294fb<_0x279354[_0x921554(0x25c)][_0x921554(0x1a7c)][_0x921554(0xfd0)];_0x3294fb++){_0x4678e9['error']({'title':_0x279354[_0x921554(0x25c)][_0x921554(0x1a7c)][_0x3294fb]['type'],'msg':_0x279354[_0x921554(0x25c)][_0x921554(0x1a7c)][_0x3294fb]['message']});}}else _0x4678e9[_0x921554(0x218e)]({'title':_0x279354[_0x921554(0x291)]?'API:'+_0x279354['status']+'\x20-\x20'+_0x279354[_0x921554(0xc22)]:'api.chatProactiveAction.delete','msg':_0x279354[_0x921554(0x25c)]?JSON[_0x921554(0x2701)](_0x279354[_0x921554(0x25c)][_0x921554(0x155e)]):_0x279354[_0x921554(0x155e)]||_0x279354[_0x921554(0x147f)]()});});},function(){});}function _0x5c94d8(_0x4ad616){return _0x4ad616===null?undefined:new Date(_0x4ad616);}function _0x19b3f7(_0x334a6f){_0x565461['hide'](_0x334a6f);}}const _0x5848b7=_0x397c5;;_0x5870d3[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463)];function _0x5870d3(_0x1cd4b0){const _0x412dd7=_0x5537c6,_0x1c5706=this;_0x1c5706[_0x412dd7(0x226c)]={},_0x1c5706[_0x412dd7(0x1a8e)]=_0x3217a2,_0x1c5706[_0x412dd7(0x28c7)]={};function _0x3217a2(_0x4cdc45){const _0x34e512=_0x412dd7;_0x1c5706['chatWebsite']=_0x4cdc45,_0x1c5706[_0x34e512(0xf51)]=_0x34e512(0x4e1),_0x1c5706[_0x34e512(0x1fe8)]='\x0a\x20\x20\x20\x20_0xde9037)return _0x4f9089[_0x36ff1d(0x218e)]({'title':_0x36ff1d(0x2749),'msg':'The\x20max\x20allowed\x20size\x20is\x20'+_0x1f9a68+'MB'}),![];const _0x2b1007={'id':_0x432fcd[_0x36ff1d(0x27c2)],'file':_0x432fcd,'type':_0x36ff1d(0x2989)};return _0x1f22d8['chatWebsite'][_0x36ff1d(0x105c)]['unshift'](_0x2b1007),!![];}function _0x6e39b2(){const _0xa1c5ba=_0x2e757a;_0x1f22d8[_0xa1c5ba(0x125a)]['flow'][_0xa1c5ba(0xd56)]['headers']={'X-Requested-With':_0xa1c5ba(0x2711),'Authorization':_0xa1c5ba(0x1923)+_0x40de8b[_0xa1c5ba(0xbf7)](_0xa1c5ba(0x1b26))},_0x1f22d8[_0xa1c5ba(0x125a)][_0xa1c5ba(0xacf)][_0xa1c5ba(0x1c97)]();}function _0x7093d9(_0x431500){const _0x50ee8c=_0x2e757a;angular[_0x50ee8c(0xf90)](_0x1f22d8[_0x50ee8c(0x226c)][_0x50ee8c(0x105c)],function(_0x3e20be){const _0x11eb4f=_0x50ee8c;if(_0x3e20be['id']===_0x431500['uniqueIdentifier']){const _0x188610=new FileReader();_0x188610[_0x11eb4f(0x245d)](_0x3e20be[_0x11eb4f(0x1ac4)][_0x11eb4f(0x1ac4)]),_0x188610[_0x11eb4f(0x198d)]=function(_0xc058dc){const _0x532ce2=_0x11eb4f;_0x3e20be[_0x532ce2(0x135b)]=_0xc058dc['target'][_0x532ce2(0x1205)];},_0x3e20be[_0x11eb4f(0x66a)]=_0x11eb4f(0x499);}});}}const _0x4a64b9=_0x468e15;;_0xc8b117[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x22bf),'$translate','license',_0x5537c6(0x9ca),_0x5537c6(0x142b),_0x5537c6(0x9bf),'Auth',_0x5537c6(0x226c),_0x5537c6(0x2199)];function _0xc8b117(_0xdd1e7e,_0x158444,_0x2c9f79,_0xbd8fda,_0x588490,_0x199fdf,_0x4de14d,_0x34ad2d,_0x49c483,_0x1520b7,_0x121d70,_0x36f8f1){const _0x51faea=_0x5537c6,_0x17e8d0=this;_0x17e8d0['currentUser']=_0x1520b7[_0x51faea(0x21e8)](),_0x17e8d0[_0x51faea(0x8a5)]=_0x199fdf,_0x17e8d0[_0x51faea(0x9ca)]=_0x4de14d,_0x17e8d0[_0x51faea(0x1b0c)]=_0x17e8d0['setting'][_0x51faea(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x17e8d0[_0x51faea(0x2404)]=_0x158444[_0x51faea(0x2276)]()+_0x51faea(0x138b)+_0x158444['host'](),_0x17e8d0[_0x51faea(0x226c)]=_0x121d70||_0xdd1e7e[_0x51faea(0x1dfe)]['chatWebsite']||{},_0x17e8d0[_0x51faea(0x2199)]=_0x36f8f1&&_0x36f8f1[_0x51faea(0x184d)]==0x1?_0x36f8f1[_0x51faea(0x2214)][0x0]:null,_0x17e8d0['crudPermissions']=_0x1520b7[_0x51faea(0x14ea)](_0x17e8d0[_0x51faea(0x2199)]?_0x17e8d0['userProfileSection']['crudPermissions']:null),_0x17e8d0[_0x51faea(0xf4c)]={},_0x17e8d0[_0x51faea(0x8ec)]=_0xdd1e7e[_0x51faea(0x1dfe)][_0x51faea(0x291e)]||0x0,_0x17e8d0[_0x51faea(0x1379)]=_0x53246e,_0x17e8d0[_0x51faea(0x494)]=_0x49c483[_0x51faea(0x28c7)],_0x17e8d0[_0x51faea(0x1cbb)]=_0x3fe5e7,_0x17e8d0[_0x51faea(0x8c8)]=_0x5fdb77,_0x1520b7[_0x51faea(0x22b6)](_0x51faea(0x1c60))?_0x34ad2d[_0x51faea(0x22f2)][_0x51faea(0xbf7)]({'fields':'id,name','sort':'name'})[_0x51faea(0x1d77)][_0x51faea(0x1cb0)](function(_0x4e9364){const _0x5799c5=_0x51faea;_0x17e8d0[_0x5799c5(0x1046)]=_0x4e9364[_0x5799c5(0x2214)]||[];})[_0x51faea(0x1c4)](function(_0x3ae01f){const _0x56d610=_0x51faea;_0x49c483[_0x56d610(0x218e)]({'title':_0x3ae01f[_0x56d610(0x291)]?'API:'+_0x3ae01f[_0x56d610(0x291)]+_0x56d610(0x1657)+_0x3ae01f[_0x56d610(0xc22)]:_0x56d610(0x149e),'msg':_0x3ae01f[_0x56d610(0x25c)]?JSON['stringify'](_0x3ae01f['data']):_0x3ae01f[_0x56d610(0x147f)]()});}):_0x34ad2d[_0x51faea(0x22f2)][_0x51faea(0xbf7)]({'fields':_0x51faea(0x43c),'sort':_0x51faea(0x16b6)})[_0x51faea(0x1d77)][_0x51faea(0x1cb0)](function(_0xfc9d4){const _0x1b9076=_0x51faea;_0x17e8d0['lists']=_0xfc9d4[_0x1b9076(0x2214)]||[];})['then'](function(){const _0x384d08=_0x51faea;return _0x34ad2d[_0x384d08(0x2199)][_0x384d08(0xbf7)]({'userProfileId':_0x17e8d0['currentUser'][_0x384d08(0x13c1)],'sectionId':0x12d})[_0x384d08(0x1d77)];})[_0x51faea(0x1cb0)](function(_0x21710e){const _0x2f2c8b=_0x51faea,_0x34d3f6=_0x21710e&&_0x21710e[_0x2f2c8b(0x2214)]?_0x21710e['rows'][0x0]:null;if(!_0x34d3f6){const _0x3ddad0=[];let _0x3949e7=null;_0x17e8d0[_0x2f2c8b(0x226c)]&&(_0x3949e7=_0x39641b()[_0x2f2c8b(0x13b4)](_0x17e8d0[_0x2f2c8b(0x1046)],{'id':Number(_0x17e8d0[_0x2f2c8b(0x226c)]['ListId'])}));for(let _0x3e5f7b=0x0;_0x3e5f7b<_0x17e8d0['lists'][_0x2f2c8b(0xfd0)];_0x3e5f7b++){_0x3949e7&&_0x17e8d0['lists'][_0x3e5f7b]['id']===_0x3949e7['id']&&(_0x17e8d0[_0x2f2c8b(0x1046)][_0x3e5f7b][_0x2f2c8b(0x15da)]=![],_0x3ddad0['push'](_0x17e8d0[_0x2f2c8b(0x1046)][_0x3e5f7b]));}_0x17e8d0[_0x2f2c8b(0x1046)]=_0x3ddad0;}else{if(!_0x34d3f6[_0x2f2c8b(0x12f4)])return _0x34ad2d[_0x2f2c8b(0x1198)][_0x2f2c8b(0xbf7)]({'sectionId':_0x34d3f6['id']})[_0x2f2c8b(0x1d77)][_0x2f2c8b(0x1cb0)](function(_0x1d1c3c){const _0x5c66a1=_0x2f2c8b,_0x2dc5ee=_0x39641b()[_0x5c66a1(0x1de2)](_0x1d1c3c[_0x5c66a1(0x2214)],function(_0x209b45){const _0x454e8e=_0x5c66a1;return _0x39641b()[_0x454e8e(0x13b4)](_0x17e8d0[_0x454e8e(0x1046)],{'id':_0x209b45[_0x454e8e(0x2982)]});});let _0x29bc2c=null;_0x17e8d0[_0x5c66a1(0x226c)]&&(_0x29bc2c=_0x39641b()[_0x5c66a1(0x13b4)](_0x17e8d0[_0x5c66a1(0x1046)],{'id':Number(_0x17e8d0[_0x5c66a1(0x226c)][_0x5c66a1(0x20a6)])}));if(_0x29bc2c&&!_0x39641b()[_0x5c66a1(0x727)](_0x2dc5ee,['id',_0x29bc2c['id']])){const _0x215cb1=_0x39641b()[_0x5c66a1(0x13b4)](_0x17e8d0['lists'],{'id':_0x29bc2c['id']});_0x215cb1[_0x5c66a1(0x15da)]=![],_0x2dc5ee[_0x5c66a1(0x2785)](_0x215cb1);}_0x17e8d0[_0x5c66a1(0x1046)]=_0x2dc5ee;});}})[_0x51faea(0x1c4)](function(_0x4693f7){const _0x59ce1a=_0x51faea;_0x49c483['error']({'title':_0x4693f7[_0x59ce1a(0x291)]?_0x59ce1a(0xeb9)+_0x4693f7[_0x59ce1a(0x291)]+_0x59ce1a(0x1657)+_0x4693f7[_0x59ce1a(0xc22)]:_0x59ce1a(0x113c),'msg':_0x4693f7[_0x59ce1a(0x25c)]?JSON[_0x59ce1a(0x2701)](_0x4693f7[_0x59ce1a(0x25c)]):_0x4693f7[_0x59ce1a(0x147f)]()});}),_0x1520b7[_0x51faea(0x22b6)]('admin')?_0x34ad2d[_0x51faea(0x1ac8)][_0x51faea(0xbf7)]({'fields':_0x51faea(0x43c),'sort':_0x51faea(0x16b6),'IntervalId':'null'})[_0x51faea(0x1d77)][_0x51faea(0x1cb0)](function(_0x38d96f){const _0x49d2b6=_0x51faea;_0x17e8d0[_0x49d2b6(0x1ac8)]=_0x38d96f[_0x49d2b6(0x2214)]||[];})[_0x51faea(0x1c4)](function(_0x1560c0){const _0x4f9f2c=_0x51faea;_0x49c483[_0x4f9f2c(0x218e)]({'title':_0x1560c0[_0x4f9f2c(0x291)]?_0x4f9f2c(0xeb9)+_0x1560c0[_0x4f9f2c(0x291)]+'\x20-\x20'+_0x1560c0[_0x4f9f2c(0xc22)]:_0x4f9f2c(0x1917),'msg':_0x1560c0[_0x4f9f2c(0x25c)]?JSON[_0x4f9f2c(0x2701)](_0x1560c0[_0x4f9f2c(0x25c)]):_0x1560c0[_0x4f9f2c(0x147f)]()});}):_0x34ad2d['interval'][_0x51faea(0xbf7)]({'fields':_0x51faea(0x43c),'sort':_0x51faea(0x16b6),'IntervalId':_0x51faea(0xd38)})[_0x51faea(0x1d77)][_0x51faea(0x1cb0)](function(_0x3370c2){const _0x5abdba=_0x51faea;_0x17e8d0[_0x5abdba(0x1ac8)]=_0x3370c2['rows']||[];})[_0x51faea(0x1cb0)](function(){const _0x417eb1=_0x51faea;return _0x34ad2d[_0x417eb1(0x2199)][_0x417eb1(0xbf7)]({'userProfileId':_0x17e8d0[_0x417eb1(0xe76)][_0x417eb1(0x13c1)],'sectionId':0x3ec})[_0x417eb1(0x1d77)];})[_0x51faea(0x1cb0)](function(_0x4822c0){const _0x53b60e=_0x51faea,_0x539351=_0x4822c0&&_0x4822c0['rows']?_0x4822c0[_0x53b60e(0x2214)][0x0]:null;if(!_0x539351){const _0x167f6c=[];let _0x473fd0=null;_0x17e8d0[_0x53b60e(0x226c)]&&(_0x473fd0=_0x39641b()[_0x53b60e(0x13b4)](_0x17e8d0[_0x53b60e(0x1ac8)],{'id':Number(_0x17e8d0[_0x53b60e(0x226c)][_0x53b60e(0x1a60)])}));for(let _0x53a6f9=0x0;_0x53a6f9<_0x17e8d0[_0x53b60e(0x1ac8)]['length'];_0x53a6f9++){_0x473fd0&&_0x17e8d0[_0x53b60e(0x1ac8)][_0x53a6f9]['id']===_0x473fd0['id']&&(_0x17e8d0[_0x53b60e(0x1ac8)][_0x53a6f9][_0x53b60e(0x15da)]=![],_0x167f6c['push'](_0x17e8d0[_0x53b60e(0x1ac8)][_0x53a6f9]));}_0x17e8d0[_0x53b60e(0x1ac8)]=_0x167f6c;}else{if(!_0x539351['autoAssociation'])return _0x34ad2d[_0x53b60e(0x1198)]['get']({'sectionId':_0x539351['id']})[_0x53b60e(0x1d77)][_0x53b60e(0x1cb0)](function(_0x128adf){const _0x2e1c00=_0x53b60e,_0x1474f6=_0x39641b()[_0x2e1c00(0x1de2)](_0x128adf[_0x2e1c00(0x2214)],function(_0x312465){const _0x2d9c97=_0x2e1c00;return _0x39641b()[_0x2d9c97(0x13b4)](_0x17e8d0['interval'],{'id':_0x312465[_0x2d9c97(0x2982)]});});let _0x2f0898=null;_0x17e8d0[_0x2e1c00(0x226c)]&&(_0x2f0898=_0x39641b()[_0x2e1c00(0x13b4)](_0x17e8d0[_0x2e1c00(0x1ac8)],{'id':Number(_0x17e8d0[_0x2e1c00(0x226c)][_0x2e1c00(0x1a60)])}));if(_0x2f0898&&!_0x39641b()[_0x2e1c00(0x727)](_0x1474f6,['id',_0x2f0898['id']])){const _0x14ca42=_0x39641b()[_0x2e1c00(0x13b4)](_0x17e8d0[_0x2e1c00(0x1ac8)],{'id':_0x2f0898['id']});_0x14ca42[_0x2e1c00(0x15da)]=![],_0x1474f6[_0x2e1c00(0x2785)](_0x14ca42);}_0x17e8d0['interval']=_0x1474f6;});}})['catch'](function(_0x89a255){const _0x4fb087=_0x51faea;_0x49c483[_0x4fb087(0x218e)]({'title':_0x89a255[_0x4fb087(0x291)]?_0x4fb087(0xeb9)+_0x89a255[_0x4fb087(0x291)]+_0x4fb087(0x1657)+_0x89a255['statusText']:_0x4fb087(0x1b58),'msg':_0x89a255['data']?JSON[_0x4fb087(0x2701)](_0x89a255[_0x4fb087(0x25c)]):_0x89a255['toString']()});}),_0x1520b7['hasRole'](_0x51faea(0x1c60))?_0x34ad2d[_0x51faea(0x785)][_0x51faea(0xbf7)]({'fields':'name,id','sort':_0x51faea(0x16b6),'nolimit':_0x51faea(0x44d)})['$promise'][_0x51faea(0x1cb0)](function(_0x46791e){const _0x5d8f4f=_0x51faea;_0x17e8d0[_0x5d8f4f(0x8de)]=_0x46791e[_0x5d8f4f(0x2214)]||[];})[_0x51faea(0x1c4)](function(_0x138482){const _0x4cea3a=_0x51faea;_0x49c483[_0x4cea3a(0x218e)]({'title':_0x138482['status']?_0x4cea3a(0xeb9)+_0x138482[_0x4cea3a(0x291)]+'\x20-\x20'+_0x138482['statusText']:'SYSTEM:GET_PAUSES','msg':_0x138482[_0x4cea3a(0x25c)]?JSON[_0x4cea3a(0x2701)](_0x138482[_0x4cea3a(0x25c)]):_0x138482[_0x4cea3a(0x147f)]()});}):_0x34ad2d['pause'][_0x51faea(0xbf7)]({'fields':_0x51faea(0x791),'sort':_0x51faea(0x16b6),'nolimit':_0x51faea(0x44d)})[_0x51faea(0x1d77)][_0x51faea(0x1cb0)](function(_0x1db52a){const _0x5f47ba=_0x51faea;_0x17e8d0[_0x5f47ba(0x8de)]=_0x1db52a[_0x5f47ba(0x2214)]||[];})['then'](function(){const _0x20c366=_0x51faea;return _0x34ad2d[_0x20c366(0x2199)][_0x20c366(0xbf7)]({'userProfileId':_0x17e8d0[_0x20c366(0xe76)]['userProfileId'],'sectionId':0x3ed})[_0x20c366(0x1d77)];})['then'](function(_0x2a5cc3){const _0x3b9c41=_0x51faea,_0x5d4cad=_0x2a5cc3&&_0x2a5cc3[_0x3b9c41(0x2214)]?_0x2a5cc3[_0x3b9c41(0x2214)][0x0]:null;if(!_0x5d4cad)_0x17e8d0[_0x3b9c41(0x8de)]=[];else{if(!_0x5d4cad[_0x3b9c41(0x12f4)])return _0x34ad2d['userProfileResource'][_0x3b9c41(0xbf7)]({'sectionId':_0x5d4cad['id']})[_0x3b9c41(0x1d77)][_0x3b9c41(0x1cb0)](function(_0x226489){const _0x58b390=_0x3b9c41,_0x5cb161=_0x39641b()[_0x58b390(0x1de2)](_0x226489[_0x58b390(0x2214)],function(_0x442e2f){const _0x3a97fd=_0x58b390;return _0x39641b()[_0x3a97fd(0x13b4)](_0x17e8d0['pauses'],{'id':_0x442e2f[_0x3a97fd(0x2982)]});});_0x17e8d0[_0x58b390(0x8de)][_0x58b390(0xf90)](function(_0x184e47){const _0x4987e8=_0x58b390;!_0x39641b()['some'](_0x5cb161,['id',_0x184e47['id']])&&(_0x184e47[_0x4987e8(0x15da)]=![]),_0x5cb161[_0x4987e8(0x2785)](_0x184e47);}),_0x17e8d0['pauses']=_0x5cb161;});}})[_0x51faea(0x1c4)](function(_0x36bda2){const _0x380581=_0x51faea;_0x49c483[_0x380581(0x218e)]({'title':_0x36bda2['status']?_0x380581(0xeb9)+_0x36bda2[_0x380581(0x291)]+'\x20-\x20'+_0x36bda2[_0x380581(0xc22)]:'SYSTEM:GETpauses','msg':_0x36bda2[_0x380581(0x25c)]?JSON[_0x380581(0x2701)](_0x36bda2['data']):_0x36bda2['toString']()});});function _0x53246e(_0x1b2464,_0x2a709a){const _0x25bc54=_0x51faea;_0x2c9f79[_0x25bc54(0xe27)]({'controller':_0x25bc54(0x1db9),'controllerAs':'vm','templateUrl':_0x316723,'parent':angular[_0x25bc54(0x1853)](_0xbd8fda[_0x25bc54(0x1ed9)]),'targetEvent':_0x2a709a,'clickOutsideToClose':!![],'locals':{'chatWebsite':_0x1b2464,'chatWebsites':_0x17e8d0[_0x25bc54(0x2137)]?_0x17e8d0['chatWebsites'][_0x25bc54(0x2214)]:[],'crudPermissions':_0x17e8d0[_0x25bc54(0x1b1a)],'realtime':![]}});}function _0x3fe5e7(){const _0x41e015=_0x51faea;_0xdd1e7e['go']('app.chat.chatWebsites',{},{'reload':_0x41e015(0x6ba)});}function _0x5fdb77(){const _0x53adce=_0x51faea;_0x34ad2d[_0x53adce(0x226c)][_0x53adce(0x687)]({'id':_0x17e8d0['chatWebsite']['id']},_0x17e8d0[_0x53adce(0x226c)])[_0x53adce(0x1d77)]['then'](function(){const _0x44d3ec=_0x53adce;_0x49c483[_0x44d3ec(0x829)]({'title':'ChatWebsite\x20updated!','msg':_0x17e8d0[_0x44d3ec(0x226c)]['name']?_0x17e8d0[_0x44d3ec(0x226c)][_0x44d3ec(0x16b6)]+'\x20has\x20been\x20updated!':''});})[_0x53adce(0x1c4)](function(_0x257a6b){const _0x319fd3=_0x53adce;_0x49c483[_0x319fd3(0x218e)]({'title':_0x257a6b[_0x319fd3(0x291)]?'API:'+_0x257a6b['status']+_0x319fd3(0x1657)+_0x257a6b[_0x319fd3(0xc22)]:'SYSTEM:GETchatWebsite','msg':_0x257a6b[_0x319fd3(0x25c)]?JSON[_0x319fd3(0x2701)](_0x257a6b[_0x319fd3(0x25c)]):_0x257a6b[_0x319fd3(0x147f)]()});});}}const _0x1afb68=_0xc8b117;;_0x2db816[_0x5537c6(0x15b6)]=[_0x5537c6(0xbd6),_0x5537c6(0x1f2a)];function _0x2db816(_0x216ae4,_0xd844c5){const _0x2cd4b6=_0x5537c6;_0x216ae4[_0x2cd4b6(0x27e0)](_0x2cd4b6(0x800),{'abstract':!![],'url':'/chat'})['state'](_0x2cd4b6(0x1c96),{'url':_0x2cd4b6(0x2833),'views':{'content@app':{'templateUrl':_0xe068ae,'controller':_0x2cd4b6(0xa8a)}},'resolve':{'chatQueues':[_0x2cd4b6(0x1e0b),'Auth',function(_0x5d5296,_0x3beab0){const _0x4be948=_0x2cd4b6;return _0x3beab0[_0x4be948(0x22b6)](_0x4be948(0x1c60))?_0x5d5296[_0x4be948(0x19a3)]('chatQueue@get',{'fields':_0x4be948(0x22f3),'sort':_0x4be948(0x282),'channel':'chat','limit':0xa,'offset':0x0}):_0x5d5296[_0x4be948(0x19a3)](_0x4be948(0x12da),{'id':_0x3beab0[_0x4be948(0x21e8)]()[_0x4be948(0x13c1)],'section':'ChatQueues','fields':'createdAt,updatedAt,id,name,strategy,timeout,description','sort':'-updatedAt','channel':'chat','limit':0xa,'offset':0x0});}],'userProfile':['apiResolver','Auth',function(_0x24c765,_0x5ca901){const _0xf36920=_0x2cd4b6;return _0x5ca901[_0xf36920(0x22b6)](_0xf36920(0x1c60))?null:_0x24c765[_0xf36920(0x19a3)](_0xf36920(0x9ae),{'fields':_0xf36920(0x279),'id':_0x5ca901[_0xf36920(0x21e8)]()[_0xf36920(0x13c1)]});}],'userProfileSection':[_0x2cd4b6(0x1e0b),_0x2cd4b6(0x1774),function(_0x3ebf2c,_0x54b3e7){const _0x6f5926=_0x2cd4b6;return _0x54b3e7[_0x6f5926(0x22b6)]('admin')?null:_0x3ebf2c[_0x6f5926(0x19a3)](_0x6f5926(0x2182),{'fields':_0x6f5926(0x1f5f),'userProfileId':_0x54b3e7[_0x6f5926(0x21e8)]()[_0x6f5926(0x13c1)],'sectionId':0x1f5});}]},'authenticate':!![],'permissionId':0x1f5,'bodyClass':'chat'})[_0x2cd4b6(0x27e0)](_0x2cd4b6(0x16c7),{'url':_0x2cd4b6(0x1bf2),'params':{'chatQueue':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x51d0c9,'controller':'ChatQueueController\x20as\x20vm'}},'resolve':{'chatQueue':[_0x2cd4b6(0x1e0b),'$stateParams',function(_0x2e5034,_0x3e6cb0){const _0x293db6=_0x2cd4b6;return _0x2e5034[_0x293db6(0x19a3)](_0x293db6(0x29c0),{'fields':_0x293db6(0x22f3),'id':_0x3e6cb0['id']});}],'userProfileSection':[_0x2cd4b6(0x1e0b),_0x2cd4b6(0x1774),function(_0x5a4fcd,_0x3597b6){const _0x3f37dc=_0x2cd4b6;return _0x5a4fcd[_0x3f37dc(0x19a3)]('userProfileSection@get',{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x3597b6[_0x3f37dc(0x21e8)]()[_0x3f37dc(0x13c1)],'sectionId':0x1f5});}]},'authenticate':!![],'permissionId':0x1f5,'bodyClass':_0x2cd4b6(0x174c)})[_0x2cd4b6(0x27e0)](_0x2cd4b6(0x6ba),{'url':'/chatWebsites','views':{'content@app':{'templateUrl':_0x2360f3,'controller':_0x2cd4b6(0x6a4)}},'resolve':{'chatWebsites':[_0x2cd4b6(0x1e0b),'Auth',function(_0x221b5b,_0x334bf9){const _0x2c0202=_0x2cd4b6;return _0x334bf9[_0x2c0202(0x22b6)](_0x2c0202(0x1c60))?_0x221b5b['resolve'](_0x2c0202(0xad5),{'fields':_0x2c0202(0x12a4),'sort':'-updatedAt','limit':0xa,'offset':0x0}):_0x221b5b[_0x2c0202(0x19a3)](_0x2c0202(0x12da),{'id':_0x334bf9[_0x2c0202(0x21e8)]()[_0x2c0202(0x13c1)],'section':'ChatWebsites','fields':'createdAt,updatedAt,id,token,agentIdentifier,customerAlias,messageFontSize,name,key,address,remote,ListId,fidelity,timeout,agentAlias,closingQuestion,formSubmitSuccessMessage,formSubmitFailureMessage,color,color_focus,color_button,textColor,backgroundColor,fontSize,header_shape,showAgentAvatar,showCustomerAvatar,alignment,verticalAlignment,messagesAlignment,defaultTitle,animation,defaultWhiteLabel,whiteLabel,defaultLogo,conditionAgreement,autoclose,enableUnmanagedNote,unmanagedMessage,skipUnmanaged,sendUnmanaged,enableCustomerWriting,waitingTitle,waitingMessage,closingMessage,noteTitle,placeholderMessage,skipMessageButton,enableRating,ratingType,ratingStarsNumber,enableFeedback,feedbackTitle,forwardTranscript,forwardTranscriptMessage,closingMessageButton,download_transcript,enableCustomerAttachment,enableSendButton,enableCustomerCheckmarks,systemAlias,enquiry_enable,enquiry_forwarding,enquiry_forwarding_address,name_title,username_placeholder,email_title,email_placeholder,header_online,hideWhenOffline,header_offline,start_chat_button,offline_chat_button,offlineMessageSubject,offlineMessageBody,offline_message,message_title,enquiry_message_placeholder,enquiry_button,rating_message,rating_send,rating_skip,onlineForm,offlineForm,mapKey,mapKeyOffline,forwardOffline,forwardOfflineAddress,IntervalId,timezone,waitForTheAssignedAgent,mandatoryDisposition,mandatoryDispositionPauseId,description,notificationSound,notificationShake,notificationTemplate,queueTransfer,queueTransferTimeout,agentTransfer,agentTransferTimeout,vidaooEscalation,vidaooApiKey','sort':'-updatedAt','limit':0xa,'offset':0x0});}],'userProfile':[_0x2cd4b6(0x1e0b),_0x2cd4b6(0x1774),function(_0x2f17ed,_0x33fa62){const _0xf1eaf4=_0x2cd4b6;return _0x33fa62['hasRole']('admin')?null:_0x2f17ed[_0xf1eaf4(0x19a3)](_0xf1eaf4(0x9ae),{'fields':_0xf1eaf4(0x279),'id':_0x33fa62[_0xf1eaf4(0x21e8)]()[_0xf1eaf4(0x13c1)]});}],'userProfileSection':[_0x2cd4b6(0x1e0b),'Auth',function(_0x5f0c4e,_0x5def4e){const _0x5e911e=_0x2cd4b6;return _0x5def4e['hasRole']('admin')?null:_0x5f0c4e[_0x5e911e(0x19a3)]('userProfileSection@get',{'fields':_0x5e911e(0x1f5f),'userProfileId':_0x5def4e[_0x5e911e(0x21e8)]()['userProfileId'],'sectionId':0x1f6});}]},'authenticate':!![],'permissionId':0x1f6,'bodyClass':_0x2cd4b6(0x174c)})[_0x2cd4b6(0x27e0)](_0x2cd4b6(0x10ae),{'url':_0x2cd4b6(0x1bf2),'params':{'chatWebsite':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x8ebc41,'controller':'ChatWebsiteController\x20as\x20vm'}},'resolve':{'chatWebsite':[_0x2cd4b6(0x1e0b),_0x2cd4b6(0x225c),function(_0x9df84d,_0x37f4b6){const _0x20deba=_0x2cd4b6;return _0x9df84d[_0x20deba(0x19a3)](_0x20deba(0xad5),{'fields':_0x20deba(0x12a4),'id':_0x37f4b6['id']});}],'userProfileSection':[_0x2cd4b6(0x1e0b),_0x2cd4b6(0x1774),function(_0x2dc82b,_0x4527a6){const _0x3c6542=_0x2cd4b6;return _0x2dc82b[_0x3c6542(0x19a3)]('userProfileSection@get',{'fields':_0x3c6542(0x1f5f),'userProfileId':_0x4527a6['getCurrentUser']()[_0x3c6542(0x13c1)],'sectionId':0x1f6});}]},'authenticate':!![],'permissionId':0x1f6,'bodyClass':_0x2cd4b6(0x174c)}),_0xd844c5[_0x2cd4b6(0x4e7)](_0x2cd4b6(0xdf3));}angular[_0x5537c6(0x9ab)](_0x5537c6(0x800),[_0x5537c6(0x2770),_0x5537c6(0x2135),_0x5537c6(0x1260),'flow','mdColorPicker',_0x5537c6(0xd19),_0x5537c6(0x44c),_0x5537c6(0x2ec),_0x5537c6(0x1890),_0x5537c6(0x167d),_0x5537c6(0x208f),_0x5537c6(0x1b65),_0x5537c6(0x2166),_0x5537c6(0x3b9),_0x5537c6(0x7c9),_0x5537c6(0xeb5),_0x5537c6(0x27af),_0x5537c6(0x13b6),_0x5537c6(0x1cbd),_0x5537c6(0xd01),_0x5537c6(0x2468)])[_0x5537c6(0xa60)](_0x2db816)['controller'](_0x5537c6(0x1088),_0x178e04)[_0x5537c6(0x6e5)](_0x5537c6(0x12c5),_0x3c8291)['controller'](_0x5537c6(0x6dd),_0x3d9ca5)[_0x5537c6(0x6e5)](_0x5537c6(0x151a),_0x3d2f51)[_0x5537c6(0x6e5)](_0x5537c6(0x1fe6),_0xffd31b)[_0x5537c6(0x6e5)](_0x5537c6(0x2139),_0x384f40)[_0x5537c6(0x6e5)](_0x5537c6(0x4e2),_0x56dfdc)[_0x5537c6(0x6e5)](_0x5537c6(0x138d),_0x38084a)[_0x5537c6(0x6e5)](_0x5537c6(0x1db9),_0x37f0cf)[_0x5537c6(0x6e5)](_0x5537c6(0xa7d),_0x4459e1)[_0x5537c6(0x6e5)](_0x5537c6(0x10e2),_0x4579a3)[_0x5537c6(0x6e5)]('EditChatWebsiteAppamazonlexDialogController',_0x4ca663)[_0x5537c6(0x6e5)](_0x5537c6(0x1488),_0x4ca663)[_0x5537c6(0x6e5)](_0x5537c6(0x1744),_0x5b3f4a)[_0x5537c6(0x6e5)]('EditChatWebsiteAppcloseDialogController',_0x2a383f)['controller'](_0x5537c6(0x2691),_0x10fc86)[_0x5537c6(0x6e5)](_0x5537c6(0x94f),_0x6a385a)[_0x5537c6(0x6e5)]('EditChatWebsiteAppgotopDialogController',_0x24b804)['controller'](_0x5537c6(0x1a19),_0x1a2682)[_0x5537c6(0x6e5)](_0x5537c6(0x19da),_0x27be5c)[_0x5537c6(0x6e5)](_0x5537c6(0xfdf),_0x15b893)[_0x5537c6(0x6e5)](_0x5537c6(0x1fa0),_0x2b59dd)[_0x5537c6(0x6e5)](_0x5537c6(0x9cb),_0x14d15c)['controller'](_0x5537c6(0x12d2),_0x16d587)[_0x5537c6(0x6e5)](_0x5537c6(0x1b7b),_0x2a8571)[_0x5537c6(0x6e5)](_0x5537c6(0x1e03),_0x52614b)[_0x5537c6(0x6e5)](_0x5537c6(0x19e9),_0xfbe62e)[_0x5537c6(0x6e5)](_0x5537c6(0x27f1),_0x309eb5)[_0x5537c6(0x6e5)](_0x5537c6(0x238f),_0x4dc3c0)[_0x5537c6(0x6e5)](_0x5537c6(0x6cd),_0x59eb72)[_0x5537c6(0x6e5)](_0x5537c6(0xe98),_0x2021c3)[_0x5537c6(0x6e5)]('ChatWebsiteOfflineMessagesController',_0x555e75)[_0x5537c6(0x6e5)](_0x5537c6(0x710),_0x1a5e26)[_0x5537c6(0x6e5)](_0x5537c6(0x11b7),_0xd8e815)[_0x5537c6(0x6e5)]('CreateOrEditChatProactiveActionDialogController',_0x5848b7)['controller'](_0x5537c6(0x2520),_0x394de2)[_0x5537c6(0x6e5)]('ChatWebsitesystemAvatarController',_0x4a64b9)['controller'](_0x5537c6(0x8c1),_0x1afb68);;const _0x57a73b=_0x5074a3['p']+_0x5537c6(0x20f9);;const _0x527e29=_0x5074a3['p']+'src/js/modules/main/apps/mail/views/realtime/agents/view.html/view.html';;const _0x7fe7d2=_0x5074a3['p']+_0x5537c6(0x74b);;const _0x2e801d=_0x5074a3['p']+_0x5537c6(0x21ef);;_0x472ce8[_0x5537c6(0x15b6)]=['$scope','$q',_0x5537c6(0x2168),_0x5537c6(0xcb9),'$document',_0x5537c6(0xc34),_0x5537c6(0x8de),_0x5537c6(0xc12),_0x5537c6(0x212d),_0x5537c6(0x142b),'socket','toasty',_0x5537c6(0x125c),'userProfile',_0x5537c6(0x2199),'Auth','license',_0x5537c6(0x1714)];function _0x472ce8(_0x360113,_0x242499,_0xa57829,_0x480e12,_0x30dc6e,_0x9dba64,_0x18aff7,_0x26f85f,_0x39dd14,_0x4d35d1,_0x287696,_0x1b8608,_0x5044ef,_0x4e4d30,_0x57578e,_0x2f7082,_0x3c57ab,_0x80448f){const _0x3b514=_0x5537c6,_0x818325=this,_0x51b0aa=[_0x3b514(0x70a),_0x3b514(0xa28),_0x3b514(0x24a2),_0x3b514(0x25db),'pauseType','lastPauseAt',_0x3b514(0xdbd),_0x3b514(0x16aa),_0x3b514(0xf0c),_0x3b514(0x1c7d),_0x3b514(0x2035),_0x3b514(0x22d3),_0x3b514(0x24ff),_0x3b514(0x1ce),'voicePause','chatCapacity','openchannelCapacity',_0x3b514(0x2789),_0x3b514(0x3d0),_0x3b514(0x9cd),_0x3b514(0x2451),_0x3b514(0x132d),'openchannelCurrentCapacity',_0x3b514(0x2947),_0x3b514(0x2472),'whatsappCurrentCapacity',_0x3b514(0x2336),_0x3b514(0x2208),_0x3b514(0x1446),_0x3b514(0x11b2),_0x3b514(0xe82),_0x3b514(0x2453),'faxStatus',_0x3b514(0x2de),_0x3b514(0x1b11),_0x3b514(0x22a6),_0x3b514(0x568),_0x3b514(0x9eb),_0x3b514(0x2064),_0x3b514(0x1997),_0x3b514(0xc9c),_0x3b514(0x11cf),_0x3b514(0x247),_0x3b514(0x24c5),_0x3b514(0x2569),_0x3b514(0x1f23)],_0x9fae3e=[_0x3b514(0xf0c),_0x3b514(0x1c7d),_0x3b514(0x2035),_0x3b514(0x22d3),'whatsappPause',_0x3b514(0x1ce),'voicePause','online'];_0x818325['currentUser']=_0x2f7082[_0x3b514(0x21e8)](),_0x818325[_0x3b514(0x46c)]=[_0x3b514(0x1c7e),_0x3b514(0x8d2),_0x3b514(0x5b2),_0x3b514(0x1e6f),_0x3b514(0x1abd)],_0x818325[_0x3b514(0x8de)]=_0x18aff7||{'count':0x0,'rows':[]},_0x818325['license']=_0x3c57ab,_0x818325[_0x3b514(0x44a)]=_0x4e4d30,_0x818325[_0x3b514(0x2199)]=_0x57578e&&_0x57578e[_0x3b514(0x184d)]==0x1?_0x57578e[_0x3b514(0x2214)][0x0]:null,_0x818325[_0x3b514(0x1b1a)]=_0x2f7082['parseCrudPermissions'](_0x818325['userProfileSection']?_0x818325[_0x3b514(0x2199)][_0x3b514(0x1b1a)]:null),_0x818325[_0x3b514(0xc12)]=_0x26f85f?_0x39641b()[_0x3b514(0x2631)](_0x26f85f[_0x3b514(0x2214)]?_0x26f85f[_0x3b514(0x2214)]:[],'id'):{},_0x818325[_0x3b514(0x212d)]=_0x39dd14?_0x39641b()['keyBy'](_0x39dd14['rows']?_0x39dd14[_0x3b514(0x2214)]:[],'id'):{},_0x818325[_0x3b514(0x260a)]={},_0x818325[_0x3b514(0x997)]=![],_0x818325[_0x3b514(0x237f)]=[],_0x818325[_0x3b514(0x1fdd)]={};for(const _0x53acd9 in _0x818325[_0x3b514(0xc12)]){typeof _0x818325[_0x3b514(0xc12)][_0x53acd9]!==_0x3b514(0x16b5)&&(_0x818325['agents'][_0x53acd9][_0x3b514(0x1a8e)]=!![]);}_0x818325[_0x3b514(0xae2)]={'limit':0xa,'page':0x1,'order':_0x3b514(0x1d14),'globalStatusFilter':'','pauseTypeFilter':''},_0x818325[_0x3b514(0x1366)]=_0x2d4b41,_0x818325[_0x3b514(0x6ad)]=_0x1f30e0,_0x818325['pause']=_0x1a95d9,_0x818325['unPause']=_0x41fc71,_0x818325[_0x3b514(0x191a)]=_0x42c726,_0x818325[_0x3b514(0x616)]=_0x29b428,_0x818325['getAgentGlobalStatus']=_0x3bcee8,_0x818325[_0x3b514(0x1090)]=_0x402dce,_0x818325[_0x3b514(0x225e)]=_0x385f3b,_0x818325[_0x3b514(0x1bc4)]=_0x1c4d9f,_0x818325[_0x3b514(0x294c)]=_0x342c40,_0x818325['onUpdate']=_0x5c5d92,_0x818325['onInit']=_0x4fc8b9,_0x818325[_0x3b514(0x1978)]=_0x4306b2,_0x818325[_0x3b514(0x1b4a)]=_0x3321a8,_0x818325[_0x3b514(0xd97)]=_0x322595,_0x287696['on'](_0x3b514(0x2464),_0x818325[_0x3b514(0x294c)]),_0x287696['on'](_0x3b514(0x14c6),_0x818325[_0x3b514(0x13e7)]),_0x287696['on']('user:agentconnect',_0x818325['onConnect']),_0x287696['on']('user:agentcomplete',_0x818325['onComplete']),_0x4fc8b9();let _0x52e8a8=_0x80448f(function(){const _0x5b544e=_0x3b514;_0x818325['load']&&(_0x818325[_0x5b544e(0x997)]=![],_0x4fc8b9());},0x3e7);function _0x4fc8b9(){const _0x18b5f2=_0x3b514;_0x818325['load']=![];const _0x5bde12=_0x242499[_0x18b5f2(0x11f4)]();_0x818325['promise']=_0x5bde12[_0x18b5f2(0x2061)],_0x818325[_0x18b5f2(0xe0e)]=[],_0x818325['order']=[],_0x39641b()[_0x18b5f2(0x1ebd)](_0x818325[_0x18b5f2(0xc12)],function(_0x402ab8,_0x2f605a){const _0x4fdc8e=_0x18b5f2;_0x818325[_0x4fdc8e(0x212d)][_0x2f605a]&&_0x39641b()['merge'](_0x402ab8,_0x39641b()[_0x4fdc8e(0x169b)](_0x39641b()[_0x4fdc8e(0x1bd8)](_0x818325[_0x4fdc8e(0x212d)][_0x2f605a],_0x9fae3e),_0x51b0aa)),_0x3bcee8(_0x402ab8),_0x402ab8[_0x4fdc8e(0xa28)]?(_0x818325[_0x4fdc8e(0xe0e)][_0x4fdc8e(0x2785)](_0x402ab8),_0x818325['agentInternal'][_0x402ab8[_0x4fdc8e(0xdbd)]]=_0x2f605a):_0x402ab8['globalStatusTime']=_0x39641b()[_0x4fdc8e(0x106d)](_0x543b5a()()[_0x4fdc8e(0x1f31)]('x'));});_0x818325[_0x18b5f2(0xae2)]['globalStatusFilter']&&_0x39641b()['remove'](_0x818325[_0x18b5f2(0xe0e)],function(_0x48af89){const _0xc7d36c=_0x18b5f2;return _0x48af89['globalStatus']!==_0x818325['query'][_0xc7d36c(0x1ef1)];});_0x818325[_0x18b5f2(0xae2)]['pauseTypeFilter']&&_0x39641b()[_0x18b5f2(0x152a)](_0x818325[_0x18b5f2(0xe0e)],function(_0x455d34){const _0x3b6e38=_0x18b5f2;return _0x455d34[_0x3b6e38(0x16e6)]!==_0x818325[_0x3b6e38(0xae2)][_0x3b6e38(0x25ff)];});_0x818325[_0x18b5f2(0xae2)][_0x18b5f2(0x1c99)]&&_0x39641b()[_0x18b5f2(0x152a)](_0x818325['filteredAgents'],function(_0x3755c3){const _0x1627b7=_0x18b5f2;return _0x3755c3[_0x1627b7(0x1d14)][_0x1627b7(0x1680)]()[_0x1627b7(0xd8a)](_0x818325['query'][_0x1627b7(0x1c99)][_0x1627b7(0x1680)]())<0x0;});_0x818325[_0x18b5f2(0xe0e)]=_0x57d31b(_0x818325[_0x18b5f2(0xe0e)]);const _0x34d49c=(_0x818325['query'][_0x18b5f2(0x1c7b)]-0x1)*_0x818325[_0x18b5f2(0xae2)][_0x18b5f2(0x236)];_0x818325[_0x18b5f2(0x260a)]=_0x39641b()['drop'](_0x818325[_0x18b5f2(0xe0e)],_0x34d49c)[_0x18b5f2(0x14cb)](0x0,_0x818325[_0x18b5f2(0xae2)][_0x18b5f2(0x236)]);for(let _0x234c25=0x0;_0x234c25<_0x818325[_0x18b5f2(0x260a)][_0x18b5f2(0xfd0)];_0x234c25+=0x1){_0x818325['order'][_0x18b5f2(0x2785)](_0x818325[_0x18b5f2(0x260a)][_0x234c25]['id']);}_0x5bde12[_0x18b5f2(0x19a3)](),_0x818325[_0x18b5f2(0x997)]=!![];}function _0x342c40(_0x58c8cb){const _0x4acd2c=_0x3b514;_0x818325[_0x4acd2c(0xc12)][_0x58c8cb['id']]&&(_0x39641b()[_0x4acd2c(0x9c1)](_0x818325[_0x4acd2c(0xc12)][_0x58c8cb['id']],_0x39641b()[_0x4acd2c(0x169b)](_0x39641b()[_0x4acd2c(0x1bd8)](_0x58c8cb,_0x9fae3e),_0x51b0aa)),_0x39641b()[_0x4acd2c(0x9c1)](_0x818325[_0x4acd2c(0x212d)][_0x58c8cb['id']],_0x39641b()['pick'](_0x39641b()[_0x4acd2c(0x1bd8)](_0x58c8cb,_0x9fae3e),_0x51b0aa)),_0x3bcee8(_0x818325[_0x4acd2c(0xc12)][_0x58c8cb['id']]));}function _0x5c5d92(_0x279d34){const _0x59b065=_0x3b514;_0x818325[_0x59b065(0xc12)][_0x279d34['id']]&&(_0x39641b()['merge'](_0x818325[_0x59b065(0xc12)][_0x279d34['id']],_0x39641b()[_0x59b065(0x169b)](_0x279d34,_0x51b0aa)),_0x39641b()[_0x59b065(0x9c1)](_0x818325[_0x59b065(0x212d)][_0x279d34['id']],_0x39641b()[_0x59b065(0x169b)](_0x279d34,_0x51b0aa)),_0x279d34['lastPauseAt']&&(_0x818325['agents'][_0x279d34['id']]['globalStatusTime']=_0x279d34[_0x59b065(0x25db)],_0x818325[_0x59b065(0x212d)][_0x279d34['id']][_0x59b065(0xdb9)]=_0x279d34[_0x59b065(0x25db)]),_0x3bcee8(_0x818325[_0x59b065(0xc12)][_0x279d34['id']]));}function _0x4306b2(_0x2274ac){const _0x37ed59=_0x3b514;_0x818325['agents'][_0x818325[_0x37ed59(0x1fdd)][_0x2274ac[_0x37ed59(0x7ea)]]]&&(_0x39641b()[_0x37ed59(0x9c1)](_0x818325[_0x37ed59(0xc12)][_0x818325[_0x37ed59(0x1fdd)][_0x2274ac[_0x37ed59(0x7ea)]]],_0x39641b()['pick'](_0x2274ac,[_0x37ed59(0x11cf),'destconnectedlinenum'])),_0x39641b()[_0x37ed59(0x9c1)](_0x818325[_0x37ed59(0x212d)][_0x818325[_0x37ed59(0x1fdd)][_0x2274ac[_0x37ed59(0x7ea)]]],_0x39641b()['pick'](_0x2274ac,[_0x37ed59(0x11cf),_0x37ed59(0x247)])));}function _0x3321a8(_0x29d51e){const _0x2fb8c8=_0x3b514;_0x818325[_0x2fb8c8(0xc12)][_0x818325[_0x2fb8c8(0x1fdd)][_0x29d51e[_0x2fb8c8(0x7ea)]]]&&(_0x818325[_0x2fb8c8(0xc12)][_0x818325[_0x2fb8c8(0x1fdd)][_0x29d51e[_0x2fb8c8(0x7ea)]]]=_0x39641b()['omit'](_0x818325[_0x2fb8c8(0xc12)][_0x818325['agentInternal'][_0x29d51e['destaccountcode']]],[_0x2fb8c8(0x11cf),'destconnectedlinenum']),_0x818325['rpcAgents'][_0x818325[_0x2fb8c8(0x1fdd)][_0x29d51e[_0x2fb8c8(0x7ea)]]]=_0x39641b()[_0x2fb8c8(0x1bd8)](_0x818325[_0x2fb8c8(0x212d)][_0x818325[_0x2fb8c8(0x1fdd)][_0x29d51e['destaccountcode']]],[_0x2fb8c8(0x11cf),_0x2fb8c8(0x247)]));}function _0x2d4b41(_0x20d3c9){const _0x4ca85a=_0x3b514;return _0x4d35d1[_0x4ca85a(0xebe)]['logout']({'id':_0x20d3c9['id'],'device':_0x4ca85a(0x217b),'agent_id':_0x20d3c9['id'],'agent_name':_0x20d3c9[_0x4ca85a(0x16b6)]})['$promise'][_0x4ca85a(0x1cb0)](function(){const _0x50863c=_0x4ca85a;_0x1b8608[_0x50863c(0x829)]({'title':_0x50863c(0x23af),'msg':_0x20d3c9[_0x50863c(0x1d14)]+_0x50863c(0x1540)}),_0x818325[_0x50863c(0xc12)][_0x20d3c9['id']]&&(_0x818325['agents'][_0x20d3c9['id']]['online']=![]),_0x818325[_0x50863c(0x212d)][_0x20d3c9['id']]&&(_0x818325[_0x50863c(0x212d)][_0x20d3c9['id']]['online']=![]),_0x4fc8b9();})['catch'](function(_0x4f38b1){const _0x3182cc=_0x4ca85a;_0x1b8608[_0x3182cc(0x218e)]({'title':_0x4f38b1['status']?_0x3182cc(0xeb9)+_0x4f38b1['status']+_0x3182cc(0x1657)+_0x4f38b1[_0x3182cc(0xc22)]:_0x3182cc(0xcd6),'msg':_0x4f38b1[_0x3182cc(0x25c)]?JSON['stringify'](_0x4f38b1[_0x3182cc(0x25c)][_0x3182cc(0x155e)]):_0x4f38b1[_0x3182cc(0x147f)]()});});}function _0x1a95d9(_0x478b71,_0x1deafb){const _0x5d39b2=_0x3b514;return _0x4d35d1[_0x5d39b2(0xebe)][_0x5d39b2(0x785)]({'id':_0x478b71['id'],'type':_0x1deafb})[_0x5d39b2(0x1d77)]['then'](function(_0x3253b0){const _0x481c7b=_0x5d39b2;_0x818325['agents'][_0x478b71['id']]&&_0x39641b()[_0x481c7b(0x9c1)](_0x818325['agents'][_0x478b71['id']],_0x39641b()[_0x481c7b(0x169b)](_0x3253b0,_0x51b0aa)),_0x818325['rpcAgents'][_0x478b71['id']]&&_0x39641b()[_0x481c7b(0x9c1)](_0x818325[_0x481c7b(0x212d)][_0x478b71['id']],_0x39641b()[_0x481c7b(0x169b)](_0x3253b0,_0x51b0aa)),_0x3bcee8(_0x818325['agents'][_0x478b71['id']]);})[_0x5d39b2(0x1c4)](function(_0x16cef1){const _0x525de4=_0x5d39b2;_0x1b8608[_0x525de4(0x218e)]({'title':_0x16cef1[_0x525de4(0x291)]?'API:'+_0x16cef1[_0x525de4(0x291)]+_0x525de4(0x1657)+_0x16cef1[_0x525de4(0xc22)]:_0x525de4(0xcd6),'msg':_0x16cef1[_0x525de4(0x25c)]?JSON[_0x525de4(0x2701)](_0x16cef1['data'][_0x525de4(0x155e)]):_0x16cef1[_0x525de4(0x147f)]()});});}function _0x41fc71(_0x5ae497){const _0x2e60d5=_0x3b514;return _0x4d35d1[_0x2e60d5(0xebe)][_0x2e60d5(0xf5d)]({'id':_0x5ae497['id']})['$promise'][_0x2e60d5(0x1cb0)](function(_0xe6a588){const _0x43d566=_0x2e60d5;_0x818325[_0x43d566(0xc12)][_0x5ae497['id']]&&_0x39641b()['merge'](_0x818325[_0x43d566(0xc12)][_0x5ae497['id']],_0x39641b()[_0x43d566(0x169b)](_0xe6a588,_0x51b0aa)),_0x818325[_0x43d566(0x212d)][_0x5ae497['id']]&&_0x39641b()[_0x43d566(0x9c1)](_0x818325['rpcAgents'][_0x5ae497['id']],_0x39641b()[_0x43d566(0x169b)](_0xe6a588,_0x51b0aa)),_0x3bcee8(_0x818325[_0x43d566(0xc12)][_0x5ae497['id']]);})[_0x2e60d5(0x1c4)](function(_0x56ab41){const _0x1205b2=_0x2e60d5;_0x1b8608['error']({'title':_0x56ab41['status']?_0x1205b2(0xeb9)+_0x56ab41[_0x1205b2(0x291)]+_0x1205b2(0x1657)+_0x56ab41[_0x1205b2(0xc22)]:_0x1205b2(0xcd6),'msg':_0x56ab41[_0x1205b2(0x25c)]?JSON[_0x1205b2(0x2701)](_0x56ab41[_0x1205b2(0x25c)][_0x1205b2(0x155e)]):_0x56ab41[_0x1205b2(0x147f)]()});});}function _0x42c726(_0x4065b5){const _0x4736f0=_0x3b514;_0x480e12[_0x4736f0(0xe27)](_0x480e12['alert']()[_0x4736f0(0x27e1)](!![])['title'](_0x4736f0(0x1bc1))[_0x4736f0(0x49e)](_0x4736f0(0x26a))['ok']('Ok')[_0x4736f0(0x728)](_0x4065b5));}function _0x1f30e0(_0x3dc34e,_0x1a4ae1){const _0x4444f9=_0x3b514;_0x480e12[_0x4444f9(0xe27)]({'controller':'AgentqueueaddController','controllerAs':'vm','templateUrl':_0x535a6f,'parent':angular['element'](_0x30dc6e[_0x4444f9(0x1ed9)]),'targetEvent':_0x1a4ae1,'clickOutsideToClose':!![],'locals':{'agent':_0x3dc34e,'agents':[],'channel':'mail','direction':_0x4444f9(0x26c0),'crudPermissions':_0x818325[_0x4444f9(0x1b1a)]}});}function _0x402dce(_0x24d750){const _0x54f078=_0x3b514;return _0x24d750===_0x54f078(0x785)||_0x24d750==='*pause';}function _0x385f3b(_0x31b997){const _0x5b54c2=_0x3b514;return _0x31b997===_0x5b54c2(0x785);}function _0x1c4d9f(_0x54a96f){const _0x33eb31=_0x3b514;return _0x54a96f===_0x33eb31(0x1767);}function _0x486058(_0x39c6fb){const _0x122a9c=_0x3b514;return _0x39c6fb===_0x122a9c(0x8df)||_0x39c6fb===_0x122a9c(0x1c9d)||_0x39c6fb==='unavailable'||_0x39c6fb===_0x122a9c(0x1c7e)||_0x39641b()[_0x122a9c(0x958)](_0x39c6fb);}function _0x29b428(_0x2f0572,_0x168bfc){const _0x27110f=_0x3b514;if(_0x168bfc===_0x27110f(0x1fd4)){if(_0x2f0572[_0x168bfc+_0x27110f(0x938)]!==_0x27110f(0x8df))return _0x2f0572[_0x168bfc+_0x27110f(0x938)];if(_0x2f0572[_0x168bfc+_0x27110f(0x1e09)])return _0x2f0572[_0x168bfc+_0x27110f(0x938)]='pause',_0x27110f(0x785);return'idle';}else{if(_0x2f0572[_0x168bfc+_0x27110f(0x1e09)])return _0x2f0572[_0x168bfc+_0x27110f(0x938)]=_0x27110f(0x785),_0x27110f(0x785);return _0x2f0572[_0x168bfc+_0x27110f(0x938)];}}function _0x3bcee8(_0x3060e0){const _0x17c492=_0x3b514;let _0x24ce8c=_0x17c492(0x1c9d);if(_0x39641b()['every']([_0x29b428(_0x3060e0,_0x17c492(0x1fd4)),_0x29b428(_0x3060e0,_0x17c492(0x174c)),_0x29b428(_0x3060e0,_0x17c492(0x2651)),_0x29b428(_0x3060e0,_0x17c492(0x15e0)),_0x29b428(_0x3060e0,_0x17c492(0x689)),_0x29b428(_0x3060e0,_0x17c492(0x2476)),_0x29b428(_0x3060e0,_0x17c492(0x1944))],_0x402dce))_0x24ce8c=_0x17c492(0x785);else{if(_0x39641b()['some']([_0x29b428(_0x3060e0,_0x17c492(0x1fd4)),_0x29b428(_0x3060e0,_0x17c492(0x174c)),_0x29b428(_0x3060e0,_0x17c492(0x2651)),_0x29b428(_0x3060e0,_0x17c492(0x15e0)),_0x29b428(_0x3060e0,_0x17c492(0x689)),_0x29b428(_0x3060e0,'whatsapp'),_0x29b428(_0x3060e0,'fax')],_0x402dce))_0x24ce8c=_0x17c492(0x1767);else _0x39641b()[_0x17c492(0x1aa3)]([_0x29b428(_0x3060e0,'voice'),_0x29b428(_0x3060e0,_0x17c492(0x174c)),_0x29b428(_0x3060e0,'mail'),_0x29b428(_0x3060e0,_0x17c492(0x15e0)),_0x29b428(_0x3060e0,_0x17c492(0x689)),_0x29b428(_0x3060e0,_0x17c492(0x2476)),_0x29b428(_0x3060e0,_0x17c492(0x1944))],_0x486058)?_0x24ce8c=_0x17c492(0x8df):_0x24ce8c='busy';}_0x3060e0[_0x17c492(0x1fbe)]!==_0x24ce8c&&(_0x3060e0[_0x17c492(0x1fbe)]=_0x24ce8c,_0x3060e0[_0x17c492(0x1a8e)]?(_0x3060e0['init']=![],_0x3060e0[_0x17c492(0xdb9)]=_0x402dce(_0x24ce8c)?_0x39641b()['toNumber'](_0x543b5a()(_0x3060e0['lastPauseAt'])[_0x17c492(0x1f31)]('x')):_0x39641b()[_0x17c492(0xb33)]([_0x3060e0['voiceStatusTime'],_0x3060e0[_0x17c492(0x1b11)],_0x3060e0['mailStatusTime'],_0x3060e0[_0x17c492(0x9eb)],_0x3060e0[_0x17c492(0x2064)],_0x3060e0['openchannelStatusTime'],_0x3060e0[_0x17c492(0x1997)]])):_0x3060e0[_0x17c492(0xdb9)]=_0x39641b()['toNumber'](_0x543b5a()()[_0x17c492(0x1f31)]('x')));}function _0x57d31b(_0x72fbbc){const _0x39471b=_0x3b514,_0x8b56c2=_0x39641b()['startsWith'](_0x818325[_0x39471b(0xae2)][_0x39471b(0x237f)],'-')?_0x39471b(0x20a5):_0x39471b(0x24cc);return _0x39641b()[_0x39471b(0x17ca)](_0x72fbbc,[_0x818325[_0x39471b(0xae2)][_0x39471b(0x237f)][_0x39471b(0x288f)]('-','')],[_0x8b56c2]);}function _0xdfe342(){const _0x3d04b0=_0x3b514;_0x52e8a8&&(_0x80448f[_0x3d04b0(0x696)](_0x52e8a8),_0x52e8a8=null);}function _0x322595(_0x4d36f4,_0x5378e0){const _0x2f7fdb=_0x3b514;return _0x4d35d1[_0x2f7fdb(0xebe)]['update']({'id':_0x4d36f4['id']},{'screenrecording':_0x5378e0})[_0x2f7fdb(0x1d77)][_0x2f7fdb(0x1c4)](function(_0x23f226){const _0x573cb1=_0x2f7fdb;_0x1b8608[_0x573cb1(0x218e)]({'title':_0x23f226[_0x573cb1(0x291)]?_0x573cb1(0xeb9)+_0x23f226[_0x573cb1(0x291)]+_0x573cb1(0x1657)+_0x23f226[_0x573cb1(0xc22)]:_0x573cb1(0x498),'msg':_0x23f226['data']?JSON[_0x573cb1(0x2701)](_0x23f226[_0x573cb1(0x25c)][_0x573cb1(0x155e)]):_0x23f226[_0x573cb1(0x147f)]()});});}_0x360113[_0x3b514(0x1d6)]('$destroy',function(){const _0x1b8b08=_0x3b514;_0x287696['removeAllListeners'](_0x1b8b08(0x2464)),_0x287696[_0x1b8b08(0xfb8)]('user:update'),_0x287696['removeAllListeners'](_0x1b8b08(0x2290)),_0x287696['removeAllListeners'](_0x1b8b08(0x10b3)),_0xdfe342();});}const _0x48be1b=_0x472ce8;;const _0x5efcce=_0x5074a3['p']+'src/js/modules/main/apps/mail/views/mailQueues/create/dialog.html/dialog.html';;const _0x1159b3=_0x5074a3['p']+'src/js/modules/main/apps/mail/views/mailQueues/edit/agentadd/agentadd.html/agentadd.html';;_0x36479b[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x2168),_0x5537c6(0xcb9),'$q',_0x5537c6(0x22bf),_0x5537c6(0x1714),_0x5537c6(0x971),'rpcQueues','api',_0x5537c6(0x1986),_0x5537c6(0x9bf),_0x5537c6(0x44a),_0x5537c6(0x2199),'Auth'];function _0x36479b(_0x59f5ef,_0x1c9d30,_0x285e83,_0x478437,_0x1bcdaf,_0x4e7ae3,_0x449dac,_0x31e137,_0x302627,_0x301cff,_0x30e378,_0x1c3cf8,_0x3fcbfc,_0x52a937){const _0x302a72=_0x5537c6,_0x558362=this,_0x314c83=[_0x302a72(0x22dc),_0x302a72(0x2803),'waiting',_0x302a72(0x192a),'pTalking',_0x302a72(0x2315),_0x302a72(0x155e),_0x302a72(0x737),_0x302a72(0xda8),_0x302a72(0xf67),_0x302a72(0x139c)];_0x558362['currentUser']=_0x52a937['getCurrentUser'](),_0x558362['count']=_0x449dac[_0x302a72(0x184d)]?_0x449dac[_0x302a72(0x184d)]:0x0,_0x558362[_0x302a72(0x971)]=_0x449dac?_0x39641b()[_0x302a72(0x2631)](_0x449dac[_0x302a72(0x2214)]?_0x449dac[_0x302a72(0x2214)]:[],'id'):{},_0x558362[_0x302a72(0x2255)]=_0x31e137?_0x39641b()[_0x302a72(0x2631)](_0x31e137[_0x302a72(0x2214)]?_0x31e137[_0x302a72(0x2214)]:[],'id'):{},_0x558362[_0x302a72(0x44a)]=_0x1c3cf8,_0x558362['userProfileSection']=_0x3fcbfc&&_0x3fcbfc[_0x302a72(0x184d)]==0x1?_0x3fcbfc[_0x302a72(0x2214)][0x0]:null,_0x558362[_0x302a72(0x1b1a)]=_0x52a937['parseCrudPermissions'](_0x558362['userProfileSection']?_0x558362[_0x302a72(0x2199)]['crudPermissions']:null);_0x52a937['hasRole'](_0x302a72(0x1c60))?_0x558362[_0x302a72(0xae2)]={'type':_0x302a72(0x26c0),'sort':_0x302a72(0x282),'limit':0xa,'page':0x1}:_0x558362[_0x302a72(0xae2)]={'id':_0x558362[_0x302a72(0xe76)]['id'],'channel':_0x302a72(0x2651),'type':_0x302a72(0x26c0),'sort':'-updatedAt','limit':0xa,'page':0x1};_0x558362['success']=_0x672602,_0x558362[_0x302a72(0x13e9)]=_0x52675f,_0x558362['createOrEditMailQueue']=_0x358ab3,_0x558362[_0x302a72(0x1be7)]=_0x4c1261,_0x558362[_0x302a72(0x25f6)]=_0x40c16e,_0x558362[_0x302a72(0x294c)]=_0x29ef8f,_0x558362[_0x302a72(0xc88)]=_0x10ccda,_0x558362['onRemoveMember']=_0x684157,_0x558362[_0x302a72(0x18e4)]=_0x20c3b0,_0x558362[_0x302a72(0x26c9)]=_0x2a6b06,_0x558362[_0x302a72(0x3be)]=_0x1f54c2,_0x558362['$onInit']=_0x439053,_0x558362[_0x302a72(0x237f)]=[],_0x39641b()[_0x302a72(0x1ebd)](_0x558362['queues'],function(_0x240943){const _0x3fbbef=_0x302a72;_0x558362[_0x3fbbef(0x237f)]['push'](_0x240943['id']);}),_0x301cff['on'](_0x302a72(0x1baa),_0x558362[_0x302a72(0x294c)]),_0x301cff['on'](_0x302a72(0x153e),_0x558362['onSaveMember']),_0x301cff['on'](_0x302a72(0x1b90),_0x558362[_0x302a72(0x365)]),_0x301cff['on'](_0x302a72(0x14c6),_0x558362[_0x302a72(0x18e4)]),_0x301cff['on']('userVoiceQueue:remove',_0x558362[_0x302a72(0x3be)]),_0x301cff['on'](_0x302a72(0x828),_0x558362[_0x302a72(0x26c9)]);function _0x439053(){const _0x48f062=_0x302a72,_0x13da7d=[];return _0x39641b()[_0x48f062(0x1ebd)](_0x558362['queues'],function(_0x5d62f8,_0x29f05d){const _0x494940=_0x48f062;_0x5d62f8[_0x494940(0x139c)]=0x0,_0x5d62f8['loggedInDb']=0x0,_0x558362[_0x494940(0x971)][_0x29f05d]['agents']={},_0x13da7d['push'](_0x302627[_0x494940(0x16e1)]['getMembers']({'id':_0x29f05d})[_0x494940(0x1d77)]),_0x558362[_0x494940(0x2255)][_0x29f05d]&&_0x39641b()[_0x494940(0x9c1)](_0x5d62f8,_0x39641b()[_0x494940(0x169b)](_0x558362[_0x494940(0x2255)][_0x29f05d],_0x314c83));}),_0x478437[_0x48f062(0x223b)](_0x13da7d)[_0x48f062(0x1cb0)](function(_0x4ac497){const _0x300e15=_0x48f062;for(let _0x516e2e=0x0;_0x516e2e<_0x4ac497[_0x300e15(0xfd0)];_0x516e2e+=0x1){for(let _0x49e247=0x0;_0x49e247<_0x4ac497[_0x516e2e][_0x300e15(0x2214)]['length'];_0x49e247++){_0x4ac497[_0x516e2e][_0x300e15(0x2214)][_0x49e247][_0x300e15(0x139c)]&&_0x558362[_0x300e15(0x971)][_0x4ac497[_0x516e2e][_0x300e15(0x2214)][_0x49e247][_0x300e15(0x17a5)]][_0x300e15(0x139c)]++,_0x558362[_0x300e15(0x971)][_0x4ac497[_0x516e2e][_0x300e15(0x2214)][_0x49e247][_0x300e15(0x17a5)]][_0x300e15(0x29a2)]++,_0x558362['queues'][_0x4ac497[_0x516e2e][_0x300e15(0x2214)][_0x49e247][_0x300e15(0x17a5)]][_0x300e15(0xc12)][_0x4ac497[_0x516e2e][_0x300e15(0x2214)][_0x49e247][_0x300e15(0x21ab)]]=_0x4ac497[_0x516e2e][_0x300e15(0x2214)][_0x49e247]['MailQueueId'];}}})[_0x48f062(0x1c4)](function(_0x302272){const _0xa1121a=_0x48f062;console[_0xa1121a(0x218e)](_0x302272);});}function _0x29ef8f(_0x4b0ffd){const _0x41925a=_0x302a72;_0x558362[_0x41925a(0x971)][_0x4b0ffd['id']]&&_0x39641b()[_0x41925a(0x9c1)](_0x558362['queues'][_0x4b0ffd['id']],_0x39641b()[_0x41925a(0x169b)](_0x4b0ffd,_0x314c83));}function _0x10ccda(_0x1c5726){const _0x144184=_0x302a72;_0x558362[_0x144184(0x971)][_0x1c5726[_0x144184(0x17a5)]]&&_0x558362[_0x144184(0x971)][_0x1c5726[_0x144184(0x17a5)]][_0x144184(0x29a2)]++;}function _0x684157(_0x3694bc){const _0x1f4caa=_0x302a72;_0x558362[_0x1f4caa(0x971)][_0x3694bc[_0x1f4caa(0x17a5)]]&&_0x558362[_0x1f4caa(0x971)][_0x3694bc[_0x1f4caa(0x17a5)]]['loggedInDb']--;}function _0x20c3b0(_0x85df15){const _0x53af7a=_0x302a72;console[_0x53af7a(0x1b4f)]('onPause',_0x85df15);if(_0x85df15[_0x53af7a(0x2478)])_0x39641b()[_0x53af7a(0x1ebd)](_0x558362[_0x53af7a(0x971)],function(_0x1805c6){const _0x49e358=_0x53af7a;_0x1805c6['agents'][_0x85df15['id']]&&(_0x1805c6[_0x49e358(0x139c)]+=0x1,console[_0x49e358(0x1b4f)](_0x49e358(0x785)));});else!_0x85df15[_0x53af7a(0x2478)]&&_0x39641b()['forIn'](_0x558362['queues'],function(_0xce09f9){const _0x308ba4=_0x53af7a;_0xce09f9[_0x308ba4(0xc12)][_0x85df15['id']]&&(_0xce09f9[_0x308ba4(0x139c)]>0x0&&(_0xce09f9[_0x308ba4(0x139c)]-=0x1,console['log']('unpause')));});}function _0x2a6b06(_0x510faa){const _0x4c31f8=_0x302a72;console[_0x4c31f8(0x1b4f)]('onLogged',_0x510faa),_0x558362[_0x4c31f8(0x971)][_0x510faa[_0x4c31f8(0x17a5)]]&&(_0x558362['queues'][_0x510faa[_0x4c31f8(0x17a5)]][_0x4c31f8(0x29a2)]+=0x1,_0x558362['queues'][_0x510faa[_0x4c31f8(0x17a5)]][_0x4c31f8(0xc12)][_0x510faa['UserId']]=_0x510faa[_0x4c31f8(0x17a5)]);}function _0x1f54c2(_0x219657){const _0x5c0737=_0x302a72;console[_0x5c0737(0x1b4f)](_0x5c0737(0x3be),_0x219657),_0x558362[_0x5c0737(0x971)][_0x219657[_0x5c0737(0x17a5)]]&&_0x558362[_0x5c0737(0x971)][_0x219657[_0x5c0737(0x17a5)]]['loggedInDb']>0x0&&(_0x558362[_0x5c0737(0x971)][_0x219657[_0x5c0737(0x17a5)]][_0x5c0737(0x29a2)]-=0x1,delete _0x558362[_0x5c0737(0x971)][_0x219657[_0x5c0737(0x17a5)]]['agents'][_0x219657['UserId']]);}let _0xf05130=!![],_0x3da8b5=0x1;_0x59f5ef[_0x302a72(0x614)](_0x302a72(0x957),function(_0x4ae634,_0x54c0aa){const _0x30e98d=_0x302a72;_0xf05130?_0x1c9d30(function(){_0xf05130=![];}):(!_0x54c0aa&&(_0x3da8b5=_0x558362[_0x30e98d(0xae2)][_0x30e98d(0x1c7b)]),_0x4ae634!==_0x54c0aa&&(_0x558362[_0x30e98d(0xae2)]['page']=0x1),!_0x4ae634&&(_0x558362[_0x30e98d(0xae2)][_0x30e98d(0x1c7b)]=_0x3da8b5),_0x558362[_0x30e98d(0x13e9)]());});function _0x672602(_0x4642d8){const _0x39cc70=_0x302a72;_0x558362[_0x39cc70(0x184d)]=_0x4642d8[_0x39cc70(0x184d)],_0x558362[_0x39cc70(0x971)]=_0x4642d8?_0x39641b()[_0x39cc70(0x2631)](_0x4642d8['rows']?_0x4642d8['rows']:[],'id'):{};if(_0x4642d8[_0x39cc70(0x2214)]){_0x558362[_0x39cc70(0x237f)]=[];for(let _0x1754c2=0x0;_0x1754c2<_0x4642d8[_0x39cc70(0x2214)][_0x39cc70(0xfd0)];_0x1754c2++){_0x558362[_0x39cc70(0x237f)][_0x39cc70(0x2785)](_0x4642d8[_0x39cc70(0x2214)][_0x1754c2]['id']);}}_0x439053();}function _0x52675f(){const _0x561764=_0x302a72;_0x558362[_0x561764(0xae2)]['offset']=(_0x558362[_0x561764(0xae2)]['page']-0x1)*_0x558362[_0x561764(0xae2)][_0x561764(0x236)],_0x52a937[_0x561764(0x22b6)](_0x561764(0x1c60))?_0x558362[_0x561764(0x2061)]=_0x302627['mailQueue'][_0x561764(0xbf7)](_0x558362[_0x561764(0xae2)],_0x672602)[_0x561764(0x1d77)]:(_0x558362['query']['id']=_0x558362['userProfile']['id'],_0x558362[_0x561764(0xae2)][_0x561764(0x1f74)]=_0x561764(0x25fc),_0x558362[_0x561764(0x2061)]=_0x302627[_0x561764(0x44a)][_0x561764(0x1810)](_0x558362[_0x561764(0xae2)],_0x672602)[_0x561764(0x1d77)]);}function _0x358ab3(_0x40d69f,_0x2f06c){const _0x2beea6=_0x302a72;_0x285e83[_0x2beea6(0xe27)]({'controller':_0x2beea6(0xae6),'controllerAs':'vm','templateUrl':_0x5efcce,'parent':angular[_0x2beea6(0x1853)](_0x1bcdaf[_0x2beea6(0x1ed9)]),'targetEvent':_0x40d69f,'clickOutsideToClose':!![],'locals':{'mailQueue':_0x2f06c,'mailQueues':_0x39641b()[_0x2beea6(0x27aa)](_0x558362[_0x2beea6(0x971)]),'license':null,'setting':null,'crudPermissions':_0x558362['crudPermissions']}});}function _0x4c1261(_0x4a0682,_0x40a542){const _0x215c6a=_0x302a72;_0x285e83[_0x215c6a(0xe27)]({'controller':_0x215c6a(0x24d2),'controllerAs':'vm','templateUrl':_0x1159b3,'parent':angular['element'](_0x1bcdaf[_0x215c6a(0x1ed9)]),'targetEvent':_0x4a0682,'clickOutsideToClose':!![],'locals':{'mailQueue':_0x40a542,'mailQueues':_0x558362['queues']?_0x558362[_0x215c6a(0x971)][_0x215c6a(0x2214)]:[],'realtime':![],'crudPermissions':_0x558362[_0x215c6a(0x1b1a)]}});}function _0x40c16e(_0x1bb65b){const _0x5abe7c=_0x302a72;return _0x302627[_0x5abe7c(0x16e1)][_0x5abe7c(0x687)](_0x1bb65b)[_0x5abe7c(0x1d77)][_0x5abe7c(0x1cb0)](function(){const _0x3be889=_0x5abe7c;_0x30e378[_0x3be889(0x829)]({'title':'Queue\x20properly\x20updated!','msg':_0x1bb65b['name']?_0x1bb65b['name']+_0x3be889(0x1068):''});})[_0x5abe7c(0x1c4)](function(_0x222aa4){const _0x3557e0=_0x5abe7c;_0x30e378[_0x3557e0(0x218e)]({'title':_0x3557e0(0x454),'msg':_0x222aa4[_0x3557e0(0x155e)]});});}_0x59f5ef[_0x302a72(0x1d6)](_0x302a72(0x291c),function(){const _0x4c4c2e=_0x302a72;_0x301cff[_0x4c4c2e(0xfb8)](_0x4c4c2e(0x1baa)),_0x301cff[_0x4c4c2e(0xfb8)]('userMailQueue:remove'),_0x301cff['removeAllListeners'](_0x4c4c2e(0x153e)),_0x301cff['removeAllListeners'](_0x4c4c2e(0x14c6)),_0x301cff[_0x4c4c2e(0xfb8)](_0x4c4c2e(0x201e)),_0x301cff[_0x4c4c2e(0xfb8)](_0x4c4c2e(0x828));});}const _0x10ad0a=_0x36479b;;function _0x182a56(){const _0x5a294e=_0x5537c6;return{'status':{'registered':_0x5a294e(0x1170),'unregistered':_0x5a294e(0x29a3),'lagged':_0x5a294e(0x29a3),'reachable':_0x5a294e(0x1170),'unreachable':_0x5a294e(0x29a3),'unknown':'grey-fg\x20icon-minus-circle'},'state':{'unknown':_0x5a294e(0x181),'not_inuse':_0x5a294e(0x25c2),'inuse':'red-300-fg\x20icon-phone-in-talk','busy':_0x5a294e(0x35b),'invalid':_0x5a294e(0x181),'unavailable':_0x5a294e(0x181),'ringing':'blue-300-fg\x20icon-phone-incoming','ringinuse':_0x5a294e(0x1c43),'onhold':_0x5a294e(0x1825)},'channelStatus':{'ring':_0x5a294e(0x1c43),'up':'green-300-fg\x20icon-phone-in-talk','hangup':_0x5a294e(0xe67)},'channelStatusOut':{'ring':_0x5a294e(0x53c),'up':_0x5a294e(0x142f),'hangup':_0x5a294e(0xe67)}};}const _0x467376=_0x182a56;;_0x24cfcb['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x406),'socket'];function _0x24cfcb(_0x5a8b5b,_0x25f5ad,_0x348300){const _0x1eb235=_0x5537c6,_0x1a82d6=this;_0x348300['disconnect'](),_0x348300[_0x1eb235(0x8b0)](),_0x1a82d6[_0x1eb235(0x8ec)]=0x0;switch(_0x25f5ad[_0x1eb235(0x1970)][_0x1eb235(0x16b6)]){case _0x1eb235(0x28f0):_0x1a82d6['selectedTab']=0x0;break;case _0x1eb235(0x1903):_0x1a82d6['selectedTab']=0x1;break;case _0x1eb235(0x1dd):_0x1a82d6[_0x1eb235(0x8ec)]=0x2;break;default:_0x1a82d6[_0x1eb235(0x8ec)]=0x0,_0x25f5ad['go'](_0x1eb235(0x28f0));}_0x5a8b5b[_0x1eb235(0x614)](_0x1eb235(0x1ced),function(_0x4feae3,_0x3a31bd){const _0x7ca552=_0x1eb235;if(_0x4feae3!==_0x3a31bd)switch(_0x4feae3){case 0x0:_0x25f5ad['go'](_0x7ca552(0x28f0));break;case 0x1:_0x25f5ad['go'](_0x7ca552(0x1903));break;case 0x2:_0x25f5ad['go'](_0x7ca552(0x1dd));break;default:_0x25f5ad['go'](_0x7ca552(0x28f0));}});}const _0x250f74=_0x24cfcb;;_0x2adb1d['$inject']=[_0x5537c6(0xbd6)];function _0x2adb1d(_0xfad6a){const _0x441f99=_0x5537c6;_0xfad6a[_0x441f99(0x27e0)](_0x441f99(0x4e3),{'url':_0x441f99(0x1625),'views':{'content@app':{'templateUrl':_0x57a73b,'controller':_0x441f99(0xb56)}},'resolve':{'userProfile':[_0x441f99(0x1e0b),'Auth',function(_0xd902a6,_0x385166){const _0x3d8005=_0x441f99;return _0x385166[_0x3d8005(0x22b6)](_0x3d8005(0x1c60))?null:_0xd902a6[_0x3d8005(0x19a3)](_0x3d8005(0x9ae),{'fields':_0x3d8005(0x279),'id':_0x385166['getCurrentUser']()[_0x3d8005(0x13c1)]});}],'userProfileSection':['apiResolver',_0x441f99(0x1774),function(_0x191943,_0x41ee86){const _0x2c287f=_0x441f99;return _0x41ee86[_0x2c287f(0x22b6)](_0x2c287f(0x1c60))?null:_0x191943[_0x2c287f(0x19a3)](_0x2c287f(0x2182),{'fields':_0x2c287f(0x1f5f),'userProfileId':_0x41ee86[_0x2c287f(0x21e8)]()[_0x2c287f(0x13c1)],'sectionId':0x262});}]},'authenticate':!![],'permissionId':0x262})[_0x441f99(0x27e0)](_0x441f99(0x28f0),{'url':'/agents','controller':_0x441f99(0x2593),'templateUrl':_0x527e29,'resolve':{'pauses':[_0x441f99(0x1e0b),function(_0xa1f9b6){const _0x44d000=_0x441f99;return _0xa1f9b6[_0x44d000(0x19a3)](_0x44d000(0xeeb),{'nolimit':!![]});}],'agents':[_0x441f99(0x1e0b),_0x441f99(0x1774),function(_0x1cb21e,_0x2e0857){const _0x5c9129=_0x441f99;return _0x2e0857[_0x5c9129(0x22b6)](_0x5c9129(0x1c60))?_0x1cb21e[_0x5c9129(0x19a3)](_0x5c9129(0x1c86),{'fields':_0x5c9129(0x1599),'role':'agent','sort':_0x5c9129(0x1d14),'nolimit':!![]}):_0x1cb21e[_0x5c9129(0x19a3)]('userProfile@getResources',{'id':_0x2e0857[_0x5c9129(0x21e8)]()[_0x5c9129(0x13c1)],'section':'Agents','fields':_0x5c9129(0x1599),'role':_0x5c9129(0x1eff),'sort':_0x5c9129(0x1d14),'nolimit':!![]});}],'userProfile':['apiResolver',_0x441f99(0x1774),function(_0x4d80ba,_0x5900ce){const _0x5025b7=_0x441f99;return _0x5900ce[_0x5025b7(0x22b6)](_0x5025b7(0x1c60))?null:_0x4d80ba[_0x5025b7(0x19a3)](_0x5025b7(0x9ae),{'fields':'id,name,crudPermissions','id':_0x5900ce[_0x5025b7(0x21e8)]()[_0x5025b7(0x13c1)]});}],'userProfileSection':[_0x441f99(0x1e0b),_0x441f99(0x1774),function(_0x1c7f46,_0x28c5e3){const _0x372820=_0x441f99;return _0x28c5e3[_0x372820(0x22b6)]('admin')?null:_0x1c7f46[_0x372820(0x19a3)](_0x372820(0x2182),{'fields':_0x372820(0x1f5f),'userProfileId':_0x28c5e3['getCurrentUser']()[_0x372820(0x13c1)],'sectionId':0xca});}],'rpcAgents':[_0x441f99(0x1e0b),function(_0x3aa261){const _0x16d98f=_0x441f99;return _0x3aa261[_0x16d98f(0x19a3)](_0x16d98f(0x12c0));}]},'authenticate':!![],'permissionId':0x262})[_0x441f99(0x27e0)](_0x441f99(0x1903),{'url':_0x441f99(0x1596),'controller':_0x441f99(0x9e4),'templateUrl':_0x7fe7d2,'resolve':{'queues':[_0x441f99(0x1e0b),_0x441f99(0x1774),function(_0x5d2e7a,_0x4aaaa4){const _0x575c31=_0x441f99;return _0x4aaaa4[_0x575c31(0x22b6)]('admin')?_0x5d2e7a[_0x575c31(0x19a3)]('mailQueue@get',{'type':_0x575c31(0x26c0),'sort':_0x575c31(0x282),'limit':0xa,'offset':0x0}):_0x5d2e7a[_0x575c31(0x19a3)](_0x575c31(0x12da),{'id':_0x4aaaa4['getCurrentUser']()[_0x575c31(0x13c1)],'section':_0x575c31(0x25fc),'channel':_0x575c31(0x2651),'type':_0x575c31(0x26c0),'sort':'-updatedAt','limit':0xa,'page':0x1});}],'userProfile':['apiResolver',_0x441f99(0x1774),function(_0x5b4649,_0x37b19d){const _0xdc437e=_0x441f99;return _0x37b19d[_0xdc437e(0x22b6)](_0xdc437e(0x1c60))?null:_0x5b4649[_0xdc437e(0x19a3)](_0xdc437e(0x9ae),{'fields':'id,name,crudPermissions','id':_0x37b19d[_0xdc437e(0x21e8)]()[_0xdc437e(0x13c1)]});}],'userProfileSection':['apiResolver','Auth',function(_0x36551d,_0x2bf88b){const _0x580c4d=_0x441f99;return _0x2bf88b[_0x580c4d(0x22b6)](_0x580c4d(0x1c60))?null:_0x36551d[_0x580c4d(0x19a3)](_0x580c4d(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x2bf88b[_0x580c4d(0x21e8)]()[_0x580c4d(0x13c1)],'sectionId':0x259});}],'rpcQueues':[_0x441f99(0x1e0b),function(_0x2a1f69){const _0x33dec9=_0x441f99;return _0x2a1f69['resolve'](_0x33dec9(0x12ca));}]},'authenticate':!![],'permissionId':0x262})[_0x441f99(0x27e0)](_0x441f99(0x1dd),{'url':_0x441f99(0x25a0),'controller':_0x441f99(0x1ce1),'templateUrl':_0x2e801d,'resolve':{'mailAccounts':['apiResolver',_0x441f99(0x1774),function(_0x515d0c,_0x1c33c9){const _0x109d59=_0x441f99;return _0x1c33c9['hasRole'](_0x109d59(0x1c60))?_0x515d0c[_0x109d59(0x19a3)]('mailAccount@get',{'sort':'-updatedAt','limit':0xa,'offset':0x0}):_0x515d0c[_0x109d59(0x19a3)](_0x109d59(0x12da),{'id':_0x1c33c9[_0x109d59(0x21e8)]()['userProfileId'],'section':'MailAccounts','sort':'-updatedAt','limit':0xa,'offset':0x0});}],'userProfile':['apiResolver','Auth',function(_0x41fa05,_0xe30369){const _0x575913=_0x441f99;return _0xe30369[_0x575913(0x22b6)](_0x575913(0x1c60))?null:_0x41fa05[_0x575913(0x19a3)](_0x575913(0x9ae),{'fields':_0x575913(0x279),'id':_0xe30369['getCurrentUser']()['userProfileId']});}],'userProfileSection':[_0x441f99(0x1e0b),_0x441f99(0x1774),function(_0x6339d7,_0x2f2bb1){const _0x1fdab6=_0x441f99;return _0x2f2bb1[_0x1fdab6(0x22b6)](_0x1fdab6(0x1c60))?null:_0x6339d7[_0x1fdab6(0x19a3)](_0x1fdab6(0x2182),{'fields':_0x1fdab6(0x1f5f),'userProfileId':_0x2f2bb1[_0x1fdab6(0x21e8)]()[_0x1fdab6(0x13c1)],'sectionId':0x25a});}],'rpcMailAccounts':[_0x441f99(0x1e0b),function(_0x3a1920){const _0x1edfa8=_0x441f99;return _0x3a1920[_0x1edfa8(0x19a3)](_0x1edfa8(0x1cf));}]},'authenticate':!![],'permissionId':0x262});}angular[_0x5537c6(0x9ab)]('app.mail.realtime',[])[_0x5537c6(0xa60)](_0x2adb1d)[_0x5537c6(0x6e5)](_0x5537c6(0x2882),_0x48be1b)[_0x5537c6(0x6e5)](_0x5537c6(0x289d),_0x10ad0a)[_0x5537c6(0x234a)]('helperClasses',_0x467376)[_0x5537c6(0x6e5)](_0x5537c6(0x2517),_0x250f74);;const _0x3255fb=_0x5074a3['p']+'src/js/modules/main/apps/mail/views/mailQueues/mailQueues.html/mailQueues.html';;const _0x1c35f5=_0x5074a3['p']+'src/js/modules/main/apps/mail/views/mailQueues/edit/view.html/view.html';;const _0x18e25c=_0x5074a3['p']+_0x5537c6(0x1fb8);;const _0x268b68=_0x5074a3['p']+_0x5537c6(0x1800);;const _0x4cf3e1=_0x5074a3['p']+'src/js/modules/main/apps/mail/views/mailSubtatuses/mailSubtatuses.html/mailSubtatuses.html';;_0xd7ab08[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),'$location','$mdDialog','$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x228e),'mailAccount',_0x5537c6(0x142b),'Auth',_0x5537c6(0x8a5),_0x5537c6(0x9ca),'crudPermissions'];function _0xd7ab08(_0x4f5fa4,_0x21d67a,_0x2d4ffa,_0x4b0ea7,_0x191672,_0x23f3d1,_0x381893,_0x3611f2,_0x2eaf1d,_0x504704,_0x4d2c9f,_0x563f96,_0x4a698f,_0x1f0eae){const _0x1139ae=_0x5537c6,_0x7185bf=this;_0x7185bf[_0x1139ae(0xe76)]=_0x4d2c9f['getCurrentUser'](),_0x7185bf['errors']=[],_0x7185bf[_0x1139ae(0x9ca)]=_0x4a698f,_0x7185bf[_0x1139ae(0x8a5)]=_0x563f96,_0x7185bf[_0x1139ae(0x1b1a)]=_0x1f0eae,_0x7185bf['hasModulePermissions']={},_0x7185bf['passwordPattern']=_0x7185bf['setting']&&_0x7185bf[_0x1139ae(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x7185bf[_0x1139ae(0x1386)]='MAIL.EDIT_MAILACCOUNT',_0x7185bf[_0x1139ae(0x131c)]=angular[_0x1139ae(0x17fe)](_0x2eaf1d),_0x7185bf[_0x1139ae(0x228e)]=_0x3611f2,_0x7185bf['newMailAccount']=![];!_0x7185bf[_0x1139ae(0x131c)]&&(_0x7185bf[_0x1139ae(0x131c)]={'active':!![],'cservice':'null','cauthentication':!![],'fontSize':0xd,'waitForTheAssignedAgent':0xa,'notificationSound':!![],'queueTransferTimeout':0x12c,'agentTransferTimeout':0x12c},_0x7185bf[_0x1139ae(0x1386)]=_0x1139ae(0x1727),_0x7185bf['newMailAccount']=!![]);_0x21d67a[_0x1139ae(0x1dfe)]['id']&&(_0x7185bf[_0x1139ae(0x131c)]['MailAccountId']=_0x21d67a[_0x1139ae(0x1dfe)]['id']);_0x7185bf['addNewMailAccount']=_0xb1b7e9,_0x7185bf[_0x1139ae(0x48f)]=_0x4d7868,_0x7185bf[_0x1139ae(0x1184)]=_0x4e7f5f,_0x7185bf[_0x1139ae(0x2c4)]=_0x217741,_0x7185bf[_0x1139ae(0xda0)]=_0x14da46,_0x4d2c9f[_0x1139ae(0x22b6)](_0x1139ae(0x1c60))?_0x504704[_0x1139ae(0x22f2)][_0x1139ae(0xbf7)]({'fields':_0x1139ae(0x43c),'sort':_0x1139ae(0x16b6)})['$promise'][_0x1139ae(0x1cb0)](function(_0x46d7ea){const _0x446f61=_0x1139ae;_0x7185bf[_0x446f61(0x1046)]=_0x46d7ea['rows']||[];})[_0x1139ae(0x1c4)](function(_0xe379e7){const _0x54f6a0=_0x1139ae;_0x381893[_0x54f6a0(0x218e)]({'title':_0xe379e7['status']?'API:'+_0xe379e7[_0x54f6a0(0x291)]+_0x54f6a0(0x1657)+_0xe379e7[_0x54f6a0(0xc22)]:_0x54f6a0(0x149e),'msg':_0xe379e7[_0x54f6a0(0x25c)]?JSON[_0x54f6a0(0x2701)](_0xe379e7['data']):_0xe379e7['toString']()});}):_0x504704[_0x1139ae(0x22f2)][_0x1139ae(0xbf7)]({'fields':_0x1139ae(0x43c),'sort':_0x1139ae(0x16b6)})['$promise'][_0x1139ae(0x1cb0)](function(_0x143785){const _0x2b292e=_0x1139ae;_0x7185bf[_0x2b292e(0x1046)]=_0x143785[_0x2b292e(0x2214)]||[];})[_0x1139ae(0x1cb0)](function(){const _0x5669cc=_0x1139ae;return _0x504704[_0x5669cc(0x2199)][_0x5669cc(0xbf7)]({'userProfileId':_0x7185bf[_0x5669cc(0xe76)][_0x5669cc(0x13c1)],'sectionId':0x12d})[_0x5669cc(0x1d77)];})[_0x1139ae(0x1cb0)](function(_0x2024b2){const _0x3585e4=_0x1139ae,_0x4b30f4=_0x2024b2&&_0x2024b2[_0x3585e4(0x2214)]?_0x2024b2[_0x3585e4(0x2214)][0x0]:null;if(!_0x4b30f4){const _0x7b507e=[];let _0x550c7c=null;_0x7185bf[_0x3585e4(0x131c)]&&(_0x550c7c=_0x39641b()[_0x3585e4(0x13b4)](_0x7185bf[_0x3585e4(0x1046)],{'id':Number(_0x7185bf['mailAccount'][_0x3585e4(0x20a6)])}));for(let _0x5d75d4=0x0;_0x5d75d4<_0x7185bf['lists']['length'];_0x5d75d4++){_0x550c7c&&_0x7185bf['lists'][_0x5d75d4]['id']===_0x550c7c['id']&&(_0x7185bf[_0x3585e4(0x1046)][_0x5d75d4][_0x3585e4(0x15da)]=![],_0x7b507e[_0x3585e4(0x2785)](_0x7185bf[_0x3585e4(0x1046)][_0x5d75d4]));}_0x7185bf[_0x3585e4(0x1046)]=_0x7b507e;}else{if(!_0x4b30f4[_0x3585e4(0x12f4)])return _0x504704['userProfileResource'][_0x3585e4(0xbf7)]({'sectionId':_0x4b30f4['id']})[_0x3585e4(0x1d77)][_0x3585e4(0x1cb0)](function(_0x39169d){const _0x3fd7c6=_0x3585e4,_0x30d16d=_0x39641b()[_0x3fd7c6(0x1de2)](_0x39169d[_0x3fd7c6(0x2214)],function(_0x50ef95){const _0x4587e1=_0x3fd7c6;return _0x39641b()[_0x4587e1(0x13b4)](_0x7185bf['lists'],{'id':_0x50ef95[_0x4587e1(0x2982)]});});let _0x3620de=null;_0x7185bf['mailAccount']&&(_0x3620de=_0x39641b()['find'](_0x7185bf[_0x3fd7c6(0x1046)],{'id':Number(_0x7185bf['mailAccount']['ListId'])}));if(_0x3620de&&!_0x39641b()['some'](_0x30d16d,['id',_0x3620de['id']])){const _0x2596ea=_0x39641b()['find'](_0x7185bf[_0x3fd7c6(0x1046)],{'id':_0x3620de['id']});_0x2596ea['canSelect']=![],_0x30d16d[_0x3fd7c6(0x2785)](_0x2596ea);}_0x7185bf[_0x3fd7c6(0x1046)]=_0x30d16d;});}})[_0x1139ae(0x1c4)](function(_0xa037e2){const _0xc6b359=_0x1139ae;_0x381893[_0xc6b359(0x218e)]({'title':_0xa037e2[_0xc6b359(0x291)]?_0xc6b359(0xeb9)+_0xa037e2[_0xc6b359(0x291)]+_0xc6b359(0x1657)+_0xa037e2[_0xc6b359(0xc22)]:_0xc6b359(0x113c),'msg':_0xa037e2['data']?JSON['stringify'](_0xa037e2[_0xc6b359(0x25c)]):_0xa037e2[_0xc6b359(0x147f)]()});});function _0xb1b7e9(){const _0x586039=_0x1139ae;_0x7185bf['errors']=[],_0x504704[_0x586039(0x131c)][_0x586039(0x1c3f)](_0x7185bf[_0x586039(0x131c)])[_0x586039(0x1d77)]['then'](function(_0x48176c){const _0x286bfe=_0x586039;_0x7185bf[_0x286bfe(0x228e)][_0x286bfe(0xf63)](_0x48176c['toJSON']()),_0x381893[_0x286bfe(0x829)]({'title':_0x286bfe(0x1c0a),'msg':_0x7185bf['mailAccount']['name']?_0x7185bf[_0x286bfe(0x131c)]['name']+_0x286bfe(0x470):''}),_0x14da46(_0x48176c);})[_0x586039(0x1c4)](function(_0x328eed){const _0x59c5c8=_0x586039;if(_0x328eed[_0x59c5c8(0x25c)]&&_0x328eed[_0x59c5c8(0x25c)][_0x59c5c8(0x1a7c)]&&_0x328eed[_0x59c5c8(0x25c)]['errors'][_0x59c5c8(0xfd0)]){_0x7185bf['errors']=_0x328eed['data']['errors']||[{'message':_0x328eed[_0x59c5c8(0x147f)](),'type':_0x59c5c8(0x2258)}];for(let _0x1eab5e=0x0;_0x1eab5e<_0x328eed[_0x59c5c8(0x25c)][_0x59c5c8(0x1a7c)]['length'];_0x1eab5e+=0x1){_0x381893[_0x59c5c8(0x218e)]({'title':_0x328eed[_0x59c5c8(0x25c)][_0x59c5c8(0x1a7c)][_0x1eab5e]['type'],'msg':_0x328eed[_0x59c5c8(0x25c)][_0x59c5c8(0x1a7c)][_0x1eab5e][_0x59c5c8(0x155e)]});}}else _0x381893[_0x59c5c8(0x218e)]({'title':_0x328eed[_0x59c5c8(0x291)]?_0x59c5c8(0xeb9)+_0x328eed[_0x59c5c8(0x291)]+_0x59c5c8(0x1657)+_0x328eed[_0x59c5c8(0xc22)]:_0x59c5c8(0x2258),'msg':_0x328eed[_0x59c5c8(0x25c)]?JSON[_0x59c5c8(0x2701)](_0x328eed['data'][_0x59c5c8(0x155e)]):_0x328eed['toString']()});});}function _0x4d7868(){const _0x3ed749=_0x1139ae;_0x7185bf[_0x3ed749(0x1a7c)]=[],_0x504704[_0x3ed749(0x131c)][_0x3ed749(0x687)]({'id':_0x7185bf[_0x3ed749(0x131c)]['id']},_0x7185bf[_0x3ed749(0x131c)])['$promise']['then'](function(_0x5c1e70){const _0x1c71c1=_0x3ed749,_0x30d8c5=_0x39641b()[_0x1c71c1(0x13b4)](_0x7185bf[_0x1c71c1(0x228e)],{'id':_0x5c1e70['id']});_0x30d8c5&&_0x39641b()[_0x1c71c1(0x9c1)](_0x30d8c5,_0x39641b()['pick'](_0x5c1e70['toJSON'](),_0x39641b()[_0x1c71c1(0x1be5)](_0x30d8c5))),_0x381893['success']({'title':'MailAccount\x20properly\x20saved!','msg':_0x7185bf[_0x1c71c1(0x131c)][_0x1c71c1(0x16b6)]?_0x7185bf[_0x1c71c1(0x131c)][_0x1c71c1(0x16b6)]+'\x20has\x20been\x20saved!':''}),_0x14da46(_0x5c1e70);})['catch'](function(_0x5756c8){const _0x45609a=_0x3ed749;if(_0x5756c8[_0x45609a(0x25c)]&&_0x5756c8[_0x45609a(0x25c)]['errors']&&_0x5756c8[_0x45609a(0x25c)][_0x45609a(0x1a7c)][_0x45609a(0xfd0)]){_0x7185bf['errors']=_0x5756c8[_0x45609a(0x25c)][_0x45609a(0x1a7c)]||[{'message':_0x5756c8[_0x45609a(0x147f)](),'type':'api.mailAccount.update'}];for(let _0x286882=0x0;_0x286882<_0x5756c8[_0x45609a(0x25c)][_0x45609a(0x1a7c)][_0x45609a(0xfd0)];_0x286882++){_0x381893[_0x45609a(0x218e)]({'title':_0x5756c8[_0x45609a(0x25c)]['errors'][_0x286882][_0x45609a(0x66a)],'msg':_0x5756c8['data']['errors'][_0x286882][_0x45609a(0x155e)]});}}else _0x381893[_0x45609a(0x218e)]({'title':_0x5756c8['status']?_0x45609a(0xeb9)+_0x5756c8[_0x45609a(0x291)]+_0x45609a(0x1657)+_0x5756c8[_0x45609a(0xc22)]:'api.mailAccount.update','msg':_0x5756c8['data']?JSON[_0x45609a(0x2701)](_0x5756c8['data']['message']):_0x5756c8['toString']()});});}function _0x4e7f5f(_0x59f1d8){const _0xcfb67=_0x1139ae;if(_0x7185bf[_0xcfb67(0x131c)][_0xcfb67(0xdc1)])return _0x381893['error']({'title':_0xcfb67(0xae9),'msg':_0xcfb67(0x433)});_0x7185bf[_0xcfb67(0x1a7c)]=[];const _0x206222=_0x4b0ea7[_0xcfb67(0x1551)]()['title'](_0xcfb67(0x1a2e))[_0xcfb67(0x862)](_0xcfb67(0x1712))[_0xcfb67(0x15ad)](_0xcfb67(0x7ef))['ok']('Delete')[_0xcfb67(0x696)](_0xcfb67(0xde1))[_0xcfb67(0x728)](_0x59f1d8);_0x4b0ea7['show'](_0x206222)[_0xcfb67(0x1cb0)](function(){const _0x1bc3af=_0xcfb67;_0x504704[_0x1bc3af(0x131c)][_0x1bc3af(0x111d)]({'id':_0x7185bf[_0x1bc3af(0x131c)]['id']})[_0x1bc3af(0x1d77)][_0x1bc3af(0x1cb0)](function(){const _0x48bf73=_0x1bc3af;_0x39641b()['remove'](_0x7185bf[_0x48bf73(0x228e)],{'id':_0x7185bf[_0x48bf73(0x131c)]['id']}),_0x381893[_0x48bf73(0x829)]({'title':_0x48bf73(0x26d1),'msg':(_0x7185bf[_0x48bf73(0x131c)][_0x48bf73(0x16b6)]||_0x48bf73(0x131c))+_0x48bf73(0x3f5)}),_0x14da46(_0x7185bf[_0x48bf73(0x131c)]);})[_0x1bc3af(0x1c4)](function(_0x3c0200){const _0x514286=_0x1bc3af;if(_0x3c0200['data']&&_0x3c0200[_0x514286(0x25c)]['errors']&&_0x3c0200[_0x514286(0x25c)]['errors'][_0x514286(0xfd0)]){_0x7185bf[_0x514286(0x1a7c)]=_0x3c0200[_0x514286(0x25c)][_0x514286(0x1a7c)]||[{'message':_0x3c0200[_0x514286(0x147f)](),'type':_0x514286(0x19ca)}];for(let _0x9db268=0x0;_0x9db268<_0x3c0200[_0x514286(0x25c)][_0x514286(0x1a7c)][_0x514286(0xfd0)];_0x9db268++){_0x381893[_0x514286(0x218e)]({'title':_0x3c0200['data'][_0x514286(0x1a7c)][_0x9db268][_0x514286(0x66a)],'msg':_0x3c0200['data'][_0x514286(0x1a7c)][_0x9db268]['message']});}}else _0x381893[_0x514286(0x218e)]({'title':_0x3c0200[_0x514286(0x291)]?_0x514286(0xeb9)+_0x3c0200['status']+_0x514286(0x1657)+_0x3c0200[_0x514286(0xc22)]:'api.mailAccount.delete','msg':_0x3c0200[_0x514286(0x25c)]?JSON[_0x514286(0x2701)](_0x3c0200[_0x514286(0x25c)][_0x514286(0x155e)]):_0x3c0200[_0x514286(0x155e)]||_0x3c0200['toString']()});});},function(){});}function _0x217741(_0x509369){return _0x509369===null?undefined:new Date(_0x509369);}function _0x14da46(_0x314a0f){const _0x1c4faf=_0x1139ae;_0x4b0ea7[_0x1c4faf(0x1426)](_0x314a0f);}}const _0x3cf825=_0xd7ab08;;const _0x786234=_0x5074a3['p']+_0x5537c6(0x266e);;const _0x521b8a=_0x5074a3['p']+_0x5537c6(0x867);;const _0x56d8d0=_0x5074a3['p']+_0x5537c6(0x29d5);;const _0x2b07a4=_0x5074a3['p']+_0x5537c6(0x2050);;const _0x248716=_0x5074a3['p']+_0x5537c6(0x20e8);;const _0x1d534e=_0x5074a3['p']+_0x5537c6(0x276b);;const _0x1be948=_0x5074a3['p']+_0x5537c6(0x7fe);;const _0x2f9808=_0x5074a3['p']+_0x5537c6(0x731);;const _0x541d7d=_0x5074a3['p']+'src/js/modules/main/apps/mail/views/mailAccounts/edit/apps/system/dialog.html/dialog.html';;const _0x2e292c=_0x5074a3['p']+_0x5537c6(0x254c);;_0x3718a3[_0x5537c6(0x15b6)]=[_0x5537c6(0x142b),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x9bf),_0x5537c6(0x1774)];const _0x3276ea={'agent':_0x786234,'autoreply':_0x521b8a,'close':_0x56d8d0,'gotoif':_0x2b07a4,'gotop':_0x248716,'interval':_0x1d534e,'noop':_0x1be948,'queue':_0x2f9808,'system':_0x541d7d,'tag':_0x2e292c};function _0x3718a3(_0x1dcdab,_0x206a9a,_0x5f1475,_0x2f85ee,_0x1ed3a2){const _0xfa604d=_0x5537c6,_0x56411e=this;_0x56411e['currentUser']=_0x1ed3a2['getCurrentUser'](),_0x56411e[_0xfa604d(0x131c)]={},_0x56411e[_0xfa604d(0x8a1)]={'count':0x0,'rows':[]},_0x56411e[_0xfa604d(0x9f9)]=[],_0x56411e[_0xfa604d(0x1b1a)],_0x56411e[_0xfa604d(0xae2)]={'sort':'priority'},_0x56411e[_0xfa604d(0x196f)]=_0x39641b()[_0xfa604d(0x988)](_0x39641b()[_0xfa604d(0x1d33)]([{'app':_0xfa604d(0x1d81),'appType':_0xfa604d(0x1ac8),'types':[_0xfa604d(0x2017),'custom',_0xfa604d(0x240)],'fields':[],'isApp':![]},{'app':_0xfa604d(0x1234),'appType':'noop','type':_0xfa604d(0x1234),'icon':_0xfa604d(0x33e),'interval':_0xfa604d(0x965),'required':!![],'isApp':!![],'fields':[{'title':_0xfa604d(0x1e10),'name':_0xfa604d(0x327),'type':'text','param':0x0}]},{'app':'system','appType':_0xfa604d(0x7be),'type':_0xfa604d(0x7be),'icon':_0xfa604d(0x33e),'interval':'*,*,*,*','required':!![],'isApp':!![],'extraApi':[{'name':_0xfa604d(0x9a9),'field':{'name':_0xfa604d(0x1822),'key':_0xfa604d(0x16b6)},'route':_0xfa604d(0x1822),'filters':{'fields':_0xfa604d(0x43c),'sort':'name','nolimit':!![]},'permissions':{'section':0x3f4}}],'fields':[{'title':_0xfa604d(0x1b9),'name':_0xfa604d(0xd0d),'type':'text','required':!![],'param':0x0},{'title':_0xfa604d(0x1b02),'name':_0xfa604d(0x1822),'type':_0xfa604d(0xb52),'values':_0xfa604d(0x9a9),'value':_0xfa604d(0x3f3),'option':_0xfa604d(0x3f3),'defaultValues':[{'value':'\x27\x27','option':_0xfa604d(0x2001)}],'defaultValue':'\x27\x27','param':0x1}]},{'app':'gotop','appType':_0xfa604d(0x265b),'type':_0xfa604d(0x3da),'icon':_0xfa604d(0x33e),'interval':'*,*,*,*','isApp':!![],'fields':[{'title':_0xfa604d(0x1240),'name':_0xfa604d(0x521),'type':_0xfa604d(0x83d),'required':!![],'min':0x0,'param':0x0}]},{'app':_0xfa604d(0x13a0),'appType':_0xfa604d(0x13a0),'type':'gotoif','icon':'icon-apps','interval':_0xfa604d(0x965),'isApp':!![],'fields':[{'title':_0xfa604d(0x28f5),'name':_0xfa604d(0x166c),'type':_0xfa604d(0x19d3),'required':!![],'param':0x0},{'title':_0xfa604d(0xb24),'name':_0xfa604d(0xb24),'type':_0xfa604d(0x83d),'min':0x1,'required':!![],'param':0x1},{'title':_0xfa604d(0x17d),'name':_0xfa604d(0x17d),'type':'number','min':0x1,'required':!![],'param':0x2}]},{'app':_0xfa604d(0x11cf),'appType':_0xfa604d(0x11cf),'foreignKey':_0xfa604d(0x17a5),'type':_0xfa604d(0x11cf),'icon':_0xfa604d(0x33e),'interval':_0xfa604d(0x965),'isApp':!![],'extraApi':[{'name':_0xfa604d(0x971),'field':{'name':_0xfa604d(0x11cf),'key':'name'},'route':_0xfa604d(0x16e1),'filters':{'fields':_0xfa604d(0x1896),'sort':_0xfa604d(0x16b6),'nolimit':!![]},'permissions':{'section':0x259}}],'fields':[{'title':_0xfa604d(0x2687),'name':_0xfa604d(0x11cf),'type':_0xfa604d(0xb52),'values':_0xfa604d(0x971),'value':_0xfa604d(0x19b3),'option':_0xfa604d(0x19b3),'defaultValue':0x12c,'required':!![],'param':0x0},{'title':'Timeout','name':'timeout','type':_0xfa604d(0x83d),'max':0x20c49b,'min':0x0,'required':!![],'defaultValue':0x12c,'param':0x1}]},{'app':_0xfa604d(0x1eff),'appType':_0xfa604d(0x1eff),'type':_0xfa604d(0x1eff),'foreignKey':_0xfa604d(0x21ab),'icon':_0xfa604d(0x33e),'interval':_0xfa604d(0x965),'isApp':!![],'extraApi':[{'name':'agents','field':{'name':_0xfa604d(0x1eff),'key':_0xfa604d(0x16b6)},'route':'user','filters':{'fields':_0xfa604d(0x43c),'sort':'name','nolimit':!![],'role':_0xfa604d(0x1eff)},'permissions':{'section':0xca}}],'fields':[{'title':_0xfa604d(0x30e),'name':_0xfa604d(0x1eff),'type':'apiselect','values':'agents','value':_0xfa604d(0x302),'option':_0xfa604d(0x302),'required':!![],'param':0x0},{'title':_0xfa604d(0xbcc),'name':_0xfa604d(0x1719),'type':_0xfa604d(0x83d),'max':0x20c49b,'min':0x0,'required':!![],'defaultValue':0x1e,'param':0x1}]},{'app':_0xfa604d(0x1f71),'appType':'autoreply','type':'autoreply','icon':_0xfa604d(0x33e),'interval':'*,*,*,*','isApp':!![],'fields':[{'title':_0xfa604d(0x18a5),'name':'times','type':'select','defaultValue':'1','values':[{'option':_0xfa604d(0x431),'value':'1'},{'option':_0xfa604d(0x1288),'value':'0'}],'required':!![],'param':0x0},{'title':_0xfa604d(0x620),'name':_0xfa604d(0x19d3),'type':_0xfa604d(0x1d35),'required':!![],'param':0x1}]},{'app':'close','appType':_0xfa604d(0xf3b),'type':_0xfa604d(0xf3b),'icon':_0xfa604d(0x33e),'interval':_0xfa604d(0x965),'isApp':!![],'fields':[{'title':_0xfa604d(0x2542),'name':'disposition','type':_0xfa604d(0x19d3),'required':!![],'param':0x0}]},{'app':'tag','appType':_0xfa604d(0x22b1),'type':_0xfa604d(0x22b1),'foreignKey':_0xfa604d(0x1754),'icon':_0xfa604d(0x33e),'interval':_0xfa604d(0x965),'isApp':!![],'extraApi':[{'name':_0xfa604d(0xfbf),'field':{'name':_0xfa604d(0x22b1),'key':'name'},'route':_0xfa604d(0x22b1),'filters':{'fields':'id,name','sort':'name','nolimit':!![]},'permissions':{'section':0x3f0}}],'fields':[{'title':'Tag','name':_0xfa604d(0x22b1),'type':_0xfa604d(0xb52),'values':_0xfa604d(0xfbf),'value':_0xfa604d(0x52a),'option':_0xfa604d(0x52a),'required':!![],'param':0x0}]}],[_0xfa604d(0x22e1)]),{'isApp':![]}),_0x56411e[_0xfa604d(0x240)]={'group':{'name':_0xfa604d(0x2523),'pull':_0xfa604d(0x11bc)},'animation':0x64,'sort':![]},_0x56411e[_0xfa604d(0x1f2f)]={'group':{'name':_0xfa604d(0x1ddb),'put':_0xfa604d(0x2523)},'animation':0x64,'onAdd':function(_0x3f16e0){const _0x3c5ad0=_0xfa604d;_0x21c06d(_0x3f16e0,_0x3f16e0[_0x3c5ad0(0x22e)]);},'onSort':function(){_0x648789();}},_0x56411e[_0xfa604d(0x1a8e)]=_0x73b089,_0x56411e['deleteConfirm']=_0x3d08db,_0x56411e['getMailAccountApps']=_0x46cddf,_0x56411e[_0xfa604d(0x2281)]=_0x21c06d,_0x56411e[_0xfa604d(0x1eee)]=_0x37897b,_0x56411e['deleteMailAccountApp']=_0x3279cb,_0x56411e[_0xfa604d(0xd43)]=_0x2b749b,_0x56411e[_0xfa604d(0x463)]=_0x648789,_0x56411e[_0xfa604d(0x22fc)]=_0x3f397e;function _0x73b089(_0x2e7182,_0xebed4){const _0x86ebb=_0xfa604d;_0x56411e[_0x86ebb(0x131c)]=_0x2e7182,_0x56411e[_0x86ebb(0x1b1a)]=typeof _0xebed4!==_0x86ebb(0x16b5)?_0xebed4:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x56411e['applications']['disabled']=!_0x56411e['crudPermissions']['canEdit']?!![]:![],_0x56411e[_0x86ebb(0xae2)]['id']=_0x2e7182['id'],_0x56411e['query'][_0x86ebb(0xead)]=!![],_0x56411e['query'][_0x86ebb(0x2282)]=!![],_0x56411e[_0x86ebb(0x1651)](),_0x56411e[_0x86ebb(0x22fc)]();}function _0x3d08db(_0x42a5cf,_0x580b31,_0x201fcc){const _0x4a1339=_0xfa604d,_0x407a29=_0x206a9a['confirm']()[_0x4a1339(0x1386)](_0x4a1339(0xf35))[_0x4a1339(0x49e)](_0x4a1339(0x204d)+_0x42a5cf[_0x4a1339(0x22e1)]+_0x4a1339(0x1200)+_0x4a1339(0x1b6))[_0x4a1339(0x15ad)](_0x4a1339(0x2998))[_0x4a1339(0x728)](_0x201fcc)['ok']('OK')['cancel'](_0x4a1339(0x24ba));_0x206a9a[_0x4a1339(0xe27)](_0x407a29)[_0x4a1339(0x1cb0)](function(){const _0x16a358=_0x4a1339;_0x56411e[_0x16a358(0x8a1)]['rows'][_0x16a358(0x159c)](_0x580b31,0x1),_0x648789();},function(){const _0x50ee18=_0x4a1339;console[_0x50ee18(0x1b4f)](_0x50ee18(0x24ba));});}function _0x21c06d(_0x53f867,_0x3b94db){const _0x28ad2c=_0xfa604d;if(_0x56411e['mailAccountApps'][_0x28ad2c(0x2214)][_0x28ad2c(0xfd0)]){const _0x70c764=_0x56411e[_0x28ad2c(0x8a1)][_0x28ad2c(0x2214)][_0x3b94db]?_0x56411e[_0x28ad2c(0x8a1)][_0x28ad2c(0x2214)][_0x3b94db]:_0x56411e[_0x28ad2c(0x8a1)][_0x28ad2c(0x2214)][0x0],_0x202ab2=(_0x70c764[_0x28ad2c(0x299b)]||_0x70c764[_0x28ad2c(0x22e1)])['toLowerCase']();_0x206a9a[_0x28ad2c(0xe27)]({'controller':_0x28ad2c(0xc33)+_0x202ab2+_0x28ad2c(0x198f),'controllerAs':'vm','templateUrl':_0x3276ea[_0x202ab2],'parent':angular[_0x28ad2c(0x1853)](_0x5f1475[_0x28ad2c(0x1ed9)]),'targetEvent':_0x53f867,'clickOutsideToClose':!![],'locals':{'mailAccountApp':_0x70c764,'mailAccount':_0x56411e[_0x28ad2c(0x131c)],'crudPermissions':_0x56411e[_0x28ad2c(0x1b1a)]}})['then'](function(_0x301f36){const _0x4be1d7=_0x28ad2c;_0x301f36&&(_0x301f36['id']?_0x56411e[_0x4be1d7(0x8a1)]['rows'][_0x3b94db]=_0x301f36:_0x56411e[_0x4be1d7(0x8a1)][_0x4be1d7(0x2214)][_0x4be1d7(0x159c)](_0x3b94db,0x0,_0x301f36),_0x648789());})['catch'](function(_0x45713b){const _0x5b2b8e=_0x28ad2c;_0x45713b&&_0x2f85ee['error']({'title':_0x45713b[_0x5b2b8e(0x291)]?_0x5b2b8e(0xeb9)+_0x45713b[_0x5b2b8e(0x291)]+'\x20-\x20'+_0x45713b[_0x5b2b8e(0xc22)]:_0x5b2b8e(0xd95),'msg':_0x45713b[_0x5b2b8e(0x25c)]?JSON[_0x5b2b8e(0x2701)](_0x45713b[_0x5b2b8e(0x25c)]):_0x45713b[_0x5b2b8e(0x147f)]()});});}}function _0x37897b(_0x39b74e,_0x145284){const _0x39fda9=_0xfa604d;if(_0x56411e['mailAccountApps'][_0x39fda9(0x2214)][_0x39fda9(0xfd0)]){const _0x2deea7=_0x56411e[_0x39fda9(0x8a1)][_0x39fda9(0x2214)][_0x145284]?_0x56411e['mailAccountApps'][_0x39fda9(0x2214)][_0x145284]:_0x56411e[_0x39fda9(0x8a1)][_0x39fda9(0x2214)][0x0];_0x206a9a[_0x39fda9(0xe27)]({'controller':_0x39fda9(0x17c0),'controllerAs':'vm','templateUrl':_0x1d534e,'parent':angular[_0x39fda9(0x1853)](_0x5f1475['body']),'targetEvent':_0x39b74e,'clickOutsideToClose':!![],'locals':{'interval':{'interval':_0x2deea7[_0x39fda9(0x1ac8)],'IntervalId':_0x2deea7['IntervalId'],'application':!![]},'intervals':[],'crudPermissions':_0x56411e[_0x39fda9(0x1b1a)]}})['then'](function(_0x360f06){const _0x38acaa=_0x39fda9;_0x360f06&&(_0x2deea7[_0x38acaa(0x1ac8)]=_0x360f06['interval']||_0x38acaa(0x965),_0x2deea7[_0x38acaa(0x1a60)]=_0x360f06[_0x38acaa(0x1a60)]||null,_0x648789());});}}function _0x648789(){const _0x3b6489=_0xfa604d;let _0x7111c4=0x1,_0x18adb8=[];for(let _0x2c08fc=0x0;_0x2c08fc<_0x56411e[_0x3b6489(0x8a1)][_0x3b6489(0x2214)][_0x3b6489(0xfd0)];_0x2c08fc++){const _0x2b9923=_0x56411e['mailAccountApps'][_0x3b6489(0x2214)][_0x2c08fc],_0x4d8f32=[],_0x48d019=[];_0x2b9923[_0x3b6489(0xb4a)]=_0x2b9923[_0x3b6489(0x1ac8)]!==_0x3b6489(0x965)?[_0x2b9923[_0x3b6489(0x1ac8)]]:_0x2b9923[_0x3b6489(0x1a60)]?_0x39641b()[_0x3b6489(0x1de2)](_0x39641b()[_0x3b6489(0x1c99)](_0x56411e[_0x3b6489(0xb4a)][_0x3b6489(0x2214)],{'IntervalId':_0x2b9923[_0x3b6489(0x1a60)]}),_0x3b6489(0x1ac8)):[],_0x2b9923[_0x3b6489(0x2056)]=_0x56411e[_0x3b6489(0x131c)][_0x3b6489(0x2056)],_0x2b9923['exten']=_0x56411e['mailAccount'][_0x3b6489(0x26a2)],_0x2b9923[_0x3b6489(0x66a)]&&(_0x2b9923['type']=_0x2b9923[_0x3b6489(0x66a)][_0x3b6489(0x1680)]()),_0x2b9923[_0x3b6489(0x521)]=_0x4d8f32['length']?_0x39641b()[_0x3b6489(0x1f9e)](_0x4d8f32)[_0x3b6489(0x521)]+0x1:_0x7111c4,_0x7111c4=(_0x48d019[_0x3b6489(0xfd0)]?_0x39641b()[_0x3b6489(0x1f9e)](_0x48d019)[_0x3b6489(0x521)]:_0x2b9923[_0x3b6489(0x521)])+0x1,_0x18adb8=_0x39641b()[_0x3b6489(0x298a)](_0x18adb8,_0x4d8f32,[_0x2b9923],_0x48d019);}_0x1dcdab['mailAccount'][_0x3b6489(0x2598)]({'id':_0x56411e[_0x3b6489(0x131c)]['id']},_0x39641b()[_0x3b6489(0x1d33)](_0x18adb8,_0x3b6489(0x521)))['$promise']['then'](function(_0x48966c){const _0x5b1e40=_0x3b6489;_0x56411e[_0x5b1e40(0x8a1)][_0x5b1e40(0x2214)]=_0x48966c[_0x5b1e40(0x2214)];})[_0x3b6489(0x1c4)](function(_0x1bcb9a){console['error'](_0x1bcb9a);});}function _0x1097c7(_0x555f0e){_0x56411e['mailAccountApps']=_0x555f0e||{'count':0x0,'rows':[]};}function _0x3f397e(){const _0x260c4d=_0xfa604d;return _0x1dcdab['interval']['get']({'fields':_0x260c4d(0x18b2)})[_0x260c4d(0x1d77)]['then'](function(_0x259907){const _0x510ec8=_0x260c4d;_0x56411e[_0x510ec8(0xb4a)]=_0x259907;})[_0x260c4d(0x1c4)](function(_0x51e06c){const _0x47bd6b=_0x260c4d;console[_0x47bd6b(0x218e)](_0x51e06c);});}function _0x46cddf(){const _0x1064da=_0xfa604d;_0x56411e['promise']=_0x1dcdab[_0x1064da(0x131c)][_0x1064da(0x930)](_0x56411e[_0x1064da(0xae2)],_0x1097c7)[_0x1064da(0x1d77)];}function _0x3279cb(_0xf86c60){const _0x2d96ef=_0xfa604d;_0x39641b()[_0x2d96ef(0x152a)](_0x56411e[_0x2d96ef(0x8a1)][_0x2d96ef(0x2214)],{'id':_0xf86c60['id']}),_0x648789(),_0x2f85ee['success']({'title':_0x2d96ef(0x2923),'msg':_0xf86c60[_0x2d96ef(0x22e1)]?_0xf86c60[_0x2d96ef(0x22e1)]+_0x2d96ef(0x3f5):''});}function _0x2b749b(_0x295852){const _0x181f02=_0xfa604d,_0x5419ba=_0x206a9a[_0x181f02(0x1551)]()[_0x181f02(0x1386)](_0x181f02(0x2436))['htmlContent'](_0x181f02(0x204d)+_0x56411e[_0x181f02(0x9f9)][_0x181f02(0xfd0)]+_0x181f02(0x1d6c)+'\x20will\x20be\x20deleted.')['ariaLabel']('delete\x20applications')['targetEvent'](_0x295852)['ok']('OK')['cancel']('CANCEL');_0x206a9a[_0x181f02(0xe27)](_0x5419ba)[_0x181f02(0x1cb0)](function(){const _0x5aacb7=_0x181f02;_0x56411e[_0x5aacb7(0x9f9)][_0x5aacb7(0xf90)](function(_0x20395d){const _0x3e62b7=_0x5aacb7;_0x39641b()[_0x3e62b7(0x152a)](_0x56411e[_0x3e62b7(0x8a1)]['rows'],{'id':_0x20395d['id']});}),_0x56411e['selectedMailAccountApps']=[],_0x648789();});}}const _0x540fca=_0x3718a3;;_0x210f9c[_0x5537c6(0x15b6)]=['$mdDialog','$q','toasty',_0x5537c6(0x142b),_0x5537c6(0x131c),_0x5537c6(0x228e),_0x5537c6(0x217b),_0x5537c6(0x1ae),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x210f9c(_0x43d853,_0x301869,_0x63ca19,_0xf31f03,_0xd4314f,_0x214b29,_0x54e8e3,_0x392db5,_0xaf20e8,_0x2e257c){const _0x42018a=_0x5537c6,_0x2f658b=this;_0x2f658b[_0x42018a(0xe76)]=_0xaf20e8[_0x42018a(0x21e8)](),_0x2f658b['mailAccount']=_0xd4314f,_0x2f658b[_0x42018a(0x1b1a)]=_0x2e257c,_0x2f658b[_0x42018a(0x217b)]=_0x54e8e3,_0x2f658b['items']=[],_0x2f658b[_0x42018a(0x1372)]=[],_0x2f658b[_0x42018a(0x20bb)]=[],_0x2f658b[_0x42018a(0xbd5)]=[],_0x2f658b[_0x42018a(0x1456)]=[],_0x2f658b[_0x42018a(0x50c)]=![],_0x2f658b[_0x42018a(0x1a34)]=_0x2e364d,_0x2f658b[_0x42018a(0x1dd5)]=_0x1f952f,_0x2f658b[_0x42018a(0xda0)]=_0x424d81,_0x2f658b['dualMultiselectOptions']={'readOnly':!_0x2f658b['crudPermissions'][_0x42018a(0xb3d)],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x42018a(0x16b6),'line1':'fullname','line2':[_0x42018a(0x16b6),_0x42018a(0xdbd)],'line3':'','labelAll':_0x392db5['instant'](_0x42018a(0x21e7)),'labelSelected':_0x392db5['instant'](_0x42018a(0x1cd5)),'transferCallback':function(){const _0x19d927=_0x42018a,_0x3b10cf=_0x39641b()['xorBy'](_0x2f658b[_0x19d927(0x1456)],_0x2f658b[_0x19d927(0x20bb)],'id');_0x2f658b[_0x19d927(0x50c)]=_0x39641b()['isEmpty'](_0x3b10cf)?![]:!![];}};function _0x2e364d(){const _0x18e8bb=_0x42018a;return _0xaf20e8[_0x18e8bb(0x22b6)](_0x18e8bb(0x1c60))?_0x4aed0a()[_0x18e8bb(0x1c4)](function(_0x4df610){const _0x2b937f=_0x18e8bb;_0x63ca19[_0x2b937f(0x218e)]({'title':_0x4df610['status']?'API:'+_0x4df610[_0x2b937f(0x291)]+_0x2b937f(0x1657)+_0x4df610['statusText']:_0x2b937f(0x799),'msg':_0x4df610[_0x2b937f(0x291)]?JSON[_0x2b937f(0x2701)](_0x4df610['data']):_0x4df610[_0x2b937f(0x147f)]()});}):_0x4c72b5()['then'](function(_0x45d101){return _0x2f658b['section']=_0x45d101,_0x4aed0a();})['catch'](function(_0x37debf){const _0x3476e1=_0x18e8bb;_0x63ca19[_0x3476e1(0x218e)]({'title':_0x37debf[_0x3476e1(0x291)]?_0x3476e1(0xeb9)+_0x37debf[_0x3476e1(0x291)]+_0x3476e1(0x1657)+_0x37debf[_0x3476e1(0xc22)]:_0x3476e1(0x799),'msg':_0x37debf[_0x3476e1(0x291)]?JSON[_0x3476e1(0x2701)](_0x37debf['data']):_0x37debf['toString']()});});}function _0x4c72b5(){return _0x301869(function(_0x5eb8be,_0x30f907){const _0x620700=a0_0x5cbd;_0xf31f03[_0x620700(0x2199)]['get']({'userProfileId':_0x2f658b['currentUser']['userProfileId'],'name':_0x620700(0x2536)})[_0x620700(0x1d77)][_0x620700(0x1cb0)](function(_0xfc3bf1){const _0x2f62d5=_0x620700,_0x56c537=_0xfc3bf1&&_0xfc3bf1[_0x2f62d5(0x2214)]?_0xfc3bf1[_0x2f62d5(0x2214)][0x0]:null;_0x5eb8be(_0x56c537);})[_0x620700(0x1c4)](function(_0xa35203){_0x30f907(_0xa35203);});});}function _0x4aed0a(){return _0x301869(function(_0x394421,_0x2371a7){const _0x505d61=a0_0x5cbd;return _0x1b3bcf()[_0x505d61(0x1cb0)](function(_0x31b948){const _0x1ce279=_0x505d61;return _0x2f658b[_0x1ce279(0x122f)]=_0x31b948[_0x1ce279(0x2214)]?_0x31b948[_0x1ce279(0x2214)]:[],_0xaf20e8[_0x1ce279(0x22b6)]('admin')?_0x31b948:_0x2f658b[_0x1ce279(0x1f74)]?_0x2f658b[_0x1ce279(0x1f74)][_0x1ce279(0x12f4)]?_0x31b948:_0x1c02bc():null;})[_0x505d61(0x1cb0)](function(_0x58d667){const _0x4ac3b1=_0x505d61,_0x1e8ed9=_0x58d667&&_0x58d667[_0x4ac3b1(0x2214)]?_0x58d667[_0x4ac3b1(0x2214)]:[];return _0x2f658b['allowedItems']=_0x39641b()[_0x4ac3b1(0x1de2)](_0x1e8ed9,function(_0x3202e7){const _0x16d963=_0x4ac3b1;return _0x39641b()['find'](_0x2f658b['items'],{'id':_0xaf20e8[_0x16d963(0x22b6)](_0x16d963(0x1c60))||_0x2f658b['section'][_0x16d963(0x12f4)]?_0x3202e7['id']:_0x3202e7[_0x16d963(0x2982)]});}),_0x2f658b[_0x4ac3b1(0xbd5)]=angular['copy'](_0x2f658b[_0x4ac3b1(0x1372)]),_0x2f658b[_0x4ac3b1(0x122f)][_0x4ac3b1(0xf90)](function(_0x1916fa){const _0x1d0c05=_0x4ac3b1,_0x2c6349=_0x39641b()[_0x1d0c05(0x13b4)](_0x2f658b[_0x1d0c05(0x1372)],{'id':_0x1916fa['id']});_0xaf20e8['hasRole'](_0x1d0c05(0x1c60))?_0x1916fa[_0x1d0c05(0x1a4f)]=!![]:_0x1916fa[_0x1d0c05(0x1a4f)]=typeof _0x2c6349!==_0x1d0c05(0x16b5)?!![]:![];}),_0x403ee2();})[_0x505d61(0x1cb0)](function(_0x4ed41f){const _0x2ff345=_0x505d61,_0x5de7c0=_0x4ed41f&&_0x4ed41f[_0x2ff345(0x2214)]?_0x4ed41f['rows']:[];_0x2f658b['selectedItems']=_0x39641b()['map'](_0x5de7c0,function(_0x1198fb){const _0x1dc9fe=_0x2ff345,_0x51544e=_0x39641b()[_0x1dc9fe(0x13b4)](_0x2f658b[_0x1dc9fe(0x122f)],{'id':_0x1198fb['id']});return _0x51544e[_0x1dc9fe(0x1cbc)]=_0x1198fb[_0x1dc9fe(0x26bb)]?_0x1dc9fe(0x455)+_0x1198fb[_0x1dc9fe(0x26bb)]['penalty']:'',_0x51544e['internal']=typeof _0x1198fb['internal']!==_0x1dc9fe(0x16b5)?'<'+_0x1198fb['internal']+'>':'',_0x51544e;}),_0x2f658b[_0x2ff345(0x1456)]=angular[_0x2ff345(0x17fe)](_0x2f658b[_0x2ff345(0x20bb)]),_0x2f658b[_0x2ff345(0x18c0)]['selectedItems']=_0x2f658b[_0x2ff345(0x20bb)],_0x2f658b[_0x2ff345(0x18c0)][_0x2ff345(0x122f)]=_0x39641b()[_0x2ff345(0x2128)](_0x2f658b['allowedItems'],_0x2f658b[_0x2ff345(0x18c0)][_0x2ff345(0x20bb)],'id'),_0x394421();})[_0x505d61(0x1c4)](function(_0x3008e9){_0x2371a7(_0x3008e9);});});}function _0x1c02bc(){return _0x301869(function(_0x4bc99b,_0x4c7328){const _0x1b48a2=a0_0x5cbd;return _0xf31f03[_0x1b48a2(0x1198)][_0x1b48a2(0xbf7)]({'sectionId':_0x2f658b[_0x1b48a2(0x1f74)]['id'],'nolimit':!![]})['$promise'][_0x1b48a2(0x1cb0)](function(_0xe2b25){_0x4bc99b(_0xe2b25);})['catch'](function(_0x178170){_0x4c7328(_0x178170);});});}function _0x403ee2(){return _0x301869(function(_0x2c2286,_0x313f32){const _0x467b69=a0_0x5cbd;return _0xf31f03[_0x467b69(0x131c)][_0x467b69(0x2348)]({'id':_0x2f658b['mailAccount']['id'],'fields':_0x467b69(0x251e),'nolimit':!![],'role':_0x467b69(0x1eff)})[_0x467b69(0x1d77)][_0x467b69(0x1cb0)](function(_0x2eb96f){_0x2c2286(_0x2eb96f);})[_0x467b69(0x1c4)](function(_0x4e5946){_0x313f32(_0x4e5946);});});}function _0x1b3bcf(){return _0x301869(function(_0x198933,_0x337b4a){const _0x1094dd=a0_0x5cbd;return _0xf31f03['user']['get']({'fields':'id,name,internal,fullname','nolimit':!![],'role':'agent'})['$promise']['then'](function(_0x4fb427){_0x198933(_0x4fb427);})[_0x1094dd(0x1c4)](function(_0x28a027){_0x337b4a(_0x28a027);});});}function _0x18c322(_0x2eb5aa){return _0x301869(function(_0x4062e6,_0x22fd4d){const _0x5e289b=a0_0x5cbd;_0x39641b()['isEmpty'](_0x2eb5aa)?_0x4062e6():_0xf31f03[_0x5e289b(0x131c)][_0x5e289b(0x2057)]({'id':_0x2f658b[_0x5e289b(0x131c)]['id'],'ids':_0x39641b()[_0x5e289b(0x1de2)](_0x2eb5aa,'id')})['$promise']['then'](function(){_0x4062e6();})[_0x5e289b(0x1c4)](function(_0x4cf90b){_0x22fd4d(_0x4cf90b);});});}function _0x6bfd34(_0xfc3eae){return _0x301869(function(_0x2f7de8,_0x32d284){const _0x9fe615=a0_0x5cbd;_0x39641b()[_0x9fe615(0xce9)](_0xfc3eae)?_0x2f7de8():_0xf31f03[_0x9fe615(0x131c)][_0x9fe615(0x223f)]({'id':_0x2f658b[_0x9fe615(0x131c)]['id'],'ids':_0x39641b()[_0x9fe615(0x1de2)](_0xfc3eae,'id')})[_0x9fe615(0x1d77)][_0x9fe615(0x1cb0)](function(){_0x2f7de8();})[_0x9fe615(0x1c4)](function(_0x5cd820){_0x32d284(_0x5cd820);});});}function _0x1f952f(){const _0x511599=_0x42018a,_0x520682=_0x39641b()['differenceBy'](_0x2f658b[_0x511599(0x1456)],_0x2f658b['selectedItems'],'id'),_0x159b88=_0x39641b()[_0x511599(0x2128)](_0x2f658b['selectedItems'],_0x2f658b[_0x511599(0x1456)],'id');return _0x6bfd34(_0x520682)[_0x511599(0x1cb0)](function(){return _0x18c322(_0x159b88);})[_0x511599(0x1cb0)](function(){const _0x414ce8=_0x511599;_0x2f658b['pendingChanges']=![],_0x2f658b[_0x414ce8(0xbd5)]=angular[_0x414ce8(0x17fe)](_0x2f658b[_0x414ce8(0x1372)]),_0x2f658b[_0x414ce8(0x1456)]=angular[_0x414ce8(0x17fe)](_0x2f658b['selectedItems']),_0x63ca19[_0x414ce8(0x829)]({'title':'SUCCESS','msg':_0x414ce8(0x976)});})['catch'](function(_0x4f0115){const _0xbce0fc=_0x511599;_0x63ca19[_0xbce0fc(0x218e)]({'title':_0x4f0115[_0xbce0fc(0x291)]?'API:'+_0x4f0115[_0xbce0fc(0x291)]+_0xbce0fc(0x1657)+_0x4f0115['statusText']:'SYSTEM:LISTS_ASSOCIATION','msg':_0x4f0115[_0xbce0fc(0x291)]?JSON[_0xbce0fc(0x2701)](_0x4f0115[_0xbce0fc(0x25c)]):_0x4f0115[_0xbce0fc(0x147f)]()});});}function _0x424d81(){_0x43d853['hide']();}}const _0x1fbeff=_0x210f9c;;_0x536a9c[_0x5537c6(0x15b6)]=['$mdDialog','$q',_0x5537c6(0x9bf),'mailAccountApp',_0x5537c6(0x131c),'api',_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x536a9c(_0x35f3ff,_0x18a766,_0x27a1bf,_0x102d06,_0x5847a2,_0x303640,_0x4fdd5c,_0xa55a51){const _0x16265d=_0x5537c6,_0x5182f6=this;_0x5182f6[_0x16265d(0xe76)]=_0x4fdd5c[_0x16265d(0x21e8)](),_0x5182f6[_0x16265d(0x1a7c)]=[],_0x5182f6[_0x16265d(0x1386)]=_0x16265d(0x1bd7)+(_0x102d06[_0x16265d(0x299b)]||_0x102d06[_0x16265d(0x22e1)])[_0x16265d(0x2335)](),_0x5182f6[_0x16265d(0x1eff)]=angular[_0x16265d(0x17fe)](_0x102d06),_0x5182f6[_0x16265d(0x1b1a)]=_0xa55a51,_0x5182f6[_0x16265d(0xf4c)]={};if(_0x5182f6[_0x16265d(0x1eff)]['appdata'])switch(_0x5182f6[_0x16265d(0x1eff)]['appType']?_0x5182f6[_0x16265d(0x1eff)]['appType'][_0x16265d(0x1680)]():_0x5182f6[_0x16265d(0x1eff)][_0x16265d(0x22e1)]['toLowerCase']()){case _0x16265d(0x197c):break;case _0x16265d(0x711):{const _0xb1b5f8=_0x5182f6[_0x16265d(0x1eff)][_0x16265d(0x28df)]['split'](',');_0x5182f6[_0x16265d(0x1eff)][_0x16265d(0xee8)]=_0xb1b5f8[0x0],_0x5182f6[_0x16265d(0x1eff)][_0x16265d(0x12b4)]=_0xb1b5f8[0x1],_0x5182f6[_0x16265d(0x1eff)]['welcomemessage']=_0xb1b5f8[_0x16265d(0x14cb)](0x2,_0xb1b5f8[_0x16265d(0xfd0)])[_0x16265d(0x1f66)](',');}break;case'dialogflowv2':{const _0x5b10c8=_0x5182f6['agent'][_0x16265d(0x28df)]['split'](',');_0x5182f6[_0x16265d(0x1eff)][_0x16265d(0x2854)]=_0x5b10c8[0x0],_0x5182f6[_0x16265d(0x1eff)]['clientEmail']=_0x5b10c8[0x1],_0x5182f6[_0x16265d(0x1eff)][_0x16265d(0x29d6)]=_0x5b10c8[0x2],_0x5182f6[_0x16265d(0x1eff)][_0x16265d(0x12b4)]=_0x5b10c8[0x3],_0x5182f6['agent'][_0x16265d(0x173a)]=_0x5b10c8['slice'](0x4,_0x5b10c8['length'])[_0x16265d(0x1f66)](',');}break;case'amazonlex':{const _0x2a5174=_0x5182f6[_0x16265d(0x1eff)][_0x16265d(0x28df)]['split'](',');_0x5182f6['agent']['accesskeyid']=_0x2a5174[0x0],_0x5182f6['agent']['secretaccesskey']=_0x2a5174[0x1],_0x5182f6['agent'][_0x16265d(0xd50)]=_0x2a5174[0x2],_0x5182f6['agent'][_0x16265d(0x1c7f)]=_0x2a5174[0x3],_0x5182f6[_0x16265d(0x1eff)][_0x16265d(0x173a)]=_0x2a5174[_0x16265d(0x14cb)](0x4,_0x2a5174['length'])['join'](',');}break;case _0x16265d(0x1f71):{const _0x548019=_0x5182f6[_0x16265d(0x1eff)][_0x16265d(0x28df)][_0x16265d(0xbe1)](',');_0x5182f6[_0x16265d(0x1eff)][_0x16265d(0x18a5)]=isNaN(_0x548019[0x0])?_0x548019[0x0]:parseInt(_0x548019[0x0],0xa),_0x5182f6['agent'][_0x16265d(0x19d3)]=_0x548019[_0x16265d(0x14cb)](0x1,_0x548019['length'])['join'](',');}break;case _0x16265d(0x155e):_0x5182f6[_0x16265d(0x1eff)]['text']=_0x5182f6[_0x16265d(0x1eff)]['appdata'];break;case'set':_0x5182f6['agent'][_0x16265d(0x16b6)]=_0x5182f6[_0x16265d(0x1eff)][_0x16265d(0x28df)][_0x16265d(0xbe1)]('=')[0x0],_0x5182f6['agent']['value']=_0x5182f6[_0x16265d(0x1eff)][_0x16265d(0x28df)][_0x16265d(0xbe1)]('=')[0x1];break;case _0x16265d(0x4b4):_0x5182f6[_0x16265d(0x1eff)][_0x16265d(0x12a7)]=_0x5182f6[_0x16265d(0x1eff)]['appdata'];break;default:{const _0x5a389f=_0x5182f6[_0x16265d(0x1eff)]['appdata'][_0x16265d(0xbe1)](',');_0x5182f6[_0x16265d(0x1eff)][_0x16265d(0x1eff)]=_0x39641b()[_0x16265d(0xce9)](_0x5a389f[0x0])?_0x5a389f[0x0]:isNaN(_0x5a389f[0x0])?_0x5a389f[0x0]:parseInt(_0x5a389f[0x0],0xa),_0x5182f6[_0x16265d(0x1eff)][_0x16265d(0x1719)]=_0x39641b()[_0x16265d(0xce9)](_0x5a389f[0x1])?_0x5a389f[0x1]:isNaN(_0x5a389f[0x1])?_0x5a389f[0x1]:parseInt(_0x5a389f[0x1],0xa);}break;}else _0x5182f6[_0x16265d(0x1eff)][_0x16265d(0x1719)]=0x1e;_0x5182f6[_0x16265d(0x1eff)][_0x16265d(0x66a)]&&_0x5182f6[_0x16265d(0x1eff)]['type'][_0x16265d(0x1680)]()==='outbound'&&_0x5182f6[_0x16265d(0x1eff)]['appType'][_0x16265d(0x1680)]()===_0x16265d(0x20ff)&&(_0x5182f6[_0x16265d(0x1eff)]['prefix']=_0x5182f6[_0x16265d(0x1eff)][_0x16265d(0x1340)]?_0x5182f6['agent'][_0x16265d(0x1340)][_0x16265d(0xbe1)]('$')[0x0]:undefined,_0x5182f6['agent'][_0x16265d(0x1e7c)]=_0x5182f6[_0x16265d(0x1eff)][_0x16265d(0x2816)]?'CALLERID(all)='+_0x5182f6[_0x16265d(0x1eff)][_0x16265d(0x2816)]:undefined);_0x5182f6['saveMailAccountApp']=_0xe71cca,_0x5182f6[_0x16265d(0xda0)]=_0x541db2,_0x4fdd5c['hasRole'](_0x16265d(0x1c60))?_0x303640[_0x16265d(0xebe)][_0x16265d(0xbf7)]({'fields':_0x16265d(0x43c),'sort':_0x16265d(0x16b6),'nolimit':_0x16265d(0x44d),'role':'agent'})['$promise'][_0x16265d(0x1cb0)](function(_0x16422a){const _0x18b164=_0x16265d;_0x5182f6[_0x18b164(0xc12)]=_0x16422a[_0x18b164(0x2214)]||[];})[_0x16265d(0x1c4)](function(_0x25a077){const _0x369d68=_0x16265d;_0x27a1bf[_0x369d68(0x218e)]({'title':_0x25a077[_0x369d68(0x291)]?_0x369d68(0xeb9)+_0x25a077[_0x369d68(0x291)]+_0x369d68(0x1657)+_0x25a077[_0x369d68(0xc22)]:_0x369d68(0x799),'msg':_0x25a077[_0x369d68(0x25c)]?JSON[_0x369d68(0x2701)](_0x25a077[_0x369d68(0x25c)]):_0x25a077[_0x369d68(0x147f)]()});}):_0x303640[_0x16265d(0xebe)]['get']({'fields':_0x16265d(0x43c),'sort':_0x16265d(0x16b6),'nolimit':_0x16265d(0x44d),'role':_0x16265d(0x1eff)})[_0x16265d(0x1d77)][_0x16265d(0x1cb0)](function(_0xe78695){const _0x1964c5=_0x16265d;_0x5182f6['agents']=_0xe78695[_0x1964c5(0x2214)]||[];})['then'](function(){const _0x576dad=_0x16265d;return _0x303640[_0x576dad(0x2199)][_0x576dad(0xbf7)]({'userProfileId':_0x5182f6[_0x576dad(0xe76)][_0x576dad(0x13c1)],'sectionId':0xca})[_0x576dad(0x1d77)];})[_0x16265d(0x1cb0)](function(_0x812d6b){const _0x481f98=_0x16265d,_0x354d34=_0x812d6b&&_0x812d6b[_0x481f98(0x2214)]?_0x812d6b[_0x481f98(0x2214)][0x0]:null;if(!_0x354d34){const _0x1c3120=[];let _0xcc59fe=null;_0x5182f6[_0x481f98(0x1eff)]&&(_0xcc59fe=_0x39641b()['find'](_0x5182f6['agents'],{'name':_0x5182f6['agent']['agent']}));for(let _0x2af5d4=0x0;_0x2af5d4<_0x5182f6[_0x481f98(0xc12)]['length'];_0x2af5d4++){_0xcc59fe&&_0x5182f6['agents'][_0x2af5d4]['id']===_0xcc59fe['id']&&(_0x5182f6[_0x481f98(0xc12)][_0x2af5d4][_0x481f98(0x15da)]=![],_0x1c3120[_0x481f98(0x2785)](_0x5182f6['agents'][_0x2af5d4]));}_0x5182f6['agents']=_0x1c3120;}else{if(!_0x354d34['autoAssociation'])return _0x303640[_0x481f98(0x1198)]['get']({'sectionId':_0x354d34['id']})[_0x481f98(0x1d77)][_0x481f98(0x1cb0)](function(_0x33db69){const _0x542636=_0x481f98,_0x134e6a=_0x39641b()[_0x542636(0x1de2)](_0x33db69[_0x542636(0x2214)],function(_0x5a453d){const _0x376a57=_0x542636;return _0x39641b()[_0x376a57(0x13b4)](_0x5182f6[_0x376a57(0xc12)],{'id':_0x5a453d[_0x376a57(0x2982)]});});let _0x27f895=null;_0x5182f6[_0x542636(0x1eff)]&&(_0x27f895=_0x39641b()['find'](_0x5182f6[_0x542636(0xc12)],{'name':_0x5182f6[_0x542636(0x1eff)][_0x542636(0x1eff)]}));if(_0x27f895&&!_0x39641b()[_0x542636(0x727)](_0x134e6a,['id',_0x27f895['id']])){const _0x1410b2=_0x39641b()[_0x542636(0x13b4)](_0x5182f6['agents'],{'id':_0x27f895['id']});_0x1410b2[_0x542636(0x15da)]=![],_0x134e6a['push'](_0x1410b2);}_0x5182f6[_0x542636(0xc12)]=_0x134e6a;});}})[_0x16265d(0x1c4)](function(_0x42c108){const _0x1b106e=_0x16265d;_0x27a1bf[_0x1b106e(0x218e)]({'title':_0x42c108[_0x1b106e(0x291)]?'API:'+_0x42c108[_0x1b106e(0x291)]+_0x1b106e(0x1657)+_0x42c108[_0x1b106e(0xc22)]:_0x1b106e(0x2159),'msg':_0x42c108['data']?JSON['stringify'](_0x42c108[_0x1b106e(0x25c)]):_0x42c108[_0x1b106e(0x147f)]()});});function _0xe71cca(){const _0x4b3bb0=_0x16265d;_0x5182f6[_0x4b3bb0(0x1a7c)]=[];const _0xf9a59d=[];_0x5182f6[_0x4b3bb0(0x1eff)][_0x4b3bb0(0x66a)]&&_0x5182f6[_0x4b3bb0(0x1eff)]['type']['toLowerCase']()===_0x4b3bb0(0x895)&&_0x5182f6[_0x4b3bb0(0x1eff)][_0x4b3bb0(0x299b)]===_0x4b3bb0(0x25f4)&&(_0x5182f6['agent'][_0x4b3bb0(0x1340)]=_0x5847a2[_0x4b3bb0(0x325)]?(_0x5182f6[_0x4b3bb0(0x1eff)][_0x4b3bb0(0x586)]||'')+_0x4b3bb0(0x19e4)+_0x5847a2[_0x4b3bb0(0x325)]+'}':(_0x5182f6[_0x4b3bb0(0x1eff)][_0x4b3bb0(0x586)]||'')+_0x4b3bb0(0xcdd),_0x5847a2[_0x4b3bb0(0xf8d)]!==_0x4b3bb0(0x13b1)?_0x5182f6['agent'][_0x4b3bb0(0x2224)][_0x4b3bb0(0xd8a)](_0x4b3bb0(0x106a))<0x0&&(_0x5182f6[_0x4b3bb0(0x1eff)][_0x4b3bb0(0x2224)]+=_0x4b3bb0(0x106a)):_0x5182f6[_0x4b3bb0(0x1eff)]['options']=_0x5182f6['agent'][_0x4b3bb0(0x2224)]['replace'](_0x4b3bb0(0x106a),''));const _0x315544=_0x39641b()[_0x4b3bb0(0x13b4)](_0x5182f6[_0x4b3bb0(0xc12)],{'name':_0x5182f6[_0x4b3bb0(0x1eff)]['agent']});_0x315544&&(_0x5182f6[_0x4b3bb0(0x1eff)][_0x4b3bb0(0x21ab)]=_0x315544['id']);if(_0x5182f6[_0x4b3bb0(0x1eff)][_0x4b3bb0(0x299b)]&&_0x5182f6[_0x4b3bb0(0x1eff)]['appType']===_0x4b3bb0(0x197c)){}else switch((_0x5182f6[_0x4b3bb0(0x1eff)][_0x4b3bb0(0x22e1)]||_0x5182f6[_0x4b3bb0(0x1eff)]['appType'])[_0x4b3bb0(0x1680)]()){case _0x4b3bb0(0x19d1):_0x5182f6[_0x4b3bb0(0x1eff)][_0x4b3bb0(0x28df)]=_0x5182f6['agent'][_0x4b3bb0(0x16b6)]+'='+_0x5182f6[_0x4b3bb0(0x1eff)]['value'];break;case'custom':break;default:_0xf9a59d[0x0]=_0x5182f6[_0x4b3bb0(0x1eff)][_0x4b3bb0(0x1eff)],_0xf9a59d[0x1]=_0x5182f6['agent'][_0x4b3bb0(0x1719)],_0x5182f6[_0x4b3bb0(0x1eff)]['appdata']=_0xf9a59d['join'](',');}_0x541db2(_0x5182f6[_0x4b3bb0(0x1eff)]);}function _0x541db2(_0x3ec43b){const _0x1a3930=_0x16265d;_0x35f3ff[_0x1a3930(0x1426)](_0x3ec43b);}}const _0x43df1e=_0x536a9c;;_0x4fb2c8[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),'mailAccountApp',_0x5537c6(0x131c),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x4fb2c8(_0x3b76d8,_0x5dacc2,_0x5ef31a,_0xef4c49,_0x82c510,_0x4363da,_0x548ccb,_0xb48c6b){const _0x23853a=_0x5537c6,_0x555e89=this;_0x555e89[_0x23853a(0xe76)]=_0x548ccb[_0x23853a(0x21e8)](),_0x555e89[_0x23853a(0x1a7c)]=[],_0x555e89[_0x23853a(0x1386)]='MAIL.EDIT_'+(_0xef4c49[_0x23853a(0x299b)]||_0xef4c49[_0x23853a(0x22e1)])[_0x23853a(0x2335)](),_0x555e89['autoreply']=angular[_0x23853a(0x17fe)](_0xef4c49),_0x555e89[_0x23853a(0x1b1a)]=_0xb48c6b,_0x555e89[_0x23853a(0xf4c)]={};if(_0x555e89[_0x23853a(0x1f71)][_0x23853a(0x28df)])switch(_0x555e89['autoreply'][_0x23853a(0x299b)]?_0x555e89['autoreply']['appType'][_0x23853a(0x1680)]():_0x555e89[_0x23853a(0x1f71)][_0x23853a(0x22e1)]['toLowerCase']()){case'custom':break;case _0x23853a(0x711):{const _0x3ff669=_0x555e89[_0x23853a(0x1f71)]['appdata'][_0x23853a(0xbe1)](',');_0x555e89['autoreply'][_0x23853a(0xee8)]=_0x3ff669[0x0],_0x555e89['autoreply'][_0x23853a(0x12b4)]=_0x3ff669[0x1],_0x555e89['autoreply'][_0x23853a(0x173a)]=_0x3ff669['slice'](0x2,_0x3ff669[_0x23853a(0xfd0)])[_0x23853a(0x1f66)](',');}break;case _0x23853a(0xece):{const _0x17f7dc=_0x555e89[_0x23853a(0x1f71)]['appdata']['split'](',');_0x555e89[_0x23853a(0x1f71)][_0x23853a(0x2854)]=_0x17f7dc[0x0],_0x555e89[_0x23853a(0x1f71)]['clientEmail']=_0x17f7dc[0x1],_0x555e89['autoreply'][_0x23853a(0x29d6)]=_0x17f7dc[0x2],_0x555e89[_0x23853a(0x1f71)][_0x23853a(0x12b4)]=_0x17f7dc[0x3],_0x555e89[_0x23853a(0x1f71)][_0x23853a(0x173a)]=_0x17f7dc['slice'](0x4,_0x17f7dc['length'])[_0x23853a(0x1f66)](',');}break;case _0x23853a(0x123a):{const _0x14fe4f=_0x555e89[_0x23853a(0x1f71)][_0x23853a(0x28df)][_0x23853a(0xbe1)](',');_0x555e89['autoreply'][_0x23853a(0x413)]=_0x14fe4f[0x0],_0x555e89[_0x23853a(0x1f71)][_0x23853a(0x21d9)]=_0x14fe4f[0x1],_0x555e89[_0x23853a(0x1f71)][_0x23853a(0xd50)]=_0x14fe4f[0x2],_0x555e89['autoreply'][_0x23853a(0x1c7f)]=_0x14fe4f[0x3],_0x555e89['autoreply'][_0x23853a(0x173a)]=_0x14fe4f[_0x23853a(0x14cb)](0x4,_0x14fe4f['length'])[_0x23853a(0x1f66)](',');}break;case _0x23853a(0x1f71):{const _0x1e1422=_0x555e89['autoreply']['appdata'][_0x23853a(0xbe1)](',');_0x555e89[_0x23853a(0x1f71)][_0x23853a(0x18a5)]=isNaN(_0x1e1422[0x0])?_0x1e1422[0x0]:parseInt(_0x1e1422[0x0],0xa),_0x555e89[_0x23853a(0x1f71)][_0x23853a(0x19d3)]=_0x1e1422['slice'](0x1,_0x1e1422['length'])['join'](',');}break;case _0x23853a(0x155e):_0x555e89[_0x23853a(0x1f71)][_0x23853a(0x19d3)]=_0x555e89[_0x23853a(0x1f71)][_0x23853a(0x28df)];break;case _0x23853a(0x19d1):_0x555e89['autoreply'][_0x23853a(0x16b6)]=_0x555e89['autoreply']['appdata']['split']('=')[0x0],_0x555e89[_0x23853a(0x1f71)]['value']=_0x555e89[_0x23853a(0x1f71)][_0x23853a(0x28df)][_0x23853a(0xbe1)]('=')[0x1];break;case _0x23853a(0x4b4):_0x555e89['autoreply']['project']=_0x555e89[_0x23853a(0x1f71)][_0x23853a(0x28df)];break;default:{const _0x46a34f=_0x555e89[_0x23853a(0x1f71)][_0x23853a(0x28df)][_0x23853a(0xbe1)](',');_0x555e89[_0x23853a(0x1f71)][_0x23853a(0x18a5)]=_0x39641b()[_0x23853a(0xce9)](_0x46a34f[0x0])?_0x46a34f[0x0]:isNaN(_0x46a34f[0x0])?_0x46a34f[0x0]:parseInt(_0x46a34f[0x0],0xa),_0x555e89[_0x23853a(0x1f71)][_0x23853a(0x19d3)]=_0x39641b()[_0x23853a(0xce9)](_0x46a34f[0x1])?_0x46a34f[0x1]:isNaN(_0x46a34f[0x1])?_0x46a34f[0x1]:parseInt(_0x46a34f[0x1],0xa);}break;}else _0x555e89[_0x23853a(0x1f71)]['times']=0x1;_0x555e89[_0x23853a(0x1f71)][_0x23853a(0x66a)]&&_0x555e89[_0x23853a(0x1f71)][_0x23853a(0x66a)]['toLowerCase']()===_0x23853a(0x895)&&_0x555e89[_0x23853a(0x1f71)][_0x23853a(0x299b)][_0x23853a(0x1680)]()===_0x23853a(0x20ff)&&(_0x555e89['autoreply'][_0x23853a(0x586)]=_0x555e89['autoreply'][_0x23853a(0x1340)]?_0x555e89[_0x23853a(0x1f71)]['phone'][_0x23853a(0xbe1)]('$')[0x0]:undefined,_0x555e89[_0x23853a(0x1f71)][_0x23853a(0x1e7c)]=_0x555e89[_0x23853a(0x1f71)][_0x23853a(0x2816)]?_0x23853a(0x25f9)+_0x555e89['autoreply'][_0x23853a(0x2816)]:undefined);_0x555e89['saveMailAccountApp']=_0x555bc4,_0x555e89['closeDialog']=_0x1063ac;function _0x555bc4(){const _0x59eb8c=_0x23853a;_0x555e89[_0x59eb8c(0x1a7c)]=[];const _0x261621=[];_0x555e89[_0x59eb8c(0x1f71)][_0x59eb8c(0x66a)]&&_0x555e89[_0x59eb8c(0x1f71)][_0x59eb8c(0x66a)]['toLowerCase']()===_0x59eb8c(0x895)&&_0x555e89[_0x59eb8c(0x1f71)][_0x59eb8c(0x299b)]===_0x59eb8c(0x25f4)&&(_0x555e89[_0x59eb8c(0x1f71)][_0x59eb8c(0x1340)]=_0x82c510[_0x59eb8c(0x325)]?(_0x555e89[_0x59eb8c(0x1f71)]['prefix']||'')+'${EXTEN:'+_0x82c510[_0x59eb8c(0x325)]+'}':(_0x555e89[_0x59eb8c(0x1f71)][_0x59eb8c(0x586)]||'')+_0x59eb8c(0xcdd),_0x82c510[_0x59eb8c(0xf8d)]!==_0x59eb8c(0x13b1)?_0x555e89[_0x59eb8c(0x1f71)][_0x59eb8c(0x2224)][_0x59eb8c(0xd8a)](_0x59eb8c(0x106a))<0x0&&(_0x555e89[_0x59eb8c(0x1f71)][_0x59eb8c(0x2224)]+=_0x59eb8c(0x106a)):_0x555e89['autoreply']['options']=_0x555e89[_0x59eb8c(0x1f71)][_0x59eb8c(0x2224)][_0x59eb8c(0x288f)](_0x59eb8c(0x106a),''));if(_0x555e89[_0x59eb8c(0x1f71)][_0x59eb8c(0x299b)]&&_0x555e89[_0x59eb8c(0x1f71)][_0x59eb8c(0x299b)]===_0x59eb8c(0x197c)){}else switch((_0x555e89[_0x59eb8c(0x1f71)]['app']||_0x555e89[_0x59eb8c(0x1f71)][_0x59eb8c(0x299b)])[_0x59eb8c(0x1680)]()){case _0x59eb8c(0x19d1):_0x555e89['autoreply'][_0x59eb8c(0x28df)]=_0x555e89['autoreply']['name']+'='+_0x555e89[_0x59eb8c(0x1f71)][_0x59eb8c(0x327)];break;case _0x59eb8c(0x197c):break;default:_0x261621[0x0]=_0x555e89['autoreply'][_0x59eb8c(0x18a5)],_0x261621[0x1]=_0x555e89[_0x59eb8c(0x1f71)][_0x59eb8c(0x19d3)],_0x555e89[_0x59eb8c(0x1f71)]['appdata']=_0x261621[_0x59eb8c(0x1f66)](',');}_0x1063ac(_0x555e89[_0x59eb8c(0x1f71)]);}function _0x1063ac(_0x55ec43){_0x3b76d8['hide'](_0x55ec43);}}const _0x4c42df=_0x4fb2c8;;_0x183d05[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q','toasty',_0x5537c6(0x3d8),_0x5537c6(0x131c),'api',_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x183d05(_0x4e7e20,_0x1f81bd,_0x579619,_0x7abfb6,_0x4f5282,_0x143292,_0x268ad7,_0x445403){const _0x1e1af4=_0x5537c6,_0x2711a2=this;_0x2711a2[_0x1e1af4(0xe76)]=_0x268ad7[_0x1e1af4(0x21e8)](),_0x2711a2[_0x1e1af4(0x1a7c)]=[],_0x2711a2[_0x1e1af4(0x1386)]=_0x1e1af4(0x1bd7)+(_0x7abfb6[_0x1e1af4(0x299b)]||_0x7abfb6[_0x1e1af4(0x22e1)])['toUpperCase'](),_0x2711a2[_0x1e1af4(0xf3b)]=angular['copy'](_0x7abfb6),_0x2711a2[_0x1e1af4(0x1b1a)]=_0x445403,_0x2711a2[_0x1e1af4(0xf4c)]={};if(_0x2711a2[_0x1e1af4(0xf3b)][_0x1e1af4(0x28df)])switch(_0x2711a2[_0x1e1af4(0xf3b)][_0x1e1af4(0x299b)]?_0x2711a2[_0x1e1af4(0xf3b)][_0x1e1af4(0x299b)][_0x1e1af4(0x1680)]():_0x2711a2[_0x1e1af4(0xf3b)][_0x1e1af4(0x22e1)][_0x1e1af4(0x1680)]()){case _0x1e1af4(0x197c):break;case _0x1e1af4(0x711):{const _0xc4ce09=_0x2711a2[_0x1e1af4(0xf3b)][_0x1e1af4(0x28df)]['split'](',');_0x2711a2['close'][_0x1e1af4(0xee8)]=_0xc4ce09[0x0],_0x2711a2[_0x1e1af4(0xf3b)][_0x1e1af4(0x12b4)]=_0xc4ce09[0x1],_0x2711a2[_0x1e1af4(0xf3b)][_0x1e1af4(0x173a)]=_0xc4ce09['slice'](0x2,_0xc4ce09[_0x1e1af4(0xfd0)])[_0x1e1af4(0x1f66)](',');}break;case _0x1e1af4(0xece):{const _0x2f03dc=_0x2711a2[_0x1e1af4(0xf3b)][_0x1e1af4(0x28df)][_0x1e1af4(0xbe1)](',');_0x2711a2[_0x1e1af4(0xf3b)][_0x1e1af4(0x2854)]=_0x2f03dc[0x0],_0x2711a2[_0x1e1af4(0xf3b)][_0x1e1af4(0x12bf)]=_0x2f03dc[0x1],_0x2711a2['close']['privateKey']=_0x2f03dc[0x2],_0x2711a2['close'][_0x1e1af4(0x12b4)]=_0x2f03dc[0x3],_0x2711a2[_0x1e1af4(0xf3b)][_0x1e1af4(0x173a)]=_0x2f03dc[_0x1e1af4(0x14cb)](0x4,_0x2f03dc[_0x1e1af4(0xfd0)])[_0x1e1af4(0x1f66)](',');}break;case'amazonlex':{const _0x1006ad=_0x2711a2['close'][_0x1e1af4(0x28df)][_0x1e1af4(0xbe1)](',');_0x2711a2[_0x1e1af4(0xf3b)][_0x1e1af4(0x413)]=_0x1006ad[0x0],_0x2711a2[_0x1e1af4(0xf3b)]['secretaccesskey']=_0x1006ad[0x1],_0x2711a2[_0x1e1af4(0xf3b)]['lexregion']=_0x1006ad[0x2],_0x2711a2[_0x1e1af4(0xf3b)][_0x1e1af4(0x1c7f)]=_0x1006ad[0x3],_0x2711a2[_0x1e1af4(0xf3b)][_0x1e1af4(0x173a)]=_0x1006ad[_0x1e1af4(0x14cb)](0x4,_0x1006ad['length'])['join'](',');}break;case'autoreply':{const _0x17c210=_0x2711a2[_0x1e1af4(0xf3b)][_0x1e1af4(0x28df)]['split'](',');_0x2711a2[_0x1e1af4(0xf3b)][_0x1e1af4(0x18a5)]=isNaN(_0x17c210[0x0])?_0x17c210[0x0]:parseInt(_0x17c210[0x0],0xa),_0x2711a2['close'][_0x1e1af4(0x19d3)]=_0x17c210[_0x1e1af4(0x14cb)](0x1,_0x17c210[_0x1e1af4(0xfd0)])['join'](',');}break;case _0x1e1af4(0x155e):_0x2711a2[_0x1e1af4(0xf3b)]['text']=_0x2711a2['close']['appdata'];break;case _0x1e1af4(0x19d1):_0x2711a2['close'][_0x1e1af4(0x16b6)]=_0x2711a2['close']['appdata'][_0x1e1af4(0xbe1)]('=')[0x0],_0x2711a2[_0x1e1af4(0xf3b)][_0x1e1af4(0x327)]=_0x2711a2[_0x1e1af4(0xf3b)][_0x1e1af4(0x28df)][_0x1e1af4(0xbe1)]('=')[0x1];break;case'agi':_0x2711a2[_0x1e1af4(0xf3b)][_0x1e1af4(0x12a7)]=_0x2711a2[_0x1e1af4(0xf3b)][_0x1e1af4(0x28df)];break;default:{const _0x3735cf=_0x2711a2[_0x1e1af4(0xf3b)][_0x1e1af4(0x28df)][_0x1e1af4(0xbe1)](',');_0x2711a2[_0x1e1af4(0xf3b)]['disposition']=_0x39641b()[_0x1e1af4(0xce9)](_0x3735cf[0x0])?_0x3735cf[0x0]:isNaN(_0x3735cf[0x0])?_0x3735cf[0x0]:parseInt(_0x3735cf[0x0],0xa);}break;}else{}_0x2711a2[_0x1e1af4(0xf3b)]['type']&&_0x2711a2[_0x1e1af4(0xf3b)][_0x1e1af4(0x66a)][_0x1e1af4(0x1680)]()===_0x1e1af4(0x895)&&_0x2711a2['close'][_0x1e1af4(0x299b)][_0x1e1af4(0x1680)]()==='outbounddial'&&(_0x2711a2['close'][_0x1e1af4(0x586)]=_0x2711a2[_0x1e1af4(0xf3b)]['phone']?_0x2711a2['close']['phone'][_0x1e1af4(0xbe1)]('$')[0x0]:undefined,_0x2711a2['close'][_0x1e1af4(0x1e7c)]=_0x2711a2[_0x1e1af4(0xf3b)][_0x1e1af4(0x2816)]?_0x1e1af4(0x25f9)+_0x2711a2[_0x1e1af4(0xf3b)][_0x1e1af4(0x2816)]:undefined);_0x2711a2['saveMailAccountApp']=_0x32aaf8,_0x2711a2[_0x1e1af4(0xda0)]=_0xf2ebb;function _0x32aaf8(){const _0x3a893a=_0x1e1af4;_0x2711a2['errors']=[];const _0x2784c8=[];_0x2711a2['close'][_0x3a893a(0x66a)]&&_0x2711a2['close'][_0x3a893a(0x66a)]['toLowerCase']()===_0x3a893a(0x895)&&_0x2711a2[_0x3a893a(0xf3b)][_0x3a893a(0x299b)]==='outboundDial'&&(_0x2711a2[_0x3a893a(0xf3b)][_0x3a893a(0x1340)]=_0x4f5282[_0x3a893a(0x325)]?(_0x2711a2[_0x3a893a(0xf3b)]['prefix']||'')+_0x3a893a(0x19e4)+_0x4f5282[_0x3a893a(0x325)]+'}':(_0x2711a2[_0x3a893a(0xf3b)]['prefix']||'')+'${EXTEN}',_0x4f5282[_0x3a893a(0xf8d)]!=='none'?_0x2711a2[_0x3a893a(0xf3b)][_0x3a893a(0x2224)][_0x3a893a(0xd8a)](_0x3a893a(0x106a))<0x0&&(_0x2711a2['close'][_0x3a893a(0x2224)]+='U(xcally-mixmonitor-context)'):_0x2711a2['close']['options']=_0x2711a2[_0x3a893a(0xf3b)][_0x3a893a(0x2224)]['replace'](_0x3a893a(0x106a),''));if(_0x2711a2['close'][_0x3a893a(0x299b)]&&_0x2711a2[_0x3a893a(0xf3b)]['appType']===_0x3a893a(0x197c)){}else switch((_0x2711a2[_0x3a893a(0xf3b)][_0x3a893a(0x22e1)]||_0x2711a2[_0x3a893a(0xf3b)][_0x3a893a(0x299b)])[_0x3a893a(0x1680)]()){case _0x3a893a(0x19d1):_0x2711a2[_0x3a893a(0xf3b)][_0x3a893a(0x28df)]=_0x2711a2[_0x3a893a(0xf3b)][_0x3a893a(0x16b6)]+'='+_0x2711a2[_0x3a893a(0xf3b)][_0x3a893a(0x327)];break;case _0x3a893a(0x197c):break;default:_0x2784c8[0x0]=_0x2711a2['close'][_0x3a893a(0x1746)],_0x2711a2['close'][_0x3a893a(0x28df)]=_0x2784c8[_0x3a893a(0x1f66)](',');}_0xf2ebb(_0x2711a2[_0x3a893a(0xf3b)]);}function _0xf2ebb(_0x4b8867){const _0x3131fb=_0x1e1af4;_0x4e7e20[_0x3131fb(0x1426)](_0x4b8867);}}const _0x303a4d=_0x183d05;;_0x454beb['$inject']=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),'mailAccountApp',_0x5537c6(0x131c),'api',_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x454beb(_0x2dd193,_0x535b2c,_0x553b0d,_0x161443,_0x2ec5ee,_0x3f42d5,_0x5d1133,_0x35f6b6){const _0x415378=_0x5537c6,_0x289371=this;_0x289371[_0x415378(0xe76)]=_0x5d1133[_0x415378(0x21e8)](),_0x289371[_0x415378(0x1a7c)]=[],_0x289371[_0x415378(0x1386)]=_0x415378(0x1bd7)+(_0x161443[_0x415378(0x299b)]||_0x161443[_0x415378(0x22e1)])['toUpperCase'](),_0x289371[_0x415378(0x13a0)]=angular['copy'](_0x161443),_0x289371[_0x415378(0x1b1a)]=_0x35f6b6,_0x289371['hasModulePermissions']={};if(_0x289371[_0x415378(0x13a0)][_0x415378(0x28df)])switch(_0x289371['gotoif']['appType']?_0x289371['gotoif']['appType'][_0x415378(0x1680)]():_0x289371['gotoif']['app'][_0x415378(0x1680)]()){case _0x415378(0x197c):break;case _0x415378(0x711):{const _0x6a2871=_0x289371['gotoif'][_0x415378(0x28df)][_0x415378(0xbe1)](',');_0x289371[_0x415378(0x13a0)][_0x415378(0xee8)]=_0x6a2871[0x0],_0x289371[_0x415378(0x13a0)]['language']=_0x6a2871[0x1],_0x289371['gotoif'][_0x415378(0x173a)]=_0x6a2871[_0x415378(0x14cb)](0x2,_0x6a2871[_0x415378(0xfd0)])[_0x415378(0x1f66)](',');}break;case _0x415378(0xece):{const _0x27cfbb=_0x289371['gotoif'][_0x415378(0x28df)][_0x415378(0xbe1)](',');_0x289371[_0x415378(0x13a0)][_0x415378(0x2854)]=_0x27cfbb[0x0],_0x289371['gotoif'][_0x415378(0x12bf)]=_0x27cfbb[0x1],_0x289371[_0x415378(0x13a0)][_0x415378(0x29d6)]=_0x27cfbb[0x2],_0x289371[_0x415378(0x13a0)][_0x415378(0x12b4)]=_0x27cfbb[0x3],_0x289371['gotoif']['welcomemessage']=_0x27cfbb['slice'](0x4,_0x27cfbb[_0x415378(0xfd0)])[_0x415378(0x1f66)](',');}break;case _0x415378(0x123a):{const _0x307c18=_0x289371[_0x415378(0x13a0)][_0x415378(0x28df)][_0x415378(0xbe1)](',');_0x289371[_0x415378(0x13a0)]['accesskeyid']=_0x307c18[0x0],_0x289371[_0x415378(0x13a0)][_0x415378(0x21d9)]=_0x307c18[0x1],_0x289371[_0x415378(0x13a0)]['lexregion']=_0x307c18[0x2],_0x289371[_0x415378(0x13a0)][_0x415378(0x1c7f)]=_0x307c18[0x3],_0x289371[_0x415378(0x13a0)][_0x415378(0x173a)]=_0x307c18[_0x415378(0x14cb)](0x4,_0x307c18['length'])[_0x415378(0x1f66)](',');}break;case _0x415378(0x1f71):{const _0x224837=_0x289371['gotoif'][_0x415378(0x28df)][_0x415378(0xbe1)](',');_0x289371[_0x415378(0x13a0)][_0x415378(0x18a5)]=isNaN(_0x224837[0x0])?_0x224837[0x0]:parseInt(_0x224837[0x0],0xa),_0x289371[_0x415378(0x13a0)][_0x415378(0x19d3)]=_0x224837[_0x415378(0x14cb)](0x1,_0x224837['length'])[_0x415378(0x1f66)](',');}break;case'message':_0x289371[_0x415378(0x13a0)][_0x415378(0x19d3)]=_0x289371['gotoif']['appdata'];break;case _0x415378(0x19d1):_0x289371[_0x415378(0x13a0)][_0x415378(0x16b6)]=_0x289371[_0x415378(0x13a0)][_0x415378(0x28df)][_0x415378(0xbe1)]('=')[0x0],_0x289371[_0x415378(0x13a0)][_0x415378(0x327)]=_0x289371[_0x415378(0x13a0)][_0x415378(0x28df)][_0x415378(0xbe1)]('=')[0x1];break;case _0x415378(0x4b4):_0x289371[_0x415378(0x13a0)][_0x415378(0x12a7)]=_0x289371['gotoif'][_0x415378(0x28df)];break;default:{const _0x182796=_0x289371['gotoif'][_0x415378(0x28df)]['split'](',');_0x289371[_0x415378(0x13a0)]['condition']=_0x39641b()[_0x415378(0xce9)](_0x182796[0x0])?_0x182796[0x0]:isNaN(_0x182796[0x0])?_0x182796[0x0]:parseInt(_0x182796[0x0],0xa),_0x289371[_0x415378(0x13a0)][_0x415378(0xb24)]=_0x39641b()[_0x415378(0xce9)](_0x182796[0x1])?_0x182796[0x1]:isNaN(_0x182796[0x1])?_0x182796[0x1]:parseInt(_0x182796[0x1],0xa),_0x289371['gotoif'][_0x415378(0x17d)]=_0x39641b()[_0x415378(0xce9)](_0x182796[0x2])?_0x182796[0x2]:isNaN(_0x182796[0x2])?_0x182796[0x2]:parseInt(_0x182796[0x2],0xa);}break;}else{}_0x289371[_0x415378(0x13a0)][_0x415378(0x66a)]&&_0x289371[_0x415378(0x13a0)][_0x415378(0x66a)][_0x415378(0x1680)]()===_0x415378(0x895)&&_0x289371['gotoif']['appType'][_0x415378(0x1680)]()===_0x415378(0x20ff)&&(_0x289371['gotoif'][_0x415378(0x586)]=_0x289371['gotoif'][_0x415378(0x1340)]?_0x289371['gotoif'][_0x415378(0x1340)][_0x415378(0xbe1)]('$')[0x0]:undefined,_0x289371['gotoif'][_0x415378(0x1e7c)]=_0x289371['gotoif'][_0x415378(0x2816)]?'CALLERID(all)='+_0x289371[_0x415378(0x13a0)][_0x415378(0x2816)]:undefined);_0x289371[_0x415378(0x110f)]=_0x2d9972,_0x289371[_0x415378(0xda0)]=_0x122554;function _0x2d9972(){const _0x3b78bb=_0x415378;_0x289371[_0x3b78bb(0x1a7c)]=[];const _0x7ae605=[];_0x289371['gotoif'][_0x3b78bb(0x66a)]&&_0x289371[_0x3b78bb(0x13a0)][_0x3b78bb(0x66a)][_0x3b78bb(0x1680)]()==='outbound'&&_0x289371[_0x3b78bb(0x13a0)][_0x3b78bb(0x299b)]===_0x3b78bb(0x25f4)&&(_0x289371[_0x3b78bb(0x13a0)][_0x3b78bb(0x1340)]=_0x2ec5ee[_0x3b78bb(0x325)]?(_0x289371[_0x3b78bb(0x13a0)]['prefix']||'')+_0x3b78bb(0x19e4)+_0x2ec5ee[_0x3b78bb(0x325)]+'}':(_0x289371['gotoif'][_0x3b78bb(0x586)]||'')+'${EXTEN}',_0x2ec5ee[_0x3b78bb(0xf8d)]!==_0x3b78bb(0x13b1)?_0x289371[_0x3b78bb(0x13a0)][_0x3b78bb(0x2224)][_0x3b78bb(0xd8a)](_0x3b78bb(0x106a))<0x0&&(_0x289371[_0x3b78bb(0x13a0)][_0x3b78bb(0x2224)]+=_0x3b78bb(0x106a)):_0x289371[_0x3b78bb(0x13a0)][_0x3b78bb(0x2224)]=_0x289371[_0x3b78bb(0x13a0)][_0x3b78bb(0x2224)][_0x3b78bb(0x288f)](_0x3b78bb(0x106a),''));if(_0x289371[_0x3b78bb(0x13a0)][_0x3b78bb(0x299b)]&&_0x289371[_0x3b78bb(0x13a0)][_0x3b78bb(0x299b)]==='custom'){}else switch((_0x289371[_0x3b78bb(0x13a0)]['app']||_0x289371[_0x3b78bb(0x13a0)][_0x3b78bb(0x299b)])[_0x3b78bb(0x1680)]()){case'set':_0x289371['gotoif'][_0x3b78bb(0x28df)]=_0x289371[_0x3b78bb(0x13a0)][_0x3b78bb(0x16b6)]+'='+_0x289371['gotoif'][_0x3b78bb(0x327)];break;case _0x3b78bb(0x197c):break;default:_0x7ae605[0x0]=_0x289371[_0x3b78bb(0x13a0)][_0x3b78bb(0x166c)],_0x7ae605[0x1]=_0x289371[_0x3b78bb(0x13a0)][_0x3b78bb(0xb24)],_0x7ae605[0x2]=_0x289371['gotoif'][_0x3b78bb(0x17d)],_0x289371[_0x3b78bb(0x13a0)][_0x3b78bb(0x28df)]=_0x7ae605[_0x3b78bb(0x1f66)](',');}_0x122554(_0x289371[_0x3b78bb(0x13a0)]);}function _0x122554(_0xd20771){const _0x3f3821=_0x415378;_0x2dd193[_0x3f3821(0x1426)](_0xd20771);}}const _0x1a8132=_0x454beb;;_0x2f8b68[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),'mailAccountApp',_0x5537c6(0x131c),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x2f8b68(_0x8bb94a,_0x2e4009,_0x302a02,_0x2e0055,_0x2616b7,_0xd23e9c,_0x37e1de,_0x7a1210){const _0x4f5dd8=_0x5537c6,_0x8c191=this;_0x8c191[_0x4f5dd8(0xe76)]=_0x37e1de[_0x4f5dd8(0x21e8)](),_0x8c191[_0x4f5dd8(0x1a7c)]=[],_0x8c191[_0x4f5dd8(0x1386)]=_0x4f5dd8(0x1bd7)+(_0x2e0055['appType']||_0x2e0055[_0x4f5dd8(0x22e1)])['toUpperCase'](),_0x8c191[_0x4f5dd8(0x265b)]=angular[_0x4f5dd8(0x17fe)](_0x2e0055),_0x8c191['crudPermissions']=_0x7a1210,_0x8c191[_0x4f5dd8(0xf4c)]={};if(_0x8c191[_0x4f5dd8(0x265b)][_0x4f5dd8(0x28df)])switch(_0x8c191[_0x4f5dd8(0x265b)]['appType']?_0x8c191['gotop']['appType'][_0x4f5dd8(0x1680)]():_0x8c191['gotop']['app'][_0x4f5dd8(0x1680)]()){case'custom':break;case'dialogflow':{const _0x57b748=_0x8c191['gotop'][_0x4f5dd8(0x28df)][_0x4f5dd8(0xbe1)](',');_0x8c191[_0x4f5dd8(0x265b)][_0x4f5dd8(0xee8)]=_0x57b748[0x0],_0x8c191[_0x4f5dd8(0x265b)][_0x4f5dd8(0x12b4)]=_0x57b748[0x1],_0x8c191[_0x4f5dd8(0x265b)][_0x4f5dd8(0x173a)]=_0x57b748[_0x4f5dd8(0x14cb)](0x2,_0x57b748['length'])[_0x4f5dd8(0x1f66)](',');}break;case _0x4f5dd8(0xece):{const _0x3c993b=_0x8c191[_0x4f5dd8(0x265b)][_0x4f5dd8(0x28df)][_0x4f5dd8(0xbe1)](',');_0x8c191[_0x4f5dd8(0x265b)][_0x4f5dd8(0x2854)]=_0x3c993b[0x0],_0x8c191['gotop'][_0x4f5dd8(0x12bf)]=_0x3c993b[0x1],_0x8c191[_0x4f5dd8(0x265b)]['privateKey']=_0x3c993b[0x2],_0x8c191[_0x4f5dd8(0x265b)][_0x4f5dd8(0x12b4)]=_0x3c993b[0x3],_0x8c191[_0x4f5dd8(0x265b)]['welcomemessage']=_0x3c993b['slice'](0x4,_0x3c993b[_0x4f5dd8(0xfd0)])[_0x4f5dd8(0x1f66)](',');}break;case'amazonlex':{const _0x108bc5=_0x8c191[_0x4f5dd8(0x265b)][_0x4f5dd8(0x28df)][_0x4f5dd8(0xbe1)](',');_0x8c191[_0x4f5dd8(0x265b)][_0x4f5dd8(0x413)]=_0x108bc5[0x0],_0x8c191[_0x4f5dd8(0x265b)][_0x4f5dd8(0x21d9)]=_0x108bc5[0x1],_0x8c191['gotop']['lexregion']=_0x108bc5[0x2],_0x8c191[_0x4f5dd8(0x265b)][_0x4f5dd8(0x1c7f)]=_0x108bc5[0x3],_0x8c191[_0x4f5dd8(0x265b)][_0x4f5dd8(0x173a)]=_0x108bc5[_0x4f5dd8(0x14cb)](0x4,_0x108bc5[_0x4f5dd8(0xfd0)])[_0x4f5dd8(0x1f66)](',');}break;case'autoreply':{const _0x529248=_0x8c191[_0x4f5dd8(0x265b)][_0x4f5dd8(0x28df)][_0x4f5dd8(0xbe1)](',');_0x8c191[_0x4f5dd8(0x265b)]['times']=isNaN(_0x529248[0x0])?_0x529248[0x0]:parseInt(_0x529248[0x0],0xa),_0x8c191[_0x4f5dd8(0x265b)][_0x4f5dd8(0x19d3)]=_0x529248[_0x4f5dd8(0x14cb)](0x1,_0x529248[_0x4f5dd8(0xfd0)])[_0x4f5dd8(0x1f66)](',');}break;case'message':_0x8c191[_0x4f5dd8(0x265b)][_0x4f5dd8(0x19d3)]=_0x8c191['gotop'][_0x4f5dd8(0x28df)];break;case _0x4f5dd8(0x19d1):_0x8c191[_0x4f5dd8(0x265b)][_0x4f5dd8(0x16b6)]=_0x8c191['gotop'][_0x4f5dd8(0x28df)][_0x4f5dd8(0xbe1)]('=')[0x0],_0x8c191[_0x4f5dd8(0x265b)][_0x4f5dd8(0x327)]=_0x8c191['gotop'][_0x4f5dd8(0x28df)]['split']('=')[0x1];break;case _0x4f5dd8(0x4b4):_0x8c191[_0x4f5dd8(0x265b)][_0x4f5dd8(0x12a7)]=_0x8c191[_0x4f5dd8(0x265b)][_0x4f5dd8(0x28df)];break;default:{const _0x5b01ab=_0x8c191[_0x4f5dd8(0x265b)]['appdata'][_0x4f5dd8(0xbe1)](',');_0x8c191[_0x4f5dd8(0x265b)][_0x4f5dd8(0x521)]=_0x39641b()[_0x4f5dd8(0xce9)](_0x5b01ab[0x0])?_0x5b01ab[0x0]:isNaN(_0x5b01ab[0x0])?_0x5b01ab[0x0]:parseInt(_0x5b01ab[0x0],0xa);}break;}else{}_0x8c191[_0x4f5dd8(0x265b)][_0x4f5dd8(0x66a)]&&_0x8c191['gotop'][_0x4f5dd8(0x66a)][_0x4f5dd8(0x1680)]()===_0x4f5dd8(0x895)&&_0x8c191[_0x4f5dd8(0x265b)][_0x4f5dd8(0x299b)][_0x4f5dd8(0x1680)]()===_0x4f5dd8(0x20ff)&&(_0x8c191[_0x4f5dd8(0x265b)]['prefix']=_0x8c191[_0x4f5dd8(0x265b)]['phone']?_0x8c191[_0x4f5dd8(0x265b)][_0x4f5dd8(0x1340)][_0x4f5dd8(0xbe1)]('$')[0x0]:undefined,_0x8c191[_0x4f5dd8(0x265b)][_0x4f5dd8(0x1e7c)]=_0x8c191[_0x4f5dd8(0x265b)][_0x4f5dd8(0x2816)]?_0x4f5dd8(0x25f9)+_0x8c191[_0x4f5dd8(0x265b)][_0x4f5dd8(0x2816)]:undefined);_0x8c191[_0x4f5dd8(0x110f)]=_0x3fa737,_0x8c191['closeDialog']=_0xc88f8e;function _0x3fa737(){const _0x4d2734=_0x4f5dd8;_0x8c191['errors']=[];const _0x9311f7=[];_0x8c191[_0x4d2734(0x265b)][_0x4d2734(0x66a)]&&_0x8c191[_0x4d2734(0x265b)][_0x4d2734(0x66a)][_0x4d2734(0x1680)]()==='outbound'&&_0x8c191[_0x4d2734(0x265b)][_0x4d2734(0x299b)]===_0x4d2734(0x25f4)&&(_0x8c191[_0x4d2734(0x265b)][_0x4d2734(0x1340)]=_0x2616b7[_0x4d2734(0x325)]?(_0x8c191[_0x4d2734(0x265b)][_0x4d2734(0x586)]||'')+_0x4d2734(0x19e4)+_0x2616b7[_0x4d2734(0x325)]+'}':(_0x8c191['gotop']['prefix']||'')+_0x4d2734(0xcdd),_0x2616b7['recordingFormat']!==_0x4d2734(0x13b1)?_0x8c191['gotop'][_0x4d2734(0x2224)][_0x4d2734(0xd8a)](_0x4d2734(0x106a))<0x0&&(_0x8c191[_0x4d2734(0x265b)]['options']+=_0x4d2734(0x106a)):_0x8c191[_0x4d2734(0x265b)][_0x4d2734(0x2224)]=_0x8c191['gotop'][_0x4d2734(0x2224)][_0x4d2734(0x288f)](_0x4d2734(0x106a),''));if(_0x8c191[_0x4d2734(0x265b)]['appType']&&_0x8c191[_0x4d2734(0x265b)][_0x4d2734(0x299b)]===_0x4d2734(0x197c)){}else switch((_0x8c191[_0x4d2734(0x265b)][_0x4d2734(0x22e1)]||_0x8c191[_0x4d2734(0x265b)][_0x4d2734(0x299b)])['toLowerCase']()){case'set':_0x8c191[_0x4d2734(0x265b)][_0x4d2734(0x28df)]=_0x8c191[_0x4d2734(0x265b)]['name']+'='+_0x8c191[_0x4d2734(0x265b)]['value'];break;case _0x4d2734(0x197c):break;default:_0x9311f7[0x0]=_0x8c191[_0x4d2734(0x265b)][_0x4d2734(0x521)],_0x8c191[_0x4d2734(0x265b)][_0x4d2734(0x28df)]=_0x9311f7[_0x4d2734(0x1f66)](',');}_0xc88f8e(_0x8c191[_0x4d2734(0x265b)]);}function _0xc88f8e(_0x4d1794){const _0x1e4af6=_0x4f5dd8;_0x8bb94a[_0x1e4af6(0x1426)](_0x4d1794);}}const _0x4035e4=_0x2f8b68;;_0x5b4f9a[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),_0x5537c6(0xcb9),_0x5537c6(0x200d),_0x5537c6(0x1b2),'interval',_0x5537c6(0xb4a),'toasty',_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x5b4f9a(_0x3c876b,_0x562653,_0x1b6efb,_0x1ab671,_0x5736ba,_0xb6cb2e,_0x48266b,_0x4945d7,_0x3f4d88,_0x276a04){const _0x5305d7=_0x5537c6,_0x52c455=this;_0x52c455['currentUser']=_0x3f4d88['getCurrentUser'](),_0x52c455[_0x5305d7(0x1a7c)]=[],_0x52c455['title']=_0x5305d7(0x1571),_0x52c455['interval']=angular[_0x5305d7(0x17fe)](_0x5736ba),_0x52c455[_0x5305d7(0xb4a)]=_0xb6cb2e,_0x52c455[_0x5305d7(0x18c1)]=![],_0x52c455[_0x5305d7(0x2025)]=['always',_0x5305d7(0x197c),_0x5305d7(0x240)],_0x52c455[_0x5305d7(0x1b1a)]=_0x276a04,_0x52c455[_0x5305d7(0x1275)]=_0x1ab671[_0x5305d7(0x1aae)](),_0x52c455['monthNumber']=_0x1ab671['getMonthNumber'](),_0x52c455[_0x5305d7(0x1534)]=_0x1ab671[_0x5305d7(0x7cc)](),_0x52c455['daysOfMonth']=_0x1ab671[_0x5305d7(0xc1e)]();if(!_0x52c455[_0x5305d7(0x1ac8)])_0x52c455[_0x5305d7(0x1ac8)]={'interval':_0x5305d7(0x965)},_0x52c455[_0x5305d7(0x66a)]=_0x5305d7(0x2017),_0x52c455[_0x5305d7(0x1386)]='TOOLS.NEW_INTERVAL',_0x52c455[_0x5305d7(0x18c1)]=!![];else{if(_0x52c455[_0x5305d7(0x1ac8)][_0x5305d7(0x1ac8)]!==_0x5305d7(0x965)){_0x52c455[_0x5305d7(0x66a)]=_0x5305d7(0x197c);const _0x4055d2=_0x52c455['interval']['interval'][_0x5305d7(0xbe1)](','),_0x40636c=_0x4055d2[0x0],_0x1b5c6d=_0x4055d2[0x1],_0x3b7427=_0x4055d2[0x2],_0xd2e7b4=_0x4055d2[0x3];if(_0x40636c!=='*'){const _0x1fe416=_0x40636c[_0x5305d7(0xbe1)]('-')[0x0],_0x5d4bd9=_0x40636c[_0x5305d7(0xbe1)]('-')[0x1];let _0x12798d;_0x12798d=new Date(),_0x12798d[_0x5305d7(0x201b)](Number(_0x1fe416[_0x5305d7(0xbe1)](':')[0x0])),_0x12798d[_0x5305d7(0x1622)](Number(_0x1fe416[_0x5305d7(0xbe1)](':')[0x1])),_0x52c455['timeRangeFrom']=_0x12798d,_0x12798d=new Date(),_0x12798d[_0x5305d7(0x201b)](Number(_0x5d4bd9['split'](':')[0x0])),_0x12798d[_0x5305d7(0x1622)](Number(_0x5d4bd9['split'](':')[0x1])),_0x52c455[_0x5305d7(0x64a)]=_0x12798d;}_0x1b5c6d!=='*'&&(_0x52c455['dayOfWeekFrom']=_0x1b5c6d['split']('-')[0x0],_0x52c455['dayOfWeekTo']=_0x1b5c6d[_0x5305d7(0xbe1)]('-')[0x1]),_0x3b7427!=='*'&&(_0x52c455[_0x5305d7(0xd6f)]=_0x3b7427['split']('-')[0x0],_0x52c455['monthDayTo']=_0x3b7427[_0x5305d7(0xbe1)]('-')[0x1]),_0xd2e7b4!=='*'&&(_0x52c455['monthFrom']=_0xd2e7b4['split']('-')[0x0],_0x52c455[_0x5305d7(0xa68)]=_0xd2e7b4[_0x5305d7(0xbe1)]('-')[0x1]);}else _0x52c455['type']='always';}_0x3c876b[_0x5305d7(0x1dfe)]['id']&&!_0x52c455[_0x5305d7(0x1ac8)][_0x5305d7(0x171b)]&&(_0x52c455[_0x5305d7(0x1ac8)][_0x5305d7(0x1a60)]=_0x3c876b[_0x5305d7(0x1dfe)]['id']);_0x52c455[_0x5305d7(0x1ac8)]['IntervalId']&&_0x52c455[_0x5305d7(0x1ac8)][_0x5305d7(0x171b)]&&(_0x52c455[_0x5305d7(0x66a)]=_0x5305d7(0x240));_0x52c455[_0x5305d7(0x18b3)]=_0x354d66,_0x52c455[_0x5305d7(0x1891)]=_0x272186,_0x52c455[_0x5305d7(0xda0)]=_0x4bb5a6;_0x52c455[_0x5305d7(0x1ac8)][_0x5305d7(0x171b)]&&(_0x3f4d88['hasRole'](_0x5305d7(0x1c60))?_0x4945d7[_0x5305d7(0x1ac8)]['get']({'fields':'id,name,interval,IntervalId','IntervalId':_0x5305d7(0xd38),'nolimit':!![]})[_0x5305d7(0x1d77)][_0x5305d7(0x1cb0)](function(_0x71ec0e){const _0x13bc8a=_0x5305d7;_0x52c455[_0x13bc8a(0xb4a)]=_0x71ec0e[_0x13bc8a(0x2214)]||[];})[_0x5305d7(0x1c4)](function(_0x454bd2){const _0x431ed5=_0x5305d7;_0x48266b[_0x431ed5(0x218e)]({'title':_0x454bd2[_0x431ed5(0x291)]?'API:'+_0x454bd2['status']+_0x431ed5(0x1657)+_0x454bd2[_0x431ed5(0xc22)]:_0x431ed5(0xdac),'msg':_0x454bd2[_0x431ed5(0x25c)]?JSON[_0x431ed5(0x2701)](_0x454bd2[_0x431ed5(0x25c)]):_0x454bd2['toString']()});}):_0x4945d7[_0x5305d7(0x1ac8)][_0x5305d7(0xbf7)]({'fields':_0x5305d7(0x872),'IntervalId':'null','nolimit':!![]})[_0x5305d7(0x1d77)][_0x5305d7(0x1cb0)](function(_0x4d00a4){const _0x5a2fb8=_0x5305d7;_0x52c455[_0x5a2fb8(0xb4a)]=_0x4d00a4[_0x5a2fb8(0x2214)]||[];})['then'](function(){const _0x74a139=_0x5305d7;return _0x4945d7[_0x74a139(0x2199)][_0x74a139(0xbf7)]({'userProfileId':_0x52c455[_0x74a139(0xe76)][_0x74a139(0x13c1)],'sectionId':0x3ec})[_0x74a139(0x1d77)];})['then'](function(_0x417f8a){const _0x25a4e4=_0x5305d7,_0x246595=_0x417f8a&&_0x417f8a['rows']?_0x417f8a[_0x25a4e4(0x2214)][0x0]:null;if(!_0x246595)_0x52c455[_0x25a4e4(0xb4a)]=[];else{if(!_0x246595['autoAssociation'])return _0x4945d7[_0x25a4e4(0x1198)][_0x25a4e4(0xbf7)]({'sectionId':_0x246595['id']})[_0x25a4e4(0x1d77)][_0x25a4e4(0x1cb0)](function(_0x66d3ed){const _0x2bb94e=_0x25a4e4,_0x356a3d=_0x66d3ed&&_0x66d3ed[_0x2bb94e(0x2214)]?_0x66d3ed[_0x2bb94e(0x2214)]:[],_0x5273e5=[];let _0x7bde5b=null;_0x52c455[_0x2bb94e(0x1ac8)]&&(_0x7bde5b=_0x39641b()[_0x2bb94e(0x13b4)](_0x52c455[_0x2bb94e(0xb4a)],{'name':_0x52c455[_0x2bb94e(0x1ac8)][_0x2bb94e(0x1a60)]})),_0x7bde5b&&!_0x39641b()[_0x2bb94e(0x727)](_0x356a3d,[_0x2bb94e(0x2982),_0x7bde5b['id']])&&_0x52c455[_0x2bb94e(0xb4a)][_0x2bb94e(0xf90)](function(_0x3db868){const _0x1b2e0a=_0x2bb94e;_0x3db868['id']===_0x7bde5b['id']&&(_0x3db868[_0x1b2e0a(0x15da)]=![],_0x5273e5['push'](_0x3db868));}),_0x52c455['intervals']=_0x5273e5;});}})[_0x5305d7(0x1c4)](function(_0xae737e){const _0x4d29e7=_0x5305d7;_0x48266b[_0x4d29e7(0x218e)]({'title':_0xae737e['status']?_0x4d29e7(0xeb9)+_0xae737e[_0x4d29e7(0x291)]+'\x20-\x20'+_0xae737e['statusText']:_0x4d29e7(0xdac),'msg':_0xae737e[_0x4d29e7(0x25c)]?JSON['stringify'](_0xae737e[_0x4d29e7(0x25c)]):_0xae737e[_0x4d29e7(0x147f)]()});}));function _0x3ac988(){const _0x237d63=_0x5305d7;switch(_0x52c455[_0x237d63(0x66a)]){case'always':case _0x237d63(0x240):return _0x237d63(0x965);case _0x237d63(0x197c):{const _0x590696=[];if(_0x52c455[_0x237d63(0x718)]!=='*'&&_0x52c455[_0x237d63(0x718)]&&_0x52c455[_0x237d63(0x64a)]){const _0x30781=(_0x52c455['timeRangeFrom'][_0x237d63(0x1169)]()<0xa?'0':'')+_0x52c455['timeRangeFrom'][_0x237d63(0x1169)]()+':'+((_0x52c455[_0x237d63(0x718)][_0x237d63(0x851)]()<0xa?'0':'')+_0x52c455[_0x237d63(0x718)][_0x237d63(0x851)]()),_0x4a73e8=(_0x52c455[_0x237d63(0x64a)][_0x237d63(0x1169)]()<0xa?'0':'')+_0x52c455[_0x237d63(0x64a)]['getHours']()+':'+((_0x52c455[_0x237d63(0x64a)]['getMinutes']()<0xa?'0':'')+_0x52c455['timeRangeTo'][_0x237d63(0x851)]());_0x590696[_0x237d63(0x2785)](_0x30781+'-'+_0x4a73e8);}else _0x590696['push']('*');return _0x52c455[_0x237d63(0x28a6)]?_0x52c455[_0x237d63(0x28db)]?_0x590696['push'](_0x52c455['dayOfWeekFrom']+'-'+_0x52c455[_0x237d63(0x28db)]):_0x590696[_0x237d63(0x2785)](_0x52c455[_0x237d63(0x28a6)]):_0x590696[_0x237d63(0x2785)]('*'),_0x52c455[_0x237d63(0xd6f)]?_0x52c455[_0x237d63(0x194d)]?_0x590696[_0x237d63(0x2785)](_0x52c455['monthDayFrom']+'-'+_0x52c455['monthDayTo']):_0x590696[_0x237d63(0x2785)](_0x52c455['monthDayFrom']):_0x590696[_0x237d63(0x2785)]('*'),_0x52c455[_0x237d63(0x1756)]?_0x52c455[_0x237d63(0xa68)]?_0x590696[_0x237d63(0x2785)](_0x52c455['monthFrom']+'-'+_0x52c455[_0x237d63(0xa68)]):_0x590696['push'](_0x52c455[_0x237d63(0x1756)]):_0x590696[_0x237d63(0x2785)]('*'),_0x590696[_0x237d63(0x1f66)]();}}}function _0x354d66(){const _0x34192d=_0x5305d7;_0x52c455[_0x34192d(0x1a7c)]=[],_0x52c455[_0x34192d(0x1ac8)]['interval']=_0x3ac988(),_0x4945d7['interval'][_0x34192d(0x1c3f)](_0x52c455[_0x34192d(0x1ac8)])[_0x34192d(0x1d77)][_0x34192d(0x1cb0)](function(_0x70a01c){const _0x4a2a04=_0x34192d;_0x52c455['intervals']['push'](_0x70a01c),_0x48266b['success']({'title':_0x4a2a04(0x1d4e),'msg':_0x52c455[_0x4a2a04(0x1ac8)][_0x4a2a04(0x16b6)]?_0x52c455['interval']['name']+_0x4a2a04(0x470):''}),_0x4bb5a6();})[_0x34192d(0x1c4)](function(_0x4efd70){const _0x1d9e6c=_0x34192d;console[_0x1d9e6c(0x218e)](_0x4efd70),_0x52c455[_0x1d9e6c(0x1a7c)]=_0x4efd70[_0x1d9e6c(0x25c)]['errors']||[{'message':_0x4efd70[_0x1d9e6c(0x147f)](),'type':_0x1d9e6c(0x24f7)}];});}function _0x272186(){const _0x287974=_0x5305d7;_0x52c455[_0x287974(0x1a7c)]=[],_0x52c455['interval'][_0x287974(0x1ac8)]=_0x3ac988(),_0x52c455[_0x287974(0x1ac8)][_0x287974(0x171b)]?(_0x52c455[_0x287974(0x66a)]!==_0x287974(0x240)&&(_0x52c455[_0x287974(0x1ac8)][_0x287974(0x1a60)]=null),_0x4bb5a6(_0x52c455['interval'])):_0x4945d7['interval'][_0x287974(0x687)]({'id':_0x52c455[_0x287974(0x1ac8)]['id']},_0x52c455[_0x287974(0x1ac8)])['$promise'][_0x287974(0x1cb0)](function(_0x5c0527){const _0x4c3a2c=_0x287974,_0x167cd9=_0x39641b()[_0x4c3a2c(0x13b4)](_0x52c455[_0x4c3a2c(0xb4a)],{'id':_0x5c0527['id']});_0x167cd9&&_0x39641b()[_0x4c3a2c(0x9c1)](_0x167cd9,_0x5c0527),_0x48266b[_0x4c3a2c(0x829)]({'title':_0x4c3a2c(0x1498),'msg':_0x4c3a2c(0x2994)}),_0x4bb5a6();})[_0x287974(0x1c4)](function(_0x996a9a){const _0x40a380=_0x287974;console['error'](_0x996a9a),_0x52c455['errors']=_0x996a9a['data'][_0x40a380(0x1a7c)]||[{'message':_0x996a9a[_0x40a380(0x147f)](),'type':_0x40a380(0x2505)}];});}function _0x4bb5a6(_0x27faa4){const _0x28e76f=_0x5305d7;_0x562653[_0x28e76f(0x1426)](_0x27faa4);}}const _0x3c635e=_0x5b4f9a;;_0x49e8b5[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x3d8),'mailAccount',_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x49e8b5(_0xde614e,_0x4d5126,_0x4d57d4,_0x2576df,_0x1c2179,_0x4468fa,_0x2c0bec,_0x5aa289){const _0x15f1c8=_0x5537c6,_0x59810f=this;_0x59810f[_0x15f1c8(0xe76)]=_0x2c0bec[_0x15f1c8(0x21e8)](),_0x59810f[_0x15f1c8(0x1a7c)]=[],_0x59810f['title']='MAIL.EDIT_'+(_0x2576df[_0x15f1c8(0x299b)]||_0x2576df['app'])[_0x15f1c8(0x2335)](),_0x59810f[_0x15f1c8(0x1234)]=angular[_0x15f1c8(0x17fe)](_0x2576df),_0x59810f[_0x15f1c8(0x1b1a)]=_0x5aa289,_0x59810f[_0x15f1c8(0xf4c)]={};if(_0x59810f[_0x15f1c8(0x1234)][_0x15f1c8(0x28df)])switch(_0x59810f[_0x15f1c8(0x1234)]['appType']?_0x59810f['noop'][_0x15f1c8(0x299b)]['toLowerCase']():_0x59810f['noop'][_0x15f1c8(0x22e1)][_0x15f1c8(0x1680)]()){case'custom':break;case _0x15f1c8(0x711):{const _0x2958f2=_0x59810f[_0x15f1c8(0x1234)][_0x15f1c8(0x28df)][_0x15f1c8(0xbe1)](',');_0x59810f['noop'][_0x15f1c8(0xee8)]=_0x2958f2[0x0],_0x59810f[_0x15f1c8(0x1234)][_0x15f1c8(0x12b4)]=_0x2958f2[0x1],_0x59810f[_0x15f1c8(0x1234)][_0x15f1c8(0x173a)]=_0x2958f2[_0x15f1c8(0x14cb)](0x2,_0x2958f2['length'])['join'](',');}break;case _0x15f1c8(0xece):{const _0x1dec81=_0x59810f[_0x15f1c8(0x1234)][_0x15f1c8(0x28df)][_0x15f1c8(0xbe1)](',');_0x59810f[_0x15f1c8(0x1234)][_0x15f1c8(0x2854)]=_0x1dec81[0x0],_0x59810f[_0x15f1c8(0x1234)]['clientEmail']=_0x1dec81[0x1],_0x59810f[_0x15f1c8(0x1234)][_0x15f1c8(0x29d6)]=_0x1dec81[0x2],_0x59810f[_0x15f1c8(0x1234)][_0x15f1c8(0x12b4)]=_0x1dec81[0x3],_0x59810f[_0x15f1c8(0x1234)][_0x15f1c8(0x173a)]=_0x1dec81[_0x15f1c8(0x14cb)](0x4,_0x1dec81['length'])[_0x15f1c8(0x1f66)](',');}break;case _0x15f1c8(0x123a):{const _0x8c1252=_0x59810f[_0x15f1c8(0x1234)]['appdata']['split'](',');_0x59810f[_0x15f1c8(0x1234)]['accesskeyid']=_0x8c1252[0x0],_0x59810f[_0x15f1c8(0x1234)][_0x15f1c8(0x21d9)]=_0x8c1252[0x1],_0x59810f[_0x15f1c8(0x1234)]['lexregion']=_0x8c1252[0x2],_0x59810f[_0x15f1c8(0x1234)][_0x15f1c8(0x1c7f)]=_0x8c1252[0x3],_0x59810f['noop'][_0x15f1c8(0x173a)]=_0x8c1252[_0x15f1c8(0x14cb)](0x4,_0x8c1252[_0x15f1c8(0xfd0)])['join'](',');}break;case _0x15f1c8(0x1f71):{const _0x1a9f8b=_0x59810f[_0x15f1c8(0x1234)]['appdata']['split'](',');_0x59810f['noop'][_0x15f1c8(0x18a5)]=isNaN(_0x1a9f8b[0x0])?_0x1a9f8b[0x0]:parseInt(_0x1a9f8b[0x0],0xa),_0x59810f['noop']['text']=_0x1a9f8b[_0x15f1c8(0x14cb)](0x1,_0x1a9f8b[_0x15f1c8(0xfd0)])[_0x15f1c8(0x1f66)](',');}break;case _0x15f1c8(0x155e):_0x59810f['noop'][_0x15f1c8(0x19d3)]=_0x59810f[_0x15f1c8(0x1234)][_0x15f1c8(0x28df)];break;case _0x15f1c8(0x19d1):_0x59810f['noop']['name']=_0x59810f[_0x15f1c8(0x1234)]['appdata'][_0x15f1c8(0xbe1)]('=')[0x0],_0x59810f[_0x15f1c8(0x1234)][_0x15f1c8(0x327)]=_0x59810f[_0x15f1c8(0x1234)][_0x15f1c8(0x28df)][_0x15f1c8(0xbe1)]('=')[0x1];break;case _0x15f1c8(0x4b4):_0x59810f['noop'][_0x15f1c8(0x12a7)]=_0x59810f[_0x15f1c8(0x1234)][_0x15f1c8(0x28df)];break;default:{const _0x135ce0=_0x59810f[_0x15f1c8(0x1234)][_0x15f1c8(0x28df)][_0x15f1c8(0xbe1)](',');_0x59810f[_0x15f1c8(0x1234)][_0x15f1c8(0x327)]=_0x39641b()[_0x15f1c8(0xce9)](_0x135ce0[0x0])?_0x135ce0[0x0]:isNaN(_0x135ce0[0x0])?_0x135ce0[0x0]:parseInt(_0x135ce0[0x0],0xa);}break;}else{}_0x59810f['noop'][_0x15f1c8(0x66a)]&&_0x59810f[_0x15f1c8(0x1234)][_0x15f1c8(0x66a)]['toLowerCase']()===_0x15f1c8(0x895)&&_0x59810f['noop']['appType']['toLowerCase']()===_0x15f1c8(0x20ff)&&(_0x59810f[_0x15f1c8(0x1234)][_0x15f1c8(0x586)]=_0x59810f[_0x15f1c8(0x1234)][_0x15f1c8(0x1340)]?_0x59810f[_0x15f1c8(0x1234)]['phone'][_0x15f1c8(0xbe1)]('$')[0x0]:undefined,_0x59810f[_0x15f1c8(0x1234)][_0x15f1c8(0x1e7c)]=_0x59810f[_0x15f1c8(0x1234)]['callerID']?_0x15f1c8(0x25f9)+_0x59810f[_0x15f1c8(0x1234)][_0x15f1c8(0x2816)]:undefined);_0x59810f[_0x15f1c8(0x110f)]=_0xb12cc9,_0x59810f[_0x15f1c8(0xda0)]=_0x4f949f;function _0xb12cc9(){const _0x571a67=_0x15f1c8;_0x59810f['errors']=[];const _0x433459=[];_0x59810f['noop'][_0x571a67(0x66a)]&&_0x59810f['noop']['type'][_0x571a67(0x1680)]()===_0x571a67(0x895)&&_0x59810f[_0x571a67(0x1234)][_0x571a67(0x299b)]===_0x571a67(0x25f4)&&(_0x59810f[_0x571a67(0x1234)][_0x571a67(0x1340)]=_0x1c2179['cutdigits']?(_0x59810f['noop'][_0x571a67(0x586)]||'')+'${EXTEN:'+_0x1c2179[_0x571a67(0x325)]+'}':(_0x59810f[_0x571a67(0x1234)][_0x571a67(0x586)]||'')+'${EXTEN}',_0x1c2179[_0x571a67(0xf8d)]!==_0x571a67(0x13b1)?_0x59810f[_0x571a67(0x1234)][_0x571a67(0x2224)][_0x571a67(0xd8a)]('U(xcally-mixmonitor-context)')<0x0&&(_0x59810f[_0x571a67(0x1234)][_0x571a67(0x2224)]+='U(xcally-mixmonitor-context)'):_0x59810f['noop'][_0x571a67(0x2224)]=_0x59810f['noop'][_0x571a67(0x2224)]['replace']('U(xcally-mixmonitor-context)',''));if(_0x59810f[_0x571a67(0x1234)]['appType']&&_0x59810f[_0x571a67(0x1234)][_0x571a67(0x299b)]===_0x571a67(0x197c)){}else switch((_0x59810f[_0x571a67(0x1234)][_0x571a67(0x22e1)]||_0x59810f[_0x571a67(0x1234)][_0x571a67(0x299b)])['toLowerCase']()){case _0x571a67(0x19d1):_0x59810f['noop'][_0x571a67(0x28df)]=_0x59810f[_0x571a67(0x1234)]['name']+'='+_0x59810f[_0x571a67(0x1234)][_0x571a67(0x327)];break;case _0x571a67(0x197c):break;default:_0x433459[0x0]=_0x59810f[_0x571a67(0x1234)][_0x571a67(0x327)],_0x59810f['noop'][_0x571a67(0x28df)]=_0x433459[_0x571a67(0x1f66)](',');}_0x4f949f(_0x59810f[_0x571a67(0x1234)]);}function _0x4f949f(_0x2bdbcb){const _0x3e437c=_0x15f1c8;_0xde614e[_0x3e437c(0x1426)](_0x2bdbcb);}}const _0x596b62=_0x49e8b5;;_0x177829[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x3d8),_0x5537c6(0x131c),'api',_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x177829(_0x57b0ea,_0x3f1eff,_0xa213b5,_0x5af4c3,_0x46a887,_0x3c5c76,_0xab005b,_0x184062){const _0x7deead=_0x5537c6,_0x27a764=this;_0x27a764['currentUser']=_0xab005b['getCurrentUser'](),_0x27a764[_0x7deead(0x1a7c)]=[],_0x27a764['title']=_0x7deead(0x1bd7)+(_0x5af4c3[_0x7deead(0x299b)]||_0x5af4c3[_0x7deead(0x22e1)])[_0x7deead(0x2335)](),_0x27a764[_0x7deead(0x11cf)]=angular[_0x7deead(0x17fe)](_0x5af4c3),_0x27a764[_0x7deead(0x1b1a)]=_0x184062,_0x27a764[_0x7deead(0xf4c)]={};if(_0x27a764[_0x7deead(0x11cf)][_0x7deead(0x28df)])switch(_0x27a764[_0x7deead(0x11cf)][_0x7deead(0x299b)]?_0x27a764[_0x7deead(0x11cf)][_0x7deead(0x299b)][_0x7deead(0x1680)]():_0x27a764['queue'][_0x7deead(0x22e1)][_0x7deead(0x1680)]()){case _0x7deead(0x197c):break;case'dialogflow':{const _0x58c630=_0x27a764[_0x7deead(0x11cf)][_0x7deead(0x28df)][_0x7deead(0xbe1)](',');_0x27a764[_0x7deead(0x11cf)][_0x7deead(0xee8)]=_0x58c630[0x0],_0x27a764[_0x7deead(0x11cf)][_0x7deead(0x12b4)]=_0x58c630[0x1],_0x27a764['queue']['welcomemessage']=_0x58c630['slice'](0x2,_0x58c630[_0x7deead(0xfd0)])[_0x7deead(0x1f66)](',');}break;case _0x7deead(0xece):{const _0x3faa1f=_0x27a764[_0x7deead(0x11cf)][_0x7deead(0x28df)][_0x7deead(0xbe1)](',');_0x27a764[_0x7deead(0x11cf)][_0x7deead(0x2854)]=_0x3faa1f[0x0],_0x27a764[_0x7deead(0x11cf)]['clientEmail']=_0x3faa1f[0x1],_0x27a764[_0x7deead(0x11cf)][_0x7deead(0x29d6)]=_0x3faa1f[0x2],_0x27a764[_0x7deead(0x11cf)]['language']=_0x3faa1f[0x3],_0x27a764[_0x7deead(0x11cf)]['welcomemessage']=_0x3faa1f[_0x7deead(0x14cb)](0x4,_0x3faa1f[_0x7deead(0xfd0)])['join'](',');}break;case'amazonlex':{const _0x43c7b7=_0x27a764['queue'][_0x7deead(0x28df)][_0x7deead(0xbe1)](',');_0x27a764[_0x7deead(0x11cf)][_0x7deead(0x413)]=_0x43c7b7[0x0],_0x27a764[_0x7deead(0x11cf)]['secretaccesskey']=_0x43c7b7[0x1],_0x27a764[_0x7deead(0x11cf)]['lexregion']=_0x43c7b7[0x2],_0x27a764['queue'][_0x7deead(0x1c7f)]=_0x43c7b7[0x3],_0x27a764[_0x7deead(0x11cf)]['welcomemessage']=_0x43c7b7['slice'](0x4,_0x43c7b7[_0x7deead(0xfd0)])[_0x7deead(0x1f66)](',');}break;case _0x7deead(0x1f71):{const _0x14f50f=_0x27a764['queue'][_0x7deead(0x28df)][_0x7deead(0xbe1)](',');_0x27a764[_0x7deead(0x11cf)][_0x7deead(0x18a5)]=isNaN(_0x14f50f[0x0])?_0x14f50f[0x0]:parseInt(_0x14f50f[0x0],0xa),_0x27a764[_0x7deead(0x11cf)]['text']=_0x14f50f[_0x7deead(0x14cb)](0x1,_0x14f50f[_0x7deead(0xfd0)])[_0x7deead(0x1f66)](',');}break;case _0x7deead(0x155e):_0x27a764[_0x7deead(0x11cf)][_0x7deead(0x19d3)]=_0x27a764[_0x7deead(0x11cf)]['appdata'];break;case _0x7deead(0x19d1):_0x27a764[_0x7deead(0x11cf)]['name']=_0x27a764['queue'][_0x7deead(0x28df)][_0x7deead(0xbe1)]('=')[0x0],_0x27a764['queue'][_0x7deead(0x327)]=_0x27a764[_0x7deead(0x11cf)]['appdata'][_0x7deead(0xbe1)]('=')[0x1];break;case _0x7deead(0x4b4):_0x27a764[_0x7deead(0x11cf)][_0x7deead(0x12a7)]=_0x27a764['queue'][_0x7deead(0x28df)];break;default:{const _0x2e1fea=_0x27a764[_0x7deead(0x11cf)][_0x7deead(0x28df)][_0x7deead(0xbe1)](',');_0x27a764[_0x7deead(0x11cf)][_0x7deead(0x11cf)]=_0x39641b()[_0x7deead(0xce9)](_0x2e1fea[0x0])?_0x2e1fea[0x0]:isNaN(_0x2e1fea[0x0])?_0x2e1fea[0x0]:parseInt(_0x2e1fea[0x0],0xa),_0x27a764[_0x7deead(0x11cf)][_0x7deead(0x1719)]=_0x39641b()[_0x7deead(0xce9)](_0x2e1fea[0x1])?_0x2e1fea[0x1]:isNaN(_0x2e1fea[0x1])?_0x2e1fea[0x1]:parseInt(_0x2e1fea[0x1],0xa);}break;}else _0x27a764[_0x7deead(0x11cf)]['queue']=0x12c,_0x27a764[_0x7deead(0x11cf)][_0x7deead(0x1719)]=0x12c;_0x27a764[_0x7deead(0x11cf)][_0x7deead(0x66a)]&&_0x27a764[_0x7deead(0x11cf)]['type'][_0x7deead(0x1680)]()===_0x7deead(0x895)&&_0x27a764[_0x7deead(0x11cf)]['appType'][_0x7deead(0x1680)]()==='outbounddial'&&(_0x27a764[_0x7deead(0x11cf)]['prefix']=_0x27a764[_0x7deead(0x11cf)][_0x7deead(0x1340)]?_0x27a764[_0x7deead(0x11cf)][_0x7deead(0x1340)][_0x7deead(0xbe1)]('$')[0x0]:undefined,_0x27a764[_0x7deead(0x11cf)][_0x7deead(0x1e7c)]=_0x27a764[_0x7deead(0x11cf)][_0x7deead(0x2816)]?_0x7deead(0x25f9)+_0x27a764['queue'][_0x7deead(0x2816)]:undefined);_0x27a764[_0x7deead(0x110f)]=_0x13dbf8,_0x27a764[_0x7deead(0xda0)]=_0x9be6c5,_0xab005b[_0x7deead(0x22b6)](_0x7deead(0x1c60))?_0x3c5c76[_0x7deead(0x16e1)][_0x7deead(0xbf7)]({'fields':_0x7deead(0x1896),'sort':'name','nolimit':_0x7deead(0x44d)})['$promise'][_0x7deead(0x1cb0)](function(_0x37da55){const _0x4bac74=_0x7deead;_0x27a764[_0x4bac74(0x971)]=_0x37da55[_0x4bac74(0x2214)]||[];})[_0x7deead(0x1c4)](function(_0x21b5e7){const _0x1fd06b=_0x7deead;_0xa213b5[_0x1fd06b(0x218e)]({'title':_0x21b5e7['status']?_0x1fd06b(0xeb9)+_0x21b5e7[_0x1fd06b(0x291)]+_0x1fd06b(0x1657)+_0x21b5e7[_0x1fd06b(0xc22)]:'SYSTEM:GET_QUEUES','msg':_0x21b5e7['data']?JSON[_0x1fd06b(0x2701)](_0x21b5e7[_0x1fd06b(0x25c)]):_0x21b5e7[_0x1fd06b(0x147f)]()});}):_0x3c5c76[_0x7deead(0x16e1)][_0x7deead(0xbf7)]({'fields':_0x7deead(0x1896),'sort':_0x7deead(0x16b6),'nolimit':_0x7deead(0x44d)})[_0x7deead(0x1d77)][_0x7deead(0x1cb0)](function(_0x3fa14d){const _0x4962b0=_0x7deead;_0x27a764['queues']=_0x3fa14d[_0x4962b0(0x2214)]||[];})[_0x7deead(0x1cb0)](function(){const _0x7aaed1=_0x7deead;return _0x3c5c76[_0x7aaed1(0x2199)][_0x7aaed1(0xbf7)]({'userProfileId':_0x27a764[_0x7aaed1(0xe76)][_0x7aaed1(0x13c1)],'sectionId':0x259})[_0x7aaed1(0x1d77)];})['then'](function(_0x4a93a3){const _0x58867d=_0x7deead,_0x1e087c=_0x4a93a3&&_0x4a93a3[_0x58867d(0x2214)]?_0x4a93a3[_0x58867d(0x2214)][0x0]:null;if(!_0x1e087c){const _0x40c45c=[];let _0x4243ee=null;_0x27a764[_0x58867d(0x11cf)]&&(_0x4243ee=_0x39641b()[_0x58867d(0x13b4)](_0x27a764['queues'],{'name':_0x27a764[_0x58867d(0x11cf)][_0x58867d(0x11cf)]}));for(let _0x5cd523=0x0;_0x5cd523<_0x27a764['queues'][_0x58867d(0xfd0)];_0x5cd523++){_0x4243ee&&_0x27a764['queues'][_0x5cd523]['id']===_0x4243ee['id']&&(_0x27a764[_0x58867d(0x971)][_0x5cd523][_0x58867d(0x15da)]=![],_0x40c45c['push'](_0x27a764['queues'][_0x5cd523]));}_0x27a764[_0x58867d(0x971)]=_0x40c45c;}else{if(!_0x1e087c[_0x58867d(0x12f4)])return _0x3c5c76[_0x58867d(0x1198)][_0x58867d(0xbf7)]({'sectionId':_0x1e087c['id']})['$promise'][_0x58867d(0x1cb0)](function(_0x515039){const _0x5128fe=_0x58867d,_0x33e476=_0x39641b()[_0x5128fe(0x1de2)](_0x515039[_0x5128fe(0x2214)],function(_0xac41d0){const _0x260cc3=_0x5128fe;return _0x39641b()[_0x260cc3(0x13b4)](_0x27a764[_0x260cc3(0x971)],{'id':_0xac41d0[_0x260cc3(0x2982)]});});let _0xce9f10=null;_0x27a764[_0x5128fe(0x11cf)]&&(_0xce9f10=_0x39641b()['find'](_0x27a764[_0x5128fe(0x971)],{'name':_0x27a764['queue'][_0x5128fe(0x11cf)]}));if(_0xce9f10&&!_0x39641b()['some'](_0x33e476,['id',_0xce9f10['id']])){const _0x216b2a=_0x39641b()['find'](_0x27a764[_0x5128fe(0x971)],{'id':_0xce9f10['id']});_0x216b2a[_0x5128fe(0x15da)]=![],_0x33e476[_0x5128fe(0x2785)](_0x216b2a);}_0x27a764[_0x5128fe(0x971)]=_0x33e476;});}})[_0x7deead(0x1c4)](function(_0x14173f){const _0x13c121=_0x7deead;_0xa213b5[_0x13c121(0x218e)]({'title':_0x14173f['status']?_0x13c121(0xeb9)+_0x14173f[_0x13c121(0x291)]+_0x13c121(0x1657)+_0x14173f[_0x13c121(0xc22)]:_0x13c121(0x1af2),'msg':_0x14173f['data']?JSON[_0x13c121(0x2701)](_0x14173f[_0x13c121(0x25c)]):_0x14173f[_0x13c121(0x147f)]()});});function _0x13dbf8(){const _0x5b8eb7=_0x7deead;_0x27a764[_0x5b8eb7(0x1a7c)]=[];const _0x51a160=[];_0x27a764[_0x5b8eb7(0x11cf)][_0x5b8eb7(0x66a)]&&_0x27a764['queue'][_0x5b8eb7(0x66a)][_0x5b8eb7(0x1680)]()===_0x5b8eb7(0x895)&&_0x27a764[_0x5b8eb7(0x11cf)][_0x5b8eb7(0x299b)]==='outboundDial'&&(_0x27a764[_0x5b8eb7(0x11cf)][_0x5b8eb7(0x1340)]=_0x46a887[_0x5b8eb7(0x325)]?(_0x27a764[_0x5b8eb7(0x11cf)][_0x5b8eb7(0x586)]||'')+_0x5b8eb7(0x19e4)+_0x46a887[_0x5b8eb7(0x325)]+'}':(_0x27a764['queue'][_0x5b8eb7(0x586)]||'')+_0x5b8eb7(0xcdd),_0x46a887[_0x5b8eb7(0xf8d)]!==_0x5b8eb7(0x13b1)?_0x27a764[_0x5b8eb7(0x11cf)][_0x5b8eb7(0x2224)][_0x5b8eb7(0xd8a)](_0x5b8eb7(0x106a))<0x0&&(_0x27a764[_0x5b8eb7(0x11cf)][_0x5b8eb7(0x2224)]+=_0x5b8eb7(0x106a)):_0x27a764['queue'][_0x5b8eb7(0x2224)]=_0x27a764[_0x5b8eb7(0x11cf)][_0x5b8eb7(0x2224)]['replace'](_0x5b8eb7(0x106a),''));const _0x40025a=_0x39641b()['find'](_0x27a764[_0x5b8eb7(0x971)],{'name':_0x27a764[_0x5b8eb7(0x11cf)][_0x5b8eb7(0x11cf)]});_0x40025a&&(_0x27a764[_0x5b8eb7(0x11cf)][_0x39641b()['capitalize'](_0x5b8eb7(0x2651))+'QueueId']=_0x40025a['id']);if(_0x27a764[_0x5b8eb7(0x11cf)][_0x5b8eb7(0x299b)]&&_0x27a764[_0x5b8eb7(0x11cf)][_0x5b8eb7(0x299b)]==='custom'){}else switch((_0x27a764[_0x5b8eb7(0x11cf)][_0x5b8eb7(0x22e1)]||_0x27a764['queue']['appType'])[_0x5b8eb7(0x1680)]()){case'set':_0x27a764['queue'][_0x5b8eb7(0x28df)]=_0x27a764['queue'][_0x5b8eb7(0x16b6)]+'='+_0x27a764[_0x5b8eb7(0x11cf)]['value'];break;case _0x5b8eb7(0x197c):break;default:_0x51a160[0x0]=_0x27a764[_0x5b8eb7(0x11cf)][_0x5b8eb7(0x11cf)],_0x51a160[0x1]=_0x27a764[_0x5b8eb7(0x11cf)][_0x5b8eb7(0x1719)],_0x27a764[_0x5b8eb7(0x11cf)]['appdata']=_0x51a160[_0x5b8eb7(0x1f66)](',');}_0x9be6c5(_0x27a764[_0x5b8eb7(0x11cf)]);}function _0x9be6c5(_0x10fbe7){const _0x898bdd=_0x7deead;_0x57b0ea[_0x898bdd(0x1426)](_0x10fbe7);}}const _0x1771f2=_0x177829;;_0x4766e6['$inject']=[_0x5537c6(0xcb9),'$q','toasty',_0x5537c6(0x3d8),_0x5537c6(0x131c),'api','Auth',_0x5537c6(0x1b1a)];function _0x4766e6(_0x1cee59,_0x248e53,_0x5b266e,_0x1cd046,_0x5af675,_0x92ecb7,_0x438cc3,_0x3ac3b2){const _0x185d41=_0x5537c6,_0x1f46ee=this;_0x1f46ee[_0x185d41(0xe76)]=_0x438cc3[_0x185d41(0x21e8)](),_0x1f46ee[_0x185d41(0x1a7c)]=[],_0x1f46ee[_0x185d41(0x1386)]='MAIL.EDIT_'+(_0x1cd046['appType']||_0x1cd046[_0x185d41(0x22e1)])[_0x185d41(0x2335)](),_0x1f46ee[_0x185d41(0x7be)]=angular[_0x185d41(0x17fe)](_0x1cd046),_0x1f46ee[_0x185d41(0x1b1a)]=_0x3ac3b2,_0x1f46ee['hasModulePermissions']={};if(_0x1f46ee[_0x185d41(0x7be)][_0x185d41(0x28df)])switch(_0x1f46ee[_0x185d41(0x7be)][_0x185d41(0x299b)]?_0x1f46ee['system'][_0x185d41(0x299b)]['toLowerCase']():_0x1f46ee[_0x185d41(0x7be)][_0x185d41(0x22e1)][_0x185d41(0x1680)]()){case _0x185d41(0x197c):break;case _0x185d41(0x711):{const _0x45010b=_0x1f46ee[_0x185d41(0x7be)][_0x185d41(0x28df)][_0x185d41(0xbe1)](',');_0x1f46ee[_0x185d41(0x7be)][_0x185d41(0xee8)]=_0x45010b[0x0],_0x1f46ee[_0x185d41(0x7be)][_0x185d41(0x12b4)]=_0x45010b[0x1],_0x1f46ee[_0x185d41(0x7be)]['welcomemessage']=_0x45010b[_0x185d41(0x14cb)](0x2,_0x45010b['length'])[_0x185d41(0x1f66)](',');}break;case'dialogflowv2':{const _0x17ccbf=_0x1f46ee['system'][_0x185d41(0x28df)][_0x185d41(0xbe1)](',');_0x1f46ee[_0x185d41(0x7be)][_0x185d41(0x2854)]=_0x17ccbf[0x0],_0x1f46ee['system']['clientEmail']=_0x17ccbf[0x1],_0x1f46ee[_0x185d41(0x7be)]['privateKey']=_0x17ccbf[0x2],_0x1f46ee['system'][_0x185d41(0x12b4)]=_0x17ccbf[0x3],_0x1f46ee[_0x185d41(0x7be)]['welcomemessage']=_0x17ccbf[_0x185d41(0x14cb)](0x4,_0x17ccbf['length'])[_0x185d41(0x1f66)](',');}break;case _0x185d41(0x123a):{const _0xbac0b=_0x1f46ee[_0x185d41(0x7be)]['appdata'][_0x185d41(0xbe1)](',');_0x1f46ee[_0x185d41(0x7be)][_0x185d41(0x413)]=_0xbac0b[0x0],_0x1f46ee[_0x185d41(0x7be)]['secretaccesskey']=_0xbac0b[0x1],_0x1f46ee[_0x185d41(0x7be)][_0x185d41(0xd50)]=_0xbac0b[0x2],_0x1f46ee['system'][_0x185d41(0x1c7f)]=_0xbac0b[0x3],_0x1f46ee[_0x185d41(0x7be)][_0x185d41(0x173a)]=_0xbac0b[_0x185d41(0x14cb)](0x4,_0xbac0b['length'])[_0x185d41(0x1f66)](',');}break;case _0x185d41(0x1f71):{const _0x42c08c=_0x1f46ee[_0x185d41(0x7be)][_0x185d41(0x28df)][_0x185d41(0xbe1)](',');_0x1f46ee['system'][_0x185d41(0x18a5)]=isNaN(_0x42c08c[0x0])?_0x42c08c[0x0]:parseInt(_0x42c08c[0x0],0xa),_0x1f46ee['system']['text']=_0x42c08c['slice'](0x1,_0x42c08c[_0x185d41(0xfd0)])[_0x185d41(0x1f66)](',');}break;case'message':_0x1f46ee[_0x185d41(0x7be)][_0x185d41(0x19d3)]=_0x1f46ee[_0x185d41(0x7be)]['appdata'];break;case'set':_0x1f46ee['system'][_0x185d41(0x16b6)]=_0x1f46ee['system']['appdata'][_0x185d41(0xbe1)]('=')[0x0],_0x1f46ee[_0x185d41(0x7be)][_0x185d41(0x327)]=_0x1f46ee[_0x185d41(0x7be)][_0x185d41(0x28df)][_0x185d41(0xbe1)]('=')[0x1];break;case _0x185d41(0x4b4):_0x1f46ee[_0x185d41(0x7be)][_0x185d41(0x12a7)]=_0x1f46ee['system'][_0x185d41(0x28df)];break;default:{const _0x44962f=_0x1f46ee['system'][_0x185d41(0x28df)]['split'](',');_0x1f46ee[_0x185d41(0x7be)][_0x185d41(0xd0d)]=_0x39641b()[_0x185d41(0xce9)](_0x44962f[0x0])?_0x44962f[0x0]:isNaN(_0x44962f[0x0])?_0x44962f[0x0]:parseInt(_0x44962f[0x0],0xa),_0x1f46ee['system'][_0x185d41(0x1822)]=_0x39641b()[_0x185d41(0xce9)](_0x44962f[0x1])?_0x44962f[0x1]:isNaN(_0x44962f[0x1])?_0x44962f[0x1]:parseInt(_0x44962f[0x1],0xa);}break;}else _0x1f46ee[_0x185d41(0x7be)][_0x185d41(0x1822)]='';_0x1f46ee[_0x185d41(0x7be)]['type']&&_0x1f46ee[_0x185d41(0x7be)][_0x185d41(0x66a)]['toLowerCase']()===_0x185d41(0x895)&&_0x1f46ee['system'][_0x185d41(0x299b)][_0x185d41(0x1680)]()==='outbounddial'&&(_0x1f46ee[_0x185d41(0x7be)][_0x185d41(0x586)]=_0x1f46ee[_0x185d41(0x7be)][_0x185d41(0x1340)]?_0x1f46ee[_0x185d41(0x7be)][_0x185d41(0x1340)]['split']('$')[0x0]:undefined,_0x1f46ee[_0x185d41(0x7be)]['callerId']=_0x1f46ee['system'][_0x185d41(0x2816)]?_0x185d41(0x25f9)+_0x1f46ee[_0x185d41(0x7be)][_0x185d41(0x2816)]:undefined);_0x1f46ee[_0x185d41(0x110f)]=_0x3b42de,_0x1f46ee[_0x185d41(0xda0)]=_0x2bb98b,_0x438cc3['hasRole'](_0x185d41(0x1c60))?_0x92ecb7[_0x185d41(0x1822)][_0x185d41(0xbf7)]({'fields':'id,name','sort':_0x185d41(0x16b6),'nolimit':_0x185d41(0x44d)})['$promise'][_0x185d41(0x1cb0)](function(_0x87d565){const _0xa9843a=_0x185d41;_0x1f46ee[_0xa9843a(0x9a9)]=_0x87d565['rows']||[];})[_0x185d41(0x1c4)](function(_0x573bfd){const _0x4fe126=_0x185d41;_0x5b266e[_0x4fe126(0x218e)]({'title':_0x573bfd[_0x4fe126(0x291)]?_0x4fe126(0xeb9)+_0x573bfd[_0x4fe126(0x291)]+_0x4fe126(0x1657)+_0x573bfd[_0x4fe126(0xc22)]:_0x4fe126(0xe04),'msg':_0x573bfd['data']?JSON[_0x4fe126(0x2701)](_0x573bfd['data']):_0x573bfd[_0x4fe126(0x147f)]()});}):_0x92ecb7[_0x185d41(0x1822)][_0x185d41(0xbf7)]({'fields':_0x185d41(0x43c),'sort':_0x185d41(0x16b6),'nolimit':_0x185d41(0x44d)})['$promise']['then'](function(_0x378eae){const _0x420718=_0x185d41;_0x1f46ee['variables']=_0x378eae[_0x420718(0x2214)]||[];})[_0x185d41(0x1cb0)](function(){const _0x3da35e=_0x185d41;return _0x92ecb7[_0x3da35e(0x2199)][_0x3da35e(0xbf7)]({'userProfileId':_0x1f46ee[_0x3da35e(0xe76)][_0x3da35e(0x13c1)],'sectionId':0x3f4})[_0x3da35e(0x1d77)];})[_0x185d41(0x1cb0)](function(_0x2dea32){const _0x1f52ea=_0x185d41,_0x4d10ff=_0x2dea32&&_0x2dea32['rows']?_0x2dea32[_0x1f52ea(0x2214)][0x0]:null;if(!_0x4d10ff){const _0x42e229=[];let _0x4c9332=null;_0x1f46ee['system']&&(_0x4c9332=_0x39641b()[_0x1f52ea(0x13b4)](_0x1f46ee[_0x1f52ea(0x9a9)],{'name':_0x1f46ee[_0x1f52ea(0x7be)]['variable']}));for(let _0x2e521a=0x0;_0x2e521a<_0x1f46ee[_0x1f52ea(0x9a9)][_0x1f52ea(0xfd0)];_0x2e521a++){_0x4c9332&&_0x1f46ee['variables'][_0x2e521a]['id']===_0x4c9332['id']&&(_0x1f46ee['variables'][_0x2e521a][_0x1f52ea(0x15da)]=![],_0x42e229[_0x1f52ea(0x2785)](_0x1f46ee[_0x1f52ea(0x9a9)][_0x2e521a]));}_0x1f46ee[_0x1f52ea(0x9a9)]=_0x42e229;}else{if(!_0x4d10ff[_0x1f52ea(0x12f4)])return _0x92ecb7['userProfileResource']['get']({'sectionId':_0x4d10ff['id']})[_0x1f52ea(0x1d77)][_0x1f52ea(0x1cb0)](function(_0x262db4){const _0x185fca=_0x1f52ea,_0x318542=_0x39641b()[_0x185fca(0x1de2)](_0x262db4['rows'],function(_0x298af9){const _0x4e3156=_0x185fca;return _0x39641b()[_0x4e3156(0x13b4)](_0x1f46ee[_0x4e3156(0x9a9)],{'id':_0x298af9[_0x4e3156(0x2982)]});});let _0x537e57=null;_0x1f46ee[_0x185fca(0x7be)]&&(_0x537e57=_0x39641b()[_0x185fca(0x13b4)](_0x1f46ee['variables'],{'name':_0x1f46ee[_0x185fca(0x7be)][_0x185fca(0x1822)]}));if(_0x537e57&&!_0x39641b()['some'](_0x318542,['id',_0x537e57['id']])){const _0x174b5a=_0x39641b()['find'](_0x1f46ee['variables'],{'id':_0x537e57['id']});_0x174b5a[_0x185fca(0x15da)]=![],_0x318542[_0x185fca(0x2785)](_0x174b5a);}_0x1f46ee[_0x185fca(0x9a9)]=_0x318542;});}})['catch'](function(_0x258e01){const _0x338381=_0x185d41;_0x5b266e[_0x338381(0x218e)]({'title':_0x258e01[_0x338381(0x291)]?_0x338381(0xeb9)+_0x258e01[_0x338381(0x291)]+'\x20-\x20'+_0x258e01['statusText']:_0x338381(0xda5),'msg':_0x258e01[_0x338381(0x25c)]?JSON['stringify'](_0x258e01['data']):_0x258e01['toString']()});});function _0x3b42de(){const _0x24f977=_0x185d41;_0x1f46ee[_0x24f977(0x1a7c)]=[];const _0x420b40=[];_0x1f46ee['system']['type']&&_0x1f46ee[_0x24f977(0x7be)][_0x24f977(0x66a)]['toLowerCase']()===_0x24f977(0x895)&&_0x1f46ee['system'][_0x24f977(0x299b)]===_0x24f977(0x25f4)&&(_0x1f46ee['system'][_0x24f977(0x1340)]=_0x5af675['cutdigits']?(_0x1f46ee[_0x24f977(0x7be)][_0x24f977(0x586)]||'')+_0x24f977(0x19e4)+_0x5af675[_0x24f977(0x325)]+'}':(_0x1f46ee[_0x24f977(0x7be)][_0x24f977(0x586)]||'')+_0x24f977(0xcdd),_0x5af675[_0x24f977(0xf8d)]!==_0x24f977(0x13b1)?_0x1f46ee['system'][_0x24f977(0x2224)][_0x24f977(0xd8a)](_0x24f977(0x106a))<0x0&&(_0x1f46ee[_0x24f977(0x7be)][_0x24f977(0x2224)]+=_0x24f977(0x106a)):_0x1f46ee[_0x24f977(0x7be)][_0x24f977(0x2224)]=_0x1f46ee[_0x24f977(0x7be)]['options'][_0x24f977(0x288f)](_0x24f977(0x106a),''));if(_0x1f46ee['system']['appType']&&_0x1f46ee['system'][_0x24f977(0x299b)]===_0x24f977(0x197c)){}else switch((_0x1f46ee[_0x24f977(0x7be)]['app']||_0x1f46ee[_0x24f977(0x7be)][_0x24f977(0x299b)])[_0x24f977(0x1680)]()){case _0x24f977(0x19d1):_0x1f46ee[_0x24f977(0x7be)][_0x24f977(0x28df)]=_0x1f46ee[_0x24f977(0x7be)]['name']+'='+_0x1f46ee[_0x24f977(0x7be)][_0x24f977(0x327)];break;case'custom':break;default:_0x420b40[0x0]=_0x1f46ee[_0x24f977(0x7be)][_0x24f977(0xd0d)],_0x420b40[0x1]=_0x1f46ee[_0x24f977(0x7be)]['variable'],_0x1f46ee['system'][_0x24f977(0x28df)]=_0x420b40[_0x24f977(0x1f66)](',');}_0x2bb98b(_0x1f46ee[_0x24f977(0x7be)]);}function _0x2bb98b(_0x217d9f){_0x1cee59['hide'](_0x217d9f);}}const _0x5d1455=_0x4766e6;;_0x57d572[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x3d8),'mailAccount','api',_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x57d572(_0x26c038,_0x323c9a,_0xb9ade8,_0x4eae61,_0x221b74,_0x1f5e64,_0x2fd477,_0x12a8bb){const _0x5874bf=_0x5537c6,_0x1d97fa=this;_0x1d97fa['currentUser']=_0x2fd477[_0x5874bf(0x21e8)](),_0x1d97fa['errors']=[],_0x1d97fa[_0x5874bf(0x1386)]='MAIL.EDIT_'+(_0x4eae61[_0x5874bf(0x299b)]||_0x4eae61[_0x5874bf(0x22e1)])[_0x5874bf(0x2335)](),_0x1d97fa[_0x5874bf(0x22b1)]=angular[_0x5874bf(0x17fe)](_0x4eae61),_0x1d97fa['crudPermissions']=_0x12a8bb,_0x1d97fa['hasModulePermissions']={};if(_0x1d97fa[_0x5874bf(0x22b1)][_0x5874bf(0x28df)])switch(_0x1d97fa[_0x5874bf(0x22b1)][_0x5874bf(0x299b)]?_0x1d97fa[_0x5874bf(0x22b1)][_0x5874bf(0x299b)]['toLowerCase']():_0x1d97fa[_0x5874bf(0x22b1)][_0x5874bf(0x22e1)][_0x5874bf(0x1680)]()){case _0x5874bf(0x197c):break;case _0x5874bf(0x711):{const _0xdb30b8=_0x1d97fa[_0x5874bf(0x22b1)][_0x5874bf(0x28df)][_0x5874bf(0xbe1)](',');_0x1d97fa[_0x5874bf(0x22b1)][_0x5874bf(0xee8)]=_0xdb30b8[0x0],_0x1d97fa['tag']['language']=_0xdb30b8[0x1],_0x1d97fa[_0x5874bf(0x22b1)]['welcomemessage']=_0xdb30b8[_0x5874bf(0x14cb)](0x2,_0xdb30b8[_0x5874bf(0xfd0)])[_0x5874bf(0x1f66)](',');}break;case _0x5874bf(0xece):{const _0x46d9ee=_0x1d97fa[_0x5874bf(0x22b1)][_0x5874bf(0x28df)][_0x5874bf(0xbe1)](',');_0x1d97fa[_0x5874bf(0x22b1)][_0x5874bf(0x2854)]=_0x46d9ee[0x0],_0x1d97fa[_0x5874bf(0x22b1)][_0x5874bf(0x12bf)]=_0x46d9ee[0x1],_0x1d97fa[_0x5874bf(0x22b1)][_0x5874bf(0x29d6)]=_0x46d9ee[0x2],_0x1d97fa[_0x5874bf(0x22b1)]['language']=_0x46d9ee[0x3],_0x1d97fa['tag'][_0x5874bf(0x173a)]=_0x46d9ee[_0x5874bf(0x14cb)](0x4,_0x46d9ee[_0x5874bf(0xfd0)])[_0x5874bf(0x1f66)](',');}break;case _0x5874bf(0x123a):{const _0x3ac3a0=_0x1d97fa['tag'][_0x5874bf(0x28df)][_0x5874bf(0xbe1)](',');_0x1d97fa[_0x5874bf(0x22b1)][_0x5874bf(0x413)]=_0x3ac3a0[0x0],_0x1d97fa['tag']['secretaccesskey']=_0x3ac3a0[0x1],_0x1d97fa[_0x5874bf(0x22b1)]['lexregion']=_0x3ac3a0[0x2],_0x1d97fa[_0x5874bf(0x22b1)][_0x5874bf(0x1c7f)]=_0x3ac3a0[0x3],_0x1d97fa['tag'][_0x5874bf(0x173a)]=_0x3ac3a0[_0x5874bf(0x14cb)](0x4,_0x3ac3a0[_0x5874bf(0xfd0)])[_0x5874bf(0x1f66)](',');}break;case _0x5874bf(0x1f71):{const _0x34dc2e=_0x1d97fa[_0x5874bf(0x22b1)][_0x5874bf(0x28df)][_0x5874bf(0xbe1)](',');_0x1d97fa[_0x5874bf(0x22b1)]['times']=isNaN(_0x34dc2e[0x0])?_0x34dc2e[0x0]:parseInt(_0x34dc2e[0x0],0xa),_0x1d97fa['tag'][_0x5874bf(0x19d3)]=_0x34dc2e[_0x5874bf(0x14cb)](0x1,_0x34dc2e[_0x5874bf(0xfd0)])['join'](',');}break;case _0x5874bf(0x155e):_0x1d97fa[_0x5874bf(0x22b1)][_0x5874bf(0x19d3)]=_0x1d97fa[_0x5874bf(0x22b1)][_0x5874bf(0x28df)];break;case _0x5874bf(0x19d1):_0x1d97fa[_0x5874bf(0x22b1)][_0x5874bf(0x16b6)]=_0x1d97fa['tag'][_0x5874bf(0x28df)][_0x5874bf(0xbe1)]('=')[0x0],_0x1d97fa[_0x5874bf(0x22b1)][_0x5874bf(0x327)]=_0x1d97fa[_0x5874bf(0x22b1)][_0x5874bf(0x28df)][_0x5874bf(0xbe1)]('=')[0x1];break;case _0x5874bf(0x4b4):_0x1d97fa[_0x5874bf(0x22b1)]['project']=_0x1d97fa['tag'][_0x5874bf(0x28df)];break;default:{const _0x11ee70=_0x1d97fa[_0x5874bf(0x22b1)]['appdata'][_0x5874bf(0xbe1)](',');_0x1d97fa[_0x5874bf(0x22b1)][_0x5874bf(0x22b1)]=_0x39641b()[_0x5874bf(0xce9)](_0x11ee70[0x0])?_0x11ee70[0x0]:isNaN(_0x11ee70[0x0])?_0x11ee70[0x0]:parseInt(_0x11ee70[0x0],0xa);}break;}else{}_0x1d97fa['tag']['type']&&_0x1d97fa[_0x5874bf(0x22b1)][_0x5874bf(0x66a)][_0x5874bf(0x1680)]()===_0x5874bf(0x895)&&_0x1d97fa['tag']['appType'][_0x5874bf(0x1680)]()===_0x5874bf(0x20ff)&&(_0x1d97fa[_0x5874bf(0x22b1)][_0x5874bf(0x586)]=_0x1d97fa[_0x5874bf(0x22b1)][_0x5874bf(0x1340)]?_0x1d97fa['tag']['phone'][_0x5874bf(0xbe1)]('$')[0x0]:undefined,_0x1d97fa[_0x5874bf(0x22b1)][_0x5874bf(0x1e7c)]=_0x1d97fa['tag'][_0x5874bf(0x2816)]?'CALLERID(all)='+_0x1d97fa['tag'][_0x5874bf(0x2816)]:undefined);_0x1d97fa[_0x5874bf(0x110f)]=_0x249f08,_0x1d97fa[_0x5874bf(0xda0)]=_0x941d4a,_0x2fd477['hasRole'](_0x5874bf(0x1c60))?_0x1f5e64['tag'][_0x5874bf(0xbf7)]({'fields':_0x5874bf(0x43c),'sort':_0x5874bf(0x16b6),'nolimit':'true'})[_0x5874bf(0x1d77)][_0x5874bf(0x1cb0)](function(_0x33dc23){const _0x43310a=_0x5874bf;_0x1d97fa[_0x43310a(0xfbf)]=_0x33dc23[_0x43310a(0x2214)]||[];})[_0x5874bf(0x1c4)](function(_0x24606b){const _0x2771aa=_0x5874bf;_0xb9ade8[_0x2771aa(0x218e)]({'title':_0x24606b[_0x2771aa(0x291)]?_0x2771aa(0xeb9)+_0x24606b[_0x2771aa(0x291)]+'\x20-\x20'+_0x24606b[_0x2771aa(0xc22)]:_0x2771aa(0x12f9),'msg':_0x24606b[_0x2771aa(0x25c)]?JSON['stringify'](_0x24606b[_0x2771aa(0x25c)]):_0x24606b[_0x2771aa(0x147f)]()});}):_0x1f5e64['tag'][_0x5874bf(0xbf7)]({'fields':_0x5874bf(0x43c),'sort':_0x5874bf(0x16b6),'nolimit':_0x5874bf(0x44d)})[_0x5874bf(0x1d77)][_0x5874bf(0x1cb0)](function(_0x45f1c1){const _0x2c2ffc=_0x5874bf;_0x1d97fa['tags']=_0x45f1c1[_0x2c2ffc(0x2214)]||[];})[_0x5874bf(0x1cb0)](function(){const _0x526b93=_0x5874bf;return _0x1f5e64['userProfileSection'][_0x526b93(0xbf7)]({'userProfileId':_0x1d97fa[_0x526b93(0xe76)]['userProfileId'],'sectionId':0x3f0})[_0x526b93(0x1d77)];})[_0x5874bf(0x1cb0)](function(_0x2b5c01){const _0x2881a4=_0x5874bf,_0x6e714=_0x2b5c01&&_0x2b5c01['rows']?_0x2b5c01[_0x2881a4(0x2214)][0x0]:null;if(!_0x6e714){const _0x59c9fd=[];let _0x4031f6=null;_0x1d97fa['tag']&&(_0x4031f6=_0x39641b()[_0x2881a4(0x13b4)](_0x1d97fa[_0x2881a4(0xfbf)],{'name':_0x1d97fa[_0x2881a4(0x22b1)][_0x2881a4(0x22b1)]}));for(let _0x330681=0x0;_0x330681<_0x1d97fa['tags'][_0x2881a4(0xfd0)];_0x330681++){_0x4031f6&&_0x1d97fa['tags'][_0x330681]['id']===_0x4031f6['id']&&(_0x1d97fa[_0x2881a4(0xfbf)][_0x330681][_0x2881a4(0x15da)]=![],_0x59c9fd['push'](_0x1d97fa[_0x2881a4(0xfbf)][_0x330681]));}_0x1d97fa[_0x2881a4(0xfbf)]=_0x59c9fd;}else{if(!_0x6e714[_0x2881a4(0x12f4)])return _0x1f5e64[_0x2881a4(0x1198)][_0x2881a4(0xbf7)]({'sectionId':_0x6e714['id']})[_0x2881a4(0x1d77)][_0x2881a4(0x1cb0)](function(_0x928dab){const _0x4b224b=_0x2881a4,_0x3e5030=_0x39641b()['map'](_0x928dab[_0x4b224b(0x2214)],function(_0x58a59c){const _0x57e9de=_0x4b224b;return _0x39641b()[_0x57e9de(0x13b4)](_0x1d97fa[_0x57e9de(0xfbf)],{'id':_0x58a59c[_0x57e9de(0x2982)]});});let _0x35c130=null;_0x1d97fa['tag']&&(_0x35c130=_0x39641b()['find'](_0x1d97fa[_0x4b224b(0xfbf)],{'name':_0x1d97fa[_0x4b224b(0x22b1)][_0x4b224b(0x22b1)]}));if(_0x35c130&&!_0x39641b()['some'](_0x3e5030,['id',_0x35c130['id']])){const _0x4d8803=_0x39641b()[_0x4b224b(0x13b4)](_0x1d97fa[_0x4b224b(0xfbf)],{'id':_0x35c130['id']});_0x4d8803[_0x4b224b(0x15da)]=![],_0x3e5030['push'](_0x4d8803);}_0x1d97fa[_0x4b224b(0xfbf)]=_0x3e5030;});}})['catch'](function(_0x519183){const _0x1838b2=_0x5874bf;_0xb9ade8[_0x1838b2(0x218e)]({'title':_0x519183[_0x1838b2(0x291)]?_0x1838b2(0xeb9)+_0x519183['status']+_0x1838b2(0x1657)+_0x519183[_0x1838b2(0xc22)]:_0x1838b2(0x808),'msg':_0x519183[_0x1838b2(0x25c)]?JSON[_0x1838b2(0x2701)](_0x519183['data']):_0x519183[_0x1838b2(0x147f)]()});});function _0x249f08(){const _0x2bee6a=_0x5874bf;_0x1d97fa[_0x2bee6a(0x1a7c)]=[];const _0x467815=[];_0x1d97fa['tag']['type']&&_0x1d97fa[_0x2bee6a(0x22b1)][_0x2bee6a(0x66a)][_0x2bee6a(0x1680)]()===_0x2bee6a(0x895)&&_0x1d97fa[_0x2bee6a(0x22b1)]['appType']===_0x2bee6a(0x25f4)&&(_0x1d97fa[_0x2bee6a(0x22b1)]['phone']=_0x221b74[_0x2bee6a(0x325)]?(_0x1d97fa[_0x2bee6a(0x22b1)][_0x2bee6a(0x586)]||'')+'${EXTEN:'+_0x221b74[_0x2bee6a(0x325)]+'}':(_0x1d97fa[_0x2bee6a(0x22b1)][_0x2bee6a(0x586)]||'')+_0x2bee6a(0xcdd),_0x221b74[_0x2bee6a(0xf8d)]!=='none'?_0x1d97fa['tag'][_0x2bee6a(0x2224)][_0x2bee6a(0xd8a)](_0x2bee6a(0x106a))<0x0&&(_0x1d97fa[_0x2bee6a(0x22b1)]['options']+=_0x2bee6a(0x106a)):_0x1d97fa['tag']['options']=_0x1d97fa[_0x2bee6a(0x22b1)][_0x2bee6a(0x2224)]['replace']('U(xcally-mixmonitor-context)',''));const _0xd46ea3=_0x39641b()[_0x2bee6a(0x13b4)](_0x1d97fa['tags'],{'name':_0x1d97fa['tag']['tag']});_0xd46ea3&&(_0x1d97fa[_0x2bee6a(0x22b1)]['TagId']=_0xd46ea3['id']);if(_0x1d97fa['tag'][_0x2bee6a(0x299b)]&&_0x1d97fa[_0x2bee6a(0x22b1)]['appType']===_0x2bee6a(0x197c)){}else switch((_0x1d97fa['tag'][_0x2bee6a(0x22e1)]||_0x1d97fa['tag'][_0x2bee6a(0x299b)])[_0x2bee6a(0x1680)]()){case _0x2bee6a(0x19d1):_0x1d97fa[_0x2bee6a(0x22b1)][_0x2bee6a(0x28df)]=_0x1d97fa[_0x2bee6a(0x22b1)][_0x2bee6a(0x16b6)]+'='+_0x1d97fa[_0x2bee6a(0x22b1)]['value'];break;case _0x2bee6a(0x197c):break;default:_0x467815[0x0]=_0x1d97fa[_0x2bee6a(0x22b1)][_0x2bee6a(0x22b1)],_0x1d97fa['tag']['appdata']=_0x467815[_0x2bee6a(0x1f66)](',');}_0x941d4a(_0x1d97fa[_0x2bee6a(0x22b1)]);}function _0x941d4a(_0x36f8ac){const _0x290596=_0x5874bf;_0x26c038[_0x290596(0x1426)](_0x36f8ac);}}const _0x55510c=_0x57d572;;_0x1cc23c[_0x5537c6(0x15b6)]=[_0x5537c6(0x173),_0x5537c6(0x1463),_0x5537c6(0x406),'$q','$translate',_0x5537c6(0x2168),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x1774)];function _0x1cc23c(_0x3950a9,_0x2c8e94,_0x4bacdc,_0x8316d,_0x34f7db,_0x1d6271,_0x573405,_0x5bad65,_0x17df45,_0xf53939,_0x35ce86){const _0x15bc68=_0x5537c6,_0x52e44a=this;_0x52e44a[_0x15bc68(0xe76)]=_0x35ce86[_0x15bc68(0x21e8)](),_0x52e44a[_0x15bc68(0x131c)]={},_0x52e44a[_0x15bc68(0x1e65)]={'count':0x0,'rows':[]},_0x52e44a['selectedMailAccountInteractions']=[],_0x52e44a['crudPermissions'],_0x52e44a[_0x15bc68(0xae2)]={'read':_0x15bc68(0xd38),'closed':_0x15bc68(0xd38),'sort':_0x15bc68(0x1c45),'includeAll':_0x15bc68(0x44d),'limit':0xa,'page':0x1},_0x52e44a[_0x15bc68(0x1a8e)]=_0x2e6640,_0x52e44a[_0x15bc68(0xb25)]=_0x3b5baf,_0x52e44a['mailInteractionDownload']=_0x33ce39,_0x52e44a[_0x15bc68(0x829)]=_0x119b7a,_0x52e44a['getMailAccountInteractions']=_0x345a2d,_0x52e44a[_0x15bc68(0xe31)]=_0x5848d9,_0x52e44a[_0x15bc68(0x1ede)]=_0x179df1,_0x52e44a['exportSelectedMailAccountInteractions']=_0x13a6e2,_0x52e44a['deleteMailAccountInteraction']=_0x116b8d,_0x52e44a[_0x15bc68(0x1564)]=_0x5911f8;function _0x2e6640(_0x8ec978,_0x295d34){const _0x69aa35=_0x15bc68;_0x52e44a[_0x69aa35(0x131c)]=_0x8ec978,_0x52e44a['crudPermissions']=typeof _0x295d34!==_0x69aa35(0x16b5)?_0x295d34:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x52e44a[_0x69aa35(0xae2)]['MailAccountId']=_0x52e44a['mailAccount']['id'],_0x52e44a[_0x69aa35(0x16ad)]={'fields':_0x5510f6()},_0x3f8ffe();}function _0x3f8ffe(){const _0x1f465b=_0x15bc68;return _0xf53939[_0x1f465b(0x22b1)][_0x1f465b(0xbf7)]()['$promise'][_0x1f465b(0x1cb0)](function(_0x324a67){const _0x301859=_0x1f465b;_0x52e44a[_0x301859(0xfbf)]=_0x324a67||{'count':0x0,'rows':[]};})[_0x1f465b(0x1cb0)](function(){const _0xaa0ef8=_0x1f465b;return _0xf53939['mailSubstatus']['get']({'nolimit':!![]})[_0xaa0ef8(0x1d77)][_0xaa0ef8(0x1cb0)](function(_0x5d9704){const _0x47a564=_0xaa0ef8;_0x52e44a[_0x47a564(0x16a)]=_0x5d9704||{'count':0x0,'rows':[]};});})['then'](function(){const _0x14b758=_0x1f465b;_0x52e44a[_0x14b758(0x789)]=_0x54c3b1();});}function _0x54c3b1(){const _0x1be0ea=_0x15bc68;return[{'name':_0x1be0ea(0x68d),'key':_0x1be0ea(0x24cb),'type':'date','label':_0x1be0ea(0xf5e)},{'name':_0x1be0ea(0x223c),'key':_0x1be0ea(0xfc1),'type':_0x1be0ea(0x220f),'label':_0x1be0ea(0x9e5),'customOptions':[{'value':0x0,'translate':_0x1be0ea(0x1115)},{'value':0x1,'translate':'DASHBOARDS.READ'},{'value':null,'translate':_0x1be0ea(0x2706)}]},{'name':_0x1be0ea(0x938),'key':_0x1be0ea(0x22aa),'type':'select','label':'DASHBOARDS.SELECT_STATUS','customOptions':[{'value':0x0,'translate':_0x1be0ea(0x1bbd)},{'value':0x1,'translate':_0x1be0ea(0x191b)},{'value':null,'translate':_0x1be0ea(0x2706)}]},{'name':_0x1be0ea(0x2020),'key':_0x1be0ea(0x20ed),'type':_0x1be0ea(0x220f),'label':_0x1be0ea(0x143d),'options':_0x52e44a[_0x1be0ea(0x16a)][_0x1be0ea(0x2214)],'customOptions':[{'value':_0x1be0ea(0xd38),'translate':_0x1be0ea(0x1f6f)},{'value':undefined,'translate':_0x1be0ea(0x2706)}],'ngValue':'name','ngIf':_0x1be0ea(0x881)},{'name':'Agent','key':_0x1be0ea(0x21ab),'type':_0x1be0ea(0x220f),'label':_0x1be0ea(0x7bb),'customOptions':[{'value':_0x1be0ea(0xd38),'translate':_0x1be0ea(0x68f)},{'value':undefined,'translate':'DASHBOARDS.ALL'}]},{'name':_0x1be0ea(0xf2d),'key':'tag','type':'multiselect','label':'DASHBOARDS.SELECT_TAG','options':_0x52e44a[_0x1be0ea(0xfbf)][_0x1be0ea(0x2214)],'placeholder':_0x1be0ea(0x62b)}];}function _0x5510f6(){const _0x33ca9c=_0x15bc68;return[{'name':'Id','column':'id','type':_0x33ca9c(0x83d)},{'name':_0x33ca9c(0x8d3),'column':_0x33ca9c(0x8d3),'type':_0x33ca9c(0x19e0),'options':{'searchFields':[_0x33ca9c(0x1491),_0x33ca9c(0x1fbb),_0x33ca9c(0x1e19)],'route':{'model':_0x33ca9c(0xbe7),'action':_0x33ca9c(0xbf7),'params':{'fields':_0x33ca9c(0x9b0),'Contact':_0x33ca9c(0xed6),'nolimit':!![]}},'extraOperators':['$substring'],'excludedOperators':[_0x33ca9c(0x15ce)]}},{'name':_0x33ca9c(0x228c),'column':'subject','type':_0x33ca9c(0x19d3),'options':{'excludedOperators':[_0x33ca9c(0x1c5e),'$ne']}},{'name':_0x33ca9c(0x269b),'column':_0x33ca9c(0x1cd0),'type':'text','options':{'excludedOperators':[_0x33ca9c(0x1c5e),_0x33ca9c(0x15ce)]}},{'name':_0x33ca9c(0x938),'column':_0x33ca9c(0x22aa),'type':'select','values':[{'id':0x0,'translate':_0x33ca9c(0x1bbd)},{'id':0x1,'translate':_0x33ca9c(0x191b)}],'options':{'excludedOperators':[_0x33ca9c(0x15ce)]}},{'name':'Substatus','column':_0x33ca9c(0x20ed),'type':_0x33ca9c(0x1d50),'options':{'field':_0x33ca9c(0x16b6),'route':{'model':_0x33ca9c(0xdbe),'action':_0x33ca9c(0xbf7),'params':{'nolimit':!![]}},'excludedOperators':['$notIn']}},{'name':_0x33ca9c(0x30e),'column':_0x33ca9c(0x1597),'type':'autocomplete','options':{'table':'i','route':{'model':'user','action':_0x33ca9c(0xbf7),'params':{'role':'agent','fields':_0x33ca9c(0x1d34),'nolimit':!![]}},'searchFields':[_0x33ca9c(0x1d14),_0x33ca9c(0x16b6)],'extraOperators':[_0x33ca9c(0x1fb1)],'excludedOperators':[_0x33ca9c(0x15ce)]}},{'name':_0x33ca9c(0x190),'column':_0x33ca9c(0xf2d),'type':'multiselect','options':{'route':{'model':_0x33ca9c(0x22b1),'action':'get','params':{'nolimit':!![]}},'excludedOperators':[_0x33ca9c(0x62d)]}},{'name':'Start\x20Date','column':_0x33ca9c(0x24cb),'type':_0x33ca9c(0x18ec),'options':{'excludedOperators':[_0x33ca9c(0x15ce)]}},{'name':_0x33ca9c(0x946),'column':'unreadMessages','type':_0x33ca9c(0x220f),'values':[{'id':0x1,'translate':'DASHBOARDS.READ'},{'id':0x0,'translate':'DASHBOARDS.UNREAD'}],'options':{'excludedOperators':[_0x33ca9c(0x15ce)]}}];}function _0x179df1(){const _0xa1b64e=_0x15bc68;_0x573405['show']({'controller':_0xa1b64e(0x15f7),'controllerAs':'vm','templateUrl':_0x3530d6,'parent':angular[_0xa1b64e(0x1853)](_0x5bad65[_0xa1b64e(0x1ed9)]),'clickOutsideToClose':![],'locals':{'fields':_0x52e44a['advancedSearch'][_0xa1b64e(0x355)],'color':undefined,'storagePath':_0xa1b64e(0x8d1)},'fullscreen':!![]})[_0xa1b64e(0x1cb0)](function(_0x52d25f){const _0x58fc24=_0xa1b64e;_0x52e44a[_0x58fc24(0xae2)][_0x58fc24(0x24be)]=_0x52d25f===![]?undefined:_0x52d25f;if(_0x52e44a['query'][_0x58fc24(0x24be)])_0x52e44a[_0x58fc24(0xae2)][_0x58fc24(0x24be)]+=_0x58fc24(0x1b2c)+_0x52e44a[_0x58fc24(0x131c)]['id']+']',_0x345a2d();else _0x52d25f===![]&&_0x345a2d();})[_0xa1b64e(0x1c4)](function(_0x2b83bc){const _0x39eb17=_0xa1b64e;_0x17df45[_0x39eb17(0x218e)]({'title':_0x39eb17(0x2260),'msg':_0x2b83bc['data']?JSON[_0x39eb17(0x2701)](_0x2b83bc[_0x39eb17(0x25c)]['message']):_0x2b83bc[_0x39eb17(0x147f)]()});});}function _0x33ce39(_0x2eb918,_0x1a85bc,_0x401f5d){const _0xa67dc7=_0x15bc68;return _0xf53939['mailInteraction'][_0xa67dc7(0x26ec)]({'id':_0x2eb918['id'],'exists':!![],'attachments':_0x401f5d})['$promise'][_0xa67dc7(0x1cb0)](function(_0x235c84){const _0x106dbd=_0xa67dc7,_0x1de79d=[_0x235c84[_0x106dbd(0xef0)]];let _0x5da694=_0x106dbd(0x10e4)+_0x2eb918['id'];const _0x218abe=new Blob(_0x1de79d,{'type':_0x235c84[_0x106dbd(0x66a)]});_0x5da694=_0x106dbd(0x914)+_0x2eb918['id']+_0x106dbd(0x1b16);const _0x548eba=window[_0x106dbd(0x1db8)][_0x106dbd(0x8c6)]('a');_0x548eba['setAttribute'](_0x106dbd(0x105b),URL[_0x106dbd(0x2247)](_0x218abe)),_0x548eba[_0x106dbd(0x23b9)](_0x106dbd(0x26ec),_0x5da694),document['body'][_0x106dbd(0x23de)](_0x548eba),_0x548eba[_0x106dbd(0x20b8)]();})['catch'](function(_0x5dab4f){const _0x4d70d3=_0xa67dc7;if(_0x5dab4f[_0x4d70d3(0x25c)]&&_0x5dab4f['data'][_0x4d70d3(0x1a7c)]&&_0x5dab4f[_0x4d70d3(0x25c)]['errors']['length'])for(let _0x56f361=0x0;_0x56f361<_0x5dab4f[_0x4d70d3(0x25c)][_0x4d70d3(0x1a7c)][_0x4d70d3(0xfd0)];_0x56f361+=0x1){_0x17df45['error']({'title':_0x5dab4f['data'][_0x4d70d3(0x1a7c)][_0x56f361][_0x4d70d3(0x66a)],'msg':_0x5dab4f['data'][_0x4d70d3(0x1a7c)][_0x56f361][_0x4d70d3(0x155e)]});}else _0x17df45['error']({'title':_0x5dab4f[_0x4d70d3(0x291)]?_0x4d70d3(0xeb9)+_0x5dab4f[_0x4d70d3(0x291)]+_0x4d70d3(0x1657)+_0x5dab4f[_0x4d70d3(0xc22)]:_0x4d70d3(0x2258),'msg':_0x5dab4f[_0x4d70d3(0x25c)]?JSON['stringify'](_0x5dab4f[_0x4d70d3(0x25c)][_0x4d70d3(0x155e)]):_0x5dab4f[_0x4d70d3(0x147f)]()});});}function _0x3b5baf(_0x142dc6,_0x5e5f2b){const _0xa826cd=_0x15bc68,_0x48b450=_0x573405['confirm']()['title'](_0xa826cd(0x9bc))[_0xa826cd(0x49e)](_0xa826cd(0x204d)+(_0x142dc6[_0xa826cd(0x16b6)]||_0x142dc6['id']&&_0x39641b()[_0xa826cd(0x277)](_0xa826cd(0xf82))+_0x142dc6['id']||'interaction')+''+_0xa826cd(0x1b6))[_0xa826cd(0x15ad)](_0xa826cd(0x116f))[_0xa826cd(0x728)](_0x5e5f2b)['ok']('OK')[_0xa826cd(0x696)](_0xa826cd(0x24ba));_0x573405[_0xa826cd(0xe27)](_0x48b450)['then'](function(){_0x116b8d(_0x142dc6);},function(){const _0x108a98=_0xa826cd;console[_0x108a98(0x1b4f)](_0x108a98(0x24ba));});}function _0x119b7a(_0x22fb2d){const _0x443ba8=_0x15bc68;_0x52e44a[_0x443ba8(0x1e65)]=_0x22fb2d||{'count':0x0,'rows':[]};for(let _0x22db2e=0x0;_0x22db2e<_0x52e44a[_0x443ba8(0x1e65)][_0x443ba8(0x2214)][_0x443ba8(0xfd0)];_0x22db2e+=0x1){const _0x5dda79=_0x52e44a[_0x443ba8(0x1e65)][_0x443ba8(0x2214)][_0x22db2e];_0x44ccd3(_0x5dda79),_0x5dda79['Owner'][_0x443ba8(0x16b6)]=_0x5b5ab5(_0x5dda79);}}function _0x345a2d(){const _0x188a27=_0x15bc68;_0x52e44a[_0x188a27(0xae2)][_0x188a27(0x184b)]=(_0x52e44a[_0x188a27(0xae2)][_0x188a27(0x1c7b)]-0x1)*_0x52e44a[_0x188a27(0xae2)][_0x188a27(0x236)],_0x52e44a['promise']=_0xf53939[_0x188a27(0x8e9)]['get'](_0x52e44a[_0x188a27(0xae2)],_0x119b7a)[_0x188a27(0x1d77)];}function _0x5848d9(_0x5d13d2,_0x167083){const _0x2b5d44=_0x15bc68;_0x573405[_0x2b5d44(0xe27)]({'controller':_0x2b5d44(0x4b2),'controllerAs':'vm','templateUrl':_0x50da87,'parent':angular['element'](_0x5bad65[_0x2b5d44(0x1ed9)]),'targetEvent':_0x5d13d2,'clickOutsideToClose':!![],'onShowing':function(_0x13afbd){const _0x184485=_0x2b5d44;_0x13afbd['vm']['init']({'id':0x1,'channel':_0x184485(0x2651),'interaction':_0x167083,'spy':!![]},_0x2c8e94[_0x184485(0x17bc)]['vm'][_0x184485(0x9ca)]);}});}function _0x116b8d(_0x4a0460){const _0x28d5fd=_0x15bc68;_0xf53939[_0x28d5fd(0x8e9)][_0x28d5fd(0x111d)]({'id':_0x4a0460['id']})['$promise'][_0x28d5fd(0x1cb0)](function(){const _0x15c4bf=_0x28d5fd;_0x39641b()[_0x15c4bf(0x152a)](_0x52e44a[_0x15c4bf(0x1e65)][_0x15c4bf(0x2214)],{'id':_0x4a0460['id']}),_0x52e44a['mailAccountInteractions'][_0x15c4bf(0x184d)]-=0x1,!_0x52e44a['mailAccountInteractions'][_0x15c4bf(0x2214)][_0x15c4bf(0xfd0)]&&_0x345a2d(),_0x17df45[_0x15c4bf(0x829)]({'title':'Interaction\x20deleted!','msg':_0x4a0460[_0x15c4bf(0x16b6)]?_0x4a0460[_0x15c4bf(0x16b6)]+_0x15c4bf(0x3f5):''});})[_0x28d5fd(0x1c4)](function(_0x35390f){const _0x3b1347=_0x28d5fd;if(_0x35390f[_0x3b1347(0x25c)]&&_0x35390f[_0x3b1347(0x25c)]['errors']&&_0x35390f[_0x3b1347(0x25c)][_0x3b1347(0x1a7c)][_0x3b1347(0xfd0)]){_0x52e44a[_0x3b1347(0x1a7c)]=_0x35390f[_0x3b1347(0x25c)][_0x3b1347(0x1a7c)]||[{'message':_0x35390f[_0x3b1347(0x147f)](),'type':'SYSTEM:GETmailAccount'}];for(let _0x69aa0d=0x0;_0x69aa0d<_0x35390f[_0x3b1347(0x25c)][_0x3b1347(0x1a7c)][_0x3b1347(0xfd0)];_0x69aa0d++){_0x17df45[_0x3b1347(0x218e)]({'title':_0x35390f[_0x3b1347(0x25c)][_0x3b1347(0x1a7c)][_0x69aa0d][_0x3b1347(0x66a)],'msg':_0x35390f[_0x3b1347(0x25c)][_0x3b1347(0x1a7c)][_0x69aa0d]['message']});}}else _0x17df45[_0x3b1347(0x218e)]({'title':_0x35390f[_0x3b1347(0x291)]?_0x3b1347(0xeb9)+_0x35390f['status']+_0x3b1347(0x1657)+_0x35390f['statusText']:'SYSTEM:GETmailAccount','msg':_0x35390f[_0x3b1347(0x25c)]?JSON[_0x3b1347(0x2701)](_0x35390f[_0x3b1347(0x25c)][_0x3b1347(0x155e)]):_0x35390f[_0x3b1347(0x155e)]||_0x35390f[_0x3b1347(0x147f)]()});});}function _0x13a6e2(){const _0x4b246d=_0x15bc68,_0x4238e6=angular[_0x4b246d(0x17fe)](_0x52e44a[_0x4b246d(0x921)]);return _0x52e44a[_0x4b246d(0x921)]=[],_0x4238e6;}function _0x5911f8(_0x3096d9){const _0x42b642=_0x15bc68,_0x5ee107=_0x573405[_0x42b642(0x1551)]()[_0x42b642(0x1386)](_0x42b642(0xb2e))['htmlContent'](''+_0x52e44a[_0x42b642(0x921)][_0x42b642(0xfd0)]+_0x42b642(0x1d6c)+_0x42b642(0x1b6))[_0x42b642(0x15ad)](_0x42b642(0x8ca))[_0x42b642(0x728)](_0x3096d9)['ok']('OK')[_0x42b642(0x696)]('CANCEL');_0x573405[_0x42b642(0xe27)](_0x5ee107)[_0x42b642(0x1cb0)](function(){const _0x57feed=_0x42b642;_0x52e44a[_0x57feed(0x921)][_0x57feed(0xf90)](function(_0x4ad6f8){_0x116b8d(_0x4ad6f8);}),_0x52e44a['selectedMailAccountInteractions']=[];});}function _0x44ccd3(_0x54e1a6){const _0x3d5c4f=_0x15bc68;if(!_0x39641b()[_0x3d5c4f(0xce9)](_0x54e1a6['to'])&&!_0x39641b()[_0x3d5c4f(0x250a)](_0x54e1a6['to'],_0x52e44a[_0x3d5c4f(0x131c)]['email']))_0x54e1a6[_0x3d5c4f(0x1325)]=_0x54e1a6['to']['split']('\x20<')[0x0],_0x54e1a6[_0x3d5c4f(0x28b6)]=_0x54e1a6['to'][_0x3d5c4f(0xbe1)]('<')[_0x3d5c4f(0xad3)]()[_0x3d5c4f(0xbe1)]('>')[0x0];else _0x54e1a6[_0x3d5c4f(0x8d3)]?(_0x54e1a6[_0x3d5c4f(0x1325)]=(_0x54e1a6[_0x3d5c4f(0x8d3)][_0x3d5c4f(0x1491)]||'')+'\x20'+(_0x54e1a6['Contact']['lastName']||''),_0x54e1a6[_0x3d5c4f(0x28b6)]=_0x54e1a6[_0x3d5c4f(0x8d3)][_0x3d5c4f(0x1e19)]||''):_0x54e1a6[_0x3d5c4f(0x1325)]=_0x34f7db[_0x3d5c4f(0x25cc)](_0x3d5c4f(0x2b9));}function _0x5b5ab5(_0x5b7b50){const _0x203766=_0x15bc68;if(_0x5b7b50[_0x203766(0x21ab)])return _0x5b7b50[_0x203766(0x21ab)]===_0x52e44a[_0x203766(0xe76)]['id']?_0x34f7db['instant'](_0x203766(0x1ea6)):_0x5b7b50['Owner'][_0x203766(0x1d14)]+'\x20<'+_0x5b7b50[_0x203766(0x135d)][_0x203766(0xdbd)]+'>';return _0x34f7db['instant']('DASHBOARDS.NOT_ASSIGNED');}let _0x475e93=!![],_0x3fba8e=0x1;_0x2c8e94[_0x15bc68(0x614)]('vm_dc.query.filter',function(_0x13fe3c,_0x49e27e){const _0x1308e6=_0x15bc68;_0x475e93?_0x1d6271(function(){_0x475e93=![];}):(!_0x49e27e&&(_0x3fba8e=_0x52e44a[_0x1308e6(0xae2)][_0x1308e6(0x1c7b)]),_0x13fe3c!==_0x49e27e&&(_0x52e44a[_0x1308e6(0xae2)]['page']=0x1),!_0x13fe3c&&(_0x52e44a[_0x1308e6(0xae2)][_0x1308e6(0x1c7b)]=_0x3fba8e),_0x345a2d());});}const _0x50c603=_0x1cc23c;;const _0x5a41e5=_0x5074a3['p']+_0x5537c6(0x184e);;_0x3c72f2['$inject']=[_0x5537c6(0x173),'$scope','$state','$q',_0x5537c6(0x1ae),_0x5537c6(0x2168),_0x5537c6(0xcb9),'$document',_0x5537c6(0x9bf),'api','Auth'];function _0x3c72f2(_0x1fd747,_0xc5d8cd,_0x289a,_0x2bf137,_0x1052b5,_0x50709d,_0x5aec42,_0x434b11,_0xf4cd23,_0xb28b54,_0x247553){const _0x4a716b=_0x5537c6,_0x2509e7=this;_0x2509e7[_0x4a716b(0xe76)]=_0x247553[_0x4a716b(0x21e8)](),_0x2509e7[_0x4a716b(0x131c)]={},_0x2509e7[_0x4a716b(0x1c57)]={'count':0x0,'rows':[]},_0x2509e7[_0x4a716b(0x13f3)]=[],_0x2509e7[_0x4a716b(0x1b1a)],_0x2509e7[_0x4a716b(0xae2)]={'fields':'createdAt,updatedAt,id,key,value,description','limit':0xa,'page':0x1},_0x2509e7['init']=_0x1c9452,_0x2509e7[_0x4a716b(0xb25)]=_0x2c53af,_0x2509e7['success']=_0x18c0d1,_0x2509e7[_0x4a716b(0x2519)]=_0x48f912,_0x2509e7[_0x4a716b(0x1913)]=_0x1b6575,_0x2509e7[_0x4a716b(0x4d1)]=_0x33a698,_0x2509e7[_0x4a716b(0x45f)]=_0x353f3f,_0x2509e7[_0x4a716b(0x17b3)]=_0x299568;function _0x1c9452(_0x4441a8,_0x2c450b){const _0x3c70f4=_0x4a716b;_0x2509e7[_0x3c70f4(0x131c)]=_0x4441a8,_0x2509e7[_0x3c70f4(0x1b1a)]=typeof _0x2c450b!==_0x3c70f4(0x16b5)?_0x2c450b:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x2509e7['query'][_0x3c70f4(0x1285)]=_0x2509e7['mailAccount']['id'],_0x2509e7[_0x3c70f4(0xae2)]['id']=_0x2509e7['mailAccount']['id'],_0x48f912();}function _0x2c53af(_0x2e3430,_0x223855){const _0x350f2d=_0x4a716b,_0x2e46a5=_0x5aec42[_0x350f2d(0x1551)]()['title'](_0x350f2d(0x760))[_0x350f2d(0x49e)](''+(_0x2e3430[_0x350f2d(0x16b6)]||_0x2e3430['id']&&_0x39641b()[_0x350f2d(0x277)]('mailCannedAnswer\x20#')+_0x2e3430['id']||_0x350f2d(0xa49))+''+_0x350f2d(0x1b6))['ariaLabel'](_0x350f2d(0x717))[_0x350f2d(0x728)](_0x223855)['ok']('OK')[_0x350f2d(0x696)]('CANCEL');_0x5aec42[_0x350f2d(0xe27)](_0x2e46a5)['then'](function(){_0x353f3f(_0x2e3430);},function(){const _0x6602d1=_0x350f2d;console[_0x6602d1(0x1b4f)](_0x6602d1(0x24ba));});}function _0x18c0d1(_0x38a208){const _0x32b5ce=_0x4a716b;_0x2509e7[_0x32b5ce(0x1c57)]=_0x38a208||{'count':0x0,'rows':[]};}function _0x48f912(){const _0x2b002a=_0x4a716b;_0x2509e7['query']['offset']=(_0x2509e7[_0x2b002a(0xae2)][_0x2b002a(0x1c7b)]-0x1)*_0x2509e7[_0x2b002a(0xae2)][_0x2b002a(0x236)],_0x2509e7['promise']=_0xb28b54[_0x2b002a(0x131c)][_0x2b002a(0x1ec5)](_0x2509e7[_0x2b002a(0xae2)],_0x18c0d1)[_0x2b002a(0x1d77)];}function _0x1b6575(_0x105e47,_0x5aa440){const _0x15989e=_0x4a716b;_0x5aec42[_0x15989e(0xe27)]({'controller':_0x15989e(0xc7b),'controllerAs':'vm','templateUrl':_0x5a41e5,'parent':angular[_0x15989e(0x1853)](_0x434b11[_0x15989e(0x1ed9)]),'targetEvent':_0x105e47,'clickOutsideToClose':!![],'locals':{'mailAccount':_0x2509e7['mailAccount'],'mailCannedAnswer':_0x5aa440,'mailCannedAnswers':_0x2509e7['mailAccountMailCannedAnswers'][_0x15989e(0x2214)],'license':null,'setting':null,'crudPermissions':_0x2509e7[_0x15989e(0x1b1a)]}});}function _0x353f3f(_0x3dcbc7){const _0x247eff=_0x4a716b;_0xb28b54[_0x247eff(0x1c25)][_0x247eff(0x111d)]({'id':_0x3dcbc7['id']})[_0x247eff(0x1d77)][_0x247eff(0x1cb0)](function(){const _0x39733e=_0x247eff;_0x39641b()[_0x39733e(0x152a)](_0x2509e7[_0x39733e(0x1c57)][_0x39733e(0x2214)],{'id':_0x3dcbc7['id']}),_0x2509e7[_0x39733e(0x1c57)][_0x39733e(0x184d)]-=0x1,!_0x2509e7['mailAccountMailCannedAnswers'][_0x39733e(0x2214)][_0x39733e(0xfd0)]&&_0x48f912(),_0xf4cd23[_0x39733e(0x829)]({'title':_0x39733e(0x2d7),'msg':_0x3dcbc7[_0x39733e(0x16b6)]?_0x3dcbc7['name']+_0x39733e(0x3f5):''});})[_0x247eff(0x1c4)](function(_0x4857a4){const _0x1c1707=_0x247eff;if(_0x4857a4[_0x1c1707(0x25c)]&&_0x4857a4[_0x1c1707(0x25c)][_0x1c1707(0x1a7c)]&&_0x4857a4[_0x1c1707(0x25c)][_0x1c1707(0x1a7c)][_0x1c1707(0xfd0)]){_0x2509e7['errors']=_0x4857a4[_0x1c1707(0x25c)][_0x1c1707(0x1a7c)]||[{'message':_0x4857a4[_0x1c1707(0x147f)](),'type':_0x1c1707(0x1d2a)}];for(let _0x5dc98d=0x0;_0x5dc98d<_0x4857a4[_0x1c1707(0x25c)]['errors'][_0x1c1707(0xfd0)];_0x5dc98d++){_0xf4cd23[_0x1c1707(0x218e)]({'title':_0x4857a4['data'][_0x1c1707(0x1a7c)][_0x5dc98d][_0x1c1707(0x66a)],'msg':_0x4857a4[_0x1c1707(0x25c)][_0x1c1707(0x1a7c)][_0x5dc98d][_0x1c1707(0x155e)]});}}else _0xf4cd23['error']({'title':_0x4857a4['status']?_0x1c1707(0xeb9)+_0x4857a4[_0x1c1707(0x291)]+_0x1c1707(0x1657)+_0x4857a4[_0x1c1707(0xc22)]:_0x1c1707(0x1d2a),'msg':_0x4857a4[_0x1c1707(0x25c)]?JSON[_0x1c1707(0x2701)](_0x4857a4[_0x1c1707(0x25c)]['message']):_0x4857a4['message']||_0x4857a4['toString']()});});}function _0x33a698(){const _0x2a62e7=_0x4a716b,_0x53a27d=angular[_0x2a62e7(0x17fe)](_0x2509e7[_0x2a62e7(0x13f3)]);return _0x2509e7[_0x2a62e7(0x13f3)]=[],_0x53a27d;}function _0x299568(_0x138cb3){const _0x2883f2=_0x4a716b,_0x45af7c=_0x5aec42[_0x2883f2(0x1551)]()['title'](_0x2883f2(0x1e40))[_0x2883f2(0x49e)](_0x2883f2(0x204d)+_0x2509e7[_0x2883f2(0x13f3)][_0x2883f2(0xfd0)]+_0x2883f2(0x1d6c)+_0x2883f2(0x1b6))['ariaLabel'](_0x2883f2(0xe35))[_0x2883f2(0x728)](_0x138cb3)['ok']('OK')[_0x2883f2(0x696)](_0x2883f2(0x24ba));_0x5aec42[_0x2883f2(0xe27)](_0x45af7c)[_0x2883f2(0x1cb0)](function(){const _0x23fba9=_0x2883f2;_0x2509e7[_0x23fba9(0x13f3)][_0x23fba9(0xf90)](function(_0x199942){_0x353f3f(_0x199942);}),_0x2509e7[_0x23fba9(0x13f3)]=[];});}let _0x3702a9=!![],_0xf77da5=0x1;_0xc5d8cd['$watch'](_0x4a716b(0xeb6),function(_0x1fc02d,_0xe16457){const _0x643fc6=_0x4a716b;_0x3702a9?_0x50709d(function(){_0x3702a9=![];}):(!_0xe16457&&(_0xf77da5=_0x2509e7[_0x643fc6(0xae2)]['page']),_0x1fc02d!==_0xe16457&&(_0x2509e7[_0x643fc6(0xae2)][_0x643fc6(0x1c7b)]=0x1),!_0x1fc02d&&(_0x2509e7[_0x643fc6(0xae2)]['page']=_0xf77da5),_0x48f912());});}const _0x5165df=_0x3c72f2;;_0x28d3b8[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),'$location',_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),'toasty',_0x5537c6(0x20ca),'mailCannedAnswer',_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x28d3b8(_0x45fad6,_0x1145c6,_0x17439f,_0x226cbe,_0x3013bd,_0x13514c,_0x5200b4,_0x24d793,_0x260919,_0xbdaf82,_0x1adce4,_0x20f4ba,_0x44126d,_0x4d20a0){const _0xaf3a38=_0x5537c6,_0x20b30c=this;_0x20b30c[_0xaf3a38(0xe76)]=_0x1adce4[_0xaf3a38(0x21e8)](),_0x20b30c[_0xaf3a38(0x1a7c)]=[],_0x20b30c[_0xaf3a38(0x9ca)]=_0x44126d,_0x20b30c[_0xaf3a38(0x8a5)]=_0x20f4ba,_0x20b30c['crudPermissions']=_0x4d20a0,_0x20b30c['hasModulePermissions']={},_0x20b30c[_0xaf3a38(0x1b0c)]=_0x20b30c[_0xaf3a38(0x9ca)]&&_0x20b30c[_0xaf3a38(0x9ca)][_0xaf3a38(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x20b30c['title']='MAIL.EDIT_MAILCANNEDANSWER',_0x20b30c['mailCannedAnswer']=angular[_0xaf3a38(0x17fe)](_0x260919),_0x20b30c[_0xaf3a38(0x20ca)]=_0x24d793,_0x20b30c['newMailCannedAnswer']=![];!_0x20b30c[_0xaf3a38(0xa49)]&&(_0x20b30c['mailCannedAnswer']={},_0x20b30c[_0xaf3a38(0x1386)]=_0xaf3a38(0x102e),_0x20b30c[_0xaf3a38(0x27ea)]=!![]);_0x1145c6[_0xaf3a38(0x1dfe)]['id']&&(_0x20b30c['mailCannedAnswer']['MailAccountId']=_0x1145c6[_0xaf3a38(0x1dfe)]['id']);_0x20b30c[_0xaf3a38(0x119a)]=_0xf931a9,_0x20b30c[_0xaf3a38(0x12d3)]=_0x486be5,_0x20b30c[_0xaf3a38(0x329)]=_0xc72f90,_0x20b30c['getDateFromString']=_0x18f45f,_0x20b30c[_0xaf3a38(0xda0)]=_0x27819e;function _0xf931a9(){const _0x573cf9=_0xaf3a38;_0x20b30c[_0x573cf9(0x1a7c)]=[],_0xbdaf82[_0x573cf9(0x1c25)][_0x573cf9(0x1c3f)](_0x20b30c[_0x573cf9(0xa49)])[_0x573cf9(0x1d77)][_0x573cf9(0x1cb0)](function(_0x43e2ab){const _0x3329d2=_0x573cf9;_0x20b30c[_0x3329d2(0x20ca)][_0x3329d2(0xf63)](_0x43e2ab['toJSON']()),_0x5200b4[_0x3329d2(0x829)]({'title':_0x3329d2(0x2446),'msg':_0x20b30c[_0x3329d2(0xa49)][_0x3329d2(0x16b6)]?_0x20b30c[_0x3329d2(0xa49)][_0x3329d2(0x16b6)]+'\x20has\x20been\x20created!':''}),_0x27819e(_0x43e2ab);})[_0x573cf9(0x1c4)](function(_0x3811be){const _0x10b971=_0x573cf9;if(_0x3811be['data']&&_0x3811be[_0x10b971(0x25c)][_0x10b971(0x1a7c)]&&_0x3811be[_0x10b971(0x25c)][_0x10b971(0x1a7c)]['length']){_0x20b30c[_0x10b971(0x1a7c)]=_0x3811be[_0x10b971(0x25c)]['errors']||[{'message':_0x3811be[_0x10b971(0x147f)](),'type':_0x10b971(0x224)}];for(let _0x4003af=0x0;_0x4003af<_0x3811be[_0x10b971(0x25c)][_0x10b971(0x1a7c)][_0x10b971(0xfd0)];_0x4003af+=0x1){_0x5200b4[_0x10b971(0x218e)]({'title':_0x3811be[_0x10b971(0x25c)][_0x10b971(0x1a7c)][_0x4003af][_0x10b971(0x66a)],'msg':_0x3811be[_0x10b971(0x25c)]['errors'][_0x4003af]['message']});}}else _0x5200b4[_0x10b971(0x218e)]({'title':_0x3811be['status']?_0x10b971(0xeb9)+_0x3811be['status']+_0x10b971(0x1657)+_0x3811be[_0x10b971(0xc22)]:_0x10b971(0x224),'msg':_0x3811be[_0x10b971(0x25c)]?JSON[_0x10b971(0x2701)](_0x3811be[_0x10b971(0x25c)][_0x10b971(0x155e)]):_0x3811be['toString']()});});}function _0x486be5(){const _0x32d84e=_0xaf3a38;_0x20b30c[_0x32d84e(0x1a7c)]=[],_0xbdaf82[_0x32d84e(0x1c25)]['update']({'id':_0x20b30c[_0x32d84e(0xa49)]['id']},_0x20b30c[_0x32d84e(0xa49)])[_0x32d84e(0x1d77)][_0x32d84e(0x1cb0)](function(_0x14db7e){const _0x4d3dfb=_0x32d84e,_0x90d12a=_0x39641b()[_0x4d3dfb(0x13b4)](_0x20b30c[_0x4d3dfb(0x20ca)],{'id':_0x14db7e['id']});_0x90d12a&&_0x39641b()[_0x4d3dfb(0x9c1)](_0x90d12a,_0x39641b()[_0x4d3dfb(0x169b)](_0x14db7e[_0x4d3dfb(0x19b2)](),_0x39641b()[_0x4d3dfb(0x1be5)](_0x90d12a))),_0x5200b4['success']({'title':_0x4d3dfb(0x144e),'msg':_0x20b30c[_0x4d3dfb(0xa49)][_0x4d3dfb(0x16b6)]?_0x20b30c[_0x4d3dfb(0xa49)]['name']+_0x4d3dfb(0xedb):''}),_0x27819e(_0x14db7e);})[_0x32d84e(0x1c4)](function(_0x2cc05a){const _0x83ca96=_0x32d84e;if(_0x2cc05a[_0x83ca96(0x25c)]&&_0x2cc05a[_0x83ca96(0x25c)][_0x83ca96(0x1a7c)]&&_0x2cc05a[_0x83ca96(0x25c)][_0x83ca96(0x1a7c)][_0x83ca96(0xfd0)]){_0x20b30c[_0x83ca96(0x1a7c)]=_0x2cc05a[_0x83ca96(0x25c)]['errors']||[{'message':_0x2cc05a[_0x83ca96(0x147f)](),'type':_0x83ca96(0x922)}];for(let _0x38d7c7=0x0;_0x38d7c7<_0x2cc05a[_0x83ca96(0x25c)]['errors'][_0x83ca96(0xfd0)];_0x38d7c7++){_0x5200b4[_0x83ca96(0x218e)]({'title':_0x2cc05a['data']['errors'][_0x38d7c7][_0x83ca96(0x66a)],'msg':_0x2cc05a['data'][_0x83ca96(0x1a7c)][_0x38d7c7][_0x83ca96(0x155e)]});}}else _0x5200b4[_0x83ca96(0x218e)]({'title':_0x2cc05a[_0x83ca96(0x291)]?_0x83ca96(0xeb9)+_0x2cc05a[_0x83ca96(0x291)]+_0x83ca96(0x1657)+_0x2cc05a[_0x83ca96(0xc22)]:_0x83ca96(0x922),'msg':_0x2cc05a[_0x83ca96(0x25c)]?JSON['stringify'](_0x2cc05a[_0x83ca96(0x25c)][_0x83ca96(0x155e)]):_0x2cc05a[_0x83ca96(0x147f)]()});});}function _0xc72f90(_0x2b9813){const _0x25845d=_0xaf3a38;_0x20b30c[_0x25845d(0x1a7c)]=[];const _0x339bf0=_0x226cbe[_0x25845d(0x1551)]()[_0x25845d(0x1386)]('Are\x20you\x20sure?')[_0x25845d(0x862)](_0x25845d(0x283d))[_0x25845d(0x15ad)]('Delete\x20MailCannedAnswer')['ok'](_0x25845d(0x2594))[_0x25845d(0x696)](_0x25845d(0xde1))[_0x25845d(0x728)](_0x2b9813);_0x226cbe[_0x25845d(0xe27)](_0x339bf0)[_0x25845d(0x1cb0)](function(){const _0xd65126=_0x25845d;_0xbdaf82[_0xd65126(0x1c25)][_0xd65126(0x111d)]({'id':_0x20b30c[_0xd65126(0xa49)]['id']})[_0xd65126(0x1d77)]['then'](function(){const _0x248fb0=_0xd65126;_0x39641b()[_0x248fb0(0x152a)](_0x20b30c[_0x248fb0(0x20ca)],{'id':_0x20b30c[_0x248fb0(0xa49)]['id']}),_0x5200b4[_0x248fb0(0x829)]({'title':_0x248fb0(0x62c),'msg':(_0x20b30c[_0x248fb0(0xa49)]['name']||_0x248fb0(0xa49))+_0x248fb0(0x3f5)}),_0x27819e(_0x20b30c['mailCannedAnswer']);})['catch'](function(_0x51f40a){const _0x140983=_0xd65126;if(_0x51f40a[_0x140983(0x25c)]&&_0x51f40a[_0x140983(0x25c)][_0x140983(0x1a7c)]&&_0x51f40a[_0x140983(0x25c)][_0x140983(0x1a7c)][_0x140983(0xfd0)]){_0x20b30c[_0x140983(0x1a7c)]=_0x51f40a['data']['errors']||[{'message':_0x51f40a[_0x140983(0x147f)](),'type':_0x140983(0x1399)}];for(let _0x2c78cb=0x0;_0x2c78cb<_0x51f40a[_0x140983(0x25c)]['errors'][_0x140983(0xfd0)];_0x2c78cb++){_0x5200b4[_0x140983(0x218e)]({'title':_0x51f40a[_0x140983(0x25c)][_0x140983(0x1a7c)][_0x2c78cb][_0x140983(0x66a)],'msg':_0x51f40a['data'][_0x140983(0x1a7c)][_0x2c78cb][_0x140983(0x155e)]});}}else _0x5200b4[_0x140983(0x218e)]({'title':_0x51f40a[_0x140983(0x291)]?_0x140983(0xeb9)+_0x51f40a[_0x140983(0x291)]+_0x140983(0x1657)+_0x51f40a[_0x140983(0xc22)]:_0x140983(0x1399),'msg':_0x51f40a['data']?JSON[_0x140983(0x2701)](_0x51f40a['data'][_0x140983(0x155e)]):_0x51f40a[_0x140983(0x155e)]||_0x51f40a['toString']()});});},function(){});}function _0x18f45f(_0x5a282f){return _0x5a282f===null?undefined:new Date(_0x5a282f);}function _0x27819e(_0x2c74b6){_0x226cbe['hide'](_0x2c74b6);}}const _0xfa90ae=_0x28d3b8;;_0x591640[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0x142b),_0x5537c6(0x9bf),'Auth'];function _0x591640(_0x10115b,_0xf1e205,_0x246d89,_0x319eb0,_0x4a2d34,_0x16bb71,_0x360f33,_0x4ea438,_0xf8bf66,_0x1e33d6,_0x17c5d1){const _0x1aac3c=_0x5537c6,_0x6bb6dd=this;_0x6bb6dd['currentUser']=_0x17c5d1[_0x1aac3c(0x21e8)](),_0x6bb6dd[_0x1aac3c(0xdf2)]={'count':0x0,'rows':[]},_0x6bb6dd[_0x1aac3c(0x122a)]=[],_0x6bb6dd[_0x1aac3c(0x1b1a)],_0x6bb6dd[_0x1aac3c(0x2376)]={'first':_0x1aac3c(0x29a0),'second':_0x1aac3c(0x26db),'third':_0x1aac3c(0xfbe)},_0x6bb6dd[_0x1aac3c(0xae2)]={'fields':_0x1aac3c(0x1f4b),'sort':_0x1aac3c(0x282),'limit':0xa,'page':0x1},_0x6bb6dd[_0x1aac3c(0x1a8e)]=_0x1d2117,_0x6bb6dd['deleteConfirm']=_0x513b27,_0x6bb6dd[_0x1aac3c(0x829)]=_0x2275f4,_0x6bb6dd[_0x1aac3c(0x640)]=_0x16fba3,_0x6bb6dd[_0x1aac3c(0x932)]=_0x222898,_0x6bb6dd[_0x1aac3c(0x20b3)]=_0x13c884,_0x6bb6dd[_0x1aac3c(0x1ebf)]=_0x35627e,_0x6bb6dd['deleteSelectedDispositions']=_0x454bad,_0x6bb6dd[_0x1aac3c(0x25ec)]=_0xc53a33,_0x6bb6dd[_0x1aac3c(0x13ff)]=_0xf8f868;function _0x1d2117(_0x262aba,_0xcebf3){const _0x1bd7ff=_0x1aac3c;_0x6bb6dd[_0x1bd7ff(0x131c)]=_0x262aba||{},_0x6bb6dd[_0x1bd7ff(0x1b1a)]=typeof _0xcebf3!=='undefined'?_0xcebf3:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x6bb6dd['query'][_0x1bd7ff(0x1285)]=_0x6bb6dd[_0x1bd7ff(0x131c)]['id'],_0x6bb6dd[_0x1bd7ff(0xae2)]['id']=_0x6bb6dd[_0x1bd7ff(0x131c)]['id'],_0x16fba3();}function _0x222898(_0x456163,_0x406a66){const _0x914452=_0x1aac3c;_0x4a2d34['show']({'controller':_0x914452(0x201d),'controllerAs':'vm','templateUrl':_0x3d7049,'parent':angular['element'](_0x16bb71[_0x914452(0x1ed9)]),'targetEvent':_0x456163,'clickOutsideToClose':!![],'locals':{'disposition':_0x406a66,'model':{'id':_0x6bb6dd[_0x914452(0x131c)]['id'],'field':_0x914452(0x1285),'route':_0x914452(0x131c)},'license':null,'setting':null,'crudPermissions':_0x6bb6dd[_0x914452(0x1b1a)]}})[_0x914452(0x1cb0)](function(_0x3eb9ca){if(_0x3eb9ca)_0x16fba3();});}function _0x513b27(_0x5527ba,_0x39d8fb){const _0x66d573=_0x1aac3c,_0x210c7d=_0x39641b()[_0x66d573(0x727)](_0x6bb6dd[_0x66d573(0xdf2)][_0x66d573(0x2214)],[_0x66d573(0x55e),_0x5527ba['id']]),_0x49c9d4=_0x4a2d34[_0x66d573(0x1551)]()[_0x66d573(0x1386)](_0x4ea438[_0x66d573(0x25cc)](_0x66d573(0x1d64)))[_0x66d573(0x862)](_0x4ea438[_0x66d573(0x25cc)]('TOOLS.NOTIFICATIONS.'+(_0x210c7d?'DISPOSITION_DELETE_MESSAGE_CHILDREN':'DISPOSITION_DELETE_MESSAGE'),{'name':_0x5527ba[_0x66d573(0x16b6)]}))[_0x66d573(0x15ad)](_0x66d573(0x35d))[_0x66d573(0x728)](_0x39d8fb)['ok']('OK')[_0x66d573(0x696)](_0x4ea438[_0x66d573(0x25cc)](_0x66d573(0x2768)));_0x4a2d34[_0x66d573(0xe27)](_0x49c9d4)[_0x66d573(0x1cb0)](function(){_0x13c884(_0x5527ba);});}function _0x2275f4(_0x3aad19){_0x6bb6dd['dispositions']=_0x3aad19||{'count':0x0,'rows':[]};}function _0x16fba3(){const _0x663f7e=_0x1aac3c;_0x6bb6dd[_0x663f7e(0xae2)][_0x663f7e(0x184b)]=(_0x6bb6dd[_0x663f7e(0xae2)]['page']-0x1)*_0x6bb6dd[_0x663f7e(0xae2)][_0x663f7e(0x236)],_0x6bb6dd[_0x663f7e(0x2061)]=_0xf8bf66[_0x663f7e(0x131c)][_0x663f7e(0x640)](_0x6bb6dd[_0x663f7e(0xae2)],_0x2275f4)[_0x663f7e(0x1d77)];}function _0x13c884(_0x5ca5c6){const _0x106321=_0x1aac3c;_0xf8bf66[_0x106321(0x1746)]['delete']({'id':_0x5ca5c6['id']})[_0x106321(0x1d77)][_0x106321(0x1cb0)](function(){const _0x1a000f=_0x106321;_0x16fba3(),_0x1e33d6[_0x1a000f(0x829)]({'title':_0x4ea438['instant'](_0x1a000f(0x1f96))});})[_0x106321(0x1c4)](function(_0x3ff6f8){const _0x5cde2d=_0x106321;if(_0x3ff6f8[_0x5cde2d(0x25c)]&&_0x3ff6f8[_0x5cde2d(0x25c)]['errors']&&_0x3ff6f8[_0x5cde2d(0x25c)][_0x5cde2d(0x1a7c)]['length']){_0x6bb6dd['errors']=_0x3ff6f8['data']['errors']||[{'message':_0x3ff6f8['toString'](),'type':_0x5cde2d(0x2618)}];for(let _0x556992=0x0;_0x556992<_0x3ff6f8['data'][_0x5cde2d(0x1a7c)][_0x5cde2d(0xfd0)];_0x556992++){_0x1e33d6[_0x5cde2d(0x218e)]({'title':_0x3ff6f8[_0x5cde2d(0x25c)]['errors'][_0x556992][_0x5cde2d(0x66a)],'msg':_0x3ff6f8[_0x5cde2d(0x25c)]['errors'][_0x556992]['message']});}}else _0x1e33d6[_0x5cde2d(0x218e)]({'title':_0x3ff6f8[_0x5cde2d(0x291)]?'API:'+_0x3ff6f8[_0x5cde2d(0x291)]+'\x20-\x20'+_0x3ff6f8[_0x5cde2d(0xc22)]:_0x5cde2d(0x2618),'msg':_0x3ff6f8['data']?JSON[_0x5cde2d(0x2701)](_0x3ff6f8[_0x5cde2d(0x25c)][_0x5cde2d(0x155e)]):_0x3ff6f8[_0x5cde2d(0x155e)]||_0x3ff6f8[_0x5cde2d(0x147f)]()});});}function _0x35627e(){const _0x43d887=_0x1aac3c,_0x531824=angular[_0x43d887(0x17fe)](_0x6bb6dd[_0x43d887(0x122a)]);return _0x6bb6dd[_0x43d887(0x122a)]=[],_0x531824;}function _0x454bad(_0x11c739){const _0x387ca2=_0x1aac3c,_0x4af9af=_0x4a2d34['confirm']()['title'](_0x4ea438[_0x387ca2(0x25cc)](_0x387ca2(0x969)))[_0x387ca2(0x862)](_0x4ea438[_0x387ca2(0x25cc)](_0x387ca2(0xb3c),{'total':_0x6bb6dd[_0x387ca2(0x122a)][_0x387ca2(0xfd0)]}))[_0x387ca2(0x15ad)](_0x387ca2(0x1e1c))[_0x387ca2(0x728)](_0x11c739)['ok']('OK')['cancel'](_0x4ea438['instant'](_0x387ca2(0x2768)));_0x4a2d34['show'](_0x4af9af)[_0x387ca2(0x1cb0)](function(){const _0x341de5=_0x387ca2;_0x6bb6dd['selectedDispositions'][_0x341de5(0xf90)](function(_0x6b4cb9){_0x13c884(_0x6b4cb9);}),_0x6bb6dd[_0x341de5(0x122a)]=[];});}function _0xc53a33(){const _0x594ca9=_0x1aac3c;_0x6bb6dd[_0x594ca9(0x122a)]=[];}function _0xf8f868(){const _0x5e3d78=_0x1aac3c;_0x6bb6dd[_0x5e3d78(0x122a)]=_0x6bb6dd[_0x5e3d78(0xdf2)][_0x5e3d78(0x2214)];}let _0x5beb29=!![],_0x247841=0x1;_0x10115b[_0x1aac3c(0x614)](_0x1aac3c(0xeb6),function(_0x8c26b7,_0x1f435d){const _0x37fda4=_0x1aac3c;_0x5beb29?_0x360f33(function(){_0x5beb29=![];}):(!_0x1f435d&&(_0x247841=_0x6bb6dd[_0x37fda4(0xae2)]['page']),_0x8c26b7!==_0x1f435d&&(_0x6bb6dd[_0x37fda4(0xae2)][_0x37fda4(0x1c7b)]=0x1),!_0x8c26b7&&(_0x6bb6dd[_0x37fda4(0xae2)][_0x37fda4(0x1c7b)]=_0x247841),_0x16fba3());});}const _0x8f035d=_0x591640;;const _0x1c3a73=_0x5074a3['p']+'src/js/modules/main/apps/mail/views/mailAccounts/edit/agentadd/agentadd.html/agentadd.html';;_0x4e661d[_0x5537c6(0x15b6)]=['$state','$location',_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x1ae),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x1774),'mailAccount',_0x5537c6(0x2199)];function _0x4e661d(_0x5ec210,_0x4a35e0,_0x26037f,_0x150891,_0x240d80,_0x3188e8,_0x3b11c9,_0x1ed620,_0x498a3b,_0x65c5f,_0x3cc137,_0x190acb){const _0x5136fa=_0x5537c6,_0x216efc=this;_0x216efc[_0x5136fa(0xe76)]=_0x65c5f[_0x5136fa(0x21e8)](),_0x216efc['license']=_0x3188e8,_0x216efc[_0x5136fa(0x9ca)]=_0x3b11c9,_0x216efc[_0x5136fa(0x1b0c)]=_0x216efc[_0x5136fa(0x9ca)][_0x5136fa(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x216efc[_0x5136fa(0x2404)]=_0x4a35e0[_0x5136fa(0x2276)]()+_0x5136fa(0x138b)+_0x4a35e0[_0x5136fa(0x17d8)](),_0x216efc[_0x5136fa(0x131c)]=_0x3cc137||_0x5ec210['params'][_0x5136fa(0x131c)]||{},_0x216efc[_0x5136fa(0x2199)]=_0x190acb&&_0x190acb[_0x5136fa(0x184d)]==0x1?_0x190acb['rows'][0x0]:null,_0x216efc[_0x5136fa(0x1b1a)]=_0x65c5f[_0x5136fa(0x14ea)](_0x216efc['userProfileSection']?_0x216efc[_0x5136fa(0x2199)][_0x5136fa(0x1b1a)]:null),_0x216efc['hasModulePermissions']={},_0x216efc[_0x5136fa(0x8ec)]=_0x5ec210[_0x5136fa(0x1dfe)][_0x5136fa(0x291e)]||0x0,_0x216efc['gotogoto']=_0x4d6e09,_0x216efc['agentadddialog']=_0x3cb5ce,_0x216efc['alert']=_0x498a3b[_0x5136fa(0x28c7)],_0x216efc[_0x5136fa(0x2320)]=_0x43f4fc,_0x216efc[_0x5136fa(0x48f)]=_0x4e89a6,_0x65c5f[_0x5136fa(0x22b6)](_0x5136fa(0x1c60))?_0x1ed620['cmList']['get']({'fields':'id,name','sort':_0x5136fa(0x16b6)})[_0x5136fa(0x1d77)][_0x5136fa(0x1cb0)](function(_0x430bf1){const _0x14e90b=_0x5136fa;_0x216efc['lists']=_0x430bf1[_0x14e90b(0x2214)]||[];})[_0x5136fa(0x1c4)](function(_0x2e6a77){const _0x1b59e3=_0x5136fa;_0x498a3b[_0x1b59e3(0x218e)]({'title':_0x2e6a77[_0x1b59e3(0x291)]?_0x1b59e3(0xeb9)+_0x2e6a77['status']+'\x20-\x20'+_0x2e6a77['statusText']:'SYSTEM:GET_LISTS','msg':_0x2e6a77['data']?JSON['stringify'](_0x2e6a77[_0x1b59e3(0x25c)]):_0x2e6a77[_0x1b59e3(0x147f)]()});}):_0x1ed620[_0x5136fa(0x22f2)]['get']({'fields':_0x5136fa(0x43c),'sort':_0x5136fa(0x16b6)})[_0x5136fa(0x1d77)][_0x5136fa(0x1cb0)](function(_0x1221d5){const _0x3c1505=_0x5136fa;_0x216efc[_0x3c1505(0x1046)]=_0x1221d5[_0x3c1505(0x2214)]||[];})[_0x5136fa(0x1cb0)](function(){const _0x21052f=_0x5136fa;return _0x1ed620['userProfileSection'][_0x21052f(0xbf7)]({'userProfileId':_0x216efc[_0x21052f(0xe76)][_0x21052f(0x13c1)],'sectionId':0x12d})['$promise'];})[_0x5136fa(0x1cb0)](function(_0xde1377){const _0x31465f=_0x5136fa,_0x5b1710=_0xde1377&&_0xde1377['rows']?_0xde1377[_0x31465f(0x2214)][0x0]:null;if(!_0x5b1710){const _0x280fbc=[];let _0x44286e=null;_0x216efc['mailAccount']&&(_0x44286e=_0x39641b()['find'](_0x216efc[_0x31465f(0x1046)],{'id':Number(_0x216efc[_0x31465f(0x131c)][_0x31465f(0x20a6)])}));for(let _0x5d634c=0x0;_0x5d634c<_0x216efc[_0x31465f(0x1046)][_0x31465f(0xfd0)];_0x5d634c++){_0x44286e&&_0x216efc['lists'][_0x5d634c]['id']===_0x44286e['id']&&(_0x216efc[_0x31465f(0x1046)][_0x5d634c][_0x31465f(0x15da)]=![],_0x280fbc[_0x31465f(0x2785)](_0x216efc[_0x31465f(0x1046)][_0x5d634c]));}_0x216efc[_0x31465f(0x1046)]=_0x280fbc;}else{if(!_0x5b1710[_0x31465f(0x12f4)])return _0x1ed620[_0x31465f(0x1198)][_0x31465f(0xbf7)]({'sectionId':_0x5b1710['id']})[_0x31465f(0x1d77)]['then'](function(_0xde46d7){const _0x4e838b=_0x31465f,_0x1e2c4b=_0x39641b()[_0x4e838b(0x1de2)](_0xde46d7[_0x4e838b(0x2214)],function(_0x28f19a){const _0x45f955=_0x4e838b;return _0x39641b()[_0x45f955(0x13b4)](_0x216efc[_0x45f955(0x1046)],{'id':_0x28f19a[_0x45f955(0x2982)]});});let _0x52e74b=null;_0x216efc[_0x4e838b(0x131c)]&&(_0x52e74b=_0x39641b()['find'](_0x216efc['lists'],{'id':Number(_0x216efc['mailAccount'][_0x4e838b(0x20a6)])}));if(_0x52e74b&&!_0x39641b()[_0x4e838b(0x727)](_0x1e2c4b,['id',_0x52e74b['id']])){const _0x32a567=_0x39641b()[_0x4e838b(0x13b4)](_0x216efc['lists'],{'id':_0x52e74b['id']});_0x32a567[_0x4e838b(0x15da)]=![],_0x1e2c4b['push'](_0x32a567);}_0x216efc[_0x4e838b(0x1046)]=_0x1e2c4b;});}})[_0x5136fa(0x1c4)](function(_0x2ebcd8){const _0x5e04be=_0x5136fa;_0x498a3b[_0x5e04be(0x218e)]({'title':_0x2ebcd8['status']?'API:'+_0x2ebcd8[_0x5e04be(0x291)]+'\x20-\x20'+_0x2ebcd8[_0x5e04be(0xc22)]:'SYSTEM:GETlists','msg':_0x2ebcd8[_0x5e04be(0x25c)]?JSON[_0x5e04be(0x2701)](_0x2ebcd8['data']):_0x2ebcd8['toString']()});}),_0x65c5f[_0x5136fa(0x22b6)](_0x5136fa(0x1c60))?_0x1ed620[_0x5136fa(0x785)]['get']({'fields':_0x5136fa(0x791),'sort':_0x5136fa(0x16b6),'nolimit':_0x5136fa(0x44d)})[_0x5136fa(0x1d77)]['then'](function(_0x5bdff8){const _0x1b1e72=_0x5136fa;_0x216efc[_0x1b1e72(0x8de)]=_0x5bdff8['rows']||[];})[_0x5136fa(0x1c4)](function(_0x499b40){const _0x52afdc=_0x5136fa;_0x498a3b[_0x52afdc(0x218e)]({'title':_0x499b40[_0x52afdc(0x291)]?_0x52afdc(0xeb9)+_0x499b40[_0x52afdc(0x291)]+_0x52afdc(0x1657)+_0x499b40[_0x52afdc(0xc22)]:_0x52afdc(0x1589),'msg':_0x499b40['data']?JSON['stringify'](_0x499b40['data']):_0x499b40['toString']()});}):_0x1ed620[_0x5136fa(0x785)][_0x5136fa(0xbf7)]({'fields':_0x5136fa(0x791),'sort':'name','nolimit':_0x5136fa(0x44d)})[_0x5136fa(0x1d77)][_0x5136fa(0x1cb0)](function(_0x558fcc){_0x216efc['pauses']=_0x558fcc['rows']||[];})[_0x5136fa(0x1cb0)](function(){const _0x3c9cf3=_0x5136fa;return _0x1ed620[_0x3c9cf3(0x2199)][_0x3c9cf3(0xbf7)]({'userProfileId':_0x216efc[_0x3c9cf3(0xe76)][_0x3c9cf3(0x13c1)],'sectionId':0x3ed})[_0x3c9cf3(0x1d77)];})[_0x5136fa(0x1cb0)](function(_0x34a083){const _0x164375=_0x5136fa,_0x5cac74=_0x34a083&&_0x34a083[_0x164375(0x2214)]?_0x34a083[_0x164375(0x2214)][0x0]:null;if(!_0x5cac74)_0x216efc['pauses']=[];else{if(!_0x5cac74['autoAssociation'])return _0x1ed620[_0x164375(0x1198)][_0x164375(0xbf7)]({'sectionId':_0x5cac74['id']})['$promise'][_0x164375(0x1cb0)](function(_0x1ce0cc){const _0x2cba6e=_0x164375,_0x3ff264=_0x39641b()[_0x2cba6e(0x1de2)](_0x1ce0cc[_0x2cba6e(0x2214)],function(_0x59d917){const _0x84c3ce=_0x2cba6e;return _0x39641b()['find'](_0x216efc[_0x84c3ce(0x8de)],{'id':_0x59d917[_0x84c3ce(0x2982)]});});_0x216efc[_0x2cba6e(0x8de)][_0x2cba6e(0xf90)](function(_0x30732f){const _0x2c2d45=_0x2cba6e;!_0x39641b()[_0x2c2d45(0x727)](_0x3ff264,['id',_0x30732f['id']])&&(_0x30732f[_0x2c2d45(0x15da)]=![]),_0x3ff264[_0x2c2d45(0x2785)](_0x30732f);}),_0x216efc[_0x2cba6e(0x8de)]=_0x3ff264;});}})['catch'](function(_0x13a76a){const _0x272472=_0x5136fa;_0x498a3b[_0x272472(0x218e)]({'title':_0x13a76a[_0x272472(0x291)]?_0x272472(0xeb9)+_0x13a76a[_0x272472(0x291)]+_0x272472(0x1657)+_0x13a76a[_0x272472(0xc22)]:'SYSTEM:GETpauses','msg':_0x13a76a[_0x272472(0x25c)]?JSON['stringify'](_0x13a76a[_0x272472(0x25c)]):_0x13a76a[_0x272472(0x147f)]()});});function _0x4d6e09(){const _0x5a97f7=_0x5136fa;if(_0x65c5f['hasRole'](_0x5a97f7(0x1c60)))_0x5ec210['go'](_0x5a97f7(0x1dd),{});else return _0x1ed620[_0x5a97f7(0x2199)]['get']({'userProfileId':_0x65c5f['getCurrentUser']()[_0x5a97f7(0x13c1)],'sectionId':0x262})['$promise'][_0x5a97f7(0x1cb0)](function(_0x598ee7){const _0x27f4d5=_0x5a97f7,_0x898a8e=_0x598ee7&&_0x598ee7['rows']?_0x598ee7['rows'][0x0]:null;_0x898a8e&&_0x898a8e[_0x27f4d5(0x281c)]?_0x5ec210['go'](_0x27f4d5(0x1dd),{}):_0x498a3b['info']({'title':_0x240d80[_0x27f4d5(0x25cc)]('STAFF.PERMISSIONS_UNAUTHORIZED_REDIRECT_TITLE'),'msg':_0x240d80[_0x27f4d5(0x25cc)]('STAFF.PERMISSIONS_UNAUTHORIZED_REDIRECT_MESSAGE')});})['catch'](function(_0x5e3e4b){const _0x1da682=_0x5a97f7;_0x498a3b[_0x1da682(0x218e)]({'title':_0x5e3e4b[_0x1da682(0x291)]?_0x1da682(0xeb9)+_0x5e3e4b[_0x1da682(0x291)]+_0x1da682(0x1657)+_0x5e3e4b['statusText']:_0x1da682(0x17ba),'msg':_0x5e3e4b[_0x1da682(0x291)]?JSON[_0x1da682(0x2701)](_0x5e3e4b[_0x1da682(0x25c)]):_0x5e3e4b[_0x1da682(0x147f)]()});});}function _0x3cb5ce(_0x23c742,_0x3cdd48){const _0x28d9bf=_0x5136fa;_0x26037f[_0x28d9bf(0xe27)]({'controller':'MailAccountagentaddController','controllerAs':'vm','templateUrl':_0x1c3a73,'parent':angular[_0x28d9bf(0x1853)](_0x150891[_0x28d9bf(0x1ed9)]),'targetEvent':_0x3cdd48,'clickOutsideToClose':!![],'locals':{'mailAccount':_0x23c742,'mailAccounts':_0x216efc['mailAccounts']?_0x216efc[_0x28d9bf(0x228e)][_0x28d9bf(0x2214)]:[],'crudPermissions':_0x216efc[_0x28d9bf(0x1b1a)],'realtime':![]}});}function _0x43f4fc(){const _0x295c38=_0x5136fa;_0x5ec210['go'](_0x295c38(0x226d),{},{'reload':_0x295c38(0x226d)});}function _0x4e89a6(){const _0x18dafd=_0x5136fa;_0x1ed620[_0x18dafd(0x131c)][_0x18dafd(0x687)]({'id':_0x216efc[_0x18dafd(0x131c)]['id']},_0x216efc['mailAccount'])[_0x18dafd(0x1d77)]['then'](function(){const _0x1dc15c=_0x18dafd;_0x498a3b[_0x1dc15c(0x829)]({'title':_0x1dc15c(0x490),'msg':_0x216efc[_0x1dc15c(0x131c)]['name']?_0x216efc[_0x1dc15c(0x131c)]['name']+_0x1dc15c(0x1068):''});})['catch'](function(_0x1d180a){const _0x3c4385=_0x18dafd;_0x498a3b['error']({'title':_0x1d180a[_0x3c4385(0x291)]?_0x3c4385(0xeb9)+_0x1d180a['status']+'\x20-\x20'+_0x1d180a[_0x3c4385(0xc22)]:_0x3c4385(0x1d2a),'msg':_0x1d180a[_0x3c4385(0x25c)]?JSON[_0x3c4385(0x2701)](_0x1d180a[_0x3c4385(0x25c)]):_0x1d180a['toString']()});});}}const _0x1c882d=_0x4e661d;;const _0x25382d=_0x5074a3['p']+_0x5537c6(0x1e2b);;_0x2f7d4a['$inject']=[_0x5537c6(0x1463),'$window','$state','$mdSidenav',_0x5537c6(0xcb9),'$document',_0x5537c6(0x2168),_0x5537c6(0x1ae),'mailAccounts',_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x142b),_0x5537c6(0xde8),'toasty','Auth','license',_0x5537c6(0x9ca)];function _0x2f7d4a(_0x58a1bd,_0x4415c2,_0x4f3e71,_0x13a052,_0x1908c7,_0x49a859,_0x35790d,_0x23a36c,_0x7faa26,_0x4326e2,_0x341624,_0x1a177d,_0x475116,_0x261bad,_0x12bdf5,_0x5ebc5f,_0xa38abe){const _0x43ce05=_0x5537c6,_0x117c8c=this;_0x117c8c['license']=_0x5ebc5f,_0x117c8c[_0x43ce05(0x9ca)]=_0xa38abe,_0x117c8c['currentUser']=_0x12bdf5[_0x43ce05(0x21e8)](),_0x117c8c[_0x43ce05(0x228e)]=_0x7faa26||{'count':0x0,'rows':[]},_0x117c8c[_0x43ce05(0x44a)]=_0x4326e2,_0x117c8c['userProfileSection']=_0x341624&&_0x341624[_0x43ce05(0x184d)]==0x1?_0x341624['rows'][0x0]:null,_0x117c8c['crudPermissions']=_0x12bdf5[_0x43ce05(0x14ea)](_0x117c8c['userProfileSection']?_0x117c8c[_0x43ce05(0x2199)]['crudPermissions']:null),_0x117c8c[_0x43ce05(0xc83)]='mailAccounts',_0x117c8c[_0x43ce05(0x1d20)]='',_0x117c8c['listOrderAsc']=null,_0x117c8c[_0x43ce05(0x24bc)]=[],_0x117c8c[_0x43ce05(0xae2)]={'fields':'createdAt,updatedAt,id,name,key,email,ListId,active,cservice,Imap.service,Imap.host,Imap.port,Imap.tls,Imap.authentication,Imap.user,Imap.password,Imap.mailbox,Imap.connTimeout,Imap.authTimeout,Smtp.service,Smtp.host,Smtp.port,Smtp.secure,Smtp.authentication,Smtp.user,Smtp.pass,cauthentication,cuser,cpassword,fontFamily,fontSize,template,markAsUnread,waitForTheAssignedAgent,mandatoryDisposition,mandatoryDispositionPauseId,description,notificationSound,notificationShake,notificationTemplate,queueTransfer,queueTransferTimeout,agentTransfer,agentTransferTimeout','sort':_0x43ce05(0x282),'limit':0xa,'page':0x1},_0x117c8c['arraycservice']=_0x39641b()['keyBy']([{'option':'Custom','value':_0x43ce05(0xd38)},{'option':_0x43ce05(0x13be),'value':_0x43ce05(0x691)},{'option':_0x43ce05(0x22c6),'value':_0x43ce05(0x1bd4)},{'option':_0x43ce05(0x21e6),'value':_0x43ce05(0x250f)},{'option':_0x43ce05(0x133a),'value':_0x43ce05(0xb13)}],function(_0x1ac7d1){const _0x435aa3=_0x43ce05;return _0x39641b()[_0x435aa3(0x288f)](_0x1ac7d1[_0x435aa3(0x327)],new RegExp('\x27','g'),'');}),_0x117c8c[_0x43ce05(0x1ac5)]=_0x39641b()[_0x43ce05(0x2631)]([{'option':_0x43ce05(0x2353),'value':_0x43ce05(0xd38)},{'option':_0x43ce05(0x13be),'value':_0x43ce05(0x691)},{'option':_0x43ce05(0x22c6),'value':'\x27hotmail\x27'},{'option':_0x43ce05(0x21e6),'value':_0x43ce05(0x250f)},{'option':'Outlook365','value':_0x43ce05(0xb13)}],function(_0x5f25e2){const _0x2defc1=_0x43ce05;return _0x39641b()['replace'](_0x5f25e2[_0x2defc1(0x327)],new RegExp('\x27','g'),'');}),_0x117c8c[_0x43ce05(0x11ca)]=_0x39641b()[_0x43ce05(0x2631)]([{'option':_0x43ce05(0x2353),'value':_0x43ce05(0xd38)},{'option':_0x43ce05(0x13be),'value':_0x43ce05(0x691)},{'option':_0x43ce05(0x22c6),'value':_0x43ce05(0x1bd4)},{'option':_0x43ce05(0x21e6),'value':_0x43ce05(0x250f)},{'option':_0x43ce05(0x133a),'value':_0x43ce05(0xb13)}],function(_0x1fe309){const _0x129d4d=_0x43ce05;return _0x39641b()[_0x129d4d(0x288f)](_0x1fe309[_0x129d4d(0x327)],new RegExp('\x27','g'),'');}),_0x117c8c[_0x43ce05(0x235d)]=_0x326504,_0x117c8c[_0x43ce05(0xca1)]=_0x1c392d,_0x117c8c['interactionsgoto']=_0x5b2171,_0x117c8c[_0x43ce05(0x649)]=_0x399546,_0x117c8c[_0x43ce05(0x1379)]=_0xc6adbe,_0x117c8c['deleteconfirm']=_0x377713,_0x117c8c[_0x43ce05(0x829)]=_0x1773f0,_0x117c8c[_0x43ce05(0xe96)]=_0x112b14,_0x117c8c[_0x43ce05(0x14fe)]=_0x2ce00a,_0x117c8c[_0x43ce05(0x1184)]=_0x107f9b,_0x117c8c[_0x43ce05(0xbb7)]=_0x22c848,_0x117c8c[_0x43ce05(0x491)]=_0x18d4df,_0x117c8c[_0x43ce05(0xba7)]=_0x3b5965,_0x117c8c['selectAllMailAccounts']=_0x325c4c,_0x12bdf5[_0x43ce05(0x22b6)]('admin')?_0x1a177d[_0x43ce05(0x22f2)][_0x43ce05(0xbf7)]({'fields':_0x43ce05(0x43c),'sort':_0x43ce05(0x16b6)})[_0x43ce05(0x1d77)][_0x43ce05(0x1cb0)](function(_0x2a70cd){const _0x5df10a=_0x43ce05;_0x117c8c[_0x5df10a(0x1046)]=_0x2a70cd[_0x5df10a(0x2214)]||[];})[_0x43ce05(0x1c4)](function(_0x46a094){const _0x46e4c3=_0x43ce05;_0x261bad[_0x46e4c3(0x218e)]({'title':_0x46a094[_0x46e4c3(0x291)]?_0x46e4c3(0xeb9)+_0x46a094['status']+_0x46e4c3(0x1657)+_0x46a094[_0x46e4c3(0xc22)]:'SYSTEM:GET_LISTS','msg':_0x46a094[_0x46e4c3(0x25c)]?JSON[_0x46e4c3(0x2701)](_0x46a094['data']):_0x46a094['toString']()});}):_0x1a177d['cmList']['get']({'fields':_0x43ce05(0x43c),'sort':_0x43ce05(0x16b6)})['$promise'][_0x43ce05(0x1cb0)](function(_0x2fedc4){const _0x52c0d1=_0x43ce05;_0x117c8c[_0x52c0d1(0x1046)]=_0x2fedc4[_0x52c0d1(0x2214)]||[];})[_0x43ce05(0x1cb0)](function(){const _0x8aa071=_0x43ce05;return _0x1a177d['userProfileSection'][_0x8aa071(0xbf7)]({'userProfileId':_0x117c8c[_0x8aa071(0xe76)][_0x8aa071(0x13c1)],'sectionId':0x12d})['$promise'];})['then'](function(_0xdc37a2){const _0x6a89a3=_0x43ce05,_0x30c552=_0xdc37a2&&_0xdc37a2['rows']?_0xdc37a2['rows'][0x0]:null;if(!_0x30c552){const _0x5c3728=[];let _0x3e08e5=null;_0x117c8c['mailAccount']&&(_0x3e08e5=_0x39641b()[_0x6a89a3(0x13b4)](_0x117c8c[_0x6a89a3(0x1046)],{'id':Number(_0x117c8c['mailAccount'][_0x6a89a3(0x20a6)])}));for(let _0x1f186d=0x0;_0x1f186d<_0x117c8c[_0x6a89a3(0x1046)]['length'];_0x1f186d++){_0x3e08e5&&_0x117c8c[_0x6a89a3(0x1046)][_0x1f186d]['id']===_0x3e08e5['id']&&(_0x117c8c['lists'][_0x1f186d]['canSelect']=![],_0x5c3728[_0x6a89a3(0x2785)](_0x117c8c[_0x6a89a3(0x1046)][_0x1f186d]));}_0x117c8c[_0x6a89a3(0x1046)]=_0x5c3728;}else{if(!_0x30c552[_0x6a89a3(0x12f4)])return _0x1a177d[_0x6a89a3(0x1198)][_0x6a89a3(0xbf7)]({'sectionId':_0x30c552['id']})[_0x6a89a3(0x1d77)][_0x6a89a3(0x1cb0)](function(_0x411bd5){const _0x777d2e=_0x6a89a3,_0x3a577e=_0x39641b()[_0x777d2e(0x1de2)](_0x411bd5[_0x777d2e(0x2214)],function(_0x1b36eb){const _0x481464=_0x777d2e;return _0x39641b()[_0x481464(0x13b4)](_0x117c8c[_0x481464(0x1046)],{'id':_0x1b36eb[_0x481464(0x2982)]});});let _0x390100=null;_0x117c8c[_0x777d2e(0x131c)]&&(_0x390100=_0x39641b()[_0x777d2e(0x13b4)](_0x117c8c[_0x777d2e(0x1046)],{'id':Number(_0x117c8c['mailAccount'][_0x777d2e(0x20a6)])}));if(_0x390100&&!_0x39641b()[_0x777d2e(0x727)](_0x3a577e,['id',_0x390100['id']])){const _0x42faab=_0x39641b()[_0x777d2e(0x13b4)](_0x117c8c[_0x777d2e(0x1046)],{'id':_0x390100['id']});_0x42faab[_0x777d2e(0x15da)]=![],_0x3a577e[_0x777d2e(0x2785)](_0x42faab);}_0x117c8c[_0x777d2e(0x1046)]=_0x3a577e;});}})[_0x43ce05(0x1c4)](function(_0x2ef23d){const _0x273ddf=_0x43ce05;_0x261bad['error']({'title':_0x2ef23d[_0x273ddf(0x291)]?'API:'+_0x2ef23d[_0x273ddf(0x291)]+_0x273ddf(0x1657)+_0x2ef23d[_0x273ddf(0xc22)]:_0x273ddf(0x113c),'msg':_0x2ef23d['data']?JSON[_0x273ddf(0x2701)](_0x2ef23d[_0x273ddf(0x25c)]):_0x2ef23d[_0x273ddf(0x147f)]()});});function _0x326504(_0x473833){const _0x2d4614=_0x43ce05;_0x4f3e71['go'](_0x2d4614(0xbcd),{'id':_0x473833['id'],'mailAccount':_0x473833,'crudPermissions':_0x117c8c[_0x2d4614(0x1b1a)]});}function _0x1c392d(_0x5a56ec){const _0x256e97=_0x43ce05;if(_0x5a56ec&&_0x5a56ec['id'])return _0x1a177d[_0x256e97(0x131c)][_0x256e97(0x268a)]({'id':_0x5a56ec['id']})[_0x256e97(0x1d77)][_0x256e97(0x1cb0)](function(){const _0x58e76b=_0x256e97;_0x261bad['success']({'title':_0x58e76b(0xe24),'msg':_0x58e76b(0x1bca)});})[_0x256e97(0x1c4)](function(_0x2b527b){const _0x227026=_0x256e97;_0x261bad[_0x227026(0x218e)]({'title':_0x227026(0xe24),'msg':_0x2b527b[_0x227026(0x25c)]?JSON[_0x227026(0x2701)](_0x2b527b[_0x227026(0x25c)]):_0x2b527b[_0x227026(0x147f)]()});});else _0x261bad[_0x256e97(0x218e)]({'title':'Smtp\x20properly\x20verified','msg':'Smpt\x20id\x20unknown'});}function _0x5b2171(_0x1dc399){const _0x353e39=_0x43ce05;_0x4f3e71['go'](_0x353e39(0xbcd),{'id':_0x1dc399['id'],'tab':0x7});}function _0x399546(){const _0x230b60=_0x43ce05;if(_0x12bdf5[_0x230b60(0x22b6)](_0x230b60(0x1c60)))_0x4f3e71['go'](_0x230b60(0x1dd),{});else return _0x1a177d[_0x230b60(0x2199)]['get']({'userProfileId':_0x12bdf5[_0x230b60(0x21e8)]()[_0x230b60(0x13c1)],'sectionId':0x262})[_0x230b60(0x1d77)]['then'](function(_0xee8cba){const _0x711e40=_0x230b60,_0x1cdd18=_0xee8cba&&_0xee8cba[_0x711e40(0x2214)]?_0xee8cba[_0x711e40(0x2214)][0x0]:null;_0x1cdd18&&_0x1cdd18[_0x711e40(0x281c)]?_0x4f3e71['go'](_0x711e40(0x1dd),{}):_0x261bad[_0x711e40(0x28c7)]({'title':_0x23a36c[_0x711e40(0x25cc)](_0x711e40(0x370)),'msg':_0x23a36c[_0x711e40(0x25cc)](_0x711e40(0x33a))});})['catch'](function(_0x1677f2){const _0x5aada5=_0x230b60;_0x261bad['error']({'title':_0x1677f2[_0x5aada5(0x291)]?_0x5aada5(0xeb9)+_0x1677f2[_0x5aada5(0x291)]+'\x20-\x20'+_0x1677f2[_0x5aada5(0xc22)]:_0x5aada5(0x17ba),'msg':_0x1677f2[_0x5aada5(0x291)]?JSON[_0x5aada5(0x2701)](_0x1677f2[_0x5aada5(0x25c)]):_0x1677f2[_0x5aada5(0x147f)]()});});}function _0xc6adbe(_0x5b992c,_0x260245){const _0x27c97b=_0x43ce05;_0x1908c7[_0x27c97b(0xe27)]({'controller':_0x27c97b(0x4f3),'controllerAs':'vm','templateUrl':_0x1c3a73,'parent':angular[_0x27c97b(0x1853)](_0x49a859['body']),'targetEvent':_0x260245,'clickOutsideToClose':!![],'locals':{'mailAccount':_0x5b992c,'mailAccounts':_0x117c8c['mailAccounts']?_0x117c8c[_0x27c97b(0x228e)][_0x27c97b(0x2214)]:[],'crudPermissions':_0x117c8c[_0x27c97b(0x1b1a)],'realtime':![]}});}function _0x377713(_0x5e18bd,_0x361ff4){const _0x4164e1=_0x43ce05,_0x46952a=_0x1908c7['confirm']()[_0x4164e1(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20'+_0x39641b()[_0x4164e1(0xa75)]('mailAccount')+'?')[_0x4164e1(0x49e)](_0x4164e1(0x204d)+(_0x5e18bd[_0x4164e1(0x16b6)]||_0x4164e1(0x131c))+_0x4164e1(0x1200)+_0x4164e1(0x1b6))[_0x4164e1(0x15ad)]('delete\x20mailAccount')['targetEvent'](_0x361ff4)['ok']('OK')['cancel'](_0x4164e1(0x24ba));_0x1908c7[_0x4164e1(0xe27)](_0x46952a)[_0x4164e1(0x1cb0)](function(){_0x107f9b(_0x5e18bd);},function(){const _0xf05e03=_0x4164e1;console[_0xf05e03(0x1b4f)](_0xf05e03(0x24ba));});}let _0x453496=!![],_0x36f8e0=0x1;_0x58a1bd[_0x43ce05(0x614)](_0x43ce05(0x957),function(_0x23dbe5,_0x94c76f){const _0x5c5e70=_0x43ce05;_0x453496?_0x35790d(function(){_0x453496=![];}):(!_0x94c76f&&(_0x36f8e0=_0x117c8c['query'][_0x5c5e70(0x1c7b)]),_0x23dbe5!==_0x94c76f&&(_0x117c8c[_0x5c5e70(0xae2)]['page']=0x1),!_0x23dbe5&&(_0x117c8c['query']['page']=_0x36f8e0),_0x117c8c['getMailAccounts']());});function _0x1773f0(_0x366601){const _0x183e97=_0x43ce05;_0x117c8c[_0x183e97(0x228e)]=_0x366601||{'count':0x0,'rows':[]};}function _0x112b14(){const _0x585643=_0x43ce05;_0x117c8c['query'][_0x585643(0x184b)]=(_0x117c8c[_0x585643(0xae2)][_0x585643(0x1c7b)]-0x1)*_0x117c8c[_0x585643(0xae2)][_0x585643(0x236)],_0x12bdf5['hasRole']('admin')?_0x117c8c[_0x585643(0x2061)]=_0x1a177d[_0x585643(0x131c)]['get'](_0x117c8c[_0x585643(0xae2)],_0x1773f0)[_0x585643(0x1d77)]:(_0x117c8c['query']['id']=_0x117c8c['userProfile']['id'],_0x117c8c[_0x585643(0xae2)][_0x585643(0x1f74)]=_0x585643(0x9c9),_0x117c8c[_0x585643(0x2061)]=_0x1a177d[_0x585643(0x44a)][_0x585643(0x1810)](_0x117c8c['query'],_0x1773f0)['$promise']);}function _0x2ce00a(_0x35f8db,_0x613edf){const _0xc66aeb=_0x43ce05;_0x1908c7[_0xc66aeb(0xe27)]({'controller':_0xc66aeb(0x1a44),'controllerAs':'vm','templateUrl':_0x25382d,'parent':angular[_0xc66aeb(0x1853)](_0x49a859[_0xc66aeb(0x1ed9)]),'targetEvent':_0x35f8db,'clickOutsideToClose':!![],'locals':{'mailAccount':_0x613edf,'mailAccounts':_0x117c8c[_0xc66aeb(0x228e)][_0xc66aeb(0x2214)],'license':_0x117c8c[_0xc66aeb(0x8a5)],'setting':_0x117c8c['setting'],'crudPermissions':_0x117c8c['crudPermissions']}});}function _0x107f9b(_0xb00c3a){const _0x100729=_0x43ce05;if(_0xb00c3a[_0x100729(0xdc1)])return _0x261bad['error']({'title':_0x100729(0xae9),'msg':_0x100729(0x433)});_0x1a177d[_0x100729(0x131c)]['delete']({'id':_0xb00c3a['id']})[_0x100729(0x1d77)]['then'](function(){const _0x2e3f62=_0x100729;_0x39641b()[_0x2e3f62(0x152a)](_0x117c8c['mailAccounts'][_0x2e3f62(0x2214)],{'id':_0xb00c3a['id']}),_0x117c8c[_0x2e3f62(0x228e)][_0x2e3f62(0x184d)]-=0x1,!_0x117c8c[_0x2e3f62(0x228e)][_0x2e3f62(0x2214)][_0x2e3f62(0xfd0)]&&_0x117c8c[_0x2e3f62(0xe96)](),_0x261bad[_0x2e3f62(0x829)]({'title':_0x39641b()[_0x2e3f62(0xa75)](_0x2e3f62(0x13ec))+'\x20deleted!','msg':_0xb00c3a['name']?_0xb00c3a[_0x2e3f62(0x16b6)]+_0x2e3f62(0x3f5):''});})[_0x100729(0x1c4)](function(_0x52d6a2){const _0x408278=_0x100729;if(_0x52d6a2[_0x408278(0x25c)]&&_0x52d6a2[_0x408278(0x25c)][_0x408278(0x1a7c)]&&_0x52d6a2[_0x408278(0x25c)]['errors'][_0x408278(0xfd0)]){_0x117c8c[_0x408278(0x1a7c)]=_0x52d6a2['data'][_0x408278(0x1a7c)]||[{'message':_0x52d6a2[_0x408278(0x147f)](),'type':_0x408278(0x396)}];for(let _0x2b65a3=0x0;_0x2b65a3<_0x52d6a2[_0x408278(0x25c)][_0x408278(0x1a7c)][_0x408278(0xfd0)];_0x2b65a3++){_0x261bad[_0x408278(0x218e)]({'title':_0x52d6a2[_0x408278(0x25c)]['errors'][_0x2b65a3][_0x408278(0x66a)],'msg':_0x52d6a2[_0x408278(0x25c)][_0x408278(0x1a7c)][_0x2b65a3][_0x408278(0x155e)]});}}else _0x261bad[_0x408278(0x218e)]({'title':_0x52d6a2['status']?'API:'+_0x52d6a2['status']+_0x408278(0x1657)+_0x52d6a2[_0x408278(0xc22)]:_0x408278(0x396),'msg':_0x52d6a2[_0x408278(0x25c)]?JSON['stringify'](_0x52d6a2[_0x408278(0x25c)][_0x408278(0x155e)]):_0x52d6a2['message']||_0x52d6a2['toString']()});});}function _0x22c848(){const _0x1166a7=_0x43ce05,_0x5a9f1f=angular[_0x1166a7(0x17fe)](_0x117c8c[_0x1166a7(0x24bc)]);return _0x117c8c[_0x1166a7(0x24bc)]=[],_0x5a9f1f;}function _0x18d4df(_0x13c7af){const _0x4417fc=_0x43ce05,_0x532b01=_0x1908c7[_0x4417fc(0x1551)]()[_0x4417fc(0x1386)](_0x4417fc(0x1bb4))['htmlContent'](_0x4417fc(0x204d)+_0x117c8c[_0x4417fc(0x24bc)]['length']+_0x4417fc(0x1d6c)+'\x20will\x20be\x20deleted.')[_0x4417fc(0x15ad)]('delete\x20MailAccounts')[_0x4417fc(0x728)](_0x13c7af)['ok']('OK')[_0x4417fc(0x696)](_0x4417fc(0x24ba));_0x1908c7[_0x4417fc(0xe27)](_0x532b01)[_0x4417fc(0x1cb0)](function(){const _0x3e4639=_0x4417fc;_0x117c8c[_0x3e4639(0x24bc)][_0x3e4639(0xf90)](function(_0x228de0){_0x107f9b(_0x228de0);}),_0x117c8c[_0x3e4639(0x24bc)]=[];});}function _0x3b5965(){const _0x4ca96e=_0x43ce05;_0x117c8c[_0x4ca96e(0x24bc)]=[];}function _0x325c4c(){const _0x4e3610=_0x43ce05;_0x117c8c[_0x4e3610(0x24bc)]=_0x117c8c[_0x4e3610(0x228e)]['rows'];}}const _0x41f4c8=_0x2f7d4a;;_0x3daeb7[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x25a6),'mailQueue',_0x5537c6(0x142b),'Auth','license',_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x3daeb7(_0x1bb371,_0x2d6d37,_0x15ea2c,_0x4b0be9,_0x1647e9,_0x5e21a7,_0x5400f0,_0x376bb2,_0x25a48b,_0x222996,_0x476310,_0x134628,_0x19ec9f,_0x570f31){const _0x1a968b=_0x5537c6,_0xa4b950=this;_0xa4b950[_0x1a968b(0xe76)]=_0x476310[_0x1a968b(0x21e8)](),_0xa4b950['errors']=[],_0xa4b950['setting']=_0x19ec9f,_0xa4b950[_0x1a968b(0x8a5)]=_0x134628,_0xa4b950[_0x1a968b(0x1b1a)]=_0x570f31,_0xa4b950['hasModulePermissions']={},_0xa4b950[_0x1a968b(0x1b0c)]=_0xa4b950[_0x1a968b(0x9ca)]&&_0xa4b950[_0x1a968b(0x9ca)][_0x1a968b(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0xa4b950['title']='MAIL.EDIT_MAILQUEUE',_0xa4b950[_0x1a968b(0x16e1)]=angular[_0x1a968b(0x17fe)](_0x25a48b),_0xa4b950['mailQueues']=_0x376bb2,_0xa4b950[_0x1a968b(0x10a0)]=![];!_0xa4b950['mailQueue']&&(_0xa4b950[_0x1a968b(0x16e1)]={'strategy':_0x1a968b(0x19bf),'timeout':0xa},_0xa4b950[_0x1a968b(0x1386)]=_0x1a968b(0x2930),_0xa4b950[_0x1a968b(0x10a0)]=!![]);_0xa4b950[_0x1a968b(0x229e)]=_0x36b19f,_0xa4b950[_0x1a968b(0x2090)]=_0x37fe21,_0xa4b950['deleteMailQueue']=_0x9015f0,_0xa4b950[_0x1a968b(0x2c4)]=_0x5a5e0a,_0xa4b950['closeDialog']=_0x2760c6;function _0x36b19f(){const _0x3bd035=_0x1a968b;_0xa4b950['errors']=[],_0x222996[_0x3bd035(0x16e1)][_0x3bd035(0x1c3f)](_0xa4b950[_0x3bd035(0x16e1)])[_0x3bd035(0x1d77)][_0x3bd035(0x1cb0)](function(_0x4e1f54){const _0x32f642=_0x3bd035;_0xa4b950[_0x32f642(0x25a6)][_0x32f642(0xf63)](_0x4e1f54[_0x32f642(0x19b2)]()),_0x5400f0[_0x32f642(0x829)]({'title':'MailQueue\x20properly\x20created','msg':_0xa4b950[_0x32f642(0x16e1)]['name']?_0xa4b950[_0x32f642(0x16e1)][_0x32f642(0x16b6)]+'\x20has\x20been\x20created!':''}),_0x2760c6(_0x4e1f54);})['catch'](function(_0x12db20){const _0xd4d31=_0x3bd035;if(_0x12db20[_0xd4d31(0x25c)]&&_0x12db20[_0xd4d31(0x25c)][_0xd4d31(0x1a7c)]&&_0x12db20[_0xd4d31(0x25c)][_0xd4d31(0x1a7c)]['length']){_0xa4b950['errors']=_0x12db20[_0xd4d31(0x25c)][_0xd4d31(0x1a7c)]||[{'message':_0x12db20[_0xd4d31(0x147f)](),'type':_0xd4d31(0x14b5)}];for(let _0x412427=0x0;_0x412427<_0x12db20[_0xd4d31(0x25c)]['errors'][_0xd4d31(0xfd0)];_0x412427+=0x1){_0x5400f0[_0xd4d31(0x218e)]({'title':_0x12db20[_0xd4d31(0x25c)][_0xd4d31(0x1a7c)][_0x412427][_0xd4d31(0x66a)],'msg':_0x12db20[_0xd4d31(0x25c)][_0xd4d31(0x1a7c)][_0x412427][_0xd4d31(0x155e)]});}}else _0x5400f0[_0xd4d31(0x218e)]({'title':_0x12db20['status']?_0xd4d31(0xeb9)+_0x12db20[_0xd4d31(0x291)]+_0xd4d31(0x1657)+_0x12db20['statusText']:_0xd4d31(0x14b5),'msg':_0x12db20[_0xd4d31(0x25c)]?JSON[_0xd4d31(0x2701)](_0x12db20[_0xd4d31(0x25c)][_0xd4d31(0x155e)]):_0x12db20[_0xd4d31(0x147f)]()});});}function _0x37fe21(){const _0x5e5048=_0x1a968b;_0xa4b950[_0x5e5048(0x1a7c)]=[],_0x222996['mailQueue'][_0x5e5048(0x687)]({'id':_0xa4b950[_0x5e5048(0x16e1)]['id']},_0xa4b950[_0x5e5048(0x16e1)])['$promise'][_0x5e5048(0x1cb0)](function(_0xa53a6e){const _0x3e8bd1=_0x5e5048,_0x1863f5=_0x39641b()[_0x3e8bd1(0x13b4)](_0xa4b950[_0x3e8bd1(0x25a6)],{'id':_0xa53a6e['id']});_0x1863f5&&_0x39641b()['merge'](_0x1863f5,_0x39641b()[_0x3e8bd1(0x169b)](_0xa53a6e[_0x3e8bd1(0x19b2)](),_0x39641b()['keys'](_0x1863f5))),_0x5400f0[_0x3e8bd1(0x829)]({'title':'MailQueue\x20properly\x20saved!','msg':_0xa4b950[_0x3e8bd1(0x16e1)][_0x3e8bd1(0x16b6)]?_0xa4b950[_0x3e8bd1(0x16e1)][_0x3e8bd1(0x16b6)]+_0x3e8bd1(0xedb):''}),_0x2760c6(_0xa53a6e);})['catch'](function(_0x369a4f){const _0xa939f=_0x5e5048;if(_0x369a4f[_0xa939f(0x25c)]&&_0x369a4f[_0xa939f(0x25c)][_0xa939f(0x1a7c)]&&_0x369a4f[_0xa939f(0x25c)]['errors'][_0xa939f(0xfd0)]){_0xa4b950[_0xa939f(0x1a7c)]=_0x369a4f[_0xa939f(0x25c)][_0xa939f(0x1a7c)]||[{'message':_0x369a4f[_0xa939f(0x147f)](),'type':_0xa939f(0x18db)}];for(let _0x42c915=0x0;_0x42c915<_0x369a4f[_0xa939f(0x25c)]['errors'][_0xa939f(0xfd0)];_0x42c915++){_0x5400f0[_0xa939f(0x218e)]({'title':_0x369a4f[_0xa939f(0x25c)][_0xa939f(0x1a7c)][_0x42c915][_0xa939f(0x66a)],'msg':_0x369a4f[_0xa939f(0x25c)]['errors'][_0x42c915][_0xa939f(0x155e)]});}}else _0x5400f0[_0xa939f(0x218e)]({'title':_0x369a4f[_0xa939f(0x291)]?_0xa939f(0xeb9)+_0x369a4f[_0xa939f(0x291)]+_0xa939f(0x1657)+_0x369a4f[_0xa939f(0xc22)]:_0xa939f(0x18db),'msg':_0x369a4f[_0xa939f(0x25c)]?JSON['stringify'](_0x369a4f['data']['message']):_0x369a4f[_0xa939f(0x147f)]()});});}function _0x9015f0(_0x568e64){const _0x228517=_0x1a968b;_0xa4b950['errors']=[];const _0x5be1b6=_0x4b0be9['confirm']()[_0x228517(0x1386)](_0x228517(0x1a2e))[_0x228517(0x862)](_0x228517(0x2384))[_0x228517(0x15ad)](_0x228517(0x2581))['ok'](_0x228517(0x2594))['cancel']('Cancel')[_0x228517(0x728)](_0x568e64);_0x4b0be9[_0x228517(0xe27)](_0x5be1b6)[_0x228517(0x1cb0)](function(){const _0xd46747=_0x228517;_0x222996[_0xd46747(0x16e1)][_0xd46747(0x111d)]({'id':_0xa4b950['mailQueue']['id']})[_0xd46747(0x1d77)][_0xd46747(0x1cb0)](function(){const _0x14063a=_0xd46747;_0x39641b()[_0x14063a(0x152a)](_0xa4b950[_0x14063a(0x25a6)],{'id':_0xa4b950['mailQueue']['id']}),_0x5400f0['success']({'title':_0x14063a(0x4b8),'msg':(_0xa4b950[_0x14063a(0x16e1)][_0x14063a(0x16b6)]||_0x14063a(0x16e1))+'\x20has\x20been\x20deleted!'}),_0x2760c6(_0xa4b950['mailQueue']);})[_0xd46747(0x1c4)](function(_0x4a809c){const _0x57adcd=_0xd46747;if(_0x4a809c[_0x57adcd(0x25c)]&&_0x4a809c[_0x57adcd(0x25c)][_0x57adcd(0x1a7c)]&&_0x4a809c[_0x57adcd(0x25c)][_0x57adcd(0x1a7c)][_0x57adcd(0xfd0)]){_0xa4b950[_0x57adcd(0x1a7c)]=_0x4a809c[_0x57adcd(0x25c)]['errors']||[{'message':_0x4a809c[_0x57adcd(0x147f)](),'type':_0x57adcd(0x1cf9)}];for(let _0x534af8=0x0;_0x534af8<_0x4a809c[_0x57adcd(0x25c)][_0x57adcd(0x1a7c)][_0x57adcd(0xfd0)];_0x534af8++){_0x5400f0[_0x57adcd(0x218e)]({'title':_0x4a809c[_0x57adcd(0x25c)][_0x57adcd(0x1a7c)][_0x534af8][_0x57adcd(0x66a)],'msg':_0x4a809c[_0x57adcd(0x25c)][_0x57adcd(0x1a7c)][_0x534af8][_0x57adcd(0x155e)]});}}else _0x5400f0[_0x57adcd(0x218e)]({'title':_0x4a809c[_0x57adcd(0x291)]?_0x57adcd(0xeb9)+_0x4a809c[_0x57adcd(0x291)]+'\x20-\x20'+_0x4a809c['statusText']:_0x57adcd(0x1cf9),'msg':_0x4a809c['data']?JSON[_0x57adcd(0x2701)](_0x4a809c[_0x57adcd(0x25c)][_0x57adcd(0x155e)]):_0x4a809c[_0x57adcd(0x155e)]||_0x4a809c[_0x57adcd(0x147f)]()});});},function(){});}function _0x5a5e0a(_0x41a2e2){return _0x41a2e2===null?undefined:new Date(_0x41a2e2);}function _0x2760c6(_0xb57125){const _0x974445=_0x1a968b;_0x4b0be9[_0x974445(0x1426)](_0xb57125);}}const _0x108e2=_0x3daeb7;;_0xa52dee[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q','toasty','api','mailQueue',_0x5537c6(0x25a6),_0x5537c6(0x217b),_0x5537c6(0x1ae),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0xa52dee(_0xae342,_0x82dae6,_0x303fa3,_0x8d0e48,_0x2624bd,_0x4417ae,_0x45a38d,_0x2d1ec9,_0x4fd73e,_0x1b8bbe){const _0xe94c1c=_0x5537c6,_0x56730a=this;_0x56730a[_0xe94c1c(0xe76)]=_0x4fd73e[_0xe94c1c(0x21e8)](),_0x56730a[_0xe94c1c(0x16e1)]=_0x2624bd,_0x56730a['crudPermissions']=_0x1b8bbe,_0x56730a[_0xe94c1c(0x217b)]=_0x45a38d,_0x56730a[_0xe94c1c(0x122f)]=[],_0x56730a['allowedItems']=[],_0x56730a[_0xe94c1c(0x20bb)]=[],_0x56730a[_0xe94c1c(0xbd5)]=[],_0x56730a[_0xe94c1c(0x1456)]=[],_0x56730a[_0xe94c1c(0x50c)]=![],_0x56730a[_0xe94c1c(0x1a34)]=_0x454bb6,_0x56730a['saveAgents']=_0x3bd2e8,_0x56730a[_0xe94c1c(0xda0)]=_0x3d2b44,_0x56730a[_0xe94c1c(0x18c0)]={'readOnly':!_0x56730a[_0xe94c1c(0x1b1a)][_0xe94c1c(0xb3d)],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0xe94c1c(0x16b6),'line1':_0xe94c1c(0x1d14),'line2':['name',_0xe94c1c(0xdbd)],'line3':'','labelAll':_0x2d1ec9[_0xe94c1c(0x25cc)]('APP.ALL_AGENTS'),'labelSelected':_0x2d1ec9['instant'](_0xe94c1c(0x1cd5)),'transferCallback':function(){const _0x5ea793=_0xe94c1c,_0x5df8d7=_0x39641b()[_0x5ea793(0x1423)](_0x56730a[_0x5ea793(0x1456)],_0x56730a[_0x5ea793(0x20bb)],'id');_0x56730a['pendingChanges']=_0x39641b()[_0x5ea793(0xce9)](_0x5df8d7)?![]:!![];}};function _0x454bb6(){const _0x5d64d9=_0xe94c1c;return _0x4fd73e['hasRole'](_0x5d64d9(0x1c60))?_0x2cf819()[_0x5d64d9(0x1c4)](function(_0x5aafda){const _0x2378f5=_0x5d64d9;_0x303fa3[_0x2378f5(0x218e)]({'title':_0x5aafda[_0x2378f5(0x291)]?'API:'+_0x5aafda[_0x2378f5(0x291)]+_0x2378f5(0x1657)+_0x5aafda[_0x2378f5(0xc22)]:'SYSTEM:GET_AGENTS','msg':_0x5aafda['status']?JSON['stringify'](_0x5aafda[_0x2378f5(0x25c)]):_0x5aafda[_0x2378f5(0x147f)]()});}):_0x59a739()['then'](function(_0x5bd24b){const _0x23bd4d=_0x5d64d9;return _0x56730a[_0x23bd4d(0x1f74)]=_0x5bd24b,_0x2cf819();})[_0x5d64d9(0x1c4)](function(_0x3871ed){const _0x3a4f1e=_0x5d64d9;_0x303fa3[_0x3a4f1e(0x218e)]({'title':_0x3871ed[_0x3a4f1e(0x291)]?_0x3a4f1e(0xeb9)+_0x3871ed[_0x3a4f1e(0x291)]+'\x20-\x20'+_0x3871ed['statusText']:_0x3a4f1e(0x799),'msg':_0x3871ed[_0x3a4f1e(0x291)]?JSON['stringify'](_0x3871ed['data']):_0x3871ed[_0x3a4f1e(0x147f)]()});});}function _0x59a739(){return _0x82dae6(function(_0x50ae4f,_0x7f0b88){const _0x10250e=a0_0x5cbd;_0x8d0e48[_0x10250e(0x2199)]['get']({'userProfileId':_0x56730a[_0x10250e(0xe76)][_0x10250e(0x13c1)],'name':_0x10250e(0x2536)})[_0x10250e(0x1d77)][_0x10250e(0x1cb0)](function(_0xa0689a){const _0x352c5b=_0x10250e,_0x4f70ce=_0xa0689a&&_0xa0689a[_0x352c5b(0x2214)]?_0xa0689a[_0x352c5b(0x2214)][0x0]:null;_0x50ae4f(_0x4f70ce);})['catch'](function(_0x423083){_0x7f0b88(_0x423083);});});}function _0x2cf819(){return _0x82dae6(function(_0x473a8d,_0x4df02d){const _0x4bd632=a0_0x5cbd;return _0x147d30()['then'](function(_0x3f7421){const _0x301d64=a0_0x5cbd;return _0x56730a['items']=_0x3f7421[_0x301d64(0x2214)]?_0x3f7421[_0x301d64(0x2214)]:[],_0x4fd73e['hasRole']('admin')?_0x3f7421:_0x56730a[_0x301d64(0x1f74)]?_0x56730a['section'][_0x301d64(0x12f4)]?_0x3f7421:_0x215ebf():null;})[_0x4bd632(0x1cb0)](function(_0x4e13a0){const _0x2f2e8c=_0x4bd632,_0x12a2f4=_0x4e13a0&&_0x4e13a0[_0x2f2e8c(0x2214)]?_0x4e13a0[_0x2f2e8c(0x2214)]:[];return _0x56730a[_0x2f2e8c(0x1372)]=_0x39641b()[_0x2f2e8c(0x1de2)](_0x12a2f4,function(_0x236314){const _0x27aa4c=_0x2f2e8c;return _0x39641b()[_0x27aa4c(0x13b4)](_0x56730a[_0x27aa4c(0x122f)],{'id':_0x4fd73e[_0x27aa4c(0x22b6)](_0x27aa4c(0x1c60))||_0x56730a['section'][_0x27aa4c(0x12f4)]?_0x236314['id']:_0x236314['resourceId']});}),_0x56730a[_0x2f2e8c(0xbd5)]=angular[_0x2f2e8c(0x17fe)](_0x56730a[_0x2f2e8c(0x1372)]),_0x56730a[_0x2f2e8c(0x122f)]['forEach'](function(_0x5916cc){const _0x3aec15=_0x2f2e8c,_0x3d5355=_0x39641b()[_0x3aec15(0x13b4)](_0x56730a['allowedItems'],{'id':_0x5916cc['id']});_0x4fd73e[_0x3aec15(0x22b6)](_0x3aec15(0x1c60))?_0x5916cc['isValid']=!![]:_0x5916cc['isValid']=typeof _0x3d5355!==_0x3aec15(0x16b5)?!![]:![];}),_0x21991b();})[_0x4bd632(0x1cb0)](function(_0x5b7f61){const _0x5a7b8f=_0x4bd632,_0x46946e=_0x5b7f61&&_0x5b7f61[_0x5a7b8f(0x2214)]?_0x5b7f61[_0x5a7b8f(0x2214)]:[];_0x56730a[_0x5a7b8f(0x20bb)]=_0x39641b()[_0x5a7b8f(0x1de2)](_0x46946e,function(_0xafd6e0){const _0x3fa097=_0x5a7b8f,_0x1f5fda=_0x39641b()[_0x3fa097(0x13b4)](_0x56730a[_0x3fa097(0x122f)],{'id':_0xafd6e0['id']});return _0x1f5fda[_0x3fa097(0x1cbc)]=_0xafd6e0[_0x3fa097(0x185a)]?'penalty\x20'+_0xafd6e0[_0x3fa097(0x185a)][_0x3fa097(0x1cbc)]:'',_0x1f5fda[_0x3fa097(0xdbd)]=typeof _0xafd6e0[_0x3fa097(0xdbd)]!==_0x3fa097(0x16b5)?'<'+_0xafd6e0[_0x3fa097(0xdbd)]+'>':'',_0x1f5fda;}),_0x56730a[_0x5a7b8f(0x1456)]=angular[_0x5a7b8f(0x17fe)](_0x56730a[_0x5a7b8f(0x20bb)]),_0x56730a[_0x5a7b8f(0x18c0)][_0x5a7b8f(0x20bb)]=_0x56730a[_0x5a7b8f(0x20bb)],_0x56730a['dualMultiselectOptions'][_0x5a7b8f(0x122f)]=_0x39641b()[_0x5a7b8f(0x2128)](_0x56730a['allowedItems'],_0x56730a[_0x5a7b8f(0x18c0)][_0x5a7b8f(0x20bb)],'id'),_0x473a8d();})[_0x4bd632(0x1c4)](function(_0x3fd640){_0x4df02d(_0x3fd640);});});}function _0x215ebf(){return _0x82dae6(function(_0xfba772,_0x558eee){const _0xf5f459=a0_0x5cbd;return _0x8d0e48[_0xf5f459(0x1198)]['get']({'sectionId':_0x56730a[_0xf5f459(0x1f74)]['id'],'nolimit':!![]})[_0xf5f459(0x1d77)][_0xf5f459(0x1cb0)](function(_0x660b0a){_0xfba772(_0x660b0a);})['catch'](function(_0x274dea){_0x558eee(_0x274dea);});});}function _0x21991b(){return _0x82dae6(function(_0x5c8745,_0x46da63){const _0x3cf71b=a0_0x5cbd;return _0x8d0e48['mailQueue'][_0x3cf71b(0x2348)]({'id':_0x56730a['mailQueue']['id'],'fields':'id,name,internal,fullname','nolimit':!![],'role':_0x3cf71b(0x1eff)})[_0x3cf71b(0x1d77)][_0x3cf71b(0x1cb0)](function(_0x43fa76){_0x5c8745(_0x43fa76);})[_0x3cf71b(0x1c4)](function(_0x5dd2a0){_0x46da63(_0x5dd2a0);});});}function _0x147d30(){return _0x82dae6(function(_0x2c03fe,_0x596380){const _0x57cb19=a0_0x5cbd;return _0x8d0e48[_0x57cb19(0xebe)][_0x57cb19(0xbf7)]({'fields':_0x57cb19(0x251e),'nolimit':!![],'role':_0x57cb19(0x1eff)})[_0x57cb19(0x1d77)][_0x57cb19(0x1cb0)](function(_0x25e092){_0x2c03fe(_0x25e092);})[_0x57cb19(0x1c4)](function(_0xf7e3af){_0x596380(_0xf7e3af);});});}function _0x4fb343(_0x4cb732){return _0x82dae6(function(_0x21dc31,_0x1301ef){const _0x20da6b=a0_0x5cbd;_0x39641b()[_0x20da6b(0xce9)](_0x4cb732)?_0x21dc31():_0x8d0e48[_0x20da6b(0x16e1)][_0x20da6b(0x2057)]({'id':_0x56730a[_0x20da6b(0x16e1)]['id'],'ids':_0x39641b()[_0x20da6b(0x1de2)](_0x4cb732,'id')})[_0x20da6b(0x1d77)][_0x20da6b(0x1cb0)](function(){_0x21dc31();})[_0x20da6b(0x1c4)](function(_0x5b8c4c){_0x1301ef(_0x5b8c4c);});});}function _0x10e9b3(_0x3fbb35){return _0x82dae6(function(_0x364f49,_0x273415){const _0x5e7a19=a0_0x5cbd;_0x39641b()['isEmpty'](_0x3fbb35)?_0x364f49():_0x8d0e48[_0x5e7a19(0x16e1)][_0x5e7a19(0x223f)]({'id':_0x56730a[_0x5e7a19(0x16e1)]['id'],'ids':_0x39641b()[_0x5e7a19(0x1de2)](_0x3fbb35,'id')})['$promise']['then'](function(){_0x364f49();})[_0x5e7a19(0x1c4)](function(_0x57a06c){_0x273415(_0x57a06c);});});}function _0x3bd2e8(){const _0x1cc373=_0xe94c1c,_0x4cef25=_0x39641b()[_0x1cc373(0x2128)](_0x56730a[_0x1cc373(0x1456)],_0x56730a[_0x1cc373(0x20bb)],'id'),_0x3e01f0=_0x39641b()[_0x1cc373(0x2128)](_0x56730a[_0x1cc373(0x20bb)],_0x56730a[_0x1cc373(0x1456)],'id');return _0x10e9b3(_0x4cef25)['then'](function(){return _0x4fb343(_0x3e01f0);})[_0x1cc373(0x1cb0)](function(){const _0x30d55e=_0x1cc373;_0x56730a['pendingChanges']=![],_0x56730a[_0x30d55e(0xbd5)]=angular['copy'](_0x56730a['allowedItems']),_0x56730a[_0x30d55e(0x1456)]=angular[_0x30d55e(0x17fe)](_0x56730a[_0x30d55e(0x20bb)]),_0x303fa3[_0x30d55e(0x829)]({'title':_0x30d55e(0x201),'msg':_0x30d55e(0x976)});})[_0x1cc373(0x1c4)](function(_0x18e176){const _0x355f18=_0x1cc373;_0x303fa3['error']({'title':_0x18e176[_0x355f18(0x291)]?_0x355f18(0xeb9)+_0x18e176['status']+_0x355f18(0x1657)+_0x18e176[_0x355f18(0xc22)]:_0x355f18(0x1221),'msg':_0x18e176['status']?JSON[_0x355f18(0x2701)](_0x18e176['data']):_0x18e176['toString']()});});}function _0x3d2b44(){const _0x5e83e6=_0xe94c1c;_0xae342[_0x5e83e6(0x1426)]();}}const _0x1e8611=_0xa52dee;;_0x55f531['$inject']=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x16e1),_0x5537c6(0x1ae),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x55f531(_0x5d3b4d,_0x159639,_0x294905,_0x1ae45f,_0x4ac1d3,_0x19b002,_0x51d014,_0x110747){const _0x5f28a2=_0x5537c6,_0x3522ce=this;_0x3522ce['currentUser']=_0x51d014[_0x5f28a2(0x21e8)](),_0x3522ce[_0x5f28a2(0x16e1)]=_0x4ac1d3,_0x3522ce['crudPermissions']=_0x110747,_0x3522ce[_0x5f28a2(0x122f)]=[],_0x3522ce[_0x5f28a2(0x1372)]=[],_0x3522ce[_0x5f28a2(0x20bb)]=[],_0x3522ce[_0x5f28a2(0xbd5)]=[],_0x3522ce['startingSelectedItems']=[],_0x3522ce[_0x5f28a2(0x50c)]=![],_0x3522ce[_0x5f28a2(0x18c0)]={'readOnly':!_0x3522ce[_0x5f28a2(0x1b1a)][_0x5f28a2(0xb3d)],'allowedItems':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x5f28a2(0x16b6),'line1':_0x5f28a2(0x16b6),'line2':'','line3':'','labelAll':_0x19b002[_0x5f28a2(0x25cc)]('APP.ALL_TEAMS'),'labelSelected':_0x19b002[_0x5f28a2(0x25cc)](_0x5f28a2(0x1b5f)),'transferCallback':function(){const _0x4d7980=_0x5f28a2,_0x3f97a6=_0x39641b()[_0x4d7980(0x1423)](_0x3522ce[_0x4d7980(0x1456)],_0x3522ce[_0x4d7980(0x20bb)],'id');_0x3522ce['pendingChanges']=_0x39641b()[_0x4d7980(0xce9)](_0x3f97a6)?![]:!![];}},_0x3522ce[_0x5f28a2(0x1a34)]=_0xe4983d,_0x3522ce[_0x5f28a2(0x1c56)]=_0x1c4ccb,_0x3522ce[_0x5f28a2(0xda0)]=_0x16f0bc;function _0xe4983d(){const _0x2da4a8=_0x5f28a2;return _0x51d014[_0x2da4a8(0x22b6)](_0x2da4a8(0x1c60))?_0x5f1e52()['catch'](function(_0x56e86c){const _0x594283=_0x2da4a8;_0x294905[_0x594283(0x218e)]({'title':_0x56e86c['status']?_0x594283(0xeb9)+_0x56e86c['status']+_0x594283(0x1657)+_0x56e86c[_0x594283(0xc22)]:_0x594283(0x2206),'msg':_0x56e86c[_0x594283(0x291)]?JSON[_0x594283(0x2701)](_0x56e86c[_0x594283(0x25c)]):_0x56e86c['toString']()});}):_0x2d60f0()[_0x2da4a8(0x1cb0)](function(_0x377a82){return _0x3522ce['section']=_0x377a82,_0x5f1e52();})[_0x2da4a8(0x1c4)](function(_0x1e250b){const _0x36babe=_0x2da4a8;_0x294905[_0x36babe(0x218e)]({'title':_0x1e250b[_0x36babe(0x291)]?_0x36babe(0xeb9)+_0x1e250b[_0x36babe(0x291)]+'\x20-\x20'+_0x1e250b[_0x36babe(0xc22)]:_0x36babe(0x2206),'msg':_0x1e250b[_0x36babe(0x291)]?JSON['stringify'](_0x1e250b[_0x36babe(0x25c)]):_0x1e250b[_0x36babe(0x147f)]()});});}function _0x2d60f0(){return _0x159639(function(_0x1c34c5,_0x3f844f){const _0x52f3a2=a0_0x5cbd;_0x1ae45f[_0x52f3a2(0x2199)][_0x52f3a2(0xbf7)]({'userProfileId':_0x3522ce[_0x52f3a2(0xe76)][_0x52f3a2(0x13c1)],'name':_0x52f3a2(0x492)})[_0x52f3a2(0x1d77)]['then'](function(_0x19c159){const _0x4f691e=_0x52f3a2,_0x3a4cee=_0x19c159&&_0x19c159[_0x4f691e(0x2214)]?_0x19c159[_0x4f691e(0x2214)][0x0]:null;_0x1c34c5(_0x3a4cee);})[_0x52f3a2(0x1c4)](function(_0x4b040c){_0x3f844f(_0x4b040c);});});}function _0x5f1e52(){return _0x159639(function(_0xd4f87d,_0x10ea48){const _0x19649e=a0_0x5cbd;return _0x478a46()[_0x19649e(0x1cb0)](function(_0x35cea1){const _0x370349=_0x19649e;return _0x3522ce[_0x370349(0x122f)]=_0x35cea1['rows']?_0x35cea1[_0x370349(0x2214)]:[],_0x51d014[_0x370349(0x22b6)](_0x370349(0x1c60))?_0x35cea1:_0x3522ce[_0x370349(0x1f74)]?_0x3522ce[_0x370349(0x1f74)]['autoAssociation']?_0x35cea1:_0x37b46d():null;})[_0x19649e(0x1cb0)](function(_0x65dffc){const _0x3ac639=_0x19649e,_0x2fe7ed=_0x65dffc&&_0x65dffc[_0x3ac639(0x2214)]?_0x65dffc['rows']:[];return _0x3522ce[_0x3ac639(0x1372)]=_0x39641b()['map'](_0x2fe7ed,function(_0x466110){const _0x80a28f=_0x3ac639;return _0x39641b()[_0x80a28f(0x13b4)](_0x3522ce[_0x80a28f(0x122f)],{'id':_0x51d014[_0x80a28f(0x22b6)](_0x80a28f(0x1c60))||_0x3522ce['section'][_0x80a28f(0x12f4)]?_0x466110['id']:_0x466110[_0x80a28f(0x2982)]});}),_0x3522ce[_0x3ac639(0x122f)][_0x3ac639(0xf90)](function(_0x200e46){const _0x27b84e=_0x3ac639,_0x3e9ad4=_0x39641b()['find'](_0x3522ce[_0x27b84e(0x1372)],{'id':_0x200e46['id']});_0x51d014[_0x27b84e(0x22b6)]('admin')?_0x200e46[_0x27b84e(0x1a4f)]=!![]:_0x200e46[_0x27b84e(0x1a4f)]=typeof _0x3e9ad4!=='undefined'?!![]:![];}),_0x3893d5();})[_0x19649e(0x1cb0)](function(_0xf2a6df){const _0x448981=_0x19649e,_0x401e7f=_0xf2a6df&&_0xf2a6df[_0x448981(0x2214)]?_0xf2a6df['rows']:[];_0x3522ce[_0x448981(0x20bb)]=_0x39641b()['map'](_0x401e7f,function(_0x5e7f06){const _0x3c7964=_0x448981;return _0x39641b()[_0x3c7964(0x13b4)](_0x3522ce[_0x3c7964(0x122f)],{'id':_0x5e7f06['id']});}),_0x3522ce['startingSelectedItems']=angular[_0x448981(0x17fe)](_0x3522ce[_0x448981(0x20bb)]),_0x3522ce['dualMultiselectOptions'][_0x448981(0x20bb)]=_0x3522ce['selectedItems'],_0x3522ce['dualMultiselectOptions']['items']=_0x39641b()[_0x448981(0x2128)](_0x3522ce['allowedItems'],_0x3522ce['dualMultiselectOptions'][_0x448981(0x20bb)],'id'),_0xd4f87d();})['catch'](function(_0x20073c){_0x10ea48(_0x20073c);});});}function _0x37b46d(){return _0x159639(function(_0x20f06a,_0x5a5d95){const _0x11fded=a0_0x5cbd;return _0x1ae45f[_0x11fded(0x1198)]['get']({'sectionId':_0x3522ce[_0x11fded(0x1f74)]['id'],'nolimit':!![]})[_0x11fded(0x1d77)]['then'](function(_0x2597dd){_0x20f06a(_0x2597dd);})[_0x11fded(0x1c4)](function(_0x3236b7){_0x5a5d95(_0x3236b7);});});}function _0x3893d5(){return _0x159639(function(_0x38a4b0,_0x41f6d5){const _0x5c864d=a0_0x5cbd;return _0x1ae45f[_0x5c864d(0x16e1)]['getTeams']({'id':_0x3522ce[_0x5c864d(0x16e1)]['id'],'fields':_0x5c864d(0x43c),'nolimit':!![]})[_0x5c864d(0x1d77)][_0x5c864d(0x1cb0)](function(_0x5d36db){_0x38a4b0(_0x5d36db);})[_0x5c864d(0x1c4)](function(_0x484462){_0x41f6d5(_0x484462);});});}function _0x478a46(){return _0x159639(function(_0x8bc297,_0x223340){const _0xe9ff84=a0_0x5cbd;return _0x1ae45f['team'][_0xe9ff84(0xbf7)]({'fields':'id,name','nolimit':!![]})[_0xe9ff84(0x1d77)][_0xe9ff84(0x1cb0)](function(_0x32611f){_0x8bc297(_0x32611f);})[_0xe9ff84(0x1c4)](function(_0x8f6a57){_0x223340(_0x8f6a57);});});}function _0x2d7241(_0x4e1494){return _0x159639(function(_0x494a93,_0x407efb){const _0x2e7a20=a0_0x5cbd;_0x39641b()[_0x2e7a20(0xce9)](_0x4e1494)?_0x494a93():_0x1ae45f[_0x2e7a20(0x16e1)]['addTeams']({'id':_0x3522ce['mailQueue']['id'],'ids':_0x39641b()[_0x2e7a20(0x1de2)](_0x4e1494,'id')})[_0x2e7a20(0x1d77)][_0x2e7a20(0x1cb0)](function(){_0x494a93();})['catch'](function(_0x5048ea){_0x407efb(_0x5048ea);});});}function _0xc2ee64(_0x5e19d8){return _0x159639(function(_0x26d3fc,_0x48e973){const _0x45e6c4=a0_0x5cbd;_0x39641b()[_0x45e6c4(0xce9)](_0x5e19d8)?_0x26d3fc():_0x1ae45f[_0x45e6c4(0x16e1)][_0x45e6c4(0xfcc)]({'id':_0x3522ce[_0x45e6c4(0x16e1)]['id'],'ids':_0x39641b()[_0x45e6c4(0x1de2)](_0x5e19d8,'id')})[_0x45e6c4(0x1d77)][_0x45e6c4(0x1cb0)](function(){_0x26d3fc();})[_0x45e6c4(0x1c4)](function(_0x3da567){_0x48e973(_0x3da567);});});}function _0x1c4ccb(){const _0x23d5c=_0x5f28a2,_0xc1aa00=_0x39641b()['differenceBy'](_0x3522ce[_0x23d5c(0x1456)],_0x3522ce[_0x23d5c(0x20bb)],'id'),_0xecec58=_0x39641b()[_0x23d5c(0x2128)](_0x3522ce['selectedItems'],_0x3522ce[_0x23d5c(0x1456)],'id');return _0xc2ee64(_0xc1aa00)['then'](function(){return _0x2d7241(_0xecec58);})['then'](function(){const _0x2d5357=_0x23d5c;_0x3522ce['pendingChanges']=![],_0x3522ce[_0x2d5357(0xbd5)]=angular[_0x2d5357(0x17fe)](_0x3522ce[_0x2d5357(0x1372)]),_0x3522ce['startingSelectedItems']=angular[_0x2d5357(0x17fe)](_0x3522ce['selectedItems']),_0x294905[_0x2d5357(0x829)]({'title':_0x2d5357(0x201),'msg':_0x2d5357(0xc72)});})[_0x23d5c(0x1c4)](function(_0x57d9a0){const _0x1bc3e0=_0x23d5c;_0x294905['error']({'title':_0x57d9a0[_0x1bc3e0(0x291)]?_0x1bc3e0(0xeb9)+_0x57d9a0[_0x1bc3e0(0x291)]+_0x1bc3e0(0x1657)+_0x57d9a0[_0x1bc3e0(0xc22)]:_0x1bc3e0(0x1221),'msg':_0x57d9a0['status']?JSON[_0x1bc3e0(0x2701)](_0x57d9a0[_0x1bc3e0(0x25c)]):_0x57d9a0[_0x1bc3e0(0x147f)]()});});}function _0x16f0bc(){const _0x4e0ca4=_0x5f28a2;_0x5d3b4d[_0x4e0ca4(0x1426)]();}}const _0x526952=_0x55f531;;const _0x4078b2=_0x5074a3['p']+_0x5537c6(0x1c27);;_0x4b86f4[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),'$location','$mdDialog',_0x5537c6(0x22bf),_0x5537c6(0x1ae),_0x5537c6(0x8a5),'setting',_0x5537c6(0x142b),'toasty',_0x5537c6(0x1774),_0x5537c6(0x16e1),_0x5537c6(0x2199)];function _0x4b86f4(_0x41d17a,_0x1a31cb,_0x21334a,_0x3fa839,_0x383df4,_0x3ae387,_0x82fdc6,_0x4ea0d4,_0x3d0d1b,_0x3591ee,_0x4db9cf,_0x2e6a8a){const _0x3ade81=_0x5537c6,_0x31c947=this;_0x31c947['currentUser']=_0x3591ee[_0x3ade81(0x21e8)](),_0x31c947['license']=_0x3ae387,_0x31c947[_0x3ade81(0x9ca)]=_0x82fdc6,_0x31c947[_0x3ade81(0x1b0c)]=_0x31c947[_0x3ade81(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x31c947['location']=_0x1a31cb[_0x3ade81(0x2276)]()+_0x3ade81(0x138b)+_0x1a31cb[_0x3ade81(0x17d8)](),_0x31c947[_0x3ade81(0x16e1)]=_0x4db9cf||_0x41d17a[_0x3ade81(0x1dfe)][_0x3ade81(0x16e1)]||{},_0x31c947[_0x3ade81(0x2199)]=_0x2e6a8a&&_0x2e6a8a[_0x3ade81(0x184d)]==0x1?_0x2e6a8a['rows'][0x0]:null,_0x31c947['crudPermissions']=_0x3591ee[_0x3ade81(0x14ea)](_0x31c947[_0x3ade81(0x2199)]?_0x31c947[_0x3ade81(0x2199)][_0x3ade81(0x1b1a)]:null),_0x31c947['hasModulePermissions']={},_0x31c947[_0x3ade81(0x8ec)]=_0x41d17a[_0x3ade81(0x1dfe)][_0x3ade81(0x291e)]||0x0,_0x31c947[_0x3ade81(0x1d63)]=_0x3c697e,_0x31c947['agentadddialog']=_0x343acd,_0x31c947[_0x3ade81(0x494)]=_0x3d0d1b['info'],_0x31c947['gotoMailQueues']=_0x2e7bc1,_0x31c947[_0x3ade81(0x2090)]=_0x34e2d0;function _0x3c697e(_0x2bc141,_0xe73397){const _0x31386e=_0x3ade81;_0x21334a[_0x31386e(0xe27)]({'controller':'MailQueueteamaddController','controllerAs':'vm','templateUrl':_0x4078b2,'parent':angular[_0x31386e(0x1853)](_0x3fa839['body']),'targetEvent':_0xe73397,'clickOutsideToClose':!![],'locals':{'mailQueue':_0x2bc141,'mailQueues':_0x31c947[_0x31386e(0x25a6)]?_0x31c947[_0x31386e(0x25a6)]['rows']:[],'crudPermissions':_0x31c947['crudPermissions']}});}function _0x343acd(_0x7331bd,_0x296a97){const _0x1c19c8=_0x3ade81;_0x21334a[_0x1c19c8(0xe27)]({'controller':_0x1c19c8(0x24d2),'controllerAs':'vm','templateUrl':_0x1159b3,'parent':angular[_0x1c19c8(0x1853)](_0x3fa839[_0x1c19c8(0x1ed9)]),'targetEvent':_0x296a97,'clickOutsideToClose':!![],'locals':{'mailQueue':_0x7331bd,'mailQueues':_0x31c947['mailQueues']?_0x31c947[_0x1c19c8(0x25a6)][_0x1c19c8(0x2214)]:[],'crudPermissions':_0x31c947['crudPermissions'],'realtime':![]}});}function _0x2e7bc1(){const _0x301aed=_0x3ade81;_0x41d17a['go'](_0x301aed(0x1f17),{},{'reload':'app.mail.mailQueues'});}function _0x34e2d0(){const _0x222347=_0x3ade81;_0x4ea0d4['mailQueue'][_0x222347(0x687)]({'id':_0x31c947[_0x222347(0x16e1)]['id']},_0x31c947[_0x222347(0x16e1)])[_0x222347(0x1d77)]['then'](function(){const _0x45777c=_0x222347;_0x3d0d1b[_0x45777c(0x829)]({'title':'MailQueue\x20updated!','msg':_0x31c947[_0x45777c(0x16e1)][_0x45777c(0x16b6)]?_0x31c947[_0x45777c(0x16e1)][_0x45777c(0x16b6)]+_0x45777c(0x1068):''});})['catch'](function(_0x2b1bfd){const _0x5a82a3=_0x222347;_0x3d0d1b[_0x5a82a3(0x218e)]({'title':_0x2b1bfd['status']?_0x5a82a3(0xeb9)+_0x2b1bfd[_0x5a82a3(0x291)]+_0x5a82a3(0x1657)+_0x2b1bfd['statusText']:_0x5a82a3(0x27ab),'msg':_0x2b1bfd[_0x5a82a3(0x25c)]?JSON[_0x5a82a3(0x2701)](_0x2b1bfd[_0x5a82a3(0x25c)]):_0x2b1bfd[_0x5a82a3(0x147f)]()});});}}const _0x1abecb=_0x4b86f4;;_0x1eb548[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),'$state','$mdSidenav','$mdDialog',_0x5537c6(0x22bf),_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0x25a6),'userProfile','userProfileSection',_0x5537c6(0x142b),_0x5537c6(0xde8),'toasty',_0x5537c6(0x1774),'license','setting'];function _0x1eb548(_0x27717a,_0x311b45,_0x17a3cb,_0x1b4dd9,_0x327592,_0x1fa4ab,_0x2d319c,_0x3ea521,_0x301f1d,_0x163e34,_0x42c01c,_0x5c7ef0,_0x621612,_0x54da75,_0x3308eb,_0x392c9e,_0x268b90){const _0x832f17=_0x5537c6,_0x574d46=this;_0x574d46['license']=_0x392c9e,_0x574d46[_0x832f17(0x9ca)]=_0x268b90,_0x574d46[_0x832f17(0xe76)]=_0x3308eb[_0x832f17(0x21e8)](),_0x574d46['mailQueues']=_0x301f1d||{'count':0x0,'rows':[]},_0x574d46['userProfile']=_0x163e34,_0x574d46[_0x832f17(0x2199)]=_0x42c01c&&_0x42c01c[_0x832f17(0x184d)]==0x1?_0x42c01c[_0x832f17(0x2214)][0x0]:null,_0x574d46[_0x832f17(0x1b1a)]=_0x3308eb[_0x832f17(0x14ea)](_0x574d46[_0x832f17(0x2199)]?_0x574d46[_0x832f17(0x2199)][_0x832f17(0x1b1a)]:null),_0x574d46[_0x832f17(0xc83)]=_0x832f17(0x25a6),_0x574d46[_0x832f17(0x1d20)]='',_0x574d46[_0x832f17(0x1cdf)]=null,_0x574d46[_0x832f17(0x18d7)]=[],_0x574d46[_0x832f17(0xae2)]={'fields':'createdAt,updatedAt,id,name,strategy,timeout,description','sort':'-updatedAt','channel':_0x832f17(0x2651),'limit':0xa,'page':0x1},_0x574d46[_0x832f17(0xa7e)]=_0x39641b()[_0x832f17(0x2631)]([{'option':'Beepall','value':'\x27beepall\x27'},{'option':_0x832f17(0x290b),'value':_0x832f17(0x15b5)}],function(_0x379614){const _0x1d19c9=_0x832f17;return _0x39641b()[_0x1d19c9(0x288f)](_0x379614['value'],new RegExp('\x27','g'),'');}),_0x574d46['editstate']=_0x436fb3,_0x574d46[_0x832f17(0x1d63)]=_0x531189,_0x574d46[_0x832f17(0x1379)]=_0x7e8aeb,_0x574d46[_0x832f17(0x27fe)]=_0x522245,_0x574d46[_0x832f17(0x649)]=_0x4c6d3c,_0x574d46[_0x832f17(0x829)]=_0x3a706a,_0x574d46[_0x832f17(0x2038)]=_0x4d98f1,_0x574d46['createOrEditMailQueue']=_0x2ed58d,_0x574d46[_0x832f17(0x232b)]=_0x354f1a,_0x574d46['exportSelectedMailQueues']=_0x5c891e,_0x574d46[_0x832f17(0x100f)]=_0x2ac6c8,_0x574d46[_0x832f17(0x2874)]=_0x191135,_0x574d46[_0x832f17(0x84e)]=_0x4a34ac;function _0x436fb3(_0x54b1bb){const _0x5b8d60=_0x832f17;_0x17a3cb['go'](_0x5b8d60(0x1930),{'id':_0x54b1bb['id'],'mailQueue':_0x54b1bb,'crudPermissions':_0x574d46[_0x5b8d60(0x1b1a)]});}function _0x531189(_0x161a26,_0x3fd58a){const _0x147ce1=_0x832f17;_0x327592[_0x147ce1(0xe27)]({'controller':'MailQueueteamaddController','controllerAs':'vm','templateUrl':_0x4078b2,'parent':angular[_0x147ce1(0x1853)](_0x1fa4ab[_0x147ce1(0x1ed9)]),'targetEvent':_0x3fd58a,'clickOutsideToClose':!![],'locals':{'mailQueue':_0x161a26,'mailQueues':_0x574d46[_0x147ce1(0x25a6)]?_0x574d46[_0x147ce1(0x25a6)][_0x147ce1(0x2214)]:[],'crudPermissions':_0x574d46[_0x147ce1(0x1b1a)]}});}function _0x7e8aeb(_0x2b9bf1,_0x4b50cc){const _0x2cb473=_0x832f17;_0x327592[_0x2cb473(0xe27)]({'controller':_0x2cb473(0x24d2),'controllerAs':'vm','templateUrl':_0x1159b3,'parent':angular[_0x2cb473(0x1853)](_0x1fa4ab[_0x2cb473(0x1ed9)]),'targetEvent':_0x4b50cc,'clickOutsideToClose':!![],'locals':{'mailQueue':_0x2b9bf1,'mailQueues':_0x574d46[_0x2cb473(0x25a6)]?_0x574d46[_0x2cb473(0x25a6)][_0x2cb473(0x2214)]:[],'crudPermissions':_0x574d46['crudPermissions'],'realtime':![]}});}function _0x522245(_0x54cd7a,_0x3d08cd){const _0x50ab87=_0x832f17,_0x3f660e=_0x327592[_0x50ab87(0x1551)]()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20'+_0x39641b()[_0x50ab87(0xa75)]('mailQueue')+'?')['htmlContent'](''+(_0x54cd7a['name']||_0x50ab87(0x16e1))+''+_0x50ab87(0x1b6))[_0x50ab87(0x15ad)]('delete\x20mailQueue')[_0x50ab87(0x728)](_0x3d08cd)['ok']('OK')[_0x50ab87(0x696)]('CANCEL');_0x327592[_0x50ab87(0xe27)](_0x3f660e)[_0x50ab87(0x1cb0)](function(){_0x354f1a(_0x54cd7a);},function(){const _0x162c24=_0x50ab87;console[_0x162c24(0x1b4f)]('CANCEL');});}function _0x4c6d3c(){const _0x50f0d4=_0x832f17;if(_0x3308eb[_0x50f0d4(0x22b6)]('admin'))_0x17a3cb['go']('app.mail.realtime.queues',{});else return _0x5c7ef0[_0x50f0d4(0x2199)][_0x50f0d4(0xbf7)]({'userProfileId':_0x3308eb[_0x50f0d4(0x21e8)]()[_0x50f0d4(0x13c1)],'sectionId':0x262})['$promise'][_0x50f0d4(0x1cb0)](function(_0x32d0ee){const _0xc56255=_0x50f0d4,_0x2d8e70=_0x32d0ee&&_0x32d0ee['rows']?_0x32d0ee['rows'][0x0]:null;_0x2d8e70&&_0x2d8e70[_0xc56255(0x281c)]?_0x17a3cb['go'](_0xc56255(0x1903),{}):_0x54da75[_0xc56255(0x28c7)]({'title':_0x3ea521[_0xc56255(0x25cc)](_0xc56255(0x370)),'msg':_0x3ea521[_0xc56255(0x25cc)](_0xc56255(0x33a))});})[_0x50f0d4(0x1c4)](function(_0x44e853){const _0x38d58d=_0x50f0d4;_0x54da75[_0x38d58d(0x218e)]({'title':_0x44e853[_0x38d58d(0x291)]?_0x38d58d(0xeb9)+_0x44e853['status']+_0x38d58d(0x1657)+_0x44e853[_0x38d58d(0xc22)]:_0x38d58d(0x17ba),'msg':_0x44e853[_0x38d58d(0x291)]?JSON[_0x38d58d(0x2701)](_0x44e853[_0x38d58d(0x25c)]):_0x44e853['toString']()});});}let _0x5e1277=!![],_0x1eea34=0x1;_0x27717a[_0x832f17(0x614)]('vm.query.filter',function(_0x4f1022,_0x5ac338){const _0x51beba=_0x832f17;_0x5e1277?_0x2d319c(function(){_0x5e1277=![];}):(!_0x5ac338&&(_0x1eea34=_0x574d46[_0x51beba(0xae2)]['page']),_0x4f1022!==_0x5ac338&&(_0x574d46[_0x51beba(0xae2)][_0x51beba(0x1c7b)]=0x1),!_0x4f1022&&(_0x574d46[_0x51beba(0xae2)][_0x51beba(0x1c7b)]=_0x1eea34),_0x574d46[_0x51beba(0x2038)]());});function _0x3a706a(_0x1dde81){const _0xbd5ef6=_0x832f17;_0x574d46[_0xbd5ef6(0x25a6)]=_0x1dde81||{'count':0x0,'rows':[]};}function _0x4d98f1(){const _0x33d5cc=_0x832f17;_0x574d46[_0x33d5cc(0xae2)]['offset']=(_0x574d46[_0x33d5cc(0xae2)]['page']-0x1)*_0x574d46['query']['limit'],_0x3308eb['hasRole'](_0x33d5cc(0x1c60))?_0x574d46[_0x33d5cc(0x2061)]=_0x5c7ef0[_0x33d5cc(0x16e1)][_0x33d5cc(0xbf7)](_0x574d46[_0x33d5cc(0xae2)],_0x3a706a)[_0x33d5cc(0x1d77)]:(_0x574d46[_0x33d5cc(0xae2)]['id']=_0x574d46['userProfile']['id'],_0x574d46[_0x33d5cc(0xae2)]['section']='MailQueues',_0x574d46[_0x33d5cc(0x2061)]=_0x5c7ef0[_0x33d5cc(0x44a)][_0x33d5cc(0x1810)](_0x574d46[_0x33d5cc(0xae2)],_0x3a706a)[_0x33d5cc(0x1d77)]);}function _0x2ed58d(_0x6ae887,_0x5ed68b){const _0x34760b=_0x832f17;_0x327592[_0x34760b(0xe27)]({'controller':_0x34760b(0xae6),'controllerAs':'vm','templateUrl':_0x5efcce,'parent':angular['element'](_0x1fa4ab[_0x34760b(0x1ed9)]),'targetEvent':_0x6ae887,'clickOutsideToClose':!![],'locals':{'mailQueue':_0x5ed68b,'mailQueues':_0x574d46[_0x34760b(0x25a6)][_0x34760b(0x2214)],'license':_0x574d46[_0x34760b(0x8a5)],'setting':_0x574d46[_0x34760b(0x9ca)],'crudPermissions':_0x574d46[_0x34760b(0x1b1a)]}});}function _0x354f1a(_0x3d70b9){const _0x2e8e05=_0x832f17;_0x5c7ef0['mailQueue'][_0x2e8e05(0x111d)]({'id':_0x3d70b9['id']})[_0x2e8e05(0x1d77)]['then'](function(){const _0xc892ba=_0x2e8e05;_0x39641b()[_0xc892ba(0x152a)](_0x574d46['mailQueues'][_0xc892ba(0x2214)],{'id':_0x3d70b9['id']}),_0x574d46[_0xc892ba(0x25a6)][_0xc892ba(0x184d)]-=0x1,!_0x574d46[_0xc892ba(0x25a6)][_0xc892ba(0x2214)][_0xc892ba(0xfd0)]&&_0x574d46[_0xc892ba(0x2038)](),_0x54da75[_0xc892ba(0x829)]({'title':_0x39641b()[_0xc892ba(0xa75)]('MailQueue')+_0xc892ba(0x2663),'msg':_0x3d70b9[_0xc892ba(0x16b6)]?_0x3d70b9[_0xc892ba(0x16b6)]+_0xc892ba(0x3f5):''});})['catch'](function(_0x116085){const _0xa6ee45=_0x2e8e05;if(_0x116085['data']&&_0x116085['data']['errors']&&_0x116085[_0xa6ee45(0x25c)][_0xa6ee45(0x1a7c)][_0xa6ee45(0xfd0)]){_0x574d46[_0xa6ee45(0x1a7c)]=_0x116085[_0xa6ee45(0x25c)][_0xa6ee45(0x1a7c)]||[{'message':_0x116085[_0xa6ee45(0x147f)](),'type':_0xa6ee45(0x236b)}];for(let _0x49aa95=0x0;_0x49aa95<_0x116085[_0xa6ee45(0x25c)][_0xa6ee45(0x1a7c)]['length'];_0x49aa95++){_0x54da75[_0xa6ee45(0x218e)]({'title':_0x116085[_0xa6ee45(0x25c)]['errors'][_0x49aa95]['type'],'msg':_0x116085[_0xa6ee45(0x25c)][_0xa6ee45(0x1a7c)][_0x49aa95]['message']});}}else _0x54da75[_0xa6ee45(0x218e)]({'title':_0x116085[_0xa6ee45(0x291)]?_0xa6ee45(0xeb9)+_0x116085[_0xa6ee45(0x291)]+_0xa6ee45(0x1657)+_0x116085['statusText']:_0xa6ee45(0x236b),'msg':_0x116085[_0xa6ee45(0x25c)]?JSON[_0xa6ee45(0x2701)](_0x116085['data']['message']):_0x116085[_0xa6ee45(0x155e)]||_0x116085[_0xa6ee45(0x147f)]()});});}function _0x5c891e(){const _0x32e371=_0x832f17,_0x2441de=angular['copy'](_0x574d46[_0x32e371(0x18d7)]);return _0x574d46['selectedMailQueues']=[],_0x2441de;}function _0x2ac6c8(_0x546d2b){const _0x48b233=_0x832f17,_0x30815c=_0x327592['confirm']()[_0x48b233(0x1386)](_0x48b233(0x1fac))['htmlContent'](''+_0x574d46['selectedMailQueues'][_0x48b233(0xfd0)]+_0x48b233(0x1d6c)+_0x48b233(0x1b6))['ariaLabel'](_0x48b233(0x29cd))[_0x48b233(0x728)](_0x546d2b)['ok']('OK')['cancel']('CANCEL');_0x327592[_0x48b233(0xe27)](_0x30815c)['then'](function(){const _0x2a92c8=_0x48b233;_0x574d46[_0x2a92c8(0x18d7)]['forEach'](function(_0x3ee752){_0x354f1a(_0x3ee752);}),_0x574d46['selectedMailQueues']=[];});}function _0x191135(){const _0x3cf772=_0x832f17;_0x574d46[_0x3cf772(0x18d7)]=[];}function _0x4a34ac(){const _0x3eec19=_0x832f17;_0x574d46[_0x3eec19(0x18d7)]=_0x574d46[_0x3eec19(0x25a6)][_0x3eec19(0x2214)];}}const _0x4fc2d4=_0x1eb548;;_0x5eae04['$inject']=['$scope',_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x1734),_0x5537c6(0xdbe),_0x5537c6(0x142b),_0x5537c6(0x1774),'license','setting',_0x5537c6(0x1b1a)];function _0x5eae04(_0x5f584d,_0x233eff,_0x2df402,_0x57d462,_0x28eab2,_0x455c31,_0x49d616,_0x290f28,_0x320158,_0x526afd,_0x1353d2,_0x1f9cf1,_0x12be05,_0x3ce71b){const _0x46ce18=_0x5537c6,_0x519c79=this;_0x519c79[_0x46ce18(0xe76)]=_0x1353d2['getCurrentUser'](),_0x519c79[_0x46ce18(0x1a7c)]=[],_0x519c79[_0x46ce18(0x9ca)]=_0x12be05,_0x519c79[_0x46ce18(0x8a5)]=_0x1f9cf1,_0x519c79[_0x46ce18(0x1b1a)]=_0x3ce71b,_0x519c79[_0x46ce18(0xf4c)]={},_0x519c79[_0x46ce18(0x1b0c)]=_0x519c79[_0x46ce18(0x9ca)]&&_0x519c79[_0x46ce18(0x9ca)][_0x46ce18(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x519c79[_0x46ce18(0x1386)]=_0x46ce18(0x1634),_0x519c79[_0x46ce18(0xdbe)]=angular[_0x46ce18(0x17fe)](_0x320158),_0x519c79[_0x46ce18(0x1734)]=_0x290f28,_0x519c79[_0x46ce18(0x1efa)]=![];!_0x519c79[_0x46ce18(0xdbe)]&&(_0x519c79[_0x46ce18(0xdbe)]={},_0x519c79[_0x46ce18(0x1386)]=_0x46ce18(0x27a0),_0x519c79[_0x46ce18(0x1efa)]=!![]);_0x519c79['addNewMailSubstatus']=_0x4826ce,_0x519c79[_0x46ce18(0x28ac)]=_0x1d21d8,_0x519c79['deleteMailSubstatus']=_0x486710,_0x519c79[_0x46ce18(0x2c4)]=_0x59fc5a,_0x519c79[_0x46ce18(0xda0)]=_0x24c4dd;function _0x4826ce(){const _0x675330=_0x46ce18;_0x519c79['errors']=[],_0x526afd[_0x675330(0xdbe)]['save'](_0x519c79['mailSubstatus'])[_0x675330(0x1d77)]['then'](function(_0x1d734d){const _0x33ddc9=_0x675330;_0x519c79[_0x33ddc9(0x1734)][_0x33ddc9(0xf63)](_0x1d734d['toJSON']()),_0x49d616[_0x33ddc9(0x829)]({'title':_0x33ddc9(0x15ab),'msg':_0x519c79['mailSubstatus'][_0x33ddc9(0x16b6)]?_0x519c79['mailSubstatus'][_0x33ddc9(0x16b6)]+_0x33ddc9(0x470):''}),_0x24c4dd(_0x1d734d);})[_0x675330(0x1c4)](function(_0x5719dd){const _0x241907=_0x675330;if(_0x5719dd[_0x241907(0x25c)]&&_0x5719dd['data'][_0x241907(0x1a7c)]&&_0x5719dd['data']['errors'][_0x241907(0xfd0)]){_0x519c79[_0x241907(0x1a7c)]=_0x5719dd[_0x241907(0x25c)]['errors']||[{'message':_0x5719dd[_0x241907(0x147f)](),'type':_0x241907(0xccc)}];for(let _0x5805bd=0x0;_0x5805bd<_0x5719dd[_0x241907(0x25c)][_0x241907(0x1a7c)][_0x241907(0xfd0)];_0x5805bd+=0x1){_0x49d616[_0x241907(0x218e)]({'title':_0x5719dd[_0x241907(0x25c)][_0x241907(0x1a7c)][_0x5805bd][_0x241907(0x66a)],'msg':_0x5719dd['data'][_0x241907(0x1a7c)][_0x5805bd]['message']});}}else _0x49d616['error']({'title':_0x5719dd[_0x241907(0x291)]?'API:'+_0x5719dd['status']+_0x241907(0x1657)+_0x5719dd[_0x241907(0xc22)]:'api.mailSubstatus.save','msg':_0x5719dd[_0x241907(0x25c)]?JSON[_0x241907(0x2701)](_0x5719dd[_0x241907(0x25c)][_0x241907(0x155e)]):_0x5719dd['toString']()});});}function _0x1d21d8(){const _0x56e7ff=_0x46ce18;_0x519c79['errors']=[],_0x526afd['mailSubstatus']['update']({'id':_0x519c79[_0x56e7ff(0xdbe)]['id']},_0x519c79[_0x56e7ff(0xdbe)])[_0x56e7ff(0x1d77)]['then'](function(_0x514d36){const _0x4cf8f2=_0x56e7ff,_0x48023f=_0x39641b()['find'](_0x519c79[_0x4cf8f2(0x1734)],{'id':_0x514d36['id']});_0x48023f&&_0x39641b()[_0x4cf8f2(0x9c1)](_0x48023f,_0x39641b()['pick'](_0x514d36[_0x4cf8f2(0x19b2)](),_0x39641b()['keys'](_0x48023f))),_0x49d616[_0x4cf8f2(0x829)]({'title':_0x4cf8f2(0x1511),'msg':_0x519c79[_0x4cf8f2(0xdbe)][_0x4cf8f2(0x16b6)]?_0x519c79['mailSubstatus'][_0x4cf8f2(0x16b6)]+_0x4cf8f2(0xedb):''}),_0x24c4dd(_0x514d36);})[_0x56e7ff(0x1c4)](function(_0x17f4b4){const _0x34774c=_0x56e7ff;if(_0x17f4b4[_0x34774c(0x25c)]&&_0x17f4b4[_0x34774c(0x25c)][_0x34774c(0x1a7c)]&&_0x17f4b4[_0x34774c(0x25c)]['errors'][_0x34774c(0xfd0)]){_0x519c79['errors']=_0x17f4b4[_0x34774c(0x25c)][_0x34774c(0x1a7c)]||[{'message':_0x17f4b4['toString'](),'type':_0x34774c(0x27fc)}];for(let _0x7432e6=0x0;_0x7432e6<_0x17f4b4[_0x34774c(0x25c)][_0x34774c(0x1a7c)][_0x34774c(0xfd0)];_0x7432e6++){_0x49d616[_0x34774c(0x218e)]({'title':_0x17f4b4[_0x34774c(0x25c)]['errors'][_0x7432e6][_0x34774c(0x66a)],'msg':_0x17f4b4[_0x34774c(0x25c)][_0x34774c(0x1a7c)][_0x7432e6][_0x34774c(0x155e)]});}}else _0x49d616[_0x34774c(0x218e)]({'title':_0x17f4b4['status']?_0x34774c(0xeb9)+_0x17f4b4[_0x34774c(0x291)]+_0x34774c(0x1657)+_0x17f4b4[_0x34774c(0xc22)]:_0x34774c(0x27fc),'msg':_0x17f4b4[_0x34774c(0x25c)]?JSON[_0x34774c(0x2701)](_0x17f4b4[_0x34774c(0x25c)][_0x34774c(0x155e)]):_0x17f4b4[_0x34774c(0x147f)]()});});}function _0x486710(_0x562b4f){const _0x52ad4c=_0x46ce18;_0x519c79[_0x52ad4c(0x1a7c)]=[];const _0x3fb458=_0x57d462[_0x52ad4c(0x1551)]()[_0x52ad4c(0x1386)](_0x52ad4c(0x1a2e))[_0x52ad4c(0x862)]('The\x20mailSubstatus\x20will\x20be\x20deleted.')[_0x52ad4c(0x15ad)]('Delete\x20MailSubstatus')['ok'](_0x52ad4c(0x2594))[_0x52ad4c(0x696)](_0x52ad4c(0xde1))[_0x52ad4c(0x728)](_0x562b4f);_0x57d462['show'](_0x3fb458)[_0x52ad4c(0x1cb0)](function(){const _0x281201=_0x52ad4c;_0x526afd[_0x281201(0xdbe)][_0x281201(0x111d)]({'id':_0x519c79[_0x281201(0xdbe)]['id']})[_0x281201(0x1d77)][_0x281201(0x1cb0)](function(){const _0x310939=_0x281201;_0x39641b()[_0x310939(0x152a)](_0x519c79[_0x310939(0x1734)],{'id':_0x519c79[_0x310939(0xdbe)]['id']}),_0x49d616[_0x310939(0x829)]({'title':_0x310939(0x1b7c),'msg':(_0x519c79[_0x310939(0xdbe)][_0x310939(0x16b6)]||_0x310939(0xdbe))+'\x20has\x20been\x20deleted!'}),_0x24c4dd(_0x519c79[_0x310939(0xdbe)]);})['catch'](function(_0x5d270d){const _0x160c7c=_0x281201;if(_0x5d270d[_0x160c7c(0x25c)]&&_0x5d270d[_0x160c7c(0x25c)]['errors']&&_0x5d270d[_0x160c7c(0x25c)]['errors'][_0x160c7c(0xfd0)]){_0x519c79[_0x160c7c(0x1a7c)]=_0x5d270d[_0x160c7c(0x25c)]['errors']||[{'message':_0x5d270d[_0x160c7c(0x147f)](),'type':_0x160c7c(0x663)}];for(let _0x139268=0x0;_0x139268<_0x5d270d[_0x160c7c(0x25c)][_0x160c7c(0x1a7c)]['length'];_0x139268++){_0x49d616[_0x160c7c(0x218e)]({'title':_0x5d270d['data'][_0x160c7c(0x1a7c)][_0x139268]['type'],'msg':_0x5d270d['data']['errors'][_0x139268][_0x160c7c(0x155e)]});}}else _0x49d616[_0x160c7c(0x218e)]({'title':_0x5d270d['status']?_0x160c7c(0xeb9)+_0x5d270d[_0x160c7c(0x291)]+_0x160c7c(0x1657)+_0x5d270d[_0x160c7c(0xc22)]:'api.mailSubstatus.delete','msg':_0x5d270d[_0x160c7c(0x25c)]?JSON[_0x160c7c(0x2701)](_0x5d270d[_0x160c7c(0x25c)][_0x160c7c(0x155e)]):_0x5d270d[_0x160c7c(0x155e)]||_0x5d270d[_0x160c7c(0x147f)]()});});},function(){});}function _0x59fc5a(_0x27c81e){return _0x27c81e===null?undefined:new Date(_0x27c81e);}function _0x24c4dd(_0x596619){const _0x5bfbe6=_0x46ce18;_0x57d462[_0x5bfbe6(0x1426)](_0x596619);}}const _0x5b2021=_0x5eae04;;const _0x18d429=_0x5074a3['p']+'src/js/modules/main/apps/mail/views/mailSubtatuses/create/dialog.html/dialog.html';;_0x2d2782[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),_0x5537c6(0x22bf),'$timeout',_0x5537c6(0x1ae),_0x5537c6(0x1734),_0x5537c6(0x44a),'userProfileSection',_0x5537c6(0x142b),_0x5537c6(0xde8),'toasty','Auth','license',_0x5537c6(0x9ca)];function _0x2d2782(_0x1ad48f,_0x2de563,_0x5e7f01,_0x4d4512,_0x1ba8bf,_0x49546d,_0x1514fc,_0xe4133,_0xa8f88f,_0x1ea8be,_0x9c2c44,_0x5a2608,_0x537ba7,_0x3f5b54,_0x59f3d2,_0x6b7a01,_0x42722b){const _0x3d0989=_0x5537c6,_0x3821bb=this;_0x3821bb[_0x3d0989(0x8a5)]=_0x6b7a01,_0x3821bb['setting']=_0x42722b,_0x3821bb[_0x3d0989(0xe76)]=_0x59f3d2[_0x3d0989(0x21e8)](),_0x3821bb[_0x3d0989(0x1734)]=_0xa8f88f||{'count':0x0,'rows':[]},_0x3821bb[_0x3d0989(0x44a)]=_0x1ea8be,_0x3821bb[_0x3d0989(0x2199)]=_0x9c2c44&&_0x9c2c44[_0x3d0989(0x184d)]==0x1?_0x9c2c44['rows'][0x0]:null,_0x3821bb[_0x3d0989(0x1b1a)]=_0x59f3d2[_0x3d0989(0x14ea)](_0x3821bb[_0x3d0989(0x2199)]?_0x3821bb[_0x3d0989(0x2199)]['crudPermissions']:null),_0x3821bb[_0x3d0989(0xc83)]=_0x3d0989(0x1734),_0x3821bb['listOrder']='',_0x3821bb[_0x3d0989(0x1cdf)]=null,_0x3821bb[_0x3d0989(0x130c)]=[],_0x3821bb['query']={'fields':'createdAt,updatedAt,id,name,description','sort':_0x3d0989(0x282),'limit':0xa,'page':0x1},_0x3821bb['editdialog']=_0x45f781,_0x3821bb[_0x3d0989(0x27fe)]=_0x1dc3d0,_0x3821bb[_0x3d0989(0x829)]=_0x36e029,_0x3821bb['getMailSubstatuses']=_0x2b428e,_0x3821bb[_0x3d0989(0x1b27)]=_0x22377f,_0x3821bb['deleteMailSubstatus']=_0x97826f,_0x3821bb[_0x3d0989(0xa57)]=_0x58f2fb,_0x3821bb[_0x3d0989(0xadf)]=_0x394a90,_0x3821bb[_0x3d0989(0x179e)]=_0xe506c6,_0x3821bb['selectAllMailSubstatuses']=_0x318878;function _0x45f781(_0x122bb1,_0x438ad8){const _0x48d494=_0x3d0989;_0x1ba8bf[_0x48d494(0xe27)]({'controller':_0x48d494(0x926),'controllerAs':'vm','templateUrl':_0x18d429,'parent':angular['element'](_0x49546d['body']),'targetEvent':_0x438ad8,'clickOutsideToClose':!![],'locals':{'mailSubstatus':_0x122bb1,'mailSubtatuses':_0x3821bb['mailSubtatuses'][_0x48d494(0x2214)],'license':_0x3821bb[_0x48d494(0x8a5)],'setting':null,'crudPermissions':_0x3821bb['crudPermissions']}});}function _0x1dc3d0(_0x3f6a90,_0x4a555d){const _0x48f9e2=_0x3d0989,_0x16200a=_0x1ba8bf['confirm']()[_0x48f9e2(0x1386)](_0x48f9e2(0x140b)+_0x39641b()[_0x48f9e2(0xa75)](_0x48f9e2(0xdbe))+'?')[_0x48f9e2(0x49e)](''+(_0x3f6a90['name']||_0x48f9e2(0xdbe))+_0x48f9e2(0x1200)+_0x48f9e2(0x1b6))[_0x48f9e2(0x15ad)](_0x48f9e2(0x2528))[_0x48f9e2(0x728)](_0x4a555d)['ok']('OK')[_0x48f9e2(0x696)](_0x48f9e2(0x24ba));_0x1ba8bf[_0x48f9e2(0xe27)](_0x16200a)[_0x48f9e2(0x1cb0)](function(){_0x97826f(_0x3f6a90);},function(){const _0x26499e=_0x48f9e2;console['log'](_0x26499e(0x24ba));});}let _0x3f24e7=!![],_0xd6a630=0x1;_0x1ad48f[_0x3d0989(0x614)](_0x3d0989(0x957),function(_0x425cef,_0xeebaf0){const _0x210491=_0x3d0989;_0x3f24e7?_0x1514fc(function(){_0x3f24e7=![];}):(!_0xeebaf0&&(_0xd6a630=_0x3821bb[_0x210491(0xae2)]['page']),_0x425cef!==_0xeebaf0&&(_0x3821bb[_0x210491(0xae2)][_0x210491(0x1c7b)]=0x1),!_0x425cef&&(_0x3821bb[_0x210491(0xae2)]['page']=_0xd6a630),_0x3821bb[_0x210491(0x2220)]());});function _0x36e029(_0x36061d){const _0x51b864=_0x3d0989;_0x3821bb[_0x51b864(0x1734)]=_0x36061d||{'count':0x0,'rows':[]};}function _0x2b428e(){const _0x25b800=_0x3d0989;_0x3821bb['query'][_0x25b800(0x184b)]=(_0x3821bb[_0x25b800(0xae2)][_0x25b800(0x1c7b)]-0x1)*_0x3821bb[_0x25b800(0xae2)]['limit'],_0x59f3d2[_0x25b800(0x22b6)](_0x25b800(0x1c60))?_0x3821bb['promise']=_0x5a2608[_0x25b800(0xdbe)][_0x25b800(0xbf7)](_0x3821bb[_0x25b800(0xae2)],_0x36e029)[_0x25b800(0x1d77)]:(_0x3821bb['query']['id']=_0x3821bb[_0x25b800(0x44a)]['id'],_0x3821bb['query'][_0x25b800(0x1f74)]='MailSubstatuses',_0x3821bb['promise']=_0x5a2608['userProfile'][_0x25b800(0x1810)](_0x3821bb[_0x25b800(0xae2)],_0x36e029)['$promise']);}function _0x22377f(_0xb4f7e7,_0x20e953){const _0x116d13=_0x3d0989;_0x1ba8bf[_0x116d13(0xe27)]({'controller':_0x116d13(0x926),'controllerAs':'vm','templateUrl':_0x18d429,'parent':angular[_0x116d13(0x1853)](_0x49546d[_0x116d13(0x1ed9)]),'targetEvent':_0xb4f7e7,'clickOutsideToClose':!![],'locals':{'mailSubstatus':_0x20e953,'mailSubtatuses':_0x3821bb[_0x116d13(0x1734)][_0x116d13(0x2214)],'license':_0x3821bb[_0x116d13(0x8a5)],'setting':_0x3821bb[_0x116d13(0x9ca)],'crudPermissions':_0x3821bb[_0x116d13(0x1b1a)]}});}function _0x97826f(_0x1ba837){const _0x9d5b11=_0x3d0989;_0x5a2608['mailSubstatus']['delete']({'id':_0x1ba837['id']})[_0x9d5b11(0x1d77)][_0x9d5b11(0x1cb0)](function(){const _0xa70e9b=_0x9d5b11;_0x39641b()[_0xa70e9b(0x152a)](_0x3821bb['mailSubtatuses'][_0xa70e9b(0x2214)],{'id':_0x1ba837['id']}),_0x3821bb['mailSubtatuses'][_0xa70e9b(0x184d)]-=0x1,!_0x3821bb['mailSubtatuses']['rows']['length']&&_0x3821bb[_0xa70e9b(0x2220)](),_0x3f5b54[_0xa70e9b(0x829)]({'title':_0x39641b()[_0xa70e9b(0xa75)](_0xa70e9b(0xeaa))+_0xa70e9b(0x2663),'msg':_0x1ba837[_0xa70e9b(0x16b6)]?_0x1ba837[_0xa70e9b(0x16b6)]+_0xa70e9b(0x3f5):''});})['catch'](function(_0x11c1e4){const _0x4dd260=_0x9d5b11;if(_0x11c1e4[_0x4dd260(0x25c)]&&_0x11c1e4[_0x4dd260(0x25c)]['errors']&&_0x11c1e4[_0x4dd260(0x25c)]['errors']['length']){_0x3821bb[_0x4dd260(0x1a7c)]=_0x11c1e4[_0x4dd260(0x25c)][_0x4dd260(0x1a7c)]||[{'message':_0x11c1e4['toString'](),'type':_0x4dd260(0xc0b)}];for(let _0x33de34=0x0;_0x33de34<_0x11c1e4['data'][_0x4dd260(0x1a7c)][_0x4dd260(0xfd0)];_0x33de34++){_0x3f5b54[_0x4dd260(0x218e)]({'title':_0x11c1e4[_0x4dd260(0x25c)]['errors'][_0x33de34]['type'],'msg':_0x11c1e4[_0x4dd260(0x25c)]['errors'][_0x33de34][_0x4dd260(0x155e)]});}}else _0x3f5b54[_0x4dd260(0x218e)]({'title':_0x11c1e4['status']?'API:'+_0x11c1e4[_0x4dd260(0x291)]+'\x20-\x20'+_0x11c1e4[_0x4dd260(0xc22)]:_0x4dd260(0xc0b),'msg':_0x11c1e4[_0x4dd260(0x25c)]?JSON[_0x4dd260(0x2701)](_0x11c1e4[_0x4dd260(0x25c)]['message']):_0x11c1e4[_0x4dd260(0x155e)]||_0x11c1e4[_0x4dd260(0x147f)]()});});}function _0x58f2fb(){const _0xd682a6=_0x3d0989,_0x58cbd1=angular[_0xd682a6(0x17fe)](_0x3821bb['selectedMailSubstatuses']);return _0x3821bb[_0xd682a6(0x130c)]=[],_0x58cbd1;}function _0x394a90(_0x54e9f3){const _0x3ae4c2=_0x3d0989,_0x1cb0cf=_0x1ba8bf[_0x3ae4c2(0x1551)]()[_0x3ae4c2(0x1386)](_0x3ae4c2(0x8c0))[_0x3ae4c2(0x49e)](_0x3ae4c2(0x204d)+_0x3821bb[_0x3ae4c2(0x130c)][_0x3ae4c2(0xfd0)]+_0x3ae4c2(0x1d6c)+_0x3ae4c2(0x1b6))['ariaLabel'](_0x3ae4c2(0x8cf))['targetEvent'](_0x54e9f3)['ok']('OK')['cancel'](_0x3ae4c2(0x24ba));_0x1ba8bf[_0x3ae4c2(0xe27)](_0x1cb0cf)[_0x3ae4c2(0x1cb0)](function(){const _0x4ef025=_0x3ae4c2;_0x3821bb['selectedMailSubstatuses']['forEach'](function(_0x491638){_0x97826f(_0x491638);}),_0x3821bb[_0x4ef025(0x130c)]=[];});}function _0xe506c6(){const _0x3c5867=_0x3d0989;_0x3821bb[_0x3c5867(0x130c)]=[];}function _0x318878(){const _0x450a3f=_0x3d0989;_0x3821bb['selectedMailSubstatuses']=_0x3821bb[_0x450a3f(0x1734)][_0x450a3f(0x2214)];}}const _0x25153b=_0x2d2782;;_0x3779cf[_0x5537c6(0x15b6)]=[_0x5537c6(0xbd6),_0x5537c6(0x1f2a)];function _0x3779cf(_0x59780b,_0x1fdb1d){const _0x27373f=_0x5537c6;_0x59780b[_0x27373f(0x27e0)](_0x27373f(0x2426),{'abstract':!![],'url':'/mail'})['state']('app.mail.mailQueues',{'url':_0x27373f(0x1c5f),'views':{'content@app':{'templateUrl':_0x3255fb,'controller':_0x27373f(0x29b5)}},'resolve':{'mailQueues':[_0x27373f(0x1e0b),'Auth',function(_0x4e6c68,_0x5aa36d){const _0x5834a1=_0x27373f;return _0x5aa36d['hasRole'](_0x5834a1(0x1c60))?_0x4e6c68[_0x5834a1(0x19a3)](_0x5834a1(0x1140),{'fields':'createdAt,updatedAt,id,name,strategy,timeout,description','sort':_0x5834a1(0x282),'channel':_0x5834a1(0x2651),'limit':0xa,'offset':0x0}):_0x4e6c68[_0x5834a1(0x19a3)](_0x5834a1(0x12da),{'id':_0x5aa36d[_0x5834a1(0x21e8)]()[_0x5834a1(0x13c1)],'section':_0x5834a1(0x25fc),'fields':_0x5834a1(0x22f3),'sort':_0x5834a1(0x282),'channel':_0x5834a1(0x2651),'limit':0xa,'offset':0x0});}],'userProfile':[_0x27373f(0x1e0b),_0x27373f(0x1774),function(_0x41dbf9,_0x15533f){const _0x51b537=_0x27373f;return _0x15533f[_0x51b537(0x22b6)](_0x51b537(0x1c60))?null:_0x41dbf9[_0x51b537(0x19a3)](_0x51b537(0x9ae),{'fields':'id,name,crudPermissions','id':_0x15533f['getCurrentUser']()[_0x51b537(0x13c1)]});}],'userProfileSection':['apiResolver',_0x27373f(0x1774),function(_0x80cd2c,_0x798226){const _0x442b15=_0x27373f;return _0x798226[_0x442b15(0x22b6)](_0x442b15(0x1c60))?null:_0x80cd2c[_0x442b15(0x19a3)](_0x442b15(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x798226[_0x442b15(0x21e8)]()[_0x442b15(0x13c1)],'sectionId':0x259});}]},'authenticate':!![],'permissionId':0x259,'bodyClass':_0x27373f(0x2651)})[_0x27373f(0x27e0)](_0x27373f(0x1930),{'url':'/:id?tab','params':{'mailQueue':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x1c35f5,'controller':_0x27373f(0xf80)}},'resolve':{'mailQueue':[_0x27373f(0x1e0b),_0x27373f(0x225c),function(_0x5c4f4c,_0x3d8640){const _0x36c086=_0x27373f;return _0x5c4f4c['resolve']('mailQueue@get',{'fields':_0x36c086(0x22f3),'id':_0x3d8640['id']});}],'userProfileSection':[_0x27373f(0x1e0b),_0x27373f(0x1774),function(_0x2ce90c,_0x25e70f){const _0x2b1d79=_0x27373f;return _0x2ce90c[_0x2b1d79(0x19a3)](_0x2b1d79(0x2182),{'fields':_0x2b1d79(0x1f5f),'userProfileId':_0x25e70f[_0x2b1d79(0x21e8)]()[_0x2b1d79(0x13c1)],'sectionId':0x259});}]},'authenticate':!![],'permissionId':0x259,'bodyClass':_0x27373f(0x2651)})[_0x27373f(0x27e0)](_0x27373f(0x226d),{'url':_0x27373f(0xbf9),'views':{'content@app':{'templateUrl':_0x18e25c,'controller':_0x27373f(0x260b)}},'resolve':{'mailAccounts':['apiResolver','Auth',function(_0x145018,_0x184e87){const _0x26da7f=_0x27373f;return _0x184e87[_0x26da7f(0x22b6)]('admin')?_0x145018[_0x26da7f(0x19a3)]('mailAccount@get',{'fields':'createdAt,updatedAt,id,name,key,email,ListId,active,cservice,Imap.service,Imap.host,Imap.port,Imap.tls,Imap.authentication,Imap.user,Imap.password,Imap.mailbox,Imap.connTimeout,Imap.authTimeout,Smtp.service,Smtp.host,Smtp.port,Smtp.secure,Smtp.authentication,Smtp.user,Smtp.pass,cauthentication,cuser,cpassword,fontFamily,fontSize,template,markAsUnread,waitForTheAssignedAgent,mandatoryDisposition,mandatoryDispositionPauseId,description,notificationSound,notificationShake,notificationTemplate,queueTransfer,queueTransferTimeout,agentTransfer,agentTransferTimeout','sort':_0x26da7f(0x282),'limit':0xa,'offset':0x0}):_0x145018['resolve'](_0x26da7f(0x12da),{'id':_0x184e87[_0x26da7f(0x21e8)]()[_0x26da7f(0x13c1)],'section':_0x26da7f(0x9c9),'fields':_0x26da7f(0x1f9a),'sort':_0x26da7f(0x282),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver',_0x27373f(0x1774),function(_0x443f2c,_0x4ba978){const _0x3554de=_0x27373f;return _0x4ba978[_0x3554de(0x22b6)](_0x3554de(0x1c60))?null:_0x443f2c[_0x3554de(0x19a3)]('userProfile@get',{'fields':'id,name,crudPermissions','id':_0x4ba978[_0x3554de(0x21e8)]()['userProfileId']});}],'userProfileSection':[_0x27373f(0x1e0b),'Auth',function(_0x725511,_0x4b206b){const _0x262928=_0x27373f;return _0x4b206b['hasRole'](_0x262928(0x1c60))?null:_0x725511[_0x262928(0x19a3)](_0x262928(0x2182),{'fields':_0x262928(0x1f5f),'userProfileId':_0x4b206b[_0x262928(0x21e8)]()[_0x262928(0x13c1)],'sectionId':0x25a});}]},'authenticate':!![],'permissionId':0x25a,'bodyClass':'mail'})[_0x27373f(0x27e0)](_0x27373f(0xbcd),{'url':_0x27373f(0x1bf2),'params':{'mailAccount':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x268b68,'controller':'MailAccountController\x20as\x20vm'}},'resolve':{'mailAccount':[_0x27373f(0x1e0b),_0x27373f(0x225c),function(_0x1e8e90,_0x51ce66){const _0x5d02a7=_0x27373f;return _0x1e8e90[_0x5d02a7(0x19a3)](_0x5d02a7(0x5c1),{'fields':_0x5d02a7(0x1f9a),'id':_0x51ce66['id']});}],'userProfileSection':[_0x27373f(0x1e0b),_0x27373f(0x1774),function(_0x131042,_0x1b6c01){const _0x138f1e=_0x27373f;return _0x131042[_0x138f1e(0x19a3)](_0x138f1e(0x2182),{'fields':_0x138f1e(0x1f5f),'userProfileId':_0x1b6c01['getCurrentUser']()[_0x138f1e(0x13c1)],'sectionId':0x25a});}]},'authenticate':!![],'permissionId':0x25a,'bodyClass':_0x27373f(0x2651)})[_0x27373f(0x27e0)](_0x27373f(0x32d),{'url':_0x27373f(0x2b2),'views':{'content@app':{'templateUrl':_0x4cf3e1,'controller':'MailSubstatusesController\x20as\x20vm'}},'resolve':{'mailSubtatuses':[_0x27373f(0x1e0b),function(_0x4075f0){const _0x3ee29b=_0x27373f;return _0x4075f0['resolve'](_0x3ee29b(0x1cfb),{'fields':'createdAt,updatedAt,id,name,description','sort':_0x3ee29b(0x282),'limit':0xa,'offset':0x0});}],'userProfile':[_0x27373f(0x1e0b),'Auth',function(_0x5b3cd2,_0x2bad9d){const _0x34c136=_0x27373f;return _0x2bad9d[_0x34c136(0x22b6)]('admin')?null:_0x5b3cd2[_0x34c136(0x19a3)](_0x34c136(0x9ae),{'fields':'id,name,crudPermissions','id':_0x2bad9d[_0x34c136(0x21e8)]()[_0x34c136(0x13c1)]});}],'userProfileSection':[_0x27373f(0x1e0b),'Auth',function(_0x43b38f,_0x1d039b){const _0x5cf456=_0x27373f;return _0x1d039b[_0x5cf456(0x22b6)]('admin')?null:_0x43b38f[_0x5cf456(0x19a3)]('userProfileSection@get',{'fields':_0x5cf456(0x1f5f),'userProfileId':_0x1d039b[_0x5cf456(0x21e8)]()[_0x5cf456(0x13c1)],'sectionId':0x25b});}]},'authenticate':!![],'permissionId':0x25b,'bodyClass':_0x27373f(0x2651)}),_0x1fdb1d[_0x27373f(0x4e7)]('app/main/apps/mail');}angular[_0x5537c6(0x9ab)]('app.mail',['ngCsv','ngPassword',_0x5537c6(0x1260),'flow',_0x5537c6(0x18f6),_0x5537c6(0xd19),'ng-sortable',_0x5537c6(0x2ec),_0x5537c6(0x1890),'mdPickers',_0x5537c6(0x208f),_0x5537c6(0x1b65),_0x5537c6(0x2166),_0x5537c6(0x3b9),_0x5537c6(0x7c9),'ngEmbed',_0x5537c6(0x27af),_0x5537c6(0x13b6),_0x5537c6(0x1cbd),_0x5537c6(0xd01),_0x5537c6(0x4e3)])[_0x5537c6(0xa60)](_0x3779cf)['controller'](_0x5537c6(0x1a44),_0x3cf825)[_0x5537c6(0x6e5)]('MailAccountActionsController',_0x540fca)[_0x5537c6(0x6e5)](_0x5537c6(0x4f3),_0x1fbeff)['controller']('EditMailAccountAppagentDialogController',_0x43df1e)[_0x5537c6(0x6e5)]('EditMailAccountAppautoreplyDialogController',_0x4c42df)[_0x5537c6(0x6e5)](_0x5537c6(0x11ae),_0x303a4d)[_0x5537c6(0x6e5)](_0x5537c6(0xdd0),_0x1a8132)[_0x5537c6(0x6e5)](_0x5537c6(0x2321),_0x4035e4)[_0x5537c6(0x6e5)]('EditMailAccountAppintervalDialogController',_0x3c635e)['controller']('EditMailAccountAppnoopDialogController',_0x596b62)[_0x5537c6(0x6e5)](_0x5537c6(0x1192),_0x1771f2)[_0x5537c6(0x6e5)]('EditMailAccountAppsystemDialogController',_0x5d1455)[_0x5537c6(0x6e5)]('EditMailAccountApptagDialogController',_0x55510c)['controller']('MailAccountInteractionsController',_0x50c603)['controller'](_0x5537c6(0x209f),_0x5165df)['controller'](_0x5537c6(0xc7b),_0xfa90ae)[_0x5537c6(0x6e5)](_0x5537c6(0x11ac),_0x8f035d)[_0x5537c6(0x6e5)]('MailAccountController',_0x1c882d)['controller']('MailAccountsController',_0x41f4c8)[_0x5537c6(0x6e5)](_0x5537c6(0xae6),_0x108e2)['controller']('MailQueueagentaddController',_0x1e8611)[_0x5537c6(0x6e5)]('MailQueueteamaddController',_0x526952)['controller'](_0x5537c6(0x10d9),_0x1abecb)['controller'](_0x5537c6(0x1016),_0x4fc2d4)['controller'](_0x5537c6(0x926),_0x5b2021)[_0x5537c6(0x6e5)]('MailSubstatusesController',_0x25153b);;const _0x102b84=_0x5074a3['p']+_0x5537c6(0x9a3);;const _0x143826=_0x5074a3['p']+_0x5537c6(0xb15);;const _0x30ef41=_0x5074a3['p']+_0x5537c6(0x18b0);;_0x28c2f5['$inject']=[_0x5537c6(0x1463),'$q','$timeout',_0x5537c6(0xcb9),'$document',_0x5537c6(0xc34),_0x5537c6(0x8de),'agents',_0x5537c6(0x212d),'api',_0x5537c6(0x1986),_0x5537c6(0x9bf),_0x5537c6(0x125c),_0x5537c6(0x44a),'userProfileSection',_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x1714)];function _0x28c2f5(_0x3e8e70,_0x3eaa15,_0x215797,_0x2746e2,_0x430d38,_0xdcff64,_0x36ee99,_0x43fcde,_0x540116,_0x14077a,_0x244426,_0x5a0ace,_0x4e1d1b,_0x2ac7d9,_0x296596,_0x2591a2,_0x3b5c2d,_0x2d14ec){const _0x12d037=_0x5537c6,_0x34eef5=this,_0x435e2d=[_0x12d037(0x70a),'online',_0x12d037(0x24a2),'lastPauseAt',_0x12d037(0x16e6),_0x12d037(0x25db),_0x12d037(0xdbd),_0x12d037(0x16aa),'chatPause',_0x12d037(0x1c7d),_0x12d037(0x2035),'smsPause','whatsappPause',_0x12d037(0x1ce),_0x12d037(0x2478),_0x12d037(0x1aee),_0x12d037(0x391),_0x12d037(0x2789),_0x12d037(0x3d0),_0x12d037(0x9cd),_0x12d037(0x2451),_0x12d037(0x132d),_0x12d037(0x1cd3),_0x12d037(0x2947),_0x12d037(0x2472),'whatsappCurrentCapacity','faxCurrentCapacity',_0x12d037(0x2208),_0x12d037(0x1446),_0x12d037(0x11b2),'smsStatus',_0x12d037(0x2453),_0x12d037(0x542),_0x12d037(0x2de),_0x12d037(0x1b11),_0x12d037(0x22a6),_0x12d037(0x568),_0x12d037(0x9eb),'whatsappStatusTime',_0x12d037(0x1997),_0x12d037(0xc9c),_0x12d037(0x11cf),_0x12d037(0x247),_0x12d037(0x24c5),_0x12d037(0x2569),_0x12d037(0x1f23)],_0x37512a=['chatPause','openchannelPause',_0x12d037(0x2035),_0x12d037(0x22d3),_0x12d037(0x24ff),_0x12d037(0x1ce),_0x12d037(0x2478),_0x12d037(0xa28)];_0x34eef5[_0x12d037(0xe76)]=_0x2591a2['getCurrentUser'](),_0x34eef5[_0x12d037(0x46c)]=[_0x12d037(0x1c7e),_0x12d037(0x8d2),_0x12d037(0x5b2),'onhold',_0x12d037(0x1abd)],_0x34eef5['pauses']=_0x36ee99||{'count':0x0,'rows':[]},_0x34eef5[_0x12d037(0x8a5)]=_0x3b5c2d,_0x34eef5[_0x12d037(0x44a)]=_0x2ac7d9,_0x34eef5[_0x12d037(0x2199)]=_0x296596&&_0x296596['count']==0x1?_0x296596['rows'][0x0]:null,_0x34eef5['crudPermissions']=_0x2591a2['parseCrudPermissions'](_0x34eef5[_0x12d037(0x2199)]?_0x34eef5[_0x12d037(0x2199)][_0x12d037(0x1b1a)]:null),_0x34eef5[_0x12d037(0xc12)]=_0x43fcde?_0x39641b()[_0x12d037(0x2631)](_0x43fcde[_0x12d037(0x2214)]?_0x43fcde['rows']:[],'id'):{},_0x34eef5['rpcAgents']=_0x540116?_0x39641b()[_0x12d037(0x2631)](_0x540116[_0x12d037(0x2214)]?_0x540116[_0x12d037(0x2214)]:[],'id'):{},_0x34eef5[_0x12d037(0x260a)]={},_0x34eef5[_0x12d037(0x997)]=![],_0x34eef5[_0x12d037(0x237f)]=[],_0x34eef5[_0x12d037(0x1fdd)]={};for(const _0x537ae0 in _0x34eef5[_0x12d037(0xc12)]){typeof _0x34eef5['agents'][_0x537ae0]!=='undefined'&&(_0x34eef5['agents'][_0x537ae0][_0x12d037(0x1a8e)]=!![]);}_0x34eef5[_0x12d037(0xae2)]={'limit':0xa,'page':0x1,'order':_0x12d037(0x1d14),'globalStatusFilter':'','pauseTypeFilter':''},_0x34eef5[_0x12d037(0x1366)]=_0x5962d7,_0x34eef5[_0x12d037(0x6ad)]=_0x38ac20,_0x34eef5[_0x12d037(0x785)]=_0x4ffa7e,_0x34eef5[_0x12d037(0x715)]=_0x164b7c,_0x34eef5[_0x12d037(0x191a)]=_0x511fef,_0x34eef5[_0x12d037(0x616)]=_0x30fb71,_0x34eef5[_0x12d037(0xfe7)]=_0x18321b,_0x34eef5[_0x12d037(0x1090)]=_0x11dd9e,_0x34eef5[_0x12d037(0x225e)]=_0x2aa74d,_0x34eef5['isPartialPause']=_0x1a4d8f,_0x34eef5[_0x12d037(0x294c)]=_0x56d4d3,_0x34eef5[_0x12d037(0x13e7)]=_0x75c13f,_0x34eef5['onInit']=_0x4bf9a9,_0x34eef5[_0x12d037(0x1978)]=_0x285064,_0x34eef5['onComplete']=_0x3e0e65,_0x34eef5[_0x12d037(0xd97)]=_0x151154,_0x244426['on']('user:save',_0x34eef5[_0x12d037(0x294c)]),_0x244426['on']('user:update',_0x34eef5[_0x12d037(0x13e7)]),_0x244426['on'](_0x12d037(0x2290),_0x34eef5['onConnect']),_0x244426['on'](_0x12d037(0x10b3),_0x34eef5[_0x12d037(0x1b4a)]),_0x4bf9a9();let _0x41df37=_0x2d14ec(function(){const _0x3e76a0=_0x12d037;_0x34eef5[_0x3e76a0(0x997)]&&(_0x34eef5['load']=![],_0x4bf9a9());},0x3e7);function _0x4bf9a9(){const _0x4d3656=_0x12d037;_0x34eef5[_0x4d3656(0x997)]=![];const _0xcc7926=_0x3eaa15[_0x4d3656(0x11f4)]();_0x34eef5[_0x4d3656(0x2061)]=_0xcc7926[_0x4d3656(0x2061)],_0x34eef5[_0x4d3656(0xe0e)]=[],_0x34eef5[_0x4d3656(0x237f)]=[],_0x39641b()[_0x4d3656(0x1ebd)](_0x34eef5['agents'],function(_0x169a4e,_0x418473){const _0x35ae4a=_0x4d3656;_0x34eef5['rpcAgents'][_0x418473]&&_0x39641b()['merge'](_0x169a4e,_0x39641b()['pick'](_0x39641b()[_0x35ae4a(0x1bd8)](_0x34eef5['rpcAgents'][_0x418473],_0x37512a),_0x435e2d)),_0x18321b(_0x169a4e),_0x169a4e[_0x35ae4a(0xa28)]?(_0x34eef5['filteredAgents']['push'](_0x169a4e),_0x34eef5[_0x35ae4a(0x1fdd)][_0x169a4e[_0x35ae4a(0xdbd)]]=_0x418473):_0x169a4e[_0x35ae4a(0xdb9)]=_0x39641b()[_0x35ae4a(0x106d)](_0x543b5a()()['format']('x'));});_0x34eef5[_0x4d3656(0xae2)][_0x4d3656(0x1ef1)]&&_0x39641b()[_0x4d3656(0x152a)](_0x34eef5[_0x4d3656(0xe0e)],function(_0x3346c6){const _0x56f747=_0x4d3656;return _0x3346c6[_0x56f747(0x1fbe)]!==_0x34eef5[_0x56f747(0xae2)][_0x56f747(0x1ef1)];});_0x34eef5[_0x4d3656(0xae2)][_0x4d3656(0x25ff)]&&_0x39641b()[_0x4d3656(0x152a)](_0x34eef5[_0x4d3656(0xe0e)],function(_0x44babc){const _0x4fc6f4=_0x4d3656;return _0x44babc['pauseType']!==_0x34eef5['query'][_0x4fc6f4(0x25ff)];});_0x34eef5[_0x4d3656(0xae2)][_0x4d3656(0x1c99)]&&_0x39641b()[_0x4d3656(0x152a)](_0x34eef5['filteredAgents'],function(_0x569e4f){const _0x217e89=_0x4d3656;return _0x569e4f[_0x217e89(0x1d14)][_0x217e89(0x1680)]()[_0x217e89(0xd8a)](_0x34eef5['query'][_0x217e89(0x1c99)][_0x217e89(0x1680)]())<0x0;});_0x34eef5[_0x4d3656(0xe0e)]=_0x182579(_0x34eef5[_0x4d3656(0xe0e)]);const _0x2a881c=(_0x34eef5[_0x4d3656(0xae2)]['page']-0x1)*_0x34eef5[_0x4d3656(0xae2)]['limit'];_0x34eef5[_0x4d3656(0x260a)]=_0x39641b()[_0x4d3656(0x276f)](_0x34eef5['filteredAgents'],_0x2a881c)[_0x4d3656(0x14cb)](0x0,_0x34eef5['query'][_0x4d3656(0x236)]);for(let _0x3905bf=0x0;_0x3905bf<_0x34eef5['paginatedAgents'][_0x4d3656(0xfd0)];_0x3905bf+=0x1){_0x34eef5[_0x4d3656(0x237f)][_0x4d3656(0x2785)](_0x34eef5['paginatedAgents'][_0x3905bf]['id']);}_0xcc7926[_0x4d3656(0x19a3)](),_0x34eef5[_0x4d3656(0x997)]=!![];}function _0x56d4d3(_0x1c590a){const _0x1b7c34=_0x12d037;_0x34eef5['agents'][_0x1c590a['id']]&&(_0x39641b()['merge'](_0x34eef5['agents'][_0x1c590a['id']],_0x39641b()[_0x1b7c34(0x169b)](_0x39641b()[_0x1b7c34(0x1bd8)](_0x1c590a,_0x37512a),_0x435e2d)),_0x39641b()[_0x1b7c34(0x9c1)](_0x34eef5[_0x1b7c34(0x212d)][_0x1c590a['id']],_0x39641b()[_0x1b7c34(0x169b)](_0x39641b()[_0x1b7c34(0x1bd8)](_0x1c590a,_0x37512a),_0x435e2d)),_0x18321b(_0x34eef5[_0x1b7c34(0xc12)][_0x1c590a['id']]));}function _0x75c13f(_0x2301cd){const _0x4f9655=_0x12d037;_0x34eef5[_0x4f9655(0xc12)][_0x2301cd['id']]&&(_0x39641b()['merge'](_0x34eef5['agents'][_0x2301cd['id']],_0x39641b()[_0x4f9655(0x169b)](_0x2301cd,_0x435e2d)),_0x39641b()['merge'](_0x34eef5[_0x4f9655(0x212d)][_0x2301cd['id']],_0x39641b()[_0x4f9655(0x169b)](_0x2301cd,_0x435e2d)),_0x2301cd[_0x4f9655(0x25db)]&&(_0x34eef5[_0x4f9655(0xc12)][_0x2301cd['id']][_0x4f9655(0xdb9)]=_0x2301cd['lastPauseAt'],_0x34eef5['rpcAgents'][_0x2301cd['id']][_0x4f9655(0xdb9)]=_0x2301cd[_0x4f9655(0x25db)]),_0x18321b(_0x34eef5[_0x4f9655(0xc12)][_0x2301cd['id']]));}function _0x285064(_0x263b35){const _0x39b46a=_0x12d037;_0x34eef5['agents'][_0x34eef5[_0x39b46a(0x1fdd)][_0x263b35[_0x39b46a(0x7ea)]]]&&(_0x39641b()['merge'](_0x34eef5['agents'][_0x34eef5['agentInternal'][_0x263b35[_0x39b46a(0x7ea)]]],_0x39641b()[_0x39b46a(0x169b)](_0x263b35,[_0x39b46a(0x11cf),'destconnectedlinenum'])),_0x39641b()[_0x39b46a(0x9c1)](_0x34eef5[_0x39b46a(0x212d)][_0x34eef5[_0x39b46a(0x1fdd)][_0x263b35[_0x39b46a(0x7ea)]]],_0x39641b()[_0x39b46a(0x169b)](_0x263b35,[_0x39b46a(0x11cf),_0x39b46a(0x247)])));}function _0x3e0e65(_0x2d544b){const _0x47278d=_0x12d037;_0x34eef5[_0x47278d(0xc12)][_0x34eef5[_0x47278d(0x1fdd)][_0x2d544b[_0x47278d(0x7ea)]]]&&(_0x34eef5[_0x47278d(0xc12)][_0x34eef5[_0x47278d(0x1fdd)][_0x2d544b['destaccountcode']]]=_0x39641b()[_0x47278d(0x1bd8)](_0x34eef5[_0x47278d(0xc12)][_0x34eef5[_0x47278d(0x1fdd)][_0x2d544b['destaccountcode']]],['queue',_0x47278d(0x247)]),_0x34eef5[_0x47278d(0x212d)][_0x34eef5[_0x47278d(0x1fdd)][_0x2d544b[_0x47278d(0x7ea)]]]=_0x39641b()['omit'](_0x34eef5[_0x47278d(0x212d)][_0x34eef5[_0x47278d(0x1fdd)][_0x2d544b[_0x47278d(0x7ea)]]],[_0x47278d(0x11cf),_0x47278d(0x247)]));}function _0x5962d7(_0x12d6cc){const _0x3c53f1=_0x12d037;return _0x14077a[_0x3c53f1(0xebe)][_0x3c53f1(0x1366)]({'id':_0x12d6cc['id'],'device':_0x3c53f1(0x217b),'agent_id':_0x12d6cc['id'],'agent_name':_0x12d6cc[_0x3c53f1(0x16b6)]})[_0x3c53f1(0x1d77)][_0x3c53f1(0x1cb0)](function(){const _0x27b171=_0x3c53f1;_0x5a0ace[_0x27b171(0x829)]({'title':'Agent\x20logout','msg':_0x12d6cc['fullname']+_0x27b171(0x1540)}),_0x34eef5[_0x27b171(0xc12)][_0x12d6cc['id']]&&(_0x34eef5['agents'][_0x12d6cc['id']][_0x27b171(0xa28)]=![]),_0x34eef5[_0x27b171(0x212d)][_0x12d6cc['id']]&&(_0x34eef5[_0x27b171(0x212d)][_0x12d6cc['id']][_0x27b171(0xa28)]=![]),_0x4bf9a9();})['catch'](function(_0x4b7b71){const _0x3d09fa=_0x3c53f1;_0x5a0ace['error']({'title':_0x4b7b71[_0x3d09fa(0x291)]?_0x3d09fa(0xeb9)+_0x4b7b71[_0x3d09fa(0x291)]+_0x3d09fa(0x1657)+_0x4b7b71[_0x3d09fa(0xc22)]:_0x3d09fa(0xcd6),'msg':_0x4b7b71[_0x3d09fa(0x25c)]?JSON[_0x3d09fa(0x2701)](_0x4b7b71['data']['message']):_0x4b7b71[_0x3d09fa(0x147f)]()});});}function _0x4ffa7e(_0x347b19,_0x3b4096){const _0x305217=_0x12d037;return _0x14077a[_0x305217(0xebe)][_0x305217(0x785)]({'id':_0x347b19['id'],'type':_0x3b4096})[_0x305217(0x1d77)]['then'](function(_0x37ff4c){const _0xd79fbd=_0x305217;_0x34eef5[_0xd79fbd(0xc12)][_0x347b19['id']]&&_0x39641b()[_0xd79fbd(0x9c1)](_0x34eef5['agents'][_0x347b19['id']],_0x39641b()['pick'](_0x37ff4c,_0x435e2d)),_0x34eef5[_0xd79fbd(0x212d)][_0x347b19['id']]&&_0x39641b()[_0xd79fbd(0x9c1)](_0x34eef5[_0xd79fbd(0x212d)][_0x347b19['id']],_0x39641b()['pick'](_0x37ff4c,_0x435e2d)),_0x18321b(_0x34eef5[_0xd79fbd(0xc12)][_0x347b19['id']]);})['catch'](function(_0x3ba99b){const _0x444b20=_0x305217;_0x5a0ace[_0x444b20(0x218e)]({'title':_0x3ba99b[_0x444b20(0x291)]?_0x444b20(0xeb9)+_0x3ba99b[_0x444b20(0x291)]+'\x20-\x20'+_0x3ba99b['statusText']:_0x444b20(0xcd6),'msg':_0x3ba99b[_0x444b20(0x25c)]?JSON[_0x444b20(0x2701)](_0x3ba99b[_0x444b20(0x25c)][_0x444b20(0x155e)]):_0x3ba99b[_0x444b20(0x147f)]()});});}function _0x164b7c(_0x44ed7e){const _0x103b07=_0x12d037;return _0x14077a[_0x103b07(0xebe)][_0x103b07(0xf5d)]({'id':_0x44ed7e['id']})[_0x103b07(0x1d77)][_0x103b07(0x1cb0)](function(_0x5a9bd5){const _0x25fd76=_0x103b07;_0x34eef5[_0x25fd76(0xc12)][_0x44ed7e['id']]&&_0x39641b()[_0x25fd76(0x9c1)](_0x34eef5[_0x25fd76(0xc12)][_0x44ed7e['id']],_0x39641b()['pick'](_0x5a9bd5,_0x435e2d)),_0x34eef5[_0x25fd76(0x212d)][_0x44ed7e['id']]&&_0x39641b()[_0x25fd76(0x9c1)](_0x34eef5[_0x25fd76(0x212d)][_0x44ed7e['id']],_0x39641b()[_0x25fd76(0x169b)](_0x5a9bd5,_0x435e2d)),_0x18321b(_0x34eef5[_0x25fd76(0xc12)][_0x44ed7e['id']]);})['catch'](function(_0x42a447){const _0xecb2fd=_0x103b07;_0x5a0ace[_0xecb2fd(0x218e)]({'title':_0x42a447[_0xecb2fd(0x291)]?_0xecb2fd(0xeb9)+_0x42a447[_0xecb2fd(0x291)]+'\x20-\x20'+_0x42a447['statusText']:_0xecb2fd(0xcd6),'msg':_0x42a447[_0xecb2fd(0x25c)]?JSON['stringify'](_0x42a447['data'][_0xecb2fd(0x155e)]):_0x42a447[_0xecb2fd(0x147f)]()});});}function _0x511fef(_0x3755e2){const _0x2fa7f2=_0x12d037;_0x2746e2[_0x2fa7f2(0xe27)](_0x2746e2[_0x2fa7f2(0x494)]()[_0x2fa7f2(0x27e1)](!![])[_0x2fa7f2(0x1386)]('Legend')['htmlContent'](_0x2fa7f2(0x26a))['ok']('Ok')[_0x2fa7f2(0x728)](_0x3755e2));}function _0x38ac20(_0xee456d,_0x13251d){const _0x36b174=_0x12d037;_0x2746e2['show']({'controller':_0x36b174(0x7e6),'controllerAs':'vm','templateUrl':_0x535a6f,'parent':angular[_0x36b174(0x1853)](_0x430d38[_0x36b174(0x1ed9)]),'targetEvent':_0x13251d,'clickOutsideToClose':!![],'locals':{'agent':_0xee456d,'agents':[],'channel':_0x36b174(0x689),'direction':'inbound','crudPermissions':_0x34eef5[_0x36b174(0x1b1a)]}});}function _0x11dd9e(_0x1ee751){return _0x1ee751==='pause'||_0x1ee751==='*pause';}function _0x2aa74d(_0x1772a2){const _0x4ba296=_0x12d037;return _0x1772a2===_0x4ba296(0x785);}function _0x1a4d8f(_0x52a813){const _0x5e101e=_0x12d037;return _0x52a813===_0x5e101e(0x1767);}function _0x5c35dd(_0x1700e4){const _0x25a971=_0x12d037;return _0x1700e4===_0x25a971(0x8df)||_0x1700e4===_0x25a971(0x1c9d)||_0x1700e4===_0x25a971(0x13a2)||_0x1700e4==='ringing'||_0x39641b()[_0x25a971(0x958)](_0x1700e4);}function _0x30fb71(_0x1491e0,_0x42ede1){const _0x34ffd1=_0x12d037;if(_0x42ede1===_0x34ffd1(0x1fd4)){if(_0x1491e0[_0x42ede1+_0x34ffd1(0x938)]!==_0x34ffd1(0x8df))return _0x1491e0[_0x42ede1+_0x34ffd1(0x938)];if(_0x1491e0[_0x42ede1+_0x34ffd1(0x1e09)])return _0x1491e0[_0x42ede1+_0x34ffd1(0x938)]='pause','pause';return _0x34ffd1(0x8df);}else{if(_0x1491e0[_0x42ede1+_0x34ffd1(0x1e09)])return _0x1491e0[_0x42ede1+_0x34ffd1(0x938)]=_0x34ffd1(0x785),_0x34ffd1(0x785);return _0x1491e0[_0x42ede1+_0x34ffd1(0x938)];}}function _0x18321b(_0x4850c6){const _0x3a9ba1=_0x12d037;let _0x4bd0e5='unknown';if(_0x39641b()[_0x3a9ba1(0x1aa3)]([_0x30fb71(_0x4850c6,'voice'),_0x30fb71(_0x4850c6,_0x3a9ba1(0x174c)),_0x30fb71(_0x4850c6,_0x3a9ba1(0x2651)),_0x30fb71(_0x4850c6,_0x3a9ba1(0x15e0)),_0x30fb71(_0x4850c6,_0x3a9ba1(0x689)),_0x30fb71(_0x4850c6,'whatsapp'),_0x30fb71(_0x4850c6,_0x3a9ba1(0x1944))],_0x11dd9e))_0x4bd0e5=_0x3a9ba1(0x785);else{if(_0x39641b()[_0x3a9ba1(0x727)]([_0x30fb71(_0x4850c6,_0x3a9ba1(0x1fd4)),_0x30fb71(_0x4850c6,'chat'),_0x30fb71(_0x4850c6,'mail'),_0x30fb71(_0x4850c6,_0x3a9ba1(0x15e0)),_0x30fb71(_0x4850c6,_0x3a9ba1(0x689)),_0x30fb71(_0x4850c6,_0x3a9ba1(0x2476)),_0x30fb71(_0x4850c6,_0x3a9ba1(0x1944))],_0x11dd9e))_0x4bd0e5=_0x3a9ba1(0x1767);else _0x39641b()[_0x3a9ba1(0x1aa3)]([_0x30fb71(_0x4850c6,_0x3a9ba1(0x1fd4)),_0x30fb71(_0x4850c6,_0x3a9ba1(0x174c)),_0x30fb71(_0x4850c6,'mail'),_0x30fb71(_0x4850c6,'openchannel'),_0x30fb71(_0x4850c6,_0x3a9ba1(0x689)),_0x30fb71(_0x4850c6,'whatsapp'),_0x30fb71(_0x4850c6,_0x3a9ba1(0x1944))],_0x5c35dd)?_0x4bd0e5=_0x3a9ba1(0x8df):_0x4bd0e5='busy';}_0x4850c6['globalStatus']!==_0x4bd0e5&&(_0x4850c6[_0x3a9ba1(0x1fbe)]=_0x4bd0e5,_0x4850c6[_0x3a9ba1(0x1a8e)]?(_0x4850c6[_0x3a9ba1(0x1a8e)]=![],_0x4850c6[_0x3a9ba1(0xdb9)]=_0x11dd9e(_0x4bd0e5)?_0x39641b()['toNumber'](_0x543b5a()(_0x4850c6['lastPauseAt'])[_0x3a9ba1(0x1f31)]('x')):_0x39641b()[_0x3a9ba1(0xb33)]([_0x4850c6[_0x3a9ba1(0xc9c)],_0x4850c6[_0x3a9ba1(0x1b11)],_0x4850c6[_0x3a9ba1(0x568)],_0x4850c6[_0x3a9ba1(0x9eb)],_0x4850c6['whatsappStatusTime'],_0x4850c6['openchannelStatusTime'],_0x4850c6[_0x3a9ba1(0x1997)]])):_0x4850c6[_0x3a9ba1(0xdb9)]=_0x39641b()[_0x3a9ba1(0x106d)](_0x543b5a()()[_0x3a9ba1(0x1f31)]('x')));}function _0x182579(_0x47265b){const _0x484e64=_0x12d037,_0x43aced=_0x39641b()[_0x484e64(0x1f2c)](_0x34eef5[_0x484e64(0xae2)]['order'],'-')?'desc':_0x484e64(0x24cc);return _0x39641b()[_0x484e64(0x17ca)](_0x47265b,[_0x34eef5['query'][_0x484e64(0x237f)][_0x484e64(0x288f)]('-','')],[_0x43aced]);}function _0x4c8f17(){const _0x190cd3=_0x12d037;_0x41df37&&(_0x2d14ec[_0x190cd3(0x696)](_0x41df37),_0x41df37=null);}function _0x151154(_0x4c5707,_0x12ca08){const _0x29983a=_0x12d037;return _0x14077a[_0x29983a(0xebe)][_0x29983a(0x687)]({'id':_0x4c5707['id']},{'screenrecording':_0x12ca08})[_0x29983a(0x1d77)][_0x29983a(0x1c4)](function(_0x593ada){const _0x294a1d=_0x29983a;_0x5a0ace[_0x294a1d(0x218e)]({'title':_0x593ada['status']?_0x294a1d(0xeb9)+_0x593ada['status']+_0x294a1d(0x1657)+_0x593ada[_0x294a1d(0xc22)]:'api.user.update','msg':_0x593ada[_0x294a1d(0x25c)]?JSON[_0x294a1d(0x2701)](_0x593ada[_0x294a1d(0x25c)][_0x294a1d(0x155e)]):_0x593ada[_0x294a1d(0x147f)]()});});}_0x3e8e70[_0x12d037(0x1d6)]('$destroy',function(){const _0x613bc=_0x12d037;_0x244426[_0x613bc(0xfb8)](_0x613bc(0x2464)),_0x244426['removeAllListeners'](_0x613bc(0x14c6)),_0x244426[_0x613bc(0xfb8)]('user:agentconnect'),_0x244426[_0x613bc(0xfb8)](_0x613bc(0x10b3)),_0x4c8f17();});}const _0xcd2976=_0x28c2f5;;const _0x8822b6=_0x5074a3['p']+_0x5537c6(0x153b);;const _0x16f2db=_0x5074a3['p']+_0x5537c6(0x7f6);;_0x20bdda[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$timeout','$mdDialog','$q',_0x5537c6(0x22bf),_0x5537c6(0x1714),_0x5537c6(0x971),'rpcQueues',_0x5537c6(0x142b),_0x5537c6(0x1986),_0x5537c6(0x9bf),_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x1774)];function _0x20bdda(_0x8fdd30,_0x3e49b7,_0x3e2e5f,_0x549ab7,_0x203f90,_0x46a91,_0x526322,_0x3825c4,_0x396a57,_0x2d7471,_0x2331bf,_0x2c5594,_0x28b30c,_0x44d21d){const _0x3a7526=_0x5537c6,_0x14dfd7=this,_0x5353f1=['loggedIn','available',_0x3a7526(0x26b6),_0x3a7526(0x192a),_0x3a7526(0xfb2),'originated',_0x3a7526(0x155e),_0x3a7526(0x737),_0x3a7526(0xda8),_0x3a7526(0xf67),'paused'];_0x14dfd7[_0x3a7526(0xe76)]=_0x44d21d[_0x3a7526(0x21e8)](),_0x14dfd7['count']=_0x526322[_0x3a7526(0x184d)]?_0x526322[_0x3a7526(0x184d)]:0x0,_0x14dfd7[_0x3a7526(0x971)]=_0x526322?_0x39641b()[_0x3a7526(0x2631)](_0x526322[_0x3a7526(0x2214)]?_0x526322['rows']:[],'id'):{},_0x14dfd7[_0x3a7526(0x2255)]=_0x3825c4?_0x39641b()['keyBy'](_0x3825c4[_0x3a7526(0x2214)]?_0x3825c4[_0x3a7526(0x2214)]:[],'id'):{},_0x14dfd7[_0x3a7526(0x44a)]=_0x2c5594,_0x14dfd7[_0x3a7526(0x2199)]=_0x28b30c&&_0x28b30c['count']==0x1?_0x28b30c[_0x3a7526(0x2214)][0x0]:null,_0x14dfd7[_0x3a7526(0x1b1a)]=_0x44d21d[_0x3a7526(0x14ea)](_0x14dfd7[_0x3a7526(0x2199)]?_0x14dfd7[_0x3a7526(0x2199)][_0x3a7526(0x1b1a)]:null);_0x44d21d[_0x3a7526(0x22b6)](_0x3a7526(0x1c60))?_0x14dfd7[_0x3a7526(0xae2)]={'type':'inbound','sort':'-updatedAt','limit':0xa,'page':0x1}:_0x14dfd7[_0x3a7526(0xae2)]={'id':_0x14dfd7[_0x3a7526(0xe76)]['id'],'channel':_0x3a7526(0x689),'type':_0x3a7526(0x26c0),'sort':'-updatedAt','limit':0xa,'page':0x1};_0x14dfd7[_0x3a7526(0x829)]=_0x10830c,_0x14dfd7['getQueues']=_0x5dfbe7,_0x14dfd7['createOrEditSmsQueue']=_0x3b7cd6,_0x14dfd7[_0x3a7526(0x1be7)]=_0x21bbc3,_0x14dfd7[_0x3a7526(0x25f6)]=_0x81c96,_0x14dfd7[_0x3a7526(0x294c)]=_0x42748c,_0x14dfd7[_0x3a7526(0xc88)]=_0x32b201,_0x14dfd7[_0x3a7526(0x365)]=_0x1a389a,_0x14dfd7[_0x3a7526(0x18e4)]=_0x3b0c2f,_0x14dfd7[_0x3a7526(0x26c9)]=_0x1d69dc,_0x14dfd7[_0x3a7526(0x3be)]=_0x5d89b7,_0x14dfd7[_0x3a7526(0x129c)]=_0xf9f8c4,_0x14dfd7[_0x3a7526(0x237f)]=[],_0x39641b()[_0x3a7526(0x1ebd)](_0x14dfd7[_0x3a7526(0x971)],function(_0x6adb7c){const _0x5a268a=_0x3a7526;_0x14dfd7[_0x5a268a(0x237f)][_0x5a268a(0x2785)](_0x6adb7c['id']);}),_0x2d7471['on'](_0x3a7526(0xc51),_0x14dfd7['onSave']),_0x2d7471['on']('userSmsQueue:save',_0x14dfd7[_0x3a7526(0xc88)]),_0x2d7471['on']('userSmsQueue:remove',_0x14dfd7['onRemoveMember']),_0x2d7471['on'](_0x3a7526(0x14c6),_0x14dfd7[_0x3a7526(0x18e4)]),_0x2d7471['on']('userVoiceQueue:remove',_0x14dfd7[_0x3a7526(0x3be)]),_0x2d7471['on'](_0x3a7526(0x828),_0x14dfd7[_0x3a7526(0x26c9)]);function _0xf9f8c4(){const _0x154df5=_0x3a7526,_0x24b340=[];return _0x39641b()['forIn'](_0x14dfd7['queues'],function(_0x19b91e,_0x39f9b1){const _0x43369f=a0_0x5cbd;_0x19b91e[_0x43369f(0x139c)]=0x0,_0x19b91e[_0x43369f(0x29a2)]=0x0,_0x14dfd7[_0x43369f(0x971)][_0x39f9b1][_0x43369f(0xc12)]={},_0x24b340['push'](_0x396a57['smsQueue']['getMembers']({'id':_0x39f9b1})['$promise']),_0x14dfd7[_0x43369f(0x2255)][_0x39f9b1]&&_0x39641b()['merge'](_0x19b91e,_0x39641b()[_0x43369f(0x169b)](_0x14dfd7[_0x43369f(0x2255)][_0x39f9b1],_0x5353f1));}),_0x549ab7[_0x154df5(0x223b)](_0x24b340)[_0x154df5(0x1cb0)](function(_0xcf68c){const _0x5591d1=_0x154df5;for(let _0x2ba652=0x0;_0x2ba652<_0xcf68c[_0x5591d1(0xfd0)];_0x2ba652+=0x1){for(let _0x17bbb7=0x0;_0x17bbb7<_0xcf68c[_0x2ba652]['rows'][_0x5591d1(0xfd0)];_0x17bbb7++){_0xcf68c[_0x2ba652]['rows'][_0x17bbb7]['paused']&&_0x14dfd7[_0x5591d1(0x971)][_0xcf68c[_0x2ba652][_0x5591d1(0x2214)][_0x17bbb7][_0x5591d1(0x22d8)]]['paused']++,_0x14dfd7[_0x5591d1(0x971)][_0xcf68c[_0x2ba652][_0x5591d1(0x2214)][_0x17bbb7][_0x5591d1(0x22d8)]][_0x5591d1(0x29a2)]++,_0x14dfd7['queues'][_0xcf68c[_0x2ba652]['rows'][_0x17bbb7][_0x5591d1(0x22d8)]][_0x5591d1(0xc12)][_0xcf68c[_0x2ba652]['rows'][_0x17bbb7]['UserId']]=_0xcf68c[_0x2ba652]['rows'][_0x17bbb7][_0x5591d1(0x22d8)];}}})[_0x154df5(0x1c4)](function(_0x596d2a){const _0x32e5f3=_0x154df5;console[_0x32e5f3(0x218e)](_0x596d2a);});}function _0x42748c(_0x474285){const _0x2fdea3=_0x3a7526;_0x14dfd7['queues'][_0x474285['id']]&&_0x39641b()[_0x2fdea3(0x9c1)](_0x14dfd7[_0x2fdea3(0x971)][_0x474285['id']],_0x39641b()[_0x2fdea3(0x169b)](_0x474285,_0x5353f1));}function _0x32b201(_0x2b4d43){const _0x3cc165=_0x3a7526;_0x14dfd7[_0x3cc165(0x971)][_0x2b4d43[_0x3cc165(0x22d8)]]&&_0x14dfd7[_0x3cc165(0x971)][_0x2b4d43[_0x3cc165(0x22d8)]][_0x3cc165(0x29a2)]++;}function _0x1a389a(_0x40cfaa){const _0x20b269=_0x3a7526;_0x14dfd7['queues'][_0x40cfaa[_0x20b269(0x22d8)]]&&_0x14dfd7[_0x20b269(0x971)][_0x40cfaa[_0x20b269(0x22d8)]][_0x20b269(0x29a2)]--;}function _0x3b0c2f(_0x568342){const _0x2a0df3=_0x3a7526;console[_0x2a0df3(0x1b4f)](_0x2a0df3(0x18e4),_0x568342);if(_0x568342[_0x2a0df3(0x2478)])_0x39641b()[_0x2a0df3(0x1ebd)](_0x14dfd7[_0x2a0df3(0x971)],function(_0x4c421b){const _0x27dcda=_0x2a0df3;_0x4c421b['agents'][_0x568342['id']]&&(_0x4c421b['paused']+=0x1,console[_0x27dcda(0x1b4f)](_0x27dcda(0x785)));});else!_0x568342[_0x2a0df3(0x2478)]&&_0x39641b()[_0x2a0df3(0x1ebd)](_0x14dfd7['queues'],function(_0x22d12d){const _0x14afc9=_0x2a0df3;_0x22d12d[_0x14afc9(0xc12)][_0x568342['id']]&&(_0x22d12d[_0x14afc9(0x139c)]>0x0&&(_0x22d12d[_0x14afc9(0x139c)]-=0x1,console[_0x14afc9(0x1b4f)](_0x14afc9(0xf5d))));});}function _0x1d69dc(_0x28d1b5){const _0x19852a=_0x3a7526;console['log'](_0x19852a(0x2557),_0x28d1b5),_0x14dfd7[_0x19852a(0x971)][_0x28d1b5[_0x19852a(0x22d8)]]&&(_0x14dfd7[_0x19852a(0x971)][_0x28d1b5['SmsQueueId']][_0x19852a(0x29a2)]+=0x1,_0x14dfd7[_0x19852a(0x971)][_0x28d1b5['SmsQueueId']][_0x19852a(0xc12)][_0x28d1b5[_0x19852a(0x21ab)]]=_0x28d1b5[_0x19852a(0x22d8)]);}function _0x5d89b7(_0x3aab5d){const _0x34e56a=_0x3a7526;console[_0x34e56a(0x1b4f)](_0x34e56a(0x3be),_0x3aab5d),_0x14dfd7[_0x34e56a(0x971)][_0x3aab5d[_0x34e56a(0x22d8)]]&&_0x14dfd7[_0x34e56a(0x971)][_0x3aab5d[_0x34e56a(0x22d8)]][_0x34e56a(0x29a2)]>0x0&&(_0x14dfd7[_0x34e56a(0x971)][_0x3aab5d[_0x34e56a(0x22d8)]]['loggedInDb']-=0x1,delete _0x14dfd7['queues'][_0x3aab5d[_0x34e56a(0x22d8)]]['agents'][_0x3aab5d['UserId']]);}let _0x43fd10=!![],_0x1fcf89=0x1;_0x8fdd30['$watch'](_0x3a7526(0x957),function(_0x598cc8,_0x3e655e){const _0x1983df=_0x3a7526;_0x43fd10?_0x3e49b7(function(){_0x43fd10=![];}):(!_0x3e655e&&(_0x1fcf89=_0x14dfd7[_0x1983df(0xae2)][_0x1983df(0x1c7b)]),_0x598cc8!==_0x3e655e&&(_0x14dfd7[_0x1983df(0xae2)][_0x1983df(0x1c7b)]=0x1),!_0x598cc8&&(_0x14dfd7[_0x1983df(0xae2)][_0x1983df(0x1c7b)]=_0x1fcf89),_0x14dfd7['getQueues']());});function _0x10830c(_0x426e31){const _0x34f0e0=_0x3a7526;_0x14dfd7[_0x34f0e0(0x184d)]=_0x426e31[_0x34f0e0(0x184d)],_0x14dfd7['queues']=_0x426e31?_0x39641b()['keyBy'](_0x426e31[_0x34f0e0(0x2214)]?_0x426e31['rows']:[],'id'):{};if(_0x426e31[_0x34f0e0(0x2214)]){_0x14dfd7[_0x34f0e0(0x237f)]=[];for(let _0x5c465a=0x0;_0x5c465a<_0x426e31[_0x34f0e0(0x2214)]['length'];_0x5c465a++){_0x14dfd7['order'][_0x34f0e0(0x2785)](_0x426e31[_0x34f0e0(0x2214)][_0x5c465a]['id']);}}_0xf9f8c4();}function _0x5dfbe7(){const _0x43026d=_0x3a7526;_0x14dfd7['query'][_0x43026d(0x184b)]=(_0x14dfd7[_0x43026d(0xae2)]['page']-0x1)*_0x14dfd7[_0x43026d(0xae2)][_0x43026d(0x236)],_0x44d21d[_0x43026d(0x22b6)](_0x43026d(0x1c60))?_0x14dfd7[_0x43026d(0x2061)]=_0x396a57[_0x43026d(0x62e)][_0x43026d(0xbf7)](_0x14dfd7[_0x43026d(0xae2)],_0x10830c)[_0x43026d(0x1d77)]:(_0x14dfd7[_0x43026d(0xae2)]['id']=_0x14dfd7['userProfile']['id'],_0x14dfd7['query']['section']=_0x43026d(0x14ad),_0x14dfd7[_0x43026d(0x2061)]=_0x396a57[_0x43026d(0x44a)][_0x43026d(0x1810)](_0x14dfd7[_0x43026d(0xae2)],_0x10830c)[_0x43026d(0x1d77)]);}function _0x3b7cd6(_0x28280b,_0x59d7ad){const _0x292de7=_0x3a7526;_0x3e2e5f[_0x292de7(0xe27)]({'controller':_0x292de7(0x19e7),'controllerAs':'vm','templateUrl':_0x8822b6,'parent':angular[_0x292de7(0x1853)](_0x203f90['body']),'targetEvent':_0x28280b,'clickOutsideToClose':!![],'locals':{'smsQueue':_0x59d7ad,'smsQueues':_0x39641b()[_0x292de7(0x27aa)](_0x14dfd7[_0x292de7(0x971)]),'license':null,'setting':null,'crudPermissions':_0x14dfd7['crudPermissions']}});}function _0x21bbc3(_0x1339a9,_0x4181e8){const _0x39799e=_0x3a7526;_0x3e2e5f['show']({'controller':_0x39799e(0x1ce5),'controllerAs':'vm','templateUrl':_0x16f2db,'parent':angular[_0x39799e(0x1853)](_0x203f90[_0x39799e(0x1ed9)]),'targetEvent':_0x1339a9,'clickOutsideToClose':!![],'locals':{'smsQueue':_0x4181e8,'smsQueues':_0x14dfd7['queues']?_0x14dfd7[_0x39799e(0x971)][_0x39799e(0x2214)]:[],'realtime':![],'crudPermissions':_0x14dfd7['crudPermissions']}});}function _0x81c96(_0x4c9551){const _0x43f9c1=_0x3a7526;return _0x396a57[_0x43f9c1(0x62e)][_0x43f9c1(0x687)](_0x4c9551)[_0x43f9c1(0x1d77)][_0x43f9c1(0x1cb0)](function(){const _0xc60f37=_0x43f9c1;_0x2331bf[_0xc60f37(0x829)]({'title':_0xc60f37(0x264c),'msg':_0x4c9551[_0xc60f37(0x16b6)]?_0x4c9551[_0xc60f37(0x16b6)]+_0xc60f37(0x1068):''});})[_0x43f9c1(0x1c4)](function(_0x48e90b){const _0x3b9a0c=_0x43f9c1;_0x2331bf[_0x3b9a0c(0x218e)]({'title':_0x3b9a0c(0x454),'msg':_0x48e90b[_0x3b9a0c(0x155e)]});});}_0x8fdd30[_0x3a7526(0x1d6)](_0x3a7526(0x291c),function(){const _0x2d56f1=_0x3a7526;_0x2d7471['removeAllListeners'](_0x2d56f1(0xc51)),_0x2d7471[_0x2d56f1(0xfb8)](_0x2d56f1(0x15c4)),_0x2d7471[_0x2d56f1(0xfb8)]('userSmsQueue:save'),_0x2d7471['removeAllListeners'](_0x2d56f1(0x14c6)),_0x2d7471[_0x2d56f1(0xfb8)]('userVoiceQueue:remove'),_0x2d7471[_0x2d56f1(0xfb8)]('userVoiceQueue:save');});}const _0x591e8f=_0x20bdda;;function _0x1c2759(){const _0x5ae019=_0x5537c6;return{'status':{'registered':_0x5ae019(0x1170),'unregistered':_0x5ae019(0x29a3),'lagged':_0x5ae019(0x29a3),'reachable':_0x5ae019(0x1170),'unreachable':_0x5ae019(0x29a3),'unknown':_0x5ae019(0xb74)},'state':{'unknown':_0x5ae019(0x181),'not_inuse':'green-300-fg\x20icon-phone-hangup','inuse':_0x5ae019(0xd57),'busy':_0x5ae019(0x35b),'invalid':'grey-fg\x20icon-phone-hangup','unavailable':'grey-fg\x20icon-phone-hangup','ringing':_0x5ae019(0x1c43),'ringinuse':'blue-300-fg\x20icon-phone-incoming','onhold':_0x5ae019(0x1825)},'channelStatus':{'ring':_0x5ae019(0x1c43),'up':_0x5ae019(0x142f),'hangup':_0x5ae019(0xe67)},'channelStatusOut':{'ring':_0x5ae019(0x53c),'up':'green-300-fg\x20icon-phone-in-talk','hangup':_0x5ae019(0xe67)}};}const _0x5f3998=_0x1c2759;;_0x21609e['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x1986)];function _0x21609e(_0x1a42f9,_0x53d7d0,_0x118060){const _0x103c7f=_0x5537c6,_0x236030=this;_0x118060[_0x103c7f(0x22e8)](),_0x118060[_0x103c7f(0x8b0)](),_0x236030['selectedTab']=0x0;switch(_0x53d7d0[_0x103c7f(0x1970)][_0x103c7f(0x16b6)]){case _0x103c7f(0x15e):_0x236030['selectedTab']=0x0;break;case _0x103c7f(0xabe):_0x236030[_0x103c7f(0x8ec)]=0x1;break;default:_0x236030[_0x103c7f(0x8ec)]=0x0,_0x53d7d0['go'](_0x103c7f(0x15e));}_0x1a42f9[_0x103c7f(0x614)](_0x103c7f(0x1ced),function(_0x52ca3f,_0xa6af92){const _0xe4edaa=_0x103c7f;if(_0x52ca3f!==_0xa6af92)switch(_0x52ca3f){case 0x0:_0x53d7d0['go']('app.sms.realtime.agents');break;case 0x1:_0x53d7d0['go'](_0xe4edaa(0xabe));break;default:_0x53d7d0['go']('app.sms.realtime.agents');}});}const _0x2e83a1=_0x21609e;;_0x131475['$inject']=[_0x5537c6(0xbd6)];function _0x131475(_0x47d149){const _0xcdf623=_0x5537c6;_0x47d149[_0xcdf623(0x27e0)](_0xcdf623(0x6a3),{'url':'/realtime','views':{'content@app':{'templateUrl':_0x102b84,'controller':_0xcdf623(0xff5)}},'resolve':{'userProfile':['apiResolver',_0xcdf623(0x1774),function(_0x5b99c1,_0x32fcbb){const _0x154bd7=_0xcdf623;return _0x32fcbb[_0x154bd7(0x22b6)](_0x154bd7(0x1c60))?null:_0x5b99c1[_0x154bd7(0x19a3)](_0x154bd7(0x9ae),{'fields':'id,name,crudPermissions','id':_0x32fcbb[_0x154bd7(0x21e8)]()[_0x154bd7(0x13c1)]});}],'userProfileSection':['apiResolver',_0xcdf623(0x1774),function(_0x31a235,_0x2fdb05){const _0x30a1e7=_0xcdf623;return _0x2fdb05[_0x30a1e7(0x22b6)](_0x30a1e7(0x1c60))?null:_0x31a235['resolve'](_0x30a1e7(0x2182),{'fields':_0x30a1e7(0x1f5f),'userProfileId':_0x2fdb05[_0x30a1e7(0x21e8)]()[_0x30a1e7(0x13c1)],'sectionId':0x2c6});}]},'authenticate':!![],'permissionId':0x2c6})[_0xcdf623(0x27e0)](_0xcdf623(0x15e),{'url':_0xcdf623(0xefc),'controller':'AgentsSmsRealtimeController\x20as\x20vm','templateUrl':_0x143826,'resolve':{'pauses':[_0xcdf623(0x1e0b),function(_0x361317){const _0x3f1e29=_0xcdf623;return _0x361317['resolve'](_0x3f1e29(0xeeb),{'nolimit':!![]});}],'agents':[_0xcdf623(0x1e0b),'Auth',function(_0x364440,_0x1a6713){const _0x527ee4=_0xcdf623;return _0x1a6713[_0x527ee4(0x22b6)](_0x527ee4(0x1c60))?_0x364440[_0x527ee4(0x19a3)](_0x527ee4(0x1c86),{'fields':'id,name,fullname,role,userpic,internal,online,voicePause,openchannelPause,faxPause,chatPause,smsPause,whatsappPause,mailPause,pauseType,lastPauseAt,lastLoginAt,lastPauseAt,chatCapacity,mailCapacity,openchannelCapacity,faxCapacity,smsCapacity,whatsappCapacity,screenrecording,phoneBarEnableScreenRecordingByAgent,phoneBarEnableAutomaticScreenRecording','role':_0x527ee4(0x1eff),'sort':_0x527ee4(0x1d14),'nolimit':!![]}):_0x364440['resolve']('userProfile@getResources',{'id':_0x1a6713[_0x527ee4(0x21e8)]()['userProfileId'],'section':_0x527ee4(0x2536),'fields':'id,name,fullname,role,userpic,internal,online,voicePause,openchannelPause,faxPause,chatPause,smsPause,whatsappPause,mailPause,pauseType,lastPauseAt,lastLoginAt,lastPauseAt,chatCapacity,mailCapacity,openchannelCapacity,faxCapacity,smsCapacity,whatsappCapacity,screenrecording,phoneBarEnableScreenRecordingByAgent,phoneBarEnableAutomaticScreenRecording','role':'agent','sort':_0x527ee4(0x1d14),'nolimit':!![]});}],'userProfile':[_0xcdf623(0x1e0b),_0xcdf623(0x1774),function(_0x136674,_0x2385a6){const _0x32ea77=_0xcdf623;return _0x2385a6[_0x32ea77(0x22b6)](_0x32ea77(0x1c60))?null:_0x136674[_0x32ea77(0x19a3)](_0x32ea77(0x9ae),{'fields':_0x32ea77(0x279),'id':_0x2385a6[_0x32ea77(0x21e8)]()[_0x32ea77(0x13c1)]});}],'userProfileSection':[_0xcdf623(0x1e0b),_0xcdf623(0x1774),function(_0x1ac05c,_0x4b2e41){const _0x27345c=_0xcdf623;return _0x4b2e41[_0x27345c(0x22b6)](_0x27345c(0x1c60))?null:_0x1ac05c[_0x27345c(0x19a3)](_0x27345c(0x2182),{'fields':_0x27345c(0x1f5f),'userProfileId':_0x4b2e41['getCurrentUser']()[_0x27345c(0x13c1)],'sectionId':0xca});}],'rpcAgents':['apiResolver',function(_0x472968){const _0x2e8ad1=_0xcdf623;return _0x472968[_0x2e8ad1(0x19a3)]('rpc@getAgents');}]},'authenticate':!![],'permissionId':0x2c6})[_0xcdf623(0x27e0)](_0xcdf623(0xabe),{'url':_0xcdf623(0x1596),'controller':_0xcdf623(0x7aa),'templateUrl':_0x30ef41,'resolve':{'queues':[_0xcdf623(0x1e0b),_0xcdf623(0x1774),function(_0xad356,_0x5020b7){const _0x30d5d4=_0xcdf623;return _0x5020b7[_0x30d5d4(0x22b6)](_0x30d5d4(0x1c60))?_0xad356[_0x30d5d4(0x19a3)](_0x30d5d4(0x20c3),{'type':_0x30d5d4(0x26c0),'sort':_0x30d5d4(0x282),'limit':0xa,'offset':0x0}):_0xad356[_0x30d5d4(0x19a3)](_0x30d5d4(0x12da),{'id':_0x5020b7[_0x30d5d4(0x21e8)]()[_0x30d5d4(0x13c1)],'section':'SmsQueues','channel':_0x30d5d4(0x689),'type':_0x30d5d4(0x26c0),'sort':_0x30d5d4(0x282),'limit':0xa,'page':0x1});}],'userProfile':[_0xcdf623(0x1e0b),'Auth',function(_0x3de1fb,_0x171cb0){const _0x3b8a51=_0xcdf623;return _0x171cb0['hasRole'](_0x3b8a51(0x1c60))?null:_0x3de1fb[_0x3b8a51(0x19a3)](_0x3b8a51(0x9ae),{'fields':_0x3b8a51(0x279),'id':_0x171cb0[_0x3b8a51(0x21e8)]()['userProfileId']});}],'userProfileSection':['apiResolver','Auth',function(_0x53817e,_0x436901){const _0x315855=_0xcdf623;return _0x436901[_0x315855(0x22b6)]('admin')?null:_0x53817e[_0x315855(0x19a3)](_0x315855(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x436901[_0x315855(0x21e8)]()[_0x315855(0x13c1)],'sectionId':0x2bd});}],'rpcQueues':[_0xcdf623(0x1e0b),function(_0x2e8851){const _0x9253da=_0xcdf623;return _0x2e8851[_0x9253da(0x19a3)](_0x9253da(0x1cc8));}]},'authenticate':!![],'permissionId':0x2c6});}angular[_0x5537c6(0x9ab)](_0x5537c6(0x6a3),[])['config'](_0x131475)['controller']('AgentsSmsRealtimeController',_0xcd2976)[_0x5537c6(0x6e5)](_0x5537c6(0x23b),_0x591e8f)[_0x5537c6(0x234a)]('helperClasses',_0x5f3998)[_0x5537c6(0x6e5)](_0x5537c6(0x219b),_0x2e83a1);;const _0x18be8d=_0x5074a3['p']+_0x5537c6(0x405);;const _0x55dd3d=_0x5074a3['p']+'src/js/modules/main/apps/sms/views/smsQueues/edit/view.html/view.html';;const _0xcc4008=_0x5074a3['p']+'src/js/modules/main/apps/sms/views/smsAccounts/smsAccounts.html/smsAccounts.html';;const _0x5bad63=_0x5074a3['p']+'src/js/modules/main/apps/sms/views/smsAccounts/edit/view.html/view.html';;_0x1b339b[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),'$mdDialog','$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x1ac),_0x5537c6(0x658),_0x5537c6(0x142b),_0x5537c6(0x1774),'license',_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x1b339b(_0x277f19,_0x16d288,_0x1d9b06,_0x490869,_0x31d08e,_0x303459,_0x5b973c,_0xbd21,_0x37c396,_0x512da8,_0x1ef915,_0x1f1ddb,_0x33ad6a,_0x8b7c25){const _0x5a1b4d=_0x5537c6,_0xd655b1=this;_0xd655b1[_0x5a1b4d(0xe76)]=_0x1ef915[_0x5a1b4d(0x21e8)](),_0xd655b1[_0x5a1b4d(0x1a7c)]=[],_0xd655b1[_0x5a1b4d(0x9ca)]=_0x33ad6a,_0xd655b1[_0x5a1b4d(0x8a5)]=_0x1f1ddb,_0xd655b1[_0x5a1b4d(0x1b1a)]=_0x8b7c25,_0xd655b1[_0x5a1b4d(0xf4c)]={},_0xd655b1[_0x5a1b4d(0x1b0c)]=_0xd655b1[_0x5a1b4d(0x9ca)]&&_0xd655b1['setting'][_0x5a1b4d(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0xd655b1[_0x5a1b4d(0x1386)]='SMS.EDIT_SMSACCOUNT',_0xd655b1[_0x5a1b4d(0x658)]=angular[_0x5a1b4d(0x17fe)](_0x37c396),_0xd655b1[_0x5a1b4d(0x1ac)]=_0xbd21,_0xd655b1['newSmsAccount']=![];!_0xd655b1[_0x5a1b4d(0x658)]&&(_0xd655b1['smsAccount']={'remote':_0x1d9b06['protocol']()+_0x5a1b4d(0x138b)+_0x1d9b06['host']()+(_0x1d9b06['port']()?':'+_0x1d9b06[_0x5a1b4d(0x477)]():''),'type':_0x5a1b4d(0x10dd),'waitForTheAssignedAgent':0xa,'notificationSound':!![],'queueTransferTimeout':0x12c,'agentTransferTimeout':0x12c},_0xd655b1[_0x5a1b4d(0x1386)]=_0x5a1b4d(0xe23),_0xd655b1[_0x5a1b4d(0x1b3d)]=!![]);_0x16d288[_0x5a1b4d(0x1dfe)]['id']&&(_0xd655b1[_0x5a1b4d(0x658)]['SmsAccountId']=_0x16d288['params']['id']);_0xd655b1[_0x5a1b4d(0x280e)]=_0x57b1fc,_0xd655b1[_0x5a1b4d(0xb75)]=_0x554021,_0xd655b1[_0x5a1b4d(0x2611)]=_0x1f7959,_0xd655b1['getDateFromString']=_0x3f5c66,_0xd655b1[_0x5a1b4d(0xda0)]=_0x573033,_0x1ef915[_0x5a1b4d(0x22b6)](_0x5a1b4d(0x1c60))?_0x512da8['cmList'][_0x5a1b4d(0xbf7)]({'fields':'id,name','sort':_0x5a1b4d(0x16b6)})[_0x5a1b4d(0x1d77)][_0x5a1b4d(0x1cb0)](function(_0x358552){const _0xe2e80f=_0x5a1b4d;_0xd655b1[_0xe2e80f(0x1046)]=_0x358552[_0xe2e80f(0x2214)]||[];})[_0x5a1b4d(0x1c4)](function(_0x1273dc){const _0x5500d9=_0x5a1b4d;_0x5b973c[_0x5500d9(0x218e)]({'title':_0x1273dc[_0x5500d9(0x291)]?_0x5500d9(0xeb9)+_0x1273dc[_0x5500d9(0x291)]+_0x5500d9(0x1657)+_0x1273dc[_0x5500d9(0xc22)]:_0x5500d9(0x149e),'msg':_0x1273dc[_0x5500d9(0x25c)]?JSON[_0x5500d9(0x2701)](_0x1273dc[_0x5500d9(0x25c)]):_0x1273dc[_0x5500d9(0x147f)]()});}):_0x512da8['cmList']['get']({'fields':'id,name','sort':_0x5a1b4d(0x16b6)})['$promise']['then'](function(_0x269e95){const _0xe0d0b9=_0x5a1b4d;_0xd655b1[_0xe0d0b9(0x1046)]=_0x269e95[_0xe0d0b9(0x2214)]||[];})[_0x5a1b4d(0x1cb0)](function(){const _0x2e5eef=_0x5a1b4d;return _0x512da8[_0x2e5eef(0x2199)]['get']({'userProfileId':_0xd655b1[_0x2e5eef(0xe76)][_0x2e5eef(0x13c1)],'sectionId':0x12d})['$promise'];})['then'](function(_0x2b64a3){const _0x427856=_0x5a1b4d,_0x972a99=_0x2b64a3&&_0x2b64a3[_0x427856(0x2214)]?_0x2b64a3[_0x427856(0x2214)][0x0]:null;if(!_0x972a99){const _0x1814c8=[];let _0x130430=null;_0xd655b1[_0x427856(0x658)]&&(_0x130430=_0x39641b()[_0x427856(0x13b4)](_0xd655b1[_0x427856(0x1046)],{'id':Number(_0xd655b1[_0x427856(0x658)]['ListId'])}));for(let _0x3c9ee3=0x0;_0x3c9ee3<_0xd655b1[_0x427856(0x1046)][_0x427856(0xfd0)];_0x3c9ee3++){_0x130430&&_0xd655b1[_0x427856(0x1046)][_0x3c9ee3]['id']===_0x130430['id']&&(_0xd655b1[_0x427856(0x1046)][_0x3c9ee3][_0x427856(0x15da)]=![],_0x1814c8[_0x427856(0x2785)](_0xd655b1['lists'][_0x3c9ee3]));}_0xd655b1[_0x427856(0x1046)]=_0x1814c8;}else{if(!_0x972a99[_0x427856(0x12f4)])return _0x512da8[_0x427856(0x1198)][_0x427856(0xbf7)]({'sectionId':_0x972a99['id']})[_0x427856(0x1d77)][_0x427856(0x1cb0)](function(_0x5a6282){const _0x1bc1bb=_0x427856,_0x2b7ddb=_0x39641b()['map'](_0x5a6282[_0x1bc1bb(0x2214)],function(_0x251332){const _0x471883=_0x1bc1bb;return _0x39641b()[_0x471883(0x13b4)](_0xd655b1['lists'],{'id':_0x251332[_0x471883(0x2982)]});});let _0x37a673=null;_0xd655b1[_0x1bc1bb(0x658)]&&(_0x37a673=_0x39641b()['find'](_0xd655b1[_0x1bc1bb(0x1046)],{'id':Number(_0xd655b1['smsAccount']['ListId'])}));if(_0x37a673&&!_0x39641b()['some'](_0x2b7ddb,['id',_0x37a673['id']])){const _0x3c9a01=_0x39641b()[_0x1bc1bb(0x13b4)](_0xd655b1[_0x1bc1bb(0x1046)],{'id':_0x37a673['id']});_0x3c9a01['canSelect']=![],_0x2b7ddb[_0x1bc1bb(0x2785)](_0x3c9a01);}_0xd655b1[_0x1bc1bb(0x1046)]=_0x2b7ddb;});}})[_0x5a1b4d(0x1c4)](function(_0x531622){const _0x301600=_0x5a1b4d;_0x5b973c[_0x301600(0x218e)]({'title':_0x531622[_0x301600(0x291)]?_0x301600(0xeb9)+_0x531622['status']+'\x20-\x20'+_0x531622[_0x301600(0xc22)]:_0x301600(0x113c),'msg':_0x531622['data']?JSON[_0x301600(0x2701)](_0x531622[_0x301600(0x25c)]):_0x531622[_0x301600(0x147f)]()});});function _0x57b1fc(){const _0x47f59b=_0x5a1b4d;_0xd655b1[_0x47f59b(0x1a7c)]=[],_0x512da8[_0x47f59b(0x658)][_0x47f59b(0x1c3f)](_0xd655b1[_0x47f59b(0x658)])[_0x47f59b(0x1d77)][_0x47f59b(0x1cb0)](function(_0x5eba39){const _0x12cf79=_0x47f59b;_0xd655b1[_0x12cf79(0x1ac)][_0x12cf79(0xf63)](_0x5eba39['toJSON']()),_0x5b973c[_0x12cf79(0x829)]({'title':_0x12cf79(0x723),'msg':_0xd655b1[_0x12cf79(0x658)][_0x12cf79(0x16b6)]?_0xd655b1[_0x12cf79(0x658)]['name']+_0x12cf79(0x470):''}),_0x573033(_0x5eba39);})['catch'](function(_0x2b5b9d){const _0x5b8946=_0x47f59b;if(_0x2b5b9d['data']&&_0x2b5b9d['data'][_0x5b8946(0x1a7c)]&&_0x2b5b9d['data'][_0x5b8946(0x1a7c)][_0x5b8946(0xfd0)]){_0xd655b1['errors']=_0x2b5b9d['data'][_0x5b8946(0x1a7c)]||[{'message':_0x2b5b9d[_0x5b8946(0x147f)](),'type':_0x5b8946(0x2311)}];for(let _0x49f432=0x0;_0x49f432<_0x2b5b9d[_0x5b8946(0x25c)][_0x5b8946(0x1a7c)][_0x5b8946(0xfd0)];_0x49f432+=0x1){_0x5b973c['error']({'title':_0x2b5b9d[_0x5b8946(0x25c)][_0x5b8946(0x1a7c)][_0x49f432][_0x5b8946(0x66a)],'msg':_0x2b5b9d[_0x5b8946(0x25c)][_0x5b8946(0x1a7c)][_0x49f432]['message']});}}else _0x5b973c['error']({'title':_0x2b5b9d['status']?_0x5b8946(0xeb9)+_0x2b5b9d['status']+_0x5b8946(0x1657)+_0x2b5b9d[_0x5b8946(0xc22)]:_0x5b8946(0x2311),'msg':_0x2b5b9d[_0x5b8946(0x25c)]?JSON[_0x5b8946(0x2701)](_0x2b5b9d[_0x5b8946(0x25c)][_0x5b8946(0x155e)]):_0x2b5b9d[_0x5b8946(0x147f)]()});});}function _0x554021(){const _0x56f52f=_0x5a1b4d;_0xd655b1['errors']=[],_0x512da8[_0x56f52f(0x658)][_0x56f52f(0x687)]({'id':_0xd655b1[_0x56f52f(0x658)]['id']},_0xd655b1[_0x56f52f(0x658)])[_0x56f52f(0x1d77)][_0x56f52f(0x1cb0)](function(_0x1218ba){const _0xbec1b1=_0x56f52f,_0xc8ba70=_0x39641b()['find'](_0xd655b1[_0xbec1b1(0x1ac)],{'id':_0x1218ba['id']});_0xc8ba70&&_0x39641b()[_0xbec1b1(0x9c1)](_0xc8ba70,_0x39641b()[_0xbec1b1(0x169b)](_0x1218ba[_0xbec1b1(0x19b2)](),_0x39641b()[_0xbec1b1(0x1be5)](_0xc8ba70))),_0x5b973c['success']({'title':_0xbec1b1(0x2827),'msg':_0xd655b1[_0xbec1b1(0x658)]['name']?_0xd655b1[_0xbec1b1(0x658)][_0xbec1b1(0x16b6)]+'\x20has\x20been\x20saved!':''}),_0x573033(_0x1218ba);})[_0x56f52f(0x1c4)](function(_0x15bf1c){const _0x2f47f2=_0x56f52f;if(_0x15bf1c[_0x2f47f2(0x25c)]&&_0x15bf1c[_0x2f47f2(0x25c)][_0x2f47f2(0x1a7c)]&&_0x15bf1c[_0x2f47f2(0x25c)][_0x2f47f2(0x1a7c)][_0x2f47f2(0xfd0)]){_0xd655b1[_0x2f47f2(0x1a7c)]=_0x15bf1c[_0x2f47f2(0x25c)][_0x2f47f2(0x1a7c)]||[{'message':_0x15bf1c[_0x2f47f2(0x147f)](),'type':_0x2f47f2(0x3bd)}];for(let _0x4f503b=0x0;_0x4f503b<_0x15bf1c[_0x2f47f2(0x25c)][_0x2f47f2(0x1a7c)][_0x2f47f2(0xfd0)];_0x4f503b++){_0x5b973c[_0x2f47f2(0x218e)]({'title':_0x15bf1c['data']['errors'][_0x4f503b][_0x2f47f2(0x66a)],'msg':_0x15bf1c[_0x2f47f2(0x25c)][_0x2f47f2(0x1a7c)][_0x4f503b][_0x2f47f2(0x155e)]});}}else _0x5b973c[_0x2f47f2(0x218e)]({'title':_0x15bf1c[_0x2f47f2(0x291)]?_0x2f47f2(0xeb9)+_0x15bf1c[_0x2f47f2(0x291)]+_0x2f47f2(0x1657)+_0x15bf1c[_0x2f47f2(0xc22)]:_0x2f47f2(0x3bd),'msg':_0x15bf1c[_0x2f47f2(0x25c)]?JSON[_0x2f47f2(0x2701)](_0x15bf1c[_0x2f47f2(0x25c)][_0x2f47f2(0x155e)]):_0x15bf1c[_0x2f47f2(0x147f)]()});});}function _0x1f7959(_0x417c81){const _0x41e86d=_0x5a1b4d;_0xd655b1[_0x41e86d(0x1a7c)]=[];const _0x2f7424=_0x490869[_0x41e86d(0x1551)]()[_0x41e86d(0x1386)](_0x41e86d(0x1a2e))[_0x41e86d(0x862)](_0x41e86d(0x1d06))[_0x41e86d(0x15ad)](_0x41e86d(0x883))['ok'](_0x41e86d(0x2594))[_0x41e86d(0x696)]('Cancel')[_0x41e86d(0x728)](_0x417c81);_0x490869['show'](_0x2f7424)['then'](function(){const _0x2848e8=_0x41e86d;_0x512da8[_0x2848e8(0x658)][_0x2848e8(0x111d)]({'id':_0xd655b1[_0x2848e8(0x658)]['id']})[_0x2848e8(0x1d77)][_0x2848e8(0x1cb0)](function(){const _0x552980=_0x2848e8;_0x39641b()[_0x552980(0x152a)](_0xd655b1['smsAccounts'],{'id':_0xd655b1[_0x552980(0x658)]['id']}),_0x5b973c[_0x552980(0x829)]({'title':_0x552980(0x9c6),'msg':(_0xd655b1[_0x552980(0x658)][_0x552980(0x16b6)]||'smsAccount')+'\x20has\x20been\x20deleted!'}),_0x573033(_0xd655b1[_0x552980(0x658)]);})['catch'](function(_0x252a65){const _0x2f8546=_0x2848e8;if(_0x252a65['data']&&_0x252a65[_0x2f8546(0x25c)][_0x2f8546(0x1a7c)]&&_0x252a65[_0x2f8546(0x25c)][_0x2f8546(0x1a7c)][_0x2f8546(0xfd0)]){_0xd655b1[_0x2f8546(0x1a7c)]=_0x252a65[_0x2f8546(0x25c)]['errors']||[{'message':_0x252a65[_0x2f8546(0x147f)](),'type':_0x2f8546(0x24b2)}];for(let _0x4661d0=0x0;_0x4661d0<_0x252a65['data']['errors']['length'];_0x4661d0++){_0x5b973c['error']({'title':_0x252a65[_0x2f8546(0x25c)][_0x2f8546(0x1a7c)][_0x4661d0]['type'],'msg':_0x252a65[_0x2f8546(0x25c)][_0x2f8546(0x1a7c)][_0x4661d0][_0x2f8546(0x155e)]});}}else _0x5b973c[_0x2f8546(0x218e)]({'title':_0x252a65['status']?'API:'+_0x252a65['status']+_0x2f8546(0x1657)+_0x252a65[_0x2f8546(0xc22)]:_0x2f8546(0x24b2),'msg':_0x252a65[_0x2f8546(0x25c)]?JSON[_0x2f8546(0x2701)](_0x252a65['data'][_0x2f8546(0x155e)]):_0x252a65[_0x2f8546(0x155e)]||_0x252a65[_0x2f8546(0x147f)]()});});},function(){});}function _0x3f5c66(_0x3b1502){return _0x3b1502===null?undefined:new Date(_0x3b1502);}function _0x573033(_0x14954b){_0x490869['hide'](_0x14954b);}}const _0x3e97b4=_0x1b339b;;const _0x102f87=_0x5074a3['p']+'src/js/modules/main/apps/sms/views/smsAccounts/edit/apps/agent/dialog.html/dialog.html';;const _0x2783dd=_0x5074a3['p']+'src/js/modules/main/apps/sms/views/smsAccounts/edit/apps/amazonlex/dialog.html/dialog.html';;const _0x206525=_0x5074a3['p']+'src/js/modules/main/apps/sms/views/smsAccounts/edit/apps/autoreply/dialog.html/dialog.html';;const _0x273187=_0x5074a3['p']+_0x5537c6(0x22c9);;const _0x8b518a=_0x5074a3['p']+'src/js/modules/main/apps/sms/views/smsAccounts/edit/apps/dialogflow/dialog.html/dialog.html';;const _0x2afe20=_0x5074a3['p']+_0x5537c6(0x13fa);;const _0x5d7706=_0x5074a3['p']+'src/js/modules/main/apps/sms/views/smsAccounts/edit/apps/gotop/dialog.html/dialog.html';;const _0x3fd06c=_0x5074a3['p']+_0x5537c6(0x1512);;const _0x4bbf8f=_0x5074a3['p']+_0x5537c6(0x1d3f);;const _0x11d7e3=_0x5074a3['p']+_0x5537c6(0x2280);;const _0x234afe=_0x5074a3['p']+'src/js/modules/main/apps/sms/views/smsAccounts/edit/apps/system/dialog.html/dialog.html';;const _0x4b364e=_0x5074a3['p']+_0x5537c6(0x234c);;_0xa25101[_0x5537c6(0x15b6)]=['api',_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x9bf),'Auth'];const _0x5c8729={'agent':_0x102f87,'amazonlex':_0x2783dd,'autoreply':_0x206525,'close':_0x273187,'dialogflow':_0x8b518a,'gotoif':_0x2afe20,'gotop':_0x5d7706,'interval':_0x3fd06c,'noop':_0x4bbf8f,'queue':_0x11d7e3,'system':_0x234afe,'tag':_0x4b364e};function _0xa25101(_0x4306e4,_0x5ef6a1,_0xd34dec,_0x184f4d,_0x4ce48b){const _0x4849b1=_0x5537c6,_0x4f36af=this;_0x4f36af[_0x4849b1(0xe76)]=_0x4ce48b[_0x4849b1(0x21e8)](),_0x4f36af[_0x4849b1(0x658)]={},_0x4f36af[_0x4849b1(0xe2a)]={'count':0x0,'rows':[]},_0x4f36af['selectedSmsAccountApps']=[],_0x4f36af['crudPermissions'],_0x4f36af[_0x4849b1(0xae2)]={'sort':_0x4849b1(0x521)},_0x4f36af[_0x4849b1(0x196f)]=_0x39641b()[_0x4849b1(0x988)](_0x39641b()['sortBy']([{'app':'Interval','appType':_0x4849b1(0x1ac8),'types':[_0x4849b1(0x2017),'custom',_0x4849b1(0x240)],'fields':[],'isApp':![]},{'app':_0x4849b1(0x1234),'appType':'noop','type':_0x4849b1(0x1234),'icon':_0x4849b1(0x33e),'interval':_0x4849b1(0x965),'required':!![],'isApp':!![],'fields':[{'title':_0x4849b1(0x1e10),'name':'value','type':_0x4849b1(0x19d3),'param':0x0}]},{'app':_0x4849b1(0x7be),'appType':_0x4849b1(0x7be),'type':_0x4849b1(0x7be),'icon':_0x4849b1(0x33e),'interval':_0x4849b1(0x965),'required':!![],'isApp':!![],'extraApi':[{'name':_0x4849b1(0x9a9),'field':{'name':_0x4849b1(0x1822),'key':_0x4849b1(0x16b6)},'route':_0x4849b1(0x1822),'filters':{'fields':_0x4849b1(0x43c),'sort':_0x4849b1(0x16b6),'nolimit':!![]},'permissions':{'section':0x3f4}}],'fields':[{'title':_0x4849b1(0x1b9),'name':_0x4849b1(0xd0d),'type':_0x4849b1(0x19d3),'required':!![],'param':0x0},{'title':_0x4849b1(0x1b02),'name':_0x4849b1(0x1822),'type':_0x4849b1(0xb52),'values':_0x4849b1(0x9a9),'value':'variable.name','option':_0x4849b1(0x3f3),'defaultValues':[{'value':'\x27\x27','option':_0x4849b1(0x2001)}],'defaultValue':'\x27\x27','param':0x1}]},{'app':_0x4849b1(0x265b),'appType':_0x4849b1(0x265b),'type':'goto','icon':'icon-apps','interval':_0x4849b1(0x965),'isApp':!![],'fields':[{'title':_0x4849b1(0x1240),'name':'priority','type':_0x4849b1(0x83d),'required':!![],'min':0x0,'param':0x0}]},{'app':_0x4849b1(0x13a0),'appType':'gotoif','type':'gotoif','icon':_0x4849b1(0x33e),'interval':_0x4849b1(0x965),'isApp':!![],'fields':[{'title':_0x4849b1(0x28f5),'name':_0x4849b1(0x166c),'type':_0x4849b1(0x19d3),'required':!![],'param':0x0},{'title':_0x4849b1(0xb24),'name':_0x4849b1(0xb24),'type':'number','min':0x1,'required':!![],'param':0x1},{'title':'falsepriority','name':_0x4849b1(0x17d),'type':_0x4849b1(0x83d),'min':0x1,'required':!![],'param':0x2}]},{'app':_0x4849b1(0x11cf),'appType':_0x4849b1(0x11cf),'foreignKey':_0x4849b1(0x22d8),'type':'queue','icon':_0x4849b1(0x33e),'interval':_0x4849b1(0x965),'isApp':!![],'extraApi':[{'name':_0x4849b1(0x971),'field':{'name':'queue','key':_0x4849b1(0x16b6)},'route':_0x4849b1(0x62e),'filters':{'fields':_0x4849b1(0x1896),'sort':_0x4849b1(0x16b6),'nolimit':!![]},'permissions':{'section':0x2bd}}],'fields':[{'title':_0x4849b1(0x2687),'name':_0x4849b1(0x11cf),'type':_0x4849b1(0xb52),'values':'queues','value':_0x4849b1(0x19b3),'option':_0x4849b1(0x19b3),'defaultValue':0x12c,'required':!![],'param':0x0},{'title':_0x4849b1(0xbcc),'name':_0x4849b1(0x1719),'type':_0x4849b1(0x83d),'max':0x20c49b,'min':0x0,'required':!![],'defaultValue':0x12c,'param':0x1}]},{'app':_0x4849b1(0x1eff),'appType':_0x4849b1(0x1eff),'type':_0x4849b1(0x1eff),'foreignKey':'UserId','icon':_0x4849b1(0x33e),'interval':_0x4849b1(0x965),'isApp':!![],'extraApi':[{'name':_0x4849b1(0xc12),'field':{'name':_0x4849b1(0x1eff),'key':_0x4849b1(0x16b6)},'route':'user','filters':{'fields':_0x4849b1(0x43c),'sort':_0x4849b1(0x16b6),'nolimit':!![],'role':_0x4849b1(0x1eff)},'permissions':{'section':0xca}}],'fields':[{'title':_0x4849b1(0x30e),'name':'agent','type':_0x4849b1(0xb52),'values':'agents','value':'agent.name','option':_0x4849b1(0x302),'required':!![],'param':0x0},{'title':_0x4849b1(0xbcc),'name':_0x4849b1(0x1719),'type':_0x4849b1(0x83d),'max':0x20c49b,'min':0x0,'required':!![],'defaultValue':0x1e,'param':0x1}]},{'app':_0x4849b1(0x1f71),'appType':_0x4849b1(0x1f71),'type':_0x4849b1(0x1f71),'icon':'icon-apps','interval':_0x4849b1(0x965),'isApp':!![],'fields':[{'title':_0x4849b1(0x18a5),'name':_0x4849b1(0x18a5),'type':_0x4849b1(0x220f),'defaultValue':'1','values':[{'option':_0x4849b1(0x431),'value':'1'},{'option':_0x4849b1(0x1288),'value':'0'}],'required':!![],'param':0x0},{'title':'Text','name':'text','type':_0x4849b1(0x2424),'required':!![],'param':0x1}]},{'app':_0x4849b1(0xf3b),'appType':_0x4849b1(0xf3b),'type':_0x4849b1(0xf3b),'icon':_0x4849b1(0x33e),'interval':_0x4849b1(0x965),'isApp':!![],'fields':[{'title':_0x4849b1(0x2542),'name':_0x4849b1(0x1746),'type':'text','required':!![],'param':0x0}]},{'app':_0x4849b1(0x22b1),'appType':_0x4849b1(0x22b1),'type':_0x4849b1(0x22b1),'foreignKey':_0x4849b1(0x1754),'icon':_0x4849b1(0x33e),'interval':_0x4849b1(0x965),'isApp':!![],'extraApi':[{'name':_0x4849b1(0xfbf),'field':{'name':_0x4849b1(0x22b1),'key':_0x4849b1(0x16b6)},'route':_0x4849b1(0x22b1),'filters':{'fields':_0x4849b1(0x43c),'sort':_0x4849b1(0x16b6),'nolimit':!![]},'permissions':{'section':0x3f0}}],'fields':[{'title':_0x4849b1(0xf2d),'name':_0x4849b1(0x22b1),'type':_0x4849b1(0xb52),'values':'tags','value':'tag.name','option':'tag.name','required':!![],'param':0x0}]},{'app':_0x4849b1(0x711),'appType':_0x4849b1(0x711),'type':_0x4849b1(0x711),'icon':_0x4849b1(0x33e),'interval':_0x4849b1(0x965),'isApp':!![],'fields':[{'title':_0x4849b1(0xad8),'name':'key','type':_0x4849b1(0x19d3),'required':!![],'param':0x0},{'title':'language','name':_0x4849b1(0x12b4),'type':_0x4849b1(0x220f),'defaultValue':_0x4849b1(0x1ffc),'values':[{'option':_0x4849b1(0x1f7),'value':'\x27da\x27'},{'option':_0x4849b1(0xc8b),'value':_0x4849b1(0x1ffc)},{'option':_0x4849b1(0x41b),'value':'\x27de\x27'},{'option':_0x4849b1(0x2257),'value':_0x4849b1(0x6a6)},{'option':'Spanish','value':_0x4849b1(0xb87)},{'option':_0x4849b1(0x19a),'value':_0x4849b1(0x1040)},{'option':_0x4849b1(0x600),'value':_0x4849b1(0x1cf7)},{'option':'Japanese','value':'\x27ja\x27'},{'option':_0x4849b1(0x2773),'value':'\x27ko\x27'},{'option':_0x4849b1(0x2027),'value':_0x4849b1(0x1298)},{'option':_0x4849b1(0x12a8),'value':'\x27no\x27'},{'option':_0x4849b1(0xf02),'value':'\x27pt\x27'},{'option':_0x4849b1(0x1a45),'value':_0x4849b1(0x741)},{'option':_0x4849b1(0x1dec),'value':_0x4849b1(0x258b)},{'option':'Swedish','value':_0x4849b1(0x1dce)},{'option':_0x4849b1(0x20c),'value':'\x27th\x27'},{'option':_0x4849b1(0x526),'value':_0x4849b1(0x199c)},{'option':_0x4849b1(0xb4e),'value':'\x27zh-CN\x27'},{'option':'Chinese\x20(Hong\x20Kong)','value':_0x4849b1(0x1d01)},{'option':_0x4849b1(0x1784),'value':'\x27zh-TW\x27'}],'required':!![],'param':0x1},{'title':_0x4849b1(0x173a),'name':_0x4849b1(0x173a),'type':_0x4849b1(0x2424),'maxlength':0xff,'required':![],'param':0x2,'help':!![]}]},{'app':_0x4849b1(0x385),'appType':_0x4849b1(0xece),'type':'dialogflowv2','icon':_0x4849b1(0x33e),'interval':_0x4849b1(0x965),'isApp':!![],'fields':[{'title':_0x4849b1(0x1e76),'name':_0x4849b1(0x2854),'type':_0x4849b1(0x19d3),'required':!![],'param':0x0},{'title':_0x4849b1(0x9e0),'name':_0x4849b1(0x12bf),'type':_0x4849b1(0x19d3),'required':!![],'param':0x1},{'title':'PrivateKey','name':_0x4849b1(0x29d6),'type':'textarea','required':!![],'param':0x2},{'title':_0x4849b1(0x12b4),'name':_0x4849b1(0x12b4),'type':_0x4849b1(0x220f),'defaultValue':_0x4849b1(0x1ffc),'values':[{'value':_0x4849b1(0x1d01),'option':_0x4849b1(0x261e)},{'value':_0x4849b1(0x18f1),'option':_0x4849b1(0xb4e)},{'value':_0x4849b1(0xad9),'option':_0x4849b1(0x1784)},{'value':_0x4849b1(0x1dac),'option':'Danish'},{'value':_0x4849b1(0x1298),'option':_0x4849b1(0x2027)},{'value':'\x27en\x27','option':_0x4849b1(0xc8b)},{'value':_0x4849b1(0x1548),'option':'English\x20(Australia)'},{'value':_0x4849b1(0x9ed),'option':_0x4849b1(0x1edf)},{'value':'\x27en-GB\x27','option':_0x4849b1(0x28eb)},{'value':_0x4849b1(0x17d0),'option':'English\x20(India)'},{'value':_0x4849b1(0x28de),'option':_0x4849b1(0x146b)},{'value':_0x4849b1(0x1040),'option':_0x4849b1(0x19a)},{'value':'\x27fr-CA\x27','option':'French\x20(Canada)'},{'value':_0x4849b1(0x15ea),'option':'\x27France\x20(France)'},{'value':'\x27de\x27','option':_0x4849b1(0x41b)},{'value':_0x4849b1(0x1562),'option':'Hindi'},{'value':'\x27id\x27','option':_0x4849b1(0x600)},{'value':'\x27it\x27','option':_0x4849b1(0x2257)},{'value':'\x27ja\x27','option':_0x4849b1(0x243f)},{'value':'\x27ko\x27','option':_0x4849b1(0x14f3)},{'value':'\x27no\x27','option':'Norwegian'},{'value':_0x4849b1(0x85d),'option':_0x4849b1(0x2960)},{'value':_0x4849b1(0x1ccb),'option':'Portuguese\x20(Brazil)'},{'value':_0x4849b1(0x1763),'option':_0x4849b1(0x967)},{'value':'\x27ru\x27','option':'Russian'},{'value':_0x4849b1(0xb87),'option':_0x4849b1(0x2672)},{'value':_0x4849b1(0x1ff7),'option':'Spanish\x20(Latin\x20America)'},{'value':_0x4849b1(0x22ed),'option':_0x4849b1(0x24bd)},{'value':_0x4849b1(0x21c7),'option':_0x4849b1(0x15fe)},{'value':_0x4849b1(0x1047),'option':'Thai'},{'value':_0x4849b1(0x21cd),'option':_0x4849b1(0x1543)},{'value':_0x4849b1(0x199c),'option':'Ukrainian'}],'required':!![],'param':0x3},{'title':_0x4849b1(0x173a),'name':_0x4849b1(0x173a),'type':'textarea','maxlength':0xff,'param':0x4,'help':!![]}]},{'app':'amazonlex','appType':_0x4849b1(0x123a),'type':_0x4849b1(0x123a),'icon':_0x4849b1(0x33e),'interval':_0x4849b1(0x965),'isApp':!![],'fields':[{'title':_0x4849b1(0x413),'name':_0x4849b1(0x413),'type':'text','required':!![],'param':0x0},{'title':_0x4849b1(0x21d9),'name':'secretaccesskey','type':_0x4849b1(0x19d3),'required':!![],'param':0x1},{'title':_0x4849b1(0xd50),'name':_0x4849b1(0xd50),'type':_0x4849b1(0x220f),'defaultValue':_0x4849b1(0x1c93),'values':[{'option':'US\x20East\x20(N.\x20Virginia)','value':_0x4849b1(0x1c93)},{'option':_0x4849b1(0x13fc),'value':_0x4849b1(0x2646)},{'option':'EU\x20(Ireland)','value':_0x4849b1(0x208d)},{'option':_0x4849b1(0x1eae),'value':'\x27ap-southeast-2\x27'}],'required':!![],'param':0x2},{'title':_0x4849b1(0x1c7f),'name':_0x4849b1(0x1c7f),'type':_0x4849b1(0x19d3),'required':!![],'param':0x3},{'title':_0x4849b1(0x173a),'name':_0x4849b1(0x173a),'type':_0x4849b1(0x2424),'maxlength':0xff,'required':![],'param':0x4,'help':!![]}]}],[_0x4849b1(0x22e1)]),{'isApp':![]}),_0x4f36af[_0x4849b1(0x240)]={'group':{'name':_0x4849b1(0x2523),'pull':'clone'},'animation':0x64,'sort':![]},_0x4f36af['applications']={'group':{'name':_0x4849b1(0x1ddb),'put':_0x4849b1(0x2523)},'animation':0x64,'onAdd':function(_0x3c6ea0){const _0x4764af=_0x4849b1;_0x5e3036(_0x3c6ea0,_0x3c6ea0[_0x4764af(0x22e)]);},'onSort':function(){_0x4acc9d();}},_0x4f36af[_0x4849b1(0x1a8e)]=_0x458699,_0x4f36af[_0x4849b1(0xb25)]=_0x1e4fb6,_0x4f36af['getSmsAccountApps']=_0x565d0d,_0x4f36af[_0x4849b1(0x1020)]=_0x5e3036,_0x4f36af['editInterval']=_0x2cfcb2,_0x4f36af['deleteSmsAccountApp']=_0x3130c1,_0x4f36af[_0x4849b1(0x122d)]=_0x5269ca,_0x4f36af['rewriteRouting']=_0x4acc9d,_0x4f36af[_0x4849b1(0x22fc)]=_0x550a36;function _0x458699(_0x45e1f6,_0x4b2fdc){const _0x1162aa=_0x4849b1;_0x4f36af['smsAccount']=_0x45e1f6,_0x4f36af[_0x1162aa(0x1b1a)]=typeof _0x4b2fdc!==_0x1162aa(0x16b5)?_0x4b2fdc:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x4f36af['applications'][_0x1162aa(0x379)]=!_0x4f36af[_0x1162aa(0x1b1a)][_0x1162aa(0xb3d)]?!![]:![],_0x4f36af[_0x1162aa(0xae2)]['id']=_0x45e1f6['id'],_0x4f36af['query'][_0x1162aa(0xead)]=!![],_0x4f36af[_0x1162aa(0xae2)]['nolimit']=!![],_0x4f36af[_0x1162aa(0x1b6e)](),_0x4f36af[_0x1162aa(0x22fc)]();}function _0x1e4fb6(_0x392fc6,_0x32457b,_0x4e3622){const _0x24cfdc=_0x4849b1,_0xab2966=_0x5ef6a1['confirm']()[_0x24cfdc(0x1386)](_0x24cfdc(0xf35))[_0x24cfdc(0x49e)](_0x24cfdc(0x204d)+_0x392fc6[_0x24cfdc(0x22e1)]+_0x24cfdc(0x1200)+_0x24cfdc(0x1b6))[_0x24cfdc(0x15ad)](_0x24cfdc(0x2998))[_0x24cfdc(0x728)](_0x4e3622)['ok']('OK')['cancel'](_0x24cfdc(0x24ba));_0x5ef6a1['show'](_0xab2966)[_0x24cfdc(0x1cb0)](function(){const _0x3ce222=_0x24cfdc;_0x4f36af[_0x3ce222(0xe2a)][_0x3ce222(0x2214)][_0x3ce222(0x159c)](_0x32457b,0x1),_0x4acc9d();},function(){const _0x2d02aa=_0x24cfdc;console[_0x2d02aa(0x1b4f)]('CANCEL');});}function _0x5e3036(_0x13bbc7,_0x412145){const _0xfc69ca=_0x4849b1;if(_0x4f36af['smsAccountApps'][_0xfc69ca(0x2214)][_0xfc69ca(0xfd0)]){const _0x2f7f09=_0x4f36af[_0xfc69ca(0xe2a)][_0xfc69ca(0x2214)][_0x412145]?_0x4f36af[_0xfc69ca(0xe2a)][_0xfc69ca(0x2214)][_0x412145]:_0x4f36af['smsAccountApps'][_0xfc69ca(0x2214)][0x0],_0x5f20f3=(_0x2f7f09[_0xfc69ca(0x299b)]||_0x2f7f09[_0xfc69ca(0x22e1)])[_0xfc69ca(0x1680)]();_0x5ef6a1[_0xfc69ca(0xe27)]({'controller':_0xfc69ca(0x18dd)+_0x5f20f3+_0xfc69ca(0x198f),'controllerAs':'vm','templateUrl':_0x5c8729[_0x5f20f3],'parent':angular[_0xfc69ca(0x1853)](_0xd34dec['body']),'targetEvent':_0x13bbc7,'clickOutsideToClose':!![],'locals':{'smsAccountApp':_0x2f7f09,'smsAccount':_0x4f36af[_0xfc69ca(0x658)],'crudPermissions':_0x4f36af['crudPermissions']}})[_0xfc69ca(0x1cb0)](function(_0x2b7edc){const _0x977a77=_0xfc69ca;_0x2b7edc&&(_0x2b7edc['id']?_0x4f36af[_0x977a77(0xe2a)][_0x977a77(0x2214)][_0x412145]=_0x2b7edc:_0x4f36af[_0x977a77(0xe2a)]['rows']['splice'](_0x412145,0x0,_0x2b7edc),_0x4acc9d());})[_0xfc69ca(0x1c4)](function(_0x3ac8a5){const _0x53cac6=_0xfc69ca;_0x3ac8a5&&_0x184f4d[_0x53cac6(0x218e)]({'title':_0x3ac8a5['status']?_0x53cac6(0xeb9)+_0x3ac8a5['status']+_0x53cac6(0x1657)+_0x3ac8a5[_0x53cac6(0xc22)]:_0x53cac6(0xd95),'msg':_0x3ac8a5[_0x53cac6(0x25c)]?JSON[_0x53cac6(0x2701)](_0x3ac8a5[_0x53cac6(0x25c)]):_0x3ac8a5[_0x53cac6(0x147f)]()});});}}function _0x2cfcb2(_0x4b55e3,_0x3d4234){const _0xae579=_0x4849b1;if(_0x4f36af['smsAccountApps'][_0xae579(0x2214)][_0xae579(0xfd0)]){const _0x449671=_0x4f36af['smsAccountApps'][_0xae579(0x2214)][_0x3d4234]?_0x4f36af['smsAccountApps']['rows'][_0x3d4234]:_0x4f36af[_0xae579(0xe2a)][_0xae579(0x2214)][0x0];_0x5ef6a1[_0xae579(0xe27)]({'controller':_0xae579(0x211e),'controllerAs':'vm','templateUrl':_0x3fd06c,'parent':angular[_0xae579(0x1853)](_0xd34dec[_0xae579(0x1ed9)]),'targetEvent':_0x4b55e3,'clickOutsideToClose':!![],'locals':{'interval':{'interval':_0x449671[_0xae579(0x1ac8)],'IntervalId':_0x449671[_0xae579(0x1a60)],'application':!![]},'intervals':[],'crudPermissions':_0x4f36af[_0xae579(0x1b1a)]}})[_0xae579(0x1cb0)](function(_0x43bb7f){const _0x2a81ca=_0xae579;_0x43bb7f&&(_0x449671['interval']=_0x43bb7f['interval']||_0x2a81ca(0x965),_0x449671['IntervalId']=_0x43bb7f[_0x2a81ca(0x1a60)]||null,_0x4acc9d());});}}function _0x4acc9d(){const _0xf4995e=_0x4849b1;let _0x10d447=0x1,_0x230efc=[];for(let _0x14ad19=0x0;_0x14ad19<_0x4f36af[_0xf4995e(0xe2a)]['rows'][_0xf4995e(0xfd0)];_0x14ad19++){const _0x2f00ac=_0x4f36af[_0xf4995e(0xe2a)][_0xf4995e(0x2214)][_0x14ad19],_0x2ca6a1=[],_0x59a7ac=[];_0x2f00ac['intervals']=_0x2f00ac[_0xf4995e(0x1ac8)]!==_0xf4995e(0x965)?[_0x2f00ac[_0xf4995e(0x1ac8)]]:_0x2f00ac[_0xf4995e(0x1a60)]?_0x39641b()['map'](_0x39641b()[_0xf4995e(0x1c99)](_0x4f36af['intervals'][_0xf4995e(0x2214)],{'IntervalId':_0x2f00ac[_0xf4995e(0x1a60)]}),_0xf4995e(0x1ac8)):[],_0x2f00ac[_0xf4995e(0x2056)]=_0x4f36af[_0xf4995e(0x658)]['context'],_0x2f00ac[_0xf4995e(0x26a2)]=_0x4f36af[_0xf4995e(0x658)][_0xf4995e(0x26a2)],_0x2f00ac['type']&&(_0x2f00ac[_0xf4995e(0x66a)]=_0x2f00ac[_0xf4995e(0x66a)][_0xf4995e(0x1680)]()),_0x2f00ac[_0xf4995e(0x521)]=_0x2ca6a1[_0xf4995e(0xfd0)]?_0x39641b()[_0xf4995e(0x1f9e)](_0x2ca6a1)[_0xf4995e(0x521)]+0x1:_0x10d447,_0x10d447=(_0x59a7ac[_0xf4995e(0xfd0)]?_0x39641b()[_0xf4995e(0x1f9e)](_0x59a7ac)[_0xf4995e(0x521)]:_0x2f00ac[_0xf4995e(0x521)])+0x1,_0x230efc=_0x39641b()['concat'](_0x230efc,_0x2ca6a1,[_0x2f00ac],_0x59a7ac);}_0x4306e4[_0xf4995e(0x658)]['addApplications']({'id':_0x4f36af[_0xf4995e(0x658)]['id']},_0x39641b()[_0xf4995e(0x1d33)](_0x230efc,_0xf4995e(0x521)))[_0xf4995e(0x1d77)][_0xf4995e(0x1cb0)](function(_0x336885){const _0x5a3b53=_0xf4995e;_0x4f36af[_0x5a3b53(0xe2a)][_0x5a3b53(0x2214)]=_0x336885[_0x5a3b53(0x2214)];})[_0xf4995e(0x1c4)](function(_0xd68e2){const _0x27e0d5=_0xf4995e;console[_0x27e0d5(0x218e)](_0xd68e2);});}function _0x4d6b34(_0x1c9461){const _0x18cec8=_0x4849b1;_0x4f36af[_0x18cec8(0xe2a)]=_0x1c9461||{'count':0x0,'rows':[]};}function _0x550a36(){const _0x1c8c5b=_0x4849b1;return _0x4306e4[_0x1c8c5b(0x1ac8)][_0x1c8c5b(0xbf7)]({'fields':'id,interval,IntervalId'})[_0x1c8c5b(0x1d77)][_0x1c8c5b(0x1cb0)](function(_0x1fcaa1){const _0x70f89b=_0x1c8c5b;_0x4f36af[_0x70f89b(0xb4a)]=_0x1fcaa1;})[_0x1c8c5b(0x1c4)](function(_0x3eb17e){const _0x3c09c0=_0x1c8c5b;console[_0x3c09c0(0x218e)](_0x3eb17e);});}function _0x565d0d(){const _0x4661f6=_0x4849b1;_0x4f36af[_0x4661f6(0x2061)]=_0x4306e4['smsAccount']['getApplications'](_0x4f36af[_0x4661f6(0xae2)],_0x4d6b34)[_0x4661f6(0x1d77)];}function _0x3130c1(_0x5668ac){const _0x5c2bcf=_0x4849b1;_0x39641b()[_0x5c2bcf(0x152a)](_0x4f36af[_0x5c2bcf(0xe2a)]['rows'],{'id':_0x5668ac['id']}),_0x4acc9d(),_0x184f4d[_0x5c2bcf(0x829)]({'title':_0x5c2bcf(0x2923),'msg':_0x5668ac[_0x5c2bcf(0x22e1)]?_0x5668ac[_0x5c2bcf(0x22e1)]+_0x5c2bcf(0x3f5):''});}function _0x5269ca(_0x2851cc){const _0x5976da=_0x4849b1,_0x165a26=_0x5ef6a1[_0x5976da(0x1551)]()[_0x5976da(0x1386)](_0x5976da(0x2436))[_0x5976da(0x49e)](_0x5976da(0x204d)+_0x4f36af[_0x5976da(0x1c02)][_0x5976da(0xfd0)]+_0x5976da(0x1d6c)+'\x20will\x20be\x20deleted.')['ariaLabel'](_0x5976da(0x531))['targetEvent'](_0x2851cc)['ok']('OK')[_0x5976da(0x696)](_0x5976da(0x24ba));_0x5ef6a1[_0x5976da(0xe27)](_0x165a26)[_0x5976da(0x1cb0)](function(){const _0x18ab8a=_0x5976da;_0x4f36af['selectedSmsAccountApps'][_0x18ab8a(0xf90)](function(_0x39db0d){const _0x595dce=_0x18ab8a;_0x39641b()[_0x595dce(0x152a)](_0x4f36af[_0x595dce(0xe2a)][_0x595dce(0x2214)],{'id':_0x39db0d['id']});}),_0x4f36af[_0x18ab8a(0x1c02)]=[],_0x4acc9d();});}}const _0x35a87e=_0xa25101;;_0x137a51[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x658),_0x5537c6(0x1ac),_0x5537c6(0x217b),_0x5537c6(0x1ae),'Auth',_0x5537c6(0x1b1a)];function _0x137a51(_0x27c984,_0x2840d0,_0x4ba15b,_0x46a97c,_0x159d48,_0x2f1f50,_0x46fd81,_0x198605,_0x330630,_0x46a703){const _0x276fba=_0x5537c6,_0x38c0c4=this;_0x38c0c4[_0x276fba(0xe76)]=_0x330630[_0x276fba(0x21e8)](),_0x38c0c4[_0x276fba(0x658)]=_0x159d48,_0x38c0c4['crudPermissions']=_0x46a703,_0x38c0c4[_0x276fba(0x217b)]=_0x46fd81,_0x38c0c4[_0x276fba(0x122f)]=[],_0x38c0c4['allowedItems']=[],_0x38c0c4[_0x276fba(0x20bb)]=[],_0x38c0c4[_0x276fba(0xbd5)]=[],_0x38c0c4['startingSelectedItems']=[],_0x38c0c4[_0x276fba(0x50c)]=![],_0x38c0c4[_0x276fba(0x1a34)]=_0x282320,_0x38c0c4[_0x276fba(0x1dd5)]=_0x57b343,_0x38c0c4[_0x276fba(0xda0)]=_0x477af1,_0x38c0c4['dualMultiselectOptions']={'readOnly':!_0x38c0c4[_0x276fba(0x1b1a)]['canEdit'],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x276fba(0x16b6),'line1':_0x276fba(0x1d14),'line2':[_0x276fba(0x16b6),_0x276fba(0xdbd)],'line3':'','labelAll':_0x198605[_0x276fba(0x25cc)](_0x276fba(0x21e7)),'labelSelected':_0x198605[_0x276fba(0x25cc)](_0x276fba(0x1cd5)),'transferCallback':function(){const _0x1d1964=_0x276fba,_0x169e86=_0x39641b()['xorBy'](_0x38c0c4[_0x1d1964(0x1456)],_0x38c0c4['selectedItems'],'id');_0x38c0c4[_0x1d1964(0x50c)]=_0x39641b()[_0x1d1964(0xce9)](_0x169e86)?![]:!![];}};function _0x282320(){const _0xa3e47c=_0x276fba;return _0x330630['hasRole'](_0xa3e47c(0x1c60))?_0x16d476()[_0xa3e47c(0x1c4)](function(_0x5f454a){const _0x3b6b7e=_0xa3e47c;_0x4ba15b[_0x3b6b7e(0x218e)]({'title':_0x5f454a[_0x3b6b7e(0x291)]?_0x3b6b7e(0xeb9)+_0x5f454a[_0x3b6b7e(0x291)]+_0x3b6b7e(0x1657)+_0x5f454a[_0x3b6b7e(0xc22)]:_0x3b6b7e(0x799),'msg':_0x5f454a[_0x3b6b7e(0x291)]?JSON[_0x3b6b7e(0x2701)](_0x5f454a[_0x3b6b7e(0x25c)]):_0x5f454a['toString']()});}):_0x43ce8c()[_0xa3e47c(0x1cb0)](function(_0xfbd61b){const _0x2bbe92=_0xa3e47c;return _0x38c0c4[_0x2bbe92(0x1f74)]=_0xfbd61b,_0x16d476();})[_0xa3e47c(0x1c4)](function(_0x50eb2d){const _0x20c478=_0xa3e47c;_0x4ba15b[_0x20c478(0x218e)]({'title':_0x50eb2d['status']?'API:'+_0x50eb2d[_0x20c478(0x291)]+_0x20c478(0x1657)+_0x50eb2d[_0x20c478(0xc22)]:_0x20c478(0x799),'msg':_0x50eb2d[_0x20c478(0x291)]?JSON['stringify'](_0x50eb2d[_0x20c478(0x25c)]):_0x50eb2d['toString']()});});}function _0x43ce8c(){return _0x2840d0(function(_0x4b0a26,_0x29ed0f){const _0xd8550d=a0_0x5cbd;_0x46a97c['userProfileSection'][_0xd8550d(0xbf7)]({'userProfileId':_0x38c0c4[_0xd8550d(0xe76)][_0xd8550d(0x13c1)],'name':_0xd8550d(0x2536)})[_0xd8550d(0x1d77)][_0xd8550d(0x1cb0)](function(_0xbb46d2){const _0x399c41=_0xd8550d,_0x75fae8=_0xbb46d2&&_0xbb46d2['rows']?_0xbb46d2[_0x399c41(0x2214)][0x0]:null;_0x4b0a26(_0x75fae8);})['catch'](function(_0x1103ca){_0x29ed0f(_0x1103ca);});});}function _0x16d476(){return _0x2840d0(function(_0xa97275,_0x905477){const _0x5b7f2d=a0_0x5cbd;return _0x4974ca()['then'](function(_0xf6f334){const _0x48a2d7=a0_0x5cbd;return _0x38c0c4[_0x48a2d7(0x122f)]=_0xf6f334['rows']?_0xf6f334['rows']:[],_0x330630[_0x48a2d7(0x22b6)]('admin')?_0xf6f334:_0x38c0c4[_0x48a2d7(0x1f74)]?_0x38c0c4[_0x48a2d7(0x1f74)][_0x48a2d7(0x12f4)]?_0xf6f334:_0x478d69():null;})[_0x5b7f2d(0x1cb0)](function(_0x5becd6){const _0x22ddf6=_0x5b7f2d,_0x92065c=_0x5becd6&&_0x5becd6[_0x22ddf6(0x2214)]?_0x5becd6[_0x22ddf6(0x2214)]:[];return _0x38c0c4[_0x22ddf6(0x1372)]=_0x39641b()[_0x22ddf6(0x1de2)](_0x92065c,function(_0x1e4dad){const _0x58a237=_0x22ddf6;return _0x39641b()['find'](_0x38c0c4[_0x58a237(0x122f)],{'id':_0x330630['hasRole'](_0x58a237(0x1c60))||_0x38c0c4[_0x58a237(0x1f74)]['autoAssociation']?_0x1e4dad['id']:_0x1e4dad[_0x58a237(0x2982)]});}),_0x38c0c4['startingAllowedItems']=angular[_0x22ddf6(0x17fe)](_0x38c0c4[_0x22ddf6(0x1372)]),_0x38c0c4[_0x22ddf6(0x122f)][_0x22ddf6(0xf90)](function(_0x36bc01){const _0x41b9e2=_0x22ddf6,_0x20ddac=_0x39641b()['find'](_0x38c0c4[_0x41b9e2(0x1372)],{'id':_0x36bc01['id']});_0x330630['hasRole'](_0x41b9e2(0x1c60))?_0x36bc01['isValid']=!![]:_0x36bc01[_0x41b9e2(0x1a4f)]=typeof _0x20ddac!==_0x41b9e2(0x16b5)?!![]:![];}),_0x1b5e65();})[_0x5b7f2d(0x1cb0)](function(_0x7007e7){const _0x499a46=_0x5b7f2d,_0xe437fd=_0x7007e7&&_0x7007e7['rows']?_0x7007e7[_0x499a46(0x2214)]:[];_0x38c0c4[_0x499a46(0x20bb)]=_0x39641b()[_0x499a46(0x1de2)](_0xe437fd,function(_0x4956c1){const _0xace262=_0x499a46,_0x54de39=_0x39641b()[_0xace262(0x13b4)](_0x38c0c4['items'],{'id':_0x4956c1['id']});return _0x54de39[_0xace262(0x1cbc)]=_0x4956c1[_0xace262(0x1af)]?'penalty\x20'+_0x4956c1[_0xace262(0x1af)][_0xace262(0x1cbc)]:'',_0x54de39[_0xace262(0xdbd)]=typeof _0x4956c1[_0xace262(0xdbd)]!==_0xace262(0x16b5)?'<'+_0x4956c1[_0xace262(0xdbd)]+'>':'',_0x54de39;}),_0x38c0c4['startingSelectedItems']=angular[_0x499a46(0x17fe)](_0x38c0c4[_0x499a46(0x20bb)]),_0x38c0c4['dualMultiselectOptions']['selectedItems']=_0x38c0c4[_0x499a46(0x20bb)],_0x38c0c4[_0x499a46(0x18c0)][_0x499a46(0x122f)]=_0x39641b()['differenceBy'](_0x38c0c4[_0x499a46(0x1372)],_0x38c0c4['dualMultiselectOptions'][_0x499a46(0x20bb)],'id'),_0xa97275();})[_0x5b7f2d(0x1c4)](function(_0xac3a69){_0x905477(_0xac3a69);});});}function _0x478d69(){return _0x2840d0(function(_0xb26a89,_0xba52ed){const _0x4c9bec=a0_0x5cbd;return _0x46a97c[_0x4c9bec(0x1198)]['get']({'sectionId':_0x38c0c4[_0x4c9bec(0x1f74)]['id'],'nolimit':!![]})[_0x4c9bec(0x1d77)][_0x4c9bec(0x1cb0)](function(_0x34db9d){_0xb26a89(_0x34db9d);})[_0x4c9bec(0x1c4)](function(_0x48b418){_0xba52ed(_0x48b418);});});}function _0x1b5e65(){return _0x2840d0(function(_0x555600,_0x4a96ec){const _0x38976a=a0_0x5cbd;return _0x46a97c[_0x38976a(0x658)][_0x38976a(0x2348)]({'id':_0x38c0c4[_0x38976a(0x658)]['id'],'fields':'id,name,internal,fullname','nolimit':!![],'role':_0x38976a(0x1eff)})[_0x38976a(0x1d77)][_0x38976a(0x1cb0)](function(_0x21ed9e){_0x555600(_0x21ed9e);})[_0x38976a(0x1c4)](function(_0x4b44b6){_0x4a96ec(_0x4b44b6);});});}function _0x4974ca(){return _0x2840d0(function(_0x1fbb16,_0x17cfcd){const _0x215172=a0_0x5cbd;return _0x46a97c[_0x215172(0xebe)][_0x215172(0xbf7)]({'fields':_0x215172(0x251e),'nolimit':!![],'role':_0x215172(0x1eff)})[_0x215172(0x1d77)][_0x215172(0x1cb0)](function(_0x5dd183){_0x1fbb16(_0x5dd183);})['catch'](function(_0x257b9d){_0x17cfcd(_0x257b9d);});});}function _0x1938c8(_0x23c90e){return _0x2840d0(function(_0x11bf5d,_0x244b1b){const _0x4a42c2=a0_0x5cbd;_0x39641b()[_0x4a42c2(0xce9)](_0x23c90e)?_0x11bf5d():_0x46a97c[_0x4a42c2(0x658)][_0x4a42c2(0x2057)]({'id':_0x38c0c4[_0x4a42c2(0x658)]['id'],'ids':_0x39641b()[_0x4a42c2(0x1de2)](_0x23c90e,'id')})['$promise'][_0x4a42c2(0x1cb0)](function(){_0x11bf5d();})[_0x4a42c2(0x1c4)](function(_0x1d35a4){_0x244b1b(_0x1d35a4);});});}function _0x4ca7a3(_0x46d566){return _0x2840d0(function(_0x358a35,_0x160533){const _0x11ba80=a0_0x5cbd;_0x39641b()[_0x11ba80(0xce9)](_0x46d566)?_0x358a35():_0x46a97c['smsAccount'][_0x11ba80(0x223f)]({'id':_0x38c0c4[_0x11ba80(0x658)]['id'],'ids':_0x39641b()[_0x11ba80(0x1de2)](_0x46d566,'id')})[_0x11ba80(0x1d77)][_0x11ba80(0x1cb0)](function(){_0x358a35();})[_0x11ba80(0x1c4)](function(_0x38dbf9){_0x160533(_0x38dbf9);});});}function _0x57b343(){const _0x3c34f6=_0x276fba,_0x4a6968=_0x39641b()[_0x3c34f6(0x2128)](_0x38c0c4['startingSelectedItems'],_0x38c0c4[_0x3c34f6(0x20bb)],'id'),_0x666704=_0x39641b()[_0x3c34f6(0x2128)](_0x38c0c4[_0x3c34f6(0x20bb)],_0x38c0c4['startingSelectedItems'],'id');return _0x4ca7a3(_0x4a6968)[_0x3c34f6(0x1cb0)](function(){return _0x1938c8(_0x666704);})[_0x3c34f6(0x1cb0)](function(){const _0x2d05d2=_0x3c34f6;_0x38c0c4['pendingChanges']=![],_0x38c0c4[_0x2d05d2(0xbd5)]=angular[_0x2d05d2(0x17fe)](_0x38c0c4[_0x2d05d2(0x1372)]),_0x38c0c4[_0x2d05d2(0x1456)]=angular[_0x2d05d2(0x17fe)](_0x38c0c4[_0x2d05d2(0x20bb)]),_0x4ba15b[_0x2d05d2(0x829)]({'title':_0x2d05d2(0x201),'msg':_0x2d05d2(0x976)});})[_0x3c34f6(0x1c4)](function(_0x5ea081){const _0x57cea0=_0x3c34f6;_0x4ba15b[_0x57cea0(0x218e)]({'title':_0x5ea081['status']?_0x57cea0(0xeb9)+_0x5ea081[_0x57cea0(0x291)]+_0x57cea0(0x1657)+_0x5ea081[_0x57cea0(0xc22)]:'SYSTEM:LISTS_ASSOCIATION','msg':_0x5ea081[_0x57cea0(0x291)]?JSON[_0x57cea0(0x2701)](_0x5ea081[_0x57cea0(0x25c)]):_0x5ea081[_0x57cea0(0x147f)]()});});}function _0x477af1(){const _0x18a3d9=_0x276fba;_0x27c984[_0x18a3d9(0x1426)]();}}const _0x49589e=_0x137a51;;_0x1a635b[_0x5537c6(0x15b6)]=['$mdDialog','$q',_0x5537c6(0x9bf),_0x5537c6(0x795),_0x5537c6(0x658),'api','Auth',_0x5537c6(0x1b1a)];function _0x1a635b(_0x17eb25,_0x4b5a43,_0x1cc8f3,_0x5ccc2e,_0x5bd06b,_0xcd1aed,_0x43ceab,_0x288ae6){const _0x1549ef=_0x5537c6,_0x579e49=this;_0x579e49[_0x1549ef(0xe76)]=_0x43ceab['getCurrentUser'](),_0x579e49[_0x1549ef(0x1a7c)]=[],_0x579e49['title']=_0x1549ef(0x1149)+(_0x5ccc2e[_0x1549ef(0x299b)]||_0x5ccc2e['app'])[_0x1549ef(0x2335)](),_0x579e49[_0x1549ef(0x1eff)]=angular[_0x1549ef(0x17fe)](_0x5ccc2e),_0x579e49['crudPermissions']=_0x288ae6,_0x579e49[_0x1549ef(0xf4c)]={};if(_0x579e49[_0x1549ef(0x1eff)][_0x1549ef(0x28df)])switch(_0x579e49[_0x1549ef(0x1eff)]['appType']?_0x579e49['agent'][_0x1549ef(0x299b)]['toLowerCase']():_0x579e49[_0x1549ef(0x1eff)][_0x1549ef(0x22e1)]['toLowerCase']()){case'custom':break;case _0x1549ef(0x711):{const _0x4b14b3=_0x579e49[_0x1549ef(0x1eff)][_0x1549ef(0x28df)]['split'](',');_0x579e49['agent'][_0x1549ef(0xee8)]=_0x4b14b3[0x0],_0x579e49[_0x1549ef(0x1eff)]['language']=_0x4b14b3[0x1],_0x579e49['agent']['welcomemessage']=_0x4b14b3[_0x1549ef(0x14cb)](0x2,_0x4b14b3[_0x1549ef(0xfd0)])[_0x1549ef(0x1f66)](',');}break;case _0x1549ef(0xece):{const _0x4c0d94=_0x579e49[_0x1549ef(0x1eff)][_0x1549ef(0x28df)]['split'](',');_0x579e49[_0x1549ef(0x1eff)]['projectId']=_0x4c0d94[0x0],_0x579e49['agent'][_0x1549ef(0x12bf)]=_0x4c0d94[0x1],_0x579e49['agent']['privateKey']=_0x4c0d94[0x2],_0x579e49[_0x1549ef(0x1eff)][_0x1549ef(0x12b4)]=_0x4c0d94[0x3],_0x579e49['agent']['welcomemessage']=_0x4c0d94[_0x1549ef(0x14cb)](0x4,_0x4c0d94[_0x1549ef(0xfd0)])['join'](',');}break;case _0x1549ef(0x123a):{const _0x338ba0=_0x579e49[_0x1549ef(0x1eff)][_0x1549ef(0x28df)]['split'](',');_0x579e49[_0x1549ef(0x1eff)][_0x1549ef(0x413)]=_0x338ba0[0x0],_0x579e49[_0x1549ef(0x1eff)][_0x1549ef(0x21d9)]=_0x338ba0[0x1],_0x579e49[_0x1549ef(0x1eff)]['lexregion']=_0x338ba0[0x2],_0x579e49[_0x1549ef(0x1eff)][_0x1549ef(0x1c7f)]=_0x338ba0[0x3],_0x579e49['agent'][_0x1549ef(0x173a)]=_0x338ba0['slice'](0x4,_0x338ba0[_0x1549ef(0xfd0)])[_0x1549ef(0x1f66)](',');}break;case _0x1549ef(0x1f71):{const _0x29d674=_0x579e49[_0x1549ef(0x1eff)]['appdata'][_0x1549ef(0xbe1)](',');_0x579e49[_0x1549ef(0x1eff)][_0x1549ef(0x18a5)]=isNaN(_0x29d674[0x0])?_0x29d674[0x0]:parseInt(_0x29d674[0x0],0xa),_0x579e49[_0x1549ef(0x1eff)][_0x1549ef(0x19d3)]=_0x29d674[_0x1549ef(0x14cb)](0x1,_0x29d674[_0x1549ef(0xfd0)])[_0x1549ef(0x1f66)](',');}break;case _0x1549ef(0x155e):_0x579e49[_0x1549ef(0x1eff)]['text']=_0x579e49[_0x1549ef(0x1eff)]['appdata'];break;case _0x1549ef(0x19d1):_0x579e49[_0x1549ef(0x1eff)][_0x1549ef(0x16b6)]=_0x579e49[_0x1549ef(0x1eff)]['appdata'][_0x1549ef(0xbe1)]('=')[0x0],_0x579e49[_0x1549ef(0x1eff)]['value']=_0x579e49[_0x1549ef(0x1eff)][_0x1549ef(0x28df)][_0x1549ef(0xbe1)]('=')[0x1];break;case _0x1549ef(0x4b4):_0x579e49[_0x1549ef(0x1eff)]['project']=_0x579e49[_0x1549ef(0x1eff)]['appdata'];break;default:{const _0x127517=_0x579e49[_0x1549ef(0x1eff)][_0x1549ef(0x28df)][_0x1549ef(0xbe1)](',');_0x579e49[_0x1549ef(0x1eff)][_0x1549ef(0x1eff)]=_0x39641b()[_0x1549ef(0xce9)](_0x127517[0x0])?_0x127517[0x0]:isNaN(_0x127517[0x0])?_0x127517[0x0]:parseInt(_0x127517[0x0],0xa),_0x579e49[_0x1549ef(0x1eff)][_0x1549ef(0x1719)]=_0x39641b()[_0x1549ef(0xce9)](_0x127517[0x1])?_0x127517[0x1]:isNaN(_0x127517[0x1])?_0x127517[0x1]:parseInt(_0x127517[0x1],0xa);}break;}else _0x579e49[_0x1549ef(0x1eff)]['timeout']=0x1e;_0x579e49[_0x1549ef(0x1eff)][_0x1549ef(0x66a)]&&_0x579e49[_0x1549ef(0x1eff)][_0x1549ef(0x66a)]['toLowerCase']()===_0x1549ef(0x895)&&_0x579e49[_0x1549ef(0x1eff)][_0x1549ef(0x299b)][_0x1549ef(0x1680)]()===_0x1549ef(0x20ff)&&(_0x579e49[_0x1549ef(0x1eff)]['prefix']=_0x579e49[_0x1549ef(0x1eff)][_0x1549ef(0x1340)]?_0x579e49[_0x1549ef(0x1eff)][_0x1549ef(0x1340)]['split']('$')[0x0]:undefined,_0x579e49[_0x1549ef(0x1eff)][_0x1549ef(0x1e7c)]=_0x579e49[_0x1549ef(0x1eff)]['callerID']?'CALLERID(all)='+_0x579e49[_0x1549ef(0x1eff)][_0x1549ef(0x2816)]:undefined);_0x579e49[_0x1549ef(0xc3f)]=_0x59ff4a,_0x579e49[_0x1549ef(0xda0)]=_0xdf8908,_0x43ceab[_0x1549ef(0x22b6)](_0x1549ef(0x1c60))?_0xcd1aed['user']['get']({'fields':'id,name','sort':_0x1549ef(0x16b6),'nolimit':'true','role':_0x1549ef(0x1eff)})['$promise'][_0x1549ef(0x1cb0)](function(_0x13d0f3){const _0x4d8ebd=_0x1549ef;_0x579e49['agents']=_0x13d0f3[_0x4d8ebd(0x2214)]||[];})[_0x1549ef(0x1c4)](function(_0x5c35a3){const _0x7e3580=_0x1549ef;_0x1cc8f3[_0x7e3580(0x218e)]({'title':_0x5c35a3['status']?_0x7e3580(0xeb9)+_0x5c35a3['status']+_0x7e3580(0x1657)+_0x5c35a3[_0x7e3580(0xc22)]:_0x7e3580(0x799),'msg':_0x5c35a3[_0x7e3580(0x25c)]?JSON['stringify'](_0x5c35a3[_0x7e3580(0x25c)]):_0x5c35a3[_0x7e3580(0x147f)]()});}):_0xcd1aed[_0x1549ef(0xebe)]['get']({'fields':_0x1549ef(0x43c),'sort':'name','nolimit':'true','role':_0x1549ef(0x1eff)})[_0x1549ef(0x1d77)][_0x1549ef(0x1cb0)](function(_0x12230d){const _0x4054a0=_0x1549ef;_0x579e49[_0x4054a0(0xc12)]=_0x12230d[_0x4054a0(0x2214)]||[];})[_0x1549ef(0x1cb0)](function(){const _0x235565=_0x1549ef;return _0xcd1aed[_0x235565(0x2199)][_0x235565(0xbf7)]({'userProfileId':_0x579e49['currentUser']['userProfileId'],'sectionId':0xca})[_0x235565(0x1d77)];})[_0x1549ef(0x1cb0)](function(_0x1633dd){const _0x35a4a6=_0x1549ef,_0x224fb2=_0x1633dd&&_0x1633dd['rows']?_0x1633dd[_0x35a4a6(0x2214)][0x0]:null;if(!_0x224fb2){const _0x4b3f75=[];let _0x2fc398=null;_0x579e49['agent']&&(_0x2fc398=_0x39641b()[_0x35a4a6(0x13b4)](_0x579e49[_0x35a4a6(0xc12)],{'name':_0x579e49[_0x35a4a6(0x1eff)][_0x35a4a6(0x1eff)]}));for(let _0xba2516=0x0;_0xba2516<_0x579e49['agents'][_0x35a4a6(0xfd0)];_0xba2516++){_0x2fc398&&_0x579e49[_0x35a4a6(0xc12)][_0xba2516]['id']===_0x2fc398['id']&&(_0x579e49[_0x35a4a6(0xc12)][_0xba2516][_0x35a4a6(0x15da)]=![],_0x4b3f75['push'](_0x579e49[_0x35a4a6(0xc12)][_0xba2516]));}_0x579e49[_0x35a4a6(0xc12)]=_0x4b3f75;}else{if(!_0x224fb2[_0x35a4a6(0x12f4)])return _0xcd1aed[_0x35a4a6(0x1198)][_0x35a4a6(0xbf7)]({'sectionId':_0x224fb2['id']})[_0x35a4a6(0x1d77)][_0x35a4a6(0x1cb0)](function(_0xa305a5){const _0x229e94=_0x35a4a6,_0x25e7fc=_0x39641b()[_0x229e94(0x1de2)](_0xa305a5[_0x229e94(0x2214)],function(_0x539f25){const _0x115624=_0x229e94;return _0x39641b()[_0x115624(0x13b4)](_0x579e49[_0x115624(0xc12)],{'id':_0x539f25['resourceId']});});let _0x3ebc3a=null;_0x579e49[_0x229e94(0x1eff)]&&(_0x3ebc3a=_0x39641b()['find'](_0x579e49[_0x229e94(0xc12)],{'name':_0x579e49[_0x229e94(0x1eff)][_0x229e94(0x1eff)]}));if(_0x3ebc3a&&!_0x39641b()[_0x229e94(0x727)](_0x25e7fc,['id',_0x3ebc3a['id']])){const _0x4e01de=_0x39641b()[_0x229e94(0x13b4)](_0x579e49[_0x229e94(0xc12)],{'id':_0x3ebc3a['id']});_0x4e01de[_0x229e94(0x15da)]=![],_0x25e7fc[_0x229e94(0x2785)](_0x4e01de);}_0x579e49[_0x229e94(0xc12)]=_0x25e7fc;});}})[_0x1549ef(0x1c4)](function(_0xa4b0bd){const _0x141137=_0x1549ef;_0x1cc8f3[_0x141137(0x218e)]({'title':_0xa4b0bd[_0x141137(0x291)]?'API:'+_0xa4b0bd[_0x141137(0x291)]+_0x141137(0x1657)+_0xa4b0bd['statusText']:_0x141137(0x2159),'msg':_0xa4b0bd['data']?JSON[_0x141137(0x2701)](_0xa4b0bd[_0x141137(0x25c)]):_0xa4b0bd['toString']()});});function _0x59ff4a(){const _0x143135=_0x1549ef;_0x579e49[_0x143135(0x1a7c)]=[];const _0x4e522c=[];_0x579e49['agent'][_0x143135(0x66a)]&&_0x579e49[_0x143135(0x1eff)][_0x143135(0x66a)][_0x143135(0x1680)]()===_0x143135(0x895)&&_0x579e49[_0x143135(0x1eff)][_0x143135(0x299b)]===_0x143135(0x25f4)&&(_0x579e49[_0x143135(0x1eff)]['phone']=_0x5bd06b[_0x143135(0x325)]?(_0x579e49[_0x143135(0x1eff)]['prefix']||'')+_0x143135(0x19e4)+_0x5bd06b[_0x143135(0x325)]+'}':(_0x579e49['agent']['prefix']||'')+'${EXTEN}',_0x5bd06b[_0x143135(0xf8d)]!==_0x143135(0x13b1)?_0x579e49[_0x143135(0x1eff)][_0x143135(0x2224)][_0x143135(0xd8a)](_0x143135(0x106a))<0x0&&(_0x579e49[_0x143135(0x1eff)][_0x143135(0x2224)]+=_0x143135(0x106a)):_0x579e49['agent']['options']=_0x579e49[_0x143135(0x1eff)][_0x143135(0x2224)][_0x143135(0x288f)](_0x143135(0x106a),''));const _0x411d6a=_0x39641b()[_0x143135(0x13b4)](_0x579e49['agents'],{'name':_0x579e49[_0x143135(0x1eff)]['agent']});_0x411d6a&&(_0x579e49['agent'][_0x143135(0x21ab)]=_0x411d6a['id']);if(_0x579e49[_0x143135(0x1eff)][_0x143135(0x299b)]&&_0x579e49['agent'][_0x143135(0x299b)]===_0x143135(0x197c)){}else switch((_0x579e49[_0x143135(0x1eff)]['app']||_0x579e49[_0x143135(0x1eff)][_0x143135(0x299b)])[_0x143135(0x1680)]()){case _0x143135(0x19d1):_0x579e49[_0x143135(0x1eff)][_0x143135(0x28df)]=_0x579e49['agent'][_0x143135(0x16b6)]+'='+_0x579e49['agent'][_0x143135(0x327)];break;case _0x143135(0x197c):break;default:_0x4e522c[0x0]=_0x579e49[_0x143135(0x1eff)]['agent'],_0x4e522c[0x1]=_0x579e49[_0x143135(0x1eff)]['timeout'],_0x579e49[_0x143135(0x1eff)][_0x143135(0x28df)]=_0x4e522c[_0x143135(0x1f66)](',');}_0xdf8908(_0x579e49[_0x143135(0x1eff)]);}function _0xdf8908(_0xda1048){_0x17eb25['hide'](_0xda1048);}}const _0x149203=_0x1a635b;;_0x38f287['$inject']=[_0x5537c6(0xcb9),'$q','toasty',_0x5537c6(0x795),'smsAccount',_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x38f287(_0x112107,_0x16cef6,_0x350670,_0x24dbd9,_0x58942e,_0x181590,_0xcf1f71,_0x3cfbac){const _0x18f0b2=_0x5537c6,_0x459542=this;_0x459542['currentUser']=_0xcf1f71[_0x18f0b2(0x21e8)](),_0x459542['errors']=[],_0x459542[_0x18f0b2(0x1386)]=_0x18f0b2(0x1149)+(_0x24dbd9[_0x18f0b2(0x299b)]||_0x24dbd9['app'])['toUpperCase'](),_0x459542[_0x18f0b2(0x123a)]=angular[_0x18f0b2(0x17fe)](_0x24dbd9),_0x459542['crudPermissions']=_0x3cfbac,_0x459542[_0x18f0b2(0xf4c)]={};if(_0x459542['amazonlex'][_0x18f0b2(0x28df)])switch(_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x299b)]?_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x299b)][_0x18f0b2(0x1680)]():_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x22e1)]['toLowerCase']()){case'custom':break;case _0x18f0b2(0x711):{const _0x5989ba=_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x28df)][_0x18f0b2(0xbe1)](',');_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0xee8)]=_0x5989ba[0x0],_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x12b4)]=_0x5989ba[0x1],_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x173a)]=_0x5989ba[_0x18f0b2(0x14cb)](0x2,_0x5989ba['length'])['join'](',');}break;case _0x18f0b2(0xece):{const _0x564eb4=_0x459542['amazonlex'][_0x18f0b2(0x28df)][_0x18f0b2(0xbe1)](',');_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x2854)]=_0x564eb4[0x0],_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x12bf)]=_0x564eb4[0x1],_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x29d6)]=_0x564eb4[0x2],_0x459542[_0x18f0b2(0x123a)]['language']=_0x564eb4[0x3],_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x173a)]=_0x564eb4[_0x18f0b2(0x14cb)](0x4,_0x564eb4['length'])[_0x18f0b2(0x1f66)](',');}break;case _0x18f0b2(0x123a):{const _0x4cdedd=_0x459542[_0x18f0b2(0x123a)]['appdata'][_0x18f0b2(0xbe1)](',');_0x459542[_0x18f0b2(0x123a)]['accesskeyid']=_0x4cdedd[0x0],_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x21d9)]=_0x4cdedd[0x1],_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0xd50)]=_0x4cdedd[0x2],_0x459542[_0x18f0b2(0x123a)]['botname']=_0x4cdedd[0x3],_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x173a)]=_0x4cdedd[_0x18f0b2(0x14cb)](0x4,_0x4cdedd[_0x18f0b2(0xfd0)])[_0x18f0b2(0x1f66)](',');}break;case _0x18f0b2(0x1f71):{const _0x55cd64=_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x28df)][_0x18f0b2(0xbe1)](',');_0x459542['amazonlex'][_0x18f0b2(0x18a5)]=isNaN(_0x55cd64[0x0])?_0x55cd64[0x0]:parseInt(_0x55cd64[0x0],0xa),_0x459542['amazonlex'][_0x18f0b2(0x19d3)]=_0x55cd64[_0x18f0b2(0x14cb)](0x1,_0x55cd64[_0x18f0b2(0xfd0)])['join'](',');}break;case _0x18f0b2(0x155e):_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x19d3)]=_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x28df)];break;case _0x18f0b2(0x19d1):_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x16b6)]=_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x28df)][_0x18f0b2(0xbe1)]('=')[0x0],_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x327)]=_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x28df)][_0x18f0b2(0xbe1)]('=')[0x1];break;case _0x18f0b2(0x4b4):_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x12a7)]=_0x459542['amazonlex'][_0x18f0b2(0x28df)];break;default:{const _0x1afa58=_0x459542['amazonlex'][_0x18f0b2(0x28df)][_0x18f0b2(0xbe1)](',');_0x459542[_0x18f0b2(0x123a)]['accesskeyid']=_0x39641b()[_0x18f0b2(0xce9)](_0x1afa58[0x0])?_0x1afa58[0x0]:isNaN(_0x1afa58[0x0])?_0x1afa58[0x0]:parseInt(_0x1afa58[0x0],0xa),_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x21d9)]=_0x39641b()[_0x18f0b2(0xce9)](_0x1afa58[0x1])?_0x1afa58[0x1]:isNaN(_0x1afa58[0x1])?_0x1afa58[0x1]:parseInt(_0x1afa58[0x1],0xa),_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0xd50)]=_0x39641b()['isEmpty'](_0x1afa58[0x2])?_0x1afa58[0x2]:isNaN(_0x1afa58[0x2])?_0x1afa58[0x2]:parseInt(_0x1afa58[0x2],0xa),_0x459542['amazonlex'][_0x18f0b2(0x1c7f)]=_0x39641b()[_0x18f0b2(0xce9)](_0x1afa58[0x3])?_0x1afa58[0x3]:isNaN(_0x1afa58[0x3])?_0x1afa58[0x3]:parseInt(_0x1afa58[0x3],0xa),_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x173a)]=_0x39641b()[_0x18f0b2(0xce9)](_0x1afa58[0x4])?_0x1afa58[0x4]:isNaN(_0x1afa58[0x4])?_0x1afa58[0x4]:parseInt(_0x1afa58[0x4],0xa);}break;}else _0x459542['amazonlex']['lexregion']='us-east-1';_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x66a)]&&_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x66a)][_0x18f0b2(0x1680)]()==='outbound'&&_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x299b)][_0x18f0b2(0x1680)]()==='outbounddial'&&(_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x586)]=_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x1340)]?_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x1340)][_0x18f0b2(0xbe1)]('$')[0x0]:undefined,_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x1e7c)]=_0x459542[_0x18f0b2(0x123a)][_0x18f0b2(0x2816)]?_0x18f0b2(0x25f9)+_0x459542[_0x18f0b2(0x123a)]['callerID']:undefined);_0x459542['saveSmsAccountApp']=_0x26d208,_0x459542[_0x18f0b2(0xda0)]=_0x7beaff;function _0x26d208(){const _0x5a7b57=_0x18f0b2;_0x459542[_0x5a7b57(0x1a7c)]=[];const _0x481ceb=[];_0x459542[_0x5a7b57(0x123a)]['type']&&_0x459542['amazonlex'][_0x5a7b57(0x66a)][_0x5a7b57(0x1680)]()==='outbound'&&_0x459542[_0x5a7b57(0x123a)]['appType']===_0x5a7b57(0x25f4)&&(_0x459542[_0x5a7b57(0x123a)][_0x5a7b57(0x1340)]=_0x58942e[_0x5a7b57(0x325)]?(_0x459542[_0x5a7b57(0x123a)][_0x5a7b57(0x586)]||'')+_0x5a7b57(0x19e4)+_0x58942e[_0x5a7b57(0x325)]+'}':(_0x459542[_0x5a7b57(0x123a)][_0x5a7b57(0x586)]||'')+'${EXTEN}',_0x58942e[_0x5a7b57(0xf8d)]!==_0x5a7b57(0x13b1)?_0x459542[_0x5a7b57(0x123a)][_0x5a7b57(0x2224)][_0x5a7b57(0xd8a)](_0x5a7b57(0x106a))<0x0&&(_0x459542['amazonlex'][_0x5a7b57(0x2224)]+='U(xcally-mixmonitor-context)'):_0x459542[_0x5a7b57(0x123a)][_0x5a7b57(0x2224)]=_0x459542[_0x5a7b57(0x123a)][_0x5a7b57(0x2224)]['replace'](_0x5a7b57(0x106a),''));if(_0x459542[_0x5a7b57(0x123a)]['appType']&&_0x459542['amazonlex'][_0x5a7b57(0x299b)]===_0x5a7b57(0x197c)){}else switch((_0x459542['amazonlex'][_0x5a7b57(0x22e1)]||_0x459542[_0x5a7b57(0x123a)]['appType'])[_0x5a7b57(0x1680)]()){case _0x5a7b57(0x19d1):_0x459542[_0x5a7b57(0x123a)]['appdata']=_0x459542[_0x5a7b57(0x123a)][_0x5a7b57(0x16b6)]+'='+_0x459542[_0x5a7b57(0x123a)][_0x5a7b57(0x327)];break;case'custom':break;default:_0x481ceb[0x0]=_0x459542[_0x5a7b57(0x123a)][_0x5a7b57(0x413)],_0x481ceb[0x1]=_0x459542[_0x5a7b57(0x123a)]['secretaccesskey'],_0x481ceb[0x2]=_0x459542['amazonlex'][_0x5a7b57(0xd50)],_0x481ceb[0x3]=_0x459542[_0x5a7b57(0x123a)]['botname'],_0x481ceb[0x4]=_0x459542[_0x5a7b57(0x123a)][_0x5a7b57(0x173a)],_0x459542[_0x5a7b57(0x123a)][_0x5a7b57(0x28df)]=_0x481ceb[_0x5a7b57(0x1f66)](',');}_0x7beaff(_0x459542[_0x5a7b57(0x123a)]);}function _0x7beaff(_0xf41276){const _0x5987b3=_0x18f0b2;_0x112107[_0x5987b3(0x1426)](_0xf41276);}}const _0x396a45=_0x38f287;;_0x3c0af0[_0x5537c6(0x15b6)]=['$mdDialog','$q',_0x5537c6(0x9bf),_0x5537c6(0x795),_0x5537c6(0x658),'api',_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x3c0af0(_0x8bc469,_0x58e919,_0x283814,_0x423873,_0x5d3fd6,_0x1b61e6,_0x9165db,_0x2ef940){const _0x35082a=_0x5537c6,_0x3b36b7=this;_0x3b36b7['currentUser']=_0x9165db[_0x35082a(0x21e8)](),_0x3b36b7['errors']=[],_0x3b36b7[_0x35082a(0x1386)]=_0x35082a(0x1149)+(_0x423873[_0x35082a(0x299b)]||_0x423873[_0x35082a(0x22e1)])[_0x35082a(0x2335)](),_0x3b36b7['autoreply']=angular[_0x35082a(0x17fe)](_0x423873),_0x3b36b7[_0x35082a(0x1b1a)]=_0x2ef940,_0x3b36b7['hasModulePermissions']={};if(_0x3b36b7[_0x35082a(0x1f71)][_0x35082a(0x28df)])switch(_0x3b36b7[_0x35082a(0x1f71)]['appType']?_0x3b36b7[_0x35082a(0x1f71)][_0x35082a(0x299b)][_0x35082a(0x1680)]():_0x3b36b7['autoreply'][_0x35082a(0x22e1)]['toLowerCase']()){case _0x35082a(0x197c):break;case'dialogflow':{const _0x3b906d=_0x3b36b7[_0x35082a(0x1f71)][_0x35082a(0x28df)]['split'](',');_0x3b36b7[_0x35082a(0x1f71)][_0x35082a(0xee8)]=_0x3b906d[0x0],_0x3b36b7[_0x35082a(0x1f71)][_0x35082a(0x12b4)]=_0x3b906d[0x1],_0x3b36b7[_0x35082a(0x1f71)][_0x35082a(0x173a)]=_0x3b906d[_0x35082a(0x14cb)](0x2,_0x3b906d[_0x35082a(0xfd0)])['join'](',');}break;case _0x35082a(0xece):{const _0x57c714=_0x3b36b7['autoreply'][_0x35082a(0x28df)][_0x35082a(0xbe1)](',');_0x3b36b7[_0x35082a(0x1f71)][_0x35082a(0x2854)]=_0x57c714[0x0],_0x3b36b7[_0x35082a(0x1f71)]['clientEmail']=_0x57c714[0x1],_0x3b36b7['autoreply'][_0x35082a(0x29d6)]=_0x57c714[0x2],_0x3b36b7[_0x35082a(0x1f71)][_0x35082a(0x12b4)]=_0x57c714[0x3],_0x3b36b7[_0x35082a(0x1f71)][_0x35082a(0x173a)]=_0x57c714[_0x35082a(0x14cb)](0x4,_0x57c714[_0x35082a(0xfd0)])[_0x35082a(0x1f66)](',');}break;case'amazonlex':{const _0x317672=_0x3b36b7['autoreply'][_0x35082a(0x28df)][_0x35082a(0xbe1)](',');_0x3b36b7[_0x35082a(0x1f71)][_0x35082a(0x413)]=_0x317672[0x0],_0x3b36b7[_0x35082a(0x1f71)]['secretaccesskey']=_0x317672[0x1],_0x3b36b7['autoreply']['lexregion']=_0x317672[0x2],_0x3b36b7[_0x35082a(0x1f71)][_0x35082a(0x1c7f)]=_0x317672[0x3],_0x3b36b7[_0x35082a(0x1f71)][_0x35082a(0x173a)]=_0x317672[_0x35082a(0x14cb)](0x4,_0x317672['length'])[_0x35082a(0x1f66)](',');}break;case _0x35082a(0x1f71):{const _0x80b45f=_0x3b36b7[_0x35082a(0x1f71)]['appdata']['split'](',');_0x3b36b7[_0x35082a(0x1f71)]['times']=isNaN(_0x80b45f[0x0])?_0x80b45f[0x0]:parseInt(_0x80b45f[0x0],0xa),_0x3b36b7['autoreply'][_0x35082a(0x19d3)]=_0x80b45f['slice'](0x1,_0x80b45f['length'])['join'](',');}break;case _0x35082a(0x155e):_0x3b36b7[_0x35082a(0x1f71)][_0x35082a(0x19d3)]=_0x3b36b7[_0x35082a(0x1f71)][_0x35082a(0x28df)];break;case'set':_0x3b36b7['autoreply'][_0x35082a(0x16b6)]=_0x3b36b7[_0x35082a(0x1f71)][_0x35082a(0x28df)][_0x35082a(0xbe1)]('=')[0x0],_0x3b36b7[_0x35082a(0x1f71)]['value']=_0x3b36b7[_0x35082a(0x1f71)][_0x35082a(0x28df)][_0x35082a(0xbe1)]('=')[0x1];break;case _0x35082a(0x4b4):_0x3b36b7[_0x35082a(0x1f71)]['project']=_0x3b36b7[_0x35082a(0x1f71)][_0x35082a(0x28df)];break;default:{const _0x59bbaf=_0x3b36b7['autoreply'][_0x35082a(0x28df)][_0x35082a(0xbe1)](',');_0x3b36b7[_0x35082a(0x1f71)][_0x35082a(0x18a5)]=_0x39641b()['isEmpty'](_0x59bbaf[0x0])?_0x59bbaf[0x0]:isNaN(_0x59bbaf[0x0])?_0x59bbaf[0x0]:parseInt(_0x59bbaf[0x0],0xa),_0x3b36b7[_0x35082a(0x1f71)][_0x35082a(0x19d3)]=_0x39641b()[_0x35082a(0xce9)](_0x59bbaf[0x1])?_0x59bbaf[0x1]:isNaN(_0x59bbaf[0x1])?_0x59bbaf[0x1]:parseInt(_0x59bbaf[0x1],0xa);}}else _0x3b36b7['autoreply'][_0x35082a(0x18a5)]=0x1;_0x3b36b7['autoreply'][_0x35082a(0x66a)]&&_0x3b36b7[_0x35082a(0x1f71)]['type'][_0x35082a(0x1680)]()==='outbound'&&_0x3b36b7[_0x35082a(0x1f71)][_0x35082a(0x299b)]['toLowerCase']()===_0x35082a(0x20ff)&&(_0x3b36b7[_0x35082a(0x1f71)]['prefix']=_0x3b36b7[_0x35082a(0x1f71)][_0x35082a(0x1340)]?_0x3b36b7['autoreply'][_0x35082a(0x1340)][_0x35082a(0xbe1)]('$')[0x0]:undefined,_0x3b36b7[_0x35082a(0x1f71)][_0x35082a(0x1e7c)]=_0x3b36b7['autoreply'][_0x35082a(0x2816)]?_0x35082a(0x25f9)+_0x3b36b7[_0x35082a(0x1f71)][_0x35082a(0x2816)]:undefined);_0x3b36b7[_0x35082a(0xc3f)]=_0x15cb84,_0x3b36b7[_0x35082a(0xda0)]=_0x560def;function _0x15cb84(){const _0x2f793e=_0x35082a;_0x3b36b7['errors']=[];const _0x4dff9a=[];_0x3b36b7[_0x2f793e(0x1f71)][_0x2f793e(0x66a)]&&_0x3b36b7[_0x2f793e(0x1f71)]['type'][_0x2f793e(0x1680)]()===_0x2f793e(0x895)&&_0x3b36b7[_0x2f793e(0x1f71)]['appType']===_0x2f793e(0x25f4)&&(_0x3b36b7[_0x2f793e(0x1f71)][_0x2f793e(0x1340)]=_0x5d3fd6[_0x2f793e(0x325)]?(_0x3b36b7[_0x2f793e(0x1f71)][_0x2f793e(0x586)]||'')+_0x2f793e(0x19e4)+_0x5d3fd6[_0x2f793e(0x325)]+'}':(_0x3b36b7[_0x2f793e(0x1f71)][_0x2f793e(0x586)]||'')+_0x2f793e(0xcdd),_0x5d3fd6[_0x2f793e(0xf8d)]!==_0x2f793e(0x13b1)?_0x3b36b7[_0x2f793e(0x1f71)][_0x2f793e(0x2224)][_0x2f793e(0xd8a)](_0x2f793e(0x106a))<0x0&&(_0x3b36b7['autoreply'][_0x2f793e(0x2224)]+=_0x2f793e(0x106a)):_0x3b36b7[_0x2f793e(0x1f71)]['options']=_0x3b36b7[_0x2f793e(0x1f71)]['options'][_0x2f793e(0x288f)](_0x2f793e(0x106a),''));if(_0x3b36b7['autoreply'][_0x2f793e(0x299b)]&&_0x3b36b7[_0x2f793e(0x1f71)][_0x2f793e(0x299b)]==='custom'){}else switch((_0x3b36b7[_0x2f793e(0x1f71)][_0x2f793e(0x22e1)]||_0x3b36b7[_0x2f793e(0x1f71)][_0x2f793e(0x299b)])['toLowerCase']()){case _0x2f793e(0x19d1):_0x3b36b7[_0x2f793e(0x1f71)]['appdata']=_0x3b36b7[_0x2f793e(0x1f71)][_0x2f793e(0x16b6)]+'='+_0x3b36b7[_0x2f793e(0x1f71)]['value'];break;case'custom':break;default:_0x4dff9a[0x0]=_0x3b36b7[_0x2f793e(0x1f71)][_0x2f793e(0x18a5)],_0x4dff9a[0x1]=_0x3b36b7[_0x2f793e(0x1f71)][_0x2f793e(0x19d3)],_0x3b36b7['autoreply'][_0x2f793e(0x28df)]=_0x4dff9a[_0x2f793e(0x1f66)](',');}_0x560def(_0x3b36b7[_0x2f793e(0x1f71)]);}function _0x560def(_0x22bc85){_0x8bc469['hide'](_0x22bc85);}}const _0x295ec7=_0x3c0af0;;_0xeabb99[_0x5537c6(0x15b6)]=['$mdDialog','$q',_0x5537c6(0x9bf),_0x5537c6(0x795),_0x5537c6(0x658),'api','Auth',_0x5537c6(0x1b1a)];function _0xeabb99(_0xee2b41,_0x28f331,_0x34006f,_0x4732d3,_0xa20b86,_0x410d8b,_0x8e2572,_0x2f3227){const _0x59508d=_0x5537c6,_0x2965bc=this;_0x2965bc['currentUser']=_0x8e2572[_0x59508d(0x21e8)](),_0x2965bc[_0x59508d(0x1a7c)]=[],_0x2965bc['title']=_0x59508d(0x1149)+(_0x4732d3[_0x59508d(0x299b)]||_0x4732d3[_0x59508d(0x22e1)])['toUpperCase'](),_0x2965bc[_0x59508d(0xf3b)]=angular['copy'](_0x4732d3),_0x2965bc[_0x59508d(0x1b1a)]=_0x2f3227,_0x2965bc[_0x59508d(0xf4c)]={};if(_0x2965bc[_0x59508d(0xf3b)][_0x59508d(0x28df)])switch(_0x2965bc['close'][_0x59508d(0x299b)]?_0x2965bc[_0x59508d(0xf3b)]['appType']['toLowerCase']():_0x2965bc[_0x59508d(0xf3b)][_0x59508d(0x22e1)][_0x59508d(0x1680)]()){case _0x59508d(0x197c):break;case _0x59508d(0x711):{const _0x5cc1cb=_0x2965bc['close'][_0x59508d(0x28df)][_0x59508d(0xbe1)](',');_0x2965bc[_0x59508d(0xf3b)][_0x59508d(0xee8)]=_0x5cc1cb[0x0],_0x2965bc['close']['language']=_0x5cc1cb[0x1],_0x2965bc[_0x59508d(0xf3b)][_0x59508d(0x173a)]=_0x5cc1cb[_0x59508d(0x14cb)](0x2,_0x5cc1cb['length'])[_0x59508d(0x1f66)](',');}break;case _0x59508d(0xece):{const _0xe5095c=_0x2965bc['close'][_0x59508d(0x28df)][_0x59508d(0xbe1)](',');_0x2965bc[_0x59508d(0xf3b)][_0x59508d(0x2854)]=_0xe5095c[0x0],_0x2965bc[_0x59508d(0xf3b)]['clientEmail']=_0xe5095c[0x1],_0x2965bc[_0x59508d(0xf3b)]['privateKey']=_0xe5095c[0x2],_0x2965bc['close'][_0x59508d(0x12b4)]=_0xe5095c[0x3],_0x2965bc[_0x59508d(0xf3b)][_0x59508d(0x173a)]=_0xe5095c[_0x59508d(0x14cb)](0x4,_0xe5095c[_0x59508d(0xfd0)])['join'](',');}break;case'amazonlex':{const _0x210c28=_0x2965bc['close'][_0x59508d(0x28df)]['split'](',');_0x2965bc[_0x59508d(0xf3b)][_0x59508d(0x413)]=_0x210c28[0x0],_0x2965bc[_0x59508d(0xf3b)]['secretaccesskey']=_0x210c28[0x1],_0x2965bc['close']['lexregion']=_0x210c28[0x2],_0x2965bc[_0x59508d(0xf3b)][_0x59508d(0x1c7f)]=_0x210c28[0x3],_0x2965bc[_0x59508d(0xf3b)]['welcomemessage']=_0x210c28[_0x59508d(0x14cb)](0x4,_0x210c28['length'])[_0x59508d(0x1f66)](',');}break;case _0x59508d(0x1f71):{const _0x4f2bd9=_0x2965bc[_0x59508d(0xf3b)][_0x59508d(0x28df)][_0x59508d(0xbe1)](',');_0x2965bc[_0x59508d(0xf3b)]['times']=isNaN(_0x4f2bd9[0x0])?_0x4f2bd9[0x0]:parseInt(_0x4f2bd9[0x0],0xa),_0x2965bc[_0x59508d(0xf3b)][_0x59508d(0x19d3)]=_0x4f2bd9[_0x59508d(0x14cb)](0x1,_0x4f2bd9[_0x59508d(0xfd0)])[_0x59508d(0x1f66)](',');}break;case _0x59508d(0x155e):_0x2965bc[_0x59508d(0xf3b)][_0x59508d(0x19d3)]=_0x2965bc[_0x59508d(0xf3b)][_0x59508d(0x28df)];break;case _0x59508d(0x19d1):_0x2965bc['close'][_0x59508d(0x16b6)]=_0x2965bc[_0x59508d(0xf3b)][_0x59508d(0x28df)][_0x59508d(0xbe1)]('=')[0x0],_0x2965bc[_0x59508d(0xf3b)][_0x59508d(0x327)]=_0x2965bc[_0x59508d(0xf3b)]['appdata'][_0x59508d(0xbe1)]('=')[0x1];break;case _0x59508d(0x4b4):_0x2965bc[_0x59508d(0xf3b)][_0x59508d(0x12a7)]=_0x2965bc[_0x59508d(0xf3b)][_0x59508d(0x28df)];break;default:{const _0x226641=_0x2965bc[_0x59508d(0xf3b)][_0x59508d(0x28df)][_0x59508d(0xbe1)](',');_0x2965bc['close']['disposition']=_0x39641b()[_0x59508d(0xce9)](_0x226641[0x0])?_0x226641[0x0]:isNaN(_0x226641[0x0])?_0x226641[0x0]:parseInt(_0x226641[0x0],0xa);}break;}else{}_0x2965bc[_0x59508d(0xf3b)][_0x59508d(0x66a)]&&_0x2965bc[_0x59508d(0xf3b)][_0x59508d(0x66a)][_0x59508d(0x1680)]()==='outbound'&&_0x2965bc['close'][_0x59508d(0x299b)][_0x59508d(0x1680)]()===_0x59508d(0x20ff)&&(_0x2965bc[_0x59508d(0xf3b)][_0x59508d(0x586)]=_0x2965bc[_0x59508d(0xf3b)]['phone']?_0x2965bc[_0x59508d(0xf3b)][_0x59508d(0x1340)][_0x59508d(0xbe1)]('$')[0x0]:undefined,_0x2965bc[_0x59508d(0xf3b)][_0x59508d(0x1e7c)]=_0x2965bc[_0x59508d(0xf3b)][_0x59508d(0x2816)]?_0x59508d(0x25f9)+_0x2965bc[_0x59508d(0xf3b)][_0x59508d(0x2816)]:undefined);_0x2965bc[_0x59508d(0xc3f)]=_0x2a1bce,_0x2965bc[_0x59508d(0xda0)]=_0x4b1288;function _0x2a1bce(){const _0x3fa0d7=_0x59508d;_0x2965bc[_0x3fa0d7(0x1a7c)]=[];const _0x527445=[];_0x2965bc['close'][_0x3fa0d7(0x66a)]&&_0x2965bc[_0x3fa0d7(0xf3b)][_0x3fa0d7(0x66a)][_0x3fa0d7(0x1680)]()===_0x3fa0d7(0x895)&&_0x2965bc[_0x3fa0d7(0xf3b)]['appType']==='outboundDial'&&(_0x2965bc['close'][_0x3fa0d7(0x1340)]=_0xa20b86['cutdigits']?(_0x2965bc[_0x3fa0d7(0xf3b)][_0x3fa0d7(0x586)]||'')+_0x3fa0d7(0x19e4)+_0xa20b86[_0x3fa0d7(0x325)]+'}':(_0x2965bc['close'][_0x3fa0d7(0x586)]||'')+'${EXTEN}',_0xa20b86['recordingFormat']!==_0x3fa0d7(0x13b1)?_0x2965bc[_0x3fa0d7(0xf3b)][_0x3fa0d7(0x2224)][_0x3fa0d7(0xd8a)](_0x3fa0d7(0x106a))<0x0&&(_0x2965bc[_0x3fa0d7(0xf3b)][_0x3fa0d7(0x2224)]+=_0x3fa0d7(0x106a)):_0x2965bc[_0x3fa0d7(0xf3b)]['options']=_0x2965bc[_0x3fa0d7(0xf3b)]['options']['replace']('U(xcally-mixmonitor-context)',''));if(_0x2965bc['close'][_0x3fa0d7(0x299b)]&&_0x2965bc['close'][_0x3fa0d7(0x299b)]===_0x3fa0d7(0x197c)){}else switch((_0x2965bc[_0x3fa0d7(0xf3b)][_0x3fa0d7(0x22e1)]||_0x2965bc[_0x3fa0d7(0xf3b)][_0x3fa0d7(0x299b)])[_0x3fa0d7(0x1680)]()){case _0x3fa0d7(0x19d1):_0x2965bc[_0x3fa0d7(0xf3b)][_0x3fa0d7(0x28df)]=_0x2965bc[_0x3fa0d7(0xf3b)][_0x3fa0d7(0x16b6)]+'='+_0x2965bc['close'][_0x3fa0d7(0x327)];break;case _0x3fa0d7(0x197c):break;default:_0x527445[0x0]=_0x2965bc[_0x3fa0d7(0xf3b)][_0x3fa0d7(0x1746)],_0x2965bc[_0x3fa0d7(0xf3b)]['appdata']=_0x527445['join'](',');}_0x4b1288(_0x2965bc[_0x3fa0d7(0xf3b)]);}function _0x4b1288(_0x57d4d){const _0x2ca1b9=_0x59508d;_0xee2b41[_0x2ca1b9(0x1426)](_0x57d4d);}}const _0x10b864=_0xeabb99;;_0x594161[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x795),'smsAccount',_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x594161(_0x25af9b,_0xadf157,_0x19ccbe,_0x4251e1,_0xc1a4c1,_0x20c346,_0x5d2997,_0x14de1c){const _0x1389bc=_0x5537c6,_0x3c2ec7=this;_0x3c2ec7[_0x1389bc(0xe76)]=_0x5d2997[_0x1389bc(0x21e8)](),_0x3c2ec7[_0x1389bc(0x1a7c)]=[],_0x3c2ec7['title']=_0x1389bc(0x1149)+(_0x4251e1[_0x1389bc(0x299b)]||_0x4251e1[_0x1389bc(0x22e1)])[_0x1389bc(0x2335)](),_0x3c2ec7[_0x1389bc(0x711)]=angular['copy'](_0x4251e1),_0x3c2ec7[_0x1389bc(0x1b1a)]=_0x14de1c,_0x3c2ec7[_0x1389bc(0xf4c)]={};if(_0x3c2ec7[_0x1389bc(0x711)][_0x1389bc(0x28df)])switch(_0x3c2ec7[_0x1389bc(0x711)][_0x1389bc(0x299b)]?_0x3c2ec7['dialogflow'][_0x1389bc(0x299b)][_0x1389bc(0x1680)]():_0x3c2ec7[_0x1389bc(0x711)]['app'][_0x1389bc(0x1680)]()){case'custom':break;case _0x1389bc(0x711):{const _0x17ed2d=_0x3c2ec7[_0x1389bc(0x711)][_0x1389bc(0x28df)][_0x1389bc(0xbe1)](',');_0x3c2ec7[_0x1389bc(0x711)][_0x1389bc(0xee8)]=_0x17ed2d[0x0],_0x3c2ec7['dialogflow'][_0x1389bc(0x12b4)]=_0x17ed2d[0x1],_0x3c2ec7['dialogflow'][_0x1389bc(0x173a)]=_0x17ed2d[_0x1389bc(0x14cb)](0x2,_0x17ed2d['length'])[_0x1389bc(0x1f66)](',');}break;case'dialogflowv2':{const _0x10c64a=_0x3c2ec7['dialogflow'][_0x1389bc(0x28df)][_0x1389bc(0xbe1)](',');_0x3c2ec7[_0x1389bc(0x711)][_0x1389bc(0x2854)]=_0x10c64a[0x0],_0x3c2ec7[_0x1389bc(0x711)][_0x1389bc(0x12bf)]=_0x10c64a[0x1],_0x3c2ec7['dialogflow'][_0x1389bc(0x29d6)]=_0x10c64a[0x2],_0x3c2ec7[_0x1389bc(0x711)][_0x1389bc(0x12b4)]=_0x10c64a[0x3],_0x3c2ec7[_0x1389bc(0x711)][_0x1389bc(0x173a)]=_0x10c64a[_0x1389bc(0x14cb)](0x4,_0x10c64a[_0x1389bc(0xfd0)])[_0x1389bc(0x1f66)](',');}break;case _0x1389bc(0x123a):{const _0x46cbc2=_0x3c2ec7['dialogflow']['appdata'][_0x1389bc(0xbe1)](',');_0x3c2ec7[_0x1389bc(0x711)][_0x1389bc(0x413)]=_0x46cbc2[0x0],_0x3c2ec7['dialogflow']['secretaccesskey']=_0x46cbc2[0x1],_0x3c2ec7[_0x1389bc(0x711)][_0x1389bc(0xd50)]=_0x46cbc2[0x2],_0x3c2ec7['dialogflow'][_0x1389bc(0x1c7f)]=_0x46cbc2[0x3],_0x3c2ec7[_0x1389bc(0x711)][_0x1389bc(0x173a)]=_0x46cbc2[_0x1389bc(0x14cb)](0x4,_0x46cbc2[_0x1389bc(0xfd0)])[_0x1389bc(0x1f66)](',');}break;case _0x1389bc(0x1f71):{const _0x2c3fae=_0x3c2ec7[_0x1389bc(0x711)]['appdata'][_0x1389bc(0xbe1)](',');_0x3c2ec7[_0x1389bc(0x711)][_0x1389bc(0x18a5)]=isNaN(_0x2c3fae[0x0])?_0x2c3fae[0x0]:parseInt(_0x2c3fae[0x0],0xa),_0x3c2ec7['dialogflow'][_0x1389bc(0x19d3)]=_0x2c3fae[_0x1389bc(0x14cb)](0x1,_0x2c3fae[_0x1389bc(0xfd0)])[_0x1389bc(0x1f66)](',');}break;case'message':_0x3c2ec7[_0x1389bc(0x711)][_0x1389bc(0x19d3)]=_0x3c2ec7[_0x1389bc(0x711)][_0x1389bc(0x28df)];break;case _0x1389bc(0x19d1):_0x3c2ec7[_0x1389bc(0x711)][_0x1389bc(0x16b6)]=_0x3c2ec7[_0x1389bc(0x711)][_0x1389bc(0x28df)][_0x1389bc(0xbe1)]('=')[0x0],_0x3c2ec7[_0x1389bc(0x711)][_0x1389bc(0x327)]=_0x3c2ec7[_0x1389bc(0x711)][_0x1389bc(0x28df)][_0x1389bc(0xbe1)]('=')[0x1];break;case _0x1389bc(0x4b4):_0x3c2ec7[_0x1389bc(0x711)]['project']=_0x3c2ec7[_0x1389bc(0x711)][_0x1389bc(0x28df)];break;default:{const _0x3cdbc4=_0x3c2ec7[_0x1389bc(0x711)]['appdata'][_0x1389bc(0xbe1)](',');_0x3c2ec7['dialogflow']['key']=_0x39641b()[_0x1389bc(0xce9)](_0x3cdbc4[0x0])?_0x3cdbc4[0x0]:isNaN(_0x3cdbc4[0x0])?_0x3cdbc4[0x0]:parseInt(_0x3cdbc4[0x0],0xa),_0x3c2ec7[_0x1389bc(0x711)][_0x1389bc(0x12b4)]=_0x39641b()[_0x1389bc(0xce9)](_0x3cdbc4[0x1])?_0x3cdbc4[0x1]:isNaN(_0x3cdbc4[0x1])?_0x3cdbc4[0x1]:parseInt(_0x3cdbc4[0x1],0xa),_0x3c2ec7[_0x1389bc(0x711)]['welcomemessage']=_0x39641b()['isEmpty'](_0x3cdbc4[0x2])?_0x3cdbc4[0x2]:isNaN(_0x3cdbc4[0x2])?_0x3cdbc4[0x2]:parseInt(_0x3cdbc4[0x2],0xa);}break;}else _0x3c2ec7[_0x1389bc(0x711)][_0x1389bc(0x12b4)]='en';_0x3c2ec7[_0x1389bc(0x711)][_0x1389bc(0x66a)]&&_0x3c2ec7['dialogflow'][_0x1389bc(0x66a)][_0x1389bc(0x1680)]()===_0x1389bc(0x895)&&_0x3c2ec7[_0x1389bc(0x711)][_0x1389bc(0x299b)][_0x1389bc(0x1680)]()==='outbounddial'&&(_0x3c2ec7['dialogflow'][_0x1389bc(0x586)]=_0x3c2ec7[_0x1389bc(0x711)]['phone']?_0x3c2ec7['dialogflow'][_0x1389bc(0x1340)]['split']('$')[0x0]:undefined,_0x3c2ec7[_0x1389bc(0x711)][_0x1389bc(0x1e7c)]=_0x3c2ec7[_0x1389bc(0x711)]['callerID']?_0x1389bc(0x25f9)+_0x3c2ec7['dialogflow'][_0x1389bc(0x2816)]:undefined);_0x3c2ec7[_0x1389bc(0xc3f)]=_0x10f927,_0x3c2ec7[_0x1389bc(0xda0)]=_0x1a3e22;function _0x10f927(){const _0x5f2b5d=_0x1389bc;_0x3c2ec7[_0x5f2b5d(0x1a7c)]=[];const _0x4f5d3d=[];_0x3c2ec7[_0x5f2b5d(0x711)]['type']&&_0x3c2ec7['dialogflow'][_0x5f2b5d(0x66a)][_0x5f2b5d(0x1680)]()===_0x5f2b5d(0x895)&&_0x3c2ec7[_0x5f2b5d(0x711)][_0x5f2b5d(0x299b)]===_0x5f2b5d(0x25f4)&&(_0x3c2ec7[_0x5f2b5d(0x711)][_0x5f2b5d(0x1340)]=_0xc1a4c1['cutdigits']?(_0x3c2ec7[_0x5f2b5d(0x711)][_0x5f2b5d(0x586)]||'')+_0x5f2b5d(0x19e4)+_0xc1a4c1[_0x5f2b5d(0x325)]+'}':(_0x3c2ec7['dialogflow']['prefix']||'')+_0x5f2b5d(0xcdd),_0xc1a4c1['recordingFormat']!=='none'?_0x3c2ec7[_0x5f2b5d(0x711)][_0x5f2b5d(0x2224)][_0x5f2b5d(0xd8a)](_0x5f2b5d(0x106a))<0x0&&(_0x3c2ec7[_0x5f2b5d(0x711)][_0x5f2b5d(0x2224)]+=_0x5f2b5d(0x106a)):_0x3c2ec7[_0x5f2b5d(0x711)][_0x5f2b5d(0x2224)]=_0x3c2ec7['dialogflow'][_0x5f2b5d(0x2224)]['replace'](_0x5f2b5d(0x106a),''));if(_0x3c2ec7[_0x5f2b5d(0x711)][_0x5f2b5d(0x299b)]&&_0x3c2ec7[_0x5f2b5d(0x711)][_0x5f2b5d(0x299b)]==='custom'){}else switch((_0x3c2ec7[_0x5f2b5d(0x711)][_0x5f2b5d(0x22e1)]||_0x3c2ec7[_0x5f2b5d(0x711)][_0x5f2b5d(0x299b)])['toLowerCase']()){case _0x5f2b5d(0x19d1):_0x3c2ec7['dialogflow'][_0x5f2b5d(0x28df)]=_0x3c2ec7[_0x5f2b5d(0x711)][_0x5f2b5d(0x16b6)]+'='+_0x3c2ec7[_0x5f2b5d(0x711)][_0x5f2b5d(0x327)];break;case _0x5f2b5d(0x197c):break;default:_0x4f5d3d[0x0]=_0x3c2ec7[_0x5f2b5d(0x711)][_0x5f2b5d(0xee8)],_0x4f5d3d[0x1]=_0x3c2ec7[_0x5f2b5d(0x711)][_0x5f2b5d(0x12b4)],_0x4f5d3d[0x2]=_0x3c2ec7[_0x5f2b5d(0x711)]['welcomemessage'],_0x3c2ec7[_0x5f2b5d(0x711)]['appdata']=_0x4f5d3d[_0x5f2b5d(0x1f66)](',');}_0x1a3e22(_0x3c2ec7['dialogflow']);}function _0x1a3e22(_0x3fdfcd){const _0x4dc6ca=_0x1389bc;_0x25af9b[_0x4dc6ca(0x1426)](_0x3fdfcd);}}const _0x4f1564=_0x594161;;_0x8189eb['$inject']=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x795),_0x5537c6(0x658),_0x5537c6(0x142b),'Auth',_0x5537c6(0x1b1a)];function _0x8189eb(_0x29415a,_0x59639e,_0x2b073a,_0x2d49ae,_0x4809b0,_0x2406ac,_0x1380d8,_0x420c3a){const _0x11d592=_0x5537c6,_0x342ea6=this;_0x342ea6['currentUser']=_0x1380d8[_0x11d592(0x21e8)](),_0x342ea6[_0x11d592(0x1a7c)]=[],_0x342ea6[_0x11d592(0x1386)]=_0x11d592(0x1149)+(_0x2d49ae[_0x11d592(0x299b)]||_0x2d49ae[_0x11d592(0x22e1)])[_0x11d592(0x2335)](),_0x342ea6['gotoif']=angular[_0x11d592(0x17fe)](_0x2d49ae),_0x342ea6[_0x11d592(0x1b1a)]=_0x420c3a,_0x342ea6[_0x11d592(0xf4c)]={};if(_0x342ea6[_0x11d592(0x13a0)][_0x11d592(0x28df)])switch(_0x342ea6[_0x11d592(0x13a0)][_0x11d592(0x299b)]?_0x342ea6[_0x11d592(0x13a0)][_0x11d592(0x299b)][_0x11d592(0x1680)]():_0x342ea6['gotoif'][_0x11d592(0x22e1)]['toLowerCase']()){case _0x11d592(0x197c):break;case _0x11d592(0x711):{const _0x498072=_0x342ea6[_0x11d592(0x13a0)]['appdata']['split'](',');_0x342ea6[_0x11d592(0x13a0)]['key']=_0x498072[0x0],_0x342ea6[_0x11d592(0x13a0)][_0x11d592(0x12b4)]=_0x498072[0x1],_0x342ea6[_0x11d592(0x13a0)]['welcomemessage']=_0x498072[_0x11d592(0x14cb)](0x2,_0x498072[_0x11d592(0xfd0)])[_0x11d592(0x1f66)](',');}break;case _0x11d592(0xece):{const _0x57f474=_0x342ea6[_0x11d592(0x13a0)][_0x11d592(0x28df)]['split'](',');_0x342ea6[_0x11d592(0x13a0)][_0x11d592(0x2854)]=_0x57f474[0x0],_0x342ea6[_0x11d592(0x13a0)][_0x11d592(0x12bf)]=_0x57f474[0x1],_0x342ea6['gotoif'][_0x11d592(0x29d6)]=_0x57f474[0x2],_0x342ea6[_0x11d592(0x13a0)]['language']=_0x57f474[0x3],_0x342ea6[_0x11d592(0x13a0)][_0x11d592(0x173a)]=_0x57f474[_0x11d592(0x14cb)](0x4,_0x57f474[_0x11d592(0xfd0)])['join'](',');}break;case _0x11d592(0x123a):{const _0x5bb9ca=_0x342ea6[_0x11d592(0x13a0)][_0x11d592(0x28df)][_0x11d592(0xbe1)](',');_0x342ea6[_0x11d592(0x13a0)][_0x11d592(0x413)]=_0x5bb9ca[0x0],_0x342ea6[_0x11d592(0x13a0)][_0x11d592(0x21d9)]=_0x5bb9ca[0x1],_0x342ea6['gotoif'][_0x11d592(0xd50)]=_0x5bb9ca[0x2],_0x342ea6[_0x11d592(0x13a0)][_0x11d592(0x1c7f)]=_0x5bb9ca[0x3],_0x342ea6[_0x11d592(0x13a0)][_0x11d592(0x173a)]=_0x5bb9ca[_0x11d592(0x14cb)](0x4,_0x5bb9ca[_0x11d592(0xfd0)])['join'](',');}break;case _0x11d592(0x1f71):{const _0x1cf3ce=_0x342ea6[_0x11d592(0x13a0)]['appdata'][_0x11d592(0xbe1)](',');_0x342ea6['gotoif'][_0x11d592(0x18a5)]=isNaN(_0x1cf3ce[0x0])?_0x1cf3ce[0x0]:parseInt(_0x1cf3ce[0x0],0xa),_0x342ea6['gotoif'][_0x11d592(0x19d3)]=_0x1cf3ce[_0x11d592(0x14cb)](0x1,_0x1cf3ce['length'])['join'](',');}break;case _0x11d592(0x155e):_0x342ea6[_0x11d592(0x13a0)][_0x11d592(0x19d3)]=_0x342ea6[_0x11d592(0x13a0)][_0x11d592(0x28df)];break;case _0x11d592(0x19d1):_0x342ea6[_0x11d592(0x13a0)][_0x11d592(0x16b6)]=_0x342ea6[_0x11d592(0x13a0)][_0x11d592(0x28df)]['split']('=')[0x0],_0x342ea6[_0x11d592(0x13a0)]['value']=_0x342ea6['gotoif'][_0x11d592(0x28df)][_0x11d592(0xbe1)]('=')[0x1];break;case _0x11d592(0x4b4):_0x342ea6[_0x11d592(0x13a0)][_0x11d592(0x12a7)]=_0x342ea6[_0x11d592(0x13a0)][_0x11d592(0x28df)];break;default:{const _0x42fa8c=_0x342ea6[_0x11d592(0x13a0)]['appdata']['split'](',');_0x342ea6[_0x11d592(0x13a0)][_0x11d592(0x166c)]=_0x39641b()[_0x11d592(0xce9)](_0x42fa8c[0x0])?_0x42fa8c[0x0]:isNaN(_0x42fa8c[0x0])?_0x42fa8c[0x0]:parseInt(_0x42fa8c[0x0],0xa),_0x342ea6[_0x11d592(0x13a0)][_0x11d592(0xb24)]=_0x39641b()[_0x11d592(0xce9)](_0x42fa8c[0x1])?_0x42fa8c[0x1]:isNaN(_0x42fa8c[0x1])?_0x42fa8c[0x1]:parseInt(_0x42fa8c[0x1],0xa),_0x342ea6[_0x11d592(0x13a0)][_0x11d592(0x17d)]=_0x39641b()[_0x11d592(0xce9)](_0x42fa8c[0x2])?_0x42fa8c[0x2]:isNaN(_0x42fa8c[0x2])?_0x42fa8c[0x2]:parseInt(_0x42fa8c[0x2],0xa);}break;}else{}_0x342ea6['gotoif']['type']&&_0x342ea6['gotoif'][_0x11d592(0x66a)][_0x11d592(0x1680)]()===_0x11d592(0x895)&&_0x342ea6['gotoif'][_0x11d592(0x299b)][_0x11d592(0x1680)]()===_0x11d592(0x20ff)&&(_0x342ea6['gotoif'][_0x11d592(0x586)]=_0x342ea6['gotoif']['phone']?_0x342ea6['gotoif'][_0x11d592(0x1340)][_0x11d592(0xbe1)]('$')[0x0]:undefined,_0x342ea6[_0x11d592(0x13a0)][_0x11d592(0x1e7c)]=_0x342ea6[_0x11d592(0x13a0)][_0x11d592(0x2816)]?_0x11d592(0x25f9)+_0x342ea6['gotoif'][_0x11d592(0x2816)]:undefined);_0x342ea6[_0x11d592(0xc3f)]=_0x46f7b7,_0x342ea6[_0x11d592(0xda0)]=_0x5d7f7d;function _0x46f7b7(){const _0x574bae=_0x11d592;_0x342ea6[_0x574bae(0x1a7c)]=[];const _0x379df4=[];_0x342ea6[_0x574bae(0x13a0)]['type']&&_0x342ea6[_0x574bae(0x13a0)][_0x574bae(0x66a)]['toLowerCase']()===_0x574bae(0x895)&&_0x342ea6['gotoif']['appType']===_0x574bae(0x25f4)&&(_0x342ea6[_0x574bae(0x13a0)][_0x574bae(0x1340)]=_0x4809b0[_0x574bae(0x325)]?(_0x342ea6[_0x574bae(0x13a0)][_0x574bae(0x586)]||'')+_0x574bae(0x19e4)+_0x4809b0[_0x574bae(0x325)]+'}':(_0x342ea6[_0x574bae(0x13a0)][_0x574bae(0x586)]||'')+_0x574bae(0xcdd),_0x4809b0[_0x574bae(0xf8d)]!==_0x574bae(0x13b1)?_0x342ea6[_0x574bae(0x13a0)][_0x574bae(0x2224)][_0x574bae(0xd8a)](_0x574bae(0x106a))<0x0&&(_0x342ea6[_0x574bae(0x13a0)]['options']+='U(xcally-mixmonitor-context)'):_0x342ea6[_0x574bae(0x13a0)][_0x574bae(0x2224)]=_0x342ea6[_0x574bae(0x13a0)]['options'][_0x574bae(0x288f)](_0x574bae(0x106a),''));if(_0x342ea6['gotoif'][_0x574bae(0x299b)]&&_0x342ea6[_0x574bae(0x13a0)]['appType']==='custom'){}else switch((_0x342ea6['gotoif'][_0x574bae(0x22e1)]||_0x342ea6[_0x574bae(0x13a0)][_0x574bae(0x299b)])[_0x574bae(0x1680)]()){case _0x574bae(0x19d1):_0x342ea6[_0x574bae(0x13a0)][_0x574bae(0x28df)]=_0x342ea6[_0x574bae(0x13a0)]['name']+'='+_0x342ea6[_0x574bae(0x13a0)]['value'];break;case _0x574bae(0x197c):break;default:_0x379df4[0x0]=_0x342ea6[_0x574bae(0x13a0)][_0x574bae(0x166c)],_0x379df4[0x1]=_0x342ea6[_0x574bae(0x13a0)][_0x574bae(0xb24)],_0x379df4[0x2]=_0x342ea6['gotoif']['falsepriority'],_0x342ea6[_0x574bae(0x13a0)][_0x574bae(0x28df)]=_0x379df4[_0x574bae(0x1f66)](',');}_0x5d7f7d(_0x342ea6[_0x574bae(0x13a0)]);}function _0x5d7f7d(_0x2db356){const _0x2dcf26=_0x11d592;_0x29415a[_0x2dcf26(0x1426)](_0x2db356);}}const _0x204c0c=_0x8189eb;;_0x4efdf1[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x795),_0x5537c6(0x658),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x4efdf1(_0x1afd93,_0x192606,_0x358aff,_0x4ff8c4,_0x23a6ef,_0x3e1a76,_0x4b8cdc,_0x2de5ac){const _0xb9424e=_0x5537c6,_0x5146bc=this;_0x5146bc[_0xb9424e(0xe76)]=_0x4b8cdc[_0xb9424e(0x21e8)](),_0x5146bc[_0xb9424e(0x1a7c)]=[],_0x5146bc[_0xb9424e(0x1386)]=_0xb9424e(0x1149)+(_0x4ff8c4[_0xb9424e(0x299b)]||_0x4ff8c4[_0xb9424e(0x22e1)])[_0xb9424e(0x2335)](),_0x5146bc[_0xb9424e(0x265b)]=angular[_0xb9424e(0x17fe)](_0x4ff8c4),_0x5146bc[_0xb9424e(0x1b1a)]=_0x2de5ac,_0x5146bc[_0xb9424e(0xf4c)]={};if(_0x5146bc['gotop'][_0xb9424e(0x28df)])switch(_0x5146bc[_0xb9424e(0x265b)][_0xb9424e(0x299b)]?_0x5146bc[_0xb9424e(0x265b)][_0xb9424e(0x299b)][_0xb9424e(0x1680)]():_0x5146bc[_0xb9424e(0x265b)][_0xb9424e(0x22e1)][_0xb9424e(0x1680)]()){case _0xb9424e(0x197c):break;case _0xb9424e(0x711):{const _0x2121a5=_0x5146bc[_0xb9424e(0x265b)][_0xb9424e(0x28df)]['split'](',');_0x5146bc[_0xb9424e(0x265b)][_0xb9424e(0xee8)]=_0x2121a5[0x0],_0x5146bc[_0xb9424e(0x265b)][_0xb9424e(0x12b4)]=_0x2121a5[0x1],_0x5146bc['gotop'][_0xb9424e(0x173a)]=_0x2121a5['slice'](0x2,_0x2121a5['length'])[_0xb9424e(0x1f66)](',');}break;case'dialogflowv2':{const _0x197931=_0x5146bc[_0xb9424e(0x265b)]['appdata']['split'](',');_0x5146bc[_0xb9424e(0x265b)][_0xb9424e(0x2854)]=_0x197931[0x0],_0x5146bc[_0xb9424e(0x265b)][_0xb9424e(0x12bf)]=_0x197931[0x1],_0x5146bc['gotop']['privateKey']=_0x197931[0x2],_0x5146bc[_0xb9424e(0x265b)][_0xb9424e(0x12b4)]=_0x197931[0x3],_0x5146bc[_0xb9424e(0x265b)][_0xb9424e(0x173a)]=_0x197931[_0xb9424e(0x14cb)](0x4,_0x197931[_0xb9424e(0xfd0)])['join'](',');}break;case'amazonlex':{const _0x5e9a8e=_0x5146bc[_0xb9424e(0x265b)][_0xb9424e(0x28df)][_0xb9424e(0xbe1)](',');_0x5146bc[_0xb9424e(0x265b)]['accesskeyid']=_0x5e9a8e[0x0],_0x5146bc[_0xb9424e(0x265b)][_0xb9424e(0x21d9)]=_0x5e9a8e[0x1],_0x5146bc[_0xb9424e(0x265b)][_0xb9424e(0xd50)]=_0x5e9a8e[0x2],_0x5146bc['gotop'][_0xb9424e(0x1c7f)]=_0x5e9a8e[0x3],_0x5146bc[_0xb9424e(0x265b)][_0xb9424e(0x173a)]=_0x5e9a8e[_0xb9424e(0x14cb)](0x4,_0x5e9a8e[_0xb9424e(0xfd0)])[_0xb9424e(0x1f66)](',');}break;case _0xb9424e(0x1f71):{const _0x4a40fc=_0x5146bc[_0xb9424e(0x265b)][_0xb9424e(0x28df)][_0xb9424e(0xbe1)](',');_0x5146bc['gotop'][_0xb9424e(0x18a5)]=isNaN(_0x4a40fc[0x0])?_0x4a40fc[0x0]:parseInt(_0x4a40fc[0x0],0xa),_0x5146bc[_0xb9424e(0x265b)][_0xb9424e(0x19d3)]=_0x4a40fc[_0xb9424e(0x14cb)](0x1,_0x4a40fc[_0xb9424e(0xfd0)])[_0xb9424e(0x1f66)](',');}break;case _0xb9424e(0x155e):_0x5146bc[_0xb9424e(0x265b)][_0xb9424e(0x19d3)]=_0x5146bc[_0xb9424e(0x265b)][_0xb9424e(0x28df)];break;case _0xb9424e(0x19d1):_0x5146bc['gotop'][_0xb9424e(0x16b6)]=_0x5146bc[_0xb9424e(0x265b)]['appdata'][_0xb9424e(0xbe1)]('=')[0x0],_0x5146bc[_0xb9424e(0x265b)][_0xb9424e(0x327)]=_0x5146bc[_0xb9424e(0x265b)][_0xb9424e(0x28df)][_0xb9424e(0xbe1)]('=')[0x1];break;case _0xb9424e(0x4b4):_0x5146bc[_0xb9424e(0x265b)]['project']=_0x5146bc[_0xb9424e(0x265b)][_0xb9424e(0x28df)];break;default:{const _0x35562e=_0x5146bc['gotop'][_0xb9424e(0x28df)]['split'](',');_0x5146bc[_0xb9424e(0x265b)][_0xb9424e(0x521)]=_0x39641b()[_0xb9424e(0xce9)](_0x35562e[0x0])?_0x35562e[0x0]:isNaN(_0x35562e[0x0])?_0x35562e[0x0]:parseInt(_0x35562e[0x0],0xa);}break;}else{}_0x5146bc[_0xb9424e(0x265b)]['type']&&_0x5146bc['gotop'][_0xb9424e(0x66a)][_0xb9424e(0x1680)]()===_0xb9424e(0x895)&&_0x5146bc[_0xb9424e(0x265b)][_0xb9424e(0x299b)][_0xb9424e(0x1680)]()===_0xb9424e(0x20ff)&&(_0x5146bc[_0xb9424e(0x265b)][_0xb9424e(0x586)]=_0x5146bc[_0xb9424e(0x265b)][_0xb9424e(0x1340)]?_0x5146bc[_0xb9424e(0x265b)]['phone'][_0xb9424e(0xbe1)]('$')[0x0]:undefined,_0x5146bc[_0xb9424e(0x265b)][_0xb9424e(0x1e7c)]=_0x5146bc[_0xb9424e(0x265b)][_0xb9424e(0x2816)]?_0xb9424e(0x25f9)+_0x5146bc['gotop'][_0xb9424e(0x2816)]:undefined);_0x5146bc[_0xb9424e(0xc3f)]=_0x234906,_0x5146bc[_0xb9424e(0xda0)]=_0x368c60;function _0x234906(){const _0x42a6e6=_0xb9424e;_0x5146bc[_0x42a6e6(0x1a7c)]=[];const _0x55e5d6=[];_0x5146bc[_0x42a6e6(0x265b)][_0x42a6e6(0x66a)]&&_0x5146bc[_0x42a6e6(0x265b)][_0x42a6e6(0x66a)][_0x42a6e6(0x1680)]()===_0x42a6e6(0x895)&&_0x5146bc['gotop'][_0x42a6e6(0x299b)]===_0x42a6e6(0x25f4)&&(_0x5146bc[_0x42a6e6(0x265b)][_0x42a6e6(0x1340)]=_0x23a6ef['cutdigits']?(_0x5146bc[_0x42a6e6(0x265b)][_0x42a6e6(0x586)]||'')+_0x42a6e6(0x19e4)+_0x23a6ef[_0x42a6e6(0x325)]+'}':(_0x5146bc[_0x42a6e6(0x265b)][_0x42a6e6(0x586)]||'')+'${EXTEN}',_0x23a6ef[_0x42a6e6(0xf8d)]!==_0x42a6e6(0x13b1)?_0x5146bc[_0x42a6e6(0x265b)][_0x42a6e6(0x2224)][_0x42a6e6(0xd8a)](_0x42a6e6(0x106a))<0x0&&(_0x5146bc['gotop'][_0x42a6e6(0x2224)]+='U(xcally-mixmonitor-context)'):_0x5146bc[_0x42a6e6(0x265b)]['options']=_0x5146bc['gotop']['options'][_0x42a6e6(0x288f)](_0x42a6e6(0x106a),''));if(_0x5146bc[_0x42a6e6(0x265b)][_0x42a6e6(0x299b)]&&_0x5146bc[_0x42a6e6(0x265b)][_0x42a6e6(0x299b)]==='custom'){}else switch((_0x5146bc[_0x42a6e6(0x265b)]['app']||_0x5146bc[_0x42a6e6(0x265b)][_0x42a6e6(0x299b)])[_0x42a6e6(0x1680)]()){case _0x42a6e6(0x19d1):_0x5146bc[_0x42a6e6(0x265b)]['appdata']=_0x5146bc[_0x42a6e6(0x265b)][_0x42a6e6(0x16b6)]+'='+_0x5146bc['gotop'][_0x42a6e6(0x327)];break;case _0x42a6e6(0x197c):break;default:_0x55e5d6[0x0]=_0x5146bc['gotop']['priority'],_0x5146bc[_0x42a6e6(0x265b)][_0x42a6e6(0x28df)]=_0x55e5d6[_0x42a6e6(0x1f66)](',');}_0x368c60(_0x5146bc[_0x42a6e6(0x265b)]);}function _0x368c60(_0x1a7b73){const _0x20ed83=_0xb9424e;_0x1afd93[_0x20ed83(0x1426)](_0x1a7b73);}}const _0x305961=_0x4efdf1;;_0x33dc04[_0x5537c6(0x15b6)]=['$state','$mdDialog',_0x5537c6(0x200d),_0x5537c6(0x1b2),_0x5537c6(0x1ac8),_0x5537c6(0xb4a),'toasty','api','Auth','crudPermissions'];function _0x33dc04(_0x4b9caf,_0x41513d,_0x50890f,_0x3f213f,_0x16a78c,_0x39e1b0,_0x2ee0b8,_0x571b74,_0x1ab1c5,_0x1ee7cc){const _0x2c5143=_0x5537c6,_0x41cbb4=this;_0x41cbb4[_0x2c5143(0xe76)]=_0x1ab1c5[_0x2c5143(0x21e8)](),_0x41cbb4['errors']=[],_0x41cbb4['title']=_0x2c5143(0x1571),_0x41cbb4[_0x2c5143(0x1ac8)]=angular[_0x2c5143(0x17fe)](_0x16a78c),_0x41cbb4['intervals']=_0x39e1b0,_0x41cbb4[_0x2c5143(0x18c1)]=![],_0x41cbb4[_0x2c5143(0x2025)]=['always',_0x2c5143(0x197c),_0x2c5143(0x240)],_0x41cbb4[_0x2c5143(0x1b1a)]=_0x1ee7cc,_0x41cbb4[_0x2c5143(0x1275)]=_0x3f213f[_0x2c5143(0x1aae)](),_0x41cbb4[_0x2c5143(0x2055)]=_0x3f213f[_0x2c5143(0xa76)](),_0x41cbb4[_0x2c5143(0x1534)]=_0x3f213f['getMonthName'](),_0x41cbb4[_0x2c5143(0x202d)]=_0x3f213f[_0x2c5143(0xc1e)]();if(!_0x41cbb4['interval'])_0x41cbb4[_0x2c5143(0x1ac8)]={'interval':_0x2c5143(0x965)},_0x41cbb4[_0x2c5143(0x66a)]=_0x2c5143(0x2017),_0x41cbb4[_0x2c5143(0x1386)]=_0x2c5143(0x287b),_0x41cbb4[_0x2c5143(0x18c1)]=!![];else{if(_0x41cbb4[_0x2c5143(0x1ac8)]['interval']!=='*,*,*,*'){_0x41cbb4[_0x2c5143(0x66a)]='custom';const _0x38a0d8=_0x41cbb4[_0x2c5143(0x1ac8)]['interval'][_0x2c5143(0xbe1)](','),_0x13a82e=_0x38a0d8[0x0],_0x31d87c=_0x38a0d8[0x1],_0x33c6f5=_0x38a0d8[0x2],_0x2f4ff5=_0x38a0d8[0x3];if(_0x13a82e!=='*'){const _0x711a3d=_0x13a82e[_0x2c5143(0xbe1)]('-')[0x0],_0x2813ce=_0x13a82e[_0x2c5143(0xbe1)]('-')[0x1];let _0x2d3ba8;_0x2d3ba8=new Date(),_0x2d3ba8[_0x2c5143(0x201b)](Number(_0x711a3d['split'](':')[0x0])),_0x2d3ba8[_0x2c5143(0x1622)](Number(_0x711a3d[_0x2c5143(0xbe1)](':')[0x1])),_0x41cbb4[_0x2c5143(0x718)]=_0x2d3ba8,_0x2d3ba8=new Date(),_0x2d3ba8[_0x2c5143(0x201b)](Number(_0x2813ce[_0x2c5143(0xbe1)](':')[0x0])),_0x2d3ba8[_0x2c5143(0x1622)](Number(_0x2813ce[_0x2c5143(0xbe1)](':')[0x1])),_0x41cbb4[_0x2c5143(0x64a)]=_0x2d3ba8;}_0x31d87c!=='*'&&(_0x41cbb4[_0x2c5143(0x28a6)]=_0x31d87c[_0x2c5143(0xbe1)]('-')[0x0],_0x41cbb4['dayOfWeekTo']=_0x31d87c[_0x2c5143(0xbe1)]('-')[0x1]),_0x33c6f5!=='*'&&(_0x41cbb4[_0x2c5143(0xd6f)]=_0x33c6f5[_0x2c5143(0xbe1)]('-')[0x0],_0x41cbb4[_0x2c5143(0x194d)]=_0x33c6f5['split']('-')[0x1]),_0x2f4ff5!=='*'&&(_0x41cbb4[_0x2c5143(0x1756)]=_0x2f4ff5[_0x2c5143(0xbe1)]('-')[0x0],_0x41cbb4['monthTo']=_0x2f4ff5[_0x2c5143(0xbe1)]('-')[0x1]);}else _0x41cbb4[_0x2c5143(0x66a)]=_0x2c5143(0x2017);}_0x4b9caf['params']['id']&&!_0x41cbb4['interval']['application']&&(_0x41cbb4[_0x2c5143(0x1ac8)][_0x2c5143(0x1a60)]=_0x4b9caf[_0x2c5143(0x1dfe)]['id']);_0x41cbb4[_0x2c5143(0x1ac8)][_0x2c5143(0x1a60)]&&_0x41cbb4[_0x2c5143(0x1ac8)][_0x2c5143(0x171b)]&&(_0x41cbb4[_0x2c5143(0x66a)]=_0x2c5143(0x240));_0x41cbb4['addNewInterval']=_0xf7c67f,_0x41cbb4[_0x2c5143(0x1891)]=_0x338eeb,_0x41cbb4['closeDialog']=_0x1ba55f;_0x41cbb4[_0x2c5143(0x1ac8)]['application']&&(_0x1ab1c5[_0x2c5143(0x22b6)](_0x2c5143(0x1c60))?_0x571b74['interval'][_0x2c5143(0xbf7)]({'fields':_0x2c5143(0x872),'IntervalId':_0x2c5143(0xd38),'nolimit':!![]})[_0x2c5143(0x1d77)][_0x2c5143(0x1cb0)](function(_0x210dc7){const _0x4a8e95=_0x2c5143;_0x41cbb4[_0x4a8e95(0xb4a)]=_0x210dc7['rows']||[];})[_0x2c5143(0x1c4)](function(_0x50dfbc){const _0x4e4d68=_0x2c5143;_0x2ee0b8[_0x4e4d68(0x218e)]({'title':_0x50dfbc[_0x4e4d68(0x291)]?_0x4e4d68(0xeb9)+_0x50dfbc[_0x4e4d68(0x291)]+_0x4e4d68(0x1657)+_0x50dfbc[_0x4e4d68(0xc22)]:_0x4e4d68(0xdac),'msg':_0x50dfbc['data']?JSON[_0x4e4d68(0x2701)](_0x50dfbc[_0x4e4d68(0x25c)]):_0x50dfbc['toString']()});}):_0x571b74['interval']['get']({'fields':'id,name,interval,IntervalId','IntervalId':_0x2c5143(0xd38),'nolimit':!![]})[_0x2c5143(0x1d77)]['then'](function(_0xce1d32){const _0x5105a6=_0x2c5143;_0x41cbb4['intervals']=_0xce1d32[_0x5105a6(0x2214)]||[];})[_0x2c5143(0x1cb0)](function(){const _0x46e224=_0x2c5143;return _0x571b74[_0x46e224(0x2199)][_0x46e224(0xbf7)]({'userProfileId':_0x41cbb4[_0x46e224(0xe76)]['userProfileId'],'sectionId':0x3ec})['$promise'];})['then'](function(_0x2d3321){const _0x2cb0c4=_0x2c5143,_0x5ad6ab=_0x2d3321&&_0x2d3321['rows']?_0x2d3321[_0x2cb0c4(0x2214)][0x0]:null;if(!_0x5ad6ab)_0x41cbb4[_0x2cb0c4(0xb4a)]=[];else{if(!_0x5ad6ab[_0x2cb0c4(0x12f4)])return _0x571b74[_0x2cb0c4(0x1198)]['get']({'sectionId':_0x5ad6ab['id']})[_0x2cb0c4(0x1d77)][_0x2cb0c4(0x1cb0)](function(_0x20ee74){const _0x33217b=_0x2cb0c4,_0x2eb536=_0x20ee74&&_0x20ee74[_0x33217b(0x2214)]?_0x20ee74[_0x33217b(0x2214)]:[],_0x412678=[];let _0x350402=null;_0x41cbb4[_0x33217b(0x1ac8)]&&(_0x350402=_0x39641b()[_0x33217b(0x13b4)](_0x41cbb4['intervals'],{'name':_0x41cbb4[_0x33217b(0x1ac8)][_0x33217b(0x1a60)]})),_0x350402&&!_0x39641b()[_0x33217b(0x727)](_0x2eb536,['resourceId',_0x350402['id']])&&_0x41cbb4['intervals'][_0x33217b(0xf90)](function(_0x26c96c){const _0x1903c5=_0x33217b;_0x26c96c['id']===_0x350402['id']&&(_0x26c96c[_0x1903c5(0x15da)]=![],_0x412678[_0x1903c5(0x2785)](_0x26c96c));}),_0x41cbb4[_0x33217b(0xb4a)]=_0x412678;});}})[_0x2c5143(0x1c4)](function(_0x4a64dc){const _0x474452=_0x2c5143;_0x2ee0b8[_0x474452(0x218e)]({'title':_0x4a64dc[_0x474452(0x291)]?_0x474452(0xeb9)+_0x4a64dc[_0x474452(0x291)]+'\x20-\x20'+_0x4a64dc['statusText']:_0x474452(0xdac),'msg':_0x4a64dc['data']?JSON[_0x474452(0x2701)](_0x4a64dc[_0x474452(0x25c)]):_0x4a64dc[_0x474452(0x147f)]()});}));function _0x5eb085(){const _0x447f25=_0x2c5143;switch(_0x41cbb4[_0x447f25(0x66a)]){case'always':case _0x447f25(0x240):return _0x447f25(0x965);case _0x447f25(0x197c):{const _0x1721e0=[];if(_0x41cbb4['timeRangeFrom']!=='*'&&_0x41cbb4['timeRangeFrom']&&_0x41cbb4['timeRangeTo']){const _0x21c3c8=(_0x41cbb4[_0x447f25(0x718)][_0x447f25(0x1169)]()<0xa?'0':'')+_0x41cbb4[_0x447f25(0x718)][_0x447f25(0x1169)]()+':'+((_0x41cbb4[_0x447f25(0x718)][_0x447f25(0x851)]()<0xa?'0':'')+_0x41cbb4['timeRangeFrom'][_0x447f25(0x851)]()),_0x358e97=(_0x41cbb4[_0x447f25(0x64a)]['getHours']()<0xa?'0':'')+_0x41cbb4[_0x447f25(0x64a)]['getHours']()+':'+((_0x41cbb4['timeRangeTo'][_0x447f25(0x851)]()<0xa?'0':'')+_0x41cbb4[_0x447f25(0x64a)]['getMinutes']());_0x1721e0['push'](_0x21c3c8+'-'+_0x358e97);}else _0x1721e0[_0x447f25(0x2785)]('*');return _0x41cbb4['dayOfWeekFrom']?_0x41cbb4[_0x447f25(0x28db)]?_0x1721e0['push'](_0x41cbb4[_0x447f25(0x28a6)]+'-'+_0x41cbb4[_0x447f25(0x28db)]):_0x1721e0[_0x447f25(0x2785)](_0x41cbb4[_0x447f25(0x28a6)]):_0x1721e0[_0x447f25(0x2785)]('*'),_0x41cbb4['monthDayFrom']?_0x41cbb4[_0x447f25(0x194d)]?_0x1721e0[_0x447f25(0x2785)](_0x41cbb4[_0x447f25(0xd6f)]+'-'+_0x41cbb4[_0x447f25(0x194d)]):_0x1721e0[_0x447f25(0x2785)](_0x41cbb4[_0x447f25(0xd6f)]):_0x1721e0[_0x447f25(0x2785)]('*'),_0x41cbb4['monthFrom']?_0x41cbb4[_0x447f25(0xa68)]?_0x1721e0[_0x447f25(0x2785)](_0x41cbb4[_0x447f25(0x1756)]+'-'+_0x41cbb4[_0x447f25(0xa68)]):_0x1721e0[_0x447f25(0x2785)](_0x41cbb4[_0x447f25(0x1756)]):_0x1721e0['push']('*'),_0x1721e0[_0x447f25(0x1f66)]();}}}function _0xf7c67f(){const _0x222134=_0x2c5143;_0x41cbb4[_0x222134(0x1a7c)]=[],_0x41cbb4[_0x222134(0x1ac8)][_0x222134(0x1ac8)]=_0x5eb085(),_0x571b74[_0x222134(0x1ac8)][_0x222134(0x1c3f)](_0x41cbb4['interval'])[_0x222134(0x1d77)][_0x222134(0x1cb0)](function(_0xd974c4){const _0x422b8f=_0x222134;_0x41cbb4['intervals'][_0x422b8f(0x2785)](_0xd974c4),_0x2ee0b8[_0x422b8f(0x829)]({'title':'Interval\x20properly\x20created','msg':_0x41cbb4[_0x422b8f(0x1ac8)]['name']?_0x41cbb4[_0x422b8f(0x1ac8)][_0x422b8f(0x16b6)]+'\x20has\x20been\x20created!':''}),_0x1ba55f();})[_0x222134(0x1c4)](function(_0x5ee86c){const _0x283d8a=_0x222134;console[_0x283d8a(0x218e)](_0x5ee86c),_0x41cbb4[_0x283d8a(0x1a7c)]=_0x5ee86c[_0x283d8a(0x25c)][_0x283d8a(0x1a7c)]||[{'message':_0x5ee86c[_0x283d8a(0x147f)](),'type':'api.interval.save'}];});}function _0x338eeb(){const _0xc6f512=_0x2c5143;_0x41cbb4[_0xc6f512(0x1a7c)]=[],_0x41cbb4[_0xc6f512(0x1ac8)][_0xc6f512(0x1ac8)]=_0x5eb085(),_0x41cbb4['interval'][_0xc6f512(0x171b)]?(_0x41cbb4['type']!==_0xc6f512(0x240)&&(_0x41cbb4['interval']['IntervalId']=null),_0x1ba55f(_0x41cbb4['interval'])):_0x571b74[_0xc6f512(0x1ac8)]['update']({'id':_0x41cbb4['interval']['id']},_0x41cbb4[_0xc6f512(0x1ac8)])['$promise'][_0xc6f512(0x1cb0)](function(_0x4fe9c4){const _0x3bdb93=_0xc6f512,_0x4f6827=_0x39641b()[_0x3bdb93(0x13b4)](_0x41cbb4[_0x3bdb93(0xb4a)],{'id':_0x4fe9c4['id']});_0x4f6827&&_0x39641b()[_0x3bdb93(0x9c1)](_0x4f6827,_0x4fe9c4),_0x2ee0b8['success']({'title':'Interval\x20properly\x20saved!','msg':_0x3bdb93(0x2994)}),_0x1ba55f();})['catch'](function(_0xc80169){const _0x2a310d=_0xc6f512;console['error'](_0xc80169),_0x41cbb4[_0x2a310d(0x1a7c)]=_0xc80169['data'][_0x2a310d(0x1a7c)]||[{'message':_0xc80169['toString'](),'type':_0x2a310d(0x2505)}];});}function _0x1ba55f(_0x8e7ed2){_0x41513d['hide'](_0x8e7ed2);}}const _0x114ce9=_0x33dc04;;_0x510214[_0x5537c6(0x15b6)]=['$mdDialog','$q','toasty','smsAccountApp',_0x5537c6(0x658),_0x5537c6(0x142b),'Auth',_0x5537c6(0x1b1a)];function _0x510214(_0x81d945,_0xf2ef0d,_0x2cf088,_0x4e15f1,_0xec4bd1,_0x45ed40,_0x2c78b4,_0x336e9c){const _0x305cef=_0x5537c6,_0x43743c=this;_0x43743c[_0x305cef(0xe76)]=_0x2c78b4[_0x305cef(0x21e8)](),_0x43743c['errors']=[],_0x43743c[_0x305cef(0x1386)]=_0x305cef(0x1149)+(_0x4e15f1[_0x305cef(0x299b)]||_0x4e15f1['app'])[_0x305cef(0x2335)](),_0x43743c[_0x305cef(0x1234)]=angular[_0x305cef(0x17fe)](_0x4e15f1),_0x43743c[_0x305cef(0x1b1a)]=_0x336e9c,_0x43743c[_0x305cef(0xf4c)]={};if(_0x43743c[_0x305cef(0x1234)][_0x305cef(0x28df)])switch(_0x43743c[_0x305cef(0x1234)]['appType']?_0x43743c['noop']['appType'][_0x305cef(0x1680)]():_0x43743c[_0x305cef(0x1234)]['app']['toLowerCase']()){case _0x305cef(0x197c):break;case _0x305cef(0x711):{const _0x228080=_0x43743c['noop'][_0x305cef(0x28df)]['split'](',');_0x43743c['noop']['key']=_0x228080[0x0],_0x43743c['noop']['language']=_0x228080[0x1],_0x43743c[_0x305cef(0x1234)]['welcomemessage']=_0x228080['slice'](0x2,_0x228080['length'])['join'](',');}break;case _0x305cef(0xece):{const _0xee6cb3=_0x43743c[_0x305cef(0x1234)][_0x305cef(0x28df)][_0x305cef(0xbe1)](',');_0x43743c['noop']['projectId']=_0xee6cb3[0x0],_0x43743c[_0x305cef(0x1234)][_0x305cef(0x12bf)]=_0xee6cb3[0x1],_0x43743c[_0x305cef(0x1234)]['privateKey']=_0xee6cb3[0x2],_0x43743c[_0x305cef(0x1234)]['language']=_0xee6cb3[0x3],_0x43743c[_0x305cef(0x1234)][_0x305cef(0x173a)]=_0xee6cb3[_0x305cef(0x14cb)](0x4,_0xee6cb3[_0x305cef(0xfd0)])['join'](',');}break;case _0x305cef(0x123a):{const _0x404124=_0x43743c[_0x305cef(0x1234)]['appdata']['split'](',');_0x43743c[_0x305cef(0x1234)][_0x305cef(0x413)]=_0x404124[0x0],_0x43743c[_0x305cef(0x1234)][_0x305cef(0x21d9)]=_0x404124[0x1],_0x43743c[_0x305cef(0x1234)][_0x305cef(0xd50)]=_0x404124[0x2],_0x43743c['noop'][_0x305cef(0x1c7f)]=_0x404124[0x3],_0x43743c[_0x305cef(0x1234)][_0x305cef(0x173a)]=_0x404124[_0x305cef(0x14cb)](0x4,_0x404124['length'])[_0x305cef(0x1f66)](',');}break;case _0x305cef(0x1f71):{const _0x21c86b=_0x43743c['noop'][_0x305cef(0x28df)][_0x305cef(0xbe1)](',');_0x43743c[_0x305cef(0x1234)][_0x305cef(0x18a5)]=isNaN(_0x21c86b[0x0])?_0x21c86b[0x0]:parseInt(_0x21c86b[0x0],0xa),_0x43743c[_0x305cef(0x1234)][_0x305cef(0x19d3)]=_0x21c86b[_0x305cef(0x14cb)](0x1,_0x21c86b[_0x305cef(0xfd0)])[_0x305cef(0x1f66)](',');}break;case _0x305cef(0x155e):_0x43743c['noop'][_0x305cef(0x19d3)]=_0x43743c['noop'][_0x305cef(0x28df)];break;case'set':_0x43743c['noop']['name']=_0x43743c[_0x305cef(0x1234)]['appdata']['split']('=')[0x0],_0x43743c[_0x305cef(0x1234)][_0x305cef(0x327)]=_0x43743c[_0x305cef(0x1234)][_0x305cef(0x28df)][_0x305cef(0xbe1)]('=')[0x1];break;case _0x305cef(0x4b4):_0x43743c[_0x305cef(0x1234)][_0x305cef(0x12a7)]=_0x43743c[_0x305cef(0x1234)][_0x305cef(0x28df)];break;default:{const _0x4f65d1=_0x43743c[_0x305cef(0x1234)]['appdata'][_0x305cef(0xbe1)](',');_0x43743c[_0x305cef(0x1234)]['value']=_0x39641b()[_0x305cef(0xce9)](_0x4f65d1[0x0])?_0x4f65d1[0x0]:isNaN(_0x4f65d1[0x0])?_0x4f65d1[0x0]:parseInt(_0x4f65d1[0x0],0xa);}break;}else{}_0x43743c[_0x305cef(0x1234)]['type']&&_0x43743c[_0x305cef(0x1234)][_0x305cef(0x66a)][_0x305cef(0x1680)]()==='outbound'&&_0x43743c['noop'][_0x305cef(0x299b)][_0x305cef(0x1680)]()==='outbounddial'&&(_0x43743c[_0x305cef(0x1234)][_0x305cef(0x586)]=_0x43743c['noop'][_0x305cef(0x1340)]?_0x43743c[_0x305cef(0x1234)][_0x305cef(0x1340)]['split']('$')[0x0]:undefined,_0x43743c['noop']['callerId']=_0x43743c['noop']['callerID']?_0x305cef(0x25f9)+_0x43743c['noop']['callerID']:undefined);_0x43743c[_0x305cef(0xc3f)]=_0x3aee08,_0x43743c['closeDialog']=_0x27a353;function _0x3aee08(){const _0x53d9b5=_0x305cef;_0x43743c['errors']=[];const _0x79fb09=[];_0x43743c[_0x53d9b5(0x1234)][_0x53d9b5(0x66a)]&&_0x43743c[_0x53d9b5(0x1234)][_0x53d9b5(0x66a)]['toLowerCase']()===_0x53d9b5(0x895)&&_0x43743c[_0x53d9b5(0x1234)][_0x53d9b5(0x299b)]==='outboundDial'&&(_0x43743c['noop']['phone']=_0xec4bd1[_0x53d9b5(0x325)]?(_0x43743c[_0x53d9b5(0x1234)]['prefix']||'')+_0x53d9b5(0x19e4)+_0xec4bd1[_0x53d9b5(0x325)]+'}':(_0x43743c[_0x53d9b5(0x1234)][_0x53d9b5(0x586)]||'')+_0x53d9b5(0xcdd),_0xec4bd1[_0x53d9b5(0xf8d)]!=='none'?_0x43743c[_0x53d9b5(0x1234)]['options'][_0x53d9b5(0xd8a)](_0x53d9b5(0x106a))<0x0&&(_0x43743c['noop'][_0x53d9b5(0x2224)]+='U(xcally-mixmonitor-context)'):_0x43743c[_0x53d9b5(0x1234)][_0x53d9b5(0x2224)]=_0x43743c[_0x53d9b5(0x1234)]['options'][_0x53d9b5(0x288f)](_0x53d9b5(0x106a),''));if(_0x43743c['noop']['appType']&&_0x43743c[_0x53d9b5(0x1234)]['appType']===_0x53d9b5(0x197c)){}else switch((_0x43743c[_0x53d9b5(0x1234)][_0x53d9b5(0x22e1)]||_0x43743c[_0x53d9b5(0x1234)][_0x53d9b5(0x299b)])[_0x53d9b5(0x1680)]()){case'set':_0x43743c['noop'][_0x53d9b5(0x28df)]=_0x43743c[_0x53d9b5(0x1234)][_0x53d9b5(0x16b6)]+'='+_0x43743c[_0x53d9b5(0x1234)][_0x53d9b5(0x327)];break;case'custom':break;default:_0x79fb09[0x0]=_0x43743c[_0x53d9b5(0x1234)][_0x53d9b5(0x327)],_0x43743c['noop'][_0x53d9b5(0x28df)]=_0x79fb09['join'](',');}_0x27a353(_0x43743c[_0x53d9b5(0x1234)]);}function _0x27a353(_0x3665d3){_0x81d945['hide'](_0x3665d3);}}const _0x13c46c=_0x510214;;_0x137f39[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q','toasty',_0x5537c6(0x795),_0x5537c6(0x658),'api',_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x137f39(_0x3a0450,_0x445ecc,_0x4c561b,_0xaf153a,_0x5d63a7,_0x42e84b,_0x40c341,_0x10d8e0){const _0x4460ae=_0x5537c6,_0x32089a=this;_0x32089a[_0x4460ae(0xe76)]=_0x40c341[_0x4460ae(0x21e8)](),_0x32089a['errors']=[],_0x32089a['title']=_0x4460ae(0x1149)+(_0xaf153a[_0x4460ae(0x299b)]||_0xaf153a[_0x4460ae(0x22e1)])[_0x4460ae(0x2335)](),_0x32089a[_0x4460ae(0x11cf)]=angular[_0x4460ae(0x17fe)](_0xaf153a),_0x32089a[_0x4460ae(0x1b1a)]=_0x10d8e0,_0x32089a['hasModulePermissions']={};if(_0x32089a[_0x4460ae(0x11cf)][_0x4460ae(0x28df)])switch(_0x32089a[_0x4460ae(0x11cf)][_0x4460ae(0x299b)]?_0x32089a['queue'][_0x4460ae(0x299b)]['toLowerCase']():_0x32089a[_0x4460ae(0x11cf)][_0x4460ae(0x22e1)][_0x4460ae(0x1680)]()){case'custom':break;case _0x4460ae(0x711):{const _0x37512e=_0x32089a[_0x4460ae(0x11cf)][_0x4460ae(0x28df)][_0x4460ae(0xbe1)](',');_0x32089a['queue'][_0x4460ae(0xee8)]=_0x37512e[0x0],_0x32089a['queue']['language']=_0x37512e[0x1],_0x32089a['queue'][_0x4460ae(0x173a)]=_0x37512e[_0x4460ae(0x14cb)](0x2,_0x37512e['length'])[_0x4460ae(0x1f66)](',');}break;case _0x4460ae(0xece):{const _0x5bcf4f=_0x32089a['queue']['appdata'][_0x4460ae(0xbe1)](',');_0x32089a['queue'][_0x4460ae(0x2854)]=_0x5bcf4f[0x0],_0x32089a['queue'][_0x4460ae(0x12bf)]=_0x5bcf4f[0x1],_0x32089a[_0x4460ae(0x11cf)][_0x4460ae(0x29d6)]=_0x5bcf4f[0x2],_0x32089a['queue'][_0x4460ae(0x12b4)]=_0x5bcf4f[0x3],_0x32089a['queue']['welcomemessage']=_0x5bcf4f[_0x4460ae(0x14cb)](0x4,_0x5bcf4f['length'])[_0x4460ae(0x1f66)](',');}break;case _0x4460ae(0x123a):{const _0x1a0a6e=_0x32089a['queue'][_0x4460ae(0x28df)][_0x4460ae(0xbe1)](',');_0x32089a['queue'][_0x4460ae(0x413)]=_0x1a0a6e[0x0],_0x32089a[_0x4460ae(0x11cf)][_0x4460ae(0x21d9)]=_0x1a0a6e[0x1],_0x32089a[_0x4460ae(0x11cf)][_0x4460ae(0xd50)]=_0x1a0a6e[0x2],_0x32089a['queue'][_0x4460ae(0x1c7f)]=_0x1a0a6e[0x3],_0x32089a[_0x4460ae(0x11cf)]['welcomemessage']=_0x1a0a6e['slice'](0x4,_0x1a0a6e[_0x4460ae(0xfd0)])[_0x4460ae(0x1f66)](',');}break;case _0x4460ae(0x1f71):{const _0x771de3=_0x32089a[_0x4460ae(0x11cf)]['appdata'][_0x4460ae(0xbe1)](',');_0x32089a[_0x4460ae(0x11cf)][_0x4460ae(0x18a5)]=isNaN(_0x771de3[0x0])?_0x771de3[0x0]:parseInt(_0x771de3[0x0],0xa),_0x32089a[_0x4460ae(0x11cf)][_0x4460ae(0x19d3)]=_0x771de3[_0x4460ae(0x14cb)](0x1,_0x771de3[_0x4460ae(0xfd0)])[_0x4460ae(0x1f66)](',');}break;case _0x4460ae(0x155e):_0x32089a[_0x4460ae(0x11cf)][_0x4460ae(0x19d3)]=_0x32089a[_0x4460ae(0x11cf)][_0x4460ae(0x28df)];break;case'set':_0x32089a[_0x4460ae(0x11cf)][_0x4460ae(0x16b6)]=_0x32089a[_0x4460ae(0x11cf)][_0x4460ae(0x28df)][_0x4460ae(0xbe1)]('=')[0x0],_0x32089a['queue'][_0x4460ae(0x327)]=_0x32089a[_0x4460ae(0x11cf)][_0x4460ae(0x28df)][_0x4460ae(0xbe1)]('=')[0x1];break;case _0x4460ae(0x4b4):_0x32089a['queue'][_0x4460ae(0x12a7)]=_0x32089a['queue'][_0x4460ae(0x28df)];break;default:{const _0x3f65a6=_0x32089a[_0x4460ae(0x11cf)][_0x4460ae(0x28df)][_0x4460ae(0xbe1)](',');_0x32089a[_0x4460ae(0x11cf)][_0x4460ae(0x11cf)]=_0x39641b()[_0x4460ae(0xce9)](_0x3f65a6[0x0])?_0x3f65a6[0x0]:isNaN(_0x3f65a6[0x0])?_0x3f65a6[0x0]:parseInt(_0x3f65a6[0x0],0xa),_0x32089a[_0x4460ae(0x11cf)][_0x4460ae(0x1719)]=_0x39641b()[_0x4460ae(0xce9)](_0x3f65a6[0x1])?_0x3f65a6[0x1]:isNaN(_0x3f65a6[0x1])?_0x3f65a6[0x1]:parseInt(_0x3f65a6[0x1],0xa);}break;}else _0x32089a['queue'][_0x4460ae(0x11cf)]=0x12c,_0x32089a[_0x4460ae(0x11cf)][_0x4460ae(0x1719)]=0x12c;_0x32089a['queue'][_0x4460ae(0x66a)]&&_0x32089a[_0x4460ae(0x11cf)]['type'][_0x4460ae(0x1680)]()===_0x4460ae(0x895)&&_0x32089a[_0x4460ae(0x11cf)][_0x4460ae(0x299b)][_0x4460ae(0x1680)]()===_0x4460ae(0x20ff)&&(_0x32089a[_0x4460ae(0x11cf)][_0x4460ae(0x586)]=_0x32089a['queue'][_0x4460ae(0x1340)]?_0x32089a[_0x4460ae(0x11cf)][_0x4460ae(0x1340)][_0x4460ae(0xbe1)]('$')[0x0]:undefined,_0x32089a[_0x4460ae(0x11cf)][_0x4460ae(0x1e7c)]=_0x32089a[_0x4460ae(0x11cf)]['callerID']?'CALLERID(all)='+_0x32089a[_0x4460ae(0x11cf)][_0x4460ae(0x2816)]:undefined);_0x32089a[_0x4460ae(0xc3f)]=_0x31ddac,_0x32089a[_0x4460ae(0xda0)]=_0x42cf75,_0x40c341['hasRole']('admin')?_0x42e84b['smsQueue'][_0x4460ae(0xbf7)]({'fields':_0x4460ae(0x1896),'sort':_0x4460ae(0x16b6),'nolimit':_0x4460ae(0x44d)})[_0x4460ae(0x1d77)]['then'](function(_0x5d4ada){const _0x2b3a85=_0x4460ae;_0x32089a[_0x2b3a85(0x971)]=_0x5d4ada[_0x2b3a85(0x2214)]||[];})[_0x4460ae(0x1c4)](function(_0x3e1cb3){const _0xf1c944=_0x4460ae;_0x4c561b[_0xf1c944(0x218e)]({'title':_0x3e1cb3[_0xf1c944(0x291)]?_0xf1c944(0xeb9)+_0x3e1cb3[_0xf1c944(0x291)]+'\x20-\x20'+_0x3e1cb3[_0xf1c944(0xc22)]:'SYSTEM:GET_QUEUES','msg':_0x3e1cb3[_0xf1c944(0x25c)]?JSON[_0xf1c944(0x2701)](_0x3e1cb3['data']):_0x3e1cb3[_0xf1c944(0x147f)]()});}):_0x42e84b[_0x4460ae(0x62e)]['get']({'fields':_0x4460ae(0x1896),'sort':_0x4460ae(0x16b6),'nolimit':_0x4460ae(0x44d)})['$promise'][_0x4460ae(0x1cb0)](function(_0xc681eb){const _0x29464b=_0x4460ae;_0x32089a[_0x29464b(0x971)]=_0xc681eb[_0x29464b(0x2214)]||[];})[_0x4460ae(0x1cb0)](function(){const _0x15c415=_0x4460ae;return _0x42e84b['userProfileSection'][_0x15c415(0xbf7)]({'userProfileId':_0x32089a[_0x15c415(0xe76)][_0x15c415(0x13c1)],'sectionId':0x2bd})[_0x15c415(0x1d77)];})[_0x4460ae(0x1cb0)](function(_0x34dfd6){const _0x536b37=_0x4460ae,_0x125d06=_0x34dfd6&&_0x34dfd6['rows']?_0x34dfd6[_0x536b37(0x2214)][0x0]:null;if(!_0x125d06){const _0x27ec95=[];let _0x10ba5d=null;_0x32089a[_0x536b37(0x11cf)]&&(_0x10ba5d=_0x39641b()['find'](_0x32089a[_0x536b37(0x971)],{'name':_0x32089a[_0x536b37(0x11cf)][_0x536b37(0x11cf)]}));for(let _0x2f5549=0x0;_0x2f5549<_0x32089a[_0x536b37(0x971)]['length'];_0x2f5549++){_0x10ba5d&&_0x32089a['queues'][_0x2f5549]['id']===_0x10ba5d['id']&&(_0x32089a[_0x536b37(0x971)][_0x2f5549][_0x536b37(0x15da)]=![],_0x27ec95[_0x536b37(0x2785)](_0x32089a['queues'][_0x2f5549]));}_0x32089a[_0x536b37(0x971)]=_0x27ec95;}else{if(!_0x125d06[_0x536b37(0x12f4)])return _0x42e84b['userProfileResource'][_0x536b37(0xbf7)]({'sectionId':_0x125d06['id']})['$promise'][_0x536b37(0x1cb0)](function(_0x396787){const _0x4f5a43=_0x536b37,_0x30bca1=_0x39641b()[_0x4f5a43(0x1de2)](_0x396787['rows'],function(_0x32cd03){const _0x400d8c=_0x4f5a43;return _0x39641b()['find'](_0x32089a[_0x400d8c(0x971)],{'id':_0x32cd03[_0x400d8c(0x2982)]});});let _0x3d204b=null;_0x32089a['queue']&&(_0x3d204b=_0x39641b()[_0x4f5a43(0x13b4)](_0x32089a['queues'],{'name':_0x32089a[_0x4f5a43(0x11cf)][_0x4f5a43(0x11cf)]}));if(_0x3d204b&&!_0x39641b()['some'](_0x30bca1,['id',_0x3d204b['id']])){const _0x4d7dea=_0x39641b()[_0x4f5a43(0x13b4)](_0x32089a[_0x4f5a43(0x971)],{'id':_0x3d204b['id']});_0x4d7dea[_0x4f5a43(0x15da)]=![],_0x30bca1[_0x4f5a43(0x2785)](_0x4d7dea);}_0x32089a[_0x4f5a43(0x971)]=_0x30bca1;});}})['catch'](function(_0x1efcde){const _0x1731a8=_0x4460ae;_0x4c561b[_0x1731a8(0x218e)]({'title':_0x1efcde[_0x1731a8(0x291)]?_0x1731a8(0xeb9)+_0x1efcde[_0x1731a8(0x291)]+'\x20-\x20'+_0x1efcde['statusText']:'SYSTEM:GETqueues','msg':_0x1efcde['data']?JSON[_0x1731a8(0x2701)](_0x1efcde['data']):_0x1efcde['toString']()});});function _0x31ddac(){const _0x7d15ab=_0x4460ae;_0x32089a[_0x7d15ab(0x1a7c)]=[];const _0x45c7eb=[];_0x32089a[_0x7d15ab(0x11cf)]['type']&&_0x32089a[_0x7d15ab(0x11cf)]['type'][_0x7d15ab(0x1680)]()===_0x7d15ab(0x895)&&_0x32089a[_0x7d15ab(0x11cf)][_0x7d15ab(0x299b)]===_0x7d15ab(0x25f4)&&(_0x32089a['queue'][_0x7d15ab(0x1340)]=_0x5d63a7[_0x7d15ab(0x325)]?(_0x32089a['queue'][_0x7d15ab(0x586)]||'')+'${EXTEN:'+_0x5d63a7[_0x7d15ab(0x325)]+'}':(_0x32089a[_0x7d15ab(0x11cf)][_0x7d15ab(0x586)]||'')+_0x7d15ab(0xcdd),_0x5d63a7[_0x7d15ab(0xf8d)]!==_0x7d15ab(0x13b1)?_0x32089a[_0x7d15ab(0x11cf)]['options']['indexOf']('U(xcally-mixmonitor-context)')<0x0&&(_0x32089a[_0x7d15ab(0x11cf)][_0x7d15ab(0x2224)]+=_0x7d15ab(0x106a)):_0x32089a[_0x7d15ab(0x11cf)][_0x7d15ab(0x2224)]=_0x32089a[_0x7d15ab(0x11cf)][_0x7d15ab(0x2224)][_0x7d15ab(0x288f)](_0x7d15ab(0x106a),''));const _0xf857ed=_0x39641b()['find'](_0x32089a[_0x7d15ab(0x971)],{'name':_0x32089a[_0x7d15ab(0x11cf)]['queue']});_0xf857ed&&(_0x32089a[_0x7d15ab(0x11cf)][_0x39641b()[_0x7d15ab(0x432)](_0x7d15ab(0x689))+_0x7d15ab(0x14d1)]=_0xf857ed['id']);if(_0x32089a['queue'][_0x7d15ab(0x299b)]&&_0x32089a[_0x7d15ab(0x11cf)][_0x7d15ab(0x299b)]===_0x7d15ab(0x197c)){}else switch((_0x32089a[_0x7d15ab(0x11cf)][_0x7d15ab(0x22e1)]||_0x32089a['queue']['appType'])[_0x7d15ab(0x1680)]()){case _0x7d15ab(0x19d1):_0x32089a[_0x7d15ab(0x11cf)][_0x7d15ab(0x28df)]=_0x32089a[_0x7d15ab(0x11cf)]['name']+'='+_0x32089a[_0x7d15ab(0x11cf)]['value'];break;case _0x7d15ab(0x197c):break;default:_0x45c7eb[0x0]=_0x32089a[_0x7d15ab(0x11cf)][_0x7d15ab(0x11cf)],_0x45c7eb[0x1]=_0x32089a[_0x7d15ab(0x11cf)][_0x7d15ab(0x1719)],_0x32089a[_0x7d15ab(0x11cf)]['appdata']=_0x45c7eb['join'](',');}_0x42cf75(_0x32089a[_0x7d15ab(0x11cf)]);}function _0x42cf75(_0xe93488){const _0x3d511e=_0x4460ae;_0x3a0450[_0x3d511e(0x1426)](_0xe93488);}}const _0x2a1d9e=_0x137f39;;_0x3d2483['$inject']=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x795),_0x5537c6(0x658),_0x5537c6(0x142b),'Auth',_0x5537c6(0x1b1a)];function _0x3d2483(_0x371823,_0x3d8618,_0x11dd66,_0x1df2d5,_0x3233ee,_0x20ebf1,_0x472da1,_0x131093){const _0x4d4258=_0x5537c6,_0x9f61d4=this;_0x9f61d4[_0x4d4258(0xe76)]=_0x472da1['getCurrentUser'](),_0x9f61d4[_0x4d4258(0x1a7c)]=[],_0x9f61d4['title']=_0x4d4258(0x1149)+(_0x1df2d5[_0x4d4258(0x299b)]||_0x1df2d5['app'])[_0x4d4258(0x2335)](),_0x9f61d4[_0x4d4258(0x7be)]=angular[_0x4d4258(0x17fe)](_0x1df2d5),_0x9f61d4[_0x4d4258(0x1b1a)]=_0x131093,_0x9f61d4[_0x4d4258(0xf4c)]={};if(_0x9f61d4[_0x4d4258(0x7be)][_0x4d4258(0x28df)])switch(_0x9f61d4['system']['appType']?_0x9f61d4[_0x4d4258(0x7be)][_0x4d4258(0x299b)]['toLowerCase']():_0x9f61d4['system'][_0x4d4258(0x22e1)][_0x4d4258(0x1680)]()){case _0x4d4258(0x197c):break;case _0x4d4258(0x711):{const _0x3c4854=_0x9f61d4[_0x4d4258(0x7be)][_0x4d4258(0x28df)]['split'](',');_0x9f61d4[_0x4d4258(0x7be)][_0x4d4258(0xee8)]=_0x3c4854[0x0],_0x9f61d4[_0x4d4258(0x7be)][_0x4d4258(0x12b4)]=_0x3c4854[0x1],_0x9f61d4['system'][_0x4d4258(0x173a)]=_0x3c4854[_0x4d4258(0x14cb)](0x2,_0x3c4854['length'])['join'](',');}break;case _0x4d4258(0xece):{const _0x32cd7d=_0x9f61d4[_0x4d4258(0x7be)][_0x4d4258(0x28df)][_0x4d4258(0xbe1)](',');_0x9f61d4['system'][_0x4d4258(0x2854)]=_0x32cd7d[0x0],_0x9f61d4[_0x4d4258(0x7be)][_0x4d4258(0x12bf)]=_0x32cd7d[0x1],_0x9f61d4[_0x4d4258(0x7be)][_0x4d4258(0x29d6)]=_0x32cd7d[0x2],_0x9f61d4[_0x4d4258(0x7be)][_0x4d4258(0x12b4)]=_0x32cd7d[0x3],_0x9f61d4['system'][_0x4d4258(0x173a)]=_0x32cd7d['slice'](0x4,_0x32cd7d[_0x4d4258(0xfd0)])[_0x4d4258(0x1f66)](',');}break;case _0x4d4258(0x123a):{const _0x2810b6=_0x9f61d4[_0x4d4258(0x7be)][_0x4d4258(0x28df)][_0x4d4258(0xbe1)](',');_0x9f61d4[_0x4d4258(0x7be)][_0x4d4258(0x413)]=_0x2810b6[0x0],_0x9f61d4['system'][_0x4d4258(0x21d9)]=_0x2810b6[0x1],_0x9f61d4[_0x4d4258(0x7be)][_0x4d4258(0xd50)]=_0x2810b6[0x2],_0x9f61d4['system'][_0x4d4258(0x1c7f)]=_0x2810b6[0x3],_0x9f61d4[_0x4d4258(0x7be)][_0x4d4258(0x173a)]=_0x2810b6[_0x4d4258(0x14cb)](0x4,_0x2810b6[_0x4d4258(0xfd0)])[_0x4d4258(0x1f66)](',');}break;case _0x4d4258(0x1f71):{const _0xffed7b=_0x9f61d4[_0x4d4258(0x7be)][_0x4d4258(0x28df)][_0x4d4258(0xbe1)](',');_0x9f61d4[_0x4d4258(0x7be)][_0x4d4258(0x18a5)]=isNaN(_0xffed7b[0x0])?_0xffed7b[0x0]:parseInt(_0xffed7b[0x0],0xa),_0x9f61d4[_0x4d4258(0x7be)]['text']=_0xffed7b[_0x4d4258(0x14cb)](0x1,_0xffed7b[_0x4d4258(0xfd0)])['join'](',');}break;case'message':_0x9f61d4[_0x4d4258(0x7be)][_0x4d4258(0x19d3)]=_0x9f61d4[_0x4d4258(0x7be)]['appdata'];break;case _0x4d4258(0x19d1):_0x9f61d4[_0x4d4258(0x7be)][_0x4d4258(0x16b6)]=_0x9f61d4['system']['appdata'][_0x4d4258(0xbe1)]('=')[0x0],_0x9f61d4[_0x4d4258(0x7be)][_0x4d4258(0x327)]=_0x9f61d4[_0x4d4258(0x7be)][_0x4d4258(0x28df)][_0x4d4258(0xbe1)]('=')[0x1];break;case'agi':_0x9f61d4['system'][_0x4d4258(0x12a7)]=_0x9f61d4[_0x4d4258(0x7be)][_0x4d4258(0x28df)];break;default:{const _0x1d3320=_0x9f61d4[_0x4d4258(0x7be)][_0x4d4258(0x28df)][_0x4d4258(0xbe1)](',');_0x9f61d4[_0x4d4258(0x7be)][_0x4d4258(0xd0d)]=_0x39641b()[_0x4d4258(0xce9)](_0x1d3320[0x0])?_0x1d3320[0x0]:isNaN(_0x1d3320[0x0])?_0x1d3320[0x0]:parseInt(_0x1d3320[0x0],0xa),_0x9f61d4[_0x4d4258(0x7be)][_0x4d4258(0x1822)]=_0x39641b()['isEmpty'](_0x1d3320[0x1])?_0x1d3320[0x1]:isNaN(_0x1d3320[0x1])?_0x1d3320[0x1]:parseInt(_0x1d3320[0x1],0xa);}break;}else _0x9f61d4['system']['variable']='';_0x9f61d4['system']['type']&&_0x9f61d4[_0x4d4258(0x7be)][_0x4d4258(0x66a)]['toLowerCase']()===_0x4d4258(0x895)&&_0x9f61d4['system'][_0x4d4258(0x299b)][_0x4d4258(0x1680)]()===_0x4d4258(0x20ff)&&(_0x9f61d4[_0x4d4258(0x7be)][_0x4d4258(0x586)]=_0x9f61d4[_0x4d4258(0x7be)][_0x4d4258(0x1340)]?_0x9f61d4['system'][_0x4d4258(0x1340)][_0x4d4258(0xbe1)]('$')[0x0]:undefined,_0x9f61d4[_0x4d4258(0x7be)][_0x4d4258(0x1e7c)]=_0x9f61d4[_0x4d4258(0x7be)]['callerID']?'CALLERID(all)='+_0x9f61d4['system'][_0x4d4258(0x2816)]:undefined);_0x9f61d4['saveSmsAccountApp']=_0x26102e,_0x9f61d4[_0x4d4258(0xda0)]=_0x2580b7,_0x472da1[_0x4d4258(0x22b6)](_0x4d4258(0x1c60))?_0x20ebf1[_0x4d4258(0x1822)][_0x4d4258(0xbf7)]({'fields':_0x4d4258(0x43c),'sort':_0x4d4258(0x16b6),'nolimit':_0x4d4258(0x44d)})[_0x4d4258(0x1d77)][_0x4d4258(0x1cb0)](function(_0x472f3e){const _0x264aae=_0x4d4258;_0x9f61d4[_0x264aae(0x9a9)]=_0x472f3e['rows']||[];})[_0x4d4258(0x1c4)](function(_0xa1e32f){const _0x8adb06=_0x4d4258;_0x11dd66[_0x8adb06(0x218e)]({'title':_0xa1e32f[_0x8adb06(0x291)]?_0x8adb06(0xeb9)+_0xa1e32f[_0x8adb06(0x291)]+_0x8adb06(0x1657)+_0xa1e32f[_0x8adb06(0xc22)]:'SYSTEM:GET_VARIABLES','msg':_0xa1e32f[_0x8adb06(0x25c)]?JSON[_0x8adb06(0x2701)](_0xa1e32f['data']):_0xa1e32f[_0x8adb06(0x147f)]()});}):_0x20ebf1['variable'][_0x4d4258(0xbf7)]({'fields':_0x4d4258(0x43c),'sort':_0x4d4258(0x16b6),'nolimit':_0x4d4258(0x44d)})[_0x4d4258(0x1d77)][_0x4d4258(0x1cb0)](function(_0x224493){const _0x36a6cd=_0x4d4258;_0x9f61d4[_0x36a6cd(0x9a9)]=_0x224493[_0x36a6cd(0x2214)]||[];})['then'](function(){const _0x4ad426=_0x4d4258;return _0x20ebf1['userProfileSection'][_0x4ad426(0xbf7)]({'userProfileId':_0x9f61d4[_0x4ad426(0xe76)][_0x4ad426(0x13c1)],'sectionId':0x3f4})['$promise'];})['then'](function(_0x14304a){const _0x8d437f=_0x4d4258,_0x207fdf=_0x14304a&&_0x14304a[_0x8d437f(0x2214)]?_0x14304a[_0x8d437f(0x2214)][0x0]:null;if(!_0x207fdf){const _0x34682b=[];let _0x4191ff=null;_0x9f61d4[_0x8d437f(0x7be)]&&(_0x4191ff=_0x39641b()[_0x8d437f(0x13b4)](_0x9f61d4['variables'],{'name':_0x9f61d4[_0x8d437f(0x7be)][_0x8d437f(0x1822)]}));for(let _0x18a7fb=0x0;_0x18a7fb<_0x9f61d4[_0x8d437f(0x9a9)][_0x8d437f(0xfd0)];_0x18a7fb++){_0x4191ff&&_0x9f61d4[_0x8d437f(0x9a9)][_0x18a7fb]['id']===_0x4191ff['id']&&(_0x9f61d4[_0x8d437f(0x9a9)][_0x18a7fb][_0x8d437f(0x15da)]=![],_0x34682b[_0x8d437f(0x2785)](_0x9f61d4[_0x8d437f(0x9a9)][_0x18a7fb]));}_0x9f61d4['variables']=_0x34682b;}else{if(!_0x207fdf[_0x8d437f(0x12f4)])return _0x20ebf1[_0x8d437f(0x1198)][_0x8d437f(0xbf7)]({'sectionId':_0x207fdf['id']})['$promise']['then'](function(_0x482b9c){const _0x5e9d42=_0x8d437f,_0x13a198=_0x39641b()[_0x5e9d42(0x1de2)](_0x482b9c[_0x5e9d42(0x2214)],function(_0x13574d){const _0x4e7ce7=_0x5e9d42;return _0x39641b()['find'](_0x9f61d4[_0x4e7ce7(0x9a9)],{'id':_0x13574d[_0x4e7ce7(0x2982)]});});let _0x4b958c=null;_0x9f61d4[_0x5e9d42(0x7be)]&&(_0x4b958c=_0x39641b()[_0x5e9d42(0x13b4)](_0x9f61d4['variables'],{'name':_0x9f61d4['system'][_0x5e9d42(0x1822)]}));if(_0x4b958c&&!_0x39641b()[_0x5e9d42(0x727)](_0x13a198,['id',_0x4b958c['id']])){const _0x4bbee6=_0x39641b()[_0x5e9d42(0x13b4)](_0x9f61d4[_0x5e9d42(0x9a9)],{'id':_0x4b958c['id']});_0x4bbee6[_0x5e9d42(0x15da)]=![],_0x13a198[_0x5e9d42(0x2785)](_0x4bbee6);}_0x9f61d4[_0x5e9d42(0x9a9)]=_0x13a198;});}})[_0x4d4258(0x1c4)](function(_0x2abf6a){const _0xbb4d38=_0x4d4258;_0x11dd66[_0xbb4d38(0x218e)]({'title':_0x2abf6a[_0xbb4d38(0x291)]?_0xbb4d38(0xeb9)+_0x2abf6a[_0xbb4d38(0x291)]+_0xbb4d38(0x1657)+_0x2abf6a[_0xbb4d38(0xc22)]:'SYSTEM:GETvariables','msg':_0x2abf6a['data']?JSON['stringify'](_0x2abf6a[_0xbb4d38(0x25c)]):_0x2abf6a[_0xbb4d38(0x147f)]()});});function _0x26102e(){const _0x30fc51=_0x4d4258;_0x9f61d4[_0x30fc51(0x1a7c)]=[];const _0x373c48=[];_0x9f61d4[_0x30fc51(0x7be)]['type']&&_0x9f61d4[_0x30fc51(0x7be)][_0x30fc51(0x66a)][_0x30fc51(0x1680)]()==='outbound'&&_0x9f61d4[_0x30fc51(0x7be)][_0x30fc51(0x299b)]===_0x30fc51(0x25f4)&&(_0x9f61d4[_0x30fc51(0x7be)][_0x30fc51(0x1340)]=_0x3233ee[_0x30fc51(0x325)]?(_0x9f61d4[_0x30fc51(0x7be)][_0x30fc51(0x586)]||'')+_0x30fc51(0x19e4)+_0x3233ee['cutdigits']+'}':(_0x9f61d4[_0x30fc51(0x7be)][_0x30fc51(0x586)]||'')+_0x30fc51(0xcdd),_0x3233ee[_0x30fc51(0xf8d)]!=='none'?_0x9f61d4[_0x30fc51(0x7be)]['options'][_0x30fc51(0xd8a)](_0x30fc51(0x106a))<0x0&&(_0x9f61d4[_0x30fc51(0x7be)][_0x30fc51(0x2224)]+=_0x30fc51(0x106a)):_0x9f61d4[_0x30fc51(0x7be)][_0x30fc51(0x2224)]=_0x9f61d4['system'][_0x30fc51(0x2224)][_0x30fc51(0x288f)](_0x30fc51(0x106a),''));if(_0x9f61d4[_0x30fc51(0x7be)][_0x30fc51(0x299b)]&&_0x9f61d4['system'][_0x30fc51(0x299b)]==='custom'){}else switch((_0x9f61d4['system'][_0x30fc51(0x22e1)]||_0x9f61d4[_0x30fc51(0x7be)][_0x30fc51(0x299b)])['toLowerCase']()){case _0x30fc51(0x19d1):_0x9f61d4[_0x30fc51(0x7be)][_0x30fc51(0x28df)]=_0x9f61d4[_0x30fc51(0x7be)][_0x30fc51(0x16b6)]+'='+_0x9f61d4['system']['value'];break;case _0x30fc51(0x197c):break;default:_0x373c48[0x0]=_0x9f61d4[_0x30fc51(0x7be)][_0x30fc51(0xd0d)],_0x373c48[0x1]=_0x9f61d4[_0x30fc51(0x7be)][_0x30fc51(0x1822)],_0x9f61d4[_0x30fc51(0x7be)][_0x30fc51(0x28df)]=_0x373c48[_0x30fc51(0x1f66)](',');}_0x2580b7(_0x9f61d4[_0x30fc51(0x7be)]);}function _0x2580b7(_0x3d07b9){const _0x737c28=_0x4d4258;_0x371823[_0x737c28(0x1426)](_0x3d07b9);}}const _0x1a50e=_0x3d2483;;_0x19d01f[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q','toasty',_0x5537c6(0x795),_0x5537c6(0x658),_0x5537c6(0x142b),'Auth',_0x5537c6(0x1b1a)];function _0x19d01f(_0x595f72,_0x18ea6a,_0x498cbe,_0x293f68,_0xa06445,_0x4c9fcd,_0x3b2c07,_0x121786){const _0x3e262d=_0x5537c6,_0x37532=this;_0x37532[_0x3e262d(0xe76)]=_0x3b2c07[_0x3e262d(0x21e8)](),_0x37532[_0x3e262d(0x1a7c)]=[],_0x37532['title']='SMS.EDIT_'+(_0x293f68['appType']||_0x293f68[_0x3e262d(0x22e1)])[_0x3e262d(0x2335)](),_0x37532[_0x3e262d(0x22b1)]=angular['copy'](_0x293f68),_0x37532[_0x3e262d(0x1b1a)]=_0x121786,_0x37532[_0x3e262d(0xf4c)]={};if(_0x37532[_0x3e262d(0x22b1)][_0x3e262d(0x28df)])switch(_0x37532[_0x3e262d(0x22b1)]['appType']?_0x37532[_0x3e262d(0x22b1)][_0x3e262d(0x299b)]['toLowerCase']():_0x37532[_0x3e262d(0x22b1)]['app'][_0x3e262d(0x1680)]()){case _0x3e262d(0x197c):break;case _0x3e262d(0x711):{const _0x15bd05=_0x37532['tag'][_0x3e262d(0x28df)][_0x3e262d(0xbe1)](',');_0x37532[_0x3e262d(0x22b1)][_0x3e262d(0xee8)]=_0x15bd05[0x0],_0x37532['tag'][_0x3e262d(0x12b4)]=_0x15bd05[0x1],_0x37532[_0x3e262d(0x22b1)][_0x3e262d(0x173a)]=_0x15bd05[_0x3e262d(0x14cb)](0x2,_0x15bd05[_0x3e262d(0xfd0)])[_0x3e262d(0x1f66)](',');}break;case _0x3e262d(0xece):{const _0x147916=_0x37532[_0x3e262d(0x22b1)][_0x3e262d(0x28df)]['split'](',');_0x37532[_0x3e262d(0x22b1)][_0x3e262d(0x2854)]=_0x147916[0x0],_0x37532[_0x3e262d(0x22b1)][_0x3e262d(0x12bf)]=_0x147916[0x1],_0x37532[_0x3e262d(0x22b1)]['privateKey']=_0x147916[0x2],_0x37532[_0x3e262d(0x22b1)]['language']=_0x147916[0x3],_0x37532[_0x3e262d(0x22b1)][_0x3e262d(0x173a)]=_0x147916['slice'](0x4,_0x147916['length'])['join'](',');}break;case _0x3e262d(0x123a):{const _0x4042cc=_0x37532[_0x3e262d(0x22b1)][_0x3e262d(0x28df)]['split'](',');_0x37532['tag'][_0x3e262d(0x413)]=_0x4042cc[0x0],_0x37532[_0x3e262d(0x22b1)][_0x3e262d(0x21d9)]=_0x4042cc[0x1],_0x37532[_0x3e262d(0x22b1)][_0x3e262d(0xd50)]=_0x4042cc[0x2],_0x37532[_0x3e262d(0x22b1)][_0x3e262d(0x1c7f)]=_0x4042cc[0x3],_0x37532['tag'][_0x3e262d(0x173a)]=_0x4042cc[_0x3e262d(0x14cb)](0x4,_0x4042cc['length'])[_0x3e262d(0x1f66)](',');}break;case _0x3e262d(0x1f71):{const _0x1f4ace=_0x37532[_0x3e262d(0x22b1)][_0x3e262d(0x28df)]['split'](',');_0x37532[_0x3e262d(0x22b1)][_0x3e262d(0x18a5)]=isNaN(_0x1f4ace[0x0])?_0x1f4ace[0x0]:parseInt(_0x1f4ace[0x0],0xa),_0x37532[_0x3e262d(0x22b1)][_0x3e262d(0x19d3)]=_0x1f4ace['slice'](0x1,_0x1f4ace['length'])[_0x3e262d(0x1f66)](',');}break;case _0x3e262d(0x155e):_0x37532[_0x3e262d(0x22b1)][_0x3e262d(0x19d3)]=_0x37532['tag'][_0x3e262d(0x28df)];break;case'set':_0x37532['tag'][_0x3e262d(0x16b6)]=_0x37532['tag'][_0x3e262d(0x28df)][_0x3e262d(0xbe1)]('=')[0x0],_0x37532[_0x3e262d(0x22b1)][_0x3e262d(0x327)]=_0x37532[_0x3e262d(0x22b1)][_0x3e262d(0x28df)]['split']('=')[0x1];break;case _0x3e262d(0x4b4):_0x37532['tag']['project']=_0x37532[_0x3e262d(0x22b1)]['appdata'];break;default:{const _0x9f564d=_0x37532[_0x3e262d(0x22b1)]['appdata']['split'](',');_0x37532[_0x3e262d(0x22b1)][_0x3e262d(0x22b1)]=_0x39641b()[_0x3e262d(0xce9)](_0x9f564d[0x0])?_0x9f564d[0x0]:isNaN(_0x9f564d[0x0])?_0x9f564d[0x0]:parseInt(_0x9f564d[0x0],0xa);}break;}else{}_0x37532[_0x3e262d(0x22b1)][_0x3e262d(0x66a)]&&_0x37532[_0x3e262d(0x22b1)][_0x3e262d(0x66a)][_0x3e262d(0x1680)]()==='outbound'&&_0x37532['tag'][_0x3e262d(0x299b)][_0x3e262d(0x1680)]()==='outbounddial'&&(_0x37532[_0x3e262d(0x22b1)][_0x3e262d(0x586)]=_0x37532[_0x3e262d(0x22b1)][_0x3e262d(0x1340)]?_0x37532[_0x3e262d(0x22b1)]['phone'][_0x3e262d(0xbe1)]('$')[0x0]:undefined,_0x37532[_0x3e262d(0x22b1)][_0x3e262d(0x1e7c)]=_0x37532[_0x3e262d(0x22b1)][_0x3e262d(0x2816)]?_0x3e262d(0x25f9)+_0x37532[_0x3e262d(0x22b1)][_0x3e262d(0x2816)]:undefined);_0x37532[_0x3e262d(0xc3f)]=_0x5e8842,_0x37532[_0x3e262d(0xda0)]=_0x314c0e,_0x3b2c07[_0x3e262d(0x22b6)](_0x3e262d(0x1c60))?_0x4c9fcd[_0x3e262d(0x22b1)][_0x3e262d(0xbf7)]({'fields':_0x3e262d(0x43c),'sort':'name','nolimit':'true'})['$promise'][_0x3e262d(0x1cb0)](function(_0x389eaa){const _0x57654c=_0x3e262d;_0x37532['tags']=_0x389eaa[_0x57654c(0x2214)]||[];})[_0x3e262d(0x1c4)](function(_0x42c4c5){const _0x4acb82=_0x3e262d;_0x498cbe['error']({'title':_0x42c4c5[_0x4acb82(0x291)]?'API:'+_0x42c4c5[_0x4acb82(0x291)]+_0x4acb82(0x1657)+_0x42c4c5[_0x4acb82(0xc22)]:_0x4acb82(0x12f9),'msg':_0x42c4c5['data']?JSON['stringify'](_0x42c4c5[_0x4acb82(0x25c)]):_0x42c4c5['toString']()});}):_0x4c9fcd[_0x3e262d(0x22b1)][_0x3e262d(0xbf7)]({'fields':_0x3e262d(0x43c),'sort':'name','nolimit':_0x3e262d(0x44d)})[_0x3e262d(0x1d77)][_0x3e262d(0x1cb0)](function(_0x2c5cec){const _0xa44c8a=_0x3e262d;_0x37532['tags']=_0x2c5cec[_0xa44c8a(0x2214)]||[];})[_0x3e262d(0x1cb0)](function(){const _0x1771bc=_0x3e262d;return _0x4c9fcd[_0x1771bc(0x2199)][_0x1771bc(0xbf7)]({'userProfileId':_0x37532[_0x1771bc(0xe76)][_0x1771bc(0x13c1)],'sectionId':0x3f0})[_0x1771bc(0x1d77)];})[_0x3e262d(0x1cb0)](function(_0x986fde){const _0x2c4697=_0x3e262d,_0x595a23=_0x986fde&&_0x986fde[_0x2c4697(0x2214)]?_0x986fde[_0x2c4697(0x2214)][0x0]:null;if(!_0x595a23){const _0x19852d=[];let _0x420d40=null;_0x37532['tag']&&(_0x420d40=_0x39641b()[_0x2c4697(0x13b4)](_0x37532[_0x2c4697(0xfbf)],{'name':_0x37532[_0x2c4697(0x22b1)][_0x2c4697(0x22b1)]}));for(let _0x456389=0x0;_0x456389<_0x37532[_0x2c4697(0xfbf)][_0x2c4697(0xfd0)];_0x456389++){_0x420d40&&_0x37532[_0x2c4697(0xfbf)][_0x456389]['id']===_0x420d40['id']&&(_0x37532[_0x2c4697(0xfbf)][_0x456389]['canSelect']=![],_0x19852d[_0x2c4697(0x2785)](_0x37532[_0x2c4697(0xfbf)][_0x456389]));}_0x37532['tags']=_0x19852d;}else{if(!_0x595a23[_0x2c4697(0x12f4)])return _0x4c9fcd[_0x2c4697(0x1198)][_0x2c4697(0xbf7)]({'sectionId':_0x595a23['id']})[_0x2c4697(0x1d77)][_0x2c4697(0x1cb0)](function(_0x30259a){const _0x468ea7=_0x2c4697,_0xef886=_0x39641b()[_0x468ea7(0x1de2)](_0x30259a['rows'],function(_0x5b5cfa){const _0x331c79=_0x468ea7;return _0x39641b()[_0x331c79(0x13b4)](_0x37532[_0x331c79(0xfbf)],{'id':_0x5b5cfa[_0x331c79(0x2982)]});});let _0xc4eb67=null;_0x37532['tag']&&(_0xc4eb67=_0x39641b()[_0x468ea7(0x13b4)](_0x37532[_0x468ea7(0xfbf)],{'name':_0x37532[_0x468ea7(0x22b1)][_0x468ea7(0x22b1)]}));if(_0xc4eb67&&!_0x39641b()[_0x468ea7(0x727)](_0xef886,['id',_0xc4eb67['id']])){const _0x7daeda=_0x39641b()[_0x468ea7(0x13b4)](_0x37532[_0x468ea7(0xfbf)],{'id':_0xc4eb67['id']});_0x7daeda[_0x468ea7(0x15da)]=![],_0xef886[_0x468ea7(0x2785)](_0x7daeda);}_0x37532['tags']=_0xef886;});}})[_0x3e262d(0x1c4)](function(_0x446f3c){const _0x2f911c=_0x3e262d;_0x498cbe[_0x2f911c(0x218e)]({'title':_0x446f3c[_0x2f911c(0x291)]?_0x2f911c(0xeb9)+_0x446f3c[_0x2f911c(0x291)]+'\x20-\x20'+_0x446f3c[_0x2f911c(0xc22)]:_0x2f911c(0x808),'msg':_0x446f3c['data']?JSON[_0x2f911c(0x2701)](_0x446f3c[_0x2f911c(0x25c)]):_0x446f3c['toString']()});});function _0x5e8842(){const _0x799bb1=_0x3e262d;_0x37532['errors']=[];const _0xa84198=[];_0x37532[_0x799bb1(0x22b1)][_0x799bb1(0x66a)]&&_0x37532[_0x799bb1(0x22b1)][_0x799bb1(0x66a)][_0x799bb1(0x1680)]()===_0x799bb1(0x895)&&_0x37532[_0x799bb1(0x22b1)][_0x799bb1(0x299b)]==='outboundDial'&&(_0x37532[_0x799bb1(0x22b1)][_0x799bb1(0x1340)]=_0xa06445['cutdigits']?(_0x37532[_0x799bb1(0x22b1)][_0x799bb1(0x586)]||'')+'${EXTEN:'+_0xa06445[_0x799bb1(0x325)]+'}':(_0x37532[_0x799bb1(0x22b1)]['prefix']||'')+_0x799bb1(0xcdd),_0xa06445[_0x799bb1(0xf8d)]!==_0x799bb1(0x13b1)?_0x37532[_0x799bb1(0x22b1)][_0x799bb1(0x2224)][_0x799bb1(0xd8a)](_0x799bb1(0x106a))<0x0&&(_0x37532[_0x799bb1(0x22b1)][_0x799bb1(0x2224)]+=_0x799bb1(0x106a)):_0x37532[_0x799bb1(0x22b1)][_0x799bb1(0x2224)]=_0x37532[_0x799bb1(0x22b1)][_0x799bb1(0x2224)][_0x799bb1(0x288f)](_0x799bb1(0x106a),''));const _0x24e078=_0x39641b()[_0x799bb1(0x13b4)](_0x37532['tags'],{'name':_0x37532[_0x799bb1(0x22b1)][_0x799bb1(0x22b1)]});_0x24e078&&(_0x37532[_0x799bb1(0x22b1)]['TagId']=_0x24e078['id']);if(_0x37532[_0x799bb1(0x22b1)][_0x799bb1(0x299b)]&&_0x37532[_0x799bb1(0x22b1)][_0x799bb1(0x299b)]===_0x799bb1(0x197c)){}else switch((_0x37532[_0x799bb1(0x22b1)]['app']||_0x37532['tag'][_0x799bb1(0x299b)])[_0x799bb1(0x1680)]()){case _0x799bb1(0x19d1):_0x37532[_0x799bb1(0x22b1)][_0x799bb1(0x28df)]=_0x37532[_0x799bb1(0x22b1)][_0x799bb1(0x16b6)]+'='+_0x37532['tag'][_0x799bb1(0x327)];break;case _0x799bb1(0x197c):break;default:_0xa84198[0x0]=_0x37532['tag'][_0x799bb1(0x22b1)],_0x37532[_0x799bb1(0x22b1)][_0x799bb1(0x28df)]=_0xa84198['join'](',');}_0x314c0e(_0x37532[_0x799bb1(0x22b1)]);}function _0x314c0e(_0x10866d){const _0xc00e3=_0x3e262d;_0x595f72[_0xc00e3(0x1426)](_0x10866d);}}const _0x2ebe0e=_0x19d01f;;_0x589e57[_0x5537c6(0x15b6)]=[_0x5537c6(0x173),_0x5537c6(0x1463),'$state','$q',_0x5537c6(0x1ae),_0x5537c6(0x2168),_0x5537c6(0xcb9),_0x5537c6(0x22bf),'toasty',_0x5537c6(0x142b),_0x5537c6(0x1774)];function _0x589e57(_0x7f193f,_0x19fc66,_0x37936a,_0x4e311b,_0x27ec7d,_0x2d57e7,_0x29e55a,_0xdfe639,_0x3239a8,_0x373d96,_0x4b2eb2){const _0x594123=_0x5537c6,_0x134169=this;_0x134169[_0x594123(0xe76)]=_0x4b2eb2[_0x594123(0x21e8)](),_0x134169[_0x594123(0x658)]={},_0x134169[_0x594123(0x1ed)]={'count':0x0,'rows':[]},_0x134169['selectedSmsAccountInteractions']=[],_0x134169[_0x594123(0x1b1a)],_0x134169['query']={'read':_0x594123(0xd38),'closed':_0x594123(0xd38),'sort':'-createdAt','includeAll':_0x594123(0x44d),'limit':0xa,'page':0x1},_0x134169[_0x594123(0x1a8e)]=_0x22a712,_0x134169['deleteConfirm']=_0xd48686,_0x134169[_0x594123(0x95f)]=_0x1d4563,_0x134169[_0x594123(0x829)]=_0x4f25e3,_0x134169[_0x594123(0x1f8a)]=_0x4adcf4,_0x134169[_0x594123(0x2415)]=_0x2e633c,_0x134169[_0x594123(0x1ede)]=_0x2ae549,_0x134169[_0x594123(0x10e8)]=_0x72025c,_0x134169[_0x594123(0x1df0)]=_0x29f061,_0x134169[_0x594123(0xb40)]=_0x10cad8;function _0x22a712(_0xeef21b,_0x4c1e29){const _0x40b310=_0x594123;_0x134169[_0x40b310(0x658)]=_0xeef21b,_0x134169[_0x40b310(0x1b1a)]=typeof _0x4c1e29!==_0x40b310(0x16b5)?_0x4c1e29:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x134169[_0x40b310(0xae2)][_0x40b310(0x1a2)]=_0x134169['smsAccount']['id'],_0x134169[_0x40b310(0x16ad)]={'fields':_0x395474()},_0x1de49b();}function _0x1de49b(){const _0x9ed88b=_0x594123;return _0x373d96[_0x9ed88b(0x22b1)][_0x9ed88b(0xbf7)]()[_0x9ed88b(0x1d77)][_0x9ed88b(0x1cb0)](function(_0x1e70cd){const _0x2c378c=_0x9ed88b;_0x134169[_0x2c378c(0xfbf)]=_0x1e70cd||{'count':0x0,'rows':[]};})['then'](function(){const _0x304b98=_0x9ed88b;_0x134169[_0x304b98(0x789)]=_0xdf5dda();});}function _0xdf5dda(){const _0x304513=_0x594123;return[{'name':_0x304513(0x68d),'key':_0x304513(0x24cb),'type':_0x304513(0x18ec),'label':_0x304513(0xf5e)},{'name':'Messages','key':'read','type':_0x304513(0x220f),'label':_0x304513(0x9e5),'customOptions':[{'value':0x0,'translate':'DASHBOARDS.UNREAD'},{'value':0x1,'translate':_0x304513(0x27a4)},{'value':null,'translate':_0x304513(0x2706)}]},{'name':_0x304513(0x938),'key':'closed','type':_0x304513(0x220f),'label':_0x304513(0x24b6),'customOptions':[{'value':0x0,'translate':_0x304513(0x1bbd)},{'value':0x1,'translate':'DASHBOARDS.CLOSED'},{'value':null,'translate':_0x304513(0x2706)}]},{'name':'Agent','key':_0x304513(0x21ab),'type':_0x304513(0x220f),'label':_0x304513(0x7bb),'customOptions':[{'value':_0x304513(0xd38),'translate':_0x304513(0x68f)},{'value':undefined,'translate':'DASHBOARDS.ALL'}]},{'name':'Tag','key':_0x304513(0x22b1),'type':_0x304513(0x1d50),'label':_0x304513(0x3c3),'options':_0x134169[_0x304513(0xfbf)][_0x304513(0x2214)],'placeholder':_0x304513(0x62b)}];}function _0x395474(){const _0x2a8137=_0x594123;return[{'name':'Id','column':'id','type':_0x2a8137(0x83d)},{'name':_0x2a8137(0x8d3),'column':'Contact','type':_0x2a8137(0x19e0),'options':{'searchFields':[_0x2a8137(0x1491),_0x2a8137(0x1fbb),'email'],'route':{'model':_0x2a8137(0xbe7),'action':_0x2a8137(0xbf7),'params':{'fields':_0x2a8137(0x9b0),'Contact':_0x2a8137(0xed6),'nolimit':!![]}},'extraOperators':[_0x2a8137(0x1fb1)],'excludedOperators':[_0x2a8137(0x15ce)]}},{'name':_0x2a8137(0x228c),'column':_0x2a8137(0x1e5d),'type':_0x2a8137(0x19d3),'options':{'excludedOperators':[_0x2a8137(0x1c5e),'$ne']}},{'name':_0x2a8137(0x269b),'column':_0x2a8137(0x1cd0),'type':'text','options':{'excludedOperators':[_0x2a8137(0x1c5e),_0x2a8137(0x15ce)]}},{'name':_0x2a8137(0x938),'column':'closed','type':'select','values':[{'id':0x0,'translate':_0x2a8137(0x1bbd)},{'id':0x1,'translate':_0x2a8137(0x191b)}],'options':{'excludedOperators':[_0x2a8137(0x15ce)]}},{'name':_0x2a8137(0x30e),'column':_0x2a8137(0x1597),'type':_0x2a8137(0x19e0),'options':{'table':'i','route':{'model':_0x2a8137(0xebe),'action':'get','params':{'role':'agent','fields':_0x2a8137(0x1d34),'nolimit':!![]}},'searchFields':['fullname',_0x2a8137(0x16b6)],'extraOperators':[_0x2a8137(0x1fb1)],'excludedOperators':[_0x2a8137(0x15ce)]}},{'name':'Tags','column':'Tag','type':_0x2a8137(0x1d50),'options':{'route':{'model':'tag','action':_0x2a8137(0xbf7),'params':{'nolimit':!![]}},'excludedOperators':[_0x2a8137(0x62d)]}},{'name':_0x2a8137(0x68d),'column':_0x2a8137(0x24cb),'type':_0x2a8137(0x18ec),'options':{'excludedOperators':[_0x2a8137(0x15ce)]}},{'name':'Read','column':'unreadMessages','type':_0x2a8137(0x220f),'values':[{'id':0x1,'translate':_0x2a8137(0x27a4)},{'id':0x0,'translate':_0x2a8137(0x1115)}],'options':{'excludedOperators':[_0x2a8137(0x15ce)]}}];}function _0x2ae549(){const _0x56fa9b=_0x594123;_0x29e55a[_0x56fa9b(0xe27)]({'controller':'AdvancedSearchController','controllerAs':'vm','templateUrl':_0x3530d6,'parent':angular['element'](_0xdfe639['body']),'clickOutsideToClose':![],'locals':{'fields':_0x134169['advancedSearch']['fields'],'color':undefined,'storagePath':'sms.smsAccounts'},'fullscreen':!![]})[_0x56fa9b(0x1cb0)](function(_0x288381){const _0x56b3fa=_0x56fa9b;_0x134169['query'][_0x56b3fa(0x24be)]=_0x288381===![]?undefined:_0x288381;if(_0x134169[_0x56b3fa(0xae2)]['search'])_0x4adcf4();else _0x288381===![]&&_0x4adcf4();})[_0x56fa9b(0x1c4)](function(_0x5ba3fd){const _0x49c448=_0x56fa9b;_0x3239a8[_0x49c448(0x218e)]({'title':_0x49c448(0x2260),'msg':_0x5ba3fd[_0x49c448(0x25c)]?JSON[_0x49c448(0x2701)](_0x5ba3fd[_0x49c448(0x25c)][_0x49c448(0x155e)]):_0x5ba3fd['toString']()});});}function _0x1d4563(_0x29904f,_0x468d47,_0x58eac4){const _0x127d8e=_0x594123;return _0x373d96[_0x127d8e(0xa71)]['download']({'id':_0x29904f['id'],'exists':!![],'attachments':_0x58eac4})[_0x127d8e(0x1d77)][_0x127d8e(0x1cb0)](function(_0x343a75){const _0x5419af=_0x127d8e,_0xcdaa71=[_0x343a75[_0x5419af(0xef0)]];let _0x126ee5='interaction'+_0x29904f['id'];const _0x21ac87=new Blob(_0xcdaa71,{'type':_0x343a75[_0x5419af(0x66a)]});_0x126ee5=_0x5419af(0x89d)+_0x29904f['id']+_0x5419af(0x1b16);const _0x3aec95=window[_0x5419af(0x1db8)][_0x5419af(0x8c6)]('a');_0x3aec95['setAttribute'](_0x5419af(0x105b),URL['createObjectURL'](_0x21ac87)),_0x3aec95[_0x5419af(0x23b9)]('download',_0x126ee5),document['body'][_0x5419af(0x23de)](_0x3aec95),_0x3aec95[_0x5419af(0x20b8)]();})['catch'](function(_0x105022){const _0x94f138=_0x127d8e;if(_0x105022['data']&&_0x105022[_0x94f138(0x25c)][_0x94f138(0x1a7c)]&&_0x105022['data'][_0x94f138(0x1a7c)]['length'])for(let _0x1adf98=0x0;_0x1adf98<_0x105022['data'][_0x94f138(0x1a7c)][_0x94f138(0xfd0)];_0x1adf98+=0x1){_0x3239a8[_0x94f138(0x218e)]({'title':_0x105022['data'][_0x94f138(0x1a7c)][_0x1adf98][_0x94f138(0x66a)],'msg':_0x105022[_0x94f138(0x25c)]['errors'][_0x1adf98][_0x94f138(0x155e)]});}else _0x3239a8[_0x94f138(0x218e)]({'title':_0x105022[_0x94f138(0x291)]?_0x94f138(0xeb9)+_0x105022['status']+'\x20-\x20'+_0x105022[_0x94f138(0xc22)]:'api.smsAccount.save','msg':_0x105022[_0x94f138(0x25c)]?JSON[_0x94f138(0x2701)](_0x105022['data'][_0x94f138(0x155e)]):_0x105022[_0x94f138(0x147f)]()});});}function _0xd48686(_0xc5667e,_0x135c1c){const _0x2d28ac=_0x594123,_0x566905=_0x29e55a['confirm']()[_0x2d28ac(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20interaction?')[_0x2d28ac(0x49e)](_0x2d28ac(0x204d)+(_0xc5667e[_0x2d28ac(0x16b6)]||_0xc5667e['id']&&_0x39641b()[_0x2d28ac(0x277)](_0x2d28ac(0xf82))+_0xc5667e['id']||_0x2d28ac(0x10e4))+_0x2d28ac(0x1200)+_0x2d28ac(0x1b6))[_0x2d28ac(0x15ad)](_0x2d28ac(0x116f))[_0x2d28ac(0x728)](_0x135c1c)['ok']('OK')['cancel']('CANCEL');_0x29e55a['show'](_0x566905)[_0x2d28ac(0x1cb0)](function(){_0x29f061(_0xc5667e);},function(){const _0x24bdf1=_0x2d28ac;console[_0x24bdf1(0x1b4f)]('CANCEL');});}function _0x4f25e3(_0x419503){const _0x521d02=_0x594123;_0x134169[_0x521d02(0x1ed)]=_0x419503||{'count':0x0,'rows':[]};for(let _0x2bfc9e=0x0;_0x2bfc9e<_0x134169[_0x521d02(0x1ed)][_0x521d02(0x2214)][_0x521d02(0xfd0)];_0x2bfc9e+=0x1){const _0xfccc24=_0x134169[_0x521d02(0x1ed)][_0x521d02(0x2214)][_0x2bfc9e];_0x5c3705(_0xfccc24),_0xfccc24['Owner'][_0x521d02(0x16b6)]=_0x562600(_0xfccc24);}}function _0x4adcf4(){const _0x308bd1=_0x594123;_0x134169[_0x308bd1(0xae2)]['offset']=(_0x134169[_0x308bd1(0xae2)][_0x308bd1(0x1c7b)]-0x1)*_0x134169['query'][_0x308bd1(0x236)],_0x134169[_0x308bd1(0x2061)]=_0x373d96[_0x308bd1(0xa71)][_0x308bd1(0xbf7)](_0x134169[_0x308bd1(0xae2)],_0x4f25e3)[_0x308bd1(0x1d77)];}function _0x2e633c(_0xf96ead,_0x46ddca){const _0x1a2d7a=_0x594123;_0x29e55a[_0x1a2d7a(0xe27)]({'controller':'AgentGeneralInteractionController','controllerAs':'vm','templateUrl':_0x50da87,'parent':angular['element'](_0xdfe639[_0x1a2d7a(0x1ed9)]),'targetEvent':_0xf96ead,'clickOutsideToClose':!![],'onShowing':function(_0x4d7c2c){const _0x5e1b9d=_0x1a2d7a;_0x4d7c2c['vm'][_0x5e1b9d(0x1a8e)]({'id':0x1,'channel':_0x5e1b9d(0x689),'interaction':_0x46ddca,'spy':!![]},_0x19fc66['$parent']['vm']['setting']);}});}function _0x29f061(_0x55e5f5){const _0x3d5dd9=_0x594123;_0x373d96[_0x3d5dd9(0xa71)][_0x3d5dd9(0x111d)]({'id':_0x55e5f5['id']})[_0x3d5dd9(0x1d77)][_0x3d5dd9(0x1cb0)](function(){const _0x4cfff8=_0x3d5dd9;_0x39641b()['remove'](_0x134169['smsAccountInteractions'][_0x4cfff8(0x2214)],{'id':_0x55e5f5['id']}),_0x134169['smsAccountInteractions'][_0x4cfff8(0x184d)]-=0x1,!_0x134169[_0x4cfff8(0x1ed)][_0x4cfff8(0x2214)][_0x4cfff8(0xfd0)]&&_0x4adcf4(),_0x3239a8[_0x4cfff8(0x829)]({'title':_0x4cfff8(0x1c2b),'msg':_0x55e5f5['name']?_0x55e5f5[_0x4cfff8(0x16b6)]+_0x4cfff8(0x3f5):''});})['catch'](function(_0x4dae6a){const _0x3f664e=_0x3d5dd9;if(_0x4dae6a[_0x3f664e(0x25c)]&&_0x4dae6a['data'][_0x3f664e(0x1a7c)]&&_0x4dae6a[_0x3f664e(0x25c)][_0x3f664e(0x1a7c)][_0x3f664e(0xfd0)]){_0x134169[_0x3f664e(0x1a7c)]=_0x4dae6a[_0x3f664e(0x25c)][_0x3f664e(0x1a7c)]||[{'message':_0x4dae6a[_0x3f664e(0x147f)](),'type':_0x3f664e(0x279a)}];for(let _0x3afb58=0x0;_0x3afb58<_0x4dae6a[_0x3f664e(0x25c)][_0x3f664e(0x1a7c)][_0x3f664e(0xfd0)];_0x3afb58++){_0x3239a8['error']({'title':_0x4dae6a[_0x3f664e(0x25c)][_0x3f664e(0x1a7c)][_0x3afb58][_0x3f664e(0x66a)],'msg':_0x4dae6a[_0x3f664e(0x25c)][_0x3f664e(0x1a7c)][_0x3afb58][_0x3f664e(0x155e)]});}}else _0x3239a8[_0x3f664e(0x218e)]({'title':_0x4dae6a[_0x3f664e(0x291)]?_0x3f664e(0xeb9)+_0x4dae6a[_0x3f664e(0x291)]+_0x3f664e(0x1657)+_0x4dae6a['statusText']:'SYSTEM:GETsmsAccount','msg':_0x4dae6a[_0x3f664e(0x25c)]?JSON[_0x3f664e(0x2701)](_0x4dae6a[_0x3f664e(0x25c)]['message']):_0x4dae6a[_0x3f664e(0x155e)]||_0x4dae6a[_0x3f664e(0x147f)]()});});}function _0x72025c(){const _0x48f201=_0x594123,_0x505336=angular['copy'](_0x134169[_0x48f201(0x1d93)]);return _0x134169[_0x48f201(0x1d93)]=[],_0x505336;}function _0x10cad8(_0x1c6588){const _0x5a94e3=_0x594123,_0x1134c9=_0x29e55a['confirm']()[_0x5a94e3(0x1386)](_0x5a94e3(0xb2e))[_0x5a94e3(0x49e)](''+_0x134169['selectedSmsAccountInteractions'][_0x5a94e3(0xfd0)]+_0x5a94e3(0x1d6c)+'\x20will\x20be\x20deleted.')[_0x5a94e3(0x15ad)](_0x5a94e3(0x8ca))[_0x5a94e3(0x728)](_0x1c6588)['ok']('OK')[_0x5a94e3(0x696)](_0x5a94e3(0x24ba));_0x29e55a['show'](_0x1134c9)[_0x5a94e3(0x1cb0)](function(){const _0x3ce586=_0x5a94e3;_0x134169[_0x3ce586(0x1d93)][_0x3ce586(0xf90)](function(_0x2f93aa){_0x29f061(_0x2f93aa);}),_0x134169[_0x3ce586(0x1d93)]=[];});}function _0x5c3705(_0x7c3a75){const _0x3f9d4c=_0x594123;_0x7c3a75[_0x3f9d4c(0x8d3)]?(_0x7c3a75['contactName']=(_0x7c3a75['Contact'][_0x3f9d4c(0x1491)]||'')+'\x20'+(_0x7c3a75['Contact'][_0x3f9d4c(0x1fbb)]||''),_0x7c3a75[_0x3f9d4c(0x28b6)]=_0x7c3a75[_0x3f9d4c(0x8d3)][_0x3f9d4c(0x1ce6)]):_0x7c3a75[_0x3f9d4c(0x1325)]=_0x27ec7d['instant'](_0x3f9d4c(0x2b9));}function _0x562600(_0x1477fa){const _0x24f2ce=_0x594123;if(_0x1477fa[_0x24f2ce(0x21ab)])return _0x1477fa['UserId']===_0x134169[_0x24f2ce(0xe76)]['id']?_0x27ec7d[_0x24f2ce(0x25cc)](_0x24f2ce(0x1ea6)):_0x1477fa[_0x24f2ce(0x135d)][_0x24f2ce(0x1d14)]+'\x20<'+_0x1477fa[_0x24f2ce(0x135d)][_0x24f2ce(0xdbd)]+'>';return _0x27ec7d['instant']('DASHBOARDS.NOT_ASSIGNED');}let _0x20f944=!![],_0xffbc03=0x1;_0x19fc66[_0x594123(0x614)](_0x594123(0xeb6),function(_0x446fdb,_0x5e889b){const _0x2dd1ed=_0x594123;_0x20f944?_0x2d57e7(function(){_0x20f944=![];}):(!_0x5e889b&&(_0xffbc03=_0x134169[_0x2dd1ed(0xae2)][_0x2dd1ed(0x1c7b)]),_0x446fdb!==_0x5e889b&&(_0x134169[_0x2dd1ed(0xae2)][_0x2dd1ed(0x1c7b)]=0x1),!_0x446fdb&&(_0x134169[_0x2dd1ed(0xae2)][_0x2dd1ed(0x1c7b)]=_0xffbc03),_0x4adcf4());});}const _0x2c66b2=_0x589e57;;const _0x2167cf=_0x5074a3['p']+_0x5537c6(0x72f);;_0x5e7351[_0x5537c6(0x15b6)]=[_0x5537c6(0x173),_0x5537c6(0x1463),_0x5537c6(0x406),'$q',_0x5537c6(0x1ae),_0x5537c6(0x2168),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x1774)];function _0x5e7351(_0x26c7a4,_0x41b189,_0x2f0716,_0x9d54c7,_0x50b2f2,_0x4cb696,_0x337d4a,_0xad39ef,_0x626827,_0x2bbda2,_0x5b1f30){const _0x562097=_0x5537c6,_0x3360a7=this;_0x3360a7[_0x562097(0xe76)]=_0x5b1f30['getCurrentUser'](),_0x3360a7[_0x562097(0x658)]={},_0x3360a7['smsAccountSmsCannedAnswers']={'count':0x0,'rows':[]},_0x3360a7[_0x562097(0x2b6)]=[],_0x3360a7[_0x562097(0x1b1a)],_0x3360a7[_0x562097(0xae2)]={'fields':_0x562097(0x1d68),'limit':0xa,'page':0x1},_0x3360a7[_0x562097(0x1a8e)]=_0x471c6e,_0x3360a7[_0x562097(0xb25)]=_0x291a4d,_0x3360a7[_0x562097(0x829)]=_0x157e8a,_0x3360a7[_0x562097(0x28f1)]=_0x34a2e1,_0x3360a7[_0x562097(0x199b)]=_0x47bb6f,_0x3360a7[_0x562097(0x5d3)]=_0xe6027e,_0x3360a7[_0x562097(0x2283)]=_0x39506a,_0x3360a7['deleteSelectedSmsAccountSmsCannedAnswers']=_0x435d68;function _0x471c6e(_0xf24a00,_0x586700){const _0x80ce60=_0x562097;_0x3360a7[_0x80ce60(0x658)]=_0xf24a00,_0x3360a7['crudPermissions']=typeof _0x586700!==_0x80ce60(0x16b5)?_0x586700:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x3360a7['query'][_0x80ce60(0x1a2)]=_0x3360a7[_0x80ce60(0x658)]['id'],_0x3360a7[_0x80ce60(0xae2)]['id']=_0x3360a7['smsAccount']['id'],_0x34a2e1();}function _0x291a4d(_0x50f14d,_0x39f03d){const _0x48e39b=_0x562097,_0x46dde3=_0x337d4a['confirm']()[_0x48e39b(0x1386)](_0x48e39b(0x1d76))[_0x48e39b(0x49e)](_0x48e39b(0x204d)+(_0x50f14d[_0x48e39b(0x16b6)]||_0x50f14d['id']&&_0x39641b()[_0x48e39b(0x277)](_0x48e39b(0xa7f))+_0x50f14d['id']||_0x48e39b(0x21f))+''+'\x20will\x20be\x20deleted.')[_0x48e39b(0x15ad)](_0x48e39b(0xaaa))[_0x48e39b(0x728)](_0x39f03d)['ok']('OK')['cancel'](_0x48e39b(0x24ba));_0x337d4a[_0x48e39b(0xe27)](_0x46dde3)['then'](function(){_0x39506a(_0x50f14d);},function(){const _0x10eac6=_0x48e39b;console[_0x10eac6(0x1b4f)](_0x10eac6(0x24ba));});}function _0x157e8a(_0x576cb0){_0x3360a7['smsAccountSmsCannedAnswers']=_0x576cb0||{'count':0x0,'rows':[]};}function _0x34a2e1(){const _0x5f709=_0x562097;_0x3360a7[_0x5f709(0xae2)][_0x5f709(0x184b)]=(_0x3360a7[_0x5f709(0xae2)][_0x5f709(0x1c7b)]-0x1)*_0x3360a7[_0x5f709(0xae2)][_0x5f709(0x236)],_0x3360a7[_0x5f709(0x2061)]=_0x2bbda2[_0x5f709(0x658)][_0x5f709(0x1ec5)](_0x3360a7[_0x5f709(0xae2)],_0x157e8a)[_0x5f709(0x1d77)];}function _0x47bb6f(_0x359a80,_0x553720){const _0x1323a2=_0x562097;_0x337d4a['show']({'controller':_0x1323a2(0x14ac),'controllerAs':'vm','templateUrl':_0x2167cf,'parent':angular[_0x1323a2(0x1853)](_0xad39ef['body']),'targetEvent':_0x359a80,'clickOutsideToClose':!![],'locals':{'smsAccount':_0x3360a7[_0x1323a2(0x658)],'smsCannedAnswer':_0x553720,'smsCannedAnswers':_0x3360a7[_0x1323a2(0x1996)][_0x1323a2(0x2214)],'license':null,'setting':null,'crudPermissions':_0x3360a7[_0x1323a2(0x1b1a)]}});}function _0x39506a(_0x3c90e3){const _0x143281=_0x562097;_0x2bbda2[_0x143281(0x1c25)][_0x143281(0x111d)]({'id':_0x3c90e3['id']})[_0x143281(0x1d77)][_0x143281(0x1cb0)](function(){const _0x32fd71=_0x143281;_0x39641b()['remove'](_0x3360a7['smsAccountSmsCannedAnswers'][_0x32fd71(0x2214)],{'id':_0x3c90e3['id']}),_0x3360a7['smsAccountSmsCannedAnswers'][_0x32fd71(0x184d)]-=0x1,!_0x3360a7[_0x32fd71(0x1996)][_0x32fd71(0x2214)][_0x32fd71(0xfd0)]&&_0x34a2e1(),_0x626827[_0x32fd71(0x829)]({'title':_0x32fd71(0x12c3),'msg':_0x3c90e3['name']?_0x3c90e3[_0x32fd71(0x16b6)]+'\x20has\x20been\x20deleted!':''});})[_0x143281(0x1c4)](function(_0x15d4cf){const _0x1424d1=_0x143281;if(_0x15d4cf[_0x1424d1(0x25c)]&&_0x15d4cf[_0x1424d1(0x25c)][_0x1424d1(0x1a7c)]&&_0x15d4cf[_0x1424d1(0x25c)][_0x1424d1(0x1a7c)][_0x1424d1(0xfd0)]){_0x3360a7[_0x1424d1(0x1a7c)]=_0x15d4cf[_0x1424d1(0x25c)]['errors']||[{'message':_0x15d4cf[_0x1424d1(0x147f)](),'type':_0x1424d1(0x279a)}];for(let _0x42797e=0x0;_0x42797e<_0x15d4cf[_0x1424d1(0x25c)][_0x1424d1(0x1a7c)][_0x1424d1(0xfd0)];_0x42797e++){_0x626827[_0x1424d1(0x218e)]({'title':_0x15d4cf[_0x1424d1(0x25c)][_0x1424d1(0x1a7c)][_0x42797e][_0x1424d1(0x66a)],'msg':_0x15d4cf['data']['errors'][_0x42797e][_0x1424d1(0x155e)]});}}else _0x626827[_0x1424d1(0x218e)]({'title':_0x15d4cf[_0x1424d1(0x291)]?_0x1424d1(0xeb9)+_0x15d4cf['status']+_0x1424d1(0x1657)+_0x15d4cf[_0x1424d1(0xc22)]:_0x1424d1(0x279a),'msg':_0x15d4cf[_0x1424d1(0x25c)]?JSON[_0x1424d1(0x2701)](_0x15d4cf[_0x1424d1(0x25c)][_0x1424d1(0x155e)]):_0x15d4cf[_0x1424d1(0x155e)]||_0x15d4cf['toString']()});});}function _0xe6027e(){const _0x17dd66=_0x562097,_0x9f34e6=angular[_0x17dd66(0x17fe)](_0x3360a7['selectedSmsAccountSmsCannedAnswers']);return _0x3360a7[_0x17dd66(0x2b6)]=[],_0x9f34e6;}function _0x435d68(_0x174205){const _0x5d0a47=_0x562097,_0x126760=_0x337d4a[_0x5d0a47(0x1551)]()[_0x5d0a47(0x1386)](_0x5d0a47(0xaa6))[_0x5d0a47(0x49e)](_0x5d0a47(0x204d)+_0x3360a7[_0x5d0a47(0x2b6)][_0x5d0a47(0xfd0)]+_0x5d0a47(0x1d6c)+_0x5d0a47(0x1b6))[_0x5d0a47(0x15ad)](_0x5d0a47(0x165f))[_0x5d0a47(0x728)](_0x174205)['ok']('OK')[_0x5d0a47(0x696)]('CANCEL');_0x337d4a['show'](_0x126760)['then'](function(){const _0xf9797c=_0x5d0a47;_0x3360a7['selectedSmsAccountSmsCannedAnswers']['forEach'](function(_0x191997){_0x39506a(_0x191997);}),_0x3360a7[_0xf9797c(0x2b6)]=[];});}let _0x2c6d9d=!![],_0x18fef6=0x1;_0x41b189[_0x562097(0x614)](_0x562097(0xeb6),function(_0x10d7d4,_0x1ac5b5){const _0x568cda=_0x562097;_0x2c6d9d?_0x4cb696(function(){_0x2c6d9d=![];}):(!_0x1ac5b5&&(_0x18fef6=_0x3360a7[_0x568cda(0xae2)][_0x568cda(0x1c7b)]),_0x10d7d4!==_0x1ac5b5&&(_0x3360a7[_0x568cda(0xae2)]['page']=0x1),!_0x10d7d4&&(_0x3360a7[_0x568cda(0xae2)][_0x568cda(0x1c7b)]=_0x18fef6),_0x34a2e1());});}const _0x1b9336=_0x5e7351;;_0x23fa6e[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),'$location',_0x5537c6(0xcb9),'$q','$translate',_0x5537c6(0x9bf),'smsCannedAnswers','smsCannedAnswer',_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x23fa6e(_0x356aca,_0x49acd6,_0x4a1504,_0x1bdbc8,_0x31e018,_0x38b26d,_0x50456e,_0x238e17,_0x21eb67,_0x1fa6ef,_0x40c464,_0x33cfd0,_0x12a5fc,_0x2d688b){const _0x53aa46=_0x5537c6,_0x1de5e6=this;_0x1de5e6[_0x53aa46(0xe76)]=_0x40c464[_0x53aa46(0x21e8)](),_0x1de5e6[_0x53aa46(0x1a7c)]=[],_0x1de5e6[_0x53aa46(0x9ca)]=_0x12a5fc,_0x1de5e6['license']=_0x33cfd0,_0x1de5e6[_0x53aa46(0x1b1a)]=_0x2d688b,_0x1de5e6[_0x53aa46(0xf4c)]={},_0x1de5e6[_0x53aa46(0x1b0c)]=_0x1de5e6[_0x53aa46(0x9ca)]&&_0x1de5e6[_0x53aa46(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x1de5e6['title']='SMS.EDIT_SMSCANNEDANSWER',_0x1de5e6[_0x53aa46(0x21f)]=angular[_0x53aa46(0x17fe)](_0x21eb67),_0x1de5e6[_0x53aa46(0x662)]=_0x238e17,_0x1de5e6[_0x53aa46(0x1c8d)]=![];!_0x1de5e6[_0x53aa46(0x21f)]&&(_0x1de5e6['smsCannedAnswer']={},_0x1de5e6[_0x53aa46(0x1386)]=_0x53aa46(0x1f7e),_0x1de5e6[_0x53aa46(0x1c8d)]=!![]);_0x49acd6['params']['id']&&(_0x1de5e6['smsCannedAnswer'][_0x53aa46(0x1a2)]=_0x49acd6[_0x53aa46(0x1dfe)]['id']);_0x1de5e6[_0x53aa46(0x1f30)]=_0x1232f3,_0x1de5e6[_0x53aa46(0x1da3)]=_0x138edd,_0x1de5e6[_0x53aa46(0xb5b)]=_0x52714b,_0x1de5e6[_0x53aa46(0x2c4)]=_0x29b101,_0x1de5e6[_0x53aa46(0xda0)]=_0x1030f7;function _0x1232f3(){const _0x1f14e1=_0x53aa46;_0x1de5e6[_0x1f14e1(0x1a7c)]=[],_0x1fa6ef[_0x1f14e1(0x1c25)][_0x1f14e1(0x1c3f)](_0x1de5e6['smsCannedAnswer'])['$promise'][_0x1f14e1(0x1cb0)](function(_0x3bc878){const _0x1a52dc=_0x1f14e1;_0x1de5e6[_0x1a52dc(0x662)][_0x1a52dc(0xf63)](_0x3bc878[_0x1a52dc(0x19b2)]()),_0x50456e['success']({'title':'SmsCannedAnswer\x20properly\x20created','msg':_0x1de5e6['smsCannedAnswer']['name']?_0x1de5e6[_0x1a52dc(0x21f)][_0x1a52dc(0x16b6)]+'\x20has\x20been\x20created!':''}),_0x1030f7(_0x3bc878);})['catch'](function(_0x13abc0){const _0x535442=_0x1f14e1;if(_0x13abc0[_0x535442(0x25c)]&&_0x13abc0['data'][_0x535442(0x1a7c)]&&_0x13abc0[_0x535442(0x25c)][_0x535442(0x1a7c)][_0x535442(0xfd0)]){_0x1de5e6['errors']=_0x13abc0[_0x535442(0x25c)][_0x535442(0x1a7c)]||[{'message':_0x13abc0['toString'](),'type':_0x535442(0x224)}];for(let _0x414731=0x0;_0x414731<_0x13abc0[_0x535442(0x25c)]['errors'][_0x535442(0xfd0)];_0x414731+=0x1){_0x50456e[_0x535442(0x218e)]({'title':_0x13abc0['data'][_0x535442(0x1a7c)][_0x414731][_0x535442(0x66a)],'msg':_0x13abc0[_0x535442(0x25c)][_0x535442(0x1a7c)][_0x414731]['message']});}}else _0x50456e['error']({'title':_0x13abc0['status']?_0x535442(0xeb9)+_0x13abc0['status']+_0x535442(0x1657)+_0x13abc0[_0x535442(0xc22)]:_0x535442(0x224),'msg':_0x13abc0[_0x535442(0x25c)]?JSON[_0x535442(0x2701)](_0x13abc0['data'][_0x535442(0x155e)]):_0x13abc0[_0x535442(0x147f)]()});});}function _0x138edd(){const _0x104bff=_0x53aa46;_0x1de5e6[_0x104bff(0x1a7c)]=[],_0x1fa6ef[_0x104bff(0x1c25)]['update']({'id':_0x1de5e6[_0x104bff(0x21f)]['id']},_0x1de5e6[_0x104bff(0x21f)])[_0x104bff(0x1d77)]['then'](function(_0x28d326){const _0x2972fb=_0x104bff,_0xb4a6df=_0x39641b()[_0x2972fb(0x13b4)](_0x1de5e6[_0x2972fb(0x662)],{'id':_0x28d326['id']});_0xb4a6df&&_0x39641b()[_0x2972fb(0x9c1)](_0xb4a6df,_0x39641b()[_0x2972fb(0x169b)](_0x28d326[_0x2972fb(0x19b2)](),_0x39641b()[_0x2972fb(0x1be5)](_0xb4a6df))),_0x50456e['success']({'title':_0x2972fb(0x1708),'msg':_0x1de5e6['smsCannedAnswer']['name']?_0x1de5e6[_0x2972fb(0x21f)][_0x2972fb(0x16b6)]+_0x2972fb(0xedb):''}),_0x1030f7(_0x28d326);})[_0x104bff(0x1c4)](function(_0x4d488b){const _0x212f8b=_0x104bff;if(_0x4d488b['data']&&_0x4d488b[_0x212f8b(0x25c)][_0x212f8b(0x1a7c)]&&_0x4d488b['data'][_0x212f8b(0x1a7c)]['length']){_0x1de5e6[_0x212f8b(0x1a7c)]=_0x4d488b['data'][_0x212f8b(0x1a7c)]||[{'message':_0x4d488b['toString'](),'type':_0x212f8b(0x922)}];for(let _0x394181=0x0;_0x394181<_0x4d488b[_0x212f8b(0x25c)][_0x212f8b(0x1a7c)][_0x212f8b(0xfd0)];_0x394181++){_0x50456e[_0x212f8b(0x218e)]({'title':_0x4d488b['data'][_0x212f8b(0x1a7c)][_0x394181]['type'],'msg':_0x4d488b['data'][_0x212f8b(0x1a7c)][_0x394181][_0x212f8b(0x155e)]});}}else _0x50456e[_0x212f8b(0x218e)]({'title':_0x4d488b[_0x212f8b(0x291)]?_0x212f8b(0xeb9)+_0x4d488b[_0x212f8b(0x291)]+_0x212f8b(0x1657)+_0x4d488b[_0x212f8b(0xc22)]:_0x212f8b(0x922),'msg':_0x4d488b[_0x212f8b(0x25c)]?JSON['stringify'](_0x4d488b[_0x212f8b(0x25c)][_0x212f8b(0x155e)]):_0x4d488b[_0x212f8b(0x147f)]()});});}function _0x52714b(_0x2277f5){const _0x4b4cef=_0x53aa46;_0x1de5e6[_0x4b4cef(0x1a7c)]=[];const _0x33e1d=_0x1bdbc8[_0x4b4cef(0x1551)]()[_0x4b4cef(0x1386)](_0x4b4cef(0x1a2e))[_0x4b4cef(0x862)](_0x4b4cef(0xba3))['ariaLabel'](_0x4b4cef(0xab9))['ok'](_0x4b4cef(0x2594))[_0x4b4cef(0x696)](_0x4b4cef(0xde1))[_0x4b4cef(0x728)](_0x2277f5);_0x1bdbc8[_0x4b4cef(0xe27)](_0x33e1d)['then'](function(){const _0x5e7b69=_0x4b4cef;_0x1fa6ef[_0x5e7b69(0x1c25)][_0x5e7b69(0x111d)]({'id':_0x1de5e6[_0x5e7b69(0x21f)]['id']})[_0x5e7b69(0x1d77)][_0x5e7b69(0x1cb0)](function(){const _0x559532=_0x5e7b69;_0x39641b()[_0x559532(0x152a)](_0x1de5e6[_0x559532(0x662)],{'id':_0x1de5e6['smsCannedAnswer']['id']}),_0x50456e[_0x559532(0x829)]({'title':'SmsCannedAnswer\x20properly\x20deleted!','msg':(_0x1de5e6[_0x559532(0x21f)]['name']||'smsCannedAnswer')+'\x20has\x20been\x20deleted!'}),_0x1030f7(_0x1de5e6['smsCannedAnswer']);})['catch'](function(_0x43150d){const _0x21a09f=_0x5e7b69;if(_0x43150d[_0x21a09f(0x25c)]&&_0x43150d[_0x21a09f(0x25c)][_0x21a09f(0x1a7c)]&&_0x43150d['data'][_0x21a09f(0x1a7c)]['length']){_0x1de5e6['errors']=_0x43150d[_0x21a09f(0x25c)][_0x21a09f(0x1a7c)]||[{'message':_0x43150d['toString'](),'type':_0x21a09f(0x1399)}];for(let _0x494f18=0x0;_0x494f18<_0x43150d[_0x21a09f(0x25c)][_0x21a09f(0x1a7c)][_0x21a09f(0xfd0)];_0x494f18++){_0x50456e[_0x21a09f(0x218e)]({'title':_0x43150d[_0x21a09f(0x25c)][_0x21a09f(0x1a7c)][_0x494f18]['type'],'msg':_0x43150d[_0x21a09f(0x25c)][_0x21a09f(0x1a7c)][_0x494f18][_0x21a09f(0x155e)]});}}else _0x50456e[_0x21a09f(0x218e)]({'title':_0x43150d[_0x21a09f(0x291)]?_0x21a09f(0xeb9)+_0x43150d[_0x21a09f(0x291)]+'\x20-\x20'+_0x43150d['statusText']:_0x21a09f(0x1399),'msg':_0x43150d[_0x21a09f(0x25c)]?JSON[_0x21a09f(0x2701)](_0x43150d[_0x21a09f(0x25c)]['message']):_0x43150d[_0x21a09f(0x155e)]||_0x43150d[_0x21a09f(0x147f)]()});});},function(){});}function _0x29b101(_0x534f59){return _0x534f59===null?undefined:new Date(_0x534f59);}function _0x1030f7(_0x4c8bc4){const _0x27a1af=_0x53aa46;_0x1bdbc8[_0x27a1af(0x1426)](_0x4c8bc4);}}const _0x460a49=_0x23fa6e;;_0x291b2b[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x1f10),_0x5537c6(0x406),'$mdSidenav',_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0x142b),'toasty',_0x5537c6(0x1774)];function _0x291b2b(_0x1ab3c7,_0x590835,_0x4a9093,_0x5470c2,_0x2c65a5,_0x4038cb,_0x54aa61,_0x5bcca7,_0x39574d,_0x2d059a,_0x3ee780){const _0x5d3576=_0x5537c6,_0x35bf78=this;_0x35bf78[_0x5d3576(0xe76)]=_0x3ee780[_0x5d3576(0x21e8)](),_0x35bf78[_0x5d3576(0xdf2)]={'count':0x0,'rows':[]},_0x35bf78[_0x5d3576(0x122a)]=[],_0x35bf78[_0x5d3576(0x1b1a)],_0x35bf78['levels']={'first':_0x5d3576(0x29a0),'second':_0x5d3576(0x26db),'third':'3rd'},_0x35bf78[_0x5d3576(0xae2)]={'fields':'id,level,name,description,ParentId,createdAt,updatedAt','sort':'-updatedAt','limit':0xa,'page':0x1},_0x35bf78[_0x5d3576(0x1a8e)]=_0x707742,_0x35bf78[_0x5d3576(0xb25)]=_0x292410,_0x35bf78[_0x5d3576(0x829)]=_0x56b3a5,_0x35bf78[_0x5d3576(0x640)]=_0x1f2309,_0x35bf78[_0x5d3576(0x932)]=_0x58b47a,_0x35bf78[_0x5d3576(0x20b3)]=_0x3cc444,_0x35bf78[_0x5d3576(0x1ebf)]=_0x3cd153,_0x35bf78[_0x5d3576(0x1626)]=_0x17853e,_0x35bf78[_0x5d3576(0x25ec)]=_0x129de7,_0x35bf78[_0x5d3576(0x13ff)]=_0x2ea6e1;function _0x707742(_0x45763b,_0x1a6135){const _0x136c93=_0x5d3576;_0x35bf78['smsAccount']=_0x45763b||{},_0x35bf78['crudPermissions']=typeof _0x1a6135!==_0x136c93(0x16b5)?_0x1a6135:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x35bf78['query'][_0x136c93(0x1a2)]=_0x35bf78['smsAccount']['id'],_0x35bf78[_0x136c93(0xae2)]['id']=_0x35bf78[_0x136c93(0x658)]['id'],_0x1f2309();}function _0x58b47a(_0x6b642b,_0x3f1843){const _0x40ea1f=_0x5d3576;_0x2c65a5[_0x40ea1f(0xe27)]({'controller':_0x40ea1f(0x201d),'controllerAs':'vm','templateUrl':_0x3d7049,'parent':angular[_0x40ea1f(0x1853)](_0x4038cb['body']),'targetEvent':_0x6b642b,'clickOutsideToClose':!![],'locals':{'disposition':_0x3f1843,'model':{'id':_0x35bf78[_0x40ea1f(0x658)]['id'],'field':_0x40ea1f(0x1a2),'route':_0x40ea1f(0x658)},'license':null,'setting':null,'crudPermissions':_0x35bf78[_0x40ea1f(0x1b1a)]}})[_0x40ea1f(0x1cb0)](function(_0x6ed115){if(_0x6ed115)_0x1f2309();});}function _0x292410(_0x58b99b,_0x32ecd6){const _0x240264=_0x5d3576,_0x42a1a5=_0x39641b()['some'](_0x35bf78[_0x240264(0xdf2)]['rows'],['ParentId',_0x58b99b['id']]),_0x49a6d4=_0x2c65a5[_0x240264(0x1551)]()['title'](_0x5bcca7[_0x240264(0x25cc)](_0x240264(0x1d64)))[_0x240264(0x862)](_0x5bcca7['instant']('TOOLS.NOTIFICATIONS.'+(_0x42a1a5?_0x240264(0x13cb):'DISPOSITION_DELETE_MESSAGE'),{'name':_0x58b99b[_0x240264(0x16b6)]}))[_0x240264(0x15ad)](_0x240264(0x35d))[_0x240264(0x728)](_0x32ecd6)['ok']('OK')['cancel'](_0x5bcca7['instant'](_0x240264(0x2768)));_0x2c65a5[_0x240264(0xe27)](_0x49a6d4)[_0x240264(0x1cb0)](function(){_0x3cc444(_0x58b99b);});}function _0x56b3a5(_0x58d8d0){const _0x38768e=_0x5d3576;_0x35bf78[_0x38768e(0xdf2)]=_0x58d8d0||{'count':0x0,'rows':[]};}function _0x1f2309(){const _0x1bba0f=_0x5d3576;_0x35bf78[_0x1bba0f(0xae2)][_0x1bba0f(0x184b)]=(_0x35bf78['query'][_0x1bba0f(0x1c7b)]-0x1)*_0x35bf78['query'][_0x1bba0f(0x236)],_0x35bf78[_0x1bba0f(0x2061)]=_0x39574d[_0x1bba0f(0x658)][_0x1bba0f(0x640)](_0x35bf78['query'],_0x56b3a5)['$promise'];}function _0x3cc444(_0x212161){const _0x48d378=_0x5d3576;_0x39574d['disposition'][_0x48d378(0x111d)]({'id':_0x212161['id']})['$promise']['then'](function(){const _0x28b18b=_0x48d378;_0x1f2309(),_0x2d059a['success']({'title':_0x5bcca7[_0x28b18b(0x25cc)](_0x28b18b(0x1f96))});})[_0x48d378(0x1c4)](function(_0x2dc9ca){const _0x1c9cac=_0x48d378;if(_0x2dc9ca[_0x1c9cac(0x25c)]&&_0x2dc9ca[_0x1c9cac(0x25c)][_0x1c9cac(0x1a7c)]&&_0x2dc9ca[_0x1c9cac(0x25c)][_0x1c9cac(0x1a7c)]['length']){_0x35bf78[_0x1c9cac(0x1a7c)]=_0x2dc9ca[_0x1c9cac(0x25c)][_0x1c9cac(0x1a7c)]||[{'message':_0x2dc9ca[_0x1c9cac(0x147f)](),'type':_0x1c9cac(0x2618)}];for(let _0x559db8=0x0;_0x559db8<_0x2dc9ca[_0x1c9cac(0x25c)]['errors'][_0x1c9cac(0xfd0)];_0x559db8++){_0x2d059a[_0x1c9cac(0x218e)]({'title':_0x2dc9ca[_0x1c9cac(0x25c)][_0x1c9cac(0x1a7c)][_0x559db8][_0x1c9cac(0x66a)],'msg':_0x2dc9ca[_0x1c9cac(0x25c)][_0x1c9cac(0x1a7c)][_0x559db8]['message']});}}else _0x2d059a['error']({'title':_0x2dc9ca[_0x1c9cac(0x291)]?'API:'+_0x2dc9ca[_0x1c9cac(0x291)]+_0x1c9cac(0x1657)+_0x2dc9ca[_0x1c9cac(0xc22)]:_0x1c9cac(0x2618),'msg':_0x2dc9ca[_0x1c9cac(0x25c)]?JSON[_0x1c9cac(0x2701)](_0x2dc9ca[_0x1c9cac(0x25c)][_0x1c9cac(0x155e)]):_0x2dc9ca['message']||_0x2dc9ca[_0x1c9cac(0x147f)]()});});}function _0x3cd153(){const _0x488d7d=_0x5d3576,_0x49d81e=angular[_0x488d7d(0x17fe)](_0x35bf78[_0x488d7d(0x122a)]);return _0x35bf78[_0x488d7d(0x122a)]=[],_0x49d81e;}function _0x17853e(_0x422a8b){const _0x43e401=_0x5d3576,_0x34d86c=_0x2c65a5['confirm']()[_0x43e401(0x1386)](_0x5bcca7['instant'](_0x43e401(0x969)))['content'](_0x5bcca7[_0x43e401(0x25cc)]('TOOLS.NOTIFICATIONS.DISPOSITIONS_DELETE_MESSAGE',{'total':_0x35bf78[_0x43e401(0x122a)][_0x43e401(0xfd0)]}))[_0x43e401(0x15ad)](_0x43e401(0x1e1c))[_0x43e401(0x728)](_0x422a8b)['ok']('OK')[_0x43e401(0x696)](_0x5bcca7[_0x43e401(0x25cc)](_0x43e401(0x2768)));_0x2c65a5['show'](_0x34d86c)[_0x43e401(0x1cb0)](function(){const _0x57a80a=_0x43e401;_0x35bf78[_0x57a80a(0x122a)][_0x57a80a(0xf90)](function(_0x5d7819){_0x3cc444(_0x5d7819);}),_0x35bf78[_0x57a80a(0x122a)]=[];});}function _0x129de7(){const _0x2725f3=_0x5d3576;_0x35bf78[_0x2725f3(0x122a)]=[];}function _0x2ea6e1(){const _0x109f4e=_0x5d3576;_0x35bf78[_0x109f4e(0x122a)]=_0x35bf78[_0x109f4e(0xdf2)]['rows'];}let _0x222aed=!![],_0x100168=0x1;_0x1ab3c7['$watch'](_0x5d3576(0xeb6),function(_0x30bf33,_0x5ccac3){const _0x22103c=_0x5d3576;_0x222aed?_0x54aa61(function(){_0x222aed=![];}):(!_0x5ccac3&&(_0x100168=_0x35bf78['query'][_0x22103c(0x1c7b)]),_0x30bf33!==_0x5ccac3&&(_0x35bf78[_0x22103c(0xae2)]['page']=0x1),!_0x30bf33&&(_0x35bf78[_0x22103c(0xae2)][_0x22103c(0x1c7b)]=_0x100168),_0x1f2309());});}const _0x44a904=_0x291b2b;;const _0x80183=_0x5074a3['p']+'src/js/modules/main/apps/sms/views/smsAccounts/edit/agentadd/agentadd.html/agentadd.html';;_0x3637e6['$inject']=[_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$document','$translate','license',_0x5537c6(0x9ca),_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x658),_0x5537c6(0x2199)];function _0x3637e6(_0x3c6273,_0x11c233,_0x55911b,_0x4a0c10,_0x4f807c,_0x139de4,_0x4589e1,_0x1533f3,_0x4adfd1,_0x5cc354,_0x1c06a5,_0x4cbd14){const _0x5ec2c2=_0x5537c6,_0x7deaf5=this;_0x7deaf5[_0x5ec2c2(0xe76)]=_0x5cc354[_0x5ec2c2(0x21e8)](),_0x7deaf5[_0x5ec2c2(0x8a5)]=_0x139de4,_0x7deaf5[_0x5ec2c2(0x9ca)]=_0x4589e1,_0x7deaf5[_0x5ec2c2(0x1b0c)]=_0x7deaf5[_0x5ec2c2(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x7deaf5[_0x5ec2c2(0x2404)]=_0x11c233[_0x5ec2c2(0x2276)]()+_0x5ec2c2(0x138b)+_0x11c233[_0x5ec2c2(0x17d8)](),_0x7deaf5['smsAccount']=_0x1c06a5||_0x3c6273[_0x5ec2c2(0x1dfe)][_0x5ec2c2(0x658)]||{},_0x7deaf5[_0x5ec2c2(0x2199)]=_0x4cbd14&&_0x4cbd14['count']==0x1?_0x4cbd14[_0x5ec2c2(0x2214)][0x0]:null,_0x7deaf5['crudPermissions']=_0x5cc354['parseCrudPermissions'](_0x7deaf5[_0x5ec2c2(0x2199)]?_0x7deaf5['userProfileSection'][_0x5ec2c2(0x1b1a)]:null),_0x7deaf5['hasModulePermissions']={},_0x7deaf5[_0x5ec2c2(0x8ec)]=_0x3c6273['params'][_0x5ec2c2(0x291e)]||0x0,_0x7deaf5['agentadddialog']=_0x4fe76e,_0x7deaf5['alert']=_0x4adfd1[_0x5ec2c2(0x28c7)],_0x7deaf5['gotoSmsAccounts']=_0x244dad,_0x7deaf5[_0x5ec2c2(0xb75)]=_0x4fddf5,_0x5cc354[_0x5ec2c2(0x22b6)](_0x5ec2c2(0x1c60))?_0x1533f3['cmList'][_0x5ec2c2(0xbf7)]({'fields':'id,name','sort':_0x5ec2c2(0x16b6)})[_0x5ec2c2(0x1d77)][_0x5ec2c2(0x1cb0)](function(_0x3b0771){const _0x559ad2=_0x5ec2c2;_0x7deaf5[_0x559ad2(0x1046)]=_0x3b0771['rows']||[];})[_0x5ec2c2(0x1c4)](function(_0x5e93e6){const _0x250d9a=_0x5ec2c2;_0x4adfd1['error']({'title':_0x5e93e6['status']?'API:'+_0x5e93e6[_0x250d9a(0x291)]+_0x250d9a(0x1657)+_0x5e93e6[_0x250d9a(0xc22)]:_0x250d9a(0x149e),'msg':_0x5e93e6[_0x250d9a(0x25c)]?JSON['stringify'](_0x5e93e6['data']):_0x5e93e6[_0x250d9a(0x147f)]()});}):_0x1533f3[_0x5ec2c2(0x22f2)]['get']({'fields':'id,name','sort':'name'})[_0x5ec2c2(0x1d77)]['then'](function(_0x1113bd){const _0x36ac03=_0x5ec2c2;_0x7deaf5[_0x36ac03(0x1046)]=_0x1113bd[_0x36ac03(0x2214)]||[];})['then'](function(){const _0x3fb3e2=_0x5ec2c2;return _0x1533f3[_0x3fb3e2(0x2199)][_0x3fb3e2(0xbf7)]({'userProfileId':_0x7deaf5[_0x3fb3e2(0xe76)][_0x3fb3e2(0x13c1)],'sectionId':0x12d})['$promise'];})[_0x5ec2c2(0x1cb0)](function(_0x1f8e47){const _0x201539=_0x5ec2c2,_0x34a87c=_0x1f8e47&&_0x1f8e47[_0x201539(0x2214)]?_0x1f8e47[_0x201539(0x2214)][0x0]:null;if(!_0x34a87c){const _0x5809bc=[];let _0x327098=null;_0x7deaf5[_0x201539(0x658)]&&(_0x327098=_0x39641b()[_0x201539(0x13b4)](_0x7deaf5[_0x201539(0x1046)],{'id':Number(_0x7deaf5[_0x201539(0x658)][_0x201539(0x20a6)])}));for(let _0x4f9c9c=0x0;_0x4f9c9c<_0x7deaf5[_0x201539(0x1046)][_0x201539(0xfd0)];_0x4f9c9c++){_0x327098&&_0x7deaf5[_0x201539(0x1046)][_0x4f9c9c]['id']===_0x327098['id']&&(_0x7deaf5[_0x201539(0x1046)][_0x4f9c9c][_0x201539(0x15da)]=![],_0x5809bc[_0x201539(0x2785)](_0x7deaf5[_0x201539(0x1046)][_0x4f9c9c]));}_0x7deaf5[_0x201539(0x1046)]=_0x5809bc;}else{if(!_0x34a87c[_0x201539(0x12f4)])return _0x1533f3[_0x201539(0x1198)][_0x201539(0xbf7)]({'sectionId':_0x34a87c['id']})[_0x201539(0x1d77)][_0x201539(0x1cb0)](function(_0x23e1ed){const _0xc6eceb=_0x201539,_0x576775=_0x39641b()[_0xc6eceb(0x1de2)](_0x23e1ed[_0xc6eceb(0x2214)],function(_0x1bf566){const _0x1c7718=_0xc6eceb;return _0x39641b()[_0x1c7718(0x13b4)](_0x7deaf5[_0x1c7718(0x1046)],{'id':_0x1bf566['resourceId']});});let _0x4f1bda=null;_0x7deaf5[_0xc6eceb(0x658)]&&(_0x4f1bda=_0x39641b()['find'](_0x7deaf5[_0xc6eceb(0x1046)],{'id':Number(_0x7deaf5[_0xc6eceb(0x658)]['ListId'])}));if(_0x4f1bda&&!_0x39641b()[_0xc6eceb(0x727)](_0x576775,['id',_0x4f1bda['id']])){const _0x3b9603=_0x39641b()[_0xc6eceb(0x13b4)](_0x7deaf5['lists'],{'id':_0x4f1bda['id']});_0x3b9603[_0xc6eceb(0x15da)]=![],_0x576775[_0xc6eceb(0x2785)](_0x3b9603);}_0x7deaf5[_0xc6eceb(0x1046)]=_0x576775;});}})[_0x5ec2c2(0x1c4)](function(_0x3ac276){const _0x4da969=_0x5ec2c2;_0x4adfd1[_0x4da969(0x218e)]({'title':_0x3ac276[_0x4da969(0x291)]?_0x4da969(0xeb9)+_0x3ac276[_0x4da969(0x291)]+_0x4da969(0x1657)+_0x3ac276['statusText']:_0x4da969(0x113c),'msg':_0x3ac276[_0x4da969(0x25c)]?JSON[_0x4da969(0x2701)](_0x3ac276[_0x4da969(0x25c)]):_0x3ac276[_0x4da969(0x147f)]()});}),_0x5cc354[_0x5ec2c2(0x22b6)](_0x5ec2c2(0x1c60))?_0x1533f3[_0x5ec2c2(0x785)][_0x5ec2c2(0xbf7)]({'fields':_0x5ec2c2(0x791),'sort':_0x5ec2c2(0x16b6),'nolimit':_0x5ec2c2(0x44d)})[_0x5ec2c2(0x1d77)][_0x5ec2c2(0x1cb0)](function(_0x6816b8){const _0x2d2c9c=_0x5ec2c2;_0x7deaf5[_0x2d2c9c(0x8de)]=_0x6816b8[_0x2d2c9c(0x2214)]||[];})[_0x5ec2c2(0x1c4)](function(_0x252287){const _0xe08280=_0x5ec2c2;_0x4adfd1[_0xe08280(0x218e)]({'title':_0x252287['status']?'API:'+_0x252287[_0xe08280(0x291)]+'\x20-\x20'+_0x252287[_0xe08280(0xc22)]:_0xe08280(0x1589),'msg':_0x252287[_0xe08280(0x25c)]?JSON[_0xe08280(0x2701)](_0x252287[_0xe08280(0x25c)]):_0x252287['toString']()});}):_0x1533f3[_0x5ec2c2(0x785)][_0x5ec2c2(0xbf7)]({'fields':_0x5ec2c2(0x791),'sort':_0x5ec2c2(0x16b6),'nolimit':_0x5ec2c2(0x44d)})['$promise'][_0x5ec2c2(0x1cb0)](function(_0x2f359b){const _0x2788b9=_0x5ec2c2;_0x7deaf5[_0x2788b9(0x8de)]=_0x2f359b[_0x2788b9(0x2214)]||[];})[_0x5ec2c2(0x1cb0)](function(){const _0x9b4a12=_0x5ec2c2;return _0x1533f3[_0x9b4a12(0x2199)][_0x9b4a12(0xbf7)]({'userProfileId':_0x7deaf5[_0x9b4a12(0xe76)]['userProfileId'],'sectionId':0x3ed})[_0x9b4a12(0x1d77)];})['then'](function(_0x4fbe9b){const _0x2d6d82=_0x5ec2c2,_0x595d33=_0x4fbe9b&&_0x4fbe9b[_0x2d6d82(0x2214)]?_0x4fbe9b['rows'][0x0]:null;if(!_0x595d33)_0x7deaf5['pauses']=[];else{if(!_0x595d33['autoAssociation'])return _0x1533f3[_0x2d6d82(0x1198)][_0x2d6d82(0xbf7)]({'sectionId':_0x595d33['id']})[_0x2d6d82(0x1d77)]['then'](function(_0x5c7849){const _0x182684=_0x2d6d82,_0x5d892b=_0x39641b()[_0x182684(0x1de2)](_0x5c7849['rows'],function(_0xc5ad59){const _0x36a099=_0x182684;return _0x39641b()[_0x36a099(0x13b4)](_0x7deaf5[_0x36a099(0x8de)],{'id':_0xc5ad59['resourceId']});});_0x7deaf5[_0x182684(0x8de)][_0x182684(0xf90)](function(_0x31b9a9){const _0x1277ac=_0x182684;!_0x39641b()[_0x1277ac(0x727)](_0x5d892b,['id',_0x31b9a9['id']])&&(_0x31b9a9[_0x1277ac(0x15da)]=![]),_0x5d892b['push'](_0x31b9a9);}),_0x7deaf5['pauses']=_0x5d892b;});}})['catch'](function(_0x11feaa){const _0x32f6e8=_0x5ec2c2;_0x4adfd1[_0x32f6e8(0x218e)]({'title':_0x11feaa[_0x32f6e8(0x291)]?_0x32f6e8(0xeb9)+_0x11feaa['status']+'\x20-\x20'+_0x11feaa[_0x32f6e8(0xc22)]:_0x32f6e8(0x162),'msg':_0x11feaa[_0x32f6e8(0x25c)]?JSON[_0x32f6e8(0x2701)](_0x11feaa[_0x32f6e8(0x25c)]):_0x11feaa['toString']()});});function _0x4fe76e(_0x4597ae,_0x3fb052){const _0x4443f0=_0x5ec2c2;_0x55911b[_0x4443f0(0xe27)]({'controller':_0x4443f0(0x17ab),'controllerAs':'vm','templateUrl':_0x80183,'parent':angular[_0x4443f0(0x1853)](_0x4a0c10['body']),'targetEvent':_0x3fb052,'clickOutsideToClose':!![],'locals':{'smsAccount':_0x4597ae,'smsAccounts':_0x7deaf5[_0x4443f0(0x1ac)]?_0x7deaf5['smsAccounts'][_0x4443f0(0x2214)]:[],'crudPermissions':_0x7deaf5[_0x4443f0(0x1b1a)],'realtime':![]}});}function _0x244dad(){const _0xd2fe0e=_0x5ec2c2;_0x3c6273['go']('app.sms.smsAccounts',{},{'reload':_0xd2fe0e(0xa9f)});}function _0x4fddf5(){const _0x441f7f=_0x5ec2c2;_0x1533f3[_0x441f7f(0x658)][_0x441f7f(0x687)]({'id':_0x7deaf5[_0x441f7f(0x658)]['id']},_0x7deaf5[_0x441f7f(0x658)])[_0x441f7f(0x1d77)]['then'](function(){const _0x333c03=_0x441f7f;_0x4adfd1[_0x333c03(0x829)]({'title':'SmsAccount\x20updated!','msg':_0x7deaf5[_0x333c03(0x658)][_0x333c03(0x16b6)]?_0x7deaf5[_0x333c03(0x658)]['name']+'\x20has\x20been\x20updated!':''});})[_0x441f7f(0x1c4)](function(_0x508eb4){const _0x48b4bd=_0x441f7f;_0x4adfd1['error']({'title':_0x508eb4[_0x48b4bd(0x291)]?_0x48b4bd(0xeb9)+_0x508eb4[_0x48b4bd(0x291)]+_0x48b4bd(0x1657)+_0x508eb4[_0x48b4bd(0xc22)]:_0x48b4bd(0x279a),'msg':_0x508eb4[_0x48b4bd(0x25c)]?JSON[_0x48b4bd(0x2701)](_0x508eb4[_0x48b4bd(0x25c)]):_0x508eb4[_0x48b4bd(0x147f)]()});});}}const _0x45c858=_0x3637e6;;const _0x95eef5=_0x5074a3['p']+'src/js/modules/main/apps/sms/views/smsAccounts/create/dialog.html/dialog.html';;_0x1c9788[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$window','$state','$mdSidenav',_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0x1ac),_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x142b),'msUtils',_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x1c9788(_0x45e9fa,_0xa072ff,_0x106a7a,_0x5942c8,_0x330a3e,_0xa073b7,_0x148150,_0x5a4791,_0x57aeb4,_0x375335,_0x1327bd,_0x9431e2,_0x221595,_0xf40c57,_0x5b21bc,_0x426f45,_0xa8047e){const _0x3f3359=_0x5537c6,_0x5ccc17=this;_0x5ccc17['license']=_0x426f45,_0x5ccc17[_0x3f3359(0x9ca)]=_0xa8047e,_0x5ccc17['currentUser']=_0x5b21bc[_0x3f3359(0x21e8)](),_0x5ccc17[_0x3f3359(0x1ac)]=_0x57aeb4||{'count':0x0,'rows':[]},_0x5ccc17[_0x3f3359(0x44a)]=_0x375335,_0x5ccc17[_0x3f3359(0x2199)]=_0x1327bd&&_0x1327bd[_0x3f3359(0x184d)]==0x1?_0x1327bd[_0x3f3359(0x2214)][0x0]:null,_0x5ccc17['crudPermissions']=_0x5b21bc['parseCrudPermissions'](_0x5ccc17[_0x3f3359(0x2199)]?_0x5ccc17[_0x3f3359(0x2199)][_0x3f3359(0x1b1a)]:null),_0x5ccc17[_0x3f3359(0xc83)]=_0x3f3359(0x1ac),_0x5ccc17[_0x3f3359(0x1d20)]='',_0x5ccc17[_0x3f3359(0x1cdf)]=null,_0x5ccc17['selectedSmsAccounts']=[],_0x5ccc17[_0x3f3359(0xae2)]={'fields':'createdAt,updatedAt,id,name,key,token,remote,ListId,type,phone,accountSid,authId,authToken,smsMethod,username,password,baseUrl,apiKey,senderString,deliveryReport,waitForTheAssignedAgent,mandatoryDisposition,mandatoryDispositionPauseId,description,receiveUrl,deliveryReportUrl,notificationSound,notificationShake,notificationTemplate,queueTransfer,queueTransferTimeout,agentTransfer,agentTransferTimeout','sort':_0x3f3359(0x282),'limit':0xa,'page':0x1},_0x5ccc17['arraytype']=_0x39641b()[_0x3f3359(0x2631)]([{'option':_0x3f3359(0xb8b),'value':_0x3f3359(0x17d1)},{'option':_0x3f3359(0x6ec),'value':_0x3f3359(0x11e2)},{'option':'Connectel','value':_0x3f3359(0x202)},{'option':'ClickSend','value':_0x3f3359(0x131a)},{'option':_0x3f3359(0x565),'value':'\x27plivo\x27'},{'option':_0x3f3359(0xbb6),'value':'\x27infobip\x27'},{'option':_0x3f3359(0x90e),'value':_0x3f3359(0x8ed)},{'option':_0x3f3359(0x156d),'value':'\x27csc\x27'},{'option':_0x3f3359(0x5e6),'value':'\x27intelepeer\x27'}],function(_0x1e3f9a){const _0x5aa055=_0x3f3359;return _0x39641b()[_0x5aa055(0x288f)](_0x1e3f9a[_0x5aa055(0x327)],new RegExp('\x27','g'),'');}),_0x5ccc17[_0x3f3359(0x806)]=_0x39641b()['keyBy']([{'option':_0x3f3359(0x25b),'value':_0x3f3359(0x17db)},{'option':'Classic','value':_0x3f3359(0x5dd)},{'option':_0x3f3359(0x1f5),'value':_0x3f3359(0xed5)}],function(_0x1eefc8){const _0xcbdbdb=_0x3f3359;return _0x39641b()['replace'](_0x1eefc8[_0xcbdbdb(0x327)],new RegExp('\x27','g'),'');}),_0x5ccc17[_0x3f3359(0x235d)]=_0x2189cb,_0x5ccc17[_0x3f3359(0x1552)]=_0x30a310,_0x5ccc17[_0x3f3359(0x1379)]=_0x4d49a8,_0x5ccc17[_0x3f3359(0x27fe)]=_0x5503cf,_0x5ccc17[_0x3f3359(0x829)]=_0x47ea05,_0x5ccc17[_0x3f3359(0x856)]=_0x2f023f,_0x5ccc17[_0x3f3359(0x1a8a)]=_0x470b85,_0x5ccc17['deleteSmsAccount']=_0x522fc7,_0x5ccc17[_0x3f3359(0x249b)]=_0xb312f1,_0x5ccc17[_0x3f3359(0x56c)]=_0x1fbad0,_0x5ccc17[_0x3f3359(0x20b2)]=_0x1c7a81,_0x5ccc17[_0x3f3359(0x2819)]=_0x2f13f1,_0x5b21bc['hasRole'](_0x3f3359(0x1c60))?_0x9431e2[_0x3f3359(0x22f2)]['get']({'fields':_0x3f3359(0x43c),'sort':'name'})[_0x3f3359(0x1d77)][_0x3f3359(0x1cb0)](function(_0x29e0c3){const _0x243b63=_0x3f3359;_0x5ccc17[_0x243b63(0x1046)]=_0x29e0c3[_0x243b63(0x2214)]||[];})['catch'](function(_0x3f8f1b){const _0x3c4d78=_0x3f3359;_0xf40c57['error']({'title':_0x3f8f1b[_0x3c4d78(0x291)]?'API:'+_0x3f8f1b['status']+_0x3c4d78(0x1657)+_0x3f8f1b['statusText']:_0x3c4d78(0x149e),'msg':_0x3f8f1b['data']?JSON[_0x3c4d78(0x2701)](_0x3f8f1b[_0x3c4d78(0x25c)]):_0x3f8f1b[_0x3c4d78(0x147f)]()});}):_0x9431e2[_0x3f3359(0x22f2)][_0x3f3359(0xbf7)]({'fields':_0x3f3359(0x43c),'sort':_0x3f3359(0x16b6)})['$promise'][_0x3f3359(0x1cb0)](function(_0x3961dd){_0x5ccc17['lists']=_0x3961dd['rows']||[];})[_0x3f3359(0x1cb0)](function(){const _0x349a66=_0x3f3359;return _0x9431e2[_0x349a66(0x2199)][_0x349a66(0xbf7)]({'userProfileId':_0x5ccc17['currentUser'][_0x349a66(0x13c1)],'sectionId':0x12d})[_0x349a66(0x1d77)];})[_0x3f3359(0x1cb0)](function(_0x1ba49f){const _0x51e7d5=_0x3f3359,_0x373160=_0x1ba49f&&_0x1ba49f[_0x51e7d5(0x2214)]?_0x1ba49f['rows'][0x0]:null;if(!_0x373160){const _0x4cd7d6=[];let _0x2a7ec0=null;_0x5ccc17[_0x51e7d5(0x658)]&&(_0x2a7ec0=_0x39641b()[_0x51e7d5(0x13b4)](_0x5ccc17['lists'],{'id':Number(_0x5ccc17[_0x51e7d5(0x658)][_0x51e7d5(0x20a6)])}));for(let _0x49200d=0x0;_0x49200d<_0x5ccc17[_0x51e7d5(0x1046)][_0x51e7d5(0xfd0)];_0x49200d++){_0x2a7ec0&&_0x5ccc17[_0x51e7d5(0x1046)][_0x49200d]['id']===_0x2a7ec0['id']&&(_0x5ccc17[_0x51e7d5(0x1046)][_0x49200d]['canSelect']=![],_0x4cd7d6[_0x51e7d5(0x2785)](_0x5ccc17[_0x51e7d5(0x1046)][_0x49200d]));}_0x5ccc17['lists']=_0x4cd7d6;}else{if(!_0x373160['autoAssociation'])return _0x9431e2['userProfileResource'][_0x51e7d5(0xbf7)]({'sectionId':_0x373160['id']})[_0x51e7d5(0x1d77)][_0x51e7d5(0x1cb0)](function(_0x554b5c){const _0x46bb20=_0x51e7d5,_0xc69ee3=_0x39641b()[_0x46bb20(0x1de2)](_0x554b5c[_0x46bb20(0x2214)],function(_0x25fac8){const _0x4b8de9=_0x46bb20;return _0x39641b()['find'](_0x5ccc17[_0x4b8de9(0x1046)],{'id':_0x25fac8['resourceId']});});let _0x5ee469=null;_0x5ccc17[_0x46bb20(0x658)]&&(_0x5ee469=_0x39641b()[_0x46bb20(0x13b4)](_0x5ccc17[_0x46bb20(0x1046)],{'id':Number(_0x5ccc17[_0x46bb20(0x658)][_0x46bb20(0x20a6)])}));if(_0x5ee469&&!_0x39641b()['some'](_0xc69ee3,['id',_0x5ee469['id']])){const _0x2a8562=_0x39641b()[_0x46bb20(0x13b4)](_0x5ccc17[_0x46bb20(0x1046)],{'id':_0x5ee469['id']});_0x2a8562[_0x46bb20(0x15da)]=![],_0xc69ee3[_0x46bb20(0x2785)](_0x2a8562);}_0x5ccc17[_0x46bb20(0x1046)]=_0xc69ee3;});}})[_0x3f3359(0x1c4)](function(_0x2e0d71){const _0xf1b8ea=_0x3f3359;_0xf40c57[_0xf1b8ea(0x218e)]({'title':_0x2e0d71[_0xf1b8ea(0x291)]?_0xf1b8ea(0xeb9)+_0x2e0d71[_0xf1b8ea(0x291)]+_0xf1b8ea(0x1657)+_0x2e0d71[_0xf1b8ea(0xc22)]:_0xf1b8ea(0x113c),'msg':_0x2e0d71[_0xf1b8ea(0x25c)]?JSON[_0xf1b8ea(0x2701)](_0x2e0d71[_0xf1b8ea(0x25c)]):_0x2e0d71[_0xf1b8ea(0x147f)]()});});function _0x2189cb(_0x3a58db){const _0x294e32=_0x3f3359;_0x106a7a['go'](_0x294e32(0x280b),{'id':_0x3a58db['id'],'smsAccount':_0x3a58db,'crudPermissions':_0x5ccc17['crudPermissions']});}function _0x30a310(_0x2e16bb){const _0xa1e24a=_0x3f3359;_0x106a7a['go'](_0xa1e24a(0x280b),{'id':_0x2e16bb['id'],'tab':0x5});}function _0x4d49a8(_0x442ad5,_0x58b42f){const _0x336a54=_0x3f3359;_0x330a3e['show']({'controller':_0x336a54(0x17ab),'controllerAs':'vm','templateUrl':_0x80183,'parent':angular['element'](_0xa073b7[_0x336a54(0x1ed9)]),'targetEvent':_0x58b42f,'clickOutsideToClose':!![],'locals':{'smsAccount':_0x442ad5,'smsAccounts':_0x5ccc17[_0x336a54(0x1ac)]?_0x5ccc17[_0x336a54(0x1ac)]['rows']:[],'crudPermissions':_0x5ccc17['crudPermissions'],'realtime':![]}});}function _0x5503cf(_0x1adaec,_0x459fd5){const _0x21fc1b=_0x3f3359,_0x475398=_0x330a3e[_0x21fc1b(0x1551)]()['title'](_0x21fc1b(0x140b)+_0x39641b()[_0x21fc1b(0xa75)](_0x21fc1b(0x658))+'?')[_0x21fc1b(0x49e)](_0x21fc1b(0x204d)+(_0x1adaec['name']||'smsAccount')+''+_0x21fc1b(0x1b6))[_0x21fc1b(0x15ad)](_0x21fc1b(0xaf9))['targetEvent'](_0x459fd5)['ok']('OK')[_0x21fc1b(0x696)]('CANCEL');_0x330a3e[_0x21fc1b(0xe27)](_0x475398)[_0x21fc1b(0x1cb0)](function(){_0x522fc7(_0x1adaec);},function(){console['log']('CANCEL');});}let _0x2f22df=!![],_0x10e9c6=0x1;_0x45e9fa[_0x3f3359(0x614)](_0x3f3359(0x957),function(_0x2640b3,_0x2f9774){const _0x2dedb1=_0x3f3359;_0x2f22df?_0x148150(function(){_0x2f22df=![];}):(!_0x2f9774&&(_0x10e9c6=_0x5ccc17[_0x2dedb1(0xae2)]['page']),_0x2640b3!==_0x2f9774&&(_0x5ccc17[_0x2dedb1(0xae2)][_0x2dedb1(0x1c7b)]=0x1),!_0x2640b3&&(_0x5ccc17[_0x2dedb1(0xae2)][_0x2dedb1(0x1c7b)]=_0x10e9c6),_0x5ccc17[_0x2dedb1(0x856)]());});function _0x47ea05(_0x116fc6){const _0xfedace=_0x3f3359;_0x5ccc17[_0xfedace(0x1ac)]=_0x116fc6||{'count':0x0,'rows':[]};}function _0x2f023f(){const _0x5b6413=_0x3f3359;_0x5ccc17['query']['offset']=(_0x5ccc17[_0x5b6413(0xae2)][_0x5b6413(0x1c7b)]-0x1)*_0x5ccc17[_0x5b6413(0xae2)][_0x5b6413(0x236)],_0x5b21bc['hasRole'](_0x5b6413(0x1c60))?_0x5ccc17['promise']=_0x9431e2[_0x5b6413(0x658)][_0x5b6413(0xbf7)](_0x5ccc17[_0x5b6413(0xae2)],_0x47ea05)['$promise']:(_0x5ccc17[_0x5b6413(0xae2)]['id']=_0x5ccc17[_0x5b6413(0x44a)]['id'],_0x5ccc17['query']['section']=_0x5b6413(0x1b3f),_0x5ccc17[_0x5b6413(0x2061)]=_0x9431e2[_0x5b6413(0x44a)]['getResources'](_0x5ccc17[_0x5b6413(0xae2)],_0x47ea05)[_0x5b6413(0x1d77)]);}function _0x470b85(_0x6b9485,_0x47f6f0){const _0x16d836=_0x3f3359;_0x330a3e[_0x16d836(0xe27)]({'controller':_0x16d836(0x681),'controllerAs':'vm','templateUrl':_0x95eef5,'parent':angular[_0x16d836(0x1853)](_0xa073b7['body']),'targetEvent':_0x6b9485,'clickOutsideToClose':!![],'locals':{'smsAccount':_0x47f6f0,'smsAccounts':_0x5ccc17[_0x16d836(0x1ac)][_0x16d836(0x2214)],'license':_0x5ccc17['license'],'setting':_0x5ccc17[_0x16d836(0x9ca)],'crudPermissions':_0x5ccc17['crudPermissions']}});}function _0x522fc7(_0x11eab4){const _0x466a9e=_0x3f3359;_0x9431e2[_0x466a9e(0x658)][_0x466a9e(0x111d)]({'id':_0x11eab4['id']})[_0x466a9e(0x1d77)][_0x466a9e(0x1cb0)](function(){const _0x575190=_0x466a9e;_0x39641b()[_0x575190(0x152a)](_0x5ccc17[_0x575190(0x1ac)][_0x575190(0x2214)],{'id':_0x11eab4['id']}),_0x5ccc17[_0x575190(0x1ac)][_0x575190(0x184d)]-=0x1,!_0x5ccc17[_0x575190(0x1ac)]['rows'][_0x575190(0xfd0)]&&_0x5ccc17[_0x575190(0x856)](),_0xf40c57['success']({'title':_0x39641b()[_0x575190(0xa75)](_0x575190(0xa1f))+_0x575190(0x2663),'msg':_0x11eab4[_0x575190(0x16b6)]?_0x11eab4['name']+_0x575190(0x3f5):''});})['catch'](function(_0x1c7b06){const _0xac4ee1=_0x466a9e;if(_0x1c7b06['data']&&_0x1c7b06[_0xac4ee1(0x25c)][_0xac4ee1(0x1a7c)]&&_0x1c7b06[_0xac4ee1(0x25c)][_0xac4ee1(0x1a7c)][_0xac4ee1(0xfd0)]){_0x5ccc17['errors']=_0x1c7b06[_0xac4ee1(0x25c)][_0xac4ee1(0x1a7c)]||[{'message':_0x1c7b06[_0xac4ee1(0x147f)](),'type':_0xac4ee1(0xff4)}];for(let _0x4f86cb=0x0;_0x4f86cb<_0x1c7b06[_0xac4ee1(0x25c)]['errors']['length'];_0x4f86cb++){_0xf40c57[_0xac4ee1(0x218e)]({'title':_0x1c7b06[_0xac4ee1(0x25c)][_0xac4ee1(0x1a7c)][_0x4f86cb][_0xac4ee1(0x66a)],'msg':_0x1c7b06[_0xac4ee1(0x25c)][_0xac4ee1(0x1a7c)][_0x4f86cb][_0xac4ee1(0x155e)]});}}else _0xf40c57[_0xac4ee1(0x218e)]({'title':_0x1c7b06['status']?_0xac4ee1(0xeb9)+_0x1c7b06[_0xac4ee1(0x291)]+_0xac4ee1(0x1657)+_0x1c7b06['statusText']:_0xac4ee1(0xff4),'msg':_0x1c7b06[_0xac4ee1(0x25c)]?JSON[_0xac4ee1(0x2701)](_0x1c7b06[_0xac4ee1(0x25c)][_0xac4ee1(0x155e)]):_0x1c7b06[_0xac4ee1(0x155e)]||_0x1c7b06[_0xac4ee1(0x147f)]()});});}function _0xb312f1(){const _0x77681a=_0x3f3359,_0x34e80a=angular[_0x77681a(0x17fe)](_0x5ccc17[_0x77681a(0x52b)]);return _0x5ccc17[_0x77681a(0x52b)]=[],_0x34e80a;}function _0x1fbad0(_0x23febd){const _0x498fc1=_0x3f3359,_0x2a83bb=_0x330a3e[_0x498fc1(0x1551)]()[_0x498fc1(0x1386)](_0x498fc1(0x408))[_0x498fc1(0x49e)](''+_0x5ccc17[_0x498fc1(0x52b)][_0x498fc1(0xfd0)]+_0x498fc1(0x1d6c)+_0x498fc1(0x1b6))['ariaLabel'](_0x498fc1(0x13bf))[_0x498fc1(0x728)](_0x23febd)['ok']('OK')[_0x498fc1(0x696)](_0x498fc1(0x24ba));_0x330a3e[_0x498fc1(0xe27)](_0x2a83bb)[_0x498fc1(0x1cb0)](function(){const _0x3b1985=_0x498fc1;_0x5ccc17['selectedSmsAccounts'][_0x3b1985(0xf90)](function(_0x24cb95){_0x522fc7(_0x24cb95);}),_0x5ccc17['selectedSmsAccounts']=[];});}function _0x1c7a81(){_0x5ccc17['selectedSmsAccounts']=[];}function _0x2f13f1(){const _0x39c4e1=_0x3f3359;_0x5ccc17[_0x39c4e1(0x52b)]=_0x5ccc17[_0x39c4e1(0x1ac)][_0x39c4e1(0x2214)];}}const _0x25aa55=_0x1c9788;;_0x59c2e8[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),'$mdDialog','$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x1863),'smsQueue','api',_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x59c2e8(_0x344945,_0x17d0ea,_0x1eebd3,_0x16e2ed,_0x4dba52,_0x55ff01,_0x53ae91,_0x3d586f,_0xb07e8,_0x2ab15b,_0x35e3d9,_0x26905a,_0x3c9d71,_0x1d97f5){const _0x4c062b=_0x5537c6,_0x1f584e=this;_0x1f584e[_0x4c062b(0xe76)]=_0x35e3d9[_0x4c062b(0x21e8)](),_0x1f584e[_0x4c062b(0x1a7c)]=[],_0x1f584e[_0x4c062b(0x9ca)]=_0x3c9d71,_0x1f584e['license']=_0x26905a,_0x1f584e['crudPermissions']=_0x1d97f5,_0x1f584e[_0x4c062b(0xf4c)]={},_0x1f584e['passwordPattern']=_0x1f584e[_0x4c062b(0x9ca)]&&_0x1f584e[_0x4c062b(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x1f584e[_0x4c062b(0x1386)]='SMS.EDIT_SMSQUEUE',_0x1f584e[_0x4c062b(0x62e)]=angular[_0x4c062b(0x17fe)](_0xb07e8),_0x1f584e['smsQueues']=_0x3d586f,_0x1f584e[_0x4c062b(0x364)]=![];!_0x1f584e[_0x4c062b(0x62e)]&&(_0x1f584e[_0x4c062b(0x62e)]={'strategy':'beepall','timeout':0xa},_0x1f584e['title']=_0x4c062b(0x220e),_0x1f584e[_0x4c062b(0x364)]=!![]);_0x1f584e[_0x4c062b(0x50d)]=_0x44e433,_0x1f584e[_0x4c062b(0xa77)]=_0x5e64d2,_0x1f584e[_0x4c062b(0x286d)]=_0x563f46,_0x1f584e['getDateFromString']=_0x429664,_0x1f584e['closeDialog']=_0x2a11c9;function _0x44e433(){const _0x578ab7=_0x4c062b;_0x1f584e[_0x578ab7(0x1a7c)]=[],_0x2ab15b[_0x578ab7(0x62e)][_0x578ab7(0x1c3f)](_0x1f584e[_0x578ab7(0x62e)])[_0x578ab7(0x1d77)][_0x578ab7(0x1cb0)](function(_0x54d3c5){const _0x358f9f=_0x578ab7;_0x1f584e['smsQueues'][_0x358f9f(0xf63)](_0x54d3c5[_0x358f9f(0x19b2)]()),_0x53ae91[_0x358f9f(0x829)]({'title':_0x358f9f(0x1486),'msg':_0x1f584e[_0x358f9f(0x62e)][_0x358f9f(0x16b6)]?_0x1f584e[_0x358f9f(0x62e)][_0x358f9f(0x16b6)]+_0x358f9f(0x470):''}),_0x2a11c9(_0x54d3c5);})[_0x578ab7(0x1c4)](function(_0x2f9ad8){const _0x5d69b0=_0x578ab7;if(_0x2f9ad8[_0x5d69b0(0x25c)]&&_0x2f9ad8[_0x5d69b0(0x25c)]['errors']&&_0x2f9ad8['data']['errors'][_0x5d69b0(0xfd0)]){_0x1f584e['errors']=_0x2f9ad8[_0x5d69b0(0x25c)][_0x5d69b0(0x1a7c)]||[{'message':_0x2f9ad8[_0x5d69b0(0x147f)](),'type':_0x5d69b0(0x1ec8)}];for(let _0x42b4ba=0x0;_0x42b4ba<_0x2f9ad8[_0x5d69b0(0x25c)]['errors'][_0x5d69b0(0xfd0)];_0x42b4ba+=0x1){_0x53ae91[_0x5d69b0(0x218e)]({'title':_0x2f9ad8[_0x5d69b0(0x25c)][_0x5d69b0(0x1a7c)][_0x42b4ba][_0x5d69b0(0x66a)],'msg':_0x2f9ad8[_0x5d69b0(0x25c)][_0x5d69b0(0x1a7c)][_0x42b4ba][_0x5d69b0(0x155e)]});}}else _0x53ae91['error']({'title':_0x2f9ad8[_0x5d69b0(0x291)]?_0x5d69b0(0xeb9)+_0x2f9ad8[_0x5d69b0(0x291)]+_0x5d69b0(0x1657)+_0x2f9ad8[_0x5d69b0(0xc22)]:'api.smsQueue.save','msg':_0x2f9ad8[_0x5d69b0(0x25c)]?JSON[_0x5d69b0(0x2701)](_0x2f9ad8[_0x5d69b0(0x25c)][_0x5d69b0(0x155e)]):_0x2f9ad8[_0x5d69b0(0x147f)]()});});}function _0x5e64d2(){const _0x3ccebb=_0x4c062b;_0x1f584e['errors']=[],_0x2ab15b['smsQueue'][_0x3ccebb(0x687)]({'id':_0x1f584e[_0x3ccebb(0x62e)]['id']},_0x1f584e['smsQueue'])[_0x3ccebb(0x1d77)][_0x3ccebb(0x1cb0)](function(_0x57c9a9){const _0x390c02=_0x3ccebb,_0x12276f=_0x39641b()[_0x390c02(0x13b4)](_0x1f584e[_0x390c02(0x1863)],{'id':_0x57c9a9['id']});_0x12276f&&_0x39641b()[_0x390c02(0x9c1)](_0x12276f,_0x39641b()[_0x390c02(0x169b)](_0x57c9a9['toJSON'](),_0x39641b()['keys'](_0x12276f))),_0x53ae91[_0x390c02(0x829)]({'title':_0x390c02(0x27be),'msg':_0x1f584e['smsQueue'][_0x390c02(0x16b6)]?_0x1f584e['smsQueue'][_0x390c02(0x16b6)]+_0x390c02(0xedb):''}),_0x2a11c9(_0x57c9a9);})['catch'](function(_0x250b94){const _0x368ce7=_0x3ccebb;if(_0x250b94[_0x368ce7(0x25c)]&&_0x250b94[_0x368ce7(0x25c)][_0x368ce7(0x1a7c)]&&_0x250b94[_0x368ce7(0x25c)][_0x368ce7(0x1a7c)]['length']){_0x1f584e[_0x368ce7(0x1a7c)]=_0x250b94[_0x368ce7(0x25c)]['errors']||[{'message':_0x250b94['toString'](),'type':_0x368ce7(0x1bf3)}];for(let _0x347e7e=0x0;_0x347e7e<_0x250b94['data'][_0x368ce7(0x1a7c)][_0x368ce7(0xfd0)];_0x347e7e++){_0x53ae91['error']({'title':_0x250b94['data'][_0x368ce7(0x1a7c)][_0x347e7e][_0x368ce7(0x66a)],'msg':_0x250b94[_0x368ce7(0x25c)][_0x368ce7(0x1a7c)][_0x347e7e][_0x368ce7(0x155e)]});}}else _0x53ae91[_0x368ce7(0x218e)]({'title':_0x250b94[_0x368ce7(0x291)]?_0x368ce7(0xeb9)+_0x250b94[_0x368ce7(0x291)]+_0x368ce7(0x1657)+_0x250b94[_0x368ce7(0xc22)]:_0x368ce7(0x1bf3),'msg':_0x250b94['data']?JSON[_0x368ce7(0x2701)](_0x250b94[_0x368ce7(0x25c)][_0x368ce7(0x155e)]):_0x250b94[_0x368ce7(0x147f)]()});});}function _0x563f46(_0x433bbf){const _0x527066=_0x4c062b;_0x1f584e[_0x527066(0x1a7c)]=[];const _0x15bd9e=_0x16e2ed['confirm']()['title'](_0x527066(0x1a2e))[_0x527066(0x862)](_0x527066(0x1bb6))[_0x527066(0x15ad)](_0x527066(0x2dc))['ok'](_0x527066(0x2594))['cancel'](_0x527066(0xde1))[_0x527066(0x728)](_0x433bbf);_0x16e2ed[_0x527066(0xe27)](_0x15bd9e)[_0x527066(0x1cb0)](function(){const _0x21377c=_0x527066;_0x2ab15b[_0x21377c(0x62e)][_0x21377c(0x111d)]({'id':_0x1f584e['smsQueue']['id']})[_0x21377c(0x1d77)][_0x21377c(0x1cb0)](function(){const _0x57e326=_0x21377c;_0x39641b()[_0x57e326(0x152a)](_0x1f584e[_0x57e326(0x1863)],{'id':_0x1f584e[_0x57e326(0x62e)]['id']}),_0x53ae91[_0x57e326(0x829)]({'title':_0x57e326(0x29e3),'msg':(_0x1f584e[_0x57e326(0x62e)][_0x57e326(0x16b6)]||_0x57e326(0x62e))+_0x57e326(0x3f5)}),_0x2a11c9(_0x1f584e[_0x57e326(0x62e)]);})[_0x21377c(0x1c4)](function(_0x3a8ffe){const _0x553cd0=_0x21377c;if(_0x3a8ffe[_0x553cd0(0x25c)]&&_0x3a8ffe[_0x553cd0(0x25c)]['errors']&&_0x3a8ffe[_0x553cd0(0x25c)][_0x553cd0(0x1a7c)][_0x553cd0(0xfd0)]){_0x1f584e[_0x553cd0(0x1a7c)]=_0x3a8ffe[_0x553cd0(0x25c)]['errors']||[{'message':_0x3a8ffe[_0x553cd0(0x147f)](),'type':'api.smsQueue.delete'}];for(let _0x2dd7b9=0x0;_0x2dd7b9<_0x3a8ffe[_0x553cd0(0x25c)][_0x553cd0(0x1a7c)]['length'];_0x2dd7b9++){_0x53ae91[_0x553cd0(0x218e)]({'title':_0x3a8ffe[_0x553cd0(0x25c)][_0x553cd0(0x1a7c)][_0x2dd7b9][_0x553cd0(0x66a)],'msg':_0x3a8ffe['data'][_0x553cd0(0x1a7c)][_0x2dd7b9][_0x553cd0(0x155e)]});}}else _0x53ae91[_0x553cd0(0x218e)]({'title':_0x3a8ffe['status']?_0x553cd0(0xeb9)+_0x3a8ffe[_0x553cd0(0x291)]+'\x20-\x20'+_0x3a8ffe['statusText']:_0x553cd0(0xf09),'msg':_0x3a8ffe['data']?JSON[_0x553cd0(0x2701)](_0x3a8ffe[_0x553cd0(0x25c)][_0x553cd0(0x155e)]):_0x3a8ffe['message']||_0x3a8ffe[_0x553cd0(0x147f)]()});});},function(){});}function _0x429664(_0x25f827){return _0x25f827===null?undefined:new Date(_0x25f827);}function _0x2a11c9(_0x3eb7fb){const _0x241448=_0x4c062b;_0x16e2ed[_0x241448(0x1426)](_0x3eb7fb);}}const _0x5626cb=_0x59c2e8;;_0x85e0bc[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q','toasty','api',_0x5537c6(0x62e),'smsQueues','realtime','$translate',_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x85e0bc(_0x88eca7,_0x46a1d9,_0x44dfb7,_0x4b3729,_0x564768,_0xee13ac,_0x1fc6d3,_0x3752c5,_0x4989b5,_0x1c73cb){const _0x5f2820=_0x5537c6,_0x390e3a=this;_0x390e3a['currentUser']=_0x4989b5[_0x5f2820(0x21e8)](),_0x390e3a[_0x5f2820(0x62e)]=_0x564768,_0x390e3a[_0x5f2820(0x1b1a)]=_0x1c73cb,_0x390e3a[_0x5f2820(0x217b)]=_0x1fc6d3,_0x390e3a[_0x5f2820(0x122f)]=[],_0x390e3a[_0x5f2820(0x1372)]=[],_0x390e3a[_0x5f2820(0x20bb)]=[],_0x390e3a[_0x5f2820(0xbd5)]=[],_0x390e3a['startingSelectedItems']=[],_0x390e3a[_0x5f2820(0x50c)]=![],_0x390e3a['onInit']=_0x1e1a68,_0x390e3a[_0x5f2820(0x1dd5)]=_0x2321fa,_0x390e3a[_0x5f2820(0xda0)]=_0x1c5e44,_0x390e3a[_0x5f2820(0x18c0)]={'readOnly':!_0x390e3a[_0x5f2820(0x1b1a)][_0x5f2820(0xb3d)],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x5f2820(0x16b6),'line1':_0x5f2820(0x1d14),'line2':[_0x5f2820(0x16b6),_0x5f2820(0xdbd)],'line3':'','labelAll':_0x3752c5[_0x5f2820(0x25cc)]('APP.ALL_AGENTS'),'labelSelected':_0x3752c5['instant'](_0x5f2820(0x1cd5)),'transferCallback':function(){const _0x1aa604=_0x5f2820,_0x430239=_0x39641b()[_0x1aa604(0x1423)](_0x390e3a[_0x1aa604(0x1456)],_0x390e3a[_0x1aa604(0x20bb)],'id');_0x390e3a['pendingChanges']=_0x39641b()['isEmpty'](_0x430239)?![]:!![];}};function _0x1e1a68(){const _0x263c51=_0x5f2820;return _0x4989b5['hasRole'](_0x263c51(0x1c60))?_0x3b304b()[_0x263c51(0x1c4)](function(_0xb94492){const _0x237af0=_0x263c51;_0x44dfb7[_0x237af0(0x218e)]({'title':_0xb94492['status']?'API:'+_0xb94492[_0x237af0(0x291)]+_0x237af0(0x1657)+_0xb94492[_0x237af0(0xc22)]:_0x237af0(0x799),'msg':_0xb94492[_0x237af0(0x291)]?JSON[_0x237af0(0x2701)](_0xb94492['data']):_0xb94492['toString']()});}):_0x4b9d20()['then'](function(_0x1dd6c9){const _0x5818a2=_0x263c51;return _0x390e3a[_0x5818a2(0x1f74)]=_0x1dd6c9,_0x3b304b();})[_0x263c51(0x1c4)](function(_0x168eca){const _0x452db7=_0x263c51;_0x44dfb7['error']({'title':_0x168eca[_0x452db7(0x291)]?_0x452db7(0xeb9)+_0x168eca[_0x452db7(0x291)]+_0x452db7(0x1657)+_0x168eca[_0x452db7(0xc22)]:_0x452db7(0x799),'msg':_0x168eca['status']?JSON[_0x452db7(0x2701)](_0x168eca[_0x452db7(0x25c)]):_0x168eca['toString']()});});}function _0x4b9d20(){return _0x46a1d9(function(_0x388cf5,_0x3a920e){const _0x308afa=a0_0x5cbd;_0x4b3729[_0x308afa(0x2199)][_0x308afa(0xbf7)]({'userProfileId':_0x390e3a[_0x308afa(0xe76)]['userProfileId'],'name':_0x308afa(0x2536)})[_0x308afa(0x1d77)][_0x308afa(0x1cb0)](function(_0x5121f0){const _0x2764d5=_0x308afa,_0x47bed5=_0x5121f0&&_0x5121f0['rows']?_0x5121f0[_0x2764d5(0x2214)][0x0]:null;_0x388cf5(_0x47bed5);})[_0x308afa(0x1c4)](function(_0x24da36){_0x3a920e(_0x24da36);});});}function _0x3b304b(){return _0x46a1d9(function(_0xbf86f0,_0x48d0f9){const _0x52ac82=a0_0x5cbd;return _0x55ce42()[_0x52ac82(0x1cb0)](function(_0x512430){const _0x4a89f1=_0x52ac82;return _0x390e3a[_0x4a89f1(0x122f)]=_0x512430[_0x4a89f1(0x2214)]?_0x512430[_0x4a89f1(0x2214)]:[],_0x4989b5[_0x4a89f1(0x22b6)](_0x4a89f1(0x1c60))?_0x512430:_0x390e3a['section']?_0x390e3a[_0x4a89f1(0x1f74)][_0x4a89f1(0x12f4)]?_0x512430:_0x4b9f6f():null;})['then'](function(_0x3a69be){const _0x43fd08=_0x52ac82,_0x5ea85e=_0x3a69be&&_0x3a69be[_0x43fd08(0x2214)]?_0x3a69be['rows']:[];return _0x390e3a['allowedItems']=_0x39641b()[_0x43fd08(0x1de2)](_0x5ea85e,function(_0x26db08){const _0x416dfd=_0x43fd08;return _0x39641b()[_0x416dfd(0x13b4)](_0x390e3a[_0x416dfd(0x122f)],{'id':_0x4989b5[_0x416dfd(0x22b6)](_0x416dfd(0x1c60))||_0x390e3a[_0x416dfd(0x1f74)][_0x416dfd(0x12f4)]?_0x26db08['id']:_0x26db08[_0x416dfd(0x2982)]});}),_0x390e3a[_0x43fd08(0xbd5)]=angular[_0x43fd08(0x17fe)](_0x390e3a['allowedItems']),_0x390e3a[_0x43fd08(0x122f)][_0x43fd08(0xf90)](function(_0xc637c8){const _0x531d75=_0x43fd08,_0x100ca1=_0x39641b()['find'](_0x390e3a[_0x531d75(0x1372)],{'id':_0xc637c8['id']});_0x4989b5[_0x531d75(0x22b6)](_0x531d75(0x1c60))?_0xc637c8['isValid']=!![]:_0xc637c8[_0x531d75(0x1a4f)]=typeof _0x100ca1!==_0x531d75(0x16b5)?!![]:![];}),_0x34bcbf();})['then'](function(_0x36cd74){const _0x421ab6=_0x52ac82,_0x185bf8=_0x36cd74&&_0x36cd74[_0x421ab6(0x2214)]?_0x36cd74[_0x421ab6(0x2214)]:[];_0x390e3a['selectedItems']=_0x39641b()['map'](_0x185bf8,function(_0x35e293){const _0x360c67=_0x421ab6,_0x111005=_0x39641b()['find'](_0x390e3a['items'],{'id':_0x35e293['id']});return _0x111005['penalty']=_0x35e293['UserSmsQueue']?_0x360c67(0x455)+_0x35e293[_0x360c67(0x25aa)][_0x360c67(0x1cbc)]:'',_0x111005[_0x360c67(0xdbd)]=typeof _0x35e293['internal']!==_0x360c67(0x16b5)?'<'+_0x35e293[_0x360c67(0xdbd)]+'>':'',_0x111005;}),_0x390e3a['startingSelectedItems']=angular['copy'](_0x390e3a[_0x421ab6(0x20bb)]),_0x390e3a[_0x421ab6(0x18c0)][_0x421ab6(0x20bb)]=_0x390e3a['selectedItems'],_0x390e3a[_0x421ab6(0x18c0)][_0x421ab6(0x122f)]=_0x39641b()[_0x421ab6(0x2128)](_0x390e3a[_0x421ab6(0x1372)],_0x390e3a[_0x421ab6(0x18c0)][_0x421ab6(0x20bb)],'id'),_0xbf86f0();})[_0x52ac82(0x1c4)](function(_0x173e26){_0x48d0f9(_0x173e26);});});}function _0x4b9f6f(){return _0x46a1d9(function(_0x42d89f,_0x995f88){const _0x113dbd=a0_0x5cbd;return _0x4b3729[_0x113dbd(0x1198)]['get']({'sectionId':_0x390e3a[_0x113dbd(0x1f74)]['id'],'nolimit':!![]})[_0x113dbd(0x1d77)]['then'](function(_0x3e1f2a){_0x42d89f(_0x3e1f2a);})[_0x113dbd(0x1c4)](function(_0x350779){_0x995f88(_0x350779);});});}function _0x34bcbf(){return _0x46a1d9(function(_0x1d18a6,_0x48397b){const _0x32d25e=a0_0x5cbd;return _0x4b3729[_0x32d25e(0x62e)][_0x32d25e(0x2348)]({'id':_0x390e3a[_0x32d25e(0x62e)]['id'],'fields':_0x32d25e(0x251e),'nolimit':!![],'role':'agent'})[_0x32d25e(0x1d77)][_0x32d25e(0x1cb0)](function(_0x3fa4e0){_0x1d18a6(_0x3fa4e0);})[_0x32d25e(0x1c4)](function(_0x281f6f){_0x48397b(_0x281f6f);});});}function _0x55ce42(){return _0x46a1d9(function(_0xde4a4,_0x26c3d0){const _0x4eec8f=a0_0x5cbd;return _0x4b3729[_0x4eec8f(0xebe)][_0x4eec8f(0xbf7)]({'fields':'id,name,internal,fullname','nolimit':!![],'role':'agent'})[_0x4eec8f(0x1d77)]['then'](function(_0x136620){_0xde4a4(_0x136620);})[_0x4eec8f(0x1c4)](function(_0x4f1d5b){_0x26c3d0(_0x4f1d5b);});});}function _0x489c3d(_0x5d90f7){return _0x46a1d9(function(_0x12e335,_0x215a06){const _0x22f643=a0_0x5cbd;_0x39641b()[_0x22f643(0xce9)](_0x5d90f7)?_0x12e335():_0x4b3729[_0x22f643(0x62e)][_0x22f643(0x2057)]({'id':_0x390e3a[_0x22f643(0x62e)]['id'],'ids':_0x39641b()['map'](_0x5d90f7,'id')})[_0x22f643(0x1d77)][_0x22f643(0x1cb0)](function(){_0x12e335();})['catch'](function(_0x45d705){_0x215a06(_0x45d705);});});}function _0x4cd0e8(_0x38370a){return _0x46a1d9(function(_0x5e1473,_0x3eaef0){const _0x2ae55d=a0_0x5cbd;_0x39641b()[_0x2ae55d(0xce9)](_0x38370a)?_0x5e1473():_0x4b3729['smsQueue'][_0x2ae55d(0x223f)]({'id':_0x390e3a[_0x2ae55d(0x62e)]['id'],'ids':_0x39641b()['map'](_0x38370a,'id')})[_0x2ae55d(0x1d77)][_0x2ae55d(0x1cb0)](function(){_0x5e1473();})[_0x2ae55d(0x1c4)](function(_0x20df00){_0x3eaef0(_0x20df00);});});}function _0x2321fa(){const _0x506081=_0x5f2820,_0x4db534=_0x39641b()[_0x506081(0x2128)](_0x390e3a[_0x506081(0x1456)],_0x390e3a[_0x506081(0x20bb)],'id'),_0x5cb8d7=_0x39641b()[_0x506081(0x2128)](_0x390e3a[_0x506081(0x20bb)],_0x390e3a[_0x506081(0x1456)],'id');return _0x4cd0e8(_0x4db534)[_0x506081(0x1cb0)](function(){return _0x489c3d(_0x5cb8d7);})['then'](function(){const _0x25f65b=_0x506081;_0x390e3a[_0x25f65b(0x50c)]=![],_0x390e3a[_0x25f65b(0xbd5)]=angular[_0x25f65b(0x17fe)](_0x390e3a[_0x25f65b(0x1372)]),_0x390e3a[_0x25f65b(0x1456)]=angular['copy'](_0x390e3a[_0x25f65b(0x20bb)]),_0x44dfb7[_0x25f65b(0x829)]({'title':_0x25f65b(0x201),'msg':'Agents\x20association\x20has\x20been\x20updated!'});})[_0x506081(0x1c4)](function(_0x17192d){const _0x10faba=_0x506081;_0x44dfb7[_0x10faba(0x218e)]({'title':_0x17192d[_0x10faba(0x291)]?_0x10faba(0xeb9)+_0x17192d['status']+'\x20-\x20'+_0x17192d[_0x10faba(0xc22)]:'SYSTEM:LISTS_ASSOCIATION','msg':_0x17192d['status']?JSON[_0x10faba(0x2701)](_0x17192d[_0x10faba(0x25c)]):_0x17192d['toString']()});});}function _0x1c5e44(){const _0x5e6c51=_0x5f2820;_0x88eca7[_0x5e6c51(0x1426)]();}}const _0x2c8f24=_0x85e0bc;;_0x1ace83[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x62e),_0x5537c6(0x1ae),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x1ace83(_0x5ef85f,_0x188331,_0x415178,_0x141f50,_0x1c6bdf,_0x21cd20,_0x5c4074,_0x49de23){const _0x4b4ded=_0x5537c6,_0x39a254=this;_0x39a254[_0x4b4ded(0xe76)]=_0x5c4074[_0x4b4ded(0x21e8)](),_0x39a254['smsQueue']=_0x1c6bdf,_0x39a254[_0x4b4ded(0x1b1a)]=_0x49de23,_0x39a254[_0x4b4ded(0x122f)]=[],_0x39a254[_0x4b4ded(0x1372)]=[],_0x39a254[_0x4b4ded(0x20bb)]=[],_0x39a254[_0x4b4ded(0xbd5)]=[],_0x39a254['startingSelectedItems']=[],_0x39a254['pendingChanges']=![],_0x39a254[_0x4b4ded(0x18c0)]={'readOnly':!_0x39a254[_0x4b4ded(0x1b1a)][_0x4b4ded(0xb3d)],'allowedItems':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x4b4ded(0x16b6),'line1':'name','line2':'','line3':'','labelAll':_0x21cd20[_0x4b4ded(0x25cc)](_0x4b4ded(0x1f3)),'labelSelected':_0x21cd20[_0x4b4ded(0x25cc)]('APP.SELECTED_TEAMS'),'transferCallback':function(){const _0x2b6ac4=_0x4b4ded,_0x10806c=_0x39641b()[_0x2b6ac4(0x1423)](_0x39a254['startingSelectedItems'],_0x39a254[_0x2b6ac4(0x20bb)],'id');_0x39a254[_0x2b6ac4(0x50c)]=_0x39641b()['isEmpty'](_0x10806c)?![]:!![];}},_0x39a254[_0x4b4ded(0x1a34)]=_0x38be52,_0x39a254['saveTeams']=_0x4507fb,_0x39a254['closeDialog']=_0x14db23;function _0x38be52(){const _0x4c8792=_0x4b4ded;return _0x5c4074['hasRole'](_0x4c8792(0x1c60))?_0x9d3eaa()[_0x4c8792(0x1c4)](function(_0x599ce1){const _0x1abfb0=_0x4c8792;_0x415178['error']({'title':_0x599ce1[_0x1abfb0(0x291)]?'API:'+_0x599ce1['status']+_0x1abfb0(0x1657)+_0x599ce1['statusText']:_0x1abfb0(0x2206),'msg':_0x599ce1[_0x1abfb0(0x291)]?JSON[_0x1abfb0(0x2701)](_0x599ce1[_0x1abfb0(0x25c)]):_0x599ce1['toString']()});}):_0x3c5d7a()[_0x4c8792(0x1cb0)](function(_0x3862f0){const _0x2708ff=_0x4c8792;return _0x39a254[_0x2708ff(0x1f74)]=_0x3862f0,_0x9d3eaa();})[_0x4c8792(0x1c4)](function(_0x300030){const _0x5be58a=_0x4c8792;_0x415178[_0x5be58a(0x218e)]({'title':_0x300030[_0x5be58a(0x291)]?'API:'+_0x300030[_0x5be58a(0x291)]+_0x5be58a(0x1657)+_0x300030['statusText']:_0x5be58a(0x2206),'msg':_0x300030['status']?JSON[_0x5be58a(0x2701)](_0x300030[_0x5be58a(0x25c)]):_0x300030[_0x5be58a(0x147f)]()});});}function _0x3c5d7a(){return _0x188331(function(_0x583657,_0x5370ce){const _0x36ecfb=a0_0x5cbd;_0x141f50['userProfileSection']['get']({'userProfileId':_0x39a254['currentUser'][_0x36ecfb(0x13c1)],'name':'Teams'})['$promise']['then'](function(_0x3f71bf){const _0x1d570d=_0x36ecfb,_0x45e8d6=_0x3f71bf&&_0x3f71bf[_0x1d570d(0x2214)]?_0x3f71bf[_0x1d570d(0x2214)][0x0]:null;_0x583657(_0x45e8d6);})[_0x36ecfb(0x1c4)](function(_0x91c11b){_0x5370ce(_0x91c11b);});});}function _0x9d3eaa(){return _0x188331(function(_0x4a69b1,_0x228e22){const _0x56bb6d=a0_0x5cbd;return _0x2669f5()['then'](function(_0x299815){const _0xf0ce28=a0_0x5cbd;return _0x39a254[_0xf0ce28(0x122f)]=_0x299815[_0xf0ce28(0x2214)]?_0x299815['rows']:[],_0x5c4074[_0xf0ce28(0x22b6)]('admin')?_0x299815:_0x39a254[_0xf0ce28(0x1f74)]?_0x39a254[_0xf0ce28(0x1f74)][_0xf0ce28(0x12f4)]?_0x299815:_0x225f50():null;})[_0x56bb6d(0x1cb0)](function(_0x1a864a){const _0x37fdc4=_0x56bb6d,_0x1e2c64=_0x1a864a&&_0x1a864a[_0x37fdc4(0x2214)]?_0x1a864a[_0x37fdc4(0x2214)]:[];return _0x39a254[_0x37fdc4(0x1372)]=_0x39641b()[_0x37fdc4(0x1de2)](_0x1e2c64,function(_0x37451f){const _0x3d5394=_0x37fdc4;return _0x39641b()[_0x3d5394(0x13b4)](_0x39a254[_0x3d5394(0x122f)],{'id':_0x5c4074[_0x3d5394(0x22b6)](_0x3d5394(0x1c60))||_0x39a254[_0x3d5394(0x1f74)][_0x3d5394(0x12f4)]?_0x37451f['id']:_0x37451f[_0x3d5394(0x2982)]});}),_0x39a254[_0x37fdc4(0x122f)][_0x37fdc4(0xf90)](function(_0x183e36){const _0x315afe=_0x37fdc4,_0x3aa54d=_0x39641b()[_0x315afe(0x13b4)](_0x39a254[_0x315afe(0x1372)],{'id':_0x183e36['id']});_0x5c4074[_0x315afe(0x22b6)](_0x315afe(0x1c60))?_0x183e36[_0x315afe(0x1a4f)]=!![]:_0x183e36[_0x315afe(0x1a4f)]=typeof _0x3aa54d!==_0x315afe(0x16b5)?!![]:![];}),_0x2d86d7();})[_0x56bb6d(0x1cb0)](function(_0x5ae255){const _0x46fbc5=_0x56bb6d,_0x2d5a83=_0x5ae255&&_0x5ae255['rows']?_0x5ae255['rows']:[];_0x39a254[_0x46fbc5(0x20bb)]=_0x39641b()[_0x46fbc5(0x1de2)](_0x2d5a83,function(_0x26eebd){const _0x179be8=_0x46fbc5;return _0x39641b()[_0x179be8(0x13b4)](_0x39a254[_0x179be8(0x122f)],{'id':_0x26eebd['id']});}),_0x39a254['startingSelectedItems']=angular[_0x46fbc5(0x17fe)](_0x39a254[_0x46fbc5(0x20bb)]),_0x39a254[_0x46fbc5(0x18c0)][_0x46fbc5(0x20bb)]=_0x39a254[_0x46fbc5(0x20bb)],_0x39a254['dualMultiselectOptions'][_0x46fbc5(0x122f)]=_0x39641b()[_0x46fbc5(0x2128)](_0x39a254[_0x46fbc5(0x1372)],_0x39a254[_0x46fbc5(0x18c0)][_0x46fbc5(0x20bb)],'id'),_0x4a69b1();})[_0x56bb6d(0x1c4)](function(_0x50db96){_0x228e22(_0x50db96);});});}function _0x225f50(){return _0x188331(function(_0x4f01df,_0x1a4a95){const _0x591d74=a0_0x5cbd;return _0x141f50['userProfileResource'][_0x591d74(0xbf7)]({'sectionId':_0x39a254['section']['id'],'nolimit':!![]})[_0x591d74(0x1d77)][_0x591d74(0x1cb0)](function(_0xa5e360){_0x4f01df(_0xa5e360);})[_0x591d74(0x1c4)](function(_0x4aa220){_0x1a4a95(_0x4aa220);});});}function _0x2d86d7(){return _0x188331(function(_0x22d86a,_0x598c79){const _0x5d6fca=a0_0x5cbd;return _0x141f50[_0x5d6fca(0x62e)][_0x5d6fca(0x1407)]({'id':_0x39a254[_0x5d6fca(0x62e)]['id'],'fields':_0x5d6fca(0x43c),'nolimit':!![]})['$promise'][_0x5d6fca(0x1cb0)](function(_0x406c24){_0x22d86a(_0x406c24);})[_0x5d6fca(0x1c4)](function(_0x4feb58){_0x598c79(_0x4feb58);});});}function _0x2669f5(){return _0x188331(function(_0x1b7807,_0x34b8cf){const _0x4906b7=a0_0x5cbd;return _0x141f50[_0x4906b7(0xdfd)][_0x4906b7(0xbf7)]({'fields':_0x4906b7(0x43c),'nolimit':!![]})['$promise'][_0x4906b7(0x1cb0)](function(_0x36bf2e){_0x1b7807(_0x36bf2e);})[_0x4906b7(0x1c4)](function(_0x171e87){_0x34b8cf(_0x171e87);});});}function _0x2e9b29(_0x3e22b8){return _0x188331(function(_0x12eb39,_0x55cd27){const _0x319db6=a0_0x5cbd;_0x39641b()[_0x319db6(0xce9)](_0x3e22b8)?_0x12eb39():_0x141f50[_0x319db6(0x62e)][_0x319db6(0x28d9)]({'id':_0x39a254['smsQueue']['id'],'ids':_0x39641b()['map'](_0x3e22b8,'id')})[_0x319db6(0x1d77)][_0x319db6(0x1cb0)](function(){_0x12eb39();})[_0x319db6(0x1c4)](function(_0x58c657){_0x55cd27(_0x58c657);});});}function _0x35c508(_0xe523a4){return _0x188331(function(_0x40e977,_0x481925){const _0xdecd6e=a0_0x5cbd;_0x39641b()[_0xdecd6e(0xce9)](_0xe523a4)?_0x40e977():_0x141f50[_0xdecd6e(0x62e)]['removeTeams']({'id':_0x39a254[_0xdecd6e(0x62e)]['id'],'ids':_0x39641b()[_0xdecd6e(0x1de2)](_0xe523a4,'id')})['$promise'][_0xdecd6e(0x1cb0)](function(){_0x40e977();})[_0xdecd6e(0x1c4)](function(_0x33434c){_0x481925(_0x33434c);});});}function _0x4507fb(){const _0x412fd5=_0x4b4ded,_0x277762=_0x39641b()['differenceBy'](_0x39a254[_0x412fd5(0x1456)],_0x39a254[_0x412fd5(0x20bb)],'id'),_0x4f7b96=_0x39641b()[_0x412fd5(0x2128)](_0x39a254[_0x412fd5(0x20bb)],_0x39a254[_0x412fd5(0x1456)],'id');return _0x35c508(_0x277762)['then'](function(){return _0x2e9b29(_0x4f7b96);})[_0x412fd5(0x1cb0)](function(){const _0x252999=_0x412fd5;_0x39a254['pendingChanges']=![],_0x39a254[_0x252999(0xbd5)]=angular[_0x252999(0x17fe)](_0x39a254[_0x252999(0x1372)]),_0x39a254[_0x252999(0x1456)]=angular['copy'](_0x39a254['selectedItems']),_0x415178['success']({'title':_0x252999(0x201),'msg':_0x252999(0xc72)});})[_0x412fd5(0x1c4)](function(_0x200a1b){const _0x191086=_0x412fd5;_0x415178['error']({'title':_0x200a1b[_0x191086(0x291)]?_0x191086(0xeb9)+_0x200a1b['status']+_0x191086(0x1657)+_0x200a1b[_0x191086(0xc22)]:_0x191086(0x1221),'msg':_0x200a1b[_0x191086(0x291)]?JSON['stringify'](_0x200a1b[_0x191086(0x25c)]):_0x200a1b[_0x191086(0x147f)]()});});}function _0x14db23(){const _0x116b40=_0x4b4ded;_0x5ef85f[_0x116b40(0x1426)]();}}const _0x25b17c=_0x1ace83;;const _0x2432e3=_0x5074a3['p']+_0x5537c6(0x10c2);;_0x44c84d[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x1ae),_0x5537c6(0x8a5),'setting',_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x62e),_0x5537c6(0x2199)];function _0x44c84d(_0x2cf6d8,_0x85a9a9,_0x5286bd,_0x2d3054,_0xd9efc4,_0x4a958b,_0x141f5c,_0x2ec025,_0x15021c,_0x354724,_0x2679ec,_0x34a350){const _0x2e18f7=_0x5537c6,_0xed439c=this;_0xed439c[_0x2e18f7(0xe76)]=_0x354724[_0x2e18f7(0x21e8)](),_0xed439c[_0x2e18f7(0x8a5)]=_0x4a958b,_0xed439c[_0x2e18f7(0x9ca)]=_0x141f5c,_0xed439c[_0x2e18f7(0x1b0c)]=_0xed439c[_0x2e18f7(0x9ca)][_0x2e18f7(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0xed439c[_0x2e18f7(0x2404)]=_0x85a9a9['protocol']()+_0x2e18f7(0x138b)+_0x85a9a9[_0x2e18f7(0x17d8)](),_0xed439c[_0x2e18f7(0x62e)]=_0x2679ec||_0x2cf6d8[_0x2e18f7(0x1dfe)][_0x2e18f7(0x62e)]||{},_0xed439c[_0x2e18f7(0x2199)]=_0x34a350&&_0x34a350[_0x2e18f7(0x184d)]==0x1?_0x34a350[_0x2e18f7(0x2214)][0x0]:null,_0xed439c[_0x2e18f7(0x1b1a)]=_0x354724['parseCrudPermissions'](_0xed439c[_0x2e18f7(0x2199)]?_0xed439c['userProfileSection']['crudPermissions']:null),_0xed439c[_0x2e18f7(0xf4c)]={},_0xed439c[_0x2e18f7(0x8ec)]=_0x2cf6d8[_0x2e18f7(0x1dfe)][_0x2e18f7(0x291e)]||0x0,_0xed439c['teamadddialog']=_0x3ef24d,_0xed439c['agentadddialog']=_0x191432,_0xed439c[_0x2e18f7(0x494)]=_0x15021c['info'],_0xed439c[_0x2e18f7(0x111a)]=_0x130940,_0xed439c[_0x2e18f7(0xa77)]=_0xd5bd98;function _0x3ef24d(_0x20a211,_0x276525){const _0x3e0f4f=_0x2e18f7;_0x5286bd[_0x3e0f4f(0xe27)]({'controller':_0x3e0f4f(0x75b),'controllerAs':'vm','templateUrl':_0x2432e3,'parent':angular['element'](_0x2d3054[_0x3e0f4f(0x1ed9)]),'targetEvent':_0x276525,'clickOutsideToClose':!![],'locals':{'smsQueue':_0x20a211,'smsQueues':_0xed439c[_0x3e0f4f(0x1863)]?_0xed439c['smsQueues']['rows']:[],'crudPermissions':_0xed439c[_0x3e0f4f(0x1b1a)]}});}function _0x191432(_0x4329cf,_0x17dbe0){const _0x4e211d=_0x2e18f7;_0x5286bd['show']({'controller':_0x4e211d(0x1ce5),'controllerAs':'vm','templateUrl':_0x16f2db,'parent':angular[_0x4e211d(0x1853)](_0x2d3054[_0x4e211d(0x1ed9)]),'targetEvent':_0x17dbe0,'clickOutsideToClose':!![],'locals':{'smsQueue':_0x4329cf,'smsQueues':_0xed439c[_0x4e211d(0x1863)]?_0xed439c['smsQueues'][_0x4e211d(0x2214)]:[],'crudPermissions':_0xed439c['crudPermissions'],'realtime':![]}});}function _0x130940(){const _0x36da69=_0x2e18f7;_0x2cf6d8['go']('app.sms.smsQueues',{},{'reload':_0x36da69(0xb02)});}function _0xd5bd98(){const _0xfd7f56=_0x2e18f7;_0x2ec025[_0xfd7f56(0x62e)][_0xfd7f56(0x687)]({'id':_0xed439c[_0xfd7f56(0x62e)]['id']},_0xed439c[_0xfd7f56(0x62e)])[_0xfd7f56(0x1d77)][_0xfd7f56(0x1cb0)](function(){const _0x2d6ea5=_0xfd7f56;_0x15021c['success']({'title':_0x2d6ea5(0x25b9),'msg':_0xed439c[_0x2d6ea5(0x62e)][_0x2d6ea5(0x16b6)]?_0xed439c[_0x2d6ea5(0x62e)][_0x2d6ea5(0x16b6)]+_0x2d6ea5(0x1068):''});})[_0xfd7f56(0x1c4)](function(_0x56032a){const _0x4ee523=_0xfd7f56;_0x15021c[_0x4ee523(0x218e)]({'title':_0x56032a['status']?'API:'+_0x56032a['status']+_0x4ee523(0x1657)+_0x56032a['statusText']:'SYSTEM:GETsmsQueue','msg':_0x56032a[_0x4ee523(0x25c)]?JSON[_0x4ee523(0x2701)](_0x56032a[_0x4ee523(0x25c)]):_0x56032a['toString']()});});}}const _0x44e651=_0x44c84d;;_0x2a1c50[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x1f10),_0x5537c6(0x406),'$mdSidenav',_0x5537c6(0xcb9),'$document','$timeout','$translate',_0x5537c6(0x1863),_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x142b),'msUtils',_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x2a1c50(_0x48654c,_0x169464,_0x1b19f3,_0x3c6219,_0x316a43,_0x571bfd,_0x101089,_0x5dd58e,_0x31dbc6,_0x195079,_0x1b35ef,_0x53ec48,_0x4cc9d5,_0x1ab732,_0x1b336f,_0x188e0a,_0x1b9de7){const _0x4b6dcb=_0x5537c6,_0x218b45=this;_0x218b45[_0x4b6dcb(0x8a5)]=_0x188e0a,_0x218b45[_0x4b6dcb(0x9ca)]=_0x1b9de7,_0x218b45[_0x4b6dcb(0xe76)]=_0x1b336f[_0x4b6dcb(0x21e8)](),_0x218b45['smsQueues']=_0x31dbc6||{'count':0x0,'rows':[]},_0x218b45['userProfile']=_0x195079,_0x218b45[_0x4b6dcb(0x2199)]=_0x1b35ef&&_0x1b35ef['count']==0x1?_0x1b35ef[_0x4b6dcb(0x2214)][0x0]:null,_0x218b45[_0x4b6dcb(0x1b1a)]=_0x1b336f[_0x4b6dcb(0x14ea)](_0x218b45[_0x4b6dcb(0x2199)]?_0x218b45[_0x4b6dcb(0x2199)][_0x4b6dcb(0x1b1a)]:null),_0x218b45[_0x4b6dcb(0xc83)]=_0x4b6dcb(0x1863),_0x218b45[_0x4b6dcb(0x1d20)]='',_0x218b45[_0x4b6dcb(0x1cdf)]=null,_0x218b45[_0x4b6dcb(0x10ef)]=[],_0x218b45[_0x4b6dcb(0xae2)]={'fields':_0x4b6dcb(0x22f3),'sort':_0x4b6dcb(0x282),'channel':_0x4b6dcb(0x689),'limit':0xa,'page':0x1},_0x218b45[_0x4b6dcb(0xa7e)]=_0x39641b()['keyBy']([{'option':_0x4b6dcb(0xfd9),'value':'\x27beepall\x27'},{'option':_0x4b6dcb(0x290b),'value':_0x4b6dcb(0x15b5)}],function(_0x14bbba){const _0xe73afe=_0x4b6dcb;return _0x39641b()[_0xe73afe(0x288f)](_0x14bbba[_0xe73afe(0x327)],new RegExp('\x27','g'),'');}),_0x218b45[_0x4b6dcb(0x235d)]=_0x12ac35,_0x218b45[_0x4b6dcb(0x1d63)]=_0x2b6016,_0x218b45['agentadddialog']=_0x102968,_0x218b45[_0x4b6dcb(0x27fe)]=_0x10711f,_0x218b45[_0x4b6dcb(0x649)]=_0x54510f,_0x218b45[_0x4b6dcb(0x829)]=_0x1d1b0c,_0x218b45['getSmsQueues']=_0x3c1b0b,_0x218b45[_0x4b6dcb(0x1f75)]=_0x16c718,_0x218b45['deleteSmsQueue']=_0x2f1e1f,_0x218b45['exportSelectedSmsQueues']=_0x4442cc,_0x218b45['deleteSelectedSmsQueues']=_0xa77a5f,_0x218b45[_0x4b6dcb(0x1821)]=_0x24b1ad,_0x218b45['selectAllSmsQueues']=_0x296ad8;function _0x12ac35(_0x4bae82){const _0x473983=_0x4b6dcb;_0x1b19f3['go'](_0x473983(0x2218),{'id':_0x4bae82['id'],'smsQueue':_0x4bae82,'crudPermissions':_0x218b45[_0x473983(0x1b1a)]});}function _0x2b6016(_0x377bac,_0x497606){const _0x4981dd=_0x4b6dcb;_0x316a43[_0x4981dd(0xe27)]({'controller':'SmsQueueteamaddController','controllerAs':'vm','templateUrl':_0x2432e3,'parent':angular[_0x4981dd(0x1853)](_0x571bfd[_0x4981dd(0x1ed9)]),'targetEvent':_0x497606,'clickOutsideToClose':!![],'locals':{'smsQueue':_0x377bac,'smsQueues':_0x218b45[_0x4981dd(0x1863)]?_0x218b45['smsQueues']['rows']:[],'crudPermissions':_0x218b45[_0x4981dd(0x1b1a)]}});}function _0x102968(_0x3378bf,_0x5842ab){const _0x469734=_0x4b6dcb;_0x316a43['show']({'controller':'SmsQueueagentaddController','controllerAs':'vm','templateUrl':_0x16f2db,'parent':angular[_0x469734(0x1853)](_0x571bfd['body']),'targetEvent':_0x5842ab,'clickOutsideToClose':!![],'locals':{'smsQueue':_0x3378bf,'smsQueues':_0x218b45[_0x469734(0x1863)]?_0x218b45[_0x469734(0x1863)][_0x469734(0x2214)]:[],'crudPermissions':_0x218b45[_0x469734(0x1b1a)],'realtime':![]}});}function _0x10711f(_0x263155,_0x4e9052){const _0x4cfd67=_0x4b6dcb,_0x48c91a=_0x316a43[_0x4cfd67(0x1551)]()[_0x4cfd67(0x1386)](_0x4cfd67(0x140b)+_0x39641b()['startCase'](_0x4cfd67(0x62e))+'?')[_0x4cfd67(0x49e)](_0x4cfd67(0x204d)+(_0x263155[_0x4cfd67(0x16b6)]||'smsQueue')+_0x4cfd67(0x1200)+_0x4cfd67(0x1b6))['ariaLabel']('delete\x20smsQueue')['targetEvent'](_0x4e9052)['ok']('OK')[_0x4cfd67(0x696)]('CANCEL');_0x316a43[_0x4cfd67(0xe27)](_0x48c91a)[_0x4cfd67(0x1cb0)](function(){_0x2f1e1f(_0x263155);},function(){const _0xe52828=_0x4cfd67;console[_0xe52828(0x1b4f)](_0xe52828(0x24ba));});}function _0x54510f(){const _0x2e5ad9=_0x4b6dcb;if(_0x1b336f['hasRole']('admin'))_0x1b19f3['go'](_0x2e5ad9(0xabe),{});else return _0x53ec48[_0x2e5ad9(0x2199)][_0x2e5ad9(0xbf7)]({'userProfileId':_0x1b336f[_0x2e5ad9(0x21e8)]()[_0x2e5ad9(0x13c1)],'sectionId':0x2c6})[_0x2e5ad9(0x1d77)]['then'](function(_0x13ac14){const _0x46a51e=_0x2e5ad9,_0x3c8a6f=_0x13ac14&&_0x13ac14[_0x46a51e(0x2214)]?_0x13ac14[_0x46a51e(0x2214)][0x0]:null;_0x3c8a6f&&_0x3c8a6f['enabled']?_0x1b19f3['go'](_0x46a51e(0xabe),{}):_0x1ab732['info']({'title':_0x5dd58e[_0x46a51e(0x25cc)]('STAFF.PERMISSIONS_UNAUTHORIZED_REDIRECT_TITLE'),'msg':_0x5dd58e['instant'](_0x46a51e(0x33a))});})[_0x2e5ad9(0x1c4)](function(_0x2ad324){const _0x1878c2=_0x2e5ad9;_0x1ab732[_0x1878c2(0x218e)]({'title':_0x2ad324[_0x1878c2(0x291)]?'API:'+_0x2ad324[_0x1878c2(0x291)]+_0x1878c2(0x1657)+_0x2ad324['statusText']:_0x1878c2(0x17ba),'msg':_0x2ad324['status']?JSON[_0x1878c2(0x2701)](_0x2ad324['data']):_0x2ad324[_0x1878c2(0x147f)]()});});}let _0x93cb14=!![],_0x12a76a=0x1;_0x48654c[_0x4b6dcb(0x614)](_0x4b6dcb(0x957),function(_0xd4c44,_0x38308d){const _0x13b687=_0x4b6dcb;_0x93cb14?_0x101089(function(){_0x93cb14=![];}):(!_0x38308d&&(_0x12a76a=_0x218b45[_0x13b687(0xae2)][_0x13b687(0x1c7b)]),_0xd4c44!==_0x38308d&&(_0x218b45[_0x13b687(0xae2)][_0x13b687(0x1c7b)]=0x1),!_0xd4c44&&(_0x218b45[_0x13b687(0xae2)][_0x13b687(0x1c7b)]=_0x12a76a),_0x218b45[_0x13b687(0x6b3)]());});function _0x1d1b0c(_0xdbd2fe){_0x218b45['smsQueues']=_0xdbd2fe||{'count':0x0,'rows':[]};}function _0x3c1b0b(){const _0x202f99=_0x4b6dcb;_0x218b45['query'][_0x202f99(0x184b)]=(_0x218b45['query']['page']-0x1)*_0x218b45[_0x202f99(0xae2)][_0x202f99(0x236)],_0x1b336f[_0x202f99(0x22b6)](_0x202f99(0x1c60))?_0x218b45[_0x202f99(0x2061)]=_0x53ec48[_0x202f99(0x62e)][_0x202f99(0xbf7)](_0x218b45['query'],_0x1d1b0c)[_0x202f99(0x1d77)]:(_0x218b45[_0x202f99(0xae2)]['id']=_0x218b45['userProfile']['id'],_0x218b45[_0x202f99(0xae2)][_0x202f99(0x1f74)]=_0x202f99(0x14ad),_0x218b45[_0x202f99(0x2061)]=_0x53ec48[_0x202f99(0x44a)][_0x202f99(0x1810)](_0x218b45['query'],_0x1d1b0c)['$promise']);}function _0x16c718(_0x392599,_0x256cd8){const _0x4fcca7=_0x4b6dcb;_0x316a43['show']({'controller':_0x4fcca7(0x19e7),'controllerAs':'vm','templateUrl':_0x8822b6,'parent':angular[_0x4fcca7(0x1853)](_0x571bfd[_0x4fcca7(0x1ed9)]),'targetEvent':_0x392599,'clickOutsideToClose':!![],'locals':{'smsQueue':_0x256cd8,'smsQueues':_0x218b45[_0x4fcca7(0x1863)]['rows'],'license':_0x218b45[_0x4fcca7(0x8a5)],'setting':_0x218b45['setting'],'crudPermissions':_0x218b45[_0x4fcca7(0x1b1a)]}});}function _0x2f1e1f(_0x21364f){const _0x2ea75c=_0x4b6dcb;_0x53ec48[_0x2ea75c(0x62e)][_0x2ea75c(0x111d)]({'id':_0x21364f['id']})[_0x2ea75c(0x1d77)][_0x2ea75c(0x1cb0)](function(){const _0x38738e=_0x2ea75c;_0x39641b()[_0x38738e(0x152a)](_0x218b45[_0x38738e(0x1863)][_0x38738e(0x2214)],{'id':_0x21364f['id']}),_0x218b45[_0x38738e(0x1863)][_0x38738e(0x184d)]-=0x1,!_0x218b45['smsQueues']['rows']['length']&&_0x218b45[_0x38738e(0x6b3)](),_0x1ab732[_0x38738e(0x829)]({'title':_0x39641b()[_0x38738e(0xa75)](_0x38738e(0x15bf))+'\x20deleted!','msg':_0x21364f['name']?_0x21364f[_0x38738e(0x16b6)]+'\x20has\x20been\x20deleted!':''});})[_0x2ea75c(0x1c4)](function(_0x1d4d54){const _0x188bcc=_0x2ea75c;if(_0x1d4d54[_0x188bcc(0x25c)]&&_0x1d4d54[_0x188bcc(0x25c)]['errors']&&_0x1d4d54[_0x188bcc(0x25c)][_0x188bcc(0x1a7c)][_0x188bcc(0xfd0)]){_0x218b45[_0x188bcc(0x1a7c)]=_0x1d4d54[_0x188bcc(0x25c)][_0x188bcc(0x1a7c)]||[{'message':_0x1d4d54['toString'](),'type':_0x188bcc(0x105e)}];for(let _0x21d44b=0x0;_0x21d44b<_0x1d4d54[_0x188bcc(0x25c)][_0x188bcc(0x1a7c)][_0x188bcc(0xfd0)];_0x21d44b++){_0x1ab732['error']({'title':_0x1d4d54['data']['errors'][_0x21d44b][_0x188bcc(0x66a)],'msg':_0x1d4d54[_0x188bcc(0x25c)][_0x188bcc(0x1a7c)][_0x21d44b]['message']});}}else _0x1ab732[_0x188bcc(0x218e)]({'title':_0x1d4d54[_0x188bcc(0x291)]?_0x188bcc(0xeb9)+_0x1d4d54[_0x188bcc(0x291)]+'\x20-\x20'+_0x1d4d54[_0x188bcc(0xc22)]:_0x188bcc(0x105e),'msg':_0x1d4d54[_0x188bcc(0x25c)]?JSON['stringify'](_0x1d4d54[_0x188bcc(0x25c)]['message']):_0x1d4d54[_0x188bcc(0x155e)]||_0x1d4d54[_0x188bcc(0x147f)]()});});}function _0x4442cc(){const _0x117213=_0x4b6dcb,_0x462d81=angular[_0x117213(0x17fe)](_0x218b45[_0x117213(0x10ef)]);return _0x218b45[_0x117213(0x10ef)]=[],_0x462d81;}function _0xa77a5f(_0xb8114e){const _0x1dae2d=_0x4b6dcb,_0x41b701=_0x316a43['confirm']()[_0x1dae2d(0x1386)](_0x1dae2d(0x19d0))[_0x1dae2d(0x49e)](_0x1dae2d(0x204d)+_0x218b45[_0x1dae2d(0x10ef)][_0x1dae2d(0xfd0)]+'\x20selected'+_0x1dae2d(0x1b6))['ariaLabel'](_0x1dae2d(0x25be))[_0x1dae2d(0x728)](_0xb8114e)['ok']('OK')[_0x1dae2d(0x696)](_0x1dae2d(0x24ba));_0x316a43[_0x1dae2d(0xe27)](_0x41b701)['then'](function(){const _0x371666=_0x1dae2d;_0x218b45[_0x371666(0x10ef)][_0x371666(0xf90)](function(_0x99580c){_0x2f1e1f(_0x99580c);}),_0x218b45[_0x371666(0x10ef)]=[];});}function _0x24b1ad(){const _0x2db5fd=_0x4b6dcb;_0x218b45[_0x2db5fd(0x10ef)]=[];}function _0x296ad8(){const _0x3cd17b=_0x4b6dcb;_0x218b45['selectedSmsQueues']=_0x218b45['smsQueues'][_0x3cd17b(0x2214)];}}const _0x1867d2=_0x2a1c50;;_0x66d4ed[_0x5537c6(0x15b6)]=[_0x5537c6(0xbd6),_0x5537c6(0x1f2a)];function _0x66d4ed(_0x2b6dff,_0x1a732a){const _0x267de4=_0x5537c6;_0x2b6dff['state'](_0x267de4(0x6d0),{'abstract':!![],'url':_0x267de4(0x1167)})[_0x267de4(0x27e0)](_0x267de4(0xb02),{'url':_0x267de4(0x1f82),'views':{'content@app':{'templateUrl':_0x18be8d,'controller':_0x267de4(0x25da)}},'resolve':{'smsQueues':[_0x267de4(0x1e0b),_0x267de4(0x1774),function(_0x3ceb0d,_0x149fae){const _0x4d8ffe=_0x267de4;return _0x149fae[_0x4d8ffe(0x22b6)](_0x4d8ffe(0x1c60))?_0x3ceb0d[_0x4d8ffe(0x19a3)](_0x4d8ffe(0x20c3),{'fields':_0x4d8ffe(0x22f3),'sort':_0x4d8ffe(0x282),'channel':'sms','limit':0xa,'offset':0x0}):_0x3ceb0d[_0x4d8ffe(0x19a3)](_0x4d8ffe(0x12da),{'id':_0x149fae['getCurrentUser']()['userProfileId'],'section':'SmsQueues','fields':_0x4d8ffe(0x22f3),'sort':_0x4d8ffe(0x282),'channel':_0x4d8ffe(0x689),'limit':0xa,'offset':0x0});}],'userProfile':[_0x267de4(0x1e0b),_0x267de4(0x1774),function(_0x1d1907,_0xb18c16){const _0x565b4a=_0x267de4;return _0xb18c16[_0x565b4a(0x22b6)]('admin')?null:_0x1d1907['resolve'](_0x565b4a(0x9ae),{'fields':_0x565b4a(0x279),'id':_0xb18c16['getCurrentUser']()[_0x565b4a(0x13c1)]});}],'userProfileSection':['apiResolver',_0x267de4(0x1774),function(_0x360943,_0x3b9ece){const _0x3d87c7=_0x267de4;return _0x3b9ece[_0x3d87c7(0x22b6)](_0x3d87c7(0x1c60))?null:_0x360943['resolve'](_0x3d87c7(0x2182),{'fields':_0x3d87c7(0x1f5f),'userProfileId':_0x3b9ece[_0x3d87c7(0x21e8)]()[_0x3d87c7(0x13c1)],'sectionId':0x2bd});}]},'authenticate':!![],'permissionId':0x2bd,'bodyClass':_0x267de4(0x689)})[_0x267de4(0x27e0)]('app.sms.smsQueues.edit',{'url':'/:id?tab','params':{'smsQueue':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x55dd3d,'controller':_0x267de4(0x1287)}},'resolve':{'smsQueue':[_0x267de4(0x1e0b),_0x267de4(0x225c),function(_0x374f18,_0x14a941){const _0x49bd1c=_0x267de4;return _0x374f18[_0x49bd1c(0x19a3)](_0x49bd1c(0x20c3),{'fields':_0x49bd1c(0x22f3),'id':_0x14a941['id']});}],'userProfileSection':[_0x267de4(0x1e0b),_0x267de4(0x1774),function(_0x6925e0,_0x22918d){const _0x1c043c=_0x267de4;return _0x6925e0[_0x1c043c(0x19a3)]('userProfileSection@get',{'fields':_0x1c043c(0x1f5f),'userProfileId':_0x22918d['getCurrentUser']()[_0x1c043c(0x13c1)],'sectionId':0x2bd});}]},'authenticate':!![],'permissionId':0x2bd,'bodyClass':'sms'})[_0x267de4(0x27e0)](_0x267de4(0xa9f),{'url':_0x267de4(0xe05),'views':{'content@app':{'templateUrl':_0xcc4008,'controller':_0x267de4(0x19cb)}},'resolve':{'smsAccounts':[_0x267de4(0x1e0b),_0x267de4(0x1774),function(_0x42561d,_0x53e4b9){const _0x5b2cc7=_0x267de4;return _0x53e4b9[_0x5b2cc7(0x22b6)](_0x5b2cc7(0x1c60))?_0x42561d[_0x5b2cc7(0x19a3)]('smsAccount@get',{'fields':_0x5b2cc7(0x16ae),'sort':_0x5b2cc7(0x282),'limit':0xa,'offset':0x0}):_0x42561d[_0x5b2cc7(0x19a3)]('userProfile@getResources',{'id':_0x53e4b9['getCurrentUser']()['userProfileId'],'section':_0x5b2cc7(0x1b3f),'fields':_0x5b2cc7(0x16ae),'sort':'-updatedAt','limit':0xa,'offset':0x0});}],'userProfile':['apiResolver',_0x267de4(0x1774),function(_0x339ff0,_0x27bff0){const _0x5293ac=_0x267de4;return _0x27bff0[_0x5293ac(0x22b6)](_0x5293ac(0x1c60))?null:_0x339ff0[_0x5293ac(0x19a3)](_0x5293ac(0x9ae),{'fields':_0x5293ac(0x279),'id':_0x27bff0[_0x5293ac(0x21e8)]()['userProfileId']});}],'userProfileSection':[_0x267de4(0x1e0b),_0x267de4(0x1774),function(_0xcf4f46,_0xa2eb07){const _0x59b07c=_0x267de4;return _0xa2eb07[_0x59b07c(0x22b6)]('admin')?null:_0xcf4f46[_0x59b07c(0x19a3)](_0x59b07c(0x2182),{'fields':_0x59b07c(0x1f5f),'userProfileId':_0xa2eb07[_0x59b07c(0x21e8)]()['userProfileId'],'sectionId':0x2be});}]},'authenticate':!![],'permissionId':0x2be,'bodyClass':'sms'})['state'](_0x267de4(0x280b),{'url':_0x267de4(0x1bf2),'params':{'smsAccount':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x5bad63,'controller':_0x267de4(0xd25)}},'resolve':{'smsAccount':[_0x267de4(0x1e0b),'$stateParams',function(_0x1272d9,_0x5887e4){const _0x9ed75=_0x267de4;return _0x1272d9[_0x9ed75(0x19a3)](_0x9ed75(0x55b),{'fields':_0x9ed75(0x16ae),'id':_0x5887e4['id']});}],'userProfileSection':['apiResolver',_0x267de4(0x1774),function(_0x3740d9,_0x5130cf){const _0x552ecd=_0x267de4;return _0x3740d9[_0x552ecd(0x19a3)]('userProfileSection@get',{'fields':_0x552ecd(0x1f5f),'userProfileId':_0x5130cf[_0x552ecd(0x21e8)]()[_0x552ecd(0x13c1)],'sectionId':0x2be});}]},'authenticate':!![],'permissionId':0x2be,'bodyClass':_0x267de4(0x689)}),_0x1a732a[_0x267de4(0x4e7)](_0x267de4(0x1510));}angular[_0x5537c6(0x9ab)](_0x5537c6(0x6d0),[_0x5537c6(0x2770),'ngPassword',_0x5537c6(0x1260),'flow','mdColorPicker',_0x5537c6(0xd19),_0x5537c6(0x44c),_0x5537c6(0x2ec),_0x5537c6(0x1890),'mdPickers','mwFormBuilder',_0x5537c6(0x1b65),'mwFormUtils',_0x5537c6(0x3b9),_0x5537c6(0x7c9),_0x5537c6(0xeb5),_0x5537c6(0x27af),_0x5537c6(0x13b6),_0x5537c6(0x1cbd),_0x5537c6(0xd01),_0x5537c6(0x6a3)])[_0x5537c6(0xa60)](_0x66d4ed)[_0x5537c6(0x6e5)]('CreateOrEditSmsAccountDialogController',_0x3e97b4)[_0x5537c6(0x6e5)](_0x5537c6(0x1d31),_0x35a87e)['controller']('SmsAccountagentaddController',_0x49589e)['controller'](_0x5537c6(0x380),_0x149203)[_0x5537c6(0x6e5)](_0x5537c6(0x1085),_0x396a45)['controller']('EditSmsAccountAppautoreplyDialogController',_0x295ec7)['controller'](_0x5537c6(0x9a1),_0x10b864)[_0x5537c6(0x6e5)](_0x5537c6(0x887),_0x4f1564)[_0x5537c6(0x6e5)]('EditSmsAccountAppgotoifDialogController',_0x204c0c)['controller'](_0x5537c6(0x1b48),_0x305961)[_0x5537c6(0x6e5)](_0x5537c6(0x211e),_0x114ce9)[_0x5537c6(0x6e5)](_0x5537c6(0xb3e),_0x13c46c)[_0x5537c6(0x6e5)](_0x5537c6(0x1a4e),_0x2a1d9e)[_0x5537c6(0x6e5)](_0x5537c6(0x1dd8),_0x1a50e)[_0x5537c6(0x6e5)](_0x5537c6(0xd44),_0x2ebe0e)[_0x5537c6(0x6e5)]('SmsAccountInteractionsController',_0x2c66b2)[_0x5537c6(0x6e5)](_0x5537c6(0x9f1),_0x1b9336)[_0x5537c6(0x6e5)](_0x5537c6(0x14ac),_0x460a49)[_0x5537c6(0x6e5)](_0x5537c6(0x2984),_0x44a904)[_0x5537c6(0x6e5)](_0x5537c6(0x1b8b),_0x45c858)[_0x5537c6(0x6e5)](_0x5537c6(0x21dc),_0x25aa55)[_0x5537c6(0x6e5)]('CreateOrEditSmsQueueDialogController',_0x5626cb)[_0x5537c6(0x6e5)](_0x5537c6(0x1ce5),_0x2c8f24)[_0x5537c6(0x6e5)]('SmsQueueteamaddController',_0x25b17c)[_0x5537c6(0x6e5)](_0x5537c6(0x1c0b),_0x44e651)[_0x5537c6(0x6e5)](_0x5537c6(0x1f7d),_0x1867d2);;const _0x4007d4=_0x5074a3['p']+_0x5537c6(0x2369);;const _0x32d231=_0x5074a3['p']+'src/js/modules/main/apps/openchannel/views/realtime/agents/view.html/view.html';;const _0x59a542=_0x5074a3['p']+_0x5537c6(0x25ac);;_0x11fd6d['$inject']=['$scope','$q',_0x5537c6(0x2168),'$mdDialog',_0x5537c6(0x22bf),_0x5537c6(0xc34),'pauses','agents',_0x5537c6(0x212d),_0x5537c6(0x142b),_0x5537c6(0x1986),_0x5537c6(0x9bf),_0x5537c6(0x125c),'userProfile',_0x5537c6(0x2199),_0x5537c6(0x1774),'license',_0x5537c6(0x1714)];function _0x11fd6d(_0x45ab33,_0x1552fc,_0x88a035,_0x3bbbca,_0x3520e3,_0x25b7ff,_0x3d74b6,_0x12cbc9,_0x291ce3,_0x4e7997,_0x1bb4fb,_0x49c1d3,_0x57d1bf,_0x57ff72,_0x5c9f5e,_0x50b46f,_0x1d54aa,_0x55c7c8){const _0x5b3acb=_0x5537c6,_0x1d8cac=this,_0x10864d=[_0x5b3acb(0x70a),_0x5b3acb(0xa28),_0x5b3acb(0x24a2),'lastPauseAt',_0x5b3acb(0x16e6),'lastPauseAt','internal',_0x5b3acb(0x16aa),_0x5b3acb(0xf0c),'openchannelPause',_0x5b3acb(0x2035),_0x5b3acb(0x22d3),'whatsappPause',_0x5b3acb(0x1ce),_0x5b3acb(0x2478),_0x5b3acb(0x1aee),'openchannelCapacity',_0x5b3acb(0x2789),_0x5b3acb(0x3d0),_0x5b3acb(0x9cd),_0x5b3acb(0x2451),_0x5b3acb(0x132d),_0x5b3acb(0x1cd3),_0x5b3acb(0x2947),_0x5b3acb(0x2472),_0x5b3acb(0x700),'faxCurrentCapacity','chatStatus',_0x5b3acb(0x1446),_0x5b3acb(0x11b2),_0x5b3acb(0xe82),_0x5b3acb(0x2453),'faxStatus',_0x5b3acb(0x2de),_0x5b3acb(0x1b11),_0x5b3acb(0x22a6),_0x5b3acb(0x568),_0x5b3acb(0x9eb),_0x5b3acb(0x2064),_0x5b3acb(0x1997),_0x5b3acb(0xc9c),_0x5b3acb(0x11cf),'destconnectedlinenum',_0x5b3acb(0x24c5),_0x5b3acb(0x2569),'phoneBarEnableAutomaticScreenRecording'],_0x75150e=[_0x5b3acb(0xf0c),_0x5b3acb(0x1c7d),_0x5b3acb(0x2035),_0x5b3acb(0x22d3),_0x5b3acb(0x24ff),'faxPause',_0x5b3acb(0x2478),_0x5b3acb(0xa28)];_0x1d8cac[_0x5b3acb(0xe76)]=_0x50b46f[_0x5b3acb(0x21e8)](),_0x1d8cac[_0x5b3acb(0x46c)]=[_0x5b3acb(0x1c7e),'inuse','busy','onhold',_0x5b3acb(0x1abd)],_0x1d8cac[_0x5b3acb(0x8de)]=_0x3d74b6||{'count':0x0,'rows':[]},_0x1d8cac[_0x5b3acb(0x8a5)]=_0x1d54aa,_0x1d8cac[_0x5b3acb(0x44a)]=_0x57ff72,_0x1d8cac[_0x5b3acb(0x2199)]=_0x5c9f5e&&_0x5c9f5e['count']==0x1?_0x5c9f5e[_0x5b3acb(0x2214)][0x0]:null,_0x1d8cac[_0x5b3acb(0x1b1a)]=_0x50b46f[_0x5b3acb(0x14ea)](_0x1d8cac[_0x5b3acb(0x2199)]?_0x1d8cac['userProfileSection'][_0x5b3acb(0x1b1a)]:null),_0x1d8cac[_0x5b3acb(0xc12)]=_0x12cbc9?_0x39641b()[_0x5b3acb(0x2631)](_0x12cbc9[_0x5b3acb(0x2214)]?_0x12cbc9[_0x5b3acb(0x2214)]:[],'id'):{},_0x1d8cac['rpcAgents']=_0x291ce3?_0x39641b()[_0x5b3acb(0x2631)](_0x291ce3[_0x5b3acb(0x2214)]?_0x291ce3[_0x5b3acb(0x2214)]:[],'id'):{},_0x1d8cac[_0x5b3acb(0x260a)]={},_0x1d8cac[_0x5b3acb(0x997)]=![],_0x1d8cac[_0x5b3acb(0x237f)]=[],_0x1d8cac['agentInternal']={};for(const _0x1acb53 in _0x1d8cac[_0x5b3acb(0xc12)]){typeof _0x1d8cac[_0x5b3acb(0xc12)][_0x1acb53]!==_0x5b3acb(0x16b5)&&(_0x1d8cac[_0x5b3acb(0xc12)][_0x1acb53][_0x5b3acb(0x1a8e)]=!![]);}_0x1d8cac['query']={'limit':0xa,'page':0x1,'order':'fullname','globalStatusFilter':'','pauseTypeFilter':''},_0x1d8cac[_0x5b3acb(0x1366)]=_0x48e655,_0x1d8cac[_0x5b3acb(0x6ad)]=_0x2bdaba,_0x1d8cac['pause']=_0x2b5b9c,_0x1d8cac[_0x5b3acb(0x715)]=_0x3e920a,_0x1d8cac[_0x5b3acb(0x191a)]=_0x5b191a,_0x1d8cac['getAgentStatus']=_0x24bfbb,_0x1d8cac[_0x5b3acb(0xfe7)]=_0x1e35d2,_0x1d8cac[_0x5b3acb(0x1090)]=_0x5f1e8b,_0x1d8cac[_0x5b3acb(0x225e)]=_0x2ad14d,_0x1d8cac[_0x5b3acb(0x1bc4)]=_0x1d3985,_0x1d8cac['onSave']=_0x295340,_0x1d8cac['onUpdate']=_0x559299,_0x1d8cac[_0x5b3acb(0x1a34)]=_0x53936d,_0x1d8cac['onConnect']=_0x38fecd,_0x1d8cac['onComplete']=_0x3c603a,_0x1d8cac[_0x5b3acb(0xd97)]=_0x364b2d,_0x1bb4fb['on'](_0x5b3acb(0x2464),_0x1d8cac[_0x5b3acb(0x294c)]),_0x1bb4fb['on'](_0x5b3acb(0x14c6),_0x1d8cac[_0x5b3acb(0x13e7)]),_0x1bb4fb['on']('user:agentconnect',_0x1d8cac[_0x5b3acb(0x1978)]),_0x1bb4fb['on'](_0x5b3acb(0x10b3),_0x1d8cac[_0x5b3acb(0x1b4a)]),_0x53936d();let _0x3e33f9=_0x55c7c8(function(){const _0x7b5084=_0x5b3acb;_0x1d8cac[_0x7b5084(0x997)]&&(_0x1d8cac[_0x7b5084(0x997)]=![],_0x53936d());},0x3e7);function _0x53936d(){const _0x37a65a=_0x5b3acb;_0x1d8cac[_0x37a65a(0x997)]=![];const _0x4b7e90=_0x1552fc[_0x37a65a(0x11f4)]();_0x1d8cac[_0x37a65a(0x2061)]=_0x4b7e90[_0x37a65a(0x2061)],_0x1d8cac[_0x37a65a(0xe0e)]=[],_0x1d8cac['order']=[],_0x39641b()[_0x37a65a(0x1ebd)](_0x1d8cac[_0x37a65a(0xc12)],function(_0x4cded0,_0x36eea4){const _0x5c8efb=_0x37a65a;_0x1d8cac[_0x5c8efb(0x212d)][_0x36eea4]&&_0x39641b()[_0x5c8efb(0x9c1)](_0x4cded0,_0x39641b()['pick'](_0x39641b()[_0x5c8efb(0x1bd8)](_0x1d8cac[_0x5c8efb(0x212d)][_0x36eea4],_0x75150e),_0x10864d)),_0x1e35d2(_0x4cded0),_0x4cded0[_0x5c8efb(0xa28)]?(_0x1d8cac[_0x5c8efb(0xe0e)][_0x5c8efb(0x2785)](_0x4cded0),_0x1d8cac['agentInternal'][_0x4cded0[_0x5c8efb(0xdbd)]]=_0x36eea4):_0x4cded0['globalStatusTime']=_0x39641b()[_0x5c8efb(0x106d)](_0x543b5a()()[_0x5c8efb(0x1f31)]('x'));});_0x1d8cac[_0x37a65a(0xae2)][_0x37a65a(0x1ef1)]&&_0x39641b()['remove'](_0x1d8cac[_0x37a65a(0xe0e)],function(_0x36341e){const _0x58713f=_0x37a65a;return _0x36341e['globalStatus']!==_0x1d8cac['query'][_0x58713f(0x1ef1)];});_0x1d8cac['query'][_0x37a65a(0x25ff)]&&_0x39641b()[_0x37a65a(0x152a)](_0x1d8cac['filteredAgents'],function(_0x2ca96f){const _0x1fdbd5=_0x37a65a;return _0x2ca96f[_0x1fdbd5(0x16e6)]!==_0x1d8cac[_0x1fdbd5(0xae2)]['pauseTypeFilter'];});_0x1d8cac[_0x37a65a(0xae2)][_0x37a65a(0x1c99)]&&_0x39641b()[_0x37a65a(0x152a)](_0x1d8cac[_0x37a65a(0xe0e)],function(_0xeaaf22){const _0x513079=_0x37a65a;return _0xeaaf22[_0x513079(0x1d14)][_0x513079(0x1680)]()[_0x513079(0xd8a)](_0x1d8cac[_0x513079(0xae2)][_0x513079(0x1c99)][_0x513079(0x1680)]())<0x0;});_0x1d8cac[_0x37a65a(0xe0e)]=_0x2a97a7(_0x1d8cac['filteredAgents']);const _0x2a0121=(_0x1d8cac[_0x37a65a(0xae2)][_0x37a65a(0x1c7b)]-0x1)*_0x1d8cac[_0x37a65a(0xae2)]['limit'];_0x1d8cac[_0x37a65a(0x260a)]=_0x39641b()['drop'](_0x1d8cac[_0x37a65a(0xe0e)],_0x2a0121)[_0x37a65a(0x14cb)](0x0,_0x1d8cac[_0x37a65a(0xae2)][_0x37a65a(0x236)]);for(let _0x6cb9c1=0x0;_0x6cb9c1<_0x1d8cac['paginatedAgents'][_0x37a65a(0xfd0)];_0x6cb9c1+=0x1){_0x1d8cac[_0x37a65a(0x237f)][_0x37a65a(0x2785)](_0x1d8cac['paginatedAgents'][_0x6cb9c1]['id']);}_0x4b7e90[_0x37a65a(0x19a3)](),_0x1d8cac[_0x37a65a(0x997)]=!![];}function _0x295340(_0x2aa0e7){const _0xc8aba1=_0x5b3acb;_0x1d8cac[_0xc8aba1(0xc12)][_0x2aa0e7['id']]&&(_0x39641b()['merge'](_0x1d8cac['agents'][_0x2aa0e7['id']],_0x39641b()[_0xc8aba1(0x169b)](_0x39641b()[_0xc8aba1(0x1bd8)](_0x2aa0e7,_0x75150e),_0x10864d)),_0x39641b()['merge'](_0x1d8cac['rpcAgents'][_0x2aa0e7['id']],_0x39641b()['pick'](_0x39641b()[_0xc8aba1(0x1bd8)](_0x2aa0e7,_0x75150e),_0x10864d)),_0x1e35d2(_0x1d8cac[_0xc8aba1(0xc12)][_0x2aa0e7['id']]));}function _0x559299(_0x217a4e){const _0x4d02a0=_0x5b3acb;_0x1d8cac[_0x4d02a0(0xc12)][_0x217a4e['id']]&&(_0x39641b()[_0x4d02a0(0x9c1)](_0x1d8cac[_0x4d02a0(0xc12)][_0x217a4e['id']],_0x39641b()['pick'](_0x217a4e,_0x10864d)),_0x39641b()[_0x4d02a0(0x9c1)](_0x1d8cac[_0x4d02a0(0x212d)][_0x217a4e['id']],_0x39641b()[_0x4d02a0(0x169b)](_0x217a4e,_0x10864d)),_0x217a4e[_0x4d02a0(0x25db)]&&(_0x1d8cac['agents'][_0x217a4e['id']][_0x4d02a0(0xdb9)]=_0x217a4e[_0x4d02a0(0x25db)],_0x1d8cac['rpcAgents'][_0x217a4e['id']][_0x4d02a0(0xdb9)]=_0x217a4e[_0x4d02a0(0x25db)]),_0x1e35d2(_0x1d8cac[_0x4d02a0(0xc12)][_0x217a4e['id']]));}function _0x38fecd(_0x18f763){const _0x4d1599=_0x5b3acb;_0x1d8cac[_0x4d1599(0xc12)][_0x1d8cac[_0x4d1599(0x1fdd)][_0x18f763[_0x4d1599(0x7ea)]]]&&(_0x39641b()[_0x4d1599(0x9c1)](_0x1d8cac[_0x4d1599(0xc12)][_0x1d8cac[_0x4d1599(0x1fdd)][_0x18f763['destaccountcode']]],_0x39641b()[_0x4d1599(0x169b)](_0x18f763,[_0x4d1599(0x11cf),_0x4d1599(0x247)])),_0x39641b()[_0x4d1599(0x9c1)](_0x1d8cac['rpcAgents'][_0x1d8cac[_0x4d1599(0x1fdd)][_0x18f763['destaccountcode']]],_0x39641b()[_0x4d1599(0x169b)](_0x18f763,[_0x4d1599(0x11cf),_0x4d1599(0x247)])));}function _0x3c603a(_0x26849f){const _0x559cb8=_0x5b3acb;_0x1d8cac[_0x559cb8(0xc12)][_0x1d8cac[_0x559cb8(0x1fdd)][_0x26849f[_0x559cb8(0x7ea)]]]&&(_0x1d8cac['agents'][_0x1d8cac[_0x559cb8(0x1fdd)][_0x26849f[_0x559cb8(0x7ea)]]]=_0x39641b()[_0x559cb8(0x1bd8)](_0x1d8cac[_0x559cb8(0xc12)][_0x1d8cac['agentInternal'][_0x26849f[_0x559cb8(0x7ea)]]],[_0x559cb8(0x11cf),_0x559cb8(0x247)]),_0x1d8cac[_0x559cb8(0x212d)][_0x1d8cac[_0x559cb8(0x1fdd)][_0x26849f[_0x559cb8(0x7ea)]]]=_0x39641b()[_0x559cb8(0x1bd8)](_0x1d8cac[_0x559cb8(0x212d)][_0x1d8cac[_0x559cb8(0x1fdd)][_0x26849f[_0x559cb8(0x7ea)]]],[_0x559cb8(0x11cf),_0x559cb8(0x247)]));}function _0x48e655(_0x15ef68){const _0x38942a=_0x5b3acb;return _0x4e7997[_0x38942a(0xebe)][_0x38942a(0x1366)]({'id':_0x15ef68['id'],'device':_0x38942a(0x217b),'agent_id':_0x15ef68['id'],'agent_name':_0x15ef68[_0x38942a(0x16b6)]})[_0x38942a(0x1d77)][_0x38942a(0x1cb0)](function(){const _0x1cae53=_0x38942a;_0x49c1d3[_0x1cae53(0x829)]({'title':_0x1cae53(0x23af),'msg':_0x15ef68[_0x1cae53(0x1d14)]+'\x20\x20properly\x20logout'}),_0x1d8cac['agents'][_0x15ef68['id']]&&(_0x1d8cac[_0x1cae53(0xc12)][_0x15ef68['id']][_0x1cae53(0xa28)]=![]),_0x1d8cac['rpcAgents'][_0x15ef68['id']]&&(_0x1d8cac[_0x1cae53(0x212d)][_0x15ef68['id']]['online']=![]),_0x53936d();})[_0x38942a(0x1c4)](function(_0x141996){const _0x46c2f9=_0x38942a;_0x49c1d3['error']({'title':_0x141996[_0x46c2f9(0x291)]?_0x46c2f9(0xeb9)+_0x141996[_0x46c2f9(0x291)]+_0x46c2f9(0x1657)+_0x141996['statusText']:'api.staff.save','msg':_0x141996[_0x46c2f9(0x25c)]?JSON[_0x46c2f9(0x2701)](_0x141996[_0x46c2f9(0x25c)][_0x46c2f9(0x155e)]):_0x141996[_0x46c2f9(0x147f)]()});});}function _0x2b5b9c(_0x529732,_0x2dd9fa){const _0x37130c=_0x5b3acb;return _0x4e7997[_0x37130c(0xebe)][_0x37130c(0x785)]({'id':_0x529732['id'],'type':_0x2dd9fa})[_0x37130c(0x1d77)][_0x37130c(0x1cb0)](function(_0x3d4b33){const _0xc401b7=_0x37130c;_0x1d8cac['agents'][_0x529732['id']]&&_0x39641b()[_0xc401b7(0x9c1)](_0x1d8cac[_0xc401b7(0xc12)][_0x529732['id']],_0x39641b()[_0xc401b7(0x169b)](_0x3d4b33,_0x10864d)),_0x1d8cac['rpcAgents'][_0x529732['id']]&&_0x39641b()[_0xc401b7(0x9c1)](_0x1d8cac[_0xc401b7(0x212d)][_0x529732['id']],_0x39641b()[_0xc401b7(0x169b)](_0x3d4b33,_0x10864d)),_0x1e35d2(_0x1d8cac[_0xc401b7(0xc12)][_0x529732['id']]);})[_0x37130c(0x1c4)](function(_0x5de079){const _0x596553=_0x37130c;_0x49c1d3['error']({'title':_0x5de079[_0x596553(0x291)]?_0x596553(0xeb9)+_0x5de079[_0x596553(0x291)]+_0x596553(0x1657)+_0x5de079[_0x596553(0xc22)]:_0x596553(0xcd6),'msg':_0x5de079[_0x596553(0x25c)]?JSON[_0x596553(0x2701)](_0x5de079[_0x596553(0x25c)]['message']):_0x5de079[_0x596553(0x147f)]()});});}function _0x3e920a(_0x26361b){const _0x1116bd=_0x5b3acb;return _0x4e7997[_0x1116bd(0xebe)][_0x1116bd(0xf5d)]({'id':_0x26361b['id']})[_0x1116bd(0x1d77)]['then'](function(_0x5c347d){const _0x2075f0=_0x1116bd;_0x1d8cac[_0x2075f0(0xc12)][_0x26361b['id']]&&_0x39641b()['merge'](_0x1d8cac[_0x2075f0(0xc12)][_0x26361b['id']],_0x39641b()[_0x2075f0(0x169b)](_0x5c347d,_0x10864d)),_0x1d8cac['rpcAgents'][_0x26361b['id']]&&_0x39641b()[_0x2075f0(0x9c1)](_0x1d8cac[_0x2075f0(0x212d)][_0x26361b['id']],_0x39641b()[_0x2075f0(0x169b)](_0x5c347d,_0x10864d)),_0x1e35d2(_0x1d8cac['agents'][_0x26361b['id']]);})['catch'](function(_0x31cc31){const _0x365d39=_0x1116bd;_0x49c1d3['error']({'title':_0x31cc31[_0x365d39(0x291)]?_0x365d39(0xeb9)+_0x31cc31[_0x365d39(0x291)]+'\x20-\x20'+_0x31cc31['statusText']:_0x365d39(0xcd6),'msg':_0x31cc31[_0x365d39(0x25c)]?JSON[_0x365d39(0x2701)](_0x31cc31['data'][_0x365d39(0x155e)]):_0x31cc31[_0x365d39(0x147f)]()});});}function _0x5b191a(_0x4f64e7){const _0x21cc06=_0x5b3acb;_0x3bbbca[_0x21cc06(0xe27)](_0x3bbbca['alert']()[_0x21cc06(0x27e1)](!![])[_0x21cc06(0x1386)](_0x21cc06(0x1bc1))[_0x21cc06(0x49e)](_0x21cc06(0x26a))['ok']('Ok')[_0x21cc06(0x728)](_0x4f64e7));}function _0x2bdaba(_0x723a0f,_0x2f3f37){const _0x3915a7=_0x5b3acb;_0x3bbbca[_0x3915a7(0xe27)]({'controller':_0x3915a7(0x7e6),'controllerAs':'vm','templateUrl':_0x535a6f,'parent':angular[_0x3915a7(0x1853)](_0x3520e3[_0x3915a7(0x1ed9)]),'targetEvent':_0x2f3f37,'clickOutsideToClose':!![],'locals':{'agent':_0x723a0f,'agents':[],'channel':_0x3915a7(0x15e0),'direction':'inbound','crudPermissions':_0x1d8cac[_0x3915a7(0x1b1a)]}});}function _0x5f1e8b(_0x378fef){const _0x5c4de3=_0x5b3acb;return _0x378fef===_0x5c4de3(0x785)||_0x378fef===_0x5c4de3(0x1767);}function _0x2ad14d(_0x5e6fae){const _0x24f1fc=_0x5b3acb;return _0x5e6fae===_0x24f1fc(0x785);}function _0x1d3985(_0x12c675){return _0x12c675==='*pause';}function _0x652f7(_0x27808f){const _0xdf1d77=_0x5b3acb;return _0x27808f===_0xdf1d77(0x8df)||_0x27808f===_0xdf1d77(0x1c9d)||_0x27808f==='unavailable'||_0x27808f===_0xdf1d77(0x1c7e)||_0x39641b()[_0xdf1d77(0x958)](_0x27808f);}function _0x24bfbb(_0x138e6d,_0x505502){const _0x526566=_0x5b3acb;if(_0x505502===_0x526566(0x1fd4)){if(_0x138e6d[_0x505502+_0x526566(0x938)]!==_0x526566(0x8df))return _0x138e6d[_0x505502+'Status'];if(_0x138e6d[_0x505502+_0x526566(0x1e09)])return _0x138e6d[_0x505502+_0x526566(0x938)]=_0x526566(0x785),'pause';return _0x526566(0x8df);}else{if(_0x138e6d[_0x505502+_0x526566(0x1e09)])return _0x138e6d[_0x505502+_0x526566(0x938)]=_0x526566(0x785),_0x526566(0x785);return _0x138e6d[_0x505502+_0x526566(0x938)];}}function _0x1e35d2(_0x550365){const _0x178173=_0x5b3acb;let _0x16ab4f=_0x178173(0x1c9d);if(_0x39641b()[_0x178173(0x1aa3)]([_0x24bfbb(_0x550365,_0x178173(0x1fd4)),_0x24bfbb(_0x550365,_0x178173(0x174c)),_0x24bfbb(_0x550365,'mail'),_0x24bfbb(_0x550365,'openchannel'),_0x24bfbb(_0x550365,_0x178173(0x689)),_0x24bfbb(_0x550365,'whatsapp'),_0x24bfbb(_0x550365,_0x178173(0x1944))],_0x5f1e8b))_0x16ab4f=_0x178173(0x785);else{if(_0x39641b()[_0x178173(0x727)]([_0x24bfbb(_0x550365,'voice'),_0x24bfbb(_0x550365,_0x178173(0x174c)),_0x24bfbb(_0x550365,_0x178173(0x2651)),_0x24bfbb(_0x550365,_0x178173(0x15e0)),_0x24bfbb(_0x550365,_0x178173(0x689)),_0x24bfbb(_0x550365,_0x178173(0x2476)),_0x24bfbb(_0x550365,_0x178173(0x1944))],_0x5f1e8b))_0x16ab4f='*pause';else _0x39641b()[_0x178173(0x1aa3)]([_0x24bfbb(_0x550365,_0x178173(0x1fd4)),_0x24bfbb(_0x550365,'chat'),_0x24bfbb(_0x550365,_0x178173(0x2651)),_0x24bfbb(_0x550365,_0x178173(0x15e0)),_0x24bfbb(_0x550365,_0x178173(0x689)),_0x24bfbb(_0x550365,_0x178173(0x2476)),_0x24bfbb(_0x550365,'fax')],_0x652f7)?_0x16ab4f=_0x178173(0x8df):_0x16ab4f=_0x178173(0x5b2);}_0x550365['globalStatus']!==_0x16ab4f&&(_0x550365[_0x178173(0x1fbe)]=_0x16ab4f,_0x550365[_0x178173(0x1a8e)]?(_0x550365[_0x178173(0x1a8e)]=![],_0x550365[_0x178173(0xdb9)]=_0x5f1e8b(_0x16ab4f)?_0x39641b()[_0x178173(0x106d)](_0x543b5a()(_0x550365['lastPauseAt'])[_0x178173(0x1f31)]('x')):_0x39641b()[_0x178173(0xb33)]([_0x550365[_0x178173(0xc9c)],_0x550365[_0x178173(0x1b11)],_0x550365['mailStatusTime'],_0x550365['smsStatusTime'],_0x550365[_0x178173(0x2064)],_0x550365[_0x178173(0x22a6)],_0x550365[_0x178173(0x1997)]])):_0x550365[_0x178173(0xdb9)]=_0x39641b()[_0x178173(0x106d)](_0x543b5a()()[_0x178173(0x1f31)]('x')));}function _0x2a97a7(_0x467f12){const _0x261b67=_0x5b3acb,_0x11af5a=_0x39641b()[_0x261b67(0x1f2c)](_0x1d8cac[_0x261b67(0xae2)][_0x261b67(0x237f)],'-')?_0x261b67(0x20a5):_0x261b67(0x24cc);return _0x39641b()[_0x261b67(0x17ca)](_0x467f12,[_0x1d8cac[_0x261b67(0xae2)][_0x261b67(0x237f)][_0x261b67(0x288f)]('-','')],[_0x11af5a]);}function _0x357104(){_0x3e33f9&&(_0x55c7c8['cancel'](_0x3e33f9),_0x3e33f9=null);}function _0x364b2d(_0x32373e,_0x2b828f){const _0x30a0c2=_0x5b3acb;return _0x4e7997['user'][_0x30a0c2(0x687)]({'id':_0x32373e['id']},{'screenrecording':_0x2b828f})[_0x30a0c2(0x1d77)][_0x30a0c2(0x1c4)](function(_0x70dd1b){const _0x4eba01=_0x30a0c2;_0x49c1d3['error']({'title':_0x70dd1b[_0x4eba01(0x291)]?_0x4eba01(0xeb9)+_0x70dd1b[_0x4eba01(0x291)]+_0x4eba01(0x1657)+_0x70dd1b[_0x4eba01(0xc22)]:_0x4eba01(0x498),'msg':_0x70dd1b[_0x4eba01(0x25c)]?JSON[_0x4eba01(0x2701)](_0x70dd1b[_0x4eba01(0x25c)][_0x4eba01(0x155e)]):_0x70dd1b['toString']()});});}_0x45ab33[_0x5b3acb(0x1d6)](_0x5b3acb(0x291c),function(){const _0x32f40a=_0x5b3acb;_0x1bb4fb[_0x32f40a(0xfb8)]('user:save'),_0x1bb4fb[_0x32f40a(0xfb8)](_0x32f40a(0x14c6)),_0x1bb4fb[_0x32f40a(0xfb8)](_0x32f40a(0x2290)),_0x1bb4fb[_0x32f40a(0xfb8)](_0x32f40a(0x10b3)),_0x357104();});}const _0x155424=_0x11fd6d;;const _0x545202=_0x5074a3['p']+_0x5537c6(0x7f9);;const _0x29f6f5=_0x5074a3['p']+'src/js/modules/main/apps/openchannel/views/openchannelQueues/edit/agentadd/agentadd.html/agentadd.html';;_0x3637e3[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x2168),_0x5537c6(0xcb9),'$q',_0x5537c6(0x22bf),'$interval',_0x5537c6(0x971),_0x5537c6(0x2255),_0x5537c6(0x142b),_0x5537c6(0x1986),_0x5537c6(0x9bf),'userProfile',_0x5537c6(0x2199),_0x5537c6(0x1774)];function _0x3637e3(_0x5d8f51,_0x287f66,_0x46dd5b,_0x51ba8f,_0x5ae495,_0xeb52c,_0x3be07e,_0x11adf9,_0x5aa0cd,_0x247d22,_0x425466,_0x4026fb,_0x341260,_0x4bf488){const _0x815d98=_0x5537c6,_0x43e925=this,_0x242896=[_0x815d98(0x22dc),_0x815d98(0x2803),_0x815d98(0x26b6),_0x815d98(0x192a),_0x815d98(0xfb2),_0x815d98(0x2315),_0x815d98(0x155e),_0x815d98(0x737),_0x815d98(0xda8),_0x815d98(0xf67),_0x815d98(0x139c)];_0x43e925[_0x815d98(0xe76)]=_0x4bf488['getCurrentUser'](),_0x43e925[_0x815d98(0x184d)]=_0x3be07e[_0x815d98(0x184d)]?_0x3be07e[_0x815d98(0x184d)]:0x0,_0x43e925['queues']=_0x3be07e?_0x39641b()['keyBy'](_0x3be07e['rows']?_0x3be07e[_0x815d98(0x2214)]:[],'id'):{},_0x43e925[_0x815d98(0x2255)]=_0x11adf9?_0x39641b()[_0x815d98(0x2631)](_0x11adf9[_0x815d98(0x2214)]?_0x11adf9[_0x815d98(0x2214)]:[],'id'):{},_0x43e925[_0x815d98(0x44a)]=_0x4026fb,_0x43e925[_0x815d98(0x2199)]=_0x341260&&_0x341260[_0x815d98(0x184d)]==0x1?_0x341260[_0x815d98(0x2214)][0x0]:null,_0x43e925[_0x815d98(0x1b1a)]=_0x4bf488[_0x815d98(0x14ea)](_0x43e925[_0x815d98(0x2199)]?_0x43e925['userProfileSection'][_0x815d98(0x1b1a)]:null);_0x4bf488[_0x815d98(0x22b6)](_0x815d98(0x1c60))?_0x43e925[_0x815d98(0xae2)]={'type':_0x815d98(0x26c0),'sort':_0x815d98(0x282),'limit':0xa,'page':0x1}:_0x43e925[_0x815d98(0xae2)]={'id':_0x43e925[_0x815d98(0xe76)]['id'],'channel':'openchannel','type':_0x815d98(0x26c0),'sort':_0x815d98(0x282),'limit':0xa,'page':0x1};_0x43e925[_0x815d98(0x829)]=_0xf5e26,_0x43e925['getQueues']=_0x23dbc6,_0x43e925['createOrEditOpenchannelQueue']=_0x22db26,_0x43e925[_0x815d98(0x1be7)]=_0x16bd13,_0x43e925['updateQueue']=_0x25399c,_0x43e925[_0x815d98(0x294c)]=_0x202123,_0x43e925[_0x815d98(0xc88)]=_0x563f7e,_0x43e925[_0x815d98(0x365)]=_0x2000ff,_0x43e925[_0x815d98(0x18e4)]=_0x54fc5d,_0x43e925['onLogin']=_0x5dff71,_0x43e925[_0x815d98(0x3be)]=_0x2cee6d,_0x43e925[_0x815d98(0x129c)]=_0x371fd2,_0x43e925['order']=[],_0x39641b()[_0x815d98(0x1ebd)](_0x43e925['queues'],function(_0xff89d9){const _0x5609f2=_0x815d98;_0x43e925[_0x5609f2(0x237f)][_0x5609f2(0x2785)](_0xff89d9['id']);}),_0x247d22['on'](_0x815d98(0xc78),_0x43e925[_0x815d98(0x294c)]),_0x247d22['on'](_0x815d98(0xe20),_0x43e925['onSaveMember']),_0x247d22['on'](_0x815d98(0x1b0a),_0x43e925[_0x815d98(0x365)]),_0x247d22['on']('user:update',_0x43e925[_0x815d98(0x18e4)]),_0x247d22['on'](_0x815d98(0x201e),_0x43e925[_0x815d98(0x3be)]),_0x247d22['on'](_0x815d98(0x828),_0x43e925['onLogin']);function _0x371fd2(){const _0x63a437=_0x815d98,_0x2ea82f=[];return _0x39641b()['forIn'](_0x43e925[_0x63a437(0x971)],function(_0x1234f9,_0x56dc23){const _0x2944b3=_0x63a437;_0x1234f9['paused']=0x0,_0x1234f9['loggedInDb']=0x0,_0x43e925[_0x2944b3(0x971)][_0x56dc23]['agents']={},_0x2ea82f['push'](_0x5aa0cd[_0x2944b3(0x3a9)][_0x2944b3(0x11dd)]({'id':_0x56dc23})[_0x2944b3(0x1d77)]),_0x43e925[_0x2944b3(0x2255)][_0x56dc23]&&_0x39641b()[_0x2944b3(0x9c1)](_0x1234f9,_0x39641b()[_0x2944b3(0x169b)](_0x43e925[_0x2944b3(0x2255)][_0x56dc23],_0x242896));}),_0x51ba8f[_0x63a437(0x223b)](_0x2ea82f)[_0x63a437(0x1cb0)](function(_0x568717){const _0x4ab0dc=_0x63a437;for(let _0x1e0cdf=0x0;_0x1e0cdf<_0x568717['length'];_0x1e0cdf+=0x1){for(let _0x2f5540=0x0;_0x2f5540<_0x568717[_0x1e0cdf][_0x4ab0dc(0x2214)][_0x4ab0dc(0xfd0)];_0x2f5540++){_0x568717[_0x1e0cdf]['rows'][_0x2f5540]['paused']&&_0x43e925[_0x4ab0dc(0x971)][_0x568717[_0x1e0cdf][_0x4ab0dc(0x2214)][_0x2f5540][_0x4ab0dc(0x483)]][_0x4ab0dc(0x139c)]++,_0x43e925[_0x4ab0dc(0x971)][_0x568717[_0x1e0cdf][_0x4ab0dc(0x2214)][_0x2f5540]['OpenchannelQueueId']][_0x4ab0dc(0x29a2)]++,_0x43e925[_0x4ab0dc(0x971)][_0x568717[_0x1e0cdf][_0x4ab0dc(0x2214)][_0x2f5540]['OpenchannelQueueId']][_0x4ab0dc(0xc12)][_0x568717[_0x1e0cdf][_0x4ab0dc(0x2214)][_0x2f5540][_0x4ab0dc(0x21ab)]]=_0x568717[_0x1e0cdf][_0x4ab0dc(0x2214)][_0x2f5540][_0x4ab0dc(0x483)];}}})[_0x63a437(0x1c4)](function(_0x43b699){const _0x29190c=_0x63a437;console[_0x29190c(0x218e)](_0x43b699);});}function _0x202123(_0x25c52c){const _0x399c5f=_0x815d98;_0x43e925[_0x399c5f(0x971)][_0x25c52c['id']]&&_0x39641b()[_0x399c5f(0x9c1)](_0x43e925[_0x399c5f(0x971)][_0x25c52c['id']],_0x39641b()[_0x399c5f(0x169b)](_0x25c52c,_0x242896));}function _0x563f7e(_0x3d490a){const _0x468360=_0x815d98;_0x43e925[_0x468360(0x971)][_0x3d490a[_0x468360(0x483)]]&&_0x43e925[_0x468360(0x971)][_0x3d490a[_0x468360(0x483)]][_0x468360(0x29a2)]++;}function _0x2000ff(_0x780e1a){const _0x1f7fbb=_0x815d98;_0x43e925[_0x1f7fbb(0x971)][_0x780e1a[_0x1f7fbb(0x483)]]&&_0x43e925[_0x1f7fbb(0x971)][_0x780e1a[_0x1f7fbb(0x483)]]['loggedInDb']--;}function _0x54fc5d(_0x946904){const _0x398aeb=_0x815d98;console[_0x398aeb(0x1b4f)](_0x398aeb(0x18e4),_0x946904);if(_0x946904[_0x398aeb(0x2478)])_0x39641b()[_0x398aeb(0x1ebd)](_0x43e925['queues'],function(_0x58759b){const _0x5f029b=_0x398aeb;_0x58759b[_0x5f029b(0xc12)][_0x946904['id']]&&(_0x58759b[_0x5f029b(0x139c)]+=0x1,console[_0x5f029b(0x1b4f)]('pause'));});else!_0x946904['voicePause']&&_0x39641b()[_0x398aeb(0x1ebd)](_0x43e925['queues'],function(_0x2e1d57){const _0x45e01c=_0x398aeb;_0x2e1d57['agents'][_0x946904['id']]&&(_0x2e1d57['paused']>0x0&&(_0x2e1d57[_0x45e01c(0x139c)]-=0x1,console[_0x45e01c(0x1b4f)](_0x45e01c(0xf5d))));});}function _0x5dff71(_0x4333fa){const _0x48c345=_0x815d98;console['log'](_0x48c345(0x2557),_0x4333fa),_0x43e925[_0x48c345(0x971)][_0x4333fa[_0x48c345(0x483)]]&&(_0x43e925[_0x48c345(0x971)][_0x4333fa[_0x48c345(0x483)]][_0x48c345(0x29a2)]+=0x1,_0x43e925['queues'][_0x4333fa[_0x48c345(0x483)]][_0x48c345(0xc12)][_0x4333fa[_0x48c345(0x21ab)]]=_0x4333fa[_0x48c345(0x483)]);}function _0x2cee6d(_0x207719){const _0x5240b7=_0x815d98;console[_0x5240b7(0x1b4f)]('onLogout',_0x207719),_0x43e925[_0x5240b7(0x971)][_0x207719[_0x5240b7(0x483)]]&&_0x43e925[_0x5240b7(0x971)][_0x207719['OpenchannelQueueId']][_0x5240b7(0x29a2)]>0x0&&(_0x43e925['queues'][_0x207719[_0x5240b7(0x483)]][_0x5240b7(0x29a2)]-=0x1,delete _0x43e925['queues'][_0x207719[_0x5240b7(0x483)]][_0x5240b7(0xc12)][_0x207719[_0x5240b7(0x21ab)]]);}let _0x5d7727=!![],_0x1153e3=0x1;_0x5d8f51[_0x815d98(0x614)](_0x815d98(0x957),function(_0x239cb5,_0x6dea59){const _0x4ff86b=_0x815d98;_0x5d7727?_0x287f66(function(){_0x5d7727=![];}):(!_0x6dea59&&(_0x1153e3=_0x43e925['query']['page']),_0x239cb5!==_0x6dea59&&(_0x43e925[_0x4ff86b(0xae2)][_0x4ff86b(0x1c7b)]=0x1),!_0x239cb5&&(_0x43e925[_0x4ff86b(0xae2)][_0x4ff86b(0x1c7b)]=_0x1153e3),_0x43e925[_0x4ff86b(0x13e9)]());});function _0xf5e26(_0x3ade97){const _0x3e1310=_0x815d98;_0x43e925[_0x3e1310(0x184d)]=_0x3ade97[_0x3e1310(0x184d)],_0x43e925[_0x3e1310(0x971)]=_0x3ade97?_0x39641b()['keyBy'](_0x3ade97['rows']?_0x3ade97[_0x3e1310(0x2214)]:[],'id'):{};if(_0x3ade97[_0x3e1310(0x2214)]){_0x43e925[_0x3e1310(0x237f)]=[];for(let _0x2f0ebb=0x0;_0x2f0ebb<_0x3ade97[_0x3e1310(0x2214)][_0x3e1310(0xfd0)];_0x2f0ebb++){_0x43e925['order'][_0x3e1310(0x2785)](_0x3ade97[_0x3e1310(0x2214)][_0x2f0ebb]['id']);}}_0x371fd2();}function _0x23dbc6(){const _0x150e4b=_0x815d98;_0x43e925[_0x150e4b(0xae2)][_0x150e4b(0x184b)]=(_0x43e925[_0x150e4b(0xae2)][_0x150e4b(0x1c7b)]-0x1)*_0x43e925[_0x150e4b(0xae2)][_0x150e4b(0x236)],_0x4bf488[_0x150e4b(0x22b6)]('admin')?_0x43e925[_0x150e4b(0x2061)]=_0x5aa0cd['openchannelQueue'][_0x150e4b(0xbf7)](_0x43e925[_0x150e4b(0xae2)],_0xf5e26)['$promise']:(_0x43e925['query']['id']=_0x43e925[_0x150e4b(0x44a)]['id'],_0x43e925[_0x150e4b(0xae2)][_0x150e4b(0x1f74)]='OpenchannelQueues',_0x43e925[_0x150e4b(0x2061)]=_0x5aa0cd[_0x150e4b(0x44a)][_0x150e4b(0x1810)](_0x43e925[_0x150e4b(0xae2)],_0xf5e26)[_0x150e4b(0x1d77)]);}function _0x22db26(_0x2d3ab1,_0xbbddb2){const _0x446cd9=_0x815d98;_0x46dd5b[_0x446cd9(0xe27)]({'controller':_0x446cd9(0xf79),'controllerAs':'vm','templateUrl':_0x545202,'parent':angular['element'](_0x5ae495['body']),'targetEvent':_0x2d3ab1,'clickOutsideToClose':!![],'locals':{'openchannelQueue':_0xbbddb2,'openchannelQueues':_0x39641b()['values'](_0x43e925[_0x446cd9(0x971)]),'license':null,'setting':null,'crudPermissions':_0x43e925['crudPermissions']}});}function _0x16bd13(_0x16543f,_0x2293f4){const _0x5b7fa8=_0x815d98;_0x46dd5b[_0x5b7fa8(0xe27)]({'controller':_0x5b7fa8(0x168b),'controllerAs':'vm','templateUrl':_0x29f6f5,'parent':angular[_0x5b7fa8(0x1853)](_0x5ae495[_0x5b7fa8(0x1ed9)]),'targetEvent':_0x16543f,'clickOutsideToClose':!![],'locals':{'openchannelQueue':_0x2293f4,'openchannelQueues':_0x43e925['queues']?_0x43e925[_0x5b7fa8(0x971)][_0x5b7fa8(0x2214)]:[],'realtime':![],'crudPermissions':_0x43e925[_0x5b7fa8(0x1b1a)]}});}function _0x25399c(_0x55695b){const _0x202133=_0x815d98;return _0x5aa0cd[_0x202133(0x3a9)]['update'](_0x55695b)[_0x202133(0x1d77)][_0x202133(0x1cb0)](function(){const _0x4ce33d=_0x202133;_0x425466[_0x4ce33d(0x829)]({'title':_0x4ce33d(0x264c),'msg':_0x55695b[_0x4ce33d(0x16b6)]?_0x55695b[_0x4ce33d(0x16b6)]+'\x20has\x20been\x20updated!':''});})[_0x202133(0x1c4)](function(_0x5b0407){const _0x9c363c=_0x202133;_0x425466['error']({'title':_0x9c363c(0x454),'msg':_0x5b0407[_0x9c363c(0x155e)]});});}_0x5d8f51[_0x815d98(0x1d6)]('$destroy',function(){const _0x81d027=_0x815d98;_0x247d22[_0x81d027(0xfb8)](_0x81d027(0xc78)),_0x247d22[_0x81d027(0xfb8)](_0x81d027(0x1b0a)),_0x247d22[_0x81d027(0xfb8)](_0x81d027(0xe20)),_0x247d22['removeAllListeners'](_0x81d027(0x14c6)),_0x247d22['removeAllListeners'](_0x81d027(0x201e)),_0x247d22[_0x81d027(0xfb8)](_0x81d027(0x828));});}const _0x3d341d=_0x3637e3;;function _0x1e3702(){const _0x337022=_0x5537c6;return{'status':{'registered':_0x337022(0x1170),'unregistered':_0x337022(0x29a3),'lagged':_0x337022(0x29a3),'reachable':_0x337022(0x1170),'unreachable':_0x337022(0x29a3),'unknown':_0x337022(0xb74)},'state':{'unknown':_0x337022(0x181),'not_inuse':_0x337022(0x25c2),'inuse':'red-300-fg\x20icon-phone-in-talk','busy':_0x337022(0x35b),'invalid':_0x337022(0x181),'unavailable':'grey-fg\x20icon-phone-hangup','ringing':_0x337022(0x1c43),'ringinuse':_0x337022(0x1c43),'onhold':_0x337022(0x1825)},'channelStatus':{'ring':_0x337022(0x1c43),'up':_0x337022(0x142f),'hangup':_0x337022(0xe67)},'channelStatusOut':{'ring':_0x337022(0x53c),'up':_0x337022(0x142f),'hangup':_0x337022(0xe67)}};}const _0x3c5646=_0x1e3702;;_0xd361bc[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x1986)];function _0xd361bc(_0x32dd87,_0x4738e0,_0x2cb643){const _0x15c4e5=_0x5537c6,_0x154e35=this;_0x2cb643[_0x15c4e5(0x22e8)](),_0x2cb643['connect'](),_0x154e35[_0x15c4e5(0x8ec)]=0x0;switch(_0x4738e0[_0x15c4e5(0x1970)]['name']){case _0x15c4e5(0x1a69):_0x154e35[_0x15c4e5(0x8ec)]=0x0;break;case'app.openchannel.realtime.queues':_0x154e35[_0x15c4e5(0x8ec)]=0x1;break;default:_0x154e35[_0x15c4e5(0x8ec)]=0x0,_0x4738e0['go'](_0x15c4e5(0x1a69));}_0x32dd87['$watch'](_0x15c4e5(0x1ced),function(_0x465996,_0x29af98){const _0x455976=_0x15c4e5;if(_0x465996!==_0x29af98)switch(_0x465996){case 0x0:_0x4738e0['go'](_0x455976(0x1a69));break;case 0x1:_0x4738e0['go'](_0x455976(0x215a));break;default:_0x4738e0['go'](_0x455976(0x1a69));}});}const _0x2eeb79=_0xd361bc;;_0x19d710[_0x5537c6(0x15b6)]=[_0x5537c6(0xbd6)];function _0x19d710(_0x11ca33){const _0x572576=_0x5537c6;_0x11ca33[_0x572576(0x27e0)](_0x572576(0x19f9),{'url':_0x572576(0x1625),'views':{'content@app':{'templateUrl':_0x4007d4,'controller':_0x572576(0x1578)}},'resolve':{'userProfile':['apiResolver',_0x572576(0x1774),function(_0x28b850,_0x5cfa9c){const _0x590117=_0x572576;return _0x5cfa9c[_0x590117(0x22b6)]('admin')?null:_0x28b850[_0x590117(0x19a3)](_0x590117(0x9ae),{'fields':'id,name,crudPermissions','id':_0x5cfa9c[_0x590117(0x21e8)]()[_0x590117(0x13c1)]});}],'userProfileSection':[_0x572576(0x1e0b),_0x572576(0x1774),function(_0xbd3b7f,_0x14fffc){const _0x42f758=_0x572576;return _0x14fffc['hasRole']('admin')?null:_0xbd3b7f[_0x42f758(0x19a3)]('userProfileSection@get',{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x14fffc[_0x42f758(0x21e8)]()[_0x42f758(0x13c1)],'sectionId':0x32a});}]},'authenticate':!![],'permissionId':0x32a})['state'](_0x572576(0x1a69),{'url':_0x572576(0xefc),'controller':_0x572576(0x439),'templateUrl':_0x32d231,'resolve':{'pauses':[_0x572576(0x1e0b),function(_0x1b405e){const _0x31d3de=_0x572576;return _0x1b405e['resolve'](_0x31d3de(0xeeb),{'nolimit':!![]});}],'agents':['apiResolver','Auth',function(_0x270c05,_0x12097a){const _0x428177=_0x572576;return _0x12097a[_0x428177(0x22b6)]('admin')?_0x270c05[_0x428177(0x19a3)](_0x428177(0x1c86),{'fields':_0x428177(0x1599),'role':_0x428177(0x1eff),'sort':_0x428177(0x1d14),'nolimit':!![]}):_0x270c05['resolve']('userProfile@getResources',{'id':_0x12097a['getCurrentUser']()['userProfileId'],'section':'Agents','fields':_0x428177(0x1599),'role':_0x428177(0x1eff),'sort':'fullname','nolimit':!![]});}],'userProfile':[_0x572576(0x1e0b),'Auth',function(_0x34c305,_0x948eb7){const _0x4dffba=_0x572576;return _0x948eb7['hasRole'](_0x4dffba(0x1c60))?null:_0x34c305['resolve'](_0x4dffba(0x9ae),{'fields':_0x4dffba(0x279),'id':_0x948eb7[_0x4dffba(0x21e8)]()[_0x4dffba(0x13c1)]});}],'userProfileSection':['apiResolver',_0x572576(0x1774),function(_0x3c639f,_0x2e57ea){const _0x5a293a=_0x572576;return _0x2e57ea['hasRole'](_0x5a293a(0x1c60))?null:_0x3c639f['resolve'](_0x5a293a(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x2e57ea['getCurrentUser']()[_0x5a293a(0x13c1)],'sectionId':0xca});}],'rpcAgents':[_0x572576(0x1e0b),function(_0xfb649d){const _0x4e184d=_0x572576;return _0xfb649d[_0x4e184d(0x19a3)](_0x4e184d(0x12c0));}]},'authenticate':!![],'permissionId':0x32a})[_0x572576(0x27e0)](_0x572576(0x215a),{'url':_0x572576(0x1596),'controller':_0x572576(0x21d),'templateUrl':_0x59a542,'resolve':{'queues':['apiResolver','Auth',function(_0x4eb7e3,_0x14fe5d){const _0x4fe8e1=_0x572576;return _0x14fe5d['hasRole'](_0x4fe8e1(0x1c60))?_0x4eb7e3['resolve']('openchannelQueue@get',{'type':_0x4fe8e1(0x26c0),'sort':_0x4fe8e1(0x282),'limit':0xa,'offset':0x0}):_0x4eb7e3[_0x4fe8e1(0x19a3)](_0x4fe8e1(0x12da),{'id':_0x14fe5d[_0x4fe8e1(0x21e8)]()[_0x4fe8e1(0x13c1)],'section':_0x4fe8e1(0x1765),'channel':_0x4fe8e1(0x15e0),'type':_0x4fe8e1(0x26c0),'sort':'-updatedAt','limit':0xa,'page':0x1});}],'userProfile':['apiResolver','Auth',function(_0x23f85b,_0x29f97e){const _0x3843a6=_0x572576;return _0x29f97e['hasRole'](_0x3843a6(0x1c60))?null:_0x23f85b[_0x3843a6(0x19a3)](_0x3843a6(0x9ae),{'fields':'id,name,crudPermissions','id':_0x29f97e[_0x3843a6(0x21e8)]()[_0x3843a6(0x13c1)]});}],'userProfileSection':[_0x572576(0x1e0b),'Auth',function(_0x4ec3dd,_0x51e2cd){const _0x59c5e8=_0x572576;return _0x51e2cd[_0x59c5e8(0x22b6)](_0x59c5e8(0x1c60))?null:_0x4ec3dd['resolve']('userProfileSection@get',{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x51e2cd[_0x59c5e8(0x21e8)]()[_0x59c5e8(0x13c1)],'sectionId':0x321});}],'rpcQueues':[_0x572576(0x1e0b),function(_0x57f89f){const _0x47a08a=_0x572576;return _0x57f89f[_0x47a08a(0x19a3)](_0x47a08a(0x15ca));}]},'authenticate':!![],'permissionId':0x32a});}angular['module'](_0x5537c6(0x19f9),[])[_0x5537c6(0xa60)](_0x19d710)['controller'](_0x5537c6(0x181a),_0x155424)[_0x5537c6(0x6e5)](_0x5537c6(0x1847),_0x3d341d)[_0x5537c6(0x234a)](_0x5537c6(0x125c),_0x3c5646)[_0x5537c6(0x6e5)]('OpenchannelRealtimeController',_0x2eeb79);;const _0x2e5db1=_0x5074a3['p']+_0x5537c6(0x202b);;const _0x1402a2=_0x5074a3['p']+_0x5537c6(0x167);;const _0x1ab158=_0x5074a3['p']+_0x5537c6(0x5f9);;const _0x3a2653=_0x5074a3['p']+_0x5537c6(0x25c3);;_0x2e4c9a['$inject']=['$scope','$state','$location',_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),'toasty','openchannelAccounts',_0x5537c6(0x80e),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x2e4c9a(_0x36e2cb,_0x81f768,_0x4968de,_0x53b317,_0x32d4d9,_0x462469,_0x4a18d0,_0x41e9f1,_0x4b9018,_0x580f3f,_0x42ac85,_0x486763,_0x2c3be2,_0x3f1151){const _0x25212c=_0x5537c6,_0x5ae308=this;_0x5ae308[_0x25212c(0xe76)]=_0x42ac85[_0x25212c(0x21e8)](),_0x5ae308[_0x25212c(0x1a7c)]=[],_0x5ae308[_0x25212c(0x9ca)]=_0x2c3be2,_0x5ae308[_0x25212c(0x8a5)]=_0x486763,_0x5ae308[_0x25212c(0x1b1a)]=_0x3f1151,_0x5ae308[_0x25212c(0xf4c)]={},_0x5ae308['passwordPattern']=_0x5ae308[_0x25212c(0x9ca)]&&_0x5ae308[_0x25212c(0x9ca)][_0x25212c(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x5ae308[_0x25212c(0x1386)]='OPENCHANNEL.EDIT_OPENCHANNELACCOUNT',_0x5ae308[_0x25212c(0x80e)]=angular[_0x25212c(0x17fe)](_0x4b9018),_0x5ae308['openchannelAccounts']=_0x41e9f1,_0x5ae308['newOpenchannelAccount']=![];!_0x5ae308['openchannelAccount']&&(_0x5ae308[_0x25212c(0x80e)]={'waitForTheAssignedAgent':0xa,'notificationSound':!![],'queueTransferTimeout':0x12c,'agentTransferTimeout':0x12c},_0x5ae308[_0x25212c(0x1386)]=_0x25212c(0x650),_0x5ae308[_0x25212c(0x1a7)]=!![]);_0x81f768[_0x25212c(0x1dfe)]['id']&&(_0x5ae308['openchannelAccount'][_0x25212c(0x1d1e)]=_0x81f768[_0x25212c(0x1dfe)]['id']);_0x5ae308['addNewOpenchannelAccount']=_0x3a8d84,_0x5ae308[_0x25212c(0x20f)]=_0x4fbe8c,_0x5ae308['deleteOpenchannelAccount']=_0x398ed9,_0x5ae308[_0x25212c(0x2c4)]=_0x12982a,_0x5ae308[_0x25212c(0xda0)]=_0xaa9b71,_0x42ac85[_0x25212c(0x22b6)](_0x25212c(0x1c60))?_0x580f3f[_0x25212c(0x22f2)][_0x25212c(0xbf7)]({'fields':_0x25212c(0x43c),'sort':'name'})[_0x25212c(0x1d77)][_0x25212c(0x1cb0)](function(_0xf69e7f){const _0x4f29f8=_0x25212c;_0x5ae308[_0x4f29f8(0x1046)]=_0xf69e7f[_0x4f29f8(0x2214)]||[];})['catch'](function(_0x526727){const _0x47299c=_0x25212c;_0x4a18d0[_0x47299c(0x218e)]({'title':_0x526727[_0x47299c(0x291)]?_0x47299c(0xeb9)+_0x526727[_0x47299c(0x291)]+_0x47299c(0x1657)+_0x526727['statusText']:'SYSTEM:GET_LISTS','msg':_0x526727[_0x47299c(0x25c)]?JSON[_0x47299c(0x2701)](_0x526727[_0x47299c(0x25c)]):_0x526727[_0x47299c(0x147f)]()});}):_0x580f3f['cmList']['get']({'fields':_0x25212c(0x43c),'sort':'name'})['$promise']['then'](function(_0x4b5b46){const _0x3f0650=_0x25212c;_0x5ae308[_0x3f0650(0x1046)]=_0x4b5b46[_0x3f0650(0x2214)]||[];})[_0x25212c(0x1cb0)](function(){const _0x422cb0=_0x25212c;return _0x580f3f[_0x422cb0(0x2199)][_0x422cb0(0xbf7)]({'userProfileId':_0x5ae308[_0x422cb0(0xe76)][_0x422cb0(0x13c1)],'sectionId':0x12d})[_0x422cb0(0x1d77)];})[_0x25212c(0x1cb0)](function(_0x12676a){const _0x25a63b=_0x25212c,_0x4ef0b4=_0x12676a&&_0x12676a[_0x25a63b(0x2214)]?_0x12676a[_0x25a63b(0x2214)][0x0]:null;if(!_0x4ef0b4){const _0x5642d1=[];let _0x5c4fab=null;_0x5ae308['openchannelAccount']&&(_0x5c4fab=_0x39641b()['find'](_0x5ae308[_0x25a63b(0x1046)],{'id':Number(_0x5ae308[_0x25a63b(0x80e)][_0x25a63b(0x20a6)])}));for(let _0x27b759=0x0;_0x27b759<_0x5ae308['lists'][_0x25a63b(0xfd0)];_0x27b759++){_0x5c4fab&&_0x5ae308[_0x25a63b(0x1046)][_0x27b759]['id']===_0x5c4fab['id']&&(_0x5ae308['lists'][_0x27b759]['canSelect']=![],_0x5642d1[_0x25a63b(0x2785)](_0x5ae308[_0x25a63b(0x1046)][_0x27b759]));}_0x5ae308[_0x25a63b(0x1046)]=_0x5642d1;}else{if(!_0x4ef0b4['autoAssociation'])return _0x580f3f[_0x25a63b(0x1198)][_0x25a63b(0xbf7)]({'sectionId':_0x4ef0b4['id']})['$promise'][_0x25a63b(0x1cb0)](function(_0x127d00){const _0x199c06=_0x25a63b,_0x25e1b1=_0x39641b()[_0x199c06(0x1de2)](_0x127d00['rows'],function(_0x7e7c96){const _0x3eacfd=_0x199c06;return _0x39641b()[_0x3eacfd(0x13b4)](_0x5ae308[_0x3eacfd(0x1046)],{'id':_0x7e7c96[_0x3eacfd(0x2982)]});});let _0x3ee22e=null;_0x5ae308['openchannelAccount']&&(_0x3ee22e=_0x39641b()[_0x199c06(0x13b4)](_0x5ae308[_0x199c06(0x1046)],{'id':Number(_0x5ae308[_0x199c06(0x80e)][_0x199c06(0x20a6)])}));if(_0x3ee22e&&!_0x39641b()[_0x199c06(0x727)](_0x25e1b1,['id',_0x3ee22e['id']])){const _0x192051=_0x39641b()[_0x199c06(0x13b4)](_0x5ae308[_0x199c06(0x1046)],{'id':_0x3ee22e['id']});_0x192051[_0x199c06(0x15da)]=![],_0x25e1b1[_0x199c06(0x2785)](_0x192051);}_0x5ae308[_0x199c06(0x1046)]=_0x25e1b1;});}})[_0x25212c(0x1c4)](function(_0x287387){const _0xc1a5a8=_0x25212c;_0x4a18d0[_0xc1a5a8(0x218e)]({'title':_0x287387[_0xc1a5a8(0x291)]?_0xc1a5a8(0xeb9)+_0x287387[_0xc1a5a8(0x291)]+_0xc1a5a8(0x1657)+_0x287387[_0xc1a5a8(0xc22)]:'SYSTEM:GETlists','msg':_0x287387[_0xc1a5a8(0x25c)]?JSON[_0xc1a5a8(0x2701)](_0x287387[_0xc1a5a8(0x25c)]):_0x287387[_0xc1a5a8(0x147f)]()});});function _0x3a8d84(){const _0x55cb37=_0x25212c;_0x5ae308[_0x55cb37(0x1a7c)]=[],_0x580f3f[_0x55cb37(0x80e)]['save'](_0x5ae308[_0x55cb37(0x80e)])['$promise'][_0x55cb37(0x1cb0)](function(_0x15c954){const _0xdc087e=_0x55cb37;_0x5ae308[_0xdc087e(0xe5c)][_0xdc087e(0xf63)](_0x15c954['toJSON']()),_0x4a18d0[_0xdc087e(0x829)]({'title':_0xdc087e(0x5a9),'msg':_0x5ae308['openchannelAccount'][_0xdc087e(0x16b6)]?_0x5ae308[_0xdc087e(0x80e)]['name']+_0xdc087e(0x470):''}),_0xaa9b71(_0x15c954);})[_0x55cb37(0x1c4)](function(_0x2337c8){const _0x397542=_0x55cb37;if(_0x2337c8[_0x397542(0x25c)]&&_0x2337c8['data'][_0x397542(0x1a7c)]&&_0x2337c8[_0x397542(0x25c)][_0x397542(0x1a7c)][_0x397542(0xfd0)]){_0x5ae308['errors']=_0x2337c8[_0x397542(0x25c)]['errors']||[{'message':_0x2337c8[_0x397542(0x147f)](),'type':_0x397542(0xf71)}];for(let _0x199db2=0x0;_0x199db2<_0x2337c8[_0x397542(0x25c)][_0x397542(0x1a7c)]['length'];_0x199db2+=0x1){_0x4a18d0[_0x397542(0x218e)]({'title':_0x2337c8[_0x397542(0x25c)]['errors'][_0x199db2][_0x397542(0x66a)],'msg':_0x2337c8['data'][_0x397542(0x1a7c)][_0x199db2][_0x397542(0x155e)]});}}else _0x4a18d0['error']({'title':_0x2337c8['status']?_0x397542(0xeb9)+_0x2337c8[_0x397542(0x291)]+_0x397542(0x1657)+_0x2337c8['statusText']:_0x397542(0xf71),'msg':_0x2337c8[_0x397542(0x25c)]?JSON['stringify'](_0x2337c8[_0x397542(0x25c)][_0x397542(0x155e)]):_0x2337c8[_0x397542(0x147f)]()});});}function _0x4fbe8c(){const _0x1d3f88=_0x25212c;_0x5ae308[_0x1d3f88(0x1a7c)]=[],_0x580f3f[_0x1d3f88(0x80e)][_0x1d3f88(0x687)]({'id':_0x5ae308[_0x1d3f88(0x80e)]['id']},_0x5ae308[_0x1d3f88(0x80e)])['$promise'][_0x1d3f88(0x1cb0)](function(_0x54dc24){const _0x46377a=_0x1d3f88,_0xb07186=_0x39641b()[_0x46377a(0x13b4)](_0x5ae308[_0x46377a(0xe5c)],{'id':_0x54dc24['id']});_0xb07186&&_0x39641b()[_0x46377a(0x9c1)](_0xb07186,_0x39641b()[_0x46377a(0x169b)](_0x54dc24[_0x46377a(0x19b2)](),_0x39641b()['keys'](_0xb07186))),_0x4a18d0['success']({'title':'OpenchannelAccount\x20properly\x20saved!','msg':_0x5ae308[_0x46377a(0x80e)]['name']?_0x5ae308['openchannelAccount']['name']+'\x20has\x20been\x20saved!':''}),_0xaa9b71(_0x54dc24);})[_0x1d3f88(0x1c4)](function(_0x2a9c12){const _0x3ea656=_0x1d3f88;if(_0x2a9c12[_0x3ea656(0x25c)]&&_0x2a9c12[_0x3ea656(0x25c)][_0x3ea656(0x1a7c)]&&_0x2a9c12[_0x3ea656(0x25c)]['errors'][_0x3ea656(0xfd0)]){_0x5ae308[_0x3ea656(0x1a7c)]=_0x2a9c12['data'][_0x3ea656(0x1a7c)]||[{'message':_0x2a9c12[_0x3ea656(0x147f)](),'type':_0x3ea656(0x1748)}];for(let _0x3ef78d=0x0;_0x3ef78d<_0x2a9c12[_0x3ea656(0x25c)]['errors'][_0x3ea656(0xfd0)];_0x3ef78d++){_0x4a18d0['error']({'title':_0x2a9c12['data'][_0x3ea656(0x1a7c)][_0x3ef78d][_0x3ea656(0x66a)],'msg':_0x2a9c12[_0x3ea656(0x25c)]['errors'][_0x3ef78d][_0x3ea656(0x155e)]});}}else _0x4a18d0[_0x3ea656(0x218e)]({'title':_0x2a9c12[_0x3ea656(0x291)]?_0x3ea656(0xeb9)+_0x2a9c12[_0x3ea656(0x291)]+_0x3ea656(0x1657)+_0x2a9c12[_0x3ea656(0xc22)]:_0x3ea656(0x1748),'msg':_0x2a9c12[_0x3ea656(0x25c)]?JSON[_0x3ea656(0x2701)](_0x2a9c12[_0x3ea656(0x25c)][_0x3ea656(0x155e)]):_0x2a9c12[_0x3ea656(0x147f)]()});});}function _0x398ed9(_0xedde2e){const _0x129e88=_0x25212c;_0x5ae308[_0x129e88(0x1a7c)]=[];const _0x5e2b8f=_0x53b317[_0x129e88(0x1551)]()[_0x129e88(0x1386)](_0x129e88(0x1a2e))['content'](_0x129e88(0x1b88))[_0x129e88(0x15ad)](_0x129e88(0x290a))['ok'](_0x129e88(0x2594))[_0x129e88(0x696)]('Cancel')[_0x129e88(0x728)](_0xedde2e);_0x53b317[_0x129e88(0xe27)](_0x5e2b8f)[_0x129e88(0x1cb0)](function(){const _0x363d36=_0x129e88;_0x580f3f['openchannelAccount']['delete']({'id':_0x5ae308[_0x363d36(0x80e)]['id']})['$promise'][_0x363d36(0x1cb0)](function(){const _0x3aafef=_0x363d36;_0x39641b()[_0x3aafef(0x152a)](_0x5ae308[_0x3aafef(0xe5c)],{'id':_0x5ae308[_0x3aafef(0x80e)]['id']}),_0x4a18d0[_0x3aafef(0x829)]({'title':'OpenchannelAccount\x20properly\x20deleted!','msg':(_0x5ae308[_0x3aafef(0x80e)][_0x3aafef(0x16b6)]||'openchannelAccount')+_0x3aafef(0x3f5)}),_0xaa9b71(_0x5ae308[_0x3aafef(0x80e)]);})[_0x363d36(0x1c4)](function(_0x558670){const _0x364e88=_0x363d36;if(_0x558670[_0x364e88(0x25c)]&&_0x558670[_0x364e88(0x25c)][_0x364e88(0x1a7c)]&&_0x558670[_0x364e88(0x25c)][_0x364e88(0x1a7c)][_0x364e88(0xfd0)]){_0x5ae308[_0x364e88(0x1a7c)]=_0x558670[_0x364e88(0x25c)]['errors']||[{'message':_0x558670['toString'](),'type':'api.openchannelAccount.delete'}];for(let _0x34f890=0x0;_0x34f890<_0x558670['data'][_0x364e88(0x1a7c)]['length'];_0x34f890++){_0x4a18d0[_0x364e88(0x218e)]({'title':_0x558670[_0x364e88(0x25c)][_0x364e88(0x1a7c)][_0x34f890][_0x364e88(0x66a)],'msg':_0x558670['data'][_0x364e88(0x1a7c)][_0x34f890][_0x364e88(0x155e)]});}}else _0x4a18d0[_0x364e88(0x218e)]({'title':_0x558670[_0x364e88(0x291)]?'API:'+_0x558670[_0x364e88(0x291)]+_0x364e88(0x1657)+_0x558670[_0x364e88(0xc22)]:_0x364e88(0x1aa),'msg':_0x558670[_0x364e88(0x25c)]?JSON[_0x364e88(0x2701)](_0x558670[_0x364e88(0x25c)][_0x364e88(0x155e)]):_0x558670[_0x364e88(0x155e)]||_0x558670[_0x364e88(0x147f)]()});});},function(){});}function _0x12982a(_0x16029c){return _0x16029c===null?undefined:new Date(_0x16029c);}function _0xaa9b71(_0x31adbe){const _0x33d1b7=_0x25212c;_0x53b317[_0x33d1b7(0x1426)](_0x31adbe);}}const _0x48fc8c=_0x2e4c9a;;const _0x13368c=_0x5074a3['p']+_0x5537c6(0x7b8);;const _0xf09a01=_0x5074a3['p']+_0x5537c6(0x1bf6);;const _0x1ad28e=_0x5074a3['p']+_0x5537c6(0xe83);;const _0x82671f=_0x5074a3['p']+_0x5537c6(0xc8f);;const _0x5afc27=_0x5074a3['p']+_0x5537c6(0x1d90);;const _0x25526b=_0x5074a3['p']+'src/js/modules/main/apps/openchannel/views/openchannelAccounts/edit/apps/interval/dialog.html/dialog.html';;const _0x36d6bc=_0x5074a3['p']+_0x5537c6(0x282f);;const _0x5385e8=_0x5074a3['p']+_0x5537c6(0x178a);;const _0x2d7cb2=_0x5074a3['p']+_0x5537c6(0x72a);;const _0x2775fa=_0x5074a3['p']+_0x5537c6(0x1e00);;_0x226df8['$inject']=[_0x5537c6(0x142b),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x9bf),_0x5537c6(0x1774)];const _0x366582={'agent':_0x13368c,'autoreply':_0xf09a01,'close':_0x1ad28e,'gotoif':_0x82671f,'gotop':_0x5afc27,'interval':_0x25526b,'noop':_0x36d6bc,'queue':_0x5385e8,'system':_0x2d7cb2,'tag':_0x2775fa};function _0x226df8(_0x5b52a2,_0x467745,_0x479c2e,_0x2e67e3,_0x4f10c7){const _0x584534=_0x5537c6,_0x2dee0d=this;_0x2dee0d['currentUser']=_0x4f10c7[_0x584534(0x21e8)](),_0x2dee0d[_0x584534(0x80e)]={},_0x2dee0d[_0x584534(0x53b)]={'count':0x0,'rows':[]},_0x2dee0d['selectedOpenchannelAccountApps']=[],_0x2dee0d[_0x584534(0x1b1a)],_0x2dee0d[_0x584534(0xae2)]={'sort':_0x584534(0x521)},_0x2dee0d[_0x584534(0x196f)]=_0x39641b()[_0x584534(0x988)](_0x39641b()[_0x584534(0x1d33)]([{'app':'dialogflow','appType':_0x584534(0x711),'type':'dialogflow','icon':_0x584534(0x33e),'interval':_0x584534(0x965),'isApp':!![],'fields':[{'title':'Key','name':'key','type':_0x584534(0x19d3),'required':!![],'param':0x0},{'title':_0x584534(0x12b4),'name':_0x584534(0x12b4),'type':_0x584534(0x220f),'defaultValue':_0x584534(0x1ffc),'values':[{'option':'Danish','value':_0x584534(0x1dac)},{'option':'English','value':'\x27en\x27'},{'option':'German','value':_0x584534(0x134a)},{'option':_0x584534(0x2257),'value':_0x584534(0x6a6)},{'option':_0x584534(0x2672),'value':_0x584534(0xb87)},{'option':'French','value':_0x584534(0x1040)},{'option':'Indonesian','value':_0x584534(0x1cf7)},{'option':_0x584534(0x243f),'value':'\x27ja\x27'},{'option':_0x584534(0x2773),'value':'\x27ko\x27'},{'option':_0x584534(0x2027),'value':_0x584534(0x1298)},{'option':_0x584534(0x12a8),'value':_0x584534(0x15b9)},{'option':'Portuguese\x20(European)','value':'\x27pt\x27'},{'option':_0x584534(0x1a45),'value':_0x584534(0x741)},{'option':_0x584534(0x1dec),'value':_0x584534(0x258b)},{'option':_0x584534(0x15fe),'value':'\x27sv\x27'},{'option':_0x584534(0x20c),'value':_0x584534(0x1047)},{'option':_0x584534(0x526),'value':_0x584534(0x199c)},{'option':_0x584534(0xb4e),'value':_0x584534(0x18f1)},{'option':_0x584534(0xf5c),'value':_0x584534(0x1d01)},{'option':'Chinese\x20(Traditional)','value':_0x584534(0xad9)}],'required':!![],'param':0x1},{'title':_0x584534(0x173a),'name':_0x584534(0x173a),'type':'textarea','maxlength':0xff,'required':![],'param':0x2,'help':!![]}]},{'app':_0x584534(0x385),'appType':_0x584534(0xece),'type':_0x584534(0xece),'icon':_0x584534(0x33e),'interval':_0x584534(0x965),'isApp':!![],'fields':[{'title':'ProjectID','name':_0x584534(0x2854),'type':_0x584534(0x19d3),'required':!![],'param':0x0},{'title':_0x584534(0x9e0),'name':'clientEmail','type':_0x584534(0x19d3),'required':!![],'param':0x1},{'title':_0x584534(0x2393),'name':'privateKey','type':_0x584534(0x2424),'required':!![],'param':0x2},{'title':'language','name':_0x584534(0x12b4),'type':_0x584534(0x220f),'defaultValue':_0x584534(0x1ffc),'values':[{'value':_0x584534(0x1d01),'option':_0x584534(0x261e)},{'value':_0x584534(0x18f1),'option':_0x584534(0xb4e)},{'value':_0x584534(0xad9),'option':_0x584534(0x1784)},{'value':_0x584534(0x1dac),'option':'Danish'},{'value':_0x584534(0x1298),'option':_0x584534(0x2027)},{'value':_0x584534(0x1ffc),'option':_0x584534(0xc8b)},{'value':_0x584534(0x1548),'option':'English\x20(Australia)'},{'value':_0x584534(0x9ed),'option':_0x584534(0x1edf)},{'value':_0x584534(0x21a8),'option':_0x584534(0x28eb)},{'value':_0x584534(0x17d0),'option':_0x584534(0x57b)},{'value':_0x584534(0x28de),'option':_0x584534(0x146b)},{'value':_0x584534(0x1040),'option':_0x584534(0x19a)},{'value':_0x584534(0x271a),'option':_0x584534(0x2207)},{'value':_0x584534(0x15ea),'option':_0x584534(0xe34)},{'value':_0x584534(0x134a),'option':'German'},{'value':_0x584534(0x1562),'option':'Hindi'},{'value':_0x584534(0x1cf7),'option':'Indonesian'},{'value':'\x27it\x27','option':_0x584534(0x2257)},{'value':_0x584534(0x5c5),'option':_0x584534(0x243f)},{'value':_0x584534(0x1ce3),'option':_0x584534(0x14f3)},{'value':'\x27no\x27','option':_0x584534(0x12a8)},{'value':_0x584534(0x85d),'option':_0x584534(0x2960)},{'value':_0x584534(0x1ccb),'option':_0x584534(0xa0f)},{'value':_0x584534(0x1763),'option':_0x584534(0x967)},{'value':_0x584534(0x258b),'option':'Russian'},{'value':'\x27es\x27','option':_0x584534(0x2672)},{'value':_0x584534(0x1ff7),'option':_0x584534(0x1051)},{'value':'\x27es-ES\x27','option':'Spanish\x20(Spain)'},{'value':_0x584534(0x21c7),'option':_0x584534(0x15fe)},{'value':'\x27th\x27','option':_0x584534(0x20c)},{'value':_0x584534(0x21cd),'option':_0x584534(0x1543)},{'value':_0x584534(0x199c),'option':'Ukrainian'}],'required':!![],'param':0x3},{'title':'welcomemessage','name':_0x584534(0x173a),'type':_0x584534(0x2424),'maxlength':0xff,'param':0x4,'help':!![]}]},{'app':'amazonlex','appType':'amazonlex','type':_0x584534(0x123a),'icon':_0x584534(0x33e),'interval':_0x584534(0x965),'isApp':!![],'fields':[{'title':'accesskeyid','name':_0x584534(0x413),'type':_0x584534(0x19d3),'required':!![],'param':0x0},{'title':_0x584534(0x21d9),'name':'secretaccesskey','type':'text','required':!![],'param':0x1},{'title':_0x584534(0xd50),'name':_0x584534(0xd50),'type':_0x584534(0x220f),'defaultValue':_0x584534(0x1c93),'values':[{'option':'US\x20East\x20(N.\x20Virginia)','value':_0x584534(0x1c93)},{'option':_0x584534(0x13fc),'value':_0x584534(0x2646)},{'option':_0x584534(0x14ca),'value':_0x584534(0x208d)},{'option':_0x584534(0x1eae),'value':'\x27ap-southeast-2\x27'}],'required':!![],'param':0x2},{'title':_0x584534(0x1c7f),'name':_0x584534(0x1c7f),'type':_0x584534(0x19d3),'required':!![],'param':0x3},{'title':_0x584534(0x173a),'name':'welcomemessage','type':_0x584534(0x2424),'maxlength':0xff,'required':![],'param':0x4,'help':!![]}]},{'app':_0x584534(0x1d81),'appType':'interval','types':[_0x584534(0x2017),_0x584534(0x197c),'list'],'fields':[],'isApp':![]},{'app':_0x584534(0x1234),'appType':_0x584534(0x1234),'type':_0x584534(0x1234),'icon':'icon-apps','interval':_0x584534(0x965),'required':!![],'isApp':!![],'fields':[{'title':_0x584534(0x1e10),'name':_0x584534(0x327),'type':_0x584534(0x19d3),'param':0x0}]},{'app':_0x584534(0x7be),'appType':_0x584534(0x7be),'type':'system','icon':'icon-apps','interval':'*,*,*,*','required':!![],'isApp':!![],'extraApi':[{'name':_0x584534(0x9a9),'field':{'name':_0x584534(0x1822),'key':_0x584534(0x16b6)},'route':'variable','filters':{'fields':_0x584534(0x43c),'sort':'name','nolimit':!![]},'permissions':{'section':0x3f4}}],'fields':[{'title':_0x584534(0x1b9),'name':_0x584534(0xd0d),'type':_0x584534(0x19d3),'required':!![],'param':0x0},{'title':'Variable','name':_0x584534(0x1822),'type':'apiselect','values':_0x584534(0x9a9),'value':_0x584534(0x3f3),'option':_0x584534(0x3f3),'defaultValues':[{'value':'\x27\x27','option':_0x584534(0x2001)}],'defaultValue':'\x27\x27','param':0x1}]},{'app':'gotop','appType':_0x584534(0x265b),'type':_0x584534(0x3da),'icon':_0x584534(0x33e),'interval':'*,*,*,*','isApp':!![],'fields':[{'title':_0x584534(0x1240),'name':_0x584534(0x521),'type':_0x584534(0x83d),'required':!![],'min':0x0,'param':0x0}]},{'app':_0x584534(0x13a0),'appType':_0x584534(0x13a0),'type':_0x584534(0x13a0),'icon':_0x584534(0x33e),'interval':_0x584534(0x965),'isApp':!![],'fields':[{'title':'Condition','name':_0x584534(0x166c),'type':'text','required':!![],'param':0x0},{'title':_0x584534(0xb24),'name':'truepriority','type':_0x584534(0x83d),'min':0x1,'required':!![],'param':0x1},{'title':_0x584534(0x17d),'name':_0x584534(0x17d),'type':_0x584534(0x83d),'min':0x1,'required':!![],'param':0x2}]},{'app':_0x584534(0x11cf),'appType':_0x584534(0x11cf),'foreignKey':_0x584534(0x483),'type':'queue','icon':_0x584534(0x33e),'interval':_0x584534(0x965),'isApp':!![],'extraApi':[{'name':'queues','field':{'name':_0x584534(0x11cf),'key':_0x584534(0x16b6)},'route':'openchannelQueue','filters':{'fields':_0x584534(0x1896),'sort':_0x584534(0x16b6),'nolimit':!![]},'permissions':{'section':0x321}}],'fields':[{'title':_0x584534(0x2687),'name':_0x584534(0x11cf),'type':_0x584534(0xb52),'values':_0x584534(0x971),'value':'queue.name','option':'queue.name','defaultValue':0x12c,'required':!![],'param':0x0},{'title':_0x584534(0xbcc),'name':'timeout','type':'number','max':0x20c49b,'min':0x0,'defaultValue':0x12c,'required':!![],'param':0x1}]},{'app':_0x584534(0x1eff),'appType':_0x584534(0x1eff),'type':_0x584534(0x1eff),'foreignKey':_0x584534(0x21ab),'icon':_0x584534(0x33e),'interval':_0x584534(0x965),'isApp':!![],'extraApi':[{'name':_0x584534(0xc12),'field':{'name':'agent','key':_0x584534(0x16b6)},'route':_0x584534(0xebe),'filters':{'fields':'id,name','sort':'name','role':_0x584534(0x1eff),'nolimit':!![]},'permissions':{'section':0xca}}],'fields':[{'title':_0x584534(0x30e),'name':'agent','type':_0x584534(0xb52),'values':_0x584534(0xc12),'value':_0x584534(0x302),'option':_0x584534(0x302),'required':!![],'param':0x0},{'title':'Timeout','name':_0x584534(0x1719),'type':'number','max':0x20c49b,'min':0x0,'required':!![],'defaultValue':0x1e,'param':0x1}]},{'app':'autoreply','appType':_0x584534(0x1f71),'type':'autoreply','icon':_0x584534(0x33e),'interval':_0x584534(0x965),'isApp':!![],'fields':[{'title':'times','name':'times','type':_0x584534(0x220f),'defaultValue':'1','values':[{'option':'One\x20Time','value':'1'},{'option':_0x584534(0x1288),'value':'0'}],'required':!![],'param':0x0},{'title':_0x584534(0x620),'name':_0x584534(0x19d3),'type':_0x584534(0x2424),'required':!![],'param':0x1}]},{'app':_0x584534(0xf3b),'appType':_0x584534(0xf3b),'type':_0x584534(0xf3b),'icon':_0x584534(0x33e),'interval':_0x584534(0x965),'isApp':!![],'fields':[{'title':_0x584534(0x2542),'name':_0x584534(0x1746),'type':_0x584534(0x19d3),'required':!![],'param':0x0}]},{'app':_0x584534(0x22b1),'appType':'tag','type':_0x584534(0x22b1),'foreignKey':'TagId','icon':'icon-apps','interval':_0x584534(0x965),'isApp':!![],'extraApi':[{'name':_0x584534(0xfbf),'field':{'name':_0x584534(0x22b1),'key':_0x584534(0x16b6)},'route':'tag','filters':{'fields':_0x584534(0x43c),'sort':_0x584534(0x16b6),'nolimit':!![]},'permissions':{'section':0x3f0}}],'fields':[{'title':_0x584534(0xf2d),'name':_0x584534(0x22b1),'type':'apiselect','values':'tags','value':_0x584534(0x52a),'option':_0x584534(0x52a),'required':!![],'param':0x0}]}],[_0x584534(0x22e1)]),{'isApp':![]}),_0x2dee0d[_0x584534(0x240)]={'group':{'name':_0x584534(0x2523),'pull':_0x584534(0x11bc)},'animation':0x64,'sort':![]},_0x2dee0d[_0x584534(0x1f2f)]={'group':{'name':_0x584534(0x1ddb),'put':_0x584534(0x2523)},'animation':0x64,'onAdd':function(_0x1bb4d8){const _0xc7a8c2=_0x584534;_0x363d33(_0x1bb4d8,_0x1bb4d8[_0xc7a8c2(0x22e)]);},'onSort':function(){_0x299894();}},_0x2dee0d['init']=_0x372417,_0x2dee0d['deleteConfirm']=_0x374fbf,_0x2dee0d[_0x584534(0x1eb4)]=_0x353547,_0x2dee0d[_0x584534(0xff7)]=_0x363d33,_0x2dee0d['editInterval']=_0xe8b06f,_0x2dee0d[_0x584534(0x24d4)]=_0x1add7f,_0x2dee0d[_0x584534(0x288)]=_0x3c231a,_0x2dee0d['rewriteRouting']=_0x299894,_0x2dee0d[_0x584534(0x22fc)]=_0x149f1b;function _0x372417(_0x2dcd8c,_0x305a47){const _0x224ee5=_0x584534;_0x2dee0d['openchannelAccount']=_0x2dcd8c,_0x2dee0d[_0x224ee5(0x1b1a)]=typeof _0x305a47!=='undefined'?_0x305a47:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x2dee0d[_0x224ee5(0x1f2f)][_0x224ee5(0x379)]=!_0x2dee0d[_0x224ee5(0x1b1a)][_0x224ee5(0xb3d)]?!![]:![],_0x2dee0d[_0x224ee5(0xae2)]['id']=_0x2dcd8c['id'],_0x2dee0d[_0x224ee5(0xae2)][_0x224ee5(0xead)]=!![],_0x2dee0d[_0x224ee5(0xae2)][_0x224ee5(0x2282)]=!![],_0x2dee0d['getOpenchannelAccountApps'](),_0x2dee0d['getIntervals']();}function _0x374fbf(_0x582588,_0x3b79a1,_0x5ec1a7){const _0x5546f2=_0x584534,_0xb2b148=_0x467745[_0x5546f2(0x1551)]()[_0x5546f2(0x1386)](_0x5546f2(0xf35))[_0x5546f2(0x49e)](_0x5546f2(0x204d)+_0x582588[_0x5546f2(0x22e1)]+_0x5546f2(0x1200)+'\x20will\x20be\x20deleted.')[_0x5546f2(0x15ad)]('delete\x20application')[_0x5546f2(0x728)](_0x5ec1a7)['ok']('OK')['cancel'](_0x5546f2(0x24ba));_0x467745[_0x5546f2(0xe27)](_0xb2b148)[_0x5546f2(0x1cb0)](function(){const _0x2fccf7=_0x5546f2;_0x2dee0d[_0x2fccf7(0x53b)][_0x2fccf7(0x2214)][_0x2fccf7(0x159c)](_0x3b79a1,0x1),_0x299894();},function(){const _0x38c1d4=_0x5546f2;console[_0x38c1d4(0x1b4f)](_0x38c1d4(0x24ba));});}function _0x363d33(_0x9bef41,_0x27814b){const _0xc5d63e=_0x584534;if(_0x2dee0d[_0xc5d63e(0x53b)][_0xc5d63e(0x2214)][_0xc5d63e(0xfd0)]){const _0x2749d9=_0x2dee0d[_0xc5d63e(0x53b)][_0xc5d63e(0x2214)][_0x27814b]?_0x2dee0d[_0xc5d63e(0x53b)][_0xc5d63e(0x2214)][_0x27814b]:_0x2dee0d[_0xc5d63e(0x53b)][_0xc5d63e(0x2214)][0x0],_0xa48dce=(_0x2749d9[_0xc5d63e(0x299b)]||_0x2749d9[_0xc5d63e(0x22e1)])['toLowerCase']();_0x467745[_0xc5d63e(0xe27)]({'controller':_0xc5d63e(0x15c6)+_0xa48dce+'DialogController','controllerAs':'vm','templateUrl':_0x366582[_0xa48dce],'parent':angular['element'](_0x479c2e['body']),'targetEvent':_0x9bef41,'clickOutsideToClose':!![],'locals':{'openchannelAccountApp':_0x2749d9,'openchannelAccount':_0x2dee0d[_0xc5d63e(0x80e)],'crudPermissions':_0x2dee0d[_0xc5d63e(0x1b1a)]}})[_0xc5d63e(0x1cb0)](function(_0x20b4dc){const _0x9438fa=_0xc5d63e;_0x20b4dc&&(_0x20b4dc['id']?_0x2dee0d[_0x9438fa(0x53b)]['rows'][_0x27814b]=_0x20b4dc:_0x2dee0d['openchannelAccountApps'][_0x9438fa(0x2214)][_0x9438fa(0x159c)](_0x27814b,0x0,_0x20b4dc),_0x299894());})[_0xc5d63e(0x1c4)](function(_0x59f39a){const _0x9debc=_0xc5d63e;_0x59f39a&&_0x2e67e3[_0x9debc(0x218e)]({'title':_0x59f39a[_0x9debc(0x291)]?'API:'+_0x59f39a['status']+_0x9debc(0x1657)+_0x59f39a[_0x9debc(0xc22)]:_0x9debc(0xd95),'msg':_0x59f39a[_0x9debc(0x25c)]?JSON[_0x9debc(0x2701)](_0x59f39a[_0x9debc(0x25c)]):_0x59f39a[_0x9debc(0x147f)]()});});}}function _0xe8b06f(_0x5b4e8a,_0x29242d){const _0x16904a=_0x584534;if(_0x2dee0d['openchannelAccountApps']['rows'][_0x16904a(0xfd0)]){const _0x2427c2=_0x2dee0d[_0x16904a(0x53b)]['rows'][_0x29242d]?_0x2dee0d[_0x16904a(0x53b)][_0x16904a(0x2214)][_0x29242d]:_0x2dee0d[_0x16904a(0x53b)]['rows'][0x0];_0x467745['show']({'controller':_0x16904a(0x181f),'controllerAs':'vm','templateUrl':_0x25526b,'parent':angular[_0x16904a(0x1853)](_0x479c2e['body']),'targetEvent':_0x5b4e8a,'clickOutsideToClose':!![],'locals':{'interval':{'interval':_0x2427c2['interval'],'IntervalId':_0x2427c2['IntervalId'],'application':!![]},'intervals':[],'crudPermissions':_0x2dee0d[_0x16904a(0x1b1a)]}})[_0x16904a(0x1cb0)](function(_0x198c19){const _0x45ca73=_0x16904a;_0x198c19&&(_0x2427c2[_0x45ca73(0x1ac8)]=_0x198c19[_0x45ca73(0x1ac8)]||_0x45ca73(0x965),_0x2427c2[_0x45ca73(0x1a60)]=_0x198c19[_0x45ca73(0x1a60)]||null,_0x299894());});}}function _0x299894(){const _0x1f360a=_0x584534;let _0x2c7693=0x1,_0x13bfbb=[];for(let _0x2d8e5d=0x0;_0x2d8e5d<_0x2dee0d[_0x1f360a(0x53b)][_0x1f360a(0x2214)]['length'];_0x2d8e5d++){const _0x2629a9=_0x2dee0d['openchannelAccountApps'][_0x1f360a(0x2214)][_0x2d8e5d],_0x4e9239=[],_0x14d0ae=[];_0x2629a9['intervals']=_0x2629a9[_0x1f360a(0x1ac8)]!==_0x1f360a(0x965)?[_0x2629a9['interval']]:_0x2629a9['IntervalId']?_0x39641b()[_0x1f360a(0x1de2)](_0x39641b()['filter'](_0x2dee0d['intervals'][_0x1f360a(0x2214)],{'IntervalId':_0x2629a9[_0x1f360a(0x1a60)]}),'interval'):[],_0x2629a9['context']=_0x2dee0d[_0x1f360a(0x80e)][_0x1f360a(0x2056)],_0x2629a9[_0x1f360a(0x26a2)]=_0x2dee0d[_0x1f360a(0x80e)][_0x1f360a(0x26a2)],_0x2629a9['type']&&(_0x2629a9[_0x1f360a(0x66a)]=_0x2629a9[_0x1f360a(0x66a)][_0x1f360a(0x1680)]()),_0x2629a9[_0x1f360a(0x521)]=_0x4e9239['length']?_0x39641b()[_0x1f360a(0x1f9e)](_0x4e9239)[_0x1f360a(0x521)]+0x1:_0x2c7693,_0x2c7693=(_0x14d0ae[_0x1f360a(0xfd0)]?_0x39641b()[_0x1f360a(0x1f9e)](_0x14d0ae)[_0x1f360a(0x521)]:_0x2629a9[_0x1f360a(0x521)])+0x1,_0x13bfbb=_0x39641b()[_0x1f360a(0x298a)](_0x13bfbb,_0x4e9239,[_0x2629a9],_0x14d0ae);}_0x5b52a2[_0x1f360a(0x80e)][_0x1f360a(0x2598)]({'id':_0x2dee0d[_0x1f360a(0x80e)]['id']},_0x39641b()['sortBy'](_0x13bfbb,_0x1f360a(0x521)))[_0x1f360a(0x1d77)][_0x1f360a(0x1cb0)](function(_0x42523d){const _0x2ce2bc=_0x1f360a;_0x2dee0d[_0x2ce2bc(0x53b)][_0x2ce2bc(0x2214)]=_0x42523d[_0x2ce2bc(0x2214)];})[_0x1f360a(0x1c4)](function(_0x201e4e){const _0x28cfcf=_0x1f360a;console[_0x28cfcf(0x218e)](_0x201e4e);});}function _0x1d7cfc(_0x120d0b){const _0x439ac2=_0x584534;_0x2dee0d[_0x439ac2(0x53b)]=_0x120d0b||{'count':0x0,'rows':[]};}function _0x149f1b(){const _0x4736d=_0x584534;return _0x5b52a2[_0x4736d(0x1ac8)][_0x4736d(0xbf7)]({'fields':_0x4736d(0x18b2)})['$promise'][_0x4736d(0x1cb0)](function(_0x5c675e){const _0x14713e=_0x4736d;_0x2dee0d[_0x14713e(0xb4a)]=_0x5c675e;})[_0x4736d(0x1c4)](function(_0x42aeef){const _0x478f14=_0x4736d;console[_0x478f14(0x218e)](_0x42aeef);});}function _0x353547(){const _0x3dfbd9=_0x584534;_0x2dee0d['promise']=_0x5b52a2[_0x3dfbd9(0x80e)][_0x3dfbd9(0x930)](_0x2dee0d[_0x3dfbd9(0xae2)],_0x1d7cfc)[_0x3dfbd9(0x1d77)];}function _0x1add7f(_0x5581a9){const _0x23d32d=_0x584534;_0x39641b()[_0x23d32d(0x152a)](_0x2dee0d['openchannelAccountApps'][_0x23d32d(0x2214)],{'id':_0x5581a9['id']}),_0x299894(),_0x2e67e3[_0x23d32d(0x829)]({'title':_0x23d32d(0x2923),'msg':_0x5581a9['app']?_0x5581a9['app']+_0x23d32d(0x3f5):''});}function _0x3c231a(_0x2fb3e3){const _0x2bd804=_0x584534,_0x4fe82e=_0x467745[_0x2bd804(0x1551)]()['title'](_0x2bd804(0x2436))['htmlContent'](_0x2bd804(0x204d)+_0x2dee0d[_0x2bd804(0x1fdc)][_0x2bd804(0xfd0)]+_0x2bd804(0x1d6c)+_0x2bd804(0x1b6))[_0x2bd804(0x15ad)]('delete\x20applications')['targetEvent'](_0x2fb3e3)['ok']('OK')[_0x2bd804(0x696)](_0x2bd804(0x24ba));_0x467745[_0x2bd804(0xe27)](_0x4fe82e)[_0x2bd804(0x1cb0)](function(){const _0x48e2be=_0x2bd804;_0x2dee0d['selectedOpenchannelAccountApps'][_0x48e2be(0xf90)](function(_0x14ae05){const _0x56fdd8=_0x48e2be;_0x39641b()[_0x56fdd8(0x152a)](_0x2dee0d['openchannelAccountApps']['rows'],{'id':_0x14ae05['id']});}),_0x2dee0d['selectedOpenchannelAccountApps']=[],_0x299894();});}}const _0x34c805=_0x226df8;;_0x142dcd[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q','toasty',_0x5537c6(0x142b),'openchannelAccount',_0x5537c6(0xe5c),_0x5537c6(0x217b),_0x5537c6(0x1ae),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x142dcd(_0x43ce7a,_0x4d36fc,_0x3485e9,_0x26649b,_0x38c231,_0x35ab31,_0x304baa,_0x271a5c,_0x4b0ee3,_0x25057a){const _0x1db56f=_0x5537c6,_0x337d12=this;_0x337d12[_0x1db56f(0xe76)]=_0x4b0ee3['getCurrentUser'](),_0x337d12[_0x1db56f(0x80e)]=_0x38c231,_0x337d12[_0x1db56f(0x1b1a)]=_0x25057a,_0x337d12['realtime']=_0x304baa,_0x337d12[_0x1db56f(0x122f)]=[],_0x337d12[_0x1db56f(0x1372)]=[],_0x337d12[_0x1db56f(0x20bb)]=[],_0x337d12[_0x1db56f(0xbd5)]=[],_0x337d12[_0x1db56f(0x1456)]=[],_0x337d12['pendingChanges']=![],_0x337d12[_0x1db56f(0x1a34)]=_0x148a99,_0x337d12['saveAgents']=_0x5652df,_0x337d12[_0x1db56f(0xda0)]=_0xa7d14d,_0x337d12[_0x1db56f(0x18c0)]={'readOnly':!_0x337d12[_0x1db56f(0x1b1a)][_0x1db56f(0xb3d)],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x1db56f(0x16b6),'line1':_0x1db56f(0x1d14),'line2':[_0x1db56f(0x16b6),_0x1db56f(0xdbd)],'line3':'','labelAll':_0x271a5c[_0x1db56f(0x25cc)](_0x1db56f(0x21e7)),'labelSelected':_0x271a5c[_0x1db56f(0x25cc)](_0x1db56f(0x1cd5)),'transferCallback':function(){const _0x5ec01f=_0x1db56f,_0x13b644=_0x39641b()[_0x5ec01f(0x1423)](_0x337d12[_0x5ec01f(0x1456)],_0x337d12[_0x5ec01f(0x20bb)],'id');_0x337d12[_0x5ec01f(0x50c)]=_0x39641b()[_0x5ec01f(0xce9)](_0x13b644)?![]:!![];}};function _0x148a99(){const _0x203b8a=_0x1db56f;return _0x4b0ee3[_0x203b8a(0x22b6)](_0x203b8a(0x1c60))?_0x21697d()['catch'](function(_0xba0ba1){const _0x3fab3b=_0x203b8a;_0x3485e9[_0x3fab3b(0x218e)]({'title':_0xba0ba1[_0x3fab3b(0x291)]?'API:'+_0xba0ba1[_0x3fab3b(0x291)]+'\x20-\x20'+_0xba0ba1[_0x3fab3b(0xc22)]:_0x3fab3b(0x799),'msg':_0xba0ba1['status']?JSON[_0x3fab3b(0x2701)](_0xba0ba1[_0x3fab3b(0x25c)]):_0xba0ba1[_0x3fab3b(0x147f)]()});}):_0x1c68ca()[_0x203b8a(0x1cb0)](function(_0x190046){const _0x3f00a4=_0x203b8a;return _0x337d12[_0x3f00a4(0x1f74)]=_0x190046,_0x21697d();})[_0x203b8a(0x1c4)](function(_0x298349){const _0x4a475b=_0x203b8a;_0x3485e9[_0x4a475b(0x218e)]({'title':_0x298349['status']?_0x4a475b(0xeb9)+_0x298349[_0x4a475b(0x291)]+_0x4a475b(0x1657)+_0x298349[_0x4a475b(0xc22)]:_0x4a475b(0x799),'msg':_0x298349['status']?JSON[_0x4a475b(0x2701)](_0x298349[_0x4a475b(0x25c)]):_0x298349[_0x4a475b(0x147f)]()});});}function _0x1c68ca(){return _0x4d36fc(function(_0x3c9adc,_0x237a54){const _0x5b4ba6=a0_0x5cbd;_0x26649b['userProfileSection'][_0x5b4ba6(0xbf7)]({'userProfileId':_0x337d12[_0x5b4ba6(0xe76)][_0x5b4ba6(0x13c1)],'name':_0x5b4ba6(0x2536)})[_0x5b4ba6(0x1d77)]['then'](function(_0x2bec2c){const _0x420e47=_0x5b4ba6,_0x25f3e9=_0x2bec2c&&_0x2bec2c[_0x420e47(0x2214)]?_0x2bec2c[_0x420e47(0x2214)][0x0]:null;_0x3c9adc(_0x25f3e9);})[_0x5b4ba6(0x1c4)](function(_0x40ecfa){_0x237a54(_0x40ecfa);});});}function _0x21697d(){return _0x4d36fc(function(_0x4e1c02,_0x1a153f){const _0x4721a7=a0_0x5cbd;return _0x40d1cb()[_0x4721a7(0x1cb0)](function(_0x101b8f){const _0x3dfa19=_0x4721a7;return _0x337d12[_0x3dfa19(0x122f)]=_0x101b8f[_0x3dfa19(0x2214)]?_0x101b8f[_0x3dfa19(0x2214)]:[],_0x4b0ee3[_0x3dfa19(0x22b6)]('admin')?_0x101b8f:_0x337d12[_0x3dfa19(0x1f74)]?_0x337d12[_0x3dfa19(0x1f74)][_0x3dfa19(0x12f4)]?_0x101b8f:_0x1f98a8():null;})[_0x4721a7(0x1cb0)](function(_0x515982){const _0x5afbca=_0x4721a7,_0x200654=_0x515982&&_0x515982[_0x5afbca(0x2214)]?_0x515982[_0x5afbca(0x2214)]:[];return _0x337d12[_0x5afbca(0x1372)]=_0x39641b()[_0x5afbca(0x1de2)](_0x200654,function(_0x65976f){const _0x141c4e=_0x5afbca;return _0x39641b()[_0x141c4e(0x13b4)](_0x337d12[_0x141c4e(0x122f)],{'id':_0x4b0ee3[_0x141c4e(0x22b6)](_0x141c4e(0x1c60))||_0x337d12['section']['autoAssociation']?_0x65976f['id']:_0x65976f[_0x141c4e(0x2982)]});}),_0x337d12['startingAllowedItems']=angular[_0x5afbca(0x17fe)](_0x337d12[_0x5afbca(0x1372)]),_0x337d12[_0x5afbca(0x122f)][_0x5afbca(0xf90)](function(_0x2bf2fd){const _0x344a8b=_0x5afbca,_0x294e45=_0x39641b()['find'](_0x337d12[_0x344a8b(0x1372)],{'id':_0x2bf2fd['id']});_0x4b0ee3[_0x344a8b(0x22b6)](_0x344a8b(0x1c60))?_0x2bf2fd[_0x344a8b(0x1a4f)]=!![]:_0x2bf2fd['isValid']=typeof _0x294e45!==_0x344a8b(0x16b5)?!![]:![];}),_0x23ec8d();})['then'](function(_0x22e4e0){const _0x4a4b9f=_0x4721a7,_0x213d4f=_0x22e4e0&&_0x22e4e0[_0x4a4b9f(0x2214)]?_0x22e4e0['rows']:[];_0x337d12[_0x4a4b9f(0x20bb)]=_0x39641b()[_0x4a4b9f(0x1de2)](_0x213d4f,function(_0x5f5e04){const _0x374f64=_0x4a4b9f,_0xa9166b=_0x39641b()[_0x374f64(0x13b4)](_0x337d12[_0x374f64(0x122f)],{'id':_0x5f5e04['id']});return _0xa9166b[_0x374f64(0x1cbc)]=_0x5f5e04['UserOpenchannelAccount']?_0x374f64(0x455)+_0x5f5e04[_0x374f64(0x20c0)]['penalty']:'',_0xa9166b[_0x374f64(0xdbd)]=typeof _0x5f5e04[_0x374f64(0xdbd)]!==_0x374f64(0x16b5)?'<'+_0x5f5e04['internal']+'>':'',_0xa9166b;}),_0x337d12['startingSelectedItems']=angular[_0x4a4b9f(0x17fe)](_0x337d12[_0x4a4b9f(0x20bb)]),_0x337d12['dualMultiselectOptions'][_0x4a4b9f(0x20bb)]=_0x337d12[_0x4a4b9f(0x20bb)],_0x337d12[_0x4a4b9f(0x18c0)][_0x4a4b9f(0x122f)]=_0x39641b()[_0x4a4b9f(0x2128)](_0x337d12[_0x4a4b9f(0x1372)],_0x337d12['dualMultiselectOptions'][_0x4a4b9f(0x20bb)],'id'),_0x4e1c02();})[_0x4721a7(0x1c4)](function(_0x20a4cc){_0x1a153f(_0x20a4cc);});});}function _0x1f98a8(){return _0x4d36fc(function(_0x5626fc,_0x5750c2){const _0x5d409a=a0_0x5cbd;return _0x26649b['userProfileResource'][_0x5d409a(0xbf7)]({'sectionId':_0x337d12[_0x5d409a(0x1f74)]['id'],'nolimit':!![]})[_0x5d409a(0x1d77)][_0x5d409a(0x1cb0)](function(_0x4fe0d3){_0x5626fc(_0x4fe0d3);})[_0x5d409a(0x1c4)](function(_0x31943d){_0x5750c2(_0x31943d);});});}function _0x23ec8d(){return _0x4d36fc(function(_0x4eff87,_0x243b1c){const _0x3a3473=a0_0x5cbd;return _0x26649b[_0x3a3473(0x80e)]['getAgents']({'id':_0x337d12[_0x3a3473(0x80e)]['id'],'fields':_0x3a3473(0x251e),'nolimit':!![],'role':_0x3a3473(0x1eff)})[_0x3a3473(0x1d77)][_0x3a3473(0x1cb0)](function(_0x592ecc){_0x4eff87(_0x592ecc);})['catch'](function(_0x2d0dcd){_0x243b1c(_0x2d0dcd);});});}function _0x40d1cb(){return _0x4d36fc(function(_0x392b07,_0x385ce4){const _0x4660a1=a0_0x5cbd;return _0x26649b[_0x4660a1(0xebe)][_0x4660a1(0xbf7)]({'fields':_0x4660a1(0x251e),'nolimit':!![],'role':_0x4660a1(0x1eff)})[_0x4660a1(0x1d77)][_0x4660a1(0x1cb0)](function(_0x35cfd5){_0x392b07(_0x35cfd5);})['catch'](function(_0x23015e){_0x385ce4(_0x23015e);});});}function _0x2b02bc(_0x309e56){return _0x4d36fc(function(_0x13ac29,_0x52cfc3){const _0x54bc8b=a0_0x5cbd;_0x39641b()['isEmpty'](_0x309e56)?_0x13ac29():_0x26649b[_0x54bc8b(0x80e)][_0x54bc8b(0x2057)]({'id':_0x337d12[_0x54bc8b(0x80e)]['id'],'ids':_0x39641b()['map'](_0x309e56,'id')})[_0x54bc8b(0x1d77)][_0x54bc8b(0x1cb0)](function(){_0x13ac29();})[_0x54bc8b(0x1c4)](function(_0x4e3409){_0x52cfc3(_0x4e3409);});});}function _0x2ba037(_0x4e31e6){return _0x4d36fc(function(_0x17f8e3,_0xdd093){const _0x349be9=a0_0x5cbd;_0x39641b()[_0x349be9(0xce9)](_0x4e31e6)?_0x17f8e3():_0x26649b[_0x349be9(0x80e)][_0x349be9(0x223f)]({'id':_0x337d12[_0x349be9(0x80e)]['id'],'ids':_0x39641b()[_0x349be9(0x1de2)](_0x4e31e6,'id')})[_0x349be9(0x1d77)][_0x349be9(0x1cb0)](function(){_0x17f8e3();})[_0x349be9(0x1c4)](function(_0xf37cab){_0xdd093(_0xf37cab);});});}function _0x5652df(){const _0xdcba3a=_0x1db56f,_0x3bdf88=_0x39641b()[_0xdcba3a(0x2128)](_0x337d12[_0xdcba3a(0x1456)],_0x337d12[_0xdcba3a(0x20bb)],'id'),_0xb4ef64=_0x39641b()['differenceBy'](_0x337d12[_0xdcba3a(0x20bb)],_0x337d12[_0xdcba3a(0x1456)],'id');return _0x2ba037(_0x3bdf88)['then'](function(){return _0x2b02bc(_0xb4ef64);})[_0xdcba3a(0x1cb0)](function(){const _0x3e35ed=_0xdcba3a;_0x337d12[_0x3e35ed(0x50c)]=![],_0x337d12[_0x3e35ed(0xbd5)]=angular[_0x3e35ed(0x17fe)](_0x337d12[_0x3e35ed(0x1372)]),_0x337d12[_0x3e35ed(0x1456)]=angular[_0x3e35ed(0x17fe)](_0x337d12[_0x3e35ed(0x20bb)]),_0x3485e9[_0x3e35ed(0x829)]({'title':'SUCCESS','msg':_0x3e35ed(0x976)});})['catch'](function(_0x56c900){const _0x5013cb=_0xdcba3a;_0x3485e9[_0x5013cb(0x218e)]({'title':_0x56c900[_0x5013cb(0x291)]?_0x5013cb(0xeb9)+_0x56c900[_0x5013cb(0x291)]+_0x5013cb(0x1657)+_0x56c900[_0x5013cb(0xc22)]:_0x5013cb(0x1221),'msg':_0x56c900['status']?JSON['stringify'](_0x56c900[_0x5013cb(0x25c)]):_0x56c900[_0x5013cb(0x147f)]()});});}function _0xa7d14d(){const _0x296d98=_0x1db56f;_0x43ce7a[_0x296d98(0x1426)]();}}const _0x3357ad=_0x142dcd;;_0x1ea158['$inject']=[_0x5537c6(0xcb9),'$q','toasty','openchannelAccountApp',_0x5537c6(0x80e),'api','Auth',_0x5537c6(0x1b1a)];function _0x1ea158(_0x4dcc9e,_0x395741,_0x16faa2,_0x42d4d0,_0x2a7843,_0x226aed,_0x4bdad9,_0x38074d){const _0x5e7551=_0x5537c6,_0x22fee1=this;_0x22fee1[_0x5e7551(0xe76)]=_0x4bdad9[_0x5e7551(0x21e8)](),_0x22fee1[_0x5e7551(0x1a7c)]=[],_0x22fee1[_0x5e7551(0x1386)]=_0x5e7551(0x17c7)+(_0x42d4d0[_0x5e7551(0x299b)]||_0x42d4d0['app'])[_0x5e7551(0x2335)](),_0x22fee1['agent']=angular['copy'](_0x42d4d0),_0x22fee1[_0x5e7551(0x1b1a)]=_0x38074d,_0x22fee1[_0x5e7551(0xf4c)]={};if(_0x22fee1[_0x5e7551(0x1eff)]['appdata'])switch(_0x22fee1[_0x5e7551(0x1eff)]['appType']?_0x22fee1[_0x5e7551(0x1eff)][_0x5e7551(0x299b)][_0x5e7551(0x1680)]():_0x22fee1[_0x5e7551(0x1eff)][_0x5e7551(0x22e1)][_0x5e7551(0x1680)]()){case'custom':break;case'dialogflow':{const _0x14ecb5=_0x22fee1['agent'][_0x5e7551(0x28df)][_0x5e7551(0xbe1)](',');_0x22fee1[_0x5e7551(0x1eff)][_0x5e7551(0xee8)]=_0x14ecb5[0x0],_0x22fee1[_0x5e7551(0x1eff)][_0x5e7551(0x12b4)]=_0x14ecb5[0x1],_0x22fee1[_0x5e7551(0x1eff)]['welcomemessage']=_0x14ecb5[_0x5e7551(0x14cb)](0x2,_0x14ecb5[_0x5e7551(0xfd0)])[_0x5e7551(0x1f66)](',');}break;case _0x5e7551(0xece):{const _0x4534b4=_0x22fee1[_0x5e7551(0x1eff)][_0x5e7551(0x28df)][_0x5e7551(0xbe1)](',');_0x22fee1['agent'][_0x5e7551(0x2854)]=_0x4534b4[0x0],_0x22fee1['agent']['clientEmail']=_0x4534b4[0x1],_0x22fee1['agent'][_0x5e7551(0x29d6)]=_0x4534b4[0x2],_0x22fee1['agent']['language']=_0x4534b4[0x3],_0x22fee1[_0x5e7551(0x1eff)][_0x5e7551(0x173a)]=_0x4534b4[_0x5e7551(0x14cb)](0x4,_0x4534b4[_0x5e7551(0xfd0)])[_0x5e7551(0x1f66)](',');}break;case _0x5e7551(0x123a):{const _0x1e159e=_0x22fee1[_0x5e7551(0x1eff)][_0x5e7551(0x28df)][_0x5e7551(0xbe1)](',');_0x22fee1[_0x5e7551(0x1eff)][_0x5e7551(0x413)]=_0x1e159e[0x0],_0x22fee1['agent'][_0x5e7551(0x21d9)]=_0x1e159e[0x1],_0x22fee1['agent'][_0x5e7551(0xd50)]=_0x1e159e[0x2],_0x22fee1[_0x5e7551(0x1eff)][_0x5e7551(0x1c7f)]=_0x1e159e[0x3],_0x22fee1[_0x5e7551(0x1eff)][_0x5e7551(0x173a)]=_0x1e159e[_0x5e7551(0x14cb)](0x4,_0x1e159e[_0x5e7551(0xfd0)])[_0x5e7551(0x1f66)](',');}break;case'autoreply':{const _0x1f7914=_0x22fee1[_0x5e7551(0x1eff)]['appdata'][_0x5e7551(0xbe1)](',');_0x22fee1['agent'][_0x5e7551(0x18a5)]=isNaN(_0x1f7914[0x0])?_0x1f7914[0x0]:parseInt(_0x1f7914[0x0],0xa),_0x22fee1['agent'][_0x5e7551(0x19d3)]=_0x1f7914[_0x5e7551(0x14cb)](0x1,_0x1f7914[_0x5e7551(0xfd0)])[_0x5e7551(0x1f66)](',');}break;case _0x5e7551(0x155e):_0x22fee1['agent'][_0x5e7551(0x19d3)]=_0x22fee1[_0x5e7551(0x1eff)][_0x5e7551(0x28df)];break;case _0x5e7551(0x19d1):_0x22fee1[_0x5e7551(0x1eff)][_0x5e7551(0x16b6)]=_0x22fee1[_0x5e7551(0x1eff)][_0x5e7551(0x28df)]['split']('=')[0x0],_0x22fee1['agent'][_0x5e7551(0x327)]=_0x22fee1[_0x5e7551(0x1eff)][_0x5e7551(0x28df)][_0x5e7551(0xbe1)]('=')[0x1];break;case'agi':_0x22fee1[_0x5e7551(0x1eff)][_0x5e7551(0x12a7)]=_0x22fee1['agent'][_0x5e7551(0x28df)];break;default:{const _0x1c332a=_0x22fee1[_0x5e7551(0x1eff)][_0x5e7551(0x28df)][_0x5e7551(0xbe1)](',');_0x22fee1[_0x5e7551(0x1eff)][_0x5e7551(0x1eff)]=_0x39641b()[_0x5e7551(0xce9)](_0x1c332a[0x0])?_0x1c332a[0x0]:isNaN(_0x1c332a[0x0])?_0x1c332a[0x0]:parseInt(_0x1c332a[0x0],0xa),_0x22fee1[_0x5e7551(0x1eff)][_0x5e7551(0x1719)]=_0x39641b()[_0x5e7551(0xce9)](_0x1c332a[0x1])?_0x1c332a[0x1]:isNaN(_0x1c332a[0x1])?_0x1c332a[0x1]:parseInt(_0x1c332a[0x1],0xa);}break;}else _0x22fee1[_0x5e7551(0x1eff)][_0x5e7551(0x1719)]=0x1e;_0x22fee1[_0x5e7551(0x1eff)][_0x5e7551(0x66a)]&&_0x22fee1[_0x5e7551(0x1eff)]['type'][_0x5e7551(0x1680)]()==='outbound'&&_0x22fee1[_0x5e7551(0x1eff)]['appType'][_0x5e7551(0x1680)]()===_0x5e7551(0x20ff)&&(_0x22fee1[_0x5e7551(0x1eff)][_0x5e7551(0x586)]=_0x22fee1['agent'][_0x5e7551(0x1340)]?_0x22fee1[_0x5e7551(0x1eff)][_0x5e7551(0x1340)][_0x5e7551(0xbe1)]('$')[0x0]:undefined,_0x22fee1[_0x5e7551(0x1eff)][_0x5e7551(0x1e7c)]=_0x22fee1[_0x5e7551(0x1eff)][_0x5e7551(0x2816)]?_0x5e7551(0x25f9)+_0x22fee1[_0x5e7551(0x1eff)][_0x5e7551(0x2816)]:undefined);_0x22fee1[_0x5e7551(0x2728)]=_0x14a44c,_0x22fee1[_0x5e7551(0xda0)]=_0x1f8be3,_0x4bdad9[_0x5e7551(0x22b6)](_0x5e7551(0x1c60))?_0x226aed[_0x5e7551(0xebe)][_0x5e7551(0xbf7)]({'fields':_0x5e7551(0x43c),'sort':_0x5e7551(0x16b6),'role':'agent','nolimit':_0x5e7551(0x44d)})[_0x5e7551(0x1d77)][_0x5e7551(0x1cb0)](function(_0x2f59a8){const _0x2439f2=_0x5e7551;_0x22fee1[_0x2439f2(0xc12)]=_0x2f59a8[_0x2439f2(0x2214)]||[];})[_0x5e7551(0x1c4)](function(_0x20b13a){const _0x5b3d1e=_0x5e7551;_0x16faa2['error']({'title':_0x20b13a['status']?_0x5b3d1e(0xeb9)+_0x20b13a['status']+_0x5b3d1e(0x1657)+_0x20b13a[_0x5b3d1e(0xc22)]:_0x5b3d1e(0x799),'msg':_0x20b13a['data']?JSON[_0x5b3d1e(0x2701)](_0x20b13a[_0x5b3d1e(0x25c)]):_0x20b13a['toString']()});}):_0x226aed[_0x5e7551(0xebe)][_0x5e7551(0xbf7)]({'fields':_0x5e7551(0x43c),'sort':'name','role':'agent','nolimit':'true'})['$promise'][_0x5e7551(0x1cb0)](function(_0x3927a9){const _0x2039ed=_0x5e7551;_0x22fee1[_0x2039ed(0xc12)]=_0x3927a9[_0x2039ed(0x2214)]||[];})[_0x5e7551(0x1cb0)](function(){const _0x708eaa=_0x5e7551;return _0x226aed[_0x708eaa(0x2199)][_0x708eaa(0xbf7)]({'userProfileId':_0x22fee1[_0x708eaa(0xe76)]['userProfileId'],'sectionId':0xca})[_0x708eaa(0x1d77)];})[_0x5e7551(0x1cb0)](function(_0x56d336){const _0x533af8=_0x5e7551,_0x2e5f67=_0x56d336&&_0x56d336[_0x533af8(0x2214)]?_0x56d336[_0x533af8(0x2214)][0x0]:null;if(!_0x2e5f67){const _0x264f73=[];let _0x3ef0b4=null;_0x22fee1[_0x533af8(0x1eff)]&&(_0x3ef0b4=_0x39641b()[_0x533af8(0x13b4)](_0x22fee1['agents'],{'name':_0x22fee1[_0x533af8(0x1eff)][_0x533af8(0x1eff)]}));for(let _0x390d9e=0x0;_0x390d9e<_0x22fee1[_0x533af8(0xc12)]['length'];_0x390d9e++){_0x3ef0b4&&_0x22fee1[_0x533af8(0xc12)][_0x390d9e]['id']===_0x3ef0b4['id']&&(_0x22fee1[_0x533af8(0xc12)][_0x390d9e][_0x533af8(0x15da)]=![],_0x264f73[_0x533af8(0x2785)](_0x22fee1['agents'][_0x390d9e]));}_0x22fee1[_0x533af8(0xc12)]=_0x264f73;}else{if(!_0x2e5f67['autoAssociation'])return _0x226aed[_0x533af8(0x1198)][_0x533af8(0xbf7)]({'sectionId':_0x2e5f67['id']})[_0x533af8(0x1d77)]['then'](function(_0x360e8d){const _0x11fa6b=_0x533af8,_0x301a84=_0x39641b()[_0x11fa6b(0x1de2)](_0x360e8d['rows'],function(_0x361cf8){const _0x33fccc=_0x11fa6b;return _0x39641b()['find'](_0x22fee1[_0x33fccc(0xc12)],{'id':_0x361cf8['resourceId']});});let _0x581d44=null;_0x22fee1[_0x11fa6b(0x1eff)]&&(_0x581d44=_0x39641b()[_0x11fa6b(0x13b4)](_0x22fee1[_0x11fa6b(0xc12)],{'name':_0x22fee1[_0x11fa6b(0x1eff)][_0x11fa6b(0x1eff)]}));if(_0x581d44&&!_0x39641b()[_0x11fa6b(0x727)](_0x301a84,['id',_0x581d44['id']])){const _0x11cdeb=_0x39641b()[_0x11fa6b(0x13b4)](_0x22fee1[_0x11fa6b(0xc12)],{'id':_0x581d44['id']});_0x11cdeb[_0x11fa6b(0x15da)]=![],_0x301a84[_0x11fa6b(0x2785)](_0x11cdeb);}_0x22fee1[_0x11fa6b(0xc12)]=_0x301a84;});}})[_0x5e7551(0x1c4)](function(_0x3bf17f){const _0x25c1b1=_0x5e7551;_0x16faa2[_0x25c1b1(0x218e)]({'title':_0x3bf17f[_0x25c1b1(0x291)]?_0x25c1b1(0xeb9)+_0x3bf17f[_0x25c1b1(0x291)]+'\x20-\x20'+_0x3bf17f[_0x25c1b1(0xc22)]:'SYSTEM:GETagents','msg':_0x3bf17f['data']?JSON[_0x25c1b1(0x2701)](_0x3bf17f[_0x25c1b1(0x25c)]):_0x3bf17f[_0x25c1b1(0x147f)]()});});function _0x14a44c(){const _0x37efe2=_0x5e7551;_0x22fee1[_0x37efe2(0x1a7c)]=[];const _0x4eb976=[];_0x22fee1[_0x37efe2(0x1eff)][_0x37efe2(0x66a)]&&_0x22fee1[_0x37efe2(0x1eff)][_0x37efe2(0x66a)][_0x37efe2(0x1680)]()===_0x37efe2(0x895)&&_0x22fee1[_0x37efe2(0x1eff)]['appType']===_0x37efe2(0x25f4)&&(_0x22fee1[_0x37efe2(0x1eff)][_0x37efe2(0x1340)]=_0x2a7843['cutdigits']?(_0x22fee1[_0x37efe2(0x1eff)][_0x37efe2(0x586)]||'')+'${EXTEN:'+_0x2a7843['cutdigits']+'}':(_0x22fee1[_0x37efe2(0x1eff)]['prefix']||'')+'${EXTEN}',_0x2a7843[_0x37efe2(0xf8d)]!==_0x37efe2(0x13b1)?_0x22fee1[_0x37efe2(0x1eff)][_0x37efe2(0x2224)]['indexOf']('U(xcally-mixmonitor-context)')<0x0&&(_0x22fee1[_0x37efe2(0x1eff)][_0x37efe2(0x2224)]+='U(xcally-mixmonitor-context)'):_0x22fee1[_0x37efe2(0x1eff)]['options']=_0x22fee1[_0x37efe2(0x1eff)]['options'][_0x37efe2(0x288f)]('U(xcally-mixmonitor-context)',''));const _0x342733=_0x39641b()['find'](_0x22fee1[_0x37efe2(0xc12)],{'name':_0x22fee1[_0x37efe2(0x1eff)][_0x37efe2(0x1eff)]});_0x342733&&(_0x22fee1[_0x37efe2(0x1eff)][_0x37efe2(0x21ab)]=_0x342733['id']);if(_0x22fee1['agent'][_0x37efe2(0x299b)]&&_0x22fee1[_0x37efe2(0x1eff)]['appType']==='custom'){}else switch((_0x22fee1[_0x37efe2(0x1eff)]['app']||_0x22fee1[_0x37efe2(0x1eff)][_0x37efe2(0x299b)])['toLowerCase']()){case _0x37efe2(0x19d1):_0x22fee1[_0x37efe2(0x1eff)][_0x37efe2(0x28df)]=_0x22fee1[_0x37efe2(0x1eff)][_0x37efe2(0x16b6)]+'='+_0x22fee1[_0x37efe2(0x1eff)][_0x37efe2(0x327)];break;case _0x37efe2(0x197c):break;default:_0x4eb976[0x0]=_0x22fee1[_0x37efe2(0x1eff)][_0x37efe2(0x1eff)],_0x4eb976[0x1]=_0x22fee1['agent'][_0x37efe2(0x1719)],_0x22fee1[_0x37efe2(0x1eff)][_0x37efe2(0x28df)]=_0x4eb976['join'](',');}_0x1f8be3(_0x22fee1[_0x37efe2(0x1eff)]);}function _0x1f8be3(_0x232d08){const _0x17c7dc=_0x5e7551;_0x4dcc9e[_0x17c7dc(0x1426)](_0x232d08);}}const _0x5ca6b0=_0x1ea158;;_0x5e1700[_0x5537c6(0x15b6)]=['$mdDialog','$q',_0x5537c6(0x9bf),_0x5537c6(0x23ad),'openchannelAccount',_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x5e1700(_0x5210f9,_0x3ae618,_0x5e94c2,_0x46708b,_0xf8bc1a,_0x41a020,_0x55fbe3,_0x16cd7d){const _0x4b6f1a=_0x5537c6,_0x4bdc6c=this;_0x4bdc6c['currentUser']=_0x55fbe3[_0x4b6f1a(0x21e8)](),_0x4bdc6c['errors']=[],_0x4bdc6c['title']='OPENCHANNEL.EDIT_'+(_0x46708b[_0x4b6f1a(0x299b)]||_0x46708b[_0x4b6f1a(0x22e1)])['toUpperCase'](),_0x4bdc6c[_0x4b6f1a(0x123a)]=angular['copy'](_0x46708b),_0x4bdc6c['crudPermissions']=_0x16cd7d,_0x4bdc6c[_0x4b6f1a(0xf4c)]={};if(_0x4bdc6c[_0x4b6f1a(0x123a)][_0x4b6f1a(0x28df)])switch(_0x4bdc6c[_0x4b6f1a(0x123a)][_0x4b6f1a(0x299b)]?_0x4bdc6c[_0x4b6f1a(0x123a)][_0x4b6f1a(0x299b)][_0x4b6f1a(0x1680)]():_0x4bdc6c[_0x4b6f1a(0x123a)]['app']['toLowerCase']()){case'custom':break;case _0x4b6f1a(0x711):{const _0x526e31=_0x4bdc6c[_0x4b6f1a(0x123a)][_0x4b6f1a(0x28df)]['split'](',');_0x4bdc6c[_0x4b6f1a(0x123a)]['key']=_0x526e31[0x0],_0x4bdc6c[_0x4b6f1a(0x123a)][_0x4b6f1a(0x12b4)]=_0x526e31[0x1],_0x4bdc6c[_0x4b6f1a(0x123a)][_0x4b6f1a(0x173a)]=_0x526e31[_0x4b6f1a(0x14cb)](0x2,_0x526e31[_0x4b6f1a(0xfd0)])[_0x4b6f1a(0x1f66)](',');}break;case'dialogflowv2':{const _0xbcba86=_0x4bdc6c[_0x4b6f1a(0x123a)]['appdata'][_0x4b6f1a(0xbe1)](',');_0x4bdc6c['amazonlex'][_0x4b6f1a(0x2854)]=_0xbcba86[0x0],_0x4bdc6c[_0x4b6f1a(0x123a)][_0x4b6f1a(0x12bf)]=_0xbcba86[0x1],_0x4bdc6c[_0x4b6f1a(0x123a)]['privateKey']=_0xbcba86[0x2],_0x4bdc6c['amazonlex'][_0x4b6f1a(0x12b4)]=_0xbcba86[0x3],_0x4bdc6c[_0x4b6f1a(0x123a)]['welcomemessage']=_0xbcba86[_0x4b6f1a(0x14cb)](0x4,_0xbcba86[_0x4b6f1a(0xfd0)])['join'](',');}break;case'amazonlex':{const _0x535448=_0x4bdc6c[_0x4b6f1a(0x123a)][_0x4b6f1a(0x28df)][_0x4b6f1a(0xbe1)](',');_0x4bdc6c[_0x4b6f1a(0x123a)][_0x4b6f1a(0x413)]=_0x535448[0x0],_0x4bdc6c[_0x4b6f1a(0x123a)][_0x4b6f1a(0x21d9)]=_0x535448[0x1],_0x4bdc6c['amazonlex']['lexregion']=_0x535448[0x2],_0x4bdc6c[_0x4b6f1a(0x123a)][_0x4b6f1a(0x1c7f)]=_0x535448[0x3],_0x4bdc6c[_0x4b6f1a(0x123a)][_0x4b6f1a(0x173a)]=_0x535448[_0x4b6f1a(0x14cb)](0x4,_0x535448[_0x4b6f1a(0xfd0)])[_0x4b6f1a(0x1f66)](',');}break;case _0x4b6f1a(0x1f71):{const _0x2e1ea4=_0x4bdc6c['amazonlex']['appdata'][_0x4b6f1a(0xbe1)](',');_0x4bdc6c[_0x4b6f1a(0x123a)][_0x4b6f1a(0x18a5)]=isNaN(_0x2e1ea4[0x0])?_0x2e1ea4[0x0]:parseInt(_0x2e1ea4[0x0],0xa),_0x4bdc6c[_0x4b6f1a(0x123a)][_0x4b6f1a(0x19d3)]=_0x2e1ea4[_0x4b6f1a(0x14cb)](0x1,_0x2e1ea4[_0x4b6f1a(0xfd0)])[_0x4b6f1a(0x1f66)](',');}break;case _0x4b6f1a(0x155e):_0x4bdc6c[_0x4b6f1a(0x123a)][_0x4b6f1a(0x19d3)]=_0x4bdc6c[_0x4b6f1a(0x123a)][_0x4b6f1a(0x28df)];break;case _0x4b6f1a(0x19d1):_0x4bdc6c[_0x4b6f1a(0x123a)][_0x4b6f1a(0x16b6)]=_0x4bdc6c[_0x4b6f1a(0x123a)]['appdata']['split']('=')[0x0],_0x4bdc6c[_0x4b6f1a(0x123a)][_0x4b6f1a(0x327)]=_0x4bdc6c[_0x4b6f1a(0x123a)][_0x4b6f1a(0x28df)][_0x4b6f1a(0xbe1)]('=')[0x1];break;case'agi':_0x4bdc6c[_0x4b6f1a(0x123a)][_0x4b6f1a(0x12a7)]=_0x4bdc6c[_0x4b6f1a(0x123a)]['appdata'];break;default:{const _0x21871e=_0x4bdc6c['amazonlex'][_0x4b6f1a(0x28df)][_0x4b6f1a(0xbe1)](',');_0x4bdc6c[_0x4b6f1a(0x123a)][_0x4b6f1a(0x413)]=_0x39641b()[_0x4b6f1a(0xce9)](_0x21871e[0x0])?_0x21871e[0x0]:isNaN(_0x21871e[0x0])?_0x21871e[0x0]:parseInt(_0x21871e[0x0],0xa),_0x4bdc6c['amazonlex']['secretaccesskey']=_0x39641b()[_0x4b6f1a(0xce9)](_0x21871e[0x1])?_0x21871e[0x1]:isNaN(_0x21871e[0x1])?_0x21871e[0x1]:parseInt(_0x21871e[0x1],0xa),_0x4bdc6c['amazonlex'][_0x4b6f1a(0xd50)]=_0x39641b()['isEmpty'](_0x21871e[0x2])?_0x21871e[0x2]:isNaN(_0x21871e[0x2])?_0x21871e[0x2]:parseInt(_0x21871e[0x2],0xa),_0x4bdc6c['amazonlex'][_0x4b6f1a(0x1c7f)]=_0x39641b()[_0x4b6f1a(0xce9)](_0x21871e[0x3])?_0x21871e[0x3]:isNaN(_0x21871e[0x3])?_0x21871e[0x3]:parseInt(_0x21871e[0x3],0xa),_0x4bdc6c['amazonlex'][_0x4b6f1a(0x173a)]=_0x39641b()[_0x4b6f1a(0xce9)](_0x21871e[0x4])?_0x21871e[0x4]:isNaN(_0x21871e[0x4])?_0x21871e[0x4]:parseInt(_0x21871e[0x4],0xa);}break;}else _0x4bdc6c[_0x4b6f1a(0x123a)][_0x4b6f1a(0xd50)]=_0x4b6f1a(0x512);_0x4bdc6c[_0x4b6f1a(0x123a)][_0x4b6f1a(0x66a)]&&_0x4bdc6c[_0x4b6f1a(0x123a)]['type'][_0x4b6f1a(0x1680)]()===_0x4b6f1a(0x895)&&_0x4bdc6c['amazonlex'][_0x4b6f1a(0x299b)][_0x4b6f1a(0x1680)]()==='outbounddial'&&(_0x4bdc6c[_0x4b6f1a(0x123a)][_0x4b6f1a(0x586)]=_0x4bdc6c[_0x4b6f1a(0x123a)][_0x4b6f1a(0x1340)]?_0x4bdc6c[_0x4b6f1a(0x123a)][_0x4b6f1a(0x1340)][_0x4b6f1a(0xbe1)]('$')[0x0]:undefined,_0x4bdc6c[_0x4b6f1a(0x123a)][_0x4b6f1a(0x1e7c)]=_0x4bdc6c[_0x4b6f1a(0x123a)][_0x4b6f1a(0x2816)]?'CALLERID(all)='+_0x4bdc6c['amazonlex'][_0x4b6f1a(0x2816)]:undefined);_0x4bdc6c[_0x4b6f1a(0x2728)]=_0x3bb137,_0x4bdc6c[_0x4b6f1a(0xda0)]=_0x95875d;function _0x3bb137(){const _0x42bdd3=_0x4b6f1a;_0x4bdc6c[_0x42bdd3(0x1a7c)]=[];const _0x209231=[];_0x4bdc6c[_0x42bdd3(0x123a)][_0x42bdd3(0x66a)]&&_0x4bdc6c['amazonlex'][_0x42bdd3(0x66a)][_0x42bdd3(0x1680)]()===_0x42bdd3(0x895)&&_0x4bdc6c[_0x42bdd3(0x123a)][_0x42bdd3(0x299b)]===_0x42bdd3(0x25f4)&&(_0x4bdc6c[_0x42bdd3(0x123a)][_0x42bdd3(0x1340)]=_0xf8bc1a['cutdigits']?(_0x4bdc6c[_0x42bdd3(0x123a)]['prefix']||'')+_0x42bdd3(0x19e4)+_0xf8bc1a['cutdigits']+'}':(_0x4bdc6c[_0x42bdd3(0x123a)][_0x42bdd3(0x586)]||'')+_0x42bdd3(0xcdd),_0xf8bc1a['recordingFormat']!=='none'?_0x4bdc6c[_0x42bdd3(0x123a)][_0x42bdd3(0x2224)][_0x42bdd3(0xd8a)](_0x42bdd3(0x106a))<0x0&&(_0x4bdc6c[_0x42bdd3(0x123a)]['options']+='U(xcally-mixmonitor-context)'):_0x4bdc6c[_0x42bdd3(0x123a)]['options']=_0x4bdc6c[_0x42bdd3(0x123a)][_0x42bdd3(0x2224)][_0x42bdd3(0x288f)](_0x42bdd3(0x106a),''));if(_0x4bdc6c[_0x42bdd3(0x123a)][_0x42bdd3(0x299b)]&&_0x4bdc6c[_0x42bdd3(0x123a)][_0x42bdd3(0x299b)]===_0x42bdd3(0x197c)){}else switch((_0x4bdc6c[_0x42bdd3(0x123a)][_0x42bdd3(0x22e1)]||_0x4bdc6c[_0x42bdd3(0x123a)][_0x42bdd3(0x299b)])[_0x42bdd3(0x1680)]()){case _0x42bdd3(0x19d1):_0x4bdc6c['amazonlex']['appdata']=_0x4bdc6c[_0x42bdd3(0x123a)][_0x42bdd3(0x16b6)]+'='+_0x4bdc6c['amazonlex']['value'];break;case'custom':break;default:_0x209231[0x0]=_0x4bdc6c[_0x42bdd3(0x123a)]['accesskeyid'],_0x209231[0x1]=_0x4bdc6c['amazonlex'][_0x42bdd3(0x21d9)],_0x209231[0x2]=_0x4bdc6c[_0x42bdd3(0x123a)][_0x42bdd3(0xd50)],_0x209231[0x3]=_0x4bdc6c[_0x42bdd3(0x123a)][_0x42bdd3(0x1c7f)],_0x209231[0x4]=_0x4bdc6c[_0x42bdd3(0x123a)][_0x42bdd3(0x173a)],_0x4bdc6c[_0x42bdd3(0x123a)][_0x42bdd3(0x28df)]=_0x209231[_0x42bdd3(0x1f66)](',');}_0x95875d(_0x4bdc6c[_0x42bdd3(0x123a)]);}function _0x95875d(_0x384165){const _0x2c8019=_0x4b6f1a;_0x5210f9[_0x2c8019(0x1426)](_0x384165);}}const _0x59b3e4=_0x5e1700;;_0x4de58e[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x23ad),_0x5537c6(0x80e),_0x5537c6(0x142b),'Auth',_0x5537c6(0x1b1a)];function _0x4de58e(_0x2cd33d,_0x5e7bfc,_0x4fa3d7,_0x307f88,_0x296e22,_0x365490,_0x162e70,_0x284400){const _0x1fa0dc=_0x5537c6,_0x49084a=this;_0x49084a[_0x1fa0dc(0xe76)]=_0x162e70[_0x1fa0dc(0x21e8)](),_0x49084a[_0x1fa0dc(0x1a7c)]=[],_0x49084a[_0x1fa0dc(0x1386)]='OPENCHANNEL.EDIT_'+(_0x307f88[_0x1fa0dc(0x299b)]||_0x307f88['app'])[_0x1fa0dc(0x2335)](),_0x49084a[_0x1fa0dc(0x1f71)]=angular[_0x1fa0dc(0x17fe)](_0x307f88),_0x49084a['crudPermissions']=_0x284400,_0x49084a[_0x1fa0dc(0xf4c)]={};if(_0x49084a[_0x1fa0dc(0x1f71)]['appdata'])switch(_0x49084a['autoreply'][_0x1fa0dc(0x299b)]?_0x49084a[_0x1fa0dc(0x1f71)]['appType']['toLowerCase']():_0x49084a[_0x1fa0dc(0x1f71)][_0x1fa0dc(0x22e1)]['toLowerCase']()){case _0x1fa0dc(0x197c):break;case _0x1fa0dc(0x711):{const _0x3a571e=_0x49084a[_0x1fa0dc(0x1f71)][_0x1fa0dc(0x28df)]['split'](',');_0x49084a[_0x1fa0dc(0x1f71)][_0x1fa0dc(0xee8)]=_0x3a571e[0x0],_0x49084a[_0x1fa0dc(0x1f71)][_0x1fa0dc(0x12b4)]=_0x3a571e[0x1],_0x49084a[_0x1fa0dc(0x1f71)][_0x1fa0dc(0x173a)]=_0x3a571e[_0x1fa0dc(0x14cb)](0x2,_0x3a571e[_0x1fa0dc(0xfd0)])[_0x1fa0dc(0x1f66)](',');}break;case _0x1fa0dc(0xece):{const _0x459a98=_0x49084a['autoreply'][_0x1fa0dc(0x28df)][_0x1fa0dc(0xbe1)](',');_0x49084a[_0x1fa0dc(0x1f71)][_0x1fa0dc(0x2854)]=_0x459a98[0x0],_0x49084a[_0x1fa0dc(0x1f71)][_0x1fa0dc(0x12bf)]=_0x459a98[0x1],_0x49084a[_0x1fa0dc(0x1f71)][_0x1fa0dc(0x29d6)]=_0x459a98[0x2],_0x49084a[_0x1fa0dc(0x1f71)]['language']=_0x459a98[0x3],_0x49084a['autoreply'][_0x1fa0dc(0x173a)]=_0x459a98[_0x1fa0dc(0x14cb)](0x4,_0x459a98[_0x1fa0dc(0xfd0)])['join'](',');}break;case _0x1fa0dc(0x123a):{const _0x492e85=_0x49084a[_0x1fa0dc(0x1f71)]['appdata'][_0x1fa0dc(0xbe1)](',');_0x49084a[_0x1fa0dc(0x1f71)][_0x1fa0dc(0x413)]=_0x492e85[0x0],_0x49084a['autoreply'][_0x1fa0dc(0x21d9)]=_0x492e85[0x1],_0x49084a['autoreply'][_0x1fa0dc(0xd50)]=_0x492e85[0x2],_0x49084a['autoreply'][_0x1fa0dc(0x1c7f)]=_0x492e85[0x3],_0x49084a[_0x1fa0dc(0x1f71)][_0x1fa0dc(0x173a)]=_0x492e85[_0x1fa0dc(0x14cb)](0x4,_0x492e85[_0x1fa0dc(0xfd0)])[_0x1fa0dc(0x1f66)](',');}break;case _0x1fa0dc(0x1f71):{const _0x410579=_0x49084a[_0x1fa0dc(0x1f71)][_0x1fa0dc(0x28df)][_0x1fa0dc(0xbe1)](',');_0x49084a[_0x1fa0dc(0x1f71)]['times']=isNaN(_0x410579[0x0])?_0x410579[0x0]:parseInt(_0x410579[0x0],0xa),_0x49084a['autoreply'][_0x1fa0dc(0x19d3)]=_0x410579[_0x1fa0dc(0x14cb)](0x1,_0x410579[_0x1fa0dc(0xfd0)])[_0x1fa0dc(0x1f66)](',');}break;case'message':_0x49084a[_0x1fa0dc(0x1f71)][_0x1fa0dc(0x19d3)]=_0x49084a[_0x1fa0dc(0x1f71)][_0x1fa0dc(0x28df)];break;case _0x1fa0dc(0x19d1):_0x49084a[_0x1fa0dc(0x1f71)]['name']=_0x49084a[_0x1fa0dc(0x1f71)][_0x1fa0dc(0x28df)]['split']('=')[0x0],_0x49084a[_0x1fa0dc(0x1f71)][_0x1fa0dc(0x327)]=_0x49084a[_0x1fa0dc(0x1f71)][_0x1fa0dc(0x28df)][_0x1fa0dc(0xbe1)]('=')[0x1];break;case _0x1fa0dc(0x4b4):_0x49084a['autoreply'][_0x1fa0dc(0x12a7)]=_0x49084a[_0x1fa0dc(0x1f71)][_0x1fa0dc(0x28df)];break;default:{const _0x102740=_0x49084a[_0x1fa0dc(0x1f71)][_0x1fa0dc(0x28df)]['split'](',');_0x49084a[_0x1fa0dc(0x1f71)][_0x1fa0dc(0x18a5)]=_0x39641b()[_0x1fa0dc(0xce9)](_0x102740[0x0])?_0x102740[0x0]:isNaN(_0x102740[0x0])?_0x102740[0x0]:parseInt(_0x102740[0x0],0xa),_0x49084a[_0x1fa0dc(0x1f71)][_0x1fa0dc(0x19d3)]=_0x39641b()[_0x1fa0dc(0xce9)](_0x102740[0x1])?_0x102740[0x1]:isNaN(_0x102740[0x1])?_0x102740[0x1]:parseInt(_0x102740[0x1],0xa);}break;}else _0x49084a['autoreply'][_0x1fa0dc(0x18a5)]=0x1;_0x49084a[_0x1fa0dc(0x1f71)][_0x1fa0dc(0x66a)]&&_0x49084a[_0x1fa0dc(0x1f71)]['type']['toLowerCase']()==='outbound'&&_0x49084a[_0x1fa0dc(0x1f71)][_0x1fa0dc(0x299b)][_0x1fa0dc(0x1680)]()===_0x1fa0dc(0x20ff)&&(_0x49084a['autoreply'][_0x1fa0dc(0x586)]=_0x49084a[_0x1fa0dc(0x1f71)]['phone']?_0x49084a['autoreply'][_0x1fa0dc(0x1340)][_0x1fa0dc(0xbe1)]('$')[0x0]:undefined,_0x49084a['autoreply'][_0x1fa0dc(0x1e7c)]=_0x49084a['autoreply'][_0x1fa0dc(0x2816)]?_0x1fa0dc(0x25f9)+_0x49084a[_0x1fa0dc(0x1f71)][_0x1fa0dc(0x2816)]:undefined);_0x49084a[_0x1fa0dc(0x2728)]=_0x22a62d,_0x49084a[_0x1fa0dc(0xda0)]=_0x14f167;function _0x22a62d(){const _0x28cbf2=_0x1fa0dc;_0x49084a['errors']=[];const _0x1f4fe5=[];_0x49084a[_0x28cbf2(0x1f71)]['type']&&_0x49084a[_0x28cbf2(0x1f71)][_0x28cbf2(0x66a)][_0x28cbf2(0x1680)]()===_0x28cbf2(0x895)&&_0x49084a[_0x28cbf2(0x1f71)][_0x28cbf2(0x299b)]==='outboundDial'&&(_0x49084a[_0x28cbf2(0x1f71)][_0x28cbf2(0x1340)]=_0x296e22[_0x28cbf2(0x325)]?(_0x49084a[_0x28cbf2(0x1f71)][_0x28cbf2(0x586)]||'')+_0x28cbf2(0x19e4)+_0x296e22[_0x28cbf2(0x325)]+'}':(_0x49084a['autoreply'][_0x28cbf2(0x586)]||'')+_0x28cbf2(0xcdd),_0x296e22['recordingFormat']!==_0x28cbf2(0x13b1)?_0x49084a[_0x28cbf2(0x1f71)][_0x28cbf2(0x2224)][_0x28cbf2(0xd8a)]('U(xcally-mixmonitor-context)')<0x0&&(_0x49084a['autoreply'][_0x28cbf2(0x2224)]+=_0x28cbf2(0x106a)):_0x49084a[_0x28cbf2(0x1f71)][_0x28cbf2(0x2224)]=_0x49084a[_0x28cbf2(0x1f71)]['options'][_0x28cbf2(0x288f)](_0x28cbf2(0x106a),''));if(_0x49084a[_0x28cbf2(0x1f71)][_0x28cbf2(0x299b)]&&_0x49084a['autoreply'][_0x28cbf2(0x299b)]===_0x28cbf2(0x197c)){}else switch((_0x49084a[_0x28cbf2(0x1f71)][_0x28cbf2(0x22e1)]||_0x49084a['autoreply']['appType'])[_0x28cbf2(0x1680)]()){case _0x28cbf2(0x19d1):_0x49084a[_0x28cbf2(0x1f71)][_0x28cbf2(0x28df)]=_0x49084a[_0x28cbf2(0x1f71)][_0x28cbf2(0x16b6)]+'='+_0x49084a[_0x28cbf2(0x1f71)]['value'];break;case'custom':break;default:_0x1f4fe5[0x0]=_0x49084a[_0x28cbf2(0x1f71)][_0x28cbf2(0x18a5)],_0x1f4fe5[0x1]=_0x49084a[_0x28cbf2(0x1f71)][_0x28cbf2(0x19d3)],_0x49084a[_0x28cbf2(0x1f71)]['appdata']=_0x1f4fe5[_0x28cbf2(0x1f66)](',');}_0x14f167(_0x49084a[_0x28cbf2(0x1f71)]);}function _0x14f167(_0x174ec5){const _0x257c60=_0x1fa0dc;_0x2cd33d[_0x257c60(0x1426)](_0x174ec5);}}const _0x37bbbd=_0x4de58e;;_0x4bfa28[_0x5537c6(0x15b6)]=['$mdDialog','$q',_0x5537c6(0x9bf),_0x5537c6(0x23ad),_0x5537c6(0x80e),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x4bfa28(_0x28950f,_0x57304e,_0x52edea,_0x16905b,_0x45e734,_0x147597,_0x1ce846,_0x83d8f5){const _0x2f8759=_0x5537c6,_0x5dd66c=this;_0x5dd66c[_0x2f8759(0xe76)]=_0x1ce846[_0x2f8759(0x21e8)](),_0x5dd66c[_0x2f8759(0x1a7c)]=[],_0x5dd66c[_0x2f8759(0x1386)]=_0x2f8759(0x17c7)+(_0x16905b[_0x2f8759(0x299b)]||_0x16905b[_0x2f8759(0x22e1)])['toUpperCase'](),_0x5dd66c[_0x2f8759(0xf3b)]=angular[_0x2f8759(0x17fe)](_0x16905b),_0x5dd66c['crudPermissions']=_0x83d8f5,_0x5dd66c[_0x2f8759(0xf4c)]={};if(_0x5dd66c[_0x2f8759(0xf3b)][_0x2f8759(0x28df)])switch(_0x5dd66c[_0x2f8759(0xf3b)][_0x2f8759(0x299b)]?_0x5dd66c['close']['appType'][_0x2f8759(0x1680)]():_0x5dd66c[_0x2f8759(0xf3b)][_0x2f8759(0x22e1)][_0x2f8759(0x1680)]()){case _0x2f8759(0x197c):break;case'dialogflow':{const _0x43742e=_0x5dd66c['close']['appdata']['split'](',');_0x5dd66c['close'][_0x2f8759(0xee8)]=_0x43742e[0x0],_0x5dd66c['close'][_0x2f8759(0x12b4)]=_0x43742e[0x1],_0x5dd66c['close']['welcomemessage']=_0x43742e[_0x2f8759(0x14cb)](0x2,_0x43742e[_0x2f8759(0xfd0)])[_0x2f8759(0x1f66)](',');}break;case _0x2f8759(0xece):{const _0xd3785a=_0x5dd66c[_0x2f8759(0xf3b)][_0x2f8759(0x28df)]['split'](',');_0x5dd66c['close'][_0x2f8759(0x2854)]=_0xd3785a[0x0],_0x5dd66c[_0x2f8759(0xf3b)]['clientEmail']=_0xd3785a[0x1],_0x5dd66c[_0x2f8759(0xf3b)][_0x2f8759(0x29d6)]=_0xd3785a[0x2],_0x5dd66c[_0x2f8759(0xf3b)][_0x2f8759(0x12b4)]=_0xd3785a[0x3],_0x5dd66c[_0x2f8759(0xf3b)]['welcomemessage']=_0xd3785a[_0x2f8759(0x14cb)](0x4,_0xd3785a[_0x2f8759(0xfd0)])['join'](',');}break;case'amazonlex':{const _0x205745=_0x5dd66c[_0x2f8759(0xf3b)][_0x2f8759(0x28df)][_0x2f8759(0xbe1)](',');_0x5dd66c[_0x2f8759(0xf3b)][_0x2f8759(0x413)]=_0x205745[0x0],_0x5dd66c[_0x2f8759(0xf3b)]['secretaccesskey']=_0x205745[0x1],_0x5dd66c[_0x2f8759(0xf3b)]['lexregion']=_0x205745[0x2],_0x5dd66c['close'][_0x2f8759(0x1c7f)]=_0x205745[0x3],_0x5dd66c[_0x2f8759(0xf3b)][_0x2f8759(0x173a)]=_0x205745[_0x2f8759(0x14cb)](0x4,_0x205745[_0x2f8759(0xfd0)])[_0x2f8759(0x1f66)](',');}break;case _0x2f8759(0x1f71):{const _0x16ad3a=_0x5dd66c['close']['appdata'][_0x2f8759(0xbe1)](',');_0x5dd66c['close']['times']=isNaN(_0x16ad3a[0x0])?_0x16ad3a[0x0]:parseInt(_0x16ad3a[0x0],0xa),_0x5dd66c['close'][_0x2f8759(0x19d3)]=_0x16ad3a[_0x2f8759(0x14cb)](0x1,_0x16ad3a[_0x2f8759(0xfd0)])[_0x2f8759(0x1f66)](',');}break;case _0x2f8759(0x155e):_0x5dd66c[_0x2f8759(0xf3b)]['text']=_0x5dd66c[_0x2f8759(0xf3b)]['appdata'];break;case _0x2f8759(0x19d1):_0x5dd66c['close']['name']=_0x5dd66c['close'][_0x2f8759(0x28df)][_0x2f8759(0xbe1)]('=')[0x0],_0x5dd66c[_0x2f8759(0xf3b)]['value']=_0x5dd66c[_0x2f8759(0xf3b)][_0x2f8759(0x28df)][_0x2f8759(0xbe1)]('=')[0x1];break;case _0x2f8759(0x4b4):_0x5dd66c['close']['project']=_0x5dd66c[_0x2f8759(0xf3b)][_0x2f8759(0x28df)];break;default:{const _0x46e6a6=_0x5dd66c[_0x2f8759(0xf3b)][_0x2f8759(0x28df)][_0x2f8759(0xbe1)](',');_0x5dd66c[_0x2f8759(0xf3b)]['disposition']=_0x39641b()[_0x2f8759(0xce9)](_0x46e6a6[0x0])?_0x46e6a6[0x0]:isNaN(_0x46e6a6[0x0])?_0x46e6a6[0x0]:parseInt(_0x46e6a6[0x0],0xa);}break;}else{}_0x5dd66c['close'][_0x2f8759(0x66a)]&&_0x5dd66c['close'][_0x2f8759(0x66a)]['toLowerCase']()===_0x2f8759(0x895)&&_0x5dd66c[_0x2f8759(0xf3b)][_0x2f8759(0x299b)][_0x2f8759(0x1680)]()===_0x2f8759(0x20ff)&&(_0x5dd66c[_0x2f8759(0xf3b)][_0x2f8759(0x586)]=_0x5dd66c[_0x2f8759(0xf3b)]['phone']?_0x5dd66c[_0x2f8759(0xf3b)][_0x2f8759(0x1340)][_0x2f8759(0xbe1)]('$')[0x0]:undefined,_0x5dd66c[_0x2f8759(0xf3b)][_0x2f8759(0x1e7c)]=_0x5dd66c[_0x2f8759(0xf3b)][_0x2f8759(0x2816)]?'CALLERID(all)='+_0x5dd66c[_0x2f8759(0xf3b)][_0x2f8759(0x2816)]:undefined);_0x5dd66c[_0x2f8759(0x2728)]=_0x5f1663,_0x5dd66c[_0x2f8759(0xda0)]=_0x3767d0;function _0x5f1663(){const _0x5bb1fb=_0x2f8759;_0x5dd66c[_0x5bb1fb(0x1a7c)]=[];const _0x545196=[];_0x5dd66c['close'][_0x5bb1fb(0x66a)]&&_0x5dd66c[_0x5bb1fb(0xf3b)][_0x5bb1fb(0x66a)]['toLowerCase']()==='outbound'&&_0x5dd66c[_0x5bb1fb(0xf3b)]['appType']===_0x5bb1fb(0x25f4)&&(_0x5dd66c[_0x5bb1fb(0xf3b)]['phone']=_0x45e734[_0x5bb1fb(0x325)]?(_0x5dd66c['close'][_0x5bb1fb(0x586)]||'')+'${EXTEN:'+_0x45e734[_0x5bb1fb(0x325)]+'}':(_0x5dd66c[_0x5bb1fb(0xf3b)][_0x5bb1fb(0x586)]||'')+_0x5bb1fb(0xcdd),_0x45e734[_0x5bb1fb(0xf8d)]!==_0x5bb1fb(0x13b1)?_0x5dd66c[_0x5bb1fb(0xf3b)][_0x5bb1fb(0x2224)][_0x5bb1fb(0xd8a)](_0x5bb1fb(0x106a))<0x0&&(_0x5dd66c[_0x5bb1fb(0xf3b)]['options']+=_0x5bb1fb(0x106a)):_0x5dd66c[_0x5bb1fb(0xf3b)][_0x5bb1fb(0x2224)]=_0x5dd66c[_0x5bb1fb(0xf3b)][_0x5bb1fb(0x2224)][_0x5bb1fb(0x288f)](_0x5bb1fb(0x106a),''));if(_0x5dd66c[_0x5bb1fb(0xf3b)][_0x5bb1fb(0x299b)]&&_0x5dd66c['close'][_0x5bb1fb(0x299b)]===_0x5bb1fb(0x197c)){}else switch((_0x5dd66c[_0x5bb1fb(0xf3b)][_0x5bb1fb(0x22e1)]||_0x5dd66c['close'][_0x5bb1fb(0x299b)])[_0x5bb1fb(0x1680)]()){case _0x5bb1fb(0x19d1):_0x5dd66c['close']['appdata']=_0x5dd66c[_0x5bb1fb(0xf3b)][_0x5bb1fb(0x16b6)]+'='+_0x5dd66c['close'][_0x5bb1fb(0x327)];break;case'custom':break;default:_0x545196[0x0]=_0x5dd66c[_0x5bb1fb(0xf3b)]['disposition'],_0x5dd66c[_0x5bb1fb(0xf3b)][_0x5bb1fb(0x28df)]=_0x545196[_0x5bb1fb(0x1f66)](',');}_0x3767d0(_0x5dd66c[_0x5bb1fb(0xf3b)]);}function _0x3767d0(_0x9abf18){_0x28950f['hide'](_0x9abf18);}}const _0x43a0e1=_0x4bfa28;;_0x57e876[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x23ad),_0x5537c6(0x80e),'api',_0x5537c6(0x1774),'crudPermissions'];function _0x57e876(_0x13fa8d,_0x5033fe,_0x3a152f,_0x1586f1,_0x1eaac5,_0x5eb4b9,_0x58fca5,_0x5f3fb4){const _0x1a94b4=_0x5537c6,_0x4505cb=this;_0x4505cb[_0x1a94b4(0xe76)]=_0x58fca5['getCurrentUser'](),_0x4505cb[_0x1a94b4(0x1a7c)]=[],_0x4505cb[_0x1a94b4(0x1386)]='OPENCHANNEL.EDIT_'+(_0x1586f1[_0x1a94b4(0x299b)]||_0x1586f1[_0x1a94b4(0x22e1)])[_0x1a94b4(0x2335)](),_0x4505cb[_0x1a94b4(0x711)]=angular[_0x1a94b4(0x17fe)](_0x1586f1),_0x4505cb[_0x1a94b4(0x1b1a)]=_0x5f3fb4,_0x4505cb[_0x1a94b4(0xf4c)]={};if(_0x4505cb['dialogflow']['appdata'])switch(_0x4505cb[_0x1a94b4(0x711)][_0x1a94b4(0x299b)]?_0x4505cb[_0x1a94b4(0x711)]['appType']['toLowerCase']():_0x4505cb[_0x1a94b4(0x711)]['app'][_0x1a94b4(0x1680)]()){case'custom':break;case _0x1a94b4(0x711):{const _0x363f95=_0x4505cb['dialogflow'][_0x1a94b4(0x28df)][_0x1a94b4(0xbe1)](',');_0x4505cb[_0x1a94b4(0x711)][_0x1a94b4(0xee8)]=_0x363f95[0x0],_0x4505cb[_0x1a94b4(0x711)][_0x1a94b4(0x12b4)]=_0x363f95[0x1],_0x4505cb[_0x1a94b4(0x711)][_0x1a94b4(0x173a)]=_0x363f95['slice'](0x2,_0x363f95[_0x1a94b4(0xfd0)])[_0x1a94b4(0x1f66)](',');}break;case _0x1a94b4(0xece):{const _0x27d5df=_0x4505cb[_0x1a94b4(0x711)][_0x1a94b4(0x28df)][_0x1a94b4(0xbe1)](',');_0x4505cb[_0x1a94b4(0x711)][_0x1a94b4(0x2854)]=_0x27d5df[0x0],_0x4505cb[_0x1a94b4(0x711)][_0x1a94b4(0x12bf)]=_0x27d5df[0x1],_0x4505cb['dialogflow'][_0x1a94b4(0x29d6)]=_0x27d5df[0x2],_0x4505cb[_0x1a94b4(0x711)][_0x1a94b4(0x12b4)]=_0x27d5df[0x3],_0x4505cb['dialogflow']['welcomemessage']=_0x27d5df['slice'](0x4,_0x27d5df[_0x1a94b4(0xfd0)])[_0x1a94b4(0x1f66)](',');}break;case'amazonlex':{const _0x5a726d=_0x4505cb['dialogflow'][_0x1a94b4(0x28df)][_0x1a94b4(0xbe1)](',');_0x4505cb[_0x1a94b4(0x711)]['accesskeyid']=_0x5a726d[0x0],_0x4505cb[_0x1a94b4(0x711)][_0x1a94b4(0x21d9)]=_0x5a726d[0x1],_0x4505cb['dialogflow'][_0x1a94b4(0xd50)]=_0x5a726d[0x2],_0x4505cb['dialogflow'][_0x1a94b4(0x1c7f)]=_0x5a726d[0x3],_0x4505cb[_0x1a94b4(0x711)][_0x1a94b4(0x173a)]=_0x5a726d[_0x1a94b4(0x14cb)](0x4,_0x5a726d[_0x1a94b4(0xfd0)])[_0x1a94b4(0x1f66)](',');}break;case'autoreply':{const _0x5e17c6=_0x4505cb[_0x1a94b4(0x711)][_0x1a94b4(0x28df)]['split'](',');_0x4505cb[_0x1a94b4(0x711)][_0x1a94b4(0x18a5)]=isNaN(_0x5e17c6[0x0])?_0x5e17c6[0x0]:parseInt(_0x5e17c6[0x0],0xa),_0x4505cb[_0x1a94b4(0x711)]['text']=_0x5e17c6[_0x1a94b4(0x14cb)](0x1,_0x5e17c6[_0x1a94b4(0xfd0)])['join'](',');}break;case'message':_0x4505cb[_0x1a94b4(0x711)]['text']=_0x4505cb[_0x1a94b4(0x711)][_0x1a94b4(0x28df)];break;case _0x1a94b4(0x19d1):_0x4505cb[_0x1a94b4(0x711)]['name']=_0x4505cb[_0x1a94b4(0x711)][_0x1a94b4(0x28df)]['split']('=')[0x0],_0x4505cb[_0x1a94b4(0x711)][_0x1a94b4(0x327)]=_0x4505cb[_0x1a94b4(0x711)][_0x1a94b4(0x28df)][_0x1a94b4(0xbe1)]('=')[0x1];break;case _0x1a94b4(0x4b4):_0x4505cb[_0x1a94b4(0x711)][_0x1a94b4(0x12a7)]=_0x4505cb[_0x1a94b4(0x711)]['appdata'];break;default:{const _0x340d56=_0x4505cb[_0x1a94b4(0x711)]['appdata'][_0x1a94b4(0xbe1)](',');_0x4505cb[_0x1a94b4(0x711)][_0x1a94b4(0xee8)]=_0x39641b()[_0x1a94b4(0xce9)](_0x340d56[0x0])?_0x340d56[0x0]:isNaN(_0x340d56[0x0])?_0x340d56[0x0]:parseInt(_0x340d56[0x0],0xa),_0x4505cb['dialogflow'][_0x1a94b4(0x12b4)]=_0x39641b()[_0x1a94b4(0xce9)](_0x340d56[0x1])?_0x340d56[0x1]:isNaN(_0x340d56[0x1])?_0x340d56[0x1]:parseInt(_0x340d56[0x1],0xa),_0x4505cb[_0x1a94b4(0x711)][_0x1a94b4(0x173a)]=_0x39641b()[_0x1a94b4(0xce9)](_0x340d56[0x2])?_0x340d56[0x2]:isNaN(_0x340d56[0x2])?_0x340d56[0x2]:parseInt(_0x340d56[0x2],0xa);}break;}else _0x4505cb[_0x1a94b4(0x711)][_0x1a94b4(0x12b4)]='en';_0x4505cb['dialogflow'][_0x1a94b4(0x66a)]&&_0x4505cb[_0x1a94b4(0x711)][_0x1a94b4(0x66a)][_0x1a94b4(0x1680)]()===_0x1a94b4(0x895)&&_0x4505cb[_0x1a94b4(0x711)][_0x1a94b4(0x299b)][_0x1a94b4(0x1680)]()===_0x1a94b4(0x20ff)&&(_0x4505cb[_0x1a94b4(0x711)][_0x1a94b4(0x586)]=_0x4505cb[_0x1a94b4(0x711)][_0x1a94b4(0x1340)]?_0x4505cb[_0x1a94b4(0x711)][_0x1a94b4(0x1340)][_0x1a94b4(0xbe1)]('$')[0x0]:undefined,_0x4505cb[_0x1a94b4(0x711)][_0x1a94b4(0x1e7c)]=_0x4505cb[_0x1a94b4(0x711)][_0x1a94b4(0x2816)]?'CALLERID(all)='+_0x4505cb[_0x1a94b4(0x711)][_0x1a94b4(0x2816)]:undefined);_0x4505cb[_0x1a94b4(0x2728)]=_0x2e53c4,_0x4505cb[_0x1a94b4(0xda0)]=_0x49ca63;function _0x2e53c4(){const _0x442b7f=_0x1a94b4;_0x4505cb[_0x442b7f(0x1a7c)]=[];const _0x57e9c1=[];_0x4505cb['dialogflow'][_0x442b7f(0x66a)]&&_0x4505cb[_0x442b7f(0x711)][_0x442b7f(0x66a)][_0x442b7f(0x1680)]()===_0x442b7f(0x895)&&_0x4505cb[_0x442b7f(0x711)][_0x442b7f(0x299b)]===_0x442b7f(0x25f4)&&(_0x4505cb['dialogflow'][_0x442b7f(0x1340)]=_0x1eaac5[_0x442b7f(0x325)]?(_0x4505cb['dialogflow'][_0x442b7f(0x586)]||'')+_0x442b7f(0x19e4)+_0x1eaac5[_0x442b7f(0x325)]+'}':(_0x4505cb[_0x442b7f(0x711)]['prefix']||'')+'${EXTEN}',_0x1eaac5['recordingFormat']!==_0x442b7f(0x13b1)?_0x4505cb[_0x442b7f(0x711)][_0x442b7f(0x2224)]['indexOf'](_0x442b7f(0x106a))<0x0&&(_0x4505cb['dialogflow']['options']+=_0x442b7f(0x106a)):_0x4505cb[_0x442b7f(0x711)][_0x442b7f(0x2224)]=_0x4505cb[_0x442b7f(0x711)][_0x442b7f(0x2224)][_0x442b7f(0x288f)]('U(xcally-mixmonitor-context)',''));if(_0x4505cb['dialogflow']['appType']&&_0x4505cb['dialogflow'][_0x442b7f(0x299b)]===_0x442b7f(0x197c)){}else switch((_0x4505cb[_0x442b7f(0x711)][_0x442b7f(0x22e1)]||_0x4505cb['dialogflow'][_0x442b7f(0x299b)])[_0x442b7f(0x1680)]()){case _0x442b7f(0x19d1):_0x4505cb[_0x442b7f(0x711)][_0x442b7f(0x28df)]=_0x4505cb[_0x442b7f(0x711)][_0x442b7f(0x16b6)]+'='+_0x4505cb['dialogflow'][_0x442b7f(0x327)];break;case _0x442b7f(0x197c):break;default:_0x57e9c1[0x0]=_0x4505cb['dialogflow'][_0x442b7f(0xee8)],_0x57e9c1[0x1]=_0x4505cb['dialogflow'][_0x442b7f(0x12b4)],_0x57e9c1[0x2]=_0x4505cb['dialogflow']['welcomemessage'],_0x4505cb['dialogflow'][_0x442b7f(0x28df)]=_0x57e9c1[_0x442b7f(0x1f66)](',');}_0x49ca63(_0x4505cb['dialogflow']);}function _0x49ca63(_0x3831d9){_0x13fa8d['hide'](_0x3831d9);}}const _0x301c45=_0x57e876;;_0x30a5e3[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),'openchannelAccountApp',_0x5537c6(0x80e),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x30a5e3(_0x2427c6,_0x58a293,_0x1a4623,_0x5eec5c,_0x55a4e9,_0x3a8725,_0x56e62b,_0x284da5){const _0x4e3073=_0x5537c6,_0x2a8282=this;_0x2a8282[_0x4e3073(0xe76)]=_0x56e62b['getCurrentUser'](),_0x2a8282[_0x4e3073(0x1a7c)]=[],_0x2a8282[_0x4e3073(0x1386)]=_0x4e3073(0x17c7)+(_0x5eec5c[_0x4e3073(0x299b)]||_0x5eec5c['app'])[_0x4e3073(0x2335)](),_0x2a8282[_0x4e3073(0x13a0)]=angular[_0x4e3073(0x17fe)](_0x5eec5c),_0x2a8282['crudPermissions']=_0x284da5,_0x2a8282['hasModulePermissions']={};if(_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0x28df)])switch(_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0x299b)]?_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0x299b)][_0x4e3073(0x1680)]():_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0x22e1)][_0x4e3073(0x1680)]()){case _0x4e3073(0x197c):break;case _0x4e3073(0x711):{const _0x5b7e0a=_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0x28df)][_0x4e3073(0xbe1)](',');_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0xee8)]=_0x5b7e0a[0x0],_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0x12b4)]=_0x5b7e0a[0x1],_0x2a8282['gotoif'][_0x4e3073(0x173a)]=_0x5b7e0a['slice'](0x2,_0x5b7e0a[_0x4e3073(0xfd0)])[_0x4e3073(0x1f66)](',');}break;case _0x4e3073(0xece):{const _0x473403=_0x2a8282['gotoif'][_0x4e3073(0x28df)][_0x4e3073(0xbe1)](',');_0x2a8282[_0x4e3073(0x13a0)]['projectId']=_0x473403[0x0],_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0x12bf)]=_0x473403[0x1],_0x2a8282['gotoif'][_0x4e3073(0x29d6)]=_0x473403[0x2],_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0x12b4)]=_0x473403[0x3],_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0x173a)]=_0x473403['slice'](0x4,_0x473403[_0x4e3073(0xfd0)])[_0x4e3073(0x1f66)](',');}break;case _0x4e3073(0x123a):{const _0x4d1bf8=_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0x28df)][_0x4e3073(0xbe1)](',');_0x2a8282[_0x4e3073(0x13a0)]['accesskeyid']=_0x4d1bf8[0x0],_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0x21d9)]=_0x4d1bf8[0x1],_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0xd50)]=_0x4d1bf8[0x2],_0x2a8282['gotoif'][_0x4e3073(0x1c7f)]=_0x4d1bf8[0x3],_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0x173a)]=_0x4d1bf8[_0x4e3073(0x14cb)](0x4,_0x4d1bf8[_0x4e3073(0xfd0)])[_0x4e3073(0x1f66)](',');}break;case _0x4e3073(0x1f71):{const _0x9f5099=_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0x28df)]['split'](',');_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0x18a5)]=isNaN(_0x9f5099[0x0])?_0x9f5099[0x0]:parseInt(_0x9f5099[0x0],0xa),_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0x19d3)]=_0x9f5099[_0x4e3073(0x14cb)](0x1,_0x9f5099['length'])[_0x4e3073(0x1f66)](',');}break;case _0x4e3073(0x155e):_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0x19d3)]=_0x2a8282[_0x4e3073(0x13a0)]['appdata'];break;case _0x4e3073(0x19d1):_0x2a8282['gotoif'][_0x4e3073(0x16b6)]=_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0x28df)][_0x4e3073(0xbe1)]('=')[0x0],_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0x327)]=_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0x28df)]['split']('=')[0x1];break;case _0x4e3073(0x4b4):_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0x12a7)]=_0x2a8282['gotoif']['appdata'];break;default:{const _0x2f49e2=_0x2a8282['gotoif']['appdata'][_0x4e3073(0xbe1)](',');_0x2a8282[_0x4e3073(0x13a0)]['condition']=_0x39641b()['isEmpty'](_0x2f49e2[0x0])?_0x2f49e2[0x0]:isNaN(_0x2f49e2[0x0])?_0x2f49e2[0x0]:parseInt(_0x2f49e2[0x0],0xa),_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0xb24)]=_0x39641b()[_0x4e3073(0xce9)](_0x2f49e2[0x1])?_0x2f49e2[0x1]:isNaN(_0x2f49e2[0x1])?_0x2f49e2[0x1]:parseInt(_0x2f49e2[0x1],0xa),_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0x17d)]=_0x39641b()[_0x4e3073(0xce9)](_0x2f49e2[0x2])?_0x2f49e2[0x2]:isNaN(_0x2f49e2[0x2])?_0x2f49e2[0x2]:parseInt(_0x2f49e2[0x2],0xa);}break;}else{}_0x2a8282[_0x4e3073(0x13a0)]['type']&&_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0x66a)][_0x4e3073(0x1680)]()===_0x4e3073(0x895)&&_0x2a8282[_0x4e3073(0x13a0)]['appType'][_0x4e3073(0x1680)]()===_0x4e3073(0x20ff)&&(_0x2a8282[_0x4e3073(0x13a0)]['prefix']=_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0x1340)]?_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0x1340)][_0x4e3073(0xbe1)]('$')[0x0]:undefined,_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0x1e7c)]=_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0x2816)]?_0x4e3073(0x25f9)+_0x2a8282[_0x4e3073(0x13a0)][_0x4e3073(0x2816)]:undefined);_0x2a8282[_0x4e3073(0x2728)]=_0x53fe46,_0x2a8282[_0x4e3073(0xda0)]=_0x761068;function _0x53fe46(){const _0x391ca1=_0x4e3073;_0x2a8282['errors']=[];const _0x52922e=[];_0x2a8282[_0x391ca1(0x13a0)][_0x391ca1(0x66a)]&&_0x2a8282[_0x391ca1(0x13a0)][_0x391ca1(0x66a)][_0x391ca1(0x1680)]()==='outbound'&&_0x2a8282[_0x391ca1(0x13a0)]['appType']===_0x391ca1(0x25f4)&&(_0x2a8282[_0x391ca1(0x13a0)][_0x391ca1(0x1340)]=_0x55a4e9['cutdigits']?(_0x2a8282[_0x391ca1(0x13a0)][_0x391ca1(0x586)]||'')+_0x391ca1(0x19e4)+_0x55a4e9[_0x391ca1(0x325)]+'}':(_0x2a8282[_0x391ca1(0x13a0)][_0x391ca1(0x586)]||'')+_0x391ca1(0xcdd),_0x55a4e9[_0x391ca1(0xf8d)]!==_0x391ca1(0x13b1)?_0x2a8282[_0x391ca1(0x13a0)]['options'][_0x391ca1(0xd8a)](_0x391ca1(0x106a))<0x0&&(_0x2a8282[_0x391ca1(0x13a0)][_0x391ca1(0x2224)]+=_0x391ca1(0x106a)):_0x2a8282[_0x391ca1(0x13a0)][_0x391ca1(0x2224)]=_0x2a8282[_0x391ca1(0x13a0)]['options'][_0x391ca1(0x288f)](_0x391ca1(0x106a),''));if(_0x2a8282['gotoif'][_0x391ca1(0x299b)]&&_0x2a8282['gotoif'][_0x391ca1(0x299b)]===_0x391ca1(0x197c)){}else switch((_0x2a8282['gotoif'][_0x391ca1(0x22e1)]||_0x2a8282['gotoif'][_0x391ca1(0x299b)])[_0x391ca1(0x1680)]()){case _0x391ca1(0x19d1):_0x2a8282['gotoif']['appdata']=_0x2a8282[_0x391ca1(0x13a0)]['name']+'='+_0x2a8282[_0x391ca1(0x13a0)][_0x391ca1(0x327)];break;case _0x391ca1(0x197c):break;default:_0x52922e[0x0]=_0x2a8282[_0x391ca1(0x13a0)]['condition'],_0x52922e[0x1]=_0x2a8282[_0x391ca1(0x13a0)][_0x391ca1(0xb24)],_0x52922e[0x2]=_0x2a8282[_0x391ca1(0x13a0)][_0x391ca1(0x17d)],_0x2a8282[_0x391ca1(0x13a0)][_0x391ca1(0x28df)]=_0x52922e['join'](',');}_0x761068(_0x2a8282[_0x391ca1(0x13a0)]);}function _0x761068(_0x371593){_0x2427c6['hide'](_0x371593);}}const _0x4a6f7b=_0x30a5e3;;_0x420c7c[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x23ad),_0x5537c6(0x80e),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x420c7c(_0x46310c,_0x24098a,_0x5c7900,_0x4159ff,_0x1631e0,_0x56881d,_0x573cf8,_0x54683d){const _0x2c57e8=_0x5537c6,_0x5d3ae6=this;_0x5d3ae6[_0x2c57e8(0xe76)]=_0x573cf8[_0x2c57e8(0x21e8)](),_0x5d3ae6[_0x2c57e8(0x1a7c)]=[],_0x5d3ae6[_0x2c57e8(0x1386)]=_0x2c57e8(0x17c7)+(_0x4159ff[_0x2c57e8(0x299b)]||_0x4159ff[_0x2c57e8(0x22e1)])[_0x2c57e8(0x2335)](),_0x5d3ae6[_0x2c57e8(0x265b)]=angular['copy'](_0x4159ff),_0x5d3ae6[_0x2c57e8(0x1b1a)]=_0x54683d,_0x5d3ae6[_0x2c57e8(0xf4c)]={};if(_0x5d3ae6[_0x2c57e8(0x265b)][_0x2c57e8(0x28df)])switch(_0x5d3ae6[_0x2c57e8(0x265b)]['appType']?_0x5d3ae6[_0x2c57e8(0x265b)][_0x2c57e8(0x299b)][_0x2c57e8(0x1680)]():_0x5d3ae6[_0x2c57e8(0x265b)][_0x2c57e8(0x22e1)][_0x2c57e8(0x1680)]()){case _0x2c57e8(0x197c):break;case _0x2c57e8(0x711):{const _0x1022a7=_0x5d3ae6['gotop'][_0x2c57e8(0x28df)]['split'](',');_0x5d3ae6[_0x2c57e8(0x265b)][_0x2c57e8(0xee8)]=_0x1022a7[0x0],_0x5d3ae6[_0x2c57e8(0x265b)]['language']=_0x1022a7[0x1],_0x5d3ae6[_0x2c57e8(0x265b)][_0x2c57e8(0x173a)]=_0x1022a7['slice'](0x2,_0x1022a7[_0x2c57e8(0xfd0)])['join'](',');}break;case _0x2c57e8(0xece):{const _0x4956a4=_0x5d3ae6[_0x2c57e8(0x265b)][_0x2c57e8(0x28df)][_0x2c57e8(0xbe1)](',');_0x5d3ae6[_0x2c57e8(0x265b)][_0x2c57e8(0x2854)]=_0x4956a4[0x0],_0x5d3ae6[_0x2c57e8(0x265b)][_0x2c57e8(0x12bf)]=_0x4956a4[0x1],_0x5d3ae6['gotop'][_0x2c57e8(0x29d6)]=_0x4956a4[0x2],_0x5d3ae6[_0x2c57e8(0x265b)][_0x2c57e8(0x12b4)]=_0x4956a4[0x3],_0x5d3ae6['gotop'][_0x2c57e8(0x173a)]=_0x4956a4[_0x2c57e8(0x14cb)](0x4,_0x4956a4[_0x2c57e8(0xfd0)])['join'](',');}break;case _0x2c57e8(0x123a):{const _0x35b7ef=_0x5d3ae6[_0x2c57e8(0x265b)]['appdata'][_0x2c57e8(0xbe1)](',');_0x5d3ae6[_0x2c57e8(0x265b)][_0x2c57e8(0x413)]=_0x35b7ef[0x0],_0x5d3ae6[_0x2c57e8(0x265b)]['secretaccesskey']=_0x35b7ef[0x1],_0x5d3ae6[_0x2c57e8(0x265b)][_0x2c57e8(0xd50)]=_0x35b7ef[0x2],_0x5d3ae6[_0x2c57e8(0x265b)][_0x2c57e8(0x1c7f)]=_0x35b7ef[0x3],_0x5d3ae6[_0x2c57e8(0x265b)][_0x2c57e8(0x173a)]=_0x35b7ef[_0x2c57e8(0x14cb)](0x4,_0x35b7ef[_0x2c57e8(0xfd0)])[_0x2c57e8(0x1f66)](',');}break;case _0x2c57e8(0x1f71):{const _0x29d0db=_0x5d3ae6[_0x2c57e8(0x265b)][_0x2c57e8(0x28df)][_0x2c57e8(0xbe1)](',');_0x5d3ae6['gotop'][_0x2c57e8(0x18a5)]=isNaN(_0x29d0db[0x0])?_0x29d0db[0x0]:parseInt(_0x29d0db[0x0],0xa),_0x5d3ae6[_0x2c57e8(0x265b)][_0x2c57e8(0x19d3)]=_0x29d0db[_0x2c57e8(0x14cb)](0x1,_0x29d0db[_0x2c57e8(0xfd0)])[_0x2c57e8(0x1f66)](',');}break;case _0x2c57e8(0x155e):_0x5d3ae6[_0x2c57e8(0x265b)][_0x2c57e8(0x19d3)]=_0x5d3ae6['gotop']['appdata'];break;case _0x2c57e8(0x19d1):_0x5d3ae6[_0x2c57e8(0x265b)][_0x2c57e8(0x16b6)]=_0x5d3ae6[_0x2c57e8(0x265b)]['appdata'][_0x2c57e8(0xbe1)]('=')[0x0],_0x5d3ae6['gotop'][_0x2c57e8(0x327)]=_0x5d3ae6['gotop'][_0x2c57e8(0x28df)]['split']('=')[0x1];break;case _0x2c57e8(0x4b4):_0x5d3ae6[_0x2c57e8(0x265b)][_0x2c57e8(0x12a7)]=_0x5d3ae6[_0x2c57e8(0x265b)][_0x2c57e8(0x28df)];break;default:{const _0x3714ba=_0x5d3ae6[_0x2c57e8(0x265b)][_0x2c57e8(0x28df)]['split'](',');_0x5d3ae6[_0x2c57e8(0x265b)][_0x2c57e8(0x521)]=_0x39641b()[_0x2c57e8(0xce9)](_0x3714ba[0x0])?_0x3714ba[0x0]:isNaN(_0x3714ba[0x0])?_0x3714ba[0x0]:parseInt(_0x3714ba[0x0],0xa);}break;}else{}_0x5d3ae6[_0x2c57e8(0x265b)][_0x2c57e8(0x66a)]&&_0x5d3ae6[_0x2c57e8(0x265b)][_0x2c57e8(0x66a)][_0x2c57e8(0x1680)]()===_0x2c57e8(0x895)&&_0x5d3ae6[_0x2c57e8(0x265b)][_0x2c57e8(0x299b)]['toLowerCase']()===_0x2c57e8(0x20ff)&&(_0x5d3ae6[_0x2c57e8(0x265b)][_0x2c57e8(0x586)]=_0x5d3ae6[_0x2c57e8(0x265b)][_0x2c57e8(0x1340)]?_0x5d3ae6[_0x2c57e8(0x265b)]['phone'][_0x2c57e8(0xbe1)]('$')[0x0]:undefined,_0x5d3ae6[_0x2c57e8(0x265b)]['callerId']=_0x5d3ae6[_0x2c57e8(0x265b)]['callerID']?'CALLERID(all)='+_0x5d3ae6[_0x2c57e8(0x265b)]['callerID']:undefined);_0x5d3ae6[_0x2c57e8(0x2728)]=_0x28415a,_0x5d3ae6[_0x2c57e8(0xda0)]=_0x642f30;function _0x28415a(){const _0x45094e=_0x2c57e8;_0x5d3ae6[_0x45094e(0x1a7c)]=[];const _0x5c0d8b=[];_0x5d3ae6[_0x45094e(0x265b)][_0x45094e(0x66a)]&&_0x5d3ae6['gotop']['type'][_0x45094e(0x1680)]()===_0x45094e(0x895)&&_0x5d3ae6[_0x45094e(0x265b)][_0x45094e(0x299b)]===_0x45094e(0x25f4)&&(_0x5d3ae6[_0x45094e(0x265b)][_0x45094e(0x1340)]=_0x1631e0[_0x45094e(0x325)]?(_0x5d3ae6[_0x45094e(0x265b)][_0x45094e(0x586)]||'')+_0x45094e(0x19e4)+_0x1631e0[_0x45094e(0x325)]+'}':(_0x5d3ae6[_0x45094e(0x265b)]['prefix']||'')+_0x45094e(0xcdd),_0x1631e0[_0x45094e(0xf8d)]!==_0x45094e(0x13b1)?_0x5d3ae6[_0x45094e(0x265b)][_0x45094e(0x2224)][_0x45094e(0xd8a)]('U(xcally-mixmonitor-context)')<0x0&&(_0x5d3ae6[_0x45094e(0x265b)][_0x45094e(0x2224)]+=_0x45094e(0x106a)):_0x5d3ae6[_0x45094e(0x265b)][_0x45094e(0x2224)]=_0x5d3ae6[_0x45094e(0x265b)]['options'][_0x45094e(0x288f)]('U(xcally-mixmonitor-context)',''));if(_0x5d3ae6[_0x45094e(0x265b)][_0x45094e(0x299b)]&&_0x5d3ae6[_0x45094e(0x265b)][_0x45094e(0x299b)]===_0x45094e(0x197c)){}else switch((_0x5d3ae6[_0x45094e(0x265b)][_0x45094e(0x22e1)]||_0x5d3ae6[_0x45094e(0x265b)]['appType'])[_0x45094e(0x1680)]()){case _0x45094e(0x19d1):_0x5d3ae6['gotop'][_0x45094e(0x28df)]=_0x5d3ae6[_0x45094e(0x265b)][_0x45094e(0x16b6)]+'='+_0x5d3ae6[_0x45094e(0x265b)][_0x45094e(0x327)];break;case _0x45094e(0x197c):break;default:_0x5c0d8b[0x0]=_0x5d3ae6['gotop'][_0x45094e(0x521)],_0x5d3ae6[_0x45094e(0x265b)]['appdata']=_0x5c0d8b[_0x45094e(0x1f66)](',');}_0x642f30(_0x5d3ae6[_0x45094e(0x265b)]);}function _0x642f30(_0x4d3e02){const _0x36b4a5=_0x2c57e8;_0x46310c[_0x36b4a5(0x1426)](_0x4d3e02);}}const _0x876d4b=_0x420c7c;;_0x1890cc[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),_0x5537c6(0xcb9),_0x5537c6(0x200d),'IndexFactory',_0x5537c6(0x1ac8),_0x5537c6(0xb4a),_0x5537c6(0x9bf),_0x5537c6(0x142b),'Auth',_0x5537c6(0x1b1a)];function _0x1890cc(_0x132321,_0x21e006,_0x4ab204,_0x24602c,_0x22f9d8,_0x435bed,_0x303b67,_0x16f51e,_0x544c0c,_0x12fd37){const _0x43e5d3=_0x5537c6,_0x3bbacf=this;_0x3bbacf[_0x43e5d3(0xe76)]=_0x544c0c[_0x43e5d3(0x21e8)](),_0x3bbacf['errors']=[],_0x3bbacf[_0x43e5d3(0x1386)]=_0x43e5d3(0x1571),_0x3bbacf[_0x43e5d3(0x1ac8)]=angular[_0x43e5d3(0x17fe)](_0x22f9d8),_0x3bbacf[_0x43e5d3(0xb4a)]=_0x435bed,_0x3bbacf[_0x43e5d3(0x18c1)]=![],_0x3bbacf[_0x43e5d3(0x2025)]=[_0x43e5d3(0x2017),_0x43e5d3(0x197c),_0x43e5d3(0x240)],_0x3bbacf[_0x43e5d3(0x1b1a)]=_0x12fd37,_0x3bbacf[_0x43e5d3(0x1275)]=_0x24602c[_0x43e5d3(0x1aae)](),_0x3bbacf[_0x43e5d3(0x2055)]=_0x24602c[_0x43e5d3(0xa76)](),_0x3bbacf['monthName']=_0x24602c[_0x43e5d3(0x7cc)](),_0x3bbacf[_0x43e5d3(0x202d)]=_0x24602c[_0x43e5d3(0xc1e)]();if(!_0x3bbacf[_0x43e5d3(0x1ac8)])_0x3bbacf[_0x43e5d3(0x1ac8)]={'interval':'*,*,*,*'},_0x3bbacf['type']='always',_0x3bbacf['title']=_0x43e5d3(0x287b),_0x3bbacf[_0x43e5d3(0x18c1)]=!![];else{if(_0x3bbacf[_0x43e5d3(0x1ac8)][_0x43e5d3(0x1ac8)]!=='*,*,*,*'){_0x3bbacf[_0x43e5d3(0x66a)]=_0x43e5d3(0x197c);const _0x5670e2=_0x3bbacf['interval'][_0x43e5d3(0x1ac8)][_0x43e5d3(0xbe1)](','),_0x3d1cef=_0x5670e2[0x0],_0x18748f=_0x5670e2[0x1],_0x2f92ca=_0x5670e2[0x2],_0x4fe21b=_0x5670e2[0x3];if(_0x3d1cef!=='*'){const _0x755cf0=_0x3d1cef[_0x43e5d3(0xbe1)]('-')[0x0],_0x3df362=_0x3d1cef[_0x43e5d3(0xbe1)]('-')[0x1];let _0x51984c;_0x51984c=new Date(),_0x51984c['setHours'](Number(_0x755cf0[_0x43e5d3(0xbe1)](':')[0x0])),_0x51984c[_0x43e5d3(0x1622)](Number(_0x755cf0['split'](':')[0x1])),_0x3bbacf[_0x43e5d3(0x718)]=_0x51984c,_0x51984c=new Date(),_0x51984c[_0x43e5d3(0x201b)](Number(_0x3df362[_0x43e5d3(0xbe1)](':')[0x0])),_0x51984c[_0x43e5d3(0x1622)](Number(_0x3df362[_0x43e5d3(0xbe1)](':')[0x1])),_0x3bbacf[_0x43e5d3(0x64a)]=_0x51984c;}_0x18748f!=='*'&&(_0x3bbacf[_0x43e5d3(0x28a6)]=_0x18748f[_0x43e5d3(0xbe1)]('-')[0x0],_0x3bbacf[_0x43e5d3(0x28db)]=_0x18748f[_0x43e5d3(0xbe1)]('-')[0x1]),_0x2f92ca!=='*'&&(_0x3bbacf[_0x43e5d3(0xd6f)]=_0x2f92ca[_0x43e5d3(0xbe1)]('-')[0x0],_0x3bbacf[_0x43e5d3(0x194d)]=_0x2f92ca[_0x43e5d3(0xbe1)]('-')[0x1]),_0x4fe21b!=='*'&&(_0x3bbacf[_0x43e5d3(0x1756)]=_0x4fe21b[_0x43e5d3(0xbe1)]('-')[0x0],_0x3bbacf['monthTo']=_0x4fe21b[_0x43e5d3(0xbe1)]('-')[0x1]);}else _0x3bbacf['type']=_0x43e5d3(0x2017);}_0x132321[_0x43e5d3(0x1dfe)]['id']&&!_0x3bbacf['interval'][_0x43e5d3(0x171b)]&&(_0x3bbacf[_0x43e5d3(0x1ac8)]['IntervalId']=_0x132321[_0x43e5d3(0x1dfe)]['id']);_0x3bbacf[_0x43e5d3(0x1ac8)][_0x43e5d3(0x1a60)]&&_0x3bbacf[_0x43e5d3(0x1ac8)]['application']&&(_0x3bbacf[_0x43e5d3(0x66a)]='list');_0x3bbacf[_0x43e5d3(0x18b3)]=_0x195c9c,_0x3bbacf[_0x43e5d3(0x1891)]=_0xc77f32,_0x3bbacf['closeDialog']=_0x3465ca;_0x3bbacf[_0x43e5d3(0x1ac8)][_0x43e5d3(0x171b)]&&(_0x544c0c[_0x43e5d3(0x22b6)](_0x43e5d3(0x1c60))?_0x16f51e[_0x43e5d3(0x1ac8)]['get']({'fields':_0x43e5d3(0x872),'IntervalId':_0x43e5d3(0xd38),'nolimit':!![]})[_0x43e5d3(0x1d77)]['then'](function(_0x1a7f48){const _0x47466a=_0x43e5d3;_0x3bbacf[_0x47466a(0xb4a)]=_0x1a7f48[_0x47466a(0x2214)]||[];})[_0x43e5d3(0x1c4)](function(_0x1e47d9){const _0x27b1e4=_0x43e5d3;_0x303b67[_0x27b1e4(0x218e)]({'title':_0x1e47d9[_0x27b1e4(0x291)]?_0x27b1e4(0xeb9)+_0x1e47d9['status']+_0x27b1e4(0x1657)+_0x1e47d9['statusText']:_0x27b1e4(0xdac),'msg':_0x1e47d9[_0x27b1e4(0x25c)]?JSON[_0x27b1e4(0x2701)](_0x1e47d9[_0x27b1e4(0x25c)]):_0x1e47d9[_0x27b1e4(0x147f)]()});}):_0x16f51e[_0x43e5d3(0x1ac8)][_0x43e5d3(0xbf7)]({'fields':_0x43e5d3(0x872),'IntervalId':'null','nolimit':!![]})['$promise'][_0x43e5d3(0x1cb0)](function(_0x519348){const _0x5ac781=_0x43e5d3;_0x3bbacf[_0x5ac781(0xb4a)]=_0x519348[_0x5ac781(0x2214)]||[];})[_0x43e5d3(0x1cb0)](function(){const _0x18a93e=_0x43e5d3;return _0x16f51e[_0x18a93e(0x2199)]['get']({'userProfileId':_0x3bbacf[_0x18a93e(0xe76)][_0x18a93e(0x13c1)],'sectionId':0x3ec})['$promise'];})[_0x43e5d3(0x1cb0)](function(_0x2a04cd){const _0x51cb77=_0x43e5d3,_0x3f5da9=_0x2a04cd&&_0x2a04cd[_0x51cb77(0x2214)]?_0x2a04cd[_0x51cb77(0x2214)][0x0]:null;if(!_0x3f5da9)_0x3bbacf['intervals']=[];else{if(!_0x3f5da9[_0x51cb77(0x12f4)])return _0x16f51e['userProfileResource'][_0x51cb77(0xbf7)]({'sectionId':_0x3f5da9['id']})[_0x51cb77(0x1d77)]['then'](function(_0xc68a2a){const _0x4f0d69=_0x51cb77,_0x1e6f33=_0xc68a2a&&_0xc68a2a[_0x4f0d69(0x2214)]?_0xc68a2a['rows']:[],_0x4ac536=[];let _0x45824a=null;_0x3bbacf[_0x4f0d69(0x1ac8)]&&(_0x45824a=_0x39641b()[_0x4f0d69(0x13b4)](_0x3bbacf[_0x4f0d69(0xb4a)],{'name':_0x3bbacf['interval'][_0x4f0d69(0x1a60)]})),_0x45824a&&!_0x39641b()[_0x4f0d69(0x727)](_0x1e6f33,[_0x4f0d69(0x2982),_0x45824a['id']])&&_0x3bbacf[_0x4f0d69(0xb4a)]['forEach'](function(_0x3571cb){const _0x9c6baf=_0x4f0d69;_0x3571cb['id']===_0x45824a['id']&&(_0x3571cb[_0x9c6baf(0x15da)]=![],_0x4ac536[_0x9c6baf(0x2785)](_0x3571cb));}),_0x3bbacf[_0x4f0d69(0xb4a)]=_0x4ac536;});}})[_0x43e5d3(0x1c4)](function(_0x207b04){const _0x2dac65=_0x43e5d3;_0x303b67['error']({'title':_0x207b04['status']?_0x2dac65(0xeb9)+_0x207b04[_0x2dac65(0x291)]+_0x2dac65(0x1657)+_0x207b04[_0x2dac65(0xc22)]:_0x2dac65(0xdac),'msg':_0x207b04[_0x2dac65(0x25c)]?JSON[_0x2dac65(0x2701)](_0x207b04['data']):_0x207b04['toString']()});}));function _0x53ac6e(){const _0xe7b523=_0x43e5d3;switch(_0x3bbacf[_0xe7b523(0x66a)]){case'always':case _0xe7b523(0x240):return'*,*,*,*';case _0xe7b523(0x197c):{const _0x23d725=[];if(_0x3bbacf[_0xe7b523(0x718)]!=='*'&&_0x3bbacf[_0xe7b523(0x718)]&&_0x3bbacf[_0xe7b523(0x64a)]){const _0x59ef75=(_0x3bbacf[_0xe7b523(0x718)][_0xe7b523(0x1169)]()<0xa?'0':'')+_0x3bbacf[_0xe7b523(0x718)][_0xe7b523(0x1169)]()+':'+((_0x3bbacf[_0xe7b523(0x718)][_0xe7b523(0x851)]()<0xa?'0':'')+_0x3bbacf[_0xe7b523(0x718)][_0xe7b523(0x851)]()),_0x5437a9=(_0x3bbacf[_0xe7b523(0x64a)][_0xe7b523(0x1169)]()<0xa?'0':'')+_0x3bbacf[_0xe7b523(0x64a)][_0xe7b523(0x1169)]()+':'+((_0x3bbacf[_0xe7b523(0x64a)][_0xe7b523(0x851)]()<0xa?'0':'')+_0x3bbacf[_0xe7b523(0x64a)]['getMinutes']());_0x23d725[_0xe7b523(0x2785)](_0x59ef75+'-'+_0x5437a9);}else _0x23d725[_0xe7b523(0x2785)]('*');return _0x3bbacf[_0xe7b523(0x28a6)]?_0x3bbacf['dayOfWeekTo']?_0x23d725[_0xe7b523(0x2785)](_0x3bbacf[_0xe7b523(0x28a6)]+'-'+_0x3bbacf[_0xe7b523(0x28db)]):_0x23d725['push'](_0x3bbacf[_0xe7b523(0x28a6)]):_0x23d725['push']('*'),_0x3bbacf[_0xe7b523(0xd6f)]?_0x3bbacf[_0xe7b523(0x194d)]?_0x23d725[_0xe7b523(0x2785)](_0x3bbacf[_0xe7b523(0xd6f)]+'-'+_0x3bbacf[_0xe7b523(0x194d)]):_0x23d725[_0xe7b523(0x2785)](_0x3bbacf[_0xe7b523(0xd6f)]):_0x23d725[_0xe7b523(0x2785)]('*'),_0x3bbacf[_0xe7b523(0x1756)]?_0x3bbacf['monthTo']?_0x23d725[_0xe7b523(0x2785)](_0x3bbacf[_0xe7b523(0x1756)]+'-'+_0x3bbacf[_0xe7b523(0xa68)]):_0x23d725['push'](_0x3bbacf[_0xe7b523(0x1756)]):_0x23d725[_0xe7b523(0x2785)]('*'),_0x23d725[_0xe7b523(0x1f66)]();}}}function _0x195c9c(){const _0x573de0=_0x43e5d3;_0x3bbacf[_0x573de0(0x1a7c)]=[],_0x3bbacf[_0x573de0(0x1ac8)][_0x573de0(0x1ac8)]=_0x53ac6e(),_0x16f51e[_0x573de0(0x1ac8)]['save'](_0x3bbacf[_0x573de0(0x1ac8)])['$promise'][_0x573de0(0x1cb0)](function(_0x2337c4){const _0x189b0f=_0x573de0;_0x3bbacf[_0x189b0f(0xb4a)][_0x189b0f(0x2785)](_0x2337c4),_0x303b67[_0x189b0f(0x829)]({'title':_0x189b0f(0x1d4e),'msg':_0x3bbacf['interval'][_0x189b0f(0x16b6)]?_0x3bbacf[_0x189b0f(0x1ac8)][_0x189b0f(0x16b6)]+'\x20has\x20been\x20created!':''}),_0x3465ca();})['catch'](function(_0x3d31c3){const _0x47007f=_0x573de0;console['error'](_0x3d31c3),_0x3bbacf[_0x47007f(0x1a7c)]=_0x3d31c3[_0x47007f(0x25c)][_0x47007f(0x1a7c)]||[{'message':_0x3d31c3[_0x47007f(0x147f)](),'type':'api.interval.save'}];});}function _0xc77f32(){const _0x334e0=_0x43e5d3;_0x3bbacf[_0x334e0(0x1a7c)]=[],_0x3bbacf[_0x334e0(0x1ac8)][_0x334e0(0x1ac8)]=_0x53ac6e(),_0x3bbacf['interval'][_0x334e0(0x171b)]?(_0x3bbacf[_0x334e0(0x66a)]!==_0x334e0(0x240)&&(_0x3bbacf[_0x334e0(0x1ac8)]['IntervalId']=null),_0x3465ca(_0x3bbacf['interval'])):_0x16f51e[_0x334e0(0x1ac8)]['update']({'id':_0x3bbacf[_0x334e0(0x1ac8)]['id']},_0x3bbacf[_0x334e0(0x1ac8)])[_0x334e0(0x1d77)][_0x334e0(0x1cb0)](function(_0x12ec13){const _0x3e3f6d=_0x334e0,_0x5ae208=_0x39641b()[_0x3e3f6d(0x13b4)](_0x3bbacf[_0x3e3f6d(0xb4a)],{'id':_0x12ec13['id']});_0x5ae208&&_0x39641b()[_0x3e3f6d(0x9c1)](_0x5ae208,_0x12ec13),_0x303b67['success']({'title':_0x3e3f6d(0x1498),'msg':'Interval\x20has\x20been\x20saved!'}),_0x3465ca();})['catch'](function(_0x1b612b){const _0xa5224a=_0x334e0;console[_0xa5224a(0x218e)](_0x1b612b),_0x3bbacf['errors']=_0x1b612b['data']['errors']||[{'message':_0x1b612b[_0xa5224a(0x147f)](),'type':_0xa5224a(0x2505)}];});}function _0x3465ca(_0x476a9f){_0x21e006['hide'](_0x476a9f);}}const _0x4e0545=_0x1890cc;;_0x2e5218[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),'openchannelAccountApp',_0x5537c6(0x80e),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x2e5218(_0x160e15,_0x2be023,_0x211ef9,_0x4e201e,_0x3805ff,_0x2e7fa8,_0x46f7d0,_0x24ee74){const _0x4f545d=_0x5537c6,_0x5db020=this;_0x5db020[_0x4f545d(0xe76)]=_0x46f7d0[_0x4f545d(0x21e8)](),_0x5db020[_0x4f545d(0x1a7c)]=[],_0x5db020[_0x4f545d(0x1386)]=_0x4f545d(0x17c7)+(_0x4e201e[_0x4f545d(0x299b)]||_0x4e201e['app'])[_0x4f545d(0x2335)](),_0x5db020[_0x4f545d(0x1234)]=angular['copy'](_0x4e201e),_0x5db020[_0x4f545d(0x1b1a)]=_0x24ee74,_0x5db020[_0x4f545d(0xf4c)]={};if(_0x5db020[_0x4f545d(0x1234)][_0x4f545d(0x28df)])switch(_0x5db020['noop'][_0x4f545d(0x299b)]?_0x5db020[_0x4f545d(0x1234)]['appType']['toLowerCase']():_0x5db020['noop'][_0x4f545d(0x22e1)]['toLowerCase']()){case _0x4f545d(0x197c):break;case'dialogflow':{const _0x5ae9e5=_0x5db020['noop'][_0x4f545d(0x28df)]['split'](',');_0x5db020['noop'][_0x4f545d(0xee8)]=_0x5ae9e5[0x0],_0x5db020[_0x4f545d(0x1234)]['language']=_0x5ae9e5[0x1],_0x5db020[_0x4f545d(0x1234)][_0x4f545d(0x173a)]=_0x5ae9e5['slice'](0x2,_0x5ae9e5[_0x4f545d(0xfd0)])['join'](',');}break;case _0x4f545d(0xece):{const _0xd9281e=_0x5db020[_0x4f545d(0x1234)][_0x4f545d(0x28df)][_0x4f545d(0xbe1)](',');_0x5db020[_0x4f545d(0x1234)]['projectId']=_0xd9281e[0x0],_0x5db020[_0x4f545d(0x1234)]['clientEmail']=_0xd9281e[0x1],_0x5db020['noop'][_0x4f545d(0x29d6)]=_0xd9281e[0x2],_0x5db020[_0x4f545d(0x1234)]['language']=_0xd9281e[0x3],_0x5db020[_0x4f545d(0x1234)]['welcomemessage']=_0xd9281e[_0x4f545d(0x14cb)](0x4,_0xd9281e[_0x4f545d(0xfd0)])['join'](',');}break;case _0x4f545d(0x123a):{const _0x304583=_0x5db020[_0x4f545d(0x1234)][_0x4f545d(0x28df)][_0x4f545d(0xbe1)](',');_0x5db020[_0x4f545d(0x1234)][_0x4f545d(0x413)]=_0x304583[0x0],_0x5db020[_0x4f545d(0x1234)][_0x4f545d(0x21d9)]=_0x304583[0x1],_0x5db020[_0x4f545d(0x1234)][_0x4f545d(0xd50)]=_0x304583[0x2],_0x5db020[_0x4f545d(0x1234)][_0x4f545d(0x1c7f)]=_0x304583[0x3],_0x5db020[_0x4f545d(0x1234)][_0x4f545d(0x173a)]=_0x304583[_0x4f545d(0x14cb)](0x4,_0x304583[_0x4f545d(0xfd0)])['join'](',');}break;case _0x4f545d(0x1f71):{const _0x54d41f=_0x5db020[_0x4f545d(0x1234)]['appdata'][_0x4f545d(0xbe1)](',');_0x5db020[_0x4f545d(0x1234)][_0x4f545d(0x18a5)]=isNaN(_0x54d41f[0x0])?_0x54d41f[0x0]:parseInt(_0x54d41f[0x0],0xa),_0x5db020[_0x4f545d(0x1234)][_0x4f545d(0x19d3)]=_0x54d41f[_0x4f545d(0x14cb)](0x1,_0x54d41f[_0x4f545d(0xfd0)])[_0x4f545d(0x1f66)](',');}break;case'message':_0x5db020['noop'][_0x4f545d(0x19d3)]=_0x5db020['noop'][_0x4f545d(0x28df)];break;case _0x4f545d(0x19d1):_0x5db020[_0x4f545d(0x1234)]['name']=_0x5db020[_0x4f545d(0x1234)][_0x4f545d(0x28df)]['split']('=')[0x0],_0x5db020[_0x4f545d(0x1234)][_0x4f545d(0x327)]=_0x5db020[_0x4f545d(0x1234)]['appdata'][_0x4f545d(0xbe1)]('=')[0x1];break;case _0x4f545d(0x4b4):_0x5db020[_0x4f545d(0x1234)]['project']=_0x5db020[_0x4f545d(0x1234)][_0x4f545d(0x28df)];break;default:{const _0x3c0116=_0x5db020['noop']['appdata'][_0x4f545d(0xbe1)](',');_0x5db020[_0x4f545d(0x1234)][_0x4f545d(0x327)]=_0x39641b()['isEmpty'](_0x3c0116[0x0])?_0x3c0116[0x0]:isNaN(_0x3c0116[0x0])?_0x3c0116[0x0]:parseInt(_0x3c0116[0x0],0xa);}break;}else{}_0x5db020[_0x4f545d(0x1234)][_0x4f545d(0x66a)]&&_0x5db020[_0x4f545d(0x1234)][_0x4f545d(0x66a)]['toLowerCase']()===_0x4f545d(0x895)&&_0x5db020[_0x4f545d(0x1234)][_0x4f545d(0x299b)][_0x4f545d(0x1680)]()===_0x4f545d(0x20ff)&&(_0x5db020[_0x4f545d(0x1234)][_0x4f545d(0x586)]=_0x5db020[_0x4f545d(0x1234)][_0x4f545d(0x1340)]?_0x5db020[_0x4f545d(0x1234)][_0x4f545d(0x1340)][_0x4f545d(0xbe1)]('$')[0x0]:undefined,_0x5db020[_0x4f545d(0x1234)][_0x4f545d(0x1e7c)]=_0x5db020[_0x4f545d(0x1234)]['callerID']?_0x4f545d(0x25f9)+_0x5db020[_0x4f545d(0x1234)][_0x4f545d(0x2816)]:undefined);_0x5db020[_0x4f545d(0x2728)]=_0x593315,_0x5db020[_0x4f545d(0xda0)]=_0xc00e13;function _0x593315(){const _0x5d1bdb=_0x4f545d;_0x5db020[_0x5d1bdb(0x1a7c)]=[];const _0x4dfc64=[];_0x5db020[_0x5d1bdb(0x1234)][_0x5d1bdb(0x66a)]&&_0x5db020[_0x5d1bdb(0x1234)][_0x5d1bdb(0x66a)][_0x5d1bdb(0x1680)]()===_0x5d1bdb(0x895)&&_0x5db020[_0x5d1bdb(0x1234)]['appType']===_0x5d1bdb(0x25f4)&&(_0x5db020['noop']['phone']=_0x3805ff[_0x5d1bdb(0x325)]?(_0x5db020['noop'][_0x5d1bdb(0x586)]||'')+_0x5d1bdb(0x19e4)+_0x3805ff[_0x5d1bdb(0x325)]+'}':(_0x5db020['noop']['prefix']||'')+_0x5d1bdb(0xcdd),_0x3805ff[_0x5d1bdb(0xf8d)]!=='none'?_0x5db020['noop'][_0x5d1bdb(0x2224)][_0x5d1bdb(0xd8a)]('U(xcally-mixmonitor-context)')<0x0&&(_0x5db020[_0x5d1bdb(0x1234)][_0x5d1bdb(0x2224)]+=_0x5d1bdb(0x106a)):_0x5db020['noop'][_0x5d1bdb(0x2224)]=_0x5db020['noop'][_0x5d1bdb(0x2224)][_0x5d1bdb(0x288f)](_0x5d1bdb(0x106a),''));if(_0x5db020['noop'][_0x5d1bdb(0x299b)]&&_0x5db020['noop'][_0x5d1bdb(0x299b)]==='custom'){}else switch((_0x5db020[_0x5d1bdb(0x1234)]['app']||_0x5db020[_0x5d1bdb(0x1234)][_0x5d1bdb(0x299b)])['toLowerCase']()){case'set':_0x5db020[_0x5d1bdb(0x1234)][_0x5d1bdb(0x28df)]=_0x5db020[_0x5d1bdb(0x1234)][_0x5d1bdb(0x16b6)]+'='+_0x5db020[_0x5d1bdb(0x1234)][_0x5d1bdb(0x327)];break;case'custom':break;default:_0x4dfc64[0x0]=_0x5db020[_0x5d1bdb(0x1234)][_0x5d1bdb(0x327)],_0x5db020[_0x5d1bdb(0x1234)]['appdata']=_0x4dfc64[_0x5d1bdb(0x1f66)](',');}_0xc00e13(_0x5db020[_0x5d1bdb(0x1234)]);}function _0xc00e13(_0x490c14){const _0x30f5a5=_0x4f545d;_0x160e15[_0x30f5a5(0x1426)](_0x490c14);}}const _0x58ac22=_0x2e5218;;_0x5d1bc2['$inject']=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x23ad),_0x5537c6(0x80e),'api',_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x5d1bc2(_0x3dcd56,_0x1ead74,_0x2e5203,_0x566a6b,_0x5e6c71,_0x4c3962,_0x5b8b91,_0x17bc82){const _0x3136d4=_0x5537c6,_0x59eb06=this;_0x59eb06[_0x3136d4(0xe76)]=_0x5b8b91[_0x3136d4(0x21e8)](),_0x59eb06['errors']=[],_0x59eb06[_0x3136d4(0x1386)]=_0x3136d4(0x17c7)+(_0x566a6b[_0x3136d4(0x299b)]||_0x566a6b[_0x3136d4(0x22e1)])[_0x3136d4(0x2335)](),_0x59eb06[_0x3136d4(0x11cf)]=angular[_0x3136d4(0x17fe)](_0x566a6b),_0x59eb06['crudPermissions']=_0x17bc82,_0x59eb06[_0x3136d4(0xf4c)]={};if(_0x59eb06['queue'][_0x3136d4(0x28df)])switch(_0x59eb06['queue'][_0x3136d4(0x299b)]?_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0x299b)][_0x3136d4(0x1680)]():_0x59eb06['queue'][_0x3136d4(0x22e1)][_0x3136d4(0x1680)]()){case'custom':break;case _0x3136d4(0x711):{const _0x200eda=_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0x28df)]['split'](',');_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0xee8)]=_0x200eda[0x0],_0x59eb06['queue']['language']=_0x200eda[0x1],_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0x173a)]=_0x200eda[_0x3136d4(0x14cb)](0x2,_0x200eda[_0x3136d4(0xfd0)])['join'](',');}break;case _0x3136d4(0xece):{const _0x142064=_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0x28df)]['split'](',');_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0x2854)]=_0x142064[0x0],_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0x12bf)]=_0x142064[0x1],_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0x29d6)]=_0x142064[0x2],_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0x12b4)]=_0x142064[0x3],_0x59eb06[_0x3136d4(0x11cf)]['welcomemessage']=_0x142064['slice'](0x4,_0x142064[_0x3136d4(0xfd0)])[_0x3136d4(0x1f66)](',');}break;case _0x3136d4(0x123a):{const _0x234772=_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0x28df)][_0x3136d4(0xbe1)](',');_0x59eb06[_0x3136d4(0x11cf)]['accesskeyid']=_0x234772[0x0],_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0x21d9)]=_0x234772[0x1],_0x59eb06['queue'][_0x3136d4(0xd50)]=_0x234772[0x2],_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0x1c7f)]=_0x234772[0x3],_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0x173a)]=_0x234772[_0x3136d4(0x14cb)](0x4,_0x234772[_0x3136d4(0xfd0)])[_0x3136d4(0x1f66)](',');}break;case _0x3136d4(0x1f71):{const _0x54e734=_0x59eb06[_0x3136d4(0x11cf)]['appdata']['split'](',');_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0x18a5)]=isNaN(_0x54e734[0x0])?_0x54e734[0x0]:parseInt(_0x54e734[0x0],0xa),_0x59eb06['queue']['text']=_0x54e734['slice'](0x1,_0x54e734[_0x3136d4(0xfd0)])[_0x3136d4(0x1f66)](',');}break;case _0x3136d4(0x155e):_0x59eb06[_0x3136d4(0x11cf)]['text']=_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0x28df)];break;case _0x3136d4(0x19d1):_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0x16b6)]=_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0x28df)][_0x3136d4(0xbe1)]('=')[0x0],_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0x327)]=_0x59eb06['queue'][_0x3136d4(0x28df)][_0x3136d4(0xbe1)]('=')[0x1];break;case _0x3136d4(0x4b4):_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0x12a7)]=_0x59eb06[_0x3136d4(0x11cf)]['appdata'];break;default:{const _0x2148d1=_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0x28df)][_0x3136d4(0xbe1)](',');_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0x11cf)]=_0x39641b()[_0x3136d4(0xce9)](_0x2148d1[0x0])?_0x2148d1[0x0]:isNaN(_0x2148d1[0x0])?_0x2148d1[0x0]:parseInt(_0x2148d1[0x0],0xa),_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0x1719)]=_0x39641b()[_0x3136d4(0xce9)](_0x2148d1[0x1])?_0x2148d1[0x1]:isNaN(_0x2148d1[0x1])?_0x2148d1[0x1]:parseInt(_0x2148d1[0x1],0xa);}break;}else _0x59eb06[_0x3136d4(0x11cf)]['queue']=0x12c,_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0x1719)]=0x12c;_0x59eb06['queue'][_0x3136d4(0x66a)]&&_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0x66a)][_0x3136d4(0x1680)]()===_0x3136d4(0x895)&&_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0x299b)][_0x3136d4(0x1680)]()==='outbounddial'&&(_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0x586)]=_0x59eb06['queue']['phone']?_0x59eb06['queue'][_0x3136d4(0x1340)][_0x3136d4(0xbe1)]('$')[0x0]:undefined,_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0x1e7c)]=_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0x2816)]?_0x3136d4(0x25f9)+_0x59eb06[_0x3136d4(0x11cf)][_0x3136d4(0x2816)]:undefined);_0x59eb06[_0x3136d4(0x2728)]=_0x2ae0da,_0x59eb06[_0x3136d4(0xda0)]=_0x1c669b,_0x5b8b91[_0x3136d4(0x22b6)](_0x3136d4(0x1c60))?_0x4c3962['openchannelQueue'][_0x3136d4(0xbf7)]({'fields':_0x3136d4(0x1896),'sort':_0x3136d4(0x16b6),'nolimit':'true'})[_0x3136d4(0x1d77)][_0x3136d4(0x1cb0)](function(_0x5a053a){const _0x50e1ed=_0x3136d4;_0x59eb06[_0x50e1ed(0x971)]=_0x5a053a['rows']||[];})[_0x3136d4(0x1c4)](function(_0x2a0535){const _0x59326a=_0x3136d4;_0x2e5203[_0x59326a(0x218e)]({'title':_0x2a0535[_0x59326a(0x291)]?_0x59326a(0xeb9)+_0x2a0535[_0x59326a(0x291)]+'\x20-\x20'+_0x2a0535[_0x59326a(0xc22)]:_0x59326a(0x277c),'msg':_0x2a0535[_0x59326a(0x25c)]?JSON[_0x59326a(0x2701)](_0x2a0535[_0x59326a(0x25c)]):_0x2a0535[_0x59326a(0x147f)]()});}):_0x4c3962[_0x3136d4(0x3a9)]['get']({'fields':_0x3136d4(0x1896),'sort':_0x3136d4(0x16b6),'nolimit':_0x3136d4(0x44d)})[_0x3136d4(0x1d77)][_0x3136d4(0x1cb0)](function(_0x5f4703){const _0x31ef5c=_0x3136d4;_0x59eb06['queues']=_0x5f4703[_0x31ef5c(0x2214)]||[];})['then'](function(){const _0x18775a=_0x3136d4;return _0x4c3962['userProfileSection'][_0x18775a(0xbf7)]({'userProfileId':_0x59eb06[_0x18775a(0xe76)][_0x18775a(0x13c1)],'sectionId':0x321})['$promise'];})[_0x3136d4(0x1cb0)](function(_0x278a83){const _0x221643=_0x3136d4,_0x2c4eb2=_0x278a83&&_0x278a83[_0x221643(0x2214)]?_0x278a83[_0x221643(0x2214)][0x0]:null;if(!_0x2c4eb2){const _0x500863=[];let _0x9c96b7=null;_0x59eb06[_0x221643(0x11cf)]&&(_0x9c96b7=_0x39641b()[_0x221643(0x13b4)](_0x59eb06[_0x221643(0x971)],{'name':_0x59eb06[_0x221643(0x11cf)][_0x221643(0x11cf)]}));for(let _0x1ef300=0x0;_0x1ef300<_0x59eb06[_0x221643(0x971)][_0x221643(0xfd0)];_0x1ef300++){_0x9c96b7&&_0x59eb06[_0x221643(0x971)][_0x1ef300]['id']===_0x9c96b7['id']&&(_0x59eb06[_0x221643(0x971)][_0x1ef300][_0x221643(0x15da)]=![],_0x500863['push'](_0x59eb06['queues'][_0x1ef300]));}_0x59eb06[_0x221643(0x971)]=_0x500863;}else{if(!_0x2c4eb2[_0x221643(0x12f4)])return _0x4c3962[_0x221643(0x1198)][_0x221643(0xbf7)]({'sectionId':_0x2c4eb2['id']})['$promise'][_0x221643(0x1cb0)](function(_0x4eca25){const _0x4bb238=_0x221643,_0x3dcb4f=_0x39641b()[_0x4bb238(0x1de2)](_0x4eca25[_0x4bb238(0x2214)],function(_0xcd0011){const _0x2dfe5c=_0x4bb238;return _0x39641b()[_0x2dfe5c(0x13b4)](_0x59eb06[_0x2dfe5c(0x971)],{'id':_0xcd0011[_0x2dfe5c(0x2982)]});});let _0x48d94e=null;_0x59eb06[_0x4bb238(0x11cf)]&&(_0x48d94e=_0x39641b()[_0x4bb238(0x13b4)](_0x59eb06[_0x4bb238(0x971)],{'name':_0x59eb06['queue'][_0x4bb238(0x11cf)]}));if(_0x48d94e&&!_0x39641b()[_0x4bb238(0x727)](_0x3dcb4f,['id',_0x48d94e['id']])){const _0x35f0e6=_0x39641b()['find'](_0x59eb06[_0x4bb238(0x971)],{'id':_0x48d94e['id']});_0x35f0e6[_0x4bb238(0x15da)]=![],_0x3dcb4f[_0x4bb238(0x2785)](_0x35f0e6);}_0x59eb06[_0x4bb238(0x971)]=_0x3dcb4f;});}})[_0x3136d4(0x1c4)](function(_0x2c810d){const _0x4bb600=_0x3136d4;_0x2e5203[_0x4bb600(0x218e)]({'title':_0x2c810d[_0x4bb600(0x291)]?'API:'+_0x2c810d[_0x4bb600(0x291)]+'\x20-\x20'+_0x2c810d[_0x4bb600(0xc22)]:'SYSTEM:GETqueues','msg':_0x2c810d[_0x4bb600(0x25c)]?JSON['stringify'](_0x2c810d[_0x4bb600(0x25c)]):_0x2c810d[_0x4bb600(0x147f)]()});});function _0x2ae0da(){const _0x2c96a9=_0x3136d4;_0x59eb06[_0x2c96a9(0x1a7c)]=[];const _0x5aa6d1=[];_0x59eb06[_0x2c96a9(0x11cf)][_0x2c96a9(0x66a)]&&_0x59eb06[_0x2c96a9(0x11cf)][_0x2c96a9(0x66a)]['toLowerCase']()===_0x2c96a9(0x895)&&_0x59eb06[_0x2c96a9(0x11cf)]['appType']===_0x2c96a9(0x25f4)&&(_0x59eb06[_0x2c96a9(0x11cf)][_0x2c96a9(0x1340)]=_0x5e6c71[_0x2c96a9(0x325)]?(_0x59eb06[_0x2c96a9(0x11cf)][_0x2c96a9(0x586)]||'')+_0x2c96a9(0x19e4)+_0x5e6c71[_0x2c96a9(0x325)]+'}':(_0x59eb06[_0x2c96a9(0x11cf)]['prefix']||'')+'${EXTEN}',_0x5e6c71[_0x2c96a9(0xf8d)]!==_0x2c96a9(0x13b1)?_0x59eb06[_0x2c96a9(0x11cf)][_0x2c96a9(0x2224)][_0x2c96a9(0xd8a)](_0x2c96a9(0x106a))<0x0&&(_0x59eb06[_0x2c96a9(0x11cf)][_0x2c96a9(0x2224)]+='U(xcally-mixmonitor-context)'):_0x59eb06[_0x2c96a9(0x11cf)]['options']=_0x59eb06[_0x2c96a9(0x11cf)][_0x2c96a9(0x2224)][_0x2c96a9(0x288f)](_0x2c96a9(0x106a),''));const _0x56937d=_0x39641b()['find'](_0x59eb06[_0x2c96a9(0x971)],{'name':_0x59eb06[_0x2c96a9(0x11cf)]['queue']});_0x56937d&&(_0x59eb06[_0x2c96a9(0x11cf)][_0x39641b()['capitalize'](_0x2c96a9(0x246d))+'QueueId']=_0x56937d['id']);if(_0x59eb06[_0x2c96a9(0x11cf)]['appType']&&_0x59eb06[_0x2c96a9(0x11cf)][_0x2c96a9(0x299b)]===_0x2c96a9(0x197c)){}else switch((_0x59eb06[_0x2c96a9(0x11cf)][_0x2c96a9(0x22e1)]||_0x59eb06[_0x2c96a9(0x11cf)]['appType'])['toLowerCase']()){case'set':_0x59eb06[_0x2c96a9(0x11cf)][_0x2c96a9(0x28df)]=_0x59eb06[_0x2c96a9(0x11cf)]['name']+'='+_0x59eb06[_0x2c96a9(0x11cf)][_0x2c96a9(0x327)];break;case'custom':break;default:_0x5aa6d1[0x0]=_0x59eb06['queue'][_0x2c96a9(0x11cf)],_0x5aa6d1[0x1]=_0x59eb06[_0x2c96a9(0x11cf)][_0x2c96a9(0x1719)],_0x59eb06[_0x2c96a9(0x11cf)]['appdata']=_0x5aa6d1[_0x2c96a9(0x1f66)](',');}_0x1c669b(_0x59eb06[_0x2c96a9(0x11cf)]);}function _0x1c669b(_0x188ed2){_0x3dcd56['hide'](_0x188ed2);}}const _0x14cbca=_0x5d1bc2;;_0x44bf86[_0x5537c6(0x15b6)]=['$mdDialog','$q',_0x5537c6(0x9bf),'openchannelAccountApp',_0x5537c6(0x80e),'api','Auth',_0x5537c6(0x1b1a)];function _0x44bf86(_0x2a757f,_0x571f97,_0x18f16e,_0x15b94b,_0x419cca,_0x1951be,_0x340c04,_0x9c7678){const _0x1d8fda=_0x5537c6,_0x3e5abe=this;_0x3e5abe[_0x1d8fda(0xe76)]=_0x340c04[_0x1d8fda(0x21e8)](),_0x3e5abe[_0x1d8fda(0x1a7c)]=[],_0x3e5abe[_0x1d8fda(0x1386)]='OPENCHANNEL.EDIT_'+(_0x15b94b['appType']||_0x15b94b[_0x1d8fda(0x22e1)])[_0x1d8fda(0x2335)](),_0x3e5abe['system']=angular[_0x1d8fda(0x17fe)](_0x15b94b),_0x3e5abe[_0x1d8fda(0x1b1a)]=_0x9c7678,_0x3e5abe[_0x1d8fda(0xf4c)]={};if(_0x3e5abe[_0x1d8fda(0x7be)][_0x1d8fda(0x28df)])switch(_0x3e5abe[_0x1d8fda(0x7be)][_0x1d8fda(0x299b)]?_0x3e5abe[_0x1d8fda(0x7be)][_0x1d8fda(0x299b)]['toLowerCase']():_0x3e5abe['system'][_0x1d8fda(0x22e1)][_0x1d8fda(0x1680)]()){case _0x1d8fda(0x197c):break;case _0x1d8fda(0x711):{const _0x5ba335=_0x3e5abe['system']['appdata'][_0x1d8fda(0xbe1)](',');_0x3e5abe[_0x1d8fda(0x7be)]['key']=_0x5ba335[0x0],_0x3e5abe[_0x1d8fda(0x7be)]['language']=_0x5ba335[0x1],_0x3e5abe[_0x1d8fda(0x7be)][_0x1d8fda(0x173a)]=_0x5ba335[_0x1d8fda(0x14cb)](0x2,_0x5ba335[_0x1d8fda(0xfd0)])[_0x1d8fda(0x1f66)](',');}break;case _0x1d8fda(0xece):{const _0x41ca8f=_0x3e5abe[_0x1d8fda(0x7be)][_0x1d8fda(0x28df)][_0x1d8fda(0xbe1)](',');_0x3e5abe[_0x1d8fda(0x7be)]['projectId']=_0x41ca8f[0x0],_0x3e5abe[_0x1d8fda(0x7be)]['clientEmail']=_0x41ca8f[0x1],_0x3e5abe[_0x1d8fda(0x7be)][_0x1d8fda(0x29d6)]=_0x41ca8f[0x2],_0x3e5abe[_0x1d8fda(0x7be)][_0x1d8fda(0x12b4)]=_0x41ca8f[0x3],_0x3e5abe[_0x1d8fda(0x7be)][_0x1d8fda(0x173a)]=_0x41ca8f[_0x1d8fda(0x14cb)](0x4,_0x41ca8f['length'])[_0x1d8fda(0x1f66)](',');}break;case _0x1d8fda(0x123a):{const _0x2933b8=_0x3e5abe[_0x1d8fda(0x7be)][_0x1d8fda(0x28df)]['split'](',');_0x3e5abe[_0x1d8fda(0x7be)]['accesskeyid']=_0x2933b8[0x0],_0x3e5abe[_0x1d8fda(0x7be)]['secretaccesskey']=_0x2933b8[0x1],_0x3e5abe[_0x1d8fda(0x7be)]['lexregion']=_0x2933b8[0x2],_0x3e5abe[_0x1d8fda(0x7be)][_0x1d8fda(0x1c7f)]=_0x2933b8[0x3],_0x3e5abe[_0x1d8fda(0x7be)][_0x1d8fda(0x173a)]=_0x2933b8['slice'](0x4,_0x2933b8[_0x1d8fda(0xfd0)])[_0x1d8fda(0x1f66)](',');}break;case _0x1d8fda(0x1f71):{const _0x14245d=_0x3e5abe[_0x1d8fda(0x7be)]['appdata'][_0x1d8fda(0xbe1)](',');_0x3e5abe[_0x1d8fda(0x7be)][_0x1d8fda(0x18a5)]=isNaN(_0x14245d[0x0])?_0x14245d[0x0]:parseInt(_0x14245d[0x0],0xa),_0x3e5abe['system'][_0x1d8fda(0x19d3)]=_0x14245d[_0x1d8fda(0x14cb)](0x1,_0x14245d[_0x1d8fda(0xfd0)])[_0x1d8fda(0x1f66)](',');}break;case _0x1d8fda(0x155e):_0x3e5abe[_0x1d8fda(0x7be)][_0x1d8fda(0x19d3)]=_0x3e5abe[_0x1d8fda(0x7be)][_0x1d8fda(0x28df)];break;case _0x1d8fda(0x19d1):_0x3e5abe[_0x1d8fda(0x7be)][_0x1d8fda(0x16b6)]=_0x3e5abe[_0x1d8fda(0x7be)][_0x1d8fda(0x28df)][_0x1d8fda(0xbe1)]('=')[0x0],_0x3e5abe['system'][_0x1d8fda(0x327)]=_0x3e5abe[_0x1d8fda(0x7be)][_0x1d8fda(0x28df)][_0x1d8fda(0xbe1)]('=')[0x1];break;case _0x1d8fda(0x4b4):_0x3e5abe[_0x1d8fda(0x7be)]['project']=_0x3e5abe[_0x1d8fda(0x7be)][_0x1d8fda(0x28df)];break;default:{const _0x4abf66=_0x3e5abe[_0x1d8fda(0x7be)][_0x1d8fda(0x28df)][_0x1d8fda(0xbe1)](',');_0x3e5abe[_0x1d8fda(0x7be)]['command']=_0x39641b()[_0x1d8fda(0xce9)](_0x4abf66[0x0])?_0x4abf66[0x0]:isNaN(_0x4abf66[0x0])?_0x4abf66[0x0]:parseInt(_0x4abf66[0x0],0xa),_0x3e5abe[_0x1d8fda(0x7be)][_0x1d8fda(0x1822)]=_0x39641b()['isEmpty'](_0x4abf66[0x1])?_0x4abf66[0x1]:isNaN(_0x4abf66[0x1])?_0x4abf66[0x1]:parseInt(_0x4abf66[0x1],0xa);}break;}else _0x3e5abe[_0x1d8fda(0x7be)][_0x1d8fda(0x1822)]='';_0x3e5abe[_0x1d8fda(0x7be)][_0x1d8fda(0x66a)]&&_0x3e5abe['system'][_0x1d8fda(0x66a)][_0x1d8fda(0x1680)]()===_0x1d8fda(0x895)&&_0x3e5abe[_0x1d8fda(0x7be)][_0x1d8fda(0x299b)][_0x1d8fda(0x1680)]()==='outbounddial'&&(_0x3e5abe[_0x1d8fda(0x7be)][_0x1d8fda(0x586)]=_0x3e5abe[_0x1d8fda(0x7be)][_0x1d8fda(0x1340)]?_0x3e5abe[_0x1d8fda(0x7be)][_0x1d8fda(0x1340)][_0x1d8fda(0xbe1)]('$')[0x0]:undefined,_0x3e5abe['system'][_0x1d8fda(0x1e7c)]=_0x3e5abe[_0x1d8fda(0x7be)]['callerID']?'CALLERID(all)='+_0x3e5abe[_0x1d8fda(0x7be)][_0x1d8fda(0x2816)]:undefined);_0x3e5abe[_0x1d8fda(0x2728)]=_0x215c65,_0x3e5abe[_0x1d8fda(0xda0)]=_0x5964f9,_0x340c04[_0x1d8fda(0x22b6)]('admin')?_0x1951be['variable'][_0x1d8fda(0xbf7)]({'fields':'id,name','sort':_0x1d8fda(0x16b6),'nolimit':_0x1d8fda(0x44d)})[_0x1d8fda(0x1d77)][_0x1d8fda(0x1cb0)](function(_0x16ff31){const _0x7996a8=_0x1d8fda;_0x3e5abe[_0x7996a8(0x9a9)]=_0x16ff31[_0x7996a8(0x2214)]||[];})['catch'](function(_0x133617){const _0x8eae1c=_0x1d8fda;_0x18f16e[_0x8eae1c(0x218e)]({'title':_0x133617['status']?'API:'+_0x133617[_0x8eae1c(0x291)]+_0x8eae1c(0x1657)+_0x133617['statusText']:'SYSTEM:GET_VARIABLES','msg':_0x133617[_0x8eae1c(0x25c)]?JSON[_0x8eae1c(0x2701)](_0x133617[_0x8eae1c(0x25c)]):_0x133617[_0x8eae1c(0x147f)]()});}):_0x1951be['variable'][_0x1d8fda(0xbf7)]({'fields':_0x1d8fda(0x43c),'sort':_0x1d8fda(0x16b6),'nolimit':_0x1d8fda(0x44d)})[_0x1d8fda(0x1d77)][_0x1d8fda(0x1cb0)](function(_0x579966){const _0x10347c=_0x1d8fda;_0x3e5abe[_0x10347c(0x9a9)]=_0x579966['rows']||[];})[_0x1d8fda(0x1cb0)](function(){const _0x330936=_0x1d8fda;return _0x1951be[_0x330936(0x2199)][_0x330936(0xbf7)]({'userProfileId':_0x3e5abe[_0x330936(0xe76)][_0x330936(0x13c1)],'sectionId':0x3f4})[_0x330936(0x1d77)];})[_0x1d8fda(0x1cb0)](function(_0x554c65){const _0x228f54=_0x1d8fda,_0xe7111b=_0x554c65&&_0x554c65[_0x228f54(0x2214)]?_0x554c65[_0x228f54(0x2214)][0x0]:null;if(!_0xe7111b){const _0x3e5a76=[];let _0x5ae11d=null;_0x3e5abe['system']&&(_0x5ae11d=_0x39641b()['find'](_0x3e5abe['variables'],{'name':_0x3e5abe['system'][_0x228f54(0x1822)]}));for(let _0x1b520b=0x0;_0x1b520b<_0x3e5abe[_0x228f54(0x9a9)][_0x228f54(0xfd0)];_0x1b520b++){_0x5ae11d&&_0x3e5abe[_0x228f54(0x9a9)][_0x1b520b]['id']===_0x5ae11d['id']&&(_0x3e5abe[_0x228f54(0x9a9)][_0x1b520b][_0x228f54(0x15da)]=![],_0x3e5a76[_0x228f54(0x2785)](_0x3e5abe[_0x228f54(0x9a9)][_0x1b520b]));}_0x3e5abe[_0x228f54(0x9a9)]=_0x3e5a76;}else{if(!_0xe7111b[_0x228f54(0x12f4)])return _0x1951be['userProfileResource'][_0x228f54(0xbf7)]({'sectionId':_0xe7111b['id']})[_0x228f54(0x1d77)]['then'](function(_0x42a52d){const _0x1d3762=_0x228f54,_0x150440=_0x39641b()[_0x1d3762(0x1de2)](_0x42a52d[_0x1d3762(0x2214)],function(_0x272cc0){const _0x21cca2=_0x1d3762;return _0x39641b()[_0x21cca2(0x13b4)](_0x3e5abe[_0x21cca2(0x9a9)],{'id':_0x272cc0[_0x21cca2(0x2982)]});});let _0x1b7eaa=null;_0x3e5abe[_0x1d3762(0x7be)]&&(_0x1b7eaa=_0x39641b()[_0x1d3762(0x13b4)](_0x3e5abe[_0x1d3762(0x9a9)],{'name':_0x3e5abe[_0x1d3762(0x7be)][_0x1d3762(0x1822)]}));if(_0x1b7eaa&&!_0x39641b()['some'](_0x150440,['id',_0x1b7eaa['id']])){const _0x2186e2=_0x39641b()[_0x1d3762(0x13b4)](_0x3e5abe['variables'],{'id':_0x1b7eaa['id']});_0x2186e2[_0x1d3762(0x15da)]=![],_0x150440[_0x1d3762(0x2785)](_0x2186e2);}_0x3e5abe[_0x1d3762(0x9a9)]=_0x150440;});}})['catch'](function(_0x4cad45){const _0x564cc7=_0x1d8fda;_0x18f16e[_0x564cc7(0x218e)]({'title':_0x4cad45[_0x564cc7(0x291)]?_0x564cc7(0xeb9)+_0x4cad45['status']+_0x564cc7(0x1657)+_0x4cad45[_0x564cc7(0xc22)]:_0x564cc7(0xda5),'msg':_0x4cad45[_0x564cc7(0x25c)]?JSON[_0x564cc7(0x2701)](_0x4cad45[_0x564cc7(0x25c)]):_0x4cad45[_0x564cc7(0x147f)]()});});function _0x215c65(){const _0x41626d=_0x1d8fda;_0x3e5abe['errors']=[];const _0x5e9250=[];_0x3e5abe[_0x41626d(0x7be)][_0x41626d(0x66a)]&&_0x3e5abe[_0x41626d(0x7be)][_0x41626d(0x66a)]['toLowerCase']()===_0x41626d(0x895)&&_0x3e5abe['system']['appType']===_0x41626d(0x25f4)&&(_0x3e5abe[_0x41626d(0x7be)][_0x41626d(0x1340)]=_0x419cca[_0x41626d(0x325)]?(_0x3e5abe[_0x41626d(0x7be)][_0x41626d(0x586)]||'')+_0x41626d(0x19e4)+_0x419cca[_0x41626d(0x325)]+'}':(_0x3e5abe[_0x41626d(0x7be)][_0x41626d(0x586)]||'')+'${EXTEN}',_0x419cca[_0x41626d(0xf8d)]!==_0x41626d(0x13b1)?_0x3e5abe[_0x41626d(0x7be)][_0x41626d(0x2224)][_0x41626d(0xd8a)](_0x41626d(0x106a))<0x0&&(_0x3e5abe[_0x41626d(0x7be)][_0x41626d(0x2224)]+=_0x41626d(0x106a)):_0x3e5abe[_0x41626d(0x7be)][_0x41626d(0x2224)]=_0x3e5abe['system'][_0x41626d(0x2224)][_0x41626d(0x288f)](_0x41626d(0x106a),''));if(_0x3e5abe['system'][_0x41626d(0x299b)]&&_0x3e5abe['system'][_0x41626d(0x299b)]==='custom'){}else switch((_0x3e5abe[_0x41626d(0x7be)][_0x41626d(0x22e1)]||_0x3e5abe['system']['appType'])[_0x41626d(0x1680)]()){case _0x41626d(0x19d1):_0x3e5abe[_0x41626d(0x7be)][_0x41626d(0x28df)]=_0x3e5abe['system'][_0x41626d(0x16b6)]+'='+_0x3e5abe[_0x41626d(0x7be)][_0x41626d(0x327)];break;case _0x41626d(0x197c):break;default:_0x5e9250[0x0]=_0x3e5abe[_0x41626d(0x7be)][_0x41626d(0xd0d)],_0x5e9250[0x1]=_0x3e5abe['system'][_0x41626d(0x1822)],_0x3e5abe[_0x41626d(0x7be)][_0x41626d(0x28df)]=_0x5e9250[_0x41626d(0x1f66)](',');}_0x5964f9(_0x3e5abe['system']);}function _0x5964f9(_0x1d78de){const _0x3927d5=_0x1d8fda;_0x2a757f[_0x3927d5(0x1426)](_0x1d78de);}}const _0x3a94b7=_0x44bf86;;_0x1bc48f['$inject']=['$mdDialog','$q','toasty',_0x5537c6(0x23ad),_0x5537c6(0x80e),'api','Auth',_0x5537c6(0x1b1a)];function _0x1bc48f(_0x13f8e7,_0x138c11,_0x269b11,_0x1a5592,_0x5c801a,_0x2e9341,_0x7aeec5,_0x448225){const _0xcfee22=_0x5537c6,_0x19bd45=this;_0x19bd45[_0xcfee22(0xe76)]=_0x7aeec5[_0xcfee22(0x21e8)](),_0x19bd45[_0xcfee22(0x1a7c)]=[],_0x19bd45[_0xcfee22(0x1386)]=_0xcfee22(0x17c7)+(_0x1a5592[_0xcfee22(0x299b)]||_0x1a5592[_0xcfee22(0x22e1)])[_0xcfee22(0x2335)](),_0x19bd45[_0xcfee22(0x22b1)]=angular[_0xcfee22(0x17fe)](_0x1a5592),_0x19bd45['crudPermissions']=_0x448225,_0x19bd45[_0xcfee22(0xf4c)]={};if(_0x19bd45[_0xcfee22(0x22b1)][_0xcfee22(0x28df)])switch(_0x19bd45[_0xcfee22(0x22b1)][_0xcfee22(0x299b)]?_0x19bd45['tag'][_0xcfee22(0x299b)][_0xcfee22(0x1680)]():_0x19bd45['tag'][_0xcfee22(0x22e1)][_0xcfee22(0x1680)]()){case'custom':break;case'dialogflow':{const _0x4f6813=_0x19bd45[_0xcfee22(0x22b1)][_0xcfee22(0x28df)][_0xcfee22(0xbe1)](',');_0x19bd45['tag'][_0xcfee22(0xee8)]=_0x4f6813[0x0],_0x19bd45[_0xcfee22(0x22b1)][_0xcfee22(0x12b4)]=_0x4f6813[0x1],_0x19bd45['tag'][_0xcfee22(0x173a)]=_0x4f6813[_0xcfee22(0x14cb)](0x2,_0x4f6813[_0xcfee22(0xfd0)])[_0xcfee22(0x1f66)](',');}break;case'dialogflowv2':{const _0x3de4df=_0x19bd45[_0xcfee22(0x22b1)]['appdata'][_0xcfee22(0xbe1)](',');_0x19bd45[_0xcfee22(0x22b1)][_0xcfee22(0x2854)]=_0x3de4df[0x0],_0x19bd45['tag']['clientEmail']=_0x3de4df[0x1],_0x19bd45['tag'][_0xcfee22(0x29d6)]=_0x3de4df[0x2],_0x19bd45[_0xcfee22(0x22b1)][_0xcfee22(0x12b4)]=_0x3de4df[0x3],_0x19bd45['tag'][_0xcfee22(0x173a)]=_0x3de4df['slice'](0x4,_0x3de4df[_0xcfee22(0xfd0)])['join'](',');}break;case _0xcfee22(0x123a):{const _0x291124=_0x19bd45['tag']['appdata'][_0xcfee22(0xbe1)](',');_0x19bd45[_0xcfee22(0x22b1)][_0xcfee22(0x413)]=_0x291124[0x0],_0x19bd45[_0xcfee22(0x22b1)][_0xcfee22(0x21d9)]=_0x291124[0x1],_0x19bd45[_0xcfee22(0x22b1)][_0xcfee22(0xd50)]=_0x291124[0x2],_0x19bd45[_0xcfee22(0x22b1)]['botname']=_0x291124[0x3],_0x19bd45[_0xcfee22(0x22b1)]['welcomemessage']=_0x291124['slice'](0x4,_0x291124[_0xcfee22(0xfd0)])[_0xcfee22(0x1f66)](',');}break;case _0xcfee22(0x1f71):{const _0x509aae=_0x19bd45[_0xcfee22(0x22b1)][_0xcfee22(0x28df)][_0xcfee22(0xbe1)](',');_0x19bd45[_0xcfee22(0x22b1)][_0xcfee22(0x18a5)]=isNaN(_0x509aae[0x0])?_0x509aae[0x0]:parseInt(_0x509aae[0x0],0xa),_0x19bd45[_0xcfee22(0x22b1)][_0xcfee22(0x19d3)]=_0x509aae[_0xcfee22(0x14cb)](0x1,_0x509aae[_0xcfee22(0xfd0)])[_0xcfee22(0x1f66)](',');}break;case _0xcfee22(0x155e):_0x19bd45[_0xcfee22(0x22b1)][_0xcfee22(0x19d3)]=_0x19bd45[_0xcfee22(0x22b1)][_0xcfee22(0x28df)];break;case'set':_0x19bd45[_0xcfee22(0x22b1)][_0xcfee22(0x16b6)]=_0x19bd45[_0xcfee22(0x22b1)][_0xcfee22(0x28df)]['split']('=')[0x0],_0x19bd45['tag'][_0xcfee22(0x327)]=_0x19bd45[_0xcfee22(0x22b1)][_0xcfee22(0x28df)][_0xcfee22(0xbe1)]('=')[0x1];break;case _0xcfee22(0x4b4):_0x19bd45['tag'][_0xcfee22(0x12a7)]=_0x19bd45['tag']['appdata'];break;default:{const _0x1356a3=_0x19bd45[_0xcfee22(0x22b1)][_0xcfee22(0x28df)][_0xcfee22(0xbe1)](',');_0x19bd45[_0xcfee22(0x22b1)]['tag']=_0x39641b()[_0xcfee22(0xce9)](_0x1356a3[0x0])?_0x1356a3[0x0]:isNaN(_0x1356a3[0x0])?_0x1356a3[0x0]:parseInt(_0x1356a3[0x0],0xa);}break;}else{}_0x19bd45[_0xcfee22(0x22b1)][_0xcfee22(0x66a)]&&_0x19bd45[_0xcfee22(0x22b1)][_0xcfee22(0x66a)][_0xcfee22(0x1680)]()==='outbound'&&_0x19bd45[_0xcfee22(0x22b1)][_0xcfee22(0x299b)][_0xcfee22(0x1680)]()===_0xcfee22(0x20ff)&&(_0x19bd45[_0xcfee22(0x22b1)][_0xcfee22(0x586)]=_0x19bd45[_0xcfee22(0x22b1)][_0xcfee22(0x1340)]?_0x19bd45[_0xcfee22(0x22b1)][_0xcfee22(0x1340)][_0xcfee22(0xbe1)]('$')[0x0]:undefined,_0x19bd45[_0xcfee22(0x22b1)]['callerId']=_0x19bd45[_0xcfee22(0x22b1)][_0xcfee22(0x2816)]?'CALLERID(all)='+_0x19bd45[_0xcfee22(0x22b1)][_0xcfee22(0x2816)]:undefined);_0x19bd45[_0xcfee22(0x2728)]=_0xec49e6,_0x19bd45[_0xcfee22(0xda0)]=_0x2bc66f,_0x7aeec5['hasRole']('admin')?_0x2e9341[_0xcfee22(0x22b1)][_0xcfee22(0xbf7)]({'fields':'id,name','sort':_0xcfee22(0x16b6),'nolimit':_0xcfee22(0x44d)})['$promise'][_0xcfee22(0x1cb0)](function(_0x1027bb){const _0x2b2c05=_0xcfee22;_0x19bd45[_0x2b2c05(0xfbf)]=_0x1027bb['rows']||[];})['catch'](function(_0x5c6781){const _0x897252=_0xcfee22;_0x269b11[_0x897252(0x218e)]({'title':_0x5c6781[_0x897252(0x291)]?_0x897252(0xeb9)+_0x5c6781[_0x897252(0x291)]+_0x897252(0x1657)+_0x5c6781['statusText']:_0x897252(0x12f9),'msg':_0x5c6781[_0x897252(0x25c)]?JSON[_0x897252(0x2701)](_0x5c6781[_0x897252(0x25c)]):_0x5c6781[_0x897252(0x147f)]()});}):_0x2e9341[_0xcfee22(0x22b1)][_0xcfee22(0xbf7)]({'fields':_0xcfee22(0x43c),'sort':'name','nolimit':_0xcfee22(0x44d)})[_0xcfee22(0x1d77)][_0xcfee22(0x1cb0)](function(_0x567475){const _0x18af05=_0xcfee22;_0x19bd45['tags']=_0x567475[_0x18af05(0x2214)]||[];})[_0xcfee22(0x1cb0)](function(){const _0x24bd93=_0xcfee22;return _0x2e9341['userProfileSection'][_0x24bd93(0xbf7)]({'userProfileId':_0x19bd45[_0x24bd93(0xe76)][_0x24bd93(0x13c1)],'sectionId':0x3f0})[_0x24bd93(0x1d77)];})[_0xcfee22(0x1cb0)](function(_0x186eae){const _0xa2a74b=_0xcfee22,_0x323c03=_0x186eae&&_0x186eae[_0xa2a74b(0x2214)]?_0x186eae[_0xa2a74b(0x2214)][0x0]:null;if(!_0x323c03){const _0x39a01f=[];let _0x18c36b=null;_0x19bd45['tag']&&(_0x18c36b=_0x39641b()['find'](_0x19bd45['tags'],{'name':_0x19bd45[_0xa2a74b(0x22b1)][_0xa2a74b(0x22b1)]}));for(let _0x5917ee=0x0;_0x5917ee<_0x19bd45[_0xa2a74b(0xfbf)]['length'];_0x5917ee++){_0x18c36b&&_0x19bd45[_0xa2a74b(0xfbf)][_0x5917ee]['id']===_0x18c36b['id']&&(_0x19bd45[_0xa2a74b(0xfbf)][_0x5917ee][_0xa2a74b(0x15da)]=![],_0x39a01f[_0xa2a74b(0x2785)](_0x19bd45[_0xa2a74b(0xfbf)][_0x5917ee]));}_0x19bd45[_0xa2a74b(0xfbf)]=_0x39a01f;}else{if(!_0x323c03[_0xa2a74b(0x12f4)])return _0x2e9341[_0xa2a74b(0x1198)][_0xa2a74b(0xbf7)]({'sectionId':_0x323c03['id']})['$promise'][_0xa2a74b(0x1cb0)](function(_0xb982c1){const _0xaff07f=_0xa2a74b,_0x528c4f=_0x39641b()[_0xaff07f(0x1de2)](_0xb982c1[_0xaff07f(0x2214)],function(_0x4b06d0){const _0x5f254d=_0xaff07f;return _0x39641b()['find'](_0x19bd45[_0x5f254d(0xfbf)],{'id':_0x4b06d0['resourceId']});});let _0x5971c2=null;_0x19bd45[_0xaff07f(0x22b1)]&&(_0x5971c2=_0x39641b()[_0xaff07f(0x13b4)](_0x19bd45['tags'],{'name':_0x19bd45[_0xaff07f(0x22b1)]['tag']}));if(_0x5971c2&&!_0x39641b()['some'](_0x528c4f,['id',_0x5971c2['id']])){const _0x1d81dc=_0x39641b()[_0xaff07f(0x13b4)](_0x19bd45[_0xaff07f(0xfbf)],{'id':_0x5971c2['id']});_0x1d81dc['canSelect']=![],_0x528c4f[_0xaff07f(0x2785)](_0x1d81dc);}_0x19bd45[_0xaff07f(0xfbf)]=_0x528c4f;});}})[_0xcfee22(0x1c4)](function(_0x2e8e6f){const _0x1dd7ca=_0xcfee22;_0x269b11[_0x1dd7ca(0x218e)]({'title':_0x2e8e6f[_0x1dd7ca(0x291)]?'API:'+_0x2e8e6f[_0x1dd7ca(0x291)]+_0x1dd7ca(0x1657)+_0x2e8e6f[_0x1dd7ca(0xc22)]:_0x1dd7ca(0x808),'msg':_0x2e8e6f[_0x1dd7ca(0x25c)]?JSON['stringify'](_0x2e8e6f[_0x1dd7ca(0x25c)]):_0x2e8e6f[_0x1dd7ca(0x147f)]()});});function _0xec49e6(){const _0x313df7=_0xcfee22;_0x19bd45['errors']=[];const _0x290f4a=[];_0x19bd45['tag'][_0x313df7(0x66a)]&&_0x19bd45[_0x313df7(0x22b1)]['type'][_0x313df7(0x1680)]()==='outbound'&&_0x19bd45[_0x313df7(0x22b1)][_0x313df7(0x299b)]==='outboundDial'&&(_0x19bd45['tag'][_0x313df7(0x1340)]=_0x5c801a['cutdigits']?(_0x19bd45['tag'][_0x313df7(0x586)]||'')+'${EXTEN:'+_0x5c801a[_0x313df7(0x325)]+'}':(_0x19bd45[_0x313df7(0x22b1)][_0x313df7(0x586)]||'')+_0x313df7(0xcdd),_0x5c801a[_0x313df7(0xf8d)]!=='none'?_0x19bd45[_0x313df7(0x22b1)]['options'][_0x313df7(0xd8a)](_0x313df7(0x106a))<0x0&&(_0x19bd45[_0x313df7(0x22b1)][_0x313df7(0x2224)]+='U(xcally-mixmonitor-context)'):_0x19bd45[_0x313df7(0x22b1)][_0x313df7(0x2224)]=_0x19bd45[_0x313df7(0x22b1)][_0x313df7(0x2224)][_0x313df7(0x288f)](_0x313df7(0x106a),''));const _0x56fa50=_0x39641b()[_0x313df7(0x13b4)](_0x19bd45[_0x313df7(0xfbf)],{'name':_0x19bd45['tag']['tag']});_0x56fa50&&(_0x19bd45[_0x313df7(0x22b1)][_0x313df7(0x1754)]=_0x56fa50['id']);if(_0x19bd45[_0x313df7(0x22b1)][_0x313df7(0x299b)]&&_0x19bd45[_0x313df7(0x22b1)][_0x313df7(0x299b)]===_0x313df7(0x197c)){}else switch((_0x19bd45[_0x313df7(0x22b1)][_0x313df7(0x22e1)]||_0x19bd45[_0x313df7(0x22b1)]['appType'])['toLowerCase']()){case _0x313df7(0x19d1):_0x19bd45[_0x313df7(0x22b1)][_0x313df7(0x28df)]=_0x19bd45[_0x313df7(0x22b1)][_0x313df7(0x16b6)]+'='+_0x19bd45[_0x313df7(0x22b1)]['value'];break;case _0x313df7(0x197c):break;default:_0x290f4a[0x0]=_0x19bd45[_0x313df7(0x22b1)][_0x313df7(0x22b1)],_0x19bd45[_0x313df7(0x22b1)][_0x313df7(0x28df)]=_0x290f4a['join'](',');}_0x2bc66f(_0x19bd45[_0x313df7(0x22b1)]);}function _0x2bc66f(_0x3922ba){_0x13f8e7['hide'](_0x3922ba);}}const _0x5e67b7=_0x1bc48f;;_0x58fd4f[_0x5537c6(0x15b6)]=['$cookies',_0x5537c6(0x1463),_0x5537c6(0x406),'$q',_0x5537c6(0x1ae),_0x5537c6(0x2168),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x9bf),_0x5537c6(0x142b),'Auth'];function _0x58fd4f(_0x3c50dc,_0x360d48,_0x2ec818,_0x32d8aa,_0x28aa39,_0x4f7e9b,_0x1f1ff1,_0x3dd6e4,_0x1bfb76,_0x344aef,_0x234ff5){const _0xe26231=_0x5537c6,_0x52c428=this;_0x52c428[_0xe26231(0xe76)]=_0x234ff5['getCurrentUser'](),_0x52c428[_0xe26231(0x80e)]={},_0x52c428['openchannelAccountInteractions']={'count':0x0,'rows':[]},_0x52c428[_0xe26231(0x18de)]=[],_0x52c428[_0xe26231(0x1b1a)],_0x52c428['query']={'read':_0xe26231(0xd38),'closed':_0xe26231(0xd38),'sort':'-createdAt','includeAll':_0xe26231(0x44d),'limit':0xa,'page':0x1},_0x52c428[_0xe26231(0x1a8e)]=_0x2af0f0,_0x52c428['deleteConfirm']=_0x45a001,_0x52c428['openchannelInteractionDownload']=_0x53d9fe,_0x52c428['success']=_0xb43f1b,_0x52c428[_0xe26231(0x8b6)]=_0x5db09c,_0x52c428['spyopenchannelInteraction']=_0x46dc25,_0x52c428[_0xe26231(0x1ede)]=_0xd3496f,_0x52c428[_0xe26231(0x20f7)]=_0x5f37dd,_0x52c428[_0xe26231(0x7a5)]=_0x2f3914,_0x52c428[_0xe26231(0x7ad)]=_0x208317;function _0x2af0f0(_0x3498e1,_0x589907){const _0x3574c3=_0xe26231;_0x52c428[_0x3574c3(0x80e)]=_0x3498e1,_0x52c428['crudPermissions']=typeof _0x589907!==_0x3574c3(0x16b5)?_0x589907:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x52c428[_0x3574c3(0xae2)][_0x3574c3(0x1d1e)]=_0x52c428['openchannelAccount']['id'],_0x52c428[_0x3574c3(0x16ad)]={'fields':_0x41a46b()},_0x3886cf();}function _0x3886cf(){const _0x2a9058=_0xe26231;return _0x344aef[_0x2a9058(0x22b1)][_0x2a9058(0xbf7)]()[_0x2a9058(0x1d77)][_0x2a9058(0x1cb0)](function(_0x45e5e2){_0x52c428['tags']=_0x45e5e2||{'count':0x0,'rows':[]};})[_0x2a9058(0x1cb0)](function(){const _0xa4592c=_0x2a9058;_0x52c428[_0xa4592c(0x789)]=_0x564b7c();});}function _0x564b7c(){const _0xd6d895=_0xe26231;return[{'name':_0xd6d895(0x68d),'key':_0xd6d895(0x24cb),'type':'date','label':_0xd6d895(0xf5e)},{'name':_0xd6d895(0x223c),'key':_0xd6d895(0xfc1),'type':_0xd6d895(0x220f),'label':_0xd6d895(0x9e5),'customOptions':[{'value':0x0,'translate':'DASHBOARDS.UNREAD'},{'value':0x1,'translate':_0xd6d895(0x27a4)},{'value':null,'translate':'DASHBOARDS.ALL'}]},{'name':_0xd6d895(0x938),'key':_0xd6d895(0x22aa),'type':_0xd6d895(0x220f),'label':'DASHBOARDS.SELECT_STATUS','customOptions':[{'value':0x0,'translate':_0xd6d895(0x1bbd)},{'value':0x1,'translate':'DASHBOARDS.CLOSED'},{'value':null,'translate':_0xd6d895(0x2706)}]},{'name':_0xd6d895(0x30e),'key':_0xd6d895(0x21ab),'type':_0xd6d895(0x220f),'label':_0xd6d895(0x7bb),'customOptions':[{'value':'null','translate':'DASHBOARDS.NOT_ASSIGNED'},{'value':undefined,'translate':'DASHBOARDS.ALL'}]},{'name':_0xd6d895(0xf2d),'key':_0xd6d895(0x22b1),'type':_0xd6d895(0x1d50),'label':'DASHBOARDS.SELECT_TAG','options':_0x52c428[_0xd6d895(0xfbf)][_0xd6d895(0x2214)],'placeholder':_0xd6d895(0x62b)}];}function _0x41a46b(){const _0x29c0ce=_0xe26231;return[{'name':'Id','column':'id','type':_0x29c0ce(0x83d)},{'name':_0x29c0ce(0x8d3),'column':_0x29c0ce(0x8d3),'type':'autocomplete','options':{'searchFields':['firstName',_0x29c0ce(0x1fbb),'email'],'route':{'model':_0x29c0ce(0xbe7),'action':_0x29c0ce(0xbf7),'params':{'fields':'id,firstName,lastName,email','Contact':_0x29c0ce(0xed6),'nolimit':!![]}},'extraOperators':[_0x29c0ce(0x1fb1)],'excludedOperators':[_0x29c0ce(0x15ce)]}},{'name':'Subject','column':'subject','type':'text','options':{'excludedOperators':[_0x29c0ce(0x1c5e),_0x29c0ce(0x15ce)]}},{'name':_0x29c0ce(0x269b),'column':_0x29c0ce(0x1cd0),'type':_0x29c0ce(0x19d3),'options':{'excludedOperators':['$eq',_0x29c0ce(0x15ce)]}},{'name':_0x29c0ce(0x938),'column':_0x29c0ce(0x22aa),'type':_0x29c0ce(0x220f),'values':[{'id':0x0,'translate':_0x29c0ce(0x1bbd)},{'id':0x1,'translate':_0x29c0ce(0x191b)}],'options':{'excludedOperators':[_0x29c0ce(0x15ce)]}},{'name':_0x29c0ce(0x30e),'column':_0x29c0ce(0x1597),'type':_0x29c0ce(0x19e0),'options':{'table':'i','route':{'model':_0x29c0ce(0xebe),'action':'get','params':{'role':_0x29c0ce(0x1eff),'fields':'id,name,fullname','nolimit':!![]}},'searchFields':['fullname',_0x29c0ce(0x16b6)],'extraOperators':[_0x29c0ce(0x1fb1)],'excludedOperators':[_0x29c0ce(0x15ce)]}},{'name':_0x29c0ce(0x190),'column':_0x29c0ce(0xf2d),'type':_0x29c0ce(0x1d50),'options':{'route':{'model':_0x29c0ce(0x22b1),'action':_0x29c0ce(0xbf7),'params':{'nolimit':!![]}},'excludedOperators':[_0x29c0ce(0x62d)]}},{'name':_0x29c0ce(0x68d),'column':_0x29c0ce(0x24cb),'type':'date','options':{'excludedOperators':['$ne']}},{'name':_0x29c0ce(0x946),'column':_0x29c0ce(0x1554),'type':'select','values':[{'id':0x1,'translate':_0x29c0ce(0x27a4)},{'id':0x0,'translate':_0x29c0ce(0x1115)}],'options':{'excludedOperators':[_0x29c0ce(0x15ce)]}}];}function _0xd3496f(){const _0x281b8c=_0xe26231;_0x1f1ff1[_0x281b8c(0xe27)]({'controller':_0x281b8c(0x15f7),'controllerAs':'vm','templateUrl':_0x3530d6,'parent':angular[_0x281b8c(0x1853)](_0x3dd6e4[_0x281b8c(0x1ed9)]),'clickOutsideToClose':![],'locals':{'fields':_0x52c428['advancedSearch'][_0x281b8c(0x355)],'color':undefined,'storagePath':'openchannel.openchannelAccounts'},'fullscreen':!![]})[_0x281b8c(0x1cb0)](function(_0x2921c0){const _0x59271d=_0x281b8c;_0x52c428[_0x59271d(0xae2)][_0x59271d(0x24be)]=_0x2921c0===![]?undefined:_0x2921c0;if(_0x52c428['query'][_0x59271d(0x24be)])_0x5db09c();else _0x2921c0===![]&&_0x5db09c();})['catch'](function(_0x2e2650){const _0x18da55=_0x281b8c;_0x1bfb76[_0x18da55(0x218e)]({'title':_0x18da55(0x2260),'msg':_0x2e2650[_0x18da55(0x25c)]?JSON['stringify'](_0x2e2650[_0x18da55(0x25c)]['message']):_0x2e2650[_0x18da55(0x147f)]()});});}function _0x53d9fe(_0x4567a1,_0x7ed9a1,_0x3effe2){const _0xf48792=_0xe26231;return _0x344aef[_0xf48792(0x1f5b)]['download']({'id':_0x4567a1['id'],'exists':!![],'attachments':_0x3effe2})[_0xf48792(0x1d77)][_0xf48792(0x1cb0)](function(_0x40fecb){const _0x573611=_0xf48792,_0x4067e0=[_0x40fecb[_0x573611(0xef0)]];let _0x3fd340=_0x573611(0x10e4)+_0x4567a1['id'];const _0x124fcc=new Blob(_0x4067e0,{'type':_0x40fecb['type']});_0x3fd340=_0x573611(0x1ca0)+_0x4567a1['id']+'.zip';const _0x63d2a0=window[_0x573611(0x1db8)][_0x573611(0x8c6)]('a');_0x63d2a0[_0x573611(0x23b9)]('href',URL[_0x573611(0x2247)](_0x124fcc)),_0x63d2a0['setAttribute']('download',_0x3fd340),document[_0x573611(0x1ed9)][_0x573611(0x23de)](_0x63d2a0),_0x63d2a0[_0x573611(0x20b8)]();})[_0xf48792(0x1c4)](function(_0x221115){const _0x84d6db=_0xf48792;if(_0x221115[_0x84d6db(0x25c)]&&_0x221115[_0x84d6db(0x25c)][_0x84d6db(0x1a7c)]&&_0x221115[_0x84d6db(0x25c)][_0x84d6db(0x1a7c)]['length'])for(let _0x1acdf4=0x0;_0x1acdf4<_0x221115[_0x84d6db(0x25c)][_0x84d6db(0x1a7c)][_0x84d6db(0xfd0)];_0x1acdf4+=0x1){_0x1bfb76['error']({'title':_0x221115[_0x84d6db(0x25c)][_0x84d6db(0x1a7c)][_0x1acdf4][_0x84d6db(0x66a)],'msg':_0x221115[_0x84d6db(0x25c)]['errors'][_0x1acdf4][_0x84d6db(0x155e)]});}else _0x1bfb76[_0x84d6db(0x218e)]({'title':_0x221115['status']?_0x84d6db(0xeb9)+_0x221115[_0x84d6db(0x291)]+_0x84d6db(0x1657)+_0x221115[_0x84d6db(0xc22)]:_0x84d6db(0xf71),'msg':_0x221115[_0x84d6db(0x25c)]?JSON[_0x84d6db(0x2701)](_0x221115['data'][_0x84d6db(0x155e)]):_0x221115['toString']()});});}function _0x45a001(_0x1ad3d7,_0x213016){const _0x2d2a2d=_0xe26231,_0x154792=_0x1f1ff1['confirm']()[_0x2d2a2d(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20interaction?')[_0x2d2a2d(0x49e)](_0x2d2a2d(0x204d)+(_0x1ad3d7['name']||_0x1ad3d7['id']&&_0x39641b()['upperFirst']('interaction\x20#')+_0x1ad3d7['id']||_0x2d2a2d(0x10e4))+_0x2d2a2d(0x1200)+_0x2d2a2d(0x1b6))['ariaLabel'](_0x2d2a2d(0x116f))[_0x2d2a2d(0x728)](_0x213016)['ok']('OK')[_0x2d2a2d(0x696)](_0x2d2a2d(0x24ba));_0x1f1ff1[_0x2d2a2d(0xe27)](_0x154792)['then'](function(){_0x2f3914(_0x1ad3d7);},function(){const _0x16b5bf=_0x2d2a2d;console['log'](_0x16b5bf(0x24ba));});}function _0xb43f1b(_0x4225e8){const _0x360c19=_0xe26231;_0x52c428[_0x360c19(0x25a)]=_0x4225e8||{'count':0x0,'rows':[]};for(let _0x4b85c4=0x0;_0x4b85c4<_0x52c428[_0x360c19(0x25a)][_0x360c19(0x2214)][_0x360c19(0xfd0)];_0x4b85c4+=0x1){const _0x1b9479=_0x52c428[_0x360c19(0x25a)][_0x360c19(0x2214)][_0x4b85c4];_0x327e39(_0x1b9479),_0x1b9479[_0x360c19(0x135d)]['name']=_0x4d889d(_0x1b9479);}}function _0x5db09c(){const _0x4e9206=_0xe26231;_0x52c428[_0x4e9206(0xae2)]['offset']=(_0x52c428[_0x4e9206(0xae2)][_0x4e9206(0x1c7b)]-0x1)*_0x52c428['query']['limit'],_0x52c428[_0x4e9206(0x2061)]=_0x344aef[_0x4e9206(0x1f5b)][_0x4e9206(0xbf7)](_0x52c428[_0x4e9206(0xae2)],_0xb43f1b)[_0x4e9206(0x1d77)];}function _0x46dc25(_0x437872,_0x1caa1e){const _0x43fbc4=_0xe26231;_0x1f1ff1[_0x43fbc4(0xe27)]({'controller':_0x43fbc4(0x4b2),'controllerAs':'vm','templateUrl':_0x50da87,'parent':angular[_0x43fbc4(0x1853)](_0x3dd6e4[_0x43fbc4(0x1ed9)]),'targetEvent':_0x437872,'clickOutsideToClose':!![],'onShowing':function(_0xdbb0ac){const _0x182bf8=_0x43fbc4;_0xdbb0ac['vm'][_0x182bf8(0x1a8e)]({'id':0x1,'channel':_0x182bf8(0x15e0),'interaction':_0x1caa1e,'spy':!![]},_0x360d48[_0x182bf8(0x17bc)]['vm']['setting']);}});}function _0x2f3914(_0xc495f4){const _0x18aef8=_0xe26231;_0x344aef[_0x18aef8(0x1f5b)][_0x18aef8(0x111d)]({'id':_0xc495f4['id']})[_0x18aef8(0x1d77)][_0x18aef8(0x1cb0)](function(){const _0x192d55=_0x18aef8;_0x39641b()[_0x192d55(0x152a)](_0x52c428[_0x192d55(0x25a)][_0x192d55(0x2214)],{'id':_0xc495f4['id']}),_0x52c428[_0x192d55(0x25a)][_0x192d55(0x184d)]-=0x1,!_0x52c428[_0x192d55(0x25a)][_0x192d55(0x2214)]['length']&&_0x5db09c(),_0x1bfb76['success']({'title':'Interaction\x20deleted!','msg':_0xc495f4['name']?_0xc495f4[_0x192d55(0x16b6)]+_0x192d55(0x3f5):''});})[_0x18aef8(0x1c4)](function(_0x4cdaba){const _0x355dba=_0x18aef8;if(_0x4cdaba[_0x355dba(0x25c)]&&_0x4cdaba['data'][_0x355dba(0x1a7c)]&&_0x4cdaba['data']['errors'][_0x355dba(0xfd0)]){_0x52c428['errors']=_0x4cdaba[_0x355dba(0x25c)][_0x355dba(0x1a7c)]||[{'message':_0x4cdaba['toString'](),'type':'SYSTEM:GETopenchannelAccount'}];for(let _0x583073=0x0;_0x583073<_0x4cdaba[_0x355dba(0x25c)]['errors'][_0x355dba(0xfd0)];_0x583073++){_0x1bfb76[_0x355dba(0x218e)]({'title':_0x4cdaba[_0x355dba(0x25c)][_0x355dba(0x1a7c)][_0x583073]['type'],'msg':_0x4cdaba[_0x355dba(0x25c)][_0x355dba(0x1a7c)][_0x583073][_0x355dba(0x155e)]});}}else _0x1bfb76[_0x355dba(0x218e)]({'title':_0x4cdaba[_0x355dba(0x291)]?_0x355dba(0xeb9)+_0x4cdaba['status']+_0x355dba(0x1657)+_0x4cdaba[_0x355dba(0xc22)]:_0x355dba(0x78b),'msg':_0x4cdaba[_0x355dba(0x25c)]?JSON['stringify'](_0x4cdaba[_0x355dba(0x25c)]['message']):_0x4cdaba[_0x355dba(0x155e)]||_0x4cdaba[_0x355dba(0x147f)]()});});}function _0x5f37dd(){const _0x2cce13=_0xe26231,_0x15f616=angular['copy'](_0x52c428['selectedOpenchannelAccountInteractions']);return _0x52c428[_0x2cce13(0x18de)]=[],_0x15f616;}function _0x208317(_0x42fb8b){const _0xf52b50=_0xe26231,_0x332422=_0x1f1ff1['confirm']()['title'](_0xf52b50(0xb2e))[_0xf52b50(0x49e)](_0xf52b50(0x204d)+_0x52c428[_0xf52b50(0x18de)]['length']+'\x20selected'+_0xf52b50(0x1b6))[_0xf52b50(0x15ad)]('delete\x20interactions')[_0xf52b50(0x728)](_0x42fb8b)['ok']('OK')[_0xf52b50(0x696)](_0xf52b50(0x24ba));_0x1f1ff1[_0xf52b50(0xe27)](_0x332422)[_0xf52b50(0x1cb0)](function(){const _0x535424=_0xf52b50;_0x52c428[_0x535424(0x18de)]['forEach'](function(_0x528bb7){_0x2f3914(_0x528bb7);}),_0x52c428['selectedOpenchannelAccountInteractions']=[];});}function _0x327e39(_0x124240){const _0x2f40a0=_0xe26231;if(_0x124240[_0x2f40a0(0x174d)])_0x124240[_0x2f40a0(0x1325)]=_0x124240[_0x2f40a0(0x174d)];else _0x124240[_0x2f40a0(0x8d3)]?_0x124240[_0x2f40a0(0x1325)]=(_0x124240['Contact'][_0x2f40a0(0x1491)]||'')+'\x20'+(_0x124240['Contact'][_0x2f40a0(0x1fbb)]||''):_0x124240[_0x2f40a0(0x1325)]=_0x28aa39[_0x2f40a0(0x25cc)](_0x2f40a0(0x2b9));}function _0x4d889d(_0x5a572b){const _0x186584=_0xe26231;if(_0x5a572b[_0x186584(0x21ab)])return _0x5a572b[_0x186584(0x21ab)]===_0x52c428['currentUser']['id']?_0x28aa39[_0x186584(0x25cc)](_0x186584(0x1ea6)):_0x5a572b[_0x186584(0x135d)]['fullname']+'\x20<'+_0x5a572b['Owner'][_0x186584(0xdbd)]+'>';return _0x28aa39[_0x186584(0x25cc)](_0x186584(0x68f));}let _0x58a297=!![],_0x3cd92c=0x1;_0x360d48[_0xe26231(0x614)]('vm_dc.query.filter',function(_0x26b227,_0x378f2c){const _0x2d9a73=_0xe26231;_0x58a297?_0x4f7e9b(function(){_0x58a297=![];}):(!_0x378f2c&&(_0x3cd92c=_0x52c428[_0x2d9a73(0xae2)]['page']),_0x26b227!==_0x378f2c&&(_0x52c428[_0x2d9a73(0xae2)][_0x2d9a73(0x1c7b)]=0x1),!_0x26b227&&(_0x52c428['query'][_0x2d9a73(0x1c7b)]=_0x3cd92c),_0x5db09c());});}const _0x2a1d87=_0x58fd4f;;const _0x4e7b0d=_0x5074a3['p']+_0x5537c6(0xd78);;_0xcc1d4b['$inject']=[_0x5537c6(0x173),_0x5537c6(0x1463),_0x5537c6(0x406),'$q',_0x5537c6(0x1ae),_0x5537c6(0x2168),'$mdDialog',_0x5537c6(0x22bf),_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x1774)];function _0xcc1d4b(_0x25615d,_0x4d5dd5,_0x253b90,_0x42408f,_0x2bcca2,_0xdd2f,_0x32c389,_0x898767,_0x423e09,_0x79110e,_0x54f4a3){const _0x233e06=_0x5537c6,_0x15d5af=this;_0x15d5af['currentUser']=_0x54f4a3[_0x233e06(0x21e8)](),_0x15d5af[_0x233e06(0x80e)]={},_0x15d5af[_0x233e06(0x9a6)]={'count':0x0,'rows':[]},_0x15d5af['selectedOpenchannelAccountOpenchannelCannedAnswers']=[],_0x15d5af[_0x233e06(0x1b1a)],_0x15d5af['query']={'fields':_0x233e06(0x1d68),'limit':0xa,'page':0x1},_0x15d5af['init']=_0x5af854,_0x15d5af[_0x233e06(0xb25)]=_0x44d0ac,_0x15d5af[_0x233e06(0x829)]=_0x4cce4f,_0x15d5af[_0x233e06(0x1d84)]=_0x21d6a1,_0x15d5af[_0x233e06(0x2337)]=_0x5a4e8d,_0x15d5af[_0x233e06(0x2955)]=_0x52ef9a,_0x15d5af[_0x233e06(0x1d26)]=_0x3506e3,_0x15d5af[_0x233e06(0x6fe)]=_0x75df0d;function _0x5af854(_0x40b2b7,_0x1a3b48){const _0xb014d5=_0x233e06;_0x15d5af[_0xb014d5(0x80e)]=_0x40b2b7,_0x15d5af[_0xb014d5(0x1b1a)]=typeof _0x1a3b48!==_0xb014d5(0x16b5)?_0x1a3b48:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x15d5af['query']['OpenchannelAccountId']=_0x15d5af[_0xb014d5(0x80e)]['id'],_0x15d5af['query']['id']=_0x15d5af[_0xb014d5(0x80e)]['id'],_0x21d6a1();}function _0x44d0ac(_0x45f124,_0xcfa45f){const _0x5879c9=_0x233e06,_0x3d43fa=_0x32c389[_0x5879c9(0x1551)]()[_0x5879c9(0x1386)](_0x5879c9(0x18a3))[_0x5879c9(0x49e)](_0x5879c9(0x204d)+(_0x45f124[_0x5879c9(0x16b6)]||_0x45f124['id']&&_0x39641b()['upperFirst'](_0x5879c9(0x18bd))+_0x45f124['id']||_0x5879c9(0xb3a))+_0x5879c9(0x1200)+_0x5879c9(0x1b6))['ariaLabel'](_0x5879c9(0x4c3))[_0x5879c9(0x728)](_0xcfa45f)['ok']('OK')[_0x5879c9(0x696)](_0x5879c9(0x24ba));_0x32c389[_0x5879c9(0xe27)](_0x3d43fa)[_0x5879c9(0x1cb0)](function(){_0x3506e3(_0x45f124);},function(){console['log']('CANCEL');});}function _0x4cce4f(_0x99ed01){const _0xf9c8b=_0x233e06;_0x15d5af[_0xf9c8b(0x9a6)]=_0x99ed01||{'count':0x0,'rows':[]};}function _0x21d6a1(){const _0x5c55e4=_0x233e06;_0x15d5af[_0x5c55e4(0xae2)][_0x5c55e4(0x184b)]=(_0x15d5af[_0x5c55e4(0xae2)][_0x5c55e4(0x1c7b)]-0x1)*_0x15d5af[_0x5c55e4(0xae2)]['limit'],_0x15d5af[_0x5c55e4(0x2061)]=_0x79110e[_0x5c55e4(0x80e)][_0x5c55e4(0x1ec5)](_0x15d5af['query'],_0x4cce4f)[_0x5c55e4(0x1d77)];}function _0x5a4e8d(_0x2e8211,_0x1a4e59){const _0x2a4eda=_0x233e06;_0x32c389[_0x2a4eda(0xe27)]({'controller':_0x2a4eda(0x60a),'controllerAs':'vm','templateUrl':_0x4e7b0d,'parent':angular['element'](_0x898767[_0x2a4eda(0x1ed9)]),'targetEvent':_0x2e8211,'clickOutsideToClose':!![],'locals':{'openchannelAccount':_0x15d5af[_0x2a4eda(0x80e)],'openchannelCannedAnswer':_0x1a4e59,'openchannelCannedAnswers':_0x15d5af[_0x2a4eda(0x9a6)][_0x2a4eda(0x2214)],'license':null,'setting':null,'crudPermissions':_0x15d5af['crudPermissions']}});}function _0x3506e3(_0x290d13){const _0x28a5eb=_0x233e06;_0x79110e['cannedAnswer'][_0x28a5eb(0x111d)]({'id':_0x290d13['id']})[_0x28a5eb(0x1d77)][_0x28a5eb(0x1cb0)](function(){const _0x245603=_0x28a5eb;_0x39641b()[_0x245603(0x152a)](_0x15d5af[_0x245603(0x9a6)][_0x245603(0x2214)],{'id':_0x290d13['id']}),_0x15d5af[_0x245603(0x9a6)][_0x245603(0x184d)]-=0x1,!_0x15d5af[_0x245603(0x9a6)][_0x245603(0x2214)][_0x245603(0xfd0)]&&_0x21d6a1(),_0x423e09[_0x245603(0x829)]({'title':'OpenchannelCannedAnswer\x20deleted!','msg':_0x290d13['name']?_0x290d13[_0x245603(0x16b6)]+'\x20has\x20been\x20deleted!':''});})[_0x28a5eb(0x1c4)](function(_0x780dd5){const _0x4feb41=_0x28a5eb;if(_0x780dd5[_0x4feb41(0x25c)]&&_0x780dd5[_0x4feb41(0x25c)]['errors']&&_0x780dd5[_0x4feb41(0x25c)][_0x4feb41(0x1a7c)]['length']){_0x15d5af['errors']=_0x780dd5[_0x4feb41(0x25c)][_0x4feb41(0x1a7c)]||[{'message':_0x780dd5[_0x4feb41(0x147f)](),'type':_0x4feb41(0x78b)}];for(let _0x3e48cd=0x0;_0x3e48cd<_0x780dd5[_0x4feb41(0x25c)][_0x4feb41(0x1a7c)]['length'];_0x3e48cd++){_0x423e09[_0x4feb41(0x218e)]({'title':_0x780dd5[_0x4feb41(0x25c)][_0x4feb41(0x1a7c)][_0x3e48cd][_0x4feb41(0x66a)],'msg':_0x780dd5['data'][_0x4feb41(0x1a7c)][_0x3e48cd][_0x4feb41(0x155e)]});}}else _0x423e09[_0x4feb41(0x218e)]({'title':_0x780dd5[_0x4feb41(0x291)]?_0x4feb41(0xeb9)+_0x780dd5[_0x4feb41(0x291)]+_0x4feb41(0x1657)+_0x780dd5[_0x4feb41(0xc22)]:_0x4feb41(0x78b),'msg':_0x780dd5[_0x4feb41(0x25c)]?JSON['stringify'](_0x780dd5[_0x4feb41(0x25c)][_0x4feb41(0x155e)]):_0x780dd5[_0x4feb41(0x155e)]||_0x780dd5['toString']()});});}function _0x52ef9a(){const _0x4b2415=_0x233e06,_0x40c6b7=angular[_0x4b2415(0x17fe)](_0x15d5af[_0x4b2415(0xfb9)]);return _0x15d5af['selectedOpenchannelAccountOpenchannelCannedAnswers']=[],_0x40c6b7;}function _0x75df0d(_0x289cdd){const _0x466510=_0x233e06,_0x526ef6=_0x32c389[_0x466510(0x1551)]()[_0x466510(0x1386)](_0x466510(0x19e2))[_0x466510(0x49e)](''+_0x15d5af[_0x466510(0xfb9)][_0x466510(0xfd0)]+'\x20selected'+'\x20will\x20be\x20deleted.')['ariaLabel'](_0x466510(0x1a67))[_0x466510(0x728)](_0x289cdd)['ok']('OK')[_0x466510(0x696)]('CANCEL');_0x32c389[_0x466510(0xe27)](_0x526ef6)[_0x466510(0x1cb0)](function(){const _0x3c26dd=_0x466510;_0x15d5af[_0x3c26dd(0xfb9)][_0x3c26dd(0xf90)](function(_0x3e15e3){_0x3506e3(_0x3e15e3);}),_0x15d5af[_0x3c26dd(0xfb9)]=[];});}let _0x480140=!![],_0x140e22=0x1;_0x4d5dd5[_0x233e06(0x614)](_0x233e06(0xeb6),function(_0x2e54ec,_0x47cd17){const _0x4694fc=_0x233e06;_0x480140?_0xdd2f(function(){_0x480140=![];}):(!_0x47cd17&&(_0x140e22=_0x15d5af[_0x4694fc(0xae2)][_0x4694fc(0x1c7b)]),_0x2e54ec!==_0x47cd17&&(_0x15d5af['query'][_0x4694fc(0x1c7b)]=0x1),!_0x2e54ec&&(_0x15d5af[_0x4694fc(0xae2)][_0x4694fc(0x1c7b)]=_0x140e22),_0x21d6a1());});}const _0x1e3471=_0xcc1d4b;;_0x5d4bea[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),'openchannelCannedAnswers',_0x5537c6(0xb3a),_0x5537c6(0x142b),_0x5537c6(0x1774),'license','setting','crudPermissions'];function _0x5d4bea(_0x195e3e,_0x347221,_0x1f11dc,_0xd71e61,_0xb5cf0b,_0x11e8c0,_0x1e26aa,_0x405aa1,_0x4253b0,_0x33c01f,_0x205db4,_0x4b4e8b,_0x35d248,_0x1bd7e8){const _0x73c5cb=_0x5537c6,_0x5b982c=this;_0x5b982c['currentUser']=_0x205db4[_0x73c5cb(0x21e8)](),_0x5b982c[_0x73c5cb(0x1a7c)]=[],_0x5b982c[_0x73c5cb(0x9ca)]=_0x35d248,_0x5b982c['license']=_0x4b4e8b,_0x5b982c[_0x73c5cb(0x1b1a)]=_0x1bd7e8,_0x5b982c[_0x73c5cb(0xf4c)]={},_0x5b982c[_0x73c5cb(0x1b0c)]=_0x5b982c[_0x73c5cb(0x9ca)]&&_0x5b982c[_0x73c5cb(0x9ca)][_0x73c5cb(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x5b982c[_0x73c5cb(0x1386)]=_0x73c5cb(0x90f),_0x5b982c['openchannelCannedAnswer']=angular['copy'](_0x4253b0),_0x5b982c['openchannelCannedAnswers']=_0x405aa1,_0x5b982c['newOpenchannelCannedAnswer']=![];!_0x5b982c[_0x73c5cb(0xb3a)]&&(_0x5b982c[_0x73c5cb(0xb3a)]={},_0x5b982c[_0x73c5cb(0x1386)]='OPENCHANNEL.NEW_OPENCHANNELCANNEDANSWER',_0x5b982c[_0x73c5cb(0x21d4)]=!![]);_0x347221['params']['id']&&(_0x5b982c[_0x73c5cb(0xb3a)][_0x73c5cb(0x1d1e)]=_0x347221[_0x73c5cb(0x1dfe)]['id']);_0x5b982c[_0x73c5cb(0x95c)]=_0x6271db,_0x5b982c[_0x73c5cb(0x2885)]=_0x2d0518,_0x5b982c[_0x73c5cb(0x4d9)]=_0x3a767f,_0x5b982c[_0x73c5cb(0x2c4)]=_0x5487f2,_0x5b982c['closeDialog']=_0x51a115;function _0x6271db(){const _0x8f0aa3=_0x73c5cb;_0x5b982c[_0x8f0aa3(0x1a7c)]=[],_0x33c01f[_0x8f0aa3(0x1c25)][_0x8f0aa3(0x1c3f)](_0x5b982c[_0x8f0aa3(0xb3a)])[_0x8f0aa3(0x1d77)][_0x8f0aa3(0x1cb0)](function(_0x482993){const _0x48a3ea=_0x8f0aa3;_0x5b982c[_0x48a3ea(0xab5)]['unshift'](_0x482993[_0x48a3ea(0x19b2)]()),_0x1e26aa[_0x48a3ea(0x829)]({'title':_0x48a3ea(0x241c),'msg':_0x5b982c[_0x48a3ea(0xb3a)][_0x48a3ea(0x16b6)]?_0x5b982c['openchannelCannedAnswer']['name']+_0x48a3ea(0x470):''}),_0x51a115(_0x482993);})['catch'](function(_0x24d44a){const _0x1a90ef=_0x8f0aa3;if(_0x24d44a[_0x1a90ef(0x25c)]&&_0x24d44a[_0x1a90ef(0x25c)][_0x1a90ef(0x1a7c)]&&_0x24d44a[_0x1a90ef(0x25c)]['errors'][_0x1a90ef(0xfd0)]){_0x5b982c[_0x1a90ef(0x1a7c)]=_0x24d44a[_0x1a90ef(0x25c)][_0x1a90ef(0x1a7c)]||[{'message':_0x24d44a[_0x1a90ef(0x147f)](),'type':_0x1a90ef(0x224)}];for(let _0x5dda1b=0x0;_0x5dda1b<_0x24d44a[_0x1a90ef(0x25c)]['errors'][_0x1a90ef(0xfd0)];_0x5dda1b+=0x1){_0x1e26aa['error']({'title':_0x24d44a[_0x1a90ef(0x25c)]['errors'][_0x5dda1b]['type'],'msg':_0x24d44a[_0x1a90ef(0x25c)][_0x1a90ef(0x1a7c)][_0x5dda1b][_0x1a90ef(0x155e)]});}}else _0x1e26aa[_0x1a90ef(0x218e)]({'title':_0x24d44a[_0x1a90ef(0x291)]?_0x1a90ef(0xeb9)+_0x24d44a['status']+_0x1a90ef(0x1657)+_0x24d44a[_0x1a90ef(0xc22)]:_0x1a90ef(0x224),'msg':_0x24d44a[_0x1a90ef(0x25c)]?JSON[_0x1a90ef(0x2701)](_0x24d44a[_0x1a90ef(0x25c)][_0x1a90ef(0x155e)]):_0x24d44a[_0x1a90ef(0x147f)]()});});}function _0x2d0518(){const _0x5ee363=_0x73c5cb;_0x5b982c[_0x5ee363(0x1a7c)]=[],_0x33c01f['cannedAnswer']['update']({'id':_0x5b982c[_0x5ee363(0xb3a)]['id']},_0x5b982c[_0x5ee363(0xb3a)])[_0x5ee363(0x1d77)][_0x5ee363(0x1cb0)](function(_0x529ec0){const _0x16a036=_0x5ee363,_0x5d27a2=_0x39641b()[_0x16a036(0x13b4)](_0x5b982c['openchannelCannedAnswers'],{'id':_0x529ec0['id']});_0x5d27a2&&_0x39641b()['merge'](_0x5d27a2,_0x39641b()[_0x16a036(0x169b)](_0x529ec0[_0x16a036(0x19b2)](),_0x39641b()[_0x16a036(0x1be5)](_0x5d27a2))),_0x1e26aa['success']({'title':_0x16a036(0x1f3d),'msg':_0x5b982c[_0x16a036(0xb3a)][_0x16a036(0x16b6)]?_0x5b982c[_0x16a036(0xb3a)][_0x16a036(0x16b6)]+_0x16a036(0xedb):''}),_0x51a115(_0x529ec0);})[_0x5ee363(0x1c4)](function(_0x2169da){const _0x2ffa15=_0x5ee363;if(_0x2169da[_0x2ffa15(0x25c)]&&_0x2169da[_0x2ffa15(0x25c)][_0x2ffa15(0x1a7c)]&&_0x2169da['data'][_0x2ffa15(0x1a7c)][_0x2ffa15(0xfd0)]){_0x5b982c[_0x2ffa15(0x1a7c)]=_0x2169da[_0x2ffa15(0x25c)][_0x2ffa15(0x1a7c)]||[{'message':_0x2169da[_0x2ffa15(0x147f)](),'type':_0x2ffa15(0x922)}];for(let _0xb67420=0x0;_0xb67420<_0x2169da[_0x2ffa15(0x25c)][_0x2ffa15(0x1a7c)][_0x2ffa15(0xfd0)];_0xb67420++){_0x1e26aa['error']({'title':_0x2169da[_0x2ffa15(0x25c)][_0x2ffa15(0x1a7c)][_0xb67420][_0x2ffa15(0x66a)],'msg':_0x2169da[_0x2ffa15(0x25c)][_0x2ffa15(0x1a7c)][_0xb67420][_0x2ffa15(0x155e)]});}}else _0x1e26aa[_0x2ffa15(0x218e)]({'title':_0x2169da[_0x2ffa15(0x291)]?_0x2ffa15(0xeb9)+_0x2169da['status']+_0x2ffa15(0x1657)+_0x2169da['statusText']:_0x2ffa15(0x922),'msg':_0x2169da[_0x2ffa15(0x25c)]?JSON[_0x2ffa15(0x2701)](_0x2169da['data'][_0x2ffa15(0x155e)]):_0x2169da[_0x2ffa15(0x147f)]()});});}function _0x3a767f(_0x5539ee){const _0x1c3108=_0x73c5cb;_0x5b982c[_0x1c3108(0x1a7c)]=[];const _0x45ff92=_0xd71e61[_0x1c3108(0x1551)]()[_0x1c3108(0x1386)](_0x1c3108(0x1a2e))['content']('The\x20openchannelCannedAnswer\x20will\x20be\x20deleted.')[_0x1c3108(0x15ad)](_0x1c3108(0x11df))['ok'](_0x1c3108(0x2594))[_0x1c3108(0x696)](_0x1c3108(0xde1))[_0x1c3108(0x728)](_0x5539ee);_0xd71e61['show'](_0x45ff92)[_0x1c3108(0x1cb0)](function(){const _0xc0dea6=_0x1c3108;_0x33c01f[_0xc0dea6(0x1c25)]['delete']({'id':_0x5b982c['openchannelCannedAnswer']['id']})[_0xc0dea6(0x1d77)][_0xc0dea6(0x1cb0)](function(){const _0x3851b5=_0xc0dea6;_0x39641b()[_0x3851b5(0x152a)](_0x5b982c[_0x3851b5(0xab5)],{'id':_0x5b982c[_0x3851b5(0xb3a)]['id']}),_0x1e26aa[_0x3851b5(0x829)]({'title':'OpenchannelCannedAnswer\x20properly\x20deleted!','msg':(_0x5b982c[_0x3851b5(0xb3a)]['name']||'openchannelCannedAnswer')+_0x3851b5(0x3f5)}),_0x51a115(_0x5b982c[_0x3851b5(0xb3a)]);})['catch'](function(_0x11d5a3){const _0x40adb7=_0xc0dea6;if(_0x11d5a3[_0x40adb7(0x25c)]&&_0x11d5a3[_0x40adb7(0x25c)][_0x40adb7(0x1a7c)]&&_0x11d5a3[_0x40adb7(0x25c)][_0x40adb7(0x1a7c)][_0x40adb7(0xfd0)]){_0x5b982c[_0x40adb7(0x1a7c)]=_0x11d5a3['data']['errors']||[{'message':_0x11d5a3[_0x40adb7(0x147f)](),'type':'api.cannedAnswer.delete'}];for(let _0x2b378a=0x0;_0x2b378a<_0x11d5a3[_0x40adb7(0x25c)][_0x40adb7(0x1a7c)][_0x40adb7(0xfd0)];_0x2b378a++){_0x1e26aa[_0x40adb7(0x218e)]({'title':_0x11d5a3[_0x40adb7(0x25c)][_0x40adb7(0x1a7c)][_0x2b378a][_0x40adb7(0x66a)],'msg':_0x11d5a3['data'][_0x40adb7(0x1a7c)][_0x2b378a][_0x40adb7(0x155e)]});}}else _0x1e26aa[_0x40adb7(0x218e)]({'title':_0x11d5a3[_0x40adb7(0x291)]?'API:'+_0x11d5a3['status']+_0x40adb7(0x1657)+_0x11d5a3['statusText']:_0x40adb7(0x1399),'msg':_0x11d5a3[_0x40adb7(0x25c)]?JSON[_0x40adb7(0x2701)](_0x11d5a3[_0x40adb7(0x25c)][_0x40adb7(0x155e)]):_0x11d5a3[_0x40adb7(0x155e)]||_0x11d5a3[_0x40adb7(0x147f)]()});});},function(){});}function _0x5487f2(_0x54f09b){return _0x54f09b===null?undefined:new Date(_0x54f09b);}function _0x51a115(_0x33b5c2){const _0x724544=_0x73c5cb;_0xd71e61[_0x724544(0x1426)](_0x33b5c2);}}const _0x4da442=_0x5d4bea;;_0x21a8cc[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),'$mdSidenav',_0x5537c6(0xcb9),'$document',_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0x142b),_0x5537c6(0x9bf),'Auth'];function _0x21a8cc(_0x10f98d,_0x926bb4,_0x4cd92f,_0x4f8063,_0x3c833e,_0x1de346,_0x469986,_0x2d03a0,_0x1b609f,_0x534b57,_0x38a032){const _0x1547bd=_0x5537c6,_0x27ef20=this;_0x27ef20[_0x1547bd(0xe76)]=_0x38a032[_0x1547bd(0x21e8)](),_0x27ef20['dispositions']={'count':0x0,'rows':[]},_0x27ef20[_0x1547bd(0x122a)]=[],_0x27ef20[_0x1547bd(0x1b1a)],_0x27ef20[_0x1547bd(0x2376)]={'first':_0x1547bd(0x29a0),'second':'2nd','third':_0x1547bd(0xfbe)},_0x27ef20['query']={'fields':_0x1547bd(0x1f4b),'sort':_0x1547bd(0x282),'limit':0xa,'page':0x1},_0x27ef20['init']=_0x41eeda,_0x27ef20[_0x1547bd(0xb25)]=_0x2d6276,_0x27ef20[_0x1547bd(0x829)]=_0x376d2f,_0x27ef20[_0x1547bd(0x640)]=_0x42e542,_0x27ef20['createOrEditDisposition']=_0x3cf80b,_0x27ef20[_0x1547bd(0x20b3)]=_0x3b34f2,_0x27ef20[_0x1547bd(0x1ebf)]=_0x5aa83e,_0x27ef20[_0x1547bd(0x1626)]=_0x3c8fcd,_0x27ef20['deselectDispositions']=_0x36c5cb,_0x27ef20[_0x1547bd(0x13ff)]=_0x39a471;function _0x41eeda(_0x42a2b9,_0x4ef4c1){const _0x32fd04=_0x1547bd;_0x27ef20[_0x32fd04(0x80e)]=_0x42a2b9||{},_0x27ef20['crudPermissions']=typeof _0x4ef4c1!=='undefined'?_0x4ef4c1:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x27ef20[_0x32fd04(0xae2)][_0x32fd04(0x1d1e)]=_0x27ef20['openchannelAccount']['id'],_0x27ef20['query']['id']=_0x27ef20['openchannelAccount']['id'],_0x42e542();}function _0x3cf80b(_0xd6d81b,_0xbdaec3){const _0x1e070f=_0x1547bd;_0x3c833e['show']({'controller':_0x1e070f(0x201d),'controllerAs':'vm','templateUrl':_0x3d7049,'parent':angular[_0x1e070f(0x1853)](_0x1de346[_0x1e070f(0x1ed9)]),'targetEvent':_0xd6d81b,'clickOutsideToClose':!![],'locals':{'disposition':_0xbdaec3,'model':{'id':_0x27ef20[_0x1e070f(0x80e)]['id'],'field':_0x1e070f(0x1d1e),'route':_0x1e070f(0x80e)},'license':null,'setting':null,'crudPermissions':_0x27ef20[_0x1e070f(0x1b1a)]}})['then'](function(_0x53acd5){if(_0x53acd5)_0x42e542();});}function _0x2d6276(_0x5a401b,_0x4fcc85){const _0x26fac5=_0x1547bd,_0x48b1b0=_0x39641b()[_0x26fac5(0x727)](_0x27ef20['dispositions'][_0x26fac5(0x2214)],[_0x26fac5(0x55e),_0x5a401b['id']]),_0x5eb27b=_0x3c833e[_0x26fac5(0x1551)]()[_0x26fac5(0x1386)](_0x2d03a0[_0x26fac5(0x25cc)](_0x26fac5(0x1d64)))[_0x26fac5(0x862)](_0x2d03a0[_0x26fac5(0x25cc)](_0x26fac5(0x250b)+(_0x48b1b0?_0x26fac5(0x13cb):_0x26fac5(0x1089)),{'name':_0x5a401b[_0x26fac5(0x16b6)]}))['ariaLabel'](_0x26fac5(0x35d))[_0x26fac5(0x728)](_0x4fcc85)['ok']('OK')[_0x26fac5(0x696)](_0x2d03a0[_0x26fac5(0x25cc)](_0x26fac5(0x2768)));_0x3c833e[_0x26fac5(0xe27)](_0x5eb27b)['then'](function(){_0x3b34f2(_0x5a401b);});}function _0x376d2f(_0x41e07d){const _0x43a8c3=_0x1547bd;_0x27ef20[_0x43a8c3(0xdf2)]=_0x41e07d||{'count':0x0,'rows':[]};}function _0x42e542(){const _0x1491a3=_0x1547bd;_0x27ef20[_0x1491a3(0xae2)]['offset']=(_0x27ef20['query']['page']-0x1)*_0x27ef20[_0x1491a3(0xae2)]['limit'],_0x27ef20['promise']=_0x1b609f[_0x1491a3(0x80e)][_0x1491a3(0x640)](_0x27ef20['query'],_0x376d2f)['$promise'];}function _0x3b34f2(_0x2b5806){const _0x54c66d=_0x1547bd;_0x1b609f['disposition']['delete']({'id':_0x2b5806['id']})[_0x54c66d(0x1d77)][_0x54c66d(0x1cb0)](function(){const _0x20bc17=_0x54c66d;_0x42e542(),_0x534b57[_0x20bc17(0x829)]({'title':_0x2d03a0[_0x20bc17(0x25cc)](_0x20bc17(0x1f96))});})[_0x54c66d(0x1c4)](function(_0x1cd9db){const _0x2f1e31=_0x54c66d;if(_0x1cd9db['data']&&_0x1cd9db[_0x2f1e31(0x25c)][_0x2f1e31(0x1a7c)]&&_0x1cd9db[_0x2f1e31(0x25c)][_0x2f1e31(0x1a7c)][_0x2f1e31(0xfd0)]){_0x27ef20[_0x2f1e31(0x1a7c)]=_0x1cd9db[_0x2f1e31(0x25c)][_0x2f1e31(0x1a7c)]||[{'message':_0x1cd9db[_0x2f1e31(0x147f)](),'type':_0x2f1e31(0x2618)}];for(let _0x5d9326=0x0;_0x5d9326<_0x1cd9db[_0x2f1e31(0x25c)][_0x2f1e31(0x1a7c)]['length'];_0x5d9326++){_0x534b57['error']({'title':_0x1cd9db[_0x2f1e31(0x25c)][_0x2f1e31(0x1a7c)][_0x5d9326][_0x2f1e31(0x66a)],'msg':_0x1cd9db['data']['errors'][_0x5d9326][_0x2f1e31(0x155e)]});}}else _0x534b57['error']({'title':_0x1cd9db[_0x2f1e31(0x291)]?_0x2f1e31(0xeb9)+_0x1cd9db['status']+_0x2f1e31(0x1657)+_0x1cd9db[_0x2f1e31(0xc22)]:_0x2f1e31(0x2618),'msg':_0x1cd9db[_0x2f1e31(0x25c)]?JSON['stringify'](_0x1cd9db[_0x2f1e31(0x25c)][_0x2f1e31(0x155e)]):_0x1cd9db['message']||_0x1cd9db[_0x2f1e31(0x147f)]()});});}function _0x5aa83e(){const _0x39e520=_0x1547bd,_0x1cbc88=angular['copy'](_0x27ef20['selectedDispositions']);return _0x27ef20[_0x39e520(0x122a)]=[],_0x1cbc88;}function _0x3c8fcd(_0x2470f0){const _0x81c15=_0x1547bd,_0x17e332=_0x3c833e[_0x81c15(0x1551)]()['title'](_0x2d03a0[_0x81c15(0x25cc)](_0x81c15(0x969)))[_0x81c15(0x862)](_0x2d03a0[_0x81c15(0x25cc)](_0x81c15(0xb3c),{'total':_0x27ef20[_0x81c15(0x122a)][_0x81c15(0xfd0)]}))['ariaLabel'](_0x81c15(0x1e1c))[_0x81c15(0x728)](_0x2470f0)['ok']('OK')['cancel'](_0x2d03a0[_0x81c15(0x25cc)](_0x81c15(0x2768)));_0x3c833e[_0x81c15(0xe27)](_0x17e332)[_0x81c15(0x1cb0)](function(){const _0x380db4=_0x81c15;_0x27ef20[_0x380db4(0x122a)][_0x380db4(0xf90)](function(_0x572a86){_0x3b34f2(_0x572a86);}),_0x27ef20['selectedDispositions']=[];});}function _0x36c5cb(){const _0x2db65f=_0x1547bd;_0x27ef20[_0x2db65f(0x122a)]=[];}function _0x39a471(){const _0x1ec9a0=_0x1547bd;_0x27ef20[_0x1ec9a0(0x122a)]=_0x27ef20[_0x1ec9a0(0xdf2)]['rows'];}let _0xbac508=!![],_0x2c475c=0x1;_0x10f98d[_0x1547bd(0x614)](_0x1547bd(0xeb6),function(_0x3887a4,_0x287d0f){const _0x422081=_0x1547bd;_0xbac508?_0x469986(function(){_0xbac508=![];}):(!_0x287d0f&&(_0x2c475c=_0x27ef20['query'][_0x422081(0x1c7b)]),_0x3887a4!==_0x287d0f&&(_0x27ef20[_0x422081(0xae2)][_0x422081(0x1c7b)]=0x1),!_0x3887a4&&(_0x27ef20[_0x422081(0xae2)][_0x422081(0x1c7b)]=_0x2c475c),_0x42e542());});}const _0x567e47=_0x21a8cc;;const _0x45c631=_0x5074a3['p']+_0x5537c6(0x1c3a);;_0x551099[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),'$location',_0x5537c6(0xcb9),'$document','$translate',_0x5537c6(0x8a5),'setting',_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x80e),_0x5537c6(0x2199)];function _0x551099(_0x2bdd13,_0x585876,_0x143452,_0x317829,_0x58fafb,_0x3158f5,_0x4fcbe2,_0x29e710,_0x300834,_0x15c11c,_0x4bd531,_0x362791){const _0xf4692f=_0x5537c6,_0x18886d=this;_0x18886d['currentUser']=_0x15c11c[_0xf4692f(0x21e8)](),_0x18886d[_0xf4692f(0x8a5)]=_0x3158f5,_0x18886d[_0xf4692f(0x9ca)]=_0x4fcbe2,_0x18886d[_0xf4692f(0x1b0c)]=_0x18886d[_0xf4692f(0x9ca)][_0xf4692f(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x18886d[_0xf4692f(0x2404)]=_0x585876[_0xf4692f(0x2276)]()+_0xf4692f(0x138b)+_0x585876[_0xf4692f(0x17d8)](),_0x18886d['openchannelAccount']=_0x4bd531||_0x2bdd13[_0xf4692f(0x1dfe)][_0xf4692f(0x80e)]||{},_0x18886d[_0xf4692f(0x2199)]=_0x362791&&_0x362791[_0xf4692f(0x184d)]==0x1?_0x362791[_0xf4692f(0x2214)][0x0]:null,_0x18886d[_0xf4692f(0x1b1a)]=_0x15c11c[_0xf4692f(0x14ea)](_0x18886d[_0xf4692f(0x2199)]?_0x18886d['userProfileSection'][_0xf4692f(0x1b1a)]:null),_0x18886d[_0xf4692f(0xf4c)]={},_0x18886d['selectedTab']=_0x2bdd13[_0xf4692f(0x1dfe)]['tab']||0x0,_0x18886d[_0xf4692f(0x1379)]=_0x115f88,_0x18886d['alert']=_0x300834[_0xf4692f(0x28c7)],_0x18886d['gotoOpenchannelAccounts']=_0x34a47b,_0x18886d['saveOpenchannelAccount']=_0x505700,_0x15c11c['hasRole'](_0xf4692f(0x1c60))?_0x29e710['cmList'][_0xf4692f(0xbf7)]({'fields':_0xf4692f(0x43c),'sort':_0xf4692f(0x16b6)})[_0xf4692f(0x1d77)]['then'](function(_0x23e578){const _0x14665f=_0xf4692f;_0x18886d[_0x14665f(0x1046)]=_0x23e578['rows']||[];})[_0xf4692f(0x1c4)](function(_0x31227e){const _0x29e394=_0xf4692f;_0x300834['error']({'title':_0x31227e[_0x29e394(0x291)]?_0x29e394(0xeb9)+_0x31227e[_0x29e394(0x291)]+_0x29e394(0x1657)+_0x31227e[_0x29e394(0xc22)]:_0x29e394(0x149e),'msg':_0x31227e[_0x29e394(0x25c)]?JSON[_0x29e394(0x2701)](_0x31227e[_0x29e394(0x25c)]):_0x31227e[_0x29e394(0x147f)]()});}):_0x29e710[_0xf4692f(0x22f2)]['get']({'fields':_0xf4692f(0x43c),'sort':_0xf4692f(0x16b6)})[_0xf4692f(0x1d77)][_0xf4692f(0x1cb0)](function(_0x52326e){const _0x12af72=_0xf4692f;_0x18886d['lists']=_0x52326e[_0x12af72(0x2214)]||[];})[_0xf4692f(0x1cb0)](function(){const _0x456128=_0xf4692f;return _0x29e710['userProfileSection'][_0x456128(0xbf7)]({'userProfileId':_0x18886d[_0x456128(0xe76)][_0x456128(0x13c1)],'sectionId':0x12d})['$promise'];})[_0xf4692f(0x1cb0)](function(_0x6b41fb){const _0x44bbca=_0xf4692f,_0x14347a=_0x6b41fb&&_0x6b41fb[_0x44bbca(0x2214)]?_0x6b41fb[_0x44bbca(0x2214)][0x0]:null;if(!_0x14347a){const _0x5dcf3c=[];let _0x4bda27=null;_0x18886d[_0x44bbca(0x80e)]&&(_0x4bda27=_0x39641b()['find'](_0x18886d['lists'],{'id':Number(_0x18886d[_0x44bbca(0x80e)][_0x44bbca(0x20a6)])}));for(let _0x5acec3=0x0;_0x5acec3<_0x18886d[_0x44bbca(0x1046)]['length'];_0x5acec3++){_0x4bda27&&_0x18886d['lists'][_0x5acec3]['id']===_0x4bda27['id']&&(_0x18886d[_0x44bbca(0x1046)][_0x5acec3]['canSelect']=![],_0x5dcf3c[_0x44bbca(0x2785)](_0x18886d['lists'][_0x5acec3]));}_0x18886d[_0x44bbca(0x1046)]=_0x5dcf3c;}else{if(!_0x14347a[_0x44bbca(0x12f4)])return _0x29e710['userProfileResource'][_0x44bbca(0xbf7)]({'sectionId':_0x14347a['id']})['$promise'][_0x44bbca(0x1cb0)](function(_0x268b83){const _0x59e447=_0x44bbca,_0x14a3c2=_0x39641b()[_0x59e447(0x1de2)](_0x268b83[_0x59e447(0x2214)],function(_0x266cdd){const _0x4e8573=_0x59e447;return _0x39641b()['find'](_0x18886d[_0x4e8573(0x1046)],{'id':_0x266cdd['resourceId']});});let _0x82c4ed=null;_0x18886d[_0x59e447(0x80e)]&&(_0x82c4ed=_0x39641b()[_0x59e447(0x13b4)](_0x18886d[_0x59e447(0x1046)],{'id':Number(_0x18886d[_0x59e447(0x80e)]['ListId'])}));if(_0x82c4ed&&!_0x39641b()[_0x59e447(0x727)](_0x14a3c2,['id',_0x82c4ed['id']])){const _0x1e676a=_0x39641b()['find'](_0x18886d[_0x59e447(0x1046)],{'id':_0x82c4ed['id']});_0x1e676a['canSelect']=![],_0x14a3c2[_0x59e447(0x2785)](_0x1e676a);}_0x18886d['lists']=_0x14a3c2;});}})[_0xf4692f(0x1c4)](function(_0x2e089b){const _0x5254bf=_0xf4692f;_0x300834[_0x5254bf(0x218e)]({'title':_0x2e089b[_0x5254bf(0x291)]?_0x5254bf(0xeb9)+_0x2e089b[_0x5254bf(0x291)]+_0x5254bf(0x1657)+_0x2e089b['statusText']:_0x5254bf(0x113c),'msg':_0x2e089b[_0x5254bf(0x25c)]?JSON[_0x5254bf(0x2701)](_0x2e089b[_0x5254bf(0x25c)]):_0x2e089b[_0x5254bf(0x147f)]()});}),_0x29e710[_0xf4692f(0xbe7)][_0xf4692f(0x1e57)]({'id':_0x18886d['openchannelAccount'][_0xf4692f(0x20a6)]})[_0xf4692f(0x1d77)]['then'](function(_0x5456c4){const _0x381925=_0xf4692f;_0x18886d['fields']=[],_0x5456c4=_0x39641b()[_0x381925(0x27aa)](_0x5456c4[_0x381925(0x19b2)]());const _0x4e4be2=['id',_0x381925(0x24cb),_0x381925(0x27ba),_0x381925(0x16a1),'scheduledat','ListId',_0x381925(0x27ac)];for(let _0x1f075d=0x0;_0x1f075d<_0x5456c4['length'];_0x1f075d++){!_0x39641b()[_0x381925(0x250a)](_0x4e4be2,_0x5456c4[_0x1f075d][_0x381925(0x34f)])&&_0x18886d[_0x381925(0x355)][_0x381925(0x2785)](_0x5456c4[_0x1f075d]);}})[_0xf4692f(0x1c4)](function(_0x2dbd74){const _0x35a4fa=_0xf4692f;_0x300834[_0x35a4fa(0x218e)]({'title':_0x2dbd74['status']?_0x35a4fa(0xeb9)+_0x2dbd74[_0x35a4fa(0x291)]+_0x35a4fa(0x1657)+_0x2dbd74['statusText']:'SYSTEM:GET_FIELDS','msg':_0x2dbd74['data']?JSON[_0x35a4fa(0x2701)](_0x2dbd74[_0x35a4fa(0x25c)]):_0x2dbd74['toString']()});}),_0x15c11c[_0xf4692f(0x22b6)](_0xf4692f(0x1c60))?_0x29e710['pause']['get']({'fields':_0xf4692f(0x791),'sort':_0xf4692f(0x16b6),'nolimit':'true'})[_0xf4692f(0x1d77)][_0xf4692f(0x1cb0)](function(_0x1f8b43){const _0x986e4b=_0xf4692f;_0x18886d[_0x986e4b(0x8de)]=_0x1f8b43['rows']||[];})[_0xf4692f(0x1c4)](function(_0x22a457){const _0x469753=_0xf4692f;_0x300834['error']({'title':_0x22a457[_0x469753(0x291)]?_0x469753(0xeb9)+_0x22a457['status']+_0x469753(0x1657)+_0x22a457[_0x469753(0xc22)]:_0x469753(0x1589),'msg':_0x22a457['data']?JSON[_0x469753(0x2701)](_0x22a457[_0x469753(0x25c)]):_0x22a457[_0x469753(0x147f)]()});}):_0x29e710[_0xf4692f(0x785)][_0xf4692f(0xbf7)]({'fields':_0xf4692f(0x791),'sort':_0xf4692f(0x16b6),'nolimit':_0xf4692f(0x44d)})[_0xf4692f(0x1d77)][_0xf4692f(0x1cb0)](function(_0x2ec277){const _0x58bd4b=_0xf4692f;_0x18886d[_0x58bd4b(0x8de)]=_0x2ec277[_0x58bd4b(0x2214)]||[];})[_0xf4692f(0x1cb0)](function(){const _0x38ace0=_0xf4692f;return _0x29e710[_0x38ace0(0x2199)][_0x38ace0(0xbf7)]({'userProfileId':_0x18886d[_0x38ace0(0xe76)][_0x38ace0(0x13c1)],'sectionId':0x3ed})[_0x38ace0(0x1d77)];})[_0xf4692f(0x1cb0)](function(_0x25e1f1){const _0x268cb4=_0xf4692f,_0x176685=_0x25e1f1&&_0x25e1f1[_0x268cb4(0x2214)]?_0x25e1f1[_0x268cb4(0x2214)][0x0]:null;if(!_0x176685)_0x18886d[_0x268cb4(0x8de)]=[];else{if(!_0x176685[_0x268cb4(0x12f4)])return _0x29e710[_0x268cb4(0x1198)][_0x268cb4(0xbf7)]({'sectionId':_0x176685['id']})[_0x268cb4(0x1d77)][_0x268cb4(0x1cb0)](function(_0x2f802f){const _0x2eed2f=_0x268cb4,_0xbc3d14=_0x39641b()[_0x2eed2f(0x1de2)](_0x2f802f['rows'],function(_0x50934a){const _0x24b3f9=_0x2eed2f;return _0x39641b()[_0x24b3f9(0x13b4)](_0x18886d[_0x24b3f9(0x8de)],{'id':_0x50934a[_0x24b3f9(0x2982)]});});_0x18886d[_0x2eed2f(0x8de)][_0x2eed2f(0xf90)](function(_0x2a6a41){const _0x4155b3=_0x2eed2f;!_0x39641b()[_0x4155b3(0x727)](_0xbc3d14,['id',_0x2a6a41['id']])&&(_0x2a6a41[_0x4155b3(0x15da)]=![]),_0xbc3d14[_0x4155b3(0x2785)](_0x2a6a41);}),_0x18886d[_0x2eed2f(0x8de)]=_0xbc3d14;});}})[_0xf4692f(0x1c4)](function(_0x3345e6){const _0x5c822f=_0xf4692f;_0x300834[_0x5c822f(0x218e)]({'title':_0x3345e6[_0x5c822f(0x291)]?'API:'+_0x3345e6[_0x5c822f(0x291)]+_0x5c822f(0x1657)+_0x3345e6[_0x5c822f(0xc22)]:_0x5c822f(0x162),'msg':_0x3345e6[_0x5c822f(0x25c)]?JSON['stringify'](_0x3345e6['data']):_0x3345e6[_0x5c822f(0x147f)]()});});function _0x115f88(_0x1e2535,_0x586416){const _0x40ab1a=_0xf4692f;_0x143452[_0x40ab1a(0xe27)]({'controller':_0x40ab1a(0xac9),'controllerAs':'vm','templateUrl':_0x45c631,'parent':angular[_0x40ab1a(0x1853)](_0x317829['body']),'targetEvent':_0x586416,'clickOutsideToClose':!![],'locals':{'openchannelAccount':_0x1e2535,'openchannelAccounts':_0x18886d[_0x40ab1a(0xe5c)]?_0x18886d['openchannelAccounts'][_0x40ab1a(0x2214)]:[],'crudPermissions':_0x18886d[_0x40ab1a(0x1b1a)],'realtime':![]}});}function _0x34a47b(){const _0x1f0ff5=_0xf4692f;_0x2bdd13['go'](_0x1f0ff5(0x21d2),{},{'reload':'app.openchannel.openchannelAccounts'});}function _0x505700(){const _0x1c2936=_0xf4692f;_0x29e710[_0x1c2936(0x80e)]['update']({'id':_0x18886d[_0x1c2936(0x80e)]['id']},_0x18886d[_0x1c2936(0x80e)])['$promise'][_0x1c2936(0x1cb0)](function(){const _0x168efd=_0x1c2936;_0x300834[_0x168efd(0x829)]({'title':_0x168efd(0x834),'msg':_0x18886d[_0x168efd(0x80e)][_0x168efd(0x16b6)]?_0x18886d[_0x168efd(0x80e)][_0x168efd(0x16b6)]+'\x20has\x20been\x20updated!':''});})[_0x1c2936(0x1c4)](function(_0x3c74c1){const _0x29f2c6=_0x1c2936;_0x300834['error']({'title':_0x3c74c1[_0x29f2c6(0x291)]?_0x29f2c6(0xeb9)+_0x3c74c1[_0x29f2c6(0x291)]+'\x20-\x20'+_0x3c74c1[_0x29f2c6(0xc22)]:_0x29f2c6(0x78b),'msg':_0x3c74c1[_0x29f2c6(0x25c)]?JSON['stringify'](_0x3c74c1['data']):_0x3c74c1[_0x29f2c6(0x147f)]()});});}}const _0x590efa=_0x551099;;const _0x481dc6=_0x5074a3['p']+_0x5537c6(0x25b7);;_0x17faa9[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),'$mdDialog',_0x5537c6(0x22bf),_0x5537c6(0x2168),'$translate',_0x5537c6(0xe5c),_0x5537c6(0x44a),'userProfileSection','api',_0x5537c6(0xde8),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x17faa9(_0x376b8e,_0x70aeb6,_0x27e0ae,_0x537443,_0x494fdf,_0x16075f,_0x439299,_0x4a0fc1,_0x46ddec,_0x29f880,_0x56893e,_0x547949,_0x26ec01,_0x5f6ae5,_0x35676,_0x25fbfe,_0x5d222f){const _0x56a159=_0x5537c6,_0x5ce602=this;_0x5ce602[_0x56a159(0x8a5)]=_0x25fbfe,_0x5ce602[_0x56a159(0x9ca)]=_0x5d222f,_0x5ce602[_0x56a159(0xe76)]=_0x35676['getCurrentUser'](),_0x5ce602['openchannelAccounts']=_0x46ddec||{'count':0x0,'rows':[]},_0x5ce602[_0x56a159(0x44a)]=_0x29f880,_0x5ce602[_0x56a159(0x2199)]=_0x56893e&&_0x56893e[_0x56a159(0x184d)]==0x1?_0x56893e['rows'][0x0]:null,_0x5ce602[_0x56a159(0x1b1a)]=_0x35676['parseCrudPermissions'](_0x5ce602[_0x56a159(0x2199)]?_0x5ce602[_0x56a159(0x2199)]['crudPermissions']:null),_0x5ce602[_0x56a159(0xc83)]=_0x56a159(0xe5c),_0x5ce602[_0x56a159(0x1d20)]='',_0x5ce602[_0x56a159(0x1cdf)]=null,_0x5ce602[_0x56a159(0x244f)]=[],_0x5ce602[_0x56a159(0xae2)]={'fields':'createdAt,updatedAt,id,name,key,token,replyUri,ListId,waitForTheAssignedAgent,mapKey,mandatoryDisposition,mandatoryDispositionPauseId,description,receiveUri,notificationSound,notificationShake,notificationTemplate,queueTransfer,queueTransferTimeout,agentTransfer,agentTransferTimeout','sort':'-updatedAt','limit':0xa,'page':0x1},_0x5ce602['editstate']=_0x27b86e,_0x5ce602[_0x56a159(0x1552)]=_0x157ec5,_0x5ce602[_0x56a159(0x1379)]=_0x3ce288,_0x5ce602[_0x56a159(0x27fe)]=_0x33e846,_0x5ce602['success']=_0x410b19,_0x5ce602[_0x56a159(0x29c4)]=_0xd75c64,_0x5ce602[_0x56a159(0x1be8)]=_0x13b1bf,_0x5ce602[_0x56a159(0xf8b)]=_0x14561d,_0x5ce602['exportSelectedOpenchannelAccounts']=_0x31e7a4,_0x5ce602['deleteSelectedOpenchannelAccounts']=_0x5edf7f,_0x5ce602[_0x56a159(0x9da)]=_0x189bdf,_0x5ce602[_0x56a159(0x22cb)]=_0x7a5d1d,_0x35676[_0x56a159(0x22b6)](_0x56a159(0x1c60))?_0x547949[_0x56a159(0x22f2)][_0x56a159(0xbf7)]({'fields':_0x56a159(0x43c),'sort':_0x56a159(0x16b6)})[_0x56a159(0x1d77)][_0x56a159(0x1cb0)](function(_0x1dd2e9){const _0x34198c=_0x56a159;_0x5ce602['lists']=_0x1dd2e9[_0x34198c(0x2214)]||[];})[_0x56a159(0x1c4)](function(_0x2b8b03){const _0x3c3539=_0x56a159;_0x5f6ae5[_0x3c3539(0x218e)]({'title':_0x2b8b03['status']?_0x3c3539(0xeb9)+_0x2b8b03[_0x3c3539(0x291)]+_0x3c3539(0x1657)+_0x2b8b03[_0x3c3539(0xc22)]:_0x3c3539(0x149e),'msg':_0x2b8b03[_0x3c3539(0x25c)]?JSON['stringify'](_0x2b8b03[_0x3c3539(0x25c)]):_0x2b8b03[_0x3c3539(0x147f)]()});}):_0x547949[_0x56a159(0x22f2)][_0x56a159(0xbf7)]({'fields':_0x56a159(0x43c),'sort':'name'})['$promise'][_0x56a159(0x1cb0)](function(_0x504a46){const _0x5da25c=_0x56a159;_0x5ce602['lists']=_0x504a46[_0x5da25c(0x2214)]||[];})[_0x56a159(0x1cb0)](function(){const _0x5d861f=_0x56a159;return _0x547949['userProfileSection'][_0x5d861f(0xbf7)]({'userProfileId':_0x5ce602[_0x5d861f(0xe76)]['userProfileId'],'sectionId':0x12d})[_0x5d861f(0x1d77)];})[_0x56a159(0x1cb0)](function(_0x2de0d2){const _0x3eb81b=_0x56a159,_0xe6496f=_0x2de0d2&&_0x2de0d2[_0x3eb81b(0x2214)]?_0x2de0d2['rows'][0x0]:null;if(!_0xe6496f){const _0x2fed42=[];let _0x2ab312=null;_0x5ce602['openchannelAccount']&&(_0x2ab312=_0x39641b()[_0x3eb81b(0x13b4)](_0x5ce602[_0x3eb81b(0x1046)],{'id':Number(_0x5ce602[_0x3eb81b(0x80e)][_0x3eb81b(0x20a6)])}));for(let _0x46dd1d=0x0;_0x46dd1d<_0x5ce602['lists'][_0x3eb81b(0xfd0)];_0x46dd1d++){_0x2ab312&&_0x5ce602[_0x3eb81b(0x1046)][_0x46dd1d]['id']===_0x2ab312['id']&&(_0x5ce602['lists'][_0x46dd1d][_0x3eb81b(0x15da)]=![],_0x2fed42[_0x3eb81b(0x2785)](_0x5ce602[_0x3eb81b(0x1046)][_0x46dd1d]));}_0x5ce602[_0x3eb81b(0x1046)]=_0x2fed42;}else{if(!_0xe6496f[_0x3eb81b(0x12f4)])return _0x547949[_0x3eb81b(0x1198)][_0x3eb81b(0xbf7)]({'sectionId':_0xe6496f['id']})[_0x3eb81b(0x1d77)]['then'](function(_0x134b47){const _0x273f20=_0x3eb81b,_0x4fca19=_0x39641b()['map'](_0x134b47['rows'],function(_0x39af3a){const _0xe5214e=a0_0x5cbd;return _0x39641b()['find'](_0x5ce602[_0xe5214e(0x1046)],{'id':_0x39af3a[_0xe5214e(0x2982)]});});let _0x38e27d=null;_0x5ce602[_0x273f20(0x80e)]&&(_0x38e27d=_0x39641b()[_0x273f20(0x13b4)](_0x5ce602[_0x273f20(0x1046)],{'id':Number(_0x5ce602[_0x273f20(0x80e)][_0x273f20(0x20a6)])}));if(_0x38e27d&&!_0x39641b()[_0x273f20(0x727)](_0x4fca19,['id',_0x38e27d['id']])){const _0x12e562=_0x39641b()[_0x273f20(0x13b4)](_0x5ce602[_0x273f20(0x1046)],{'id':_0x38e27d['id']});_0x12e562[_0x273f20(0x15da)]=![],_0x4fca19[_0x273f20(0x2785)](_0x12e562);}_0x5ce602['lists']=_0x4fca19;});}})['catch'](function(_0x2c6cb7){const _0x5c210b=_0x56a159;_0x5f6ae5[_0x5c210b(0x218e)]({'title':_0x2c6cb7[_0x5c210b(0x291)]?_0x5c210b(0xeb9)+_0x2c6cb7[_0x5c210b(0x291)]+_0x5c210b(0x1657)+_0x2c6cb7[_0x5c210b(0xc22)]:_0x5c210b(0x113c),'msg':_0x2c6cb7[_0x5c210b(0x25c)]?JSON[_0x5c210b(0x2701)](_0x2c6cb7[_0x5c210b(0x25c)]):_0x2c6cb7['toString']()});});function _0x27b86e(_0x2e13df){const _0x59fd15=_0x56a159;_0x27e0ae['go'](_0x59fd15(0x1f01),{'id':_0x2e13df['id'],'openchannelAccount':_0x2e13df,'crudPermissions':_0x5ce602[_0x59fd15(0x1b1a)]});}function _0x157ec5(_0x4b6305){const _0x4c14f5=_0x56a159;_0x27e0ae['go'](_0x4c14f5(0x1f01),{'id':_0x4b6305['id'],'tab':0x5});}function _0x3ce288(_0x4d9d79,_0x5d9b41){const _0x467924=_0x56a159;_0x494fdf[_0x467924(0xe27)]({'controller':'OpenchannelAccountagentaddController','controllerAs':'vm','templateUrl':_0x45c631,'parent':angular[_0x467924(0x1853)](_0x16075f[_0x467924(0x1ed9)]),'targetEvent':_0x5d9b41,'clickOutsideToClose':!![],'locals':{'openchannelAccount':_0x4d9d79,'openchannelAccounts':_0x5ce602[_0x467924(0xe5c)]?_0x5ce602[_0x467924(0xe5c)][_0x467924(0x2214)]:[],'crudPermissions':_0x5ce602[_0x467924(0x1b1a)],'realtime':![]}});}function _0x33e846(_0x2a73b3,_0x163bc2){const _0x2fc3e2=_0x56a159,_0x2f6887=_0x494fdf['confirm']()[_0x2fc3e2(0x1386)](_0x2fc3e2(0x140b)+_0x39641b()[_0x2fc3e2(0xa75)](_0x2fc3e2(0x80e))+'?')[_0x2fc3e2(0x49e)](_0x2fc3e2(0x204d)+(_0x2a73b3[_0x2fc3e2(0x16b6)]||_0x2fc3e2(0x80e))+''+'\x20will\x20be\x20deleted.')['ariaLabel'](_0x2fc3e2(0x22a4))[_0x2fc3e2(0x728)](_0x163bc2)['ok']('OK')['cancel'](_0x2fc3e2(0x24ba));_0x494fdf[_0x2fc3e2(0xe27)](_0x2f6887)['then'](function(){_0x14561d(_0x2a73b3);},function(){const _0x9c6954=_0x2fc3e2;console[_0x9c6954(0x1b4f)](_0x9c6954(0x24ba));});}let _0x3156da=!![],_0x34801b=0x1;_0x376b8e[_0x56a159(0x614)](_0x56a159(0x957),function(_0x36f49d,_0x10e43a){const _0x2be594=_0x56a159;_0x3156da?_0x439299(function(){_0x3156da=![];}):(!_0x10e43a&&(_0x34801b=_0x5ce602[_0x2be594(0xae2)]['page']),_0x36f49d!==_0x10e43a&&(_0x5ce602['query'][_0x2be594(0x1c7b)]=0x1),!_0x36f49d&&(_0x5ce602[_0x2be594(0xae2)][_0x2be594(0x1c7b)]=_0x34801b),_0x5ce602['getOpenchannelAccounts']());});function _0x410b19(_0x3d2d6e){_0x5ce602['openchannelAccounts']=_0x3d2d6e||{'count':0x0,'rows':[]};}function _0xd75c64(){const _0x27cfb6=_0x56a159;_0x5ce602[_0x27cfb6(0xae2)][_0x27cfb6(0x184b)]=(_0x5ce602[_0x27cfb6(0xae2)][_0x27cfb6(0x1c7b)]-0x1)*_0x5ce602[_0x27cfb6(0xae2)]['limit'],_0x35676[_0x27cfb6(0x22b6)]('admin')?_0x5ce602[_0x27cfb6(0x2061)]=_0x547949[_0x27cfb6(0x80e)]['get'](_0x5ce602['query'],_0x410b19)[_0x27cfb6(0x1d77)]:(_0x5ce602['query']['id']=_0x5ce602[_0x27cfb6(0x44a)]['id'],_0x5ce602[_0x27cfb6(0xae2)][_0x27cfb6(0x1f74)]=_0x27cfb6(0x1864),_0x5ce602[_0x27cfb6(0x2061)]=_0x547949[_0x27cfb6(0x44a)][_0x27cfb6(0x1810)](_0x5ce602[_0x27cfb6(0xae2)],_0x410b19)[_0x27cfb6(0x1d77)]);}function _0x13b1bf(_0x5f2def,_0x5a049b){const _0x16bcd6=_0x56a159;_0x494fdf[_0x16bcd6(0xe27)]({'controller':_0x16bcd6(0x11f5),'controllerAs':'vm','templateUrl':_0x481dc6,'parent':angular['element'](_0x16075f['body']),'targetEvent':_0x5f2def,'clickOutsideToClose':!![],'locals':{'openchannelAccount':_0x5a049b,'openchannelAccounts':_0x5ce602[_0x16bcd6(0xe5c)]['rows'],'license':_0x5ce602['license'],'setting':_0x5ce602[_0x16bcd6(0x9ca)],'crudPermissions':_0x5ce602[_0x16bcd6(0x1b1a)]}});}function _0x14561d(_0x544eae){const _0x5d772d=_0x56a159;_0x547949[_0x5d772d(0x80e)][_0x5d772d(0x111d)]({'id':_0x544eae['id']})[_0x5d772d(0x1d77)][_0x5d772d(0x1cb0)](function(){const _0x34ef25=_0x5d772d;_0x39641b()[_0x34ef25(0x152a)](_0x5ce602[_0x34ef25(0xe5c)]['rows'],{'id':_0x544eae['id']}),_0x5ce602[_0x34ef25(0xe5c)][_0x34ef25(0x184d)]-=0x1,!_0x5ce602[_0x34ef25(0xe5c)][_0x34ef25(0x2214)][_0x34ef25(0xfd0)]&&_0x5ce602[_0x34ef25(0x29c4)](),_0x5f6ae5[_0x34ef25(0x829)]({'title':_0x39641b()[_0x34ef25(0xa75)](_0x34ef25(0x26f7))+_0x34ef25(0x2663),'msg':_0x544eae[_0x34ef25(0x16b6)]?_0x544eae[_0x34ef25(0x16b6)]+_0x34ef25(0x3f5):''});})[_0x5d772d(0x1c4)](function(_0x1c8b57){const _0x25318e=_0x5d772d;if(_0x1c8b57[_0x25318e(0x25c)]&&_0x1c8b57[_0x25318e(0x25c)][_0x25318e(0x1a7c)]&&_0x1c8b57[_0x25318e(0x25c)]['errors']['length']){_0x5ce602['errors']=_0x1c8b57[_0x25318e(0x25c)][_0x25318e(0x1a7c)]||[{'message':_0x1c8b57[_0x25318e(0x147f)](),'type':_0x25318e(0x10c1)}];for(let _0x2c1084=0x0;_0x2c1084<_0x1c8b57[_0x25318e(0x25c)][_0x25318e(0x1a7c)][_0x25318e(0xfd0)];_0x2c1084++){_0x5f6ae5[_0x25318e(0x218e)]({'title':_0x1c8b57[_0x25318e(0x25c)]['errors'][_0x2c1084][_0x25318e(0x66a)],'msg':_0x1c8b57[_0x25318e(0x25c)][_0x25318e(0x1a7c)][_0x2c1084][_0x25318e(0x155e)]});}}else _0x5f6ae5[_0x25318e(0x218e)]({'title':_0x1c8b57[_0x25318e(0x291)]?_0x25318e(0xeb9)+_0x1c8b57[_0x25318e(0x291)]+_0x25318e(0x1657)+_0x1c8b57[_0x25318e(0xc22)]:_0x25318e(0x10c1),'msg':_0x1c8b57[_0x25318e(0x25c)]?JSON[_0x25318e(0x2701)](_0x1c8b57[_0x25318e(0x25c)][_0x25318e(0x155e)]):_0x1c8b57[_0x25318e(0x155e)]||_0x1c8b57['toString']()});});}function _0x31e7a4(){const _0x34117c=_0x56a159,_0x5b4cac=angular[_0x34117c(0x17fe)](_0x5ce602[_0x34117c(0x244f)]);return _0x5ce602[_0x34117c(0x244f)]=[],_0x5b4cac;}function _0x5edf7f(_0x22a172){const _0x52656e=_0x56a159,_0x4c3765=_0x494fdf['confirm']()[_0x52656e(0x1386)](_0x52656e(0x7ff))[_0x52656e(0x49e)](_0x52656e(0x204d)+_0x5ce602[_0x52656e(0x244f)][_0x52656e(0xfd0)]+_0x52656e(0x1d6c)+_0x52656e(0x1b6))[_0x52656e(0x15ad)]('delete\x20OpenchannelAccounts')[_0x52656e(0x728)](_0x22a172)['ok']('OK')[_0x52656e(0x696)](_0x52656e(0x24ba));_0x494fdf[_0x52656e(0xe27)](_0x4c3765)['then'](function(){const _0x7ffe2c=_0x52656e;_0x5ce602[_0x7ffe2c(0x244f)]['forEach'](function(_0x13d2ef){_0x14561d(_0x13d2ef);}),_0x5ce602[_0x7ffe2c(0x244f)]=[];});}function _0x189bdf(){_0x5ce602['selectedOpenchannelAccounts']=[];}function _0x7a5d1d(){const _0x167b9d=_0x56a159;_0x5ce602[_0x167b9d(0x244f)]=_0x5ce602[_0x167b9d(0xe5c)][_0x167b9d(0x2214)];}}const _0xb9dca=_0x17faa9;;_0x149ca2[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q','$translate','toasty',_0x5537c6(0x1c95),'openchannelQueue',_0x5537c6(0x142b),_0x5537c6(0x1774),'license',_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x149ca2(_0x7f0ed1,_0x471f57,_0x4ac36a,_0x2901fa,_0xeabfc7,_0x4164a6,_0x1a38dd,_0x116596,_0x3693e5,_0x1b975d,_0xa4cd67,_0x37e3aa,_0x50181f,_0x2f3fa9){const _0x16fc2d=_0x5537c6,_0x2f5ad9=this;_0x2f5ad9['currentUser']=_0xa4cd67[_0x16fc2d(0x21e8)](),_0x2f5ad9[_0x16fc2d(0x1a7c)]=[],_0x2f5ad9[_0x16fc2d(0x9ca)]=_0x50181f,_0x2f5ad9[_0x16fc2d(0x8a5)]=_0x37e3aa,_0x2f5ad9['crudPermissions']=_0x2f3fa9,_0x2f5ad9[_0x16fc2d(0xf4c)]={},_0x2f5ad9[_0x16fc2d(0x1b0c)]=_0x2f5ad9[_0x16fc2d(0x9ca)]&&_0x2f5ad9['setting']['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x2f5ad9[_0x16fc2d(0x1386)]=_0x16fc2d(0xa19),_0x2f5ad9['openchannelQueue']=angular['copy'](_0x3693e5),_0x2f5ad9[_0x16fc2d(0x1c95)]=_0x116596,_0x2f5ad9[_0x16fc2d(0x77a)]=![];!_0x2f5ad9[_0x16fc2d(0x3a9)]&&(_0x2f5ad9[_0x16fc2d(0x3a9)]={'strategy':'beepall','timeout':0xa},_0x2f5ad9[_0x16fc2d(0x1386)]=_0x16fc2d(0x842),_0x2f5ad9['newOpenchannelQueue']=!![]);_0x2f5ad9[_0x16fc2d(0x1921)]=_0x3e9656,_0x2f5ad9[_0x16fc2d(0xe3e)]=_0x1a93ac,_0x2f5ad9['deleteOpenchannelQueue']=_0x23ac4d,_0x2f5ad9['getDateFromString']=_0x45e8aa,_0x2f5ad9[_0x16fc2d(0xda0)]=_0x53a4d3;function _0x3e9656(){const _0x48d8f0=_0x16fc2d;_0x2f5ad9[_0x48d8f0(0x1a7c)]=[],_0x1b975d[_0x48d8f0(0x3a9)][_0x48d8f0(0x1c3f)](_0x2f5ad9[_0x48d8f0(0x3a9)])[_0x48d8f0(0x1d77)][_0x48d8f0(0x1cb0)](function(_0x30201b){const _0x11094b=_0x48d8f0;_0x2f5ad9[_0x11094b(0x1c95)][_0x11094b(0xf63)](_0x30201b[_0x11094b(0x19b2)]()),_0x1a38dd[_0x11094b(0x829)]({'title':_0x11094b(0x291a),'msg':_0x2f5ad9[_0x11094b(0x3a9)]['name']?_0x2f5ad9[_0x11094b(0x3a9)][_0x11094b(0x16b6)]+_0x11094b(0x470):''}),_0x53a4d3(_0x30201b);})[_0x48d8f0(0x1c4)](function(_0x34c52f){const _0x2e9a15=_0x48d8f0;if(_0x34c52f[_0x2e9a15(0x25c)]&&_0x34c52f[_0x2e9a15(0x25c)]['errors']&&_0x34c52f[_0x2e9a15(0x25c)]['errors'][_0x2e9a15(0xfd0)]){_0x2f5ad9[_0x2e9a15(0x1a7c)]=_0x34c52f[_0x2e9a15(0x25c)]['errors']||[{'message':_0x34c52f[_0x2e9a15(0x147f)](),'type':_0x2e9a15(0x1391)}];for(let _0x38e879=0x0;_0x38e879<_0x34c52f[_0x2e9a15(0x25c)][_0x2e9a15(0x1a7c)][_0x2e9a15(0xfd0)];_0x38e879+=0x1){_0x1a38dd['error']({'title':_0x34c52f[_0x2e9a15(0x25c)][_0x2e9a15(0x1a7c)][_0x38e879][_0x2e9a15(0x66a)],'msg':_0x34c52f['data']['errors'][_0x38e879][_0x2e9a15(0x155e)]});}}else _0x1a38dd['error']({'title':_0x34c52f[_0x2e9a15(0x291)]?'API:'+_0x34c52f[_0x2e9a15(0x291)]+'\x20-\x20'+_0x34c52f[_0x2e9a15(0xc22)]:_0x2e9a15(0x1391),'msg':_0x34c52f[_0x2e9a15(0x25c)]?JSON[_0x2e9a15(0x2701)](_0x34c52f[_0x2e9a15(0x25c)][_0x2e9a15(0x155e)]):_0x34c52f[_0x2e9a15(0x147f)]()});});}function _0x1a93ac(){const _0x24e896=_0x16fc2d;_0x2f5ad9[_0x24e896(0x1a7c)]=[],_0x1b975d[_0x24e896(0x3a9)][_0x24e896(0x687)]({'id':_0x2f5ad9[_0x24e896(0x3a9)]['id']},_0x2f5ad9[_0x24e896(0x3a9)])[_0x24e896(0x1d77)][_0x24e896(0x1cb0)](function(_0x2b2568){const _0x2a5171=_0x24e896,_0x3d56c0=_0x39641b()[_0x2a5171(0x13b4)](_0x2f5ad9[_0x2a5171(0x1c95)],{'id':_0x2b2568['id']});_0x3d56c0&&_0x39641b()[_0x2a5171(0x9c1)](_0x3d56c0,_0x39641b()[_0x2a5171(0x169b)](_0x2b2568[_0x2a5171(0x19b2)](),_0x39641b()[_0x2a5171(0x1be5)](_0x3d56c0))),_0x1a38dd['success']({'title':_0x2a5171(0xfcb),'msg':_0x2f5ad9[_0x2a5171(0x3a9)][_0x2a5171(0x16b6)]?_0x2f5ad9['openchannelQueue'][_0x2a5171(0x16b6)]+_0x2a5171(0xedb):''}),_0x53a4d3(_0x2b2568);})[_0x24e896(0x1c4)](function(_0x3f5f03){const _0x5eee0a=_0x24e896;if(_0x3f5f03[_0x5eee0a(0x25c)]&&_0x3f5f03[_0x5eee0a(0x25c)][_0x5eee0a(0x1a7c)]&&_0x3f5f03[_0x5eee0a(0x25c)]['errors']['length']){_0x2f5ad9[_0x5eee0a(0x1a7c)]=_0x3f5f03['data'][_0x5eee0a(0x1a7c)]||[{'message':_0x3f5f03[_0x5eee0a(0x147f)](),'type':_0x5eee0a(0x1181)}];for(let _0x4d322f=0x0;_0x4d322f<_0x3f5f03[_0x5eee0a(0x25c)][_0x5eee0a(0x1a7c)]['length'];_0x4d322f++){_0x1a38dd[_0x5eee0a(0x218e)]({'title':_0x3f5f03[_0x5eee0a(0x25c)]['errors'][_0x4d322f][_0x5eee0a(0x66a)],'msg':_0x3f5f03[_0x5eee0a(0x25c)][_0x5eee0a(0x1a7c)][_0x4d322f][_0x5eee0a(0x155e)]});}}else _0x1a38dd['error']({'title':_0x3f5f03[_0x5eee0a(0x291)]?_0x5eee0a(0xeb9)+_0x3f5f03[_0x5eee0a(0x291)]+_0x5eee0a(0x1657)+_0x3f5f03[_0x5eee0a(0xc22)]:_0x5eee0a(0x1181),'msg':_0x3f5f03['data']?JSON['stringify'](_0x3f5f03['data'][_0x5eee0a(0x155e)]):_0x3f5f03['toString']()});});}function _0x23ac4d(_0x4892d2){const _0x2cd673=_0x16fc2d;_0x2f5ad9[_0x2cd673(0x1a7c)]=[];const _0x47d216=_0x2901fa[_0x2cd673(0x1551)]()[_0x2cd673(0x1386)](_0x2cd673(0x1a2e))['content']('The\x20openchannelQueue\x20will\x20be\x20deleted.')['ariaLabel'](_0x2cd673(0x1420))['ok'](_0x2cd673(0x2594))['cancel']('Cancel')[_0x2cd673(0x728)](_0x4892d2);_0x2901fa[_0x2cd673(0xe27)](_0x47d216)[_0x2cd673(0x1cb0)](function(){const _0x8435f8=_0x2cd673;_0x1b975d[_0x8435f8(0x3a9)][_0x8435f8(0x111d)]({'id':_0x2f5ad9[_0x8435f8(0x3a9)]['id']})[_0x8435f8(0x1d77)]['then'](function(){const _0x56471a=_0x8435f8;_0x39641b()['remove'](_0x2f5ad9[_0x56471a(0x1c95)],{'id':_0x2f5ad9[_0x56471a(0x3a9)]['id']}),_0x1a38dd[_0x56471a(0x829)]({'title':_0x56471a(0x19a8),'msg':(_0x2f5ad9[_0x56471a(0x3a9)][_0x56471a(0x16b6)]||_0x56471a(0x3a9))+_0x56471a(0x3f5)}),_0x53a4d3(_0x2f5ad9[_0x56471a(0x3a9)]);})[_0x8435f8(0x1c4)](function(_0x37b394){const _0x3dfafa=_0x8435f8;if(_0x37b394[_0x3dfafa(0x25c)]&&_0x37b394['data']['errors']&&_0x37b394['data'][_0x3dfafa(0x1a7c)][_0x3dfafa(0xfd0)]){_0x2f5ad9[_0x3dfafa(0x1a7c)]=_0x37b394[_0x3dfafa(0x25c)][_0x3dfafa(0x1a7c)]||[{'message':_0x37b394['toString'](),'type':_0x3dfafa(0x1553)}];for(let _0x29153=0x0;_0x29153<_0x37b394[_0x3dfafa(0x25c)][_0x3dfafa(0x1a7c)]['length'];_0x29153++){_0x1a38dd[_0x3dfafa(0x218e)]({'title':_0x37b394['data'][_0x3dfafa(0x1a7c)][_0x29153][_0x3dfafa(0x66a)],'msg':_0x37b394[_0x3dfafa(0x25c)]['errors'][_0x29153][_0x3dfafa(0x155e)]});}}else _0x1a38dd['error']({'title':_0x37b394[_0x3dfafa(0x291)]?_0x3dfafa(0xeb9)+_0x37b394[_0x3dfafa(0x291)]+_0x3dfafa(0x1657)+_0x37b394['statusText']:_0x3dfafa(0x1553),'msg':_0x37b394[_0x3dfafa(0x25c)]?JSON['stringify'](_0x37b394[_0x3dfafa(0x25c)][_0x3dfafa(0x155e)]):_0x37b394[_0x3dfafa(0x155e)]||_0x37b394[_0x3dfafa(0x147f)]()});});},function(){});}function _0x45e8aa(_0x1beb95){return _0x1beb95===null?undefined:new Date(_0x1beb95);}function _0x53a4d3(_0x48dd0e){const _0x5302d9=_0x16fc2d;_0x2901fa[_0x5302d9(0x1426)](_0x48dd0e);}}const _0x3dae5f=_0x149ca2;;_0x300fde[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x3a9),_0x5537c6(0x1c95),_0x5537c6(0x217b),'$translate',_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x300fde(_0x5e5fb7,_0x4beadf,_0x2c341a,_0x46d26b,_0x4c7c3e,_0xbd60b2,_0x3eae0a,_0x2190f8,_0x282dc4,_0x3c59e5){const _0x36da9f=_0x5537c6,_0x535743=this;_0x535743[_0x36da9f(0xe76)]=_0x282dc4['getCurrentUser'](),_0x535743[_0x36da9f(0x3a9)]=_0x4c7c3e,_0x535743['crudPermissions']=_0x3c59e5,_0x535743[_0x36da9f(0x217b)]=_0x3eae0a,_0x535743[_0x36da9f(0x122f)]=[],_0x535743[_0x36da9f(0x1372)]=[],_0x535743[_0x36da9f(0x20bb)]=[],_0x535743[_0x36da9f(0xbd5)]=[],_0x535743[_0x36da9f(0x1456)]=[],_0x535743[_0x36da9f(0x50c)]=![],_0x535743[_0x36da9f(0x1a34)]=_0x325502,_0x535743[_0x36da9f(0x1dd5)]=_0x555093,_0x535743['closeDialog']=_0x194099,_0x535743[_0x36da9f(0x18c0)]={'readOnly':!_0x535743['crudPermissions'][_0x36da9f(0xb3d)],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':'name','line1':'fullname','line2':[_0x36da9f(0x16b6),_0x36da9f(0xdbd)],'line3':'','labelAll':_0x2190f8[_0x36da9f(0x25cc)]('APP.ALL_AGENTS'),'labelSelected':_0x2190f8['instant'](_0x36da9f(0x1cd5)),'transferCallback':function(){const _0x5746e3=_0x36da9f,_0x26f44c=_0x39641b()['xorBy'](_0x535743[_0x5746e3(0x1456)],_0x535743[_0x5746e3(0x20bb)],'id');_0x535743[_0x5746e3(0x50c)]=_0x39641b()[_0x5746e3(0xce9)](_0x26f44c)?![]:!![];}};function _0x325502(){const _0x15f86d=_0x36da9f;return _0x282dc4[_0x15f86d(0x22b6)](_0x15f86d(0x1c60))?_0x4a94d7()['catch'](function(_0x505dd){const _0x5f1d89=_0x15f86d;_0x2c341a[_0x5f1d89(0x218e)]({'title':_0x505dd[_0x5f1d89(0x291)]?'API:'+_0x505dd[_0x5f1d89(0x291)]+_0x5f1d89(0x1657)+_0x505dd[_0x5f1d89(0xc22)]:_0x5f1d89(0x799),'msg':_0x505dd[_0x5f1d89(0x291)]?JSON[_0x5f1d89(0x2701)](_0x505dd[_0x5f1d89(0x25c)]):_0x505dd[_0x5f1d89(0x147f)]()});}):_0x1ca97c()[_0x15f86d(0x1cb0)](function(_0x5978bd){const _0xdb977f=_0x15f86d;return _0x535743[_0xdb977f(0x1f74)]=_0x5978bd,_0x4a94d7();})[_0x15f86d(0x1c4)](function(_0xf0c885){const _0x2ba4dc=_0x15f86d;_0x2c341a[_0x2ba4dc(0x218e)]({'title':_0xf0c885[_0x2ba4dc(0x291)]?_0x2ba4dc(0xeb9)+_0xf0c885['status']+_0x2ba4dc(0x1657)+_0xf0c885[_0x2ba4dc(0xc22)]:_0x2ba4dc(0x799),'msg':_0xf0c885[_0x2ba4dc(0x291)]?JSON[_0x2ba4dc(0x2701)](_0xf0c885[_0x2ba4dc(0x25c)]):_0xf0c885['toString']()});});}function _0x1ca97c(){return _0x4beadf(function(_0x5da37a,_0x2d5df2){const _0x5b7b26=a0_0x5cbd;_0x46d26b[_0x5b7b26(0x2199)][_0x5b7b26(0xbf7)]({'userProfileId':_0x535743[_0x5b7b26(0xe76)][_0x5b7b26(0x13c1)],'name':_0x5b7b26(0x2536)})[_0x5b7b26(0x1d77)][_0x5b7b26(0x1cb0)](function(_0x403e49){const _0x1a3d58=_0x5b7b26,_0x4740bd=_0x403e49&&_0x403e49[_0x1a3d58(0x2214)]?_0x403e49[_0x1a3d58(0x2214)][0x0]:null;_0x5da37a(_0x4740bd);})[_0x5b7b26(0x1c4)](function(_0x3f8798){_0x2d5df2(_0x3f8798);});});}function _0x4a94d7(){return _0x4beadf(function(_0x4d93ec,_0x2bac7e){const _0x602dea=a0_0x5cbd;return _0x1d6a77()[_0x602dea(0x1cb0)](function(_0x3f9ab6){const _0x2507ee=_0x602dea;return _0x535743[_0x2507ee(0x122f)]=_0x3f9ab6['rows']?_0x3f9ab6[_0x2507ee(0x2214)]:[],_0x282dc4[_0x2507ee(0x22b6)](_0x2507ee(0x1c60))?_0x3f9ab6:_0x535743[_0x2507ee(0x1f74)]?_0x535743[_0x2507ee(0x1f74)][_0x2507ee(0x12f4)]?_0x3f9ab6:_0xd504b7():null;})[_0x602dea(0x1cb0)](function(_0x23eec0){const _0x41fba3=_0x602dea,_0x2b7c67=_0x23eec0&&_0x23eec0['rows']?_0x23eec0[_0x41fba3(0x2214)]:[];return _0x535743[_0x41fba3(0x1372)]=_0x39641b()[_0x41fba3(0x1de2)](_0x2b7c67,function(_0x1696a6){const _0x5dbe46=_0x41fba3;return _0x39641b()[_0x5dbe46(0x13b4)](_0x535743[_0x5dbe46(0x122f)],{'id':_0x282dc4['hasRole']('admin')||_0x535743['section'][_0x5dbe46(0x12f4)]?_0x1696a6['id']:_0x1696a6[_0x5dbe46(0x2982)]});}),_0x535743[_0x41fba3(0xbd5)]=angular['copy'](_0x535743['allowedItems']),_0x535743[_0x41fba3(0x122f)][_0x41fba3(0xf90)](function(_0x3ecc9b){const _0x5dd76a=_0x41fba3,_0x1a6a80=_0x39641b()['find'](_0x535743[_0x5dd76a(0x1372)],{'id':_0x3ecc9b['id']});_0x282dc4['hasRole'](_0x5dd76a(0x1c60))?_0x3ecc9b[_0x5dd76a(0x1a4f)]=!![]:_0x3ecc9b[_0x5dd76a(0x1a4f)]=typeof _0x1a6a80!==_0x5dd76a(0x16b5)?!![]:![];}),_0x8b78();})[_0x602dea(0x1cb0)](function(_0x1b00df){const _0x41e4c5=_0x602dea,_0x6bac73=_0x1b00df&&_0x1b00df[_0x41e4c5(0x2214)]?_0x1b00df[_0x41e4c5(0x2214)]:[];_0x535743[_0x41e4c5(0x20bb)]=_0x39641b()[_0x41e4c5(0x1de2)](_0x6bac73,function(_0x40ac3e){const _0x16f043=_0x41e4c5,_0x289d41=_0x39641b()[_0x16f043(0x13b4)](_0x535743[_0x16f043(0x122f)],{'id':_0x40ac3e['id']});return _0x289d41[_0x16f043(0x1cbc)]=_0x40ac3e[_0x16f043(0x1fb4)]?_0x16f043(0x455)+_0x40ac3e[_0x16f043(0x1fb4)][_0x16f043(0x1cbc)]:'',_0x289d41[_0x16f043(0xdbd)]=typeof _0x40ac3e[_0x16f043(0xdbd)]!==_0x16f043(0x16b5)?'<'+_0x40ac3e[_0x16f043(0xdbd)]+'>':'',_0x289d41;}),_0x535743[_0x41e4c5(0x1456)]=angular[_0x41e4c5(0x17fe)](_0x535743[_0x41e4c5(0x20bb)]),_0x535743[_0x41e4c5(0x18c0)][_0x41e4c5(0x20bb)]=_0x535743[_0x41e4c5(0x20bb)],_0x535743[_0x41e4c5(0x18c0)][_0x41e4c5(0x122f)]=_0x39641b()[_0x41e4c5(0x2128)](_0x535743['allowedItems'],_0x535743[_0x41e4c5(0x18c0)][_0x41e4c5(0x20bb)],'id'),_0x4d93ec();})['catch'](function(_0x209056){_0x2bac7e(_0x209056);});});}function _0xd504b7(){return _0x4beadf(function(_0x4d4544,_0x42f46f){const _0x489dcb=a0_0x5cbd;return _0x46d26b['userProfileResource'][_0x489dcb(0xbf7)]({'sectionId':_0x535743[_0x489dcb(0x1f74)]['id'],'nolimit':!![]})[_0x489dcb(0x1d77)]['then'](function(_0x277812){_0x4d4544(_0x277812);})['catch'](function(_0x417a99){_0x42f46f(_0x417a99);});});}function _0x8b78(){return _0x4beadf(function(_0x535525,_0x687fe0){const _0x49384b=a0_0x5cbd;return _0x46d26b[_0x49384b(0x3a9)][_0x49384b(0x2348)]({'id':_0x535743[_0x49384b(0x3a9)]['id'],'fields':'id,name,internal,fullname','nolimit':!![],'role':_0x49384b(0x1eff)})[_0x49384b(0x1d77)][_0x49384b(0x1cb0)](function(_0xea4f37){_0x535525(_0xea4f37);})[_0x49384b(0x1c4)](function(_0x1ca4af){_0x687fe0(_0x1ca4af);});});}function _0x1d6a77(){return _0x4beadf(function(_0x4f1fec,_0x19de20){const _0x436a12=a0_0x5cbd;return _0x46d26b[_0x436a12(0xebe)][_0x436a12(0xbf7)]({'fields':'id,name,internal,fullname','nolimit':!![],'role':_0x436a12(0x1eff)})['$promise'][_0x436a12(0x1cb0)](function(_0x4687db){_0x4f1fec(_0x4687db);})[_0x436a12(0x1c4)](function(_0x11653d){_0x19de20(_0x11653d);});});}function _0x465452(_0x3020a4){return _0x4beadf(function(_0x59f89c,_0x124288){const _0x49546e=a0_0x5cbd;_0x39641b()['isEmpty'](_0x3020a4)?_0x59f89c():_0x46d26b['openchannelQueue'][_0x49546e(0x2057)]({'id':_0x535743[_0x49546e(0x3a9)]['id'],'ids':_0x39641b()['map'](_0x3020a4,'id')})[_0x49546e(0x1d77)]['then'](function(){_0x59f89c();})[_0x49546e(0x1c4)](function(_0x4befb1){_0x124288(_0x4befb1);});});}function _0x133e21(_0x253612){return _0x4beadf(function(_0x13f9f1,_0x1253b0){const _0x1fb45c=a0_0x5cbd;_0x39641b()[_0x1fb45c(0xce9)](_0x253612)?_0x13f9f1():_0x46d26b[_0x1fb45c(0x3a9)][_0x1fb45c(0x223f)]({'id':_0x535743[_0x1fb45c(0x3a9)]['id'],'ids':_0x39641b()[_0x1fb45c(0x1de2)](_0x253612,'id')})[_0x1fb45c(0x1d77)]['then'](function(){_0x13f9f1();})['catch'](function(_0x3a1a75){_0x1253b0(_0x3a1a75);});});}function _0x555093(){const _0x470482=_0x36da9f,_0x4b540e=_0x39641b()[_0x470482(0x2128)](_0x535743['startingSelectedItems'],_0x535743['selectedItems'],'id'),_0x45cadf=_0x39641b()[_0x470482(0x2128)](_0x535743[_0x470482(0x20bb)],_0x535743[_0x470482(0x1456)],'id');return _0x133e21(_0x4b540e)[_0x470482(0x1cb0)](function(){return _0x465452(_0x45cadf);})['then'](function(){const _0x426815=_0x470482;_0x535743[_0x426815(0x50c)]=![],_0x535743['startingAllowedItems']=angular['copy'](_0x535743[_0x426815(0x1372)]),_0x535743[_0x426815(0x1456)]=angular[_0x426815(0x17fe)](_0x535743['selectedItems']),_0x2c341a[_0x426815(0x829)]({'title':_0x426815(0x201),'msg':_0x426815(0x976)});})['catch'](function(_0x3f74a0){const _0xf8f44d=_0x470482;_0x2c341a[_0xf8f44d(0x218e)]({'title':_0x3f74a0[_0xf8f44d(0x291)]?_0xf8f44d(0xeb9)+_0x3f74a0[_0xf8f44d(0x291)]+'\x20-\x20'+_0x3f74a0[_0xf8f44d(0xc22)]:_0xf8f44d(0x1221),'msg':_0x3f74a0[_0xf8f44d(0x291)]?JSON[_0xf8f44d(0x2701)](_0x3f74a0[_0xf8f44d(0x25c)]):_0x3f74a0['toString']()});});}function _0x194099(){_0x5e5fb7['hide']();}}const _0x2a153c=_0x300fde;;_0x13790f[_0x5537c6(0x15b6)]=['$mdDialog','$q',_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x3a9),'$translate',_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x13790f(_0x21a423,_0x561651,_0x16eab4,_0xa4082d,_0x69608c,_0x283519,_0x28fa04,_0x42b5dc){const _0x40748e=_0x5537c6,_0x528ab5=this;_0x528ab5['currentUser']=_0x28fa04[_0x40748e(0x21e8)](),_0x528ab5['openchannelQueue']=_0x69608c,_0x528ab5[_0x40748e(0x1b1a)]=_0x42b5dc,_0x528ab5[_0x40748e(0x122f)]=[],_0x528ab5['allowedItems']=[],_0x528ab5['selectedItems']=[],_0x528ab5[_0x40748e(0xbd5)]=[],_0x528ab5[_0x40748e(0x1456)]=[],_0x528ab5[_0x40748e(0x50c)]=![],_0x528ab5[_0x40748e(0x18c0)]={'readOnly':!_0x528ab5[_0x40748e(0x1b1a)]['canEdit'],'allowedItems':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':'name','line1':_0x40748e(0x16b6),'line2':'','line3':'','labelAll':_0x283519[_0x40748e(0x25cc)](_0x40748e(0x1f3)),'labelSelected':_0x283519[_0x40748e(0x25cc)](_0x40748e(0x1b5f)),'transferCallback':function(){const _0x31bcc3=_0x40748e,_0x10968a=_0x39641b()[_0x31bcc3(0x1423)](_0x528ab5[_0x31bcc3(0x1456)],_0x528ab5['selectedItems'],'id');_0x528ab5[_0x31bcc3(0x50c)]=_0x39641b()[_0x31bcc3(0xce9)](_0x10968a)?![]:!![];}},_0x528ab5[_0x40748e(0x1a34)]=_0x1867d0,_0x528ab5[_0x40748e(0x1c56)]=_0x2a93f6,_0x528ab5[_0x40748e(0xda0)]=_0x1bdd2a;function _0x1867d0(){const _0x5ec75e=_0x40748e;return _0x28fa04[_0x5ec75e(0x22b6)]('admin')?_0x4f898f()['catch'](function(_0x3022c8){const _0x363b09=_0x5ec75e;_0x16eab4[_0x363b09(0x218e)]({'title':_0x3022c8[_0x363b09(0x291)]?_0x363b09(0xeb9)+_0x3022c8['status']+_0x363b09(0x1657)+_0x3022c8[_0x363b09(0xc22)]:_0x363b09(0x2206),'msg':_0x3022c8['status']?JSON['stringify'](_0x3022c8[_0x363b09(0x25c)]):_0x3022c8[_0x363b09(0x147f)]()});}):_0x11b54a()[_0x5ec75e(0x1cb0)](function(_0x2a7a68){const _0x2977c8=_0x5ec75e;return _0x528ab5[_0x2977c8(0x1f74)]=_0x2a7a68,_0x4f898f();})[_0x5ec75e(0x1c4)](function(_0x5715b2){const _0x24bd11=_0x5ec75e;_0x16eab4[_0x24bd11(0x218e)]({'title':_0x5715b2[_0x24bd11(0x291)]?_0x24bd11(0xeb9)+_0x5715b2[_0x24bd11(0x291)]+_0x24bd11(0x1657)+_0x5715b2['statusText']:_0x24bd11(0x2206),'msg':_0x5715b2[_0x24bd11(0x291)]?JSON[_0x24bd11(0x2701)](_0x5715b2['data']):_0x5715b2[_0x24bd11(0x147f)]()});});}function _0x11b54a(){return _0x561651(function(_0x55919e,_0x1257b5){const _0x24fc9d=a0_0x5cbd;_0xa4082d[_0x24fc9d(0x2199)][_0x24fc9d(0xbf7)]({'userProfileId':_0x528ab5[_0x24fc9d(0xe76)][_0x24fc9d(0x13c1)],'name':_0x24fc9d(0x492)})['$promise'][_0x24fc9d(0x1cb0)](function(_0x3de165){const _0x5bf238=_0x24fc9d,_0x1e33ce=_0x3de165&&_0x3de165[_0x5bf238(0x2214)]?_0x3de165[_0x5bf238(0x2214)][0x0]:null;_0x55919e(_0x1e33ce);})[_0x24fc9d(0x1c4)](function(_0x19b971){_0x1257b5(_0x19b971);});});}function _0x4f898f(){return _0x561651(function(_0x537f8b,_0x4d0221){const _0x308292=a0_0x5cbd;return _0x564c42()['then'](function(_0x2de9f1){const _0x5573fd=a0_0x5cbd;return _0x528ab5['items']=_0x2de9f1['rows']?_0x2de9f1[_0x5573fd(0x2214)]:[],_0x28fa04['hasRole'](_0x5573fd(0x1c60))?_0x2de9f1:_0x528ab5[_0x5573fd(0x1f74)]?_0x528ab5[_0x5573fd(0x1f74)][_0x5573fd(0x12f4)]?_0x2de9f1:_0x59a5f5():null;})[_0x308292(0x1cb0)](function(_0x1e24a6){const _0x2cdc32=_0x308292,_0x23da25=_0x1e24a6&&_0x1e24a6['rows']?_0x1e24a6[_0x2cdc32(0x2214)]:[];return _0x528ab5[_0x2cdc32(0x1372)]=_0x39641b()['map'](_0x23da25,function(_0x379a9b){const _0x15940e=_0x2cdc32;return _0x39641b()[_0x15940e(0x13b4)](_0x528ab5[_0x15940e(0x122f)],{'id':_0x28fa04['hasRole']('admin')||_0x528ab5[_0x15940e(0x1f74)][_0x15940e(0x12f4)]?_0x379a9b['id']:_0x379a9b['resourceId']});}),_0x528ab5['items'][_0x2cdc32(0xf90)](function(_0x22036c){const _0x3fc3d2=_0x2cdc32,_0x2f5251=_0x39641b()[_0x3fc3d2(0x13b4)](_0x528ab5[_0x3fc3d2(0x1372)],{'id':_0x22036c['id']});_0x28fa04[_0x3fc3d2(0x22b6)](_0x3fc3d2(0x1c60))?_0x22036c[_0x3fc3d2(0x1a4f)]=!![]:_0x22036c['isValid']=typeof _0x2f5251!==_0x3fc3d2(0x16b5)?!![]:![];}),_0x1600d0();})[_0x308292(0x1cb0)](function(_0x18e170){const _0x1989d2=_0x308292,_0x46a948=_0x18e170&&_0x18e170['rows']?_0x18e170['rows']:[];_0x528ab5['selectedItems']=_0x39641b()[_0x1989d2(0x1de2)](_0x46a948,function(_0x1340f6){const _0x40c841=_0x1989d2;return _0x39641b()[_0x40c841(0x13b4)](_0x528ab5['items'],{'id':_0x1340f6['id']});}),_0x528ab5['startingSelectedItems']=angular[_0x1989d2(0x17fe)](_0x528ab5['selectedItems']),_0x528ab5[_0x1989d2(0x18c0)][_0x1989d2(0x20bb)]=_0x528ab5['selectedItems'],_0x528ab5[_0x1989d2(0x18c0)][_0x1989d2(0x122f)]=_0x39641b()[_0x1989d2(0x2128)](_0x528ab5[_0x1989d2(0x1372)],_0x528ab5[_0x1989d2(0x18c0)][_0x1989d2(0x20bb)],'id'),_0x537f8b();})['catch'](function(_0x35f668){_0x4d0221(_0x35f668);});});}function _0x59a5f5(){return _0x561651(function(_0x96b473,_0x2910d5){const _0x22b39a=a0_0x5cbd;return _0xa4082d[_0x22b39a(0x1198)][_0x22b39a(0xbf7)]({'sectionId':_0x528ab5['section']['id'],'nolimit':!![]})[_0x22b39a(0x1d77)][_0x22b39a(0x1cb0)](function(_0x563b0e){_0x96b473(_0x563b0e);})[_0x22b39a(0x1c4)](function(_0x2631a1){_0x2910d5(_0x2631a1);});});}function _0x1600d0(){return _0x561651(function(_0x93ad11,_0x2861a4){const _0x1821e0=a0_0x5cbd;return _0xa4082d[_0x1821e0(0x3a9)]['getTeams']({'id':_0x528ab5[_0x1821e0(0x3a9)]['id'],'fields':_0x1821e0(0x43c),'nolimit':!![]})[_0x1821e0(0x1d77)][_0x1821e0(0x1cb0)](function(_0x1e0257){_0x93ad11(_0x1e0257);})[_0x1821e0(0x1c4)](function(_0x596b55){_0x2861a4(_0x596b55);});});}function _0x564c42(){return _0x561651(function(_0x20d215,_0x221b95){const _0x1ef7d1=a0_0x5cbd;return _0xa4082d[_0x1ef7d1(0xdfd)][_0x1ef7d1(0xbf7)]({'fields':_0x1ef7d1(0x43c),'nolimit':!![]})[_0x1ef7d1(0x1d77)]['then'](function(_0x53b897){_0x20d215(_0x53b897);})[_0x1ef7d1(0x1c4)](function(_0x32a224){_0x221b95(_0x32a224);});});}function _0x163469(_0x2b39c6){return _0x561651(function(_0x2a8f9f,_0x55932a){const _0x1cb04e=a0_0x5cbd;_0x39641b()['isEmpty'](_0x2b39c6)?_0x2a8f9f():_0xa4082d[_0x1cb04e(0x3a9)][_0x1cb04e(0x28d9)]({'id':_0x528ab5['openchannelQueue']['id'],'ids':_0x39641b()[_0x1cb04e(0x1de2)](_0x2b39c6,'id')})[_0x1cb04e(0x1d77)][_0x1cb04e(0x1cb0)](function(){_0x2a8f9f();})[_0x1cb04e(0x1c4)](function(_0x1502b2){_0x55932a(_0x1502b2);});});}function _0x4f496e(_0x1a3508){return _0x561651(function(_0x3569c3,_0x63dea9){const _0x2692aa=a0_0x5cbd;_0x39641b()[_0x2692aa(0xce9)](_0x1a3508)?_0x3569c3():_0xa4082d['openchannelQueue'][_0x2692aa(0xfcc)]({'id':_0x528ab5[_0x2692aa(0x3a9)]['id'],'ids':_0x39641b()[_0x2692aa(0x1de2)](_0x1a3508,'id')})['$promise']['then'](function(){_0x3569c3();})['catch'](function(_0x134bee){_0x63dea9(_0x134bee);});});}function _0x2a93f6(){const _0x4498aa=_0x40748e,_0x56e614=_0x39641b()[_0x4498aa(0x2128)](_0x528ab5[_0x4498aa(0x1456)],_0x528ab5['selectedItems'],'id'),_0x28eb73=_0x39641b()[_0x4498aa(0x2128)](_0x528ab5[_0x4498aa(0x20bb)],_0x528ab5['startingSelectedItems'],'id');return _0x4f496e(_0x56e614)[_0x4498aa(0x1cb0)](function(){return _0x163469(_0x28eb73);})['then'](function(){const _0x3731f5=_0x4498aa;_0x528ab5[_0x3731f5(0x50c)]=![],_0x528ab5[_0x3731f5(0xbd5)]=angular['copy'](_0x528ab5[_0x3731f5(0x1372)]),_0x528ab5[_0x3731f5(0x1456)]=angular[_0x3731f5(0x17fe)](_0x528ab5[_0x3731f5(0x20bb)]),_0x16eab4['success']({'title':'SUCCESS','msg':'Teams\x20association\x20has\x20been\x20updated!'});})[_0x4498aa(0x1c4)](function(_0x184c44){const _0xb8f76b=_0x4498aa;_0x16eab4[_0xb8f76b(0x218e)]({'title':_0x184c44[_0xb8f76b(0x291)]?_0xb8f76b(0xeb9)+_0x184c44[_0xb8f76b(0x291)]+_0xb8f76b(0x1657)+_0x184c44[_0xb8f76b(0xc22)]:_0xb8f76b(0x1221),'msg':_0x184c44[_0xb8f76b(0x291)]?JSON['stringify'](_0x184c44[_0xb8f76b(0x25c)]):_0x184c44[_0xb8f76b(0x147f)]()});});}function _0x1bdd2a(){const _0x247201=_0x40748e;_0x21a423[_0x247201(0x1426)]();}}const _0x231e77=_0x13790f;;const _0x47b546=_0x5074a3['p']+_0x5537c6(0xb09);;_0x1d7b38[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x1ae),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x1774),'openchannelQueue',_0x5537c6(0x2199)];function _0x1d7b38(_0x11c49a,_0x4a82f2,_0x14312b,_0x57a78a,_0x149bf4,_0x319313,_0x5898c6,_0xfdfe8d,_0x5a2d5e,_0x1d9bda,_0x37b24e,_0x3977e6){const _0x180fad=_0x5537c6,_0x9d485a=this;_0x9d485a[_0x180fad(0xe76)]=_0x1d9bda[_0x180fad(0x21e8)](),_0x9d485a[_0x180fad(0x8a5)]=_0x319313,_0x9d485a[_0x180fad(0x9ca)]=_0x5898c6,_0x9d485a[_0x180fad(0x1b0c)]=_0x9d485a['setting'][_0x180fad(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x9d485a[_0x180fad(0x2404)]=_0x4a82f2[_0x180fad(0x2276)]()+'://'+_0x4a82f2[_0x180fad(0x17d8)](),_0x9d485a[_0x180fad(0x3a9)]=_0x37b24e||_0x11c49a[_0x180fad(0x1dfe)]['openchannelQueue']||{},_0x9d485a[_0x180fad(0x2199)]=_0x3977e6&&_0x3977e6[_0x180fad(0x184d)]==0x1?_0x3977e6[_0x180fad(0x2214)][0x0]:null,_0x9d485a[_0x180fad(0x1b1a)]=_0x1d9bda[_0x180fad(0x14ea)](_0x9d485a[_0x180fad(0x2199)]?_0x9d485a['userProfileSection'][_0x180fad(0x1b1a)]:null),_0x9d485a[_0x180fad(0xf4c)]={},_0x9d485a[_0x180fad(0x8ec)]=_0x11c49a[_0x180fad(0x1dfe)][_0x180fad(0x291e)]||0x0,_0x9d485a[_0x180fad(0x1d63)]=_0x281f01,_0x9d485a[_0x180fad(0x1379)]=_0x351e0d,_0x9d485a[_0x180fad(0x494)]=_0x5a2d5e[_0x180fad(0x28c7)],_0x9d485a[_0x180fad(0x1ec0)]=_0x1f14d4,_0x9d485a[_0x180fad(0xe3e)]=_0x4db93d;function _0x281f01(_0x56f30b,_0x13a9ff){const _0x2c5d73=_0x180fad;_0x14312b['show']({'controller':'OpenchannelQueueteamaddController','controllerAs':'vm','templateUrl':_0x47b546,'parent':angular[_0x2c5d73(0x1853)](_0x57a78a[_0x2c5d73(0x1ed9)]),'targetEvent':_0x13a9ff,'clickOutsideToClose':!![],'locals':{'openchannelQueue':_0x56f30b,'openchannelQueues':_0x9d485a[_0x2c5d73(0x1c95)]?_0x9d485a[_0x2c5d73(0x1c95)]['rows']:[],'crudPermissions':_0x9d485a[_0x2c5d73(0x1b1a)]}});}function _0x351e0d(_0x2a84a2,_0x408d17){const _0x543867=_0x180fad;_0x14312b[_0x543867(0xe27)]({'controller':_0x543867(0x168b),'controllerAs':'vm','templateUrl':_0x29f6f5,'parent':angular['element'](_0x57a78a['body']),'targetEvent':_0x408d17,'clickOutsideToClose':!![],'locals':{'openchannelQueue':_0x2a84a2,'openchannelQueues':_0x9d485a[_0x543867(0x1c95)]?_0x9d485a[_0x543867(0x1c95)][_0x543867(0x2214)]:[],'crudPermissions':_0x9d485a[_0x543867(0x1b1a)],'realtime':![]}});}function _0x1f14d4(){const _0x5a46fa=_0x180fad;_0x11c49a['go'](_0x5a46fa(0x17b6),{},{'reload':'app.openchannel.openchannelQueues'});}function _0x4db93d(){const _0x1dd235=_0x180fad;_0xfdfe8d[_0x1dd235(0x3a9)][_0x1dd235(0x687)]({'id':_0x9d485a['openchannelQueue']['id']},_0x9d485a[_0x1dd235(0x3a9)])[_0x1dd235(0x1d77)][_0x1dd235(0x1cb0)](function(){const _0x1ddfaf=_0x1dd235;_0x5a2d5e[_0x1ddfaf(0x829)]({'title':_0x1ddfaf(0x2460),'msg':_0x9d485a['openchannelQueue'][_0x1ddfaf(0x16b6)]?_0x9d485a[_0x1ddfaf(0x3a9)][_0x1ddfaf(0x16b6)]+'\x20has\x20been\x20updated!':''});})[_0x1dd235(0x1c4)](function(_0xb07e77){const _0x5ca447=_0x1dd235;_0x5a2d5e[_0x5ca447(0x218e)]({'title':_0xb07e77[_0x5ca447(0x291)]?'API:'+_0xb07e77[_0x5ca447(0x291)]+'\x20-\x20'+_0xb07e77[_0x5ca447(0xc22)]:_0x5ca447(0x130d),'msg':_0xb07e77[_0x5ca447(0x25c)]?JSON[_0x5ca447(0x2701)](_0xb07e77[_0x5ca447(0x25c)]):_0xb07e77[_0x5ca447(0x147f)]()});});}}const _0x5dd86b=_0x1d7b38;;_0x5b0fb9[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),'$state',_0x5537c6(0x417),_0x5537c6(0xcb9),_0x5537c6(0x22bf),'$timeout',_0x5537c6(0x1ae),_0x5537c6(0x1c95),_0x5537c6(0x44a),'userProfileSection','api',_0x5537c6(0xde8),_0x5537c6(0x9bf),_0x5537c6(0x1774),'license',_0x5537c6(0x9ca)];function _0x5b0fb9(_0x287570,_0x555b34,_0x23718c,_0x1aa048,_0x41876b,_0x33cddf,_0x1bec86,_0x14c106,_0x6523f8,_0x3ea6bc,_0x15c5d3,_0x28d33a,_0x10d347,_0x421f69,_0x70411f,_0x26d4b5,_0x430e28){const _0x3800f1=_0x5537c6,_0xc2b8c=this;_0xc2b8c['license']=_0x26d4b5,_0xc2b8c[_0x3800f1(0x9ca)]=_0x430e28,_0xc2b8c[_0x3800f1(0xe76)]=_0x70411f[_0x3800f1(0x21e8)](),_0xc2b8c[_0x3800f1(0x1c95)]=_0x6523f8||{'count':0x0,'rows':[]},_0xc2b8c[_0x3800f1(0x44a)]=_0x3ea6bc,_0xc2b8c[_0x3800f1(0x2199)]=_0x15c5d3&&_0x15c5d3['count']==0x1?_0x15c5d3[_0x3800f1(0x2214)][0x0]:null,_0xc2b8c[_0x3800f1(0x1b1a)]=_0x70411f[_0x3800f1(0x14ea)](_0xc2b8c[_0x3800f1(0x2199)]?_0xc2b8c['userProfileSection']['crudPermissions']:null),_0xc2b8c[_0x3800f1(0xc83)]=_0x3800f1(0x1c95),_0xc2b8c[_0x3800f1(0x1d20)]='',_0xc2b8c['listOrderAsc']=null,_0xc2b8c[_0x3800f1(0x188d)]=[],_0xc2b8c[_0x3800f1(0xae2)]={'fields':_0x3800f1(0x22f3),'sort':'-updatedAt','channel':'openchannel','limit':0xa,'page':0x1},_0xc2b8c['arraystrategy']=_0x39641b()['keyBy']([{'option':_0x3800f1(0xfd9),'value':_0x3800f1(0xcf1)},{'option':_0x3800f1(0x290b),'value':_0x3800f1(0x15b5)}],function(_0x20e18d){const _0x4aac28=_0x3800f1;return _0x39641b()[_0x4aac28(0x288f)](_0x20e18d[_0x4aac28(0x327)],new RegExp('\x27','g'),'');}),_0xc2b8c[_0x3800f1(0x235d)]=_0x313ca2,_0xc2b8c[_0x3800f1(0x1d63)]=_0x44bbd6,_0xc2b8c['agentadddialog']=_0x194417,_0xc2b8c[_0x3800f1(0x27fe)]=_0x5775aa,_0xc2b8c[_0x3800f1(0x649)]=_0x3ad570,_0xc2b8c['success']=_0x4e3443,_0xc2b8c[_0x3800f1(0x2239)]=_0x3057fc,_0xc2b8c[_0x3800f1(0x36b)]=_0x553704,_0xc2b8c['deleteOpenchannelQueue']=_0x31fc68,_0xc2b8c[_0x3800f1(0x14c0)]=_0x2bbfb2,_0xc2b8c[_0x3800f1(0x70c)]=_0x2f85e4,_0xc2b8c[_0x3800f1(0x27d5)]=_0x41d807,_0xc2b8c[_0x3800f1(0x1684)]=_0x242dc1;function _0x313ca2(_0x1061c9){const _0x439a10=_0x3800f1;_0x23718c['go'](_0x439a10(0xa6e),{'id':_0x1061c9['id'],'openchannelQueue':_0x1061c9,'crudPermissions':_0xc2b8c[_0x439a10(0x1b1a)]});}function _0x44bbd6(_0x40789e,_0xadac98){const _0xa40bdb=_0x3800f1;_0x41876b[_0xa40bdb(0xe27)]({'controller':_0xa40bdb(0x2467),'controllerAs':'vm','templateUrl':_0x47b546,'parent':angular[_0xa40bdb(0x1853)](_0x33cddf[_0xa40bdb(0x1ed9)]),'targetEvent':_0xadac98,'clickOutsideToClose':!![],'locals':{'openchannelQueue':_0x40789e,'openchannelQueues':_0xc2b8c['openchannelQueues']?_0xc2b8c[_0xa40bdb(0x1c95)][_0xa40bdb(0x2214)]:[],'crudPermissions':_0xc2b8c[_0xa40bdb(0x1b1a)]}});}function _0x194417(_0x1a68e7,_0x1b5685){const _0x46e406=_0x3800f1;_0x41876b[_0x46e406(0xe27)]({'controller':_0x46e406(0x168b),'controllerAs':'vm','templateUrl':_0x29f6f5,'parent':angular['element'](_0x33cddf[_0x46e406(0x1ed9)]),'targetEvent':_0x1b5685,'clickOutsideToClose':!![],'locals':{'openchannelQueue':_0x1a68e7,'openchannelQueues':_0xc2b8c[_0x46e406(0x1c95)]?_0xc2b8c['openchannelQueues'][_0x46e406(0x2214)]:[],'crudPermissions':_0xc2b8c['crudPermissions'],'realtime':![]}});}function _0x5775aa(_0x1c842d,_0x27f2ba){const _0x4e3673=_0x3800f1,_0x47268c=_0x41876b[_0x4e3673(0x1551)]()[_0x4e3673(0x1386)](_0x4e3673(0x140b)+_0x39641b()[_0x4e3673(0xa75)](_0x4e3673(0x3a9))+'?')['htmlContent'](_0x4e3673(0x204d)+(_0x1c842d[_0x4e3673(0x16b6)]||_0x4e3673(0x3a9))+_0x4e3673(0x1200)+_0x4e3673(0x1b6))[_0x4e3673(0x15ad)](_0x4e3673(0x213))['targetEvent'](_0x27f2ba)['ok']('OK')[_0x4e3673(0x696)](_0x4e3673(0x24ba));_0x41876b[_0x4e3673(0xe27)](_0x47268c)[_0x4e3673(0x1cb0)](function(){_0x31fc68(_0x1c842d);},function(){const _0x3d8da7=_0x4e3673;console[_0x3d8da7(0x1b4f)](_0x3d8da7(0x24ba));});}function _0x3ad570(){const _0x534e94=_0x3800f1;if(_0x70411f['hasRole'](_0x534e94(0x1c60)))_0x23718c['go'](_0x534e94(0x215a),{});else return _0x28d33a[_0x534e94(0x2199)][_0x534e94(0xbf7)]({'userProfileId':_0x70411f[_0x534e94(0x21e8)]()[_0x534e94(0x13c1)],'sectionId':0x32a})[_0x534e94(0x1d77)]['then'](function(_0x3ed496){const _0x3e0196=_0x534e94,_0x5cee20=_0x3ed496&&_0x3ed496['rows']?_0x3ed496[_0x3e0196(0x2214)][0x0]:null;_0x5cee20&&_0x5cee20[_0x3e0196(0x281c)]?_0x23718c['go']('app.openchannel.realtime.queues',{}):_0x421f69[_0x3e0196(0x28c7)]({'title':_0x14c106['instant']('STAFF.PERMISSIONS_UNAUTHORIZED_REDIRECT_TITLE'),'msg':_0x14c106[_0x3e0196(0x25cc)](_0x3e0196(0x33a))});})[_0x534e94(0x1c4)](function(_0x24b5fd){const _0x3d926d=_0x534e94;_0x421f69['error']({'title':_0x24b5fd[_0x3d926d(0x291)]?_0x3d926d(0xeb9)+_0x24b5fd[_0x3d926d(0x291)]+_0x3d926d(0x1657)+_0x24b5fd[_0x3d926d(0xc22)]:_0x3d926d(0x17ba),'msg':_0x24b5fd[_0x3d926d(0x291)]?JSON[_0x3d926d(0x2701)](_0x24b5fd['data']):_0x24b5fd[_0x3d926d(0x147f)]()});});}let _0x2db630=!![],_0x4aafc1=0x1;_0x287570[_0x3800f1(0x614)](_0x3800f1(0x957),function(_0x541961,_0x4f9424){const _0x42a702=_0x3800f1;_0x2db630?_0x1bec86(function(){_0x2db630=![];}):(!_0x4f9424&&(_0x4aafc1=_0xc2b8c['query'][_0x42a702(0x1c7b)]),_0x541961!==_0x4f9424&&(_0xc2b8c[_0x42a702(0xae2)]['page']=0x1),!_0x541961&&(_0xc2b8c[_0x42a702(0xae2)][_0x42a702(0x1c7b)]=_0x4aafc1),_0xc2b8c[_0x42a702(0x2239)]());});function _0x4e3443(_0x559ceb){const _0x49aea0=_0x3800f1;_0xc2b8c[_0x49aea0(0x1c95)]=_0x559ceb||{'count':0x0,'rows':[]};}function _0x3057fc(){const _0x1fc915=_0x3800f1;_0xc2b8c['query'][_0x1fc915(0x184b)]=(_0xc2b8c['query'][_0x1fc915(0x1c7b)]-0x1)*_0xc2b8c[_0x1fc915(0xae2)]['limit'],_0x70411f[_0x1fc915(0x22b6)](_0x1fc915(0x1c60))?_0xc2b8c[_0x1fc915(0x2061)]=_0x28d33a['openchannelQueue']['get'](_0xc2b8c['query'],_0x4e3443)[_0x1fc915(0x1d77)]:(_0xc2b8c[_0x1fc915(0xae2)]['id']=_0xc2b8c['userProfile']['id'],_0xc2b8c[_0x1fc915(0xae2)]['section']='OpenchannelQueues',_0xc2b8c[_0x1fc915(0x2061)]=_0x28d33a['userProfile']['getResources'](_0xc2b8c[_0x1fc915(0xae2)],_0x4e3443)['$promise']);}function _0x553704(_0x7f7c8f,_0x431678){const _0x4d9a7e=_0x3800f1;_0x41876b[_0x4d9a7e(0xe27)]({'controller':_0x4d9a7e(0xf79),'controllerAs':'vm','templateUrl':_0x545202,'parent':angular['element'](_0x33cddf['body']),'targetEvent':_0x7f7c8f,'clickOutsideToClose':!![],'locals':{'openchannelQueue':_0x431678,'openchannelQueues':_0xc2b8c[_0x4d9a7e(0x1c95)][_0x4d9a7e(0x2214)],'license':_0xc2b8c[_0x4d9a7e(0x8a5)],'setting':_0xc2b8c[_0x4d9a7e(0x9ca)],'crudPermissions':_0xc2b8c[_0x4d9a7e(0x1b1a)]}});}function _0x31fc68(_0x3618e5){const _0x405815=_0x3800f1;_0x28d33a[_0x405815(0x3a9)]['delete']({'id':_0x3618e5['id']})['$promise'][_0x405815(0x1cb0)](function(){const _0xe6b5e1=_0x405815;_0x39641b()['remove'](_0xc2b8c[_0xe6b5e1(0x1c95)][_0xe6b5e1(0x2214)],{'id':_0x3618e5['id']}),_0xc2b8c['openchannelQueues']['count']-=0x1,!_0xc2b8c[_0xe6b5e1(0x1c95)][_0xe6b5e1(0x2214)][_0xe6b5e1(0xfd0)]&&_0xc2b8c['getOpenchannelQueues'](),_0x421f69[_0xe6b5e1(0x829)]({'title':_0x39641b()[_0xe6b5e1(0xa75)](_0xe6b5e1(0x2892))+'\x20deleted!','msg':_0x3618e5[_0xe6b5e1(0x16b6)]?_0x3618e5['name']+_0xe6b5e1(0x3f5):''});})['catch'](function(_0x4f36b2){const _0x5df179=_0x405815;if(_0x4f36b2[_0x5df179(0x25c)]&&_0x4f36b2[_0x5df179(0x25c)][_0x5df179(0x1a7c)]&&_0x4f36b2[_0x5df179(0x25c)][_0x5df179(0x1a7c)][_0x5df179(0xfd0)]){_0xc2b8c['errors']=_0x4f36b2[_0x5df179(0x25c)][_0x5df179(0x1a7c)]||[{'message':_0x4f36b2['toString'](),'type':_0x5df179(0x145e)}];for(let _0x4470f7=0x0;_0x4470f7<_0x4f36b2['data'][_0x5df179(0x1a7c)][_0x5df179(0xfd0)];_0x4470f7++){_0x421f69[_0x5df179(0x218e)]({'title':_0x4f36b2[_0x5df179(0x25c)][_0x5df179(0x1a7c)][_0x4470f7][_0x5df179(0x66a)],'msg':_0x4f36b2[_0x5df179(0x25c)][_0x5df179(0x1a7c)][_0x4470f7]['message']});}}else _0x421f69[_0x5df179(0x218e)]({'title':_0x4f36b2[_0x5df179(0x291)]?'API:'+_0x4f36b2[_0x5df179(0x291)]+'\x20-\x20'+_0x4f36b2[_0x5df179(0xc22)]:_0x5df179(0x145e),'msg':_0x4f36b2[_0x5df179(0x25c)]?JSON[_0x5df179(0x2701)](_0x4f36b2[_0x5df179(0x25c)][_0x5df179(0x155e)]):_0x4f36b2['message']||_0x4f36b2[_0x5df179(0x147f)]()});});}function _0x2bbfb2(){const _0x1c2cd7=_0x3800f1,_0x5d0056=angular[_0x1c2cd7(0x17fe)](_0xc2b8c[_0x1c2cd7(0x188d)]);return _0xc2b8c[_0x1c2cd7(0x188d)]=[],_0x5d0056;}function _0x2f85e4(_0x25d312){const _0xd94fec=_0x3800f1,_0x3cde32=_0x41876b[_0xd94fec(0x1551)]()[_0xd94fec(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20openchannelQueues?')[_0xd94fec(0x49e)](_0xd94fec(0x204d)+_0xc2b8c[_0xd94fec(0x188d)][_0xd94fec(0xfd0)]+_0xd94fec(0x1d6c)+'\x20will\x20be\x20deleted.')['ariaLabel']('delete\x20OpenchannelQueues')[_0xd94fec(0x728)](_0x25d312)['ok']('OK')['cancel']('CANCEL');_0x41876b['show'](_0x3cde32)['then'](function(){const _0x3815bd=_0xd94fec;_0xc2b8c[_0x3815bd(0x188d)][_0x3815bd(0xf90)](function(_0xb6a40b){_0x31fc68(_0xb6a40b);}),_0xc2b8c[_0x3815bd(0x188d)]=[];});}function _0x41d807(){const _0x18220b=_0x3800f1;_0xc2b8c[_0x18220b(0x188d)]=[];}function _0x242dc1(){const _0x1e0c45=_0x3800f1;_0xc2b8c[_0x1e0c45(0x188d)]=_0xc2b8c[_0x1e0c45(0x1c95)][_0x1e0c45(0x2214)];}}const _0x447306=_0x5b0fb9;;_0x132e9f[_0x5537c6(0x15b6)]=[_0x5537c6(0xbd6),'$translatePartialLoaderProvider'];function _0x132e9f(_0x3a4850,_0x3d6710){const _0x3f43ce=_0x5537c6;_0x3a4850[_0x3f43ce(0x27e0)](_0x3f43ce(0x182b),{'abstract':!![],'url':'/openchannel'})[_0x3f43ce(0x27e0)](_0x3f43ce(0x17b6),{'url':_0x3f43ce(0x43d),'views':{'content@app':{'templateUrl':_0x2e5db1,'controller':_0x3f43ce(0x1b4b)}},'resolve':{'openchannelQueues':[_0x3f43ce(0x1e0b),'Auth',function(_0x524e59,_0x3fdd5f){const _0x212eb4=_0x3f43ce;return _0x3fdd5f[_0x212eb4(0x22b6)](_0x212eb4(0x1c60))?_0x524e59[_0x212eb4(0x19a3)]('openchannelQueue@get',{'fields':_0x212eb4(0x22f3),'sort':_0x212eb4(0x282),'channel':_0x212eb4(0x15e0),'limit':0xa,'offset':0x0}):_0x524e59['resolve']('userProfile@getResources',{'id':_0x3fdd5f['getCurrentUser']()[_0x212eb4(0x13c1)],'section':'OpenchannelQueues','fields':'createdAt,updatedAt,id,name,strategy,timeout,description','sort':_0x212eb4(0x282),'channel':_0x212eb4(0x15e0),'limit':0xa,'offset':0x0});}],'userProfile':[_0x3f43ce(0x1e0b),_0x3f43ce(0x1774),function(_0x298277,_0x491a4e){const _0x13b5ee=_0x3f43ce;return _0x491a4e[_0x13b5ee(0x22b6)](_0x13b5ee(0x1c60))?null:_0x298277[_0x13b5ee(0x19a3)]('userProfile@get',{'fields':_0x13b5ee(0x279),'id':_0x491a4e[_0x13b5ee(0x21e8)]()['userProfileId']});}],'userProfileSection':[_0x3f43ce(0x1e0b),_0x3f43ce(0x1774),function(_0x4d71c6,_0x163261){const _0x4a35fc=_0x3f43ce;return _0x163261[_0x4a35fc(0x22b6)](_0x4a35fc(0x1c60))?null:_0x4d71c6[_0x4a35fc(0x19a3)](_0x4a35fc(0x2182),{'fields':_0x4a35fc(0x1f5f),'userProfileId':_0x163261[_0x4a35fc(0x21e8)]()['userProfileId'],'sectionId':0x321});}]},'authenticate':!![],'permissionId':0x321,'bodyClass':_0x3f43ce(0x15e0)})[_0x3f43ce(0x27e0)]('app.openchannel.openchannelQueues.edit',{'url':'/:id?tab','params':{'openchannelQueue':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x1402a2,'controller':'OpenchannelQueueController\x20as\x20vm'}},'resolve':{'openchannelQueue':[_0x3f43ce(0x1e0b),_0x3f43ce(0x225c),function(_0x215b42,_0x4784a1){const _0xae735f=_0x3f43ce;return _0x215b42['resolve'](_0xae735f(0x1b89),{'fields':'createdAt,updatedAt,id,name,strategy,timeout,description','id':_0x4784a1['id']});}],'userProfileSection':[_0x3f43ce(0x1e0b),_0x3f43ce(0x1774),function(_0x5b228c,_0xcac7e0){const _0x5c5117=_0x3f43ce;return _0x5b228c[_0x5c5117(0x19a3)](_0x5c5117(0x2182),{'fields':_0x5c5117(0x1f5f),'userProfileId':_0xcac7e0[_0x5c5117(0x21e8)]()['userProfileId'],'sectionId':0x321});}]},'authenticate':!![],'permissionId':0x321,'bodyClass':'openchannel'})['state']('app.openchannel.openchannelAccounts',{'url':_0x3f43ce(0x2781),'views':{'content@app':{'templateUrl':_0x1ab158,'controller':_0x3f43ce(0x8d9)}},'resolve':{'openchannelAccounts':['apiResolver','Auth',function(_0x2e319c,_0x5c088d){const _0x1632a6=_0x3f43ce;return _0x5c088d[_0x1632a6(0x22b6)](_0x1632a6(0x1c60))?_0x2e319c[_0x1632a6(0x19a3)](_0x1632a6(0x1183),{'fields':'createdAt,updatedAt,id,name,key,token,replyUri,ListId,waitForTheAssignedAgent,mapKey,mandatoryDisposition,mandatoryDispositionPauseId,description,receiveUri,notificationSound,notificationShake,notificationTemplate,queueTransfer,queueTransferTimeout,agentTransfer,agentTransferTimeout','sort':'-updatedAt','limit':0xa,'offset':0x0}):_0x2e319c[_0x1632a6(0x19a3)]('userProfile@getResources',{'id':_0x5c088d[_0x1632a6(0x21e8)]()[_0x1632a6(0x13c1)],'section':_0x1632a6(0x1864),'fields':_0x1632a6(0x26b8),'sort':'-updatedAt','limit':0xa,'offset':0x0});}],'userProfile':[_0x3f43ce(0x1e0b),_0x3f43ce(0x1774),function(_0x4f5027,_0x720c27){const _0x1c77f4=_0x3f43ce;return _0x720c27[_0x1c77f4(0x22b6)](_0x1c77f4(0x1c60))?null:_0x4f5027[_0x1c77f4(0x19a3)]('userProfile@get',{'fields':_0x1c77f4(0x279),'id':_0x720c27[_0x1c77f4(0x21e8)]()[_0x1c77f4(0x13c1)]});}],'userProfileSection':[_0x3f43ce(0x1e0b),_0x3f43ce(0x1774),function(_0x49ba24,_0x47a9b0){const _0x270cc7=_0x3f43ce;return _0x47a9b0[_0x270cc7(0x22b6)](_0x270cc7(0x1c60))?null:_0x49ba24['resolve'](_0x270cc7(0x2182),{'fields':_0x270cc7(0x1f5f),'userProfileId':_0x47a9b0['getCurrentUser']()['userProfileId'],'sectionId':0x322});}]},'authenticate':!![],'permissionId':0x322,'bodyClass':_0x3f43ce(0x15e0)})[_0x3f43ce(0x27e0)](_0x3f43ce(0x1f01),{'url':_0x3f43ce(0x1bf2),'params':{'openchannelAccount':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x3a2653,'controller':'OpenchannelAccountController\x20as\x20vm'}},'resolve':{'openchannelAccount':[_0x3f43ce(0x1e0b),'$stateParams',function(_0x481d66,_0x3104ab){const _0x19183e=_0x3f43ce;return _0x481d66['resolve'](_0x19183e(0x1183),{'fields':_0x19183e(0x26b8),'id':_0x3104ab['id']});}],'userProfileSection':[_0x3f43ce(0x1e0b),_0x3f43ce(0x1774),function(_0x541fde,_0x11d720){const _0xb9fc9f=_0x3f43ce;return _0x541fde['resolve'](_0xb9fc9f(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x11d720[_0xb9fc9f(0x21e8)]()['userProfileId'],'sectionId':0x322});}]},'authenticate':!![],'permissionId':0x322,'bodyClass':_0x3f43ce(0x15e0)}),_0x3d6710[_0x3f43ce(0x4e7)]('app/main/apps/openchannel');}angular[_0x5537c6(0x9ab)](_0x5537c6(0x182b),[_0x5537c6(0x2770),_0x5537c6(0x2135),'md.data.table',_0x5537c6(0xacf),'mdColorPicker',_0x5537c6(0xd19),'ng-sortable',_0x5537c6(0x2ec),'ngAnimate',_0x5537c6(0x167d),'mwFormBuilder',_0x5537c6(0x1b65),_0x5537c6(0x2166),_0x5537c6(0x3b9),_0x5537c6(0x7c9),_0x5537c6(0xeb5),'angularMaterialFormBuilder','material.components.expansionPanels',_0x5537c6(0x1cbd),'angular.filter',_0x5537c6(0x19f9)])[_0x5537c6(0xa60)](_0x132e9f)[_0x5537c6(0x6e5)](_0x5537c6(0x11f5),_0x48fc8c)[_0x5537c6(0x6e5)](_0x5537c6(0x14c5),_0x34c805)[_0x5537c6(0x6e5)](_0x5537c6(0xac9),_0x3357ad)[_0x5537c6(0x6e5)](_0x5537c6(0xa6b),_0x5ca6b0)[_0x5537c6(0x6e5)](_0x5537c6(0x26f4),_0x59b3e4)['controller'](_0x5537c6(0x2a0),_0x37bbbd)[_0x5537c6(0x6e5)](_0x5537c6(0xfdd),_0x43a0e1)[_0x5537c6(0x6e5)]('EditOpenchannelAccountAppdialogflowDialogController',_0x301c45)['controller'](_0x5537c6(0x1f27),_0x4a6f7b)[_0x5537c6(0x6e5)](_0x5537c6(0x889),_0x876d4b)[_0x5537c6(0x6e5)](_0x5537c6(0x181f),_0x4e0545)[_0x5537c6(0x6e5)](_0x5537c6(0x2cd),_0x58ac22)['controller'](_0x5537c6(0x1032),_0x14cbca)['controller'](_0x5537c6(0x26ba),_0x3a94b7)[_0x5537c6(0x6e5)](_0x5537c6(0x7b9),_0x5e67b7)['controller']('OpenchannelAccountInteractionsController',_0x2a1d87)['controller'](_0x5537c6(0xeb8),_0x1e3471)[_0x5537c6(0x6e5)](_0x5537c6(0x60a),_0x4da442)[_0x5537c6(0x6e5)](_0x5537c6(0x762),_0x567e47)[_0x5537c6(0x6e5)](_0x5537c6(0x1b7e),_0x590efa)[_0x5537c6(0x6e5)]('OpenchannelAccountsController',_0xb9dca)[_0x5537c6(0x6e5)](_0x5537c6(0xf79),_0x3dae5f)['controller'](_0x5537c6(0x168b),_0x2a153c)[_0x5537c6(0x6e5)](_0x5537c6(0x2467),_0x231e77)['controller']('OpenchannelQueueController',_0x5dd86b)[_0x5537c6(0x6e5)]('OpenchannelQueuesController',_0x447306);;const _0x318ce1=_0x5074a3['p']+_0x5537c6(0x2848);;const _0x26d434=_0x5074a3['p']+_0x5537c6(0x2761);;const _0x849fd7=_0x5074a3['p']+_0x5537c6(0x1753);;const _0x4ba5c3=_0x5074a3['p']+'src/js/modules/main/apps/fax/views/faxAccounts/edit/view.html/view.html';;const _0x124059=_0x5074a3['p']+_0x5537c6(0x1a9);;const _0x5f1263=_0x5074a3['p']+'src/js/modules/main/apps/fax/views/realtime/agents/view.html/view.html';;const _0x567438=_0x5074a3['p']+_0x5537c6(0x16a5);;const _0x271134=_0x5074a3['p']+_0x5537c6(0x130a);;const _0x55cba2=_0x5074a3['p']+_0x5537c6(0x20d6);;_0x5caaa7['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x2168),'$mdDialog',_0x5537c6(0x22bf),_0x5537c6(0x406),_0x5537c6(0x142b),_0x5537c6(0x1986),'toasty',_0x5537c6(0x29de),_0x5537c6(0x1038),_0x5537c6(0x44a),'userProfileSection',_0x5537c6(0x1774)];function _0x5caaa7(_0x1a1cbd,_0x18b925,_0x2651e8,_0x5a2fe1,_0x122c93,_0x539145,_0x5ec168,_0x5cf78c,_0x9fc20a,_0x9f6658,_0x340a92,_0x55478e,_0x124d43){const _0x3cae85=_0x5537c6,_0x256786=this;_0x256786[_0x3cae85(0xe76)]=_0x124d43[_0x3cae85(0x21e8)](),_0x256786['count']=_0x9fc20a&&_0x9fc20a[_0x3cae85(0x184d)]?_0x9fc20a[_0x3cae85(0x184d)]:0x0,_0x256786['faxAccounts']=_0x9fc20a?_0x39641b()[_0x3cae85(0x2631)](_0x9fc20a['rows']?_0x9fc20a[_0x3cae85(0x2214)]:[],'id'):{},_0x256786[_0x3cae85(0x1038)]=_0x9f6658?_0x39641b()['keyBy'](_0x9f6658['rows']?_0x9f6658['rows']:[],'id'):{},_0x256786['userProfile']=_0x340a92,_0x256786['userProfileSection']=_0x55478e&&_0x55478e[_0x3cae85(0x184d)]==0x1?_0x55478e[_0x3cae85(0x2214)][0x0]:null,_0x256786[_0x3cae85(0x1b1a)]=_0x124d43['parseCrudPermissions'](_0x256786[_0x3cae85(0x2199)]?_0x256786[_0x3cae85(0x2199)][_0x3cae85(0x1b1a)]:null),_0x256786['query']={'sort':_0x3cae85(0x142a),'limit':0xa,'page':0x1},_0x256786[_0x3cae85(0x829)]=_0x5d8319,_0x256786['$onInit']=_0x41f9d5,_0x256786[_0x3cae85(0x8e2)]=_0x3f26f4,_0x256786[_0x3cae85(0x294c)]=_0x42109d,_0x256786['onFaxMessageSave']=_0x324e38,_0x256786[_0x3cae85(0x865)]=_0x440938,_0x256786[_0x3cae85(0x237f)]=[],_0x39641b()['forIn'](_0x256786[_0x3cae85(0x29de)],function(_0x5aa957){const _0x151770=_0x3cae85;_0x256786[_0x151770(0x237f)][_0x151770(0x2785)](_0x5aa957['id']);}),_0x5ec168['on']('faxAccount:save',_0x256786['onSave']),_0x5ec168['on'](_0x3cae85(0x9aa),_0x256786['onSave']),_0x5ec168['on'](_0x3cae85(0x769),_0x256786[_0x3cae85(0x740)]);function _0x41f9d5(){const _0x3b058d=_0x3cae85;_0x39641b()[_0x3b058d(0x1ebd)](_0x256786['faxAccounts'],function(_0x2b9602,_0xa174f9){const _0x4e31dd=_0x3b058d;_0x256786[_0x4e31dd(0x1038)][_0xa174f9]&&_0x39641b()[_0x4e31dd(0x9c1)](_0x2b9602,_0x256786[_0x4e31dd(0x1038)][_0xa174f9]);}),_0x39641b()[_0x3b058d(0x1ebd)](_0x256786[_0x3b058d(0x29de)],function(_0x53a949,_0x2a62ee){const _0xb2b830=_0x3b058d,_0x401d5e={'id':_0x2a62ee,'sort':_0xb2b830(0x7d0),'direction':'in','limit':0x1,'offset':0x0,'$gte':_0xb2b830(0x941)+_0x543b5a()()[_0xb2b830(0x1be0)]('day')['format']('YYYY-MM-DD\x20HH:mm:ss')};_0x539145[_0xb2b830(0xb91)]['getMessages'](_0x401d5e)[_0xb2b830(0x1d77)][_0xb2b830(0x1cb0)](function(_0x48658b){const _0x484b5c=_0xb2b830;return _0x48658b&&(_0x53a949['in']=_0x48658b['count']||0x0,_0x53a949[_0x484b5c(0xebc)]=_0x48658b[_0x484b5c(0x2214)]&&_0x48658b[_0x484b5c(0x2214)][_0x484b5c(0xfd0)]?_0x48658b[_0x484b5c(0x2214)][0x0][_0x484b5c(0x24cb)]:undefined),_0x401d5e[_0x484b5c(0x979)]=_0x484b5c(0x2500),_0x539145[_0x484b5c(0xb91)][_0x484b5c(0x10d6)](_0x401d5e)['$promise'];})[_0xb2b830(0x1cb0)](function(_0x4d0557){const _0x1344fa=_0xb2b830;_0x4d0557&&(_0x53a949[_0x1344fa(0x2500)]=_0x4d0557[_0x1344fa(0x184d)]||0x0,_0x53a949[_0x1344fa(0x13ef)]=_0x4d0557[_0x1344fa(0x2214)]&&_0x4d0557[_0x1344fa(0x2214)]['length']?_0x4d0557[_0x1344fa(0x2214)][0x0][_0x1344fa(0x24cb)]:undefined);})['catch'](function(_0x3bed2b){const _0x3f9c9b=_0xb2b830;console[_0x3f9c9b(0x1b4f)](_0x3bed2b);});});}function _0x42109d(_0x5eb050){const _0x2935ba=_0x3cae85;_0x256786[_0x2935ba(0x29de)][_0x5eb050['id']]&&_0x39641b()['merge'](_0x256786['faxAccounts'][_0x5eb050['id']],_0x5eb050);}function _0x324e38(_0x1220ed){const _0x20559f=_0x3cae85;_0x256786[_0x20559f(0x29de)][_0x1220ed[_0x20559f(0x18e9)]]&&(_0x1220ed['direction']=='in'?(_0x256786[_0x20559f(0x29de)][_0x1220ed['FaxAccountId']]['in']+=0x1,_0x256786[_0x20559f(0x29de)][_0x1220ed[_0x20559f(0x18e9)]]['lastIn']=_0x1220ed[_0x20559f(0x24cb)]):(_0x256786['faxAccounts'][_0x1220ed[_0x20559f(0x18e9)]][_0x20559f(0x2500)]+=0x1,_0x256786['faxAccounts'][_0x1220ed[_0x20559f(0x18e9)]][_0x20559f(0x13ef)]=_0x1220ed[_0x20559f(0x24cb)]));}function _0x440938(_0x249a35,_0x2d60a4){const _0x2ffe2a=_0x3cae85;_0x122c93['go'](_0x2ffe2a(0x240b),{'id':_0x2d60a4['id'],'faxAccount':_0x2d60a4});}let _0x3b738=!![],_0xa07229=0x1;_0x1a1cbd['$watch']('vm.query.filter',function(_0x47996d,_0x4020a3){const _0x51126b=_0x3cae85;_0x3b738?_0x18b925(function(){_0x3b738=![];}):(!_0x4020a3&&(_0xa07229=_0x256786[_0x51126b(0xae2)][_0x51126b(0x1c7b)]),_0x47996d!==_0x4020a3&&(_0x256786[_0x51126b(0xae2)]['page']=0x1),!_0x47996d&&(_0x256786[_0x51126b(0xae2)]['page']=_0xa07229),_0x256786[_0x51126b(0x8e2)]());});function _0x5d8319(_0x481d97){const _0xe996eb=_0x3cae85;_0x256786['faxAccounts']=_0x481d97?_0x39641b()[_0xe996eb(0x2631)](_0x481d97[_0xe996eb(0x2214)]?_0x481d97[_0xe996eb(0x2214)]:[],'id'):{};if(_0x481d97['rows']){_0x256786['order']=[];for(let _0x1f3e8f=0x0;_0x1f3e8f<_0x481d97[_0xe996eb(0x2214)][_0xe996eb(0xfd0)];_0x1f3e8f++){_0x256786[_0xe996eb(0x237f)][_0xe996eb(0x2785)](_0x481d97[_0xe996eb(0x2214)][_0x1f3e8f]['id']);}}_0x41f9d5();}function _0x3f26f4(){const _0x57554d=_0x3cae85;_0x256786[_0x57554d(0xae2)][_0x57554d(0x184b)]=(_0x256786[_0x57554d(0xae2)][_0x57554d(0x1c7b)]-0x1)*_0x256786[_0x57554d(0xae2)]['limit'],_0x124d43[_0x57554d(0x22b6)](_0x57554d(0x1c60))?_0x256786[_0x57554d(0x2061)]=_0x539145[_0x57554d(0xb91)]['get'](_0x256786[_0x57554d(0xae2)],_0x5d8319)[_0x57554d(0x1d77)]:(_0x256786[_0x57554d(0xae2)]['id']=_0x256786[_0x57554d(0x44a)]['id'],_0x256786[_0x57554d(0xae2)]['section']=_0x57554d(0x1ffe),_0x256786[_0x57554d(0x2061)]=_0x539145[_0x57554d(0x44a)][_0x57554d(0x1810)](_0x256786[_0x57554d(0xae2)],_0x5d8319)[_0x57554d(0x1d77)]);}_0x1a1cbd['$on']('$destroy',function(){const _0x4d8ab2=_0x3cae85;_0x5ec168[_0x4d8ab2(0xfb8)](_0x4d8ab2(0xc63)),_0x5ec168[_0x4d8ab2(0xfb8)]('faxAccount:update'),_0x5ec168[_0x4d8ab2(0xfb8)](_0x4d8ab2(0x769));});}const _0x5ba4ce=_0x5caaa7;;_0x305a9d[_0x5537c6(0x15b6)]=['$scope','$q','$timeout','$mdDialog','$document',_0x5537c6(0xc34),_0x5537c6(0x8de),_0x5537c6(0xc12),'rpcAgents','api',_0x5537c6(0x1986),_0x5537c6(0x9bf),_0x5537c6(0x125c),_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x1774),'license',_0x5537c6(0x1714)];function _0x305a9d(_0x271916,_0x449b8e,_0x10ebaf,_0x52e54f,_0x1b6195,_0x14ed83,_0x132f1a,_0x13412d,_0x448e70,_0x3e31b2,_0x198311,_0x373ba2,_0x3f5619,_0x297892,_0x4e496b,_0x1c4f74,_0xc30fbd,_0x5d59bd){const _0x17f984=_0x5537c6,_0x224017=this,_0x3d7bed=[_0x17f984(0x70a),'online',_0x17f984(0x24a2),_0x17f984(0x25db),_0x17f984(0x16e6),'lastPauseAt',_0x17f984(0xdbd),_0x17f984(0x16aa),_0x17f984(0xf0c),_0x17f984(0x1c7d),'mailPause',_0x17f984(0x22d3),_0x17f984(0x24ff),_0x17f984(0x1ce),_0x17f984(0x2478),'chatCapacity',_0x17f984(0x391),_0x17f984(0x2789),_0x17f984(0x3d0),_0x17f984(0x9cd),_0x17f984(0x2451),_0x17f984(0x132d),'openchannelCurrentCapacity',_0x17f984(0x2947),_0x17f984(0x2472),_0x17f984(0x700),_0x17f984(0x2336),_0x17f984(0x2208),_0x17f984(0x1446),_0x17f984(0x11b2),_0x17f984(0xe82),_0x17f984(0x2453),_0x17f984(0x542),'voiceStatus','chatStatusTime',_0x17f984(0x22a6),_0x17f984(0x568),_0x17f984(0x9eb),_0x17f984(0x2064),_0x17f984(0x1997),_0x17f984(0xc9c),_0x17f984(0x11cf),_0x17f984(0x247),_0x17f984(0x24c5),'phoneBarEnableScreenRecordingByAgent',_0x17f984(0x1f23)],_0x1d6d4c=[_0x17f984(0xf0c),'openchannelPause','mailPause',_0x17f984(0x22d3),'whatsappPause',_0x17f984(0x1ce),_0x17f984(0x2478),_0x17f984(0xa28)];_0x224017[_0x17f984(0xe76)]=_0x1c4f74[_0x17f984(0x21e8)](),_0x224017[_0x17f984(0x46c)]=['ringing',_0x17f984(0x8d2),_0x17f984(0x5b2),_0x17f984(0x1e6f),_0x17f984(0x1abd)],_0x224017['pauses']=_0x132f1a||{'count':0x0,'rows':[]},_0x224017[_0x17f984(0x8a5)]=_0xc30fbd,_0x224017[_0x17f984(0x44a)]=_0x297892,_0x224017[_0x17f984(0x2199)]=_0x4e496b&&_0x4e496b['count']==0x1?_0x4e496b['rows'][0x0]:null,_0x224017[_0x17f984(0x1b1a)]=_0x1c4f74[_0x17f984(0x14ea)](_0x224017['userProfileSection']?_0x224017[_0x17f984(0x2199)][_0x17f984(0x1b1a)]:null),_0x224017['agents']=_0x13412d?_0x39641b()[_0x17f984(0x2631)](_0x13412d['rows']?_0x13412d[_0x17f984(0x2214)]:[],'id'):{},_0x224017[_0x17f984(0x212d)]=_0x448e70?_0x39641b()[_0x17f984(0x2631)](_0x448e70[_0x17f984(0x2214)]?_0x448e70[_0x17f984(0x2214)]:[],'id'):{},_0x224017['paginatedAgents']={},_0x224017['load']=![],_0x224017[_0x17f984(0x237f)]=[],_0x224017[_0x17f984(0x1fdd)]={};for(const _0x9c4a00 in _0x224017[_0x17f984(0xc12)]){typeof _0x224017[_0x17f984(0xc12)][_0x9c4a00]!==_0x17f984(0x16b5)&&(_0x224017[_0x17f984(0xc12)][_0x9c4a00][_0x17f984(0x1a8e)]=!![]);}_0x224017[_0x17f984(0xae2)]={'limit':0xa,'page':0x1,'order':'fullname','globalStatusFilter':'','pauseTypeFilter':''},_0x224017[_0x17f984(0x1366)]=_0x5f5b70,_0x224017[_0x17f984(0x6ad)]=_0x2f8fcf,_0x224017[_0x17f984(0x785)]=_0x38c3b3,_0x224017['unPause']=_0x3c1473,_0x224017[_0x17f984(0x191a)]=_0x4a7b74,_0x224017['getAgentStatus']=_0x12f1da,_0x224017[_0x17f984(0xfe7)]=_0x5381d7,_0x224017[_0x17f984(0x1090)]=_0x51bf7a,_0x224017['checkPause']=_0x23a895,_0x224017['isPartialPause']=_0x292cc7,_0x224017[_0x17f984(0x294c)]=_0x5d370c,_0x224017['onUpdate']=_0x360da2,_0x224017[_0x17f984(0x1a34)]=_0x466ef0,_0x224017[_0x17f984(0x1978)]=_0x546087,_0x224017[_0x17f984(0x1b4a)]=_0x34eed7,_0x224017[_0x17f984(0xd97)]=_0x8e1a1c,_0x198311['on']('user:save',_0x224017[_0x17f984(0x294c)]),_0x198311['on'](_0x17f984(0x14c6),_0x224017['onUpdate']),_0x198311['on'](_0x17f984(0x2290),_0x224017[_0x17f984(0x1978)]),_0x198311['on'](_0x17f984(0x10b3),_0x224017['onComplete']),_0x466ef0();let _0x43e8fa=_0x5d59bd(function(){const _0x256b4f=_0x17f984;_0x224017[_0x256b4f(0x997)]&&(_0x224017[_0x256b4f(0x997)]=![],_0x466ef0());},0x3e7);function _0x466ef0(){const _0x1d938b=_0x17f984;_0x224017[_0x1d938b(0x997)]=![];const _0x2143af=_0x449b8e[_0x1d938b(0x11f4)]();_0x224017['promise']=_0x2143af['promise'],_0x224017['filteredAgents']=[],_0x224017[_0x1d938b(0x237f)]=[],_0x39641b()[_0x1d938b(0x1ebd)](_0x224017['agents'],function(_0x3cabbb,_0x56d987){const _0x32d88a=_0x1d938b;_0x224017[_0x32d88a(0x212d)][_0x56d987]&&_0x39641b()[_0x32d88a(0x9c1)](_0x3cabbb,_0x39641b()[_0x32d88a(0x169b)](_0x39641b()[_0x32d88a(0x1bd8)](_0x224017[_0x32d88a(0x212d)][_0x56d987],_0x1d6d4c),_0x3d7bed)),_0x5381d7(_0x3cabbb),_0x3cabbb['online']?(_0x224017[_0x32d88a(0xe0e)][_0x32d88a(0x2785)](_0x3cabbb),_0x224017[_0x32d88a(0x1fdd)][_0x3cabbb[_0x32d88a(0xdbd)]]=_0x56d987):_0x3cabbb[_0x32d88a(0xdb9)]=_0x39641b()['toNumber'](_0x543b5a()()[_0x32d88a(0x1f31)]('x'));});_0x224017[_0x1d938b(0xae2)][_0x1d938b(0x1ef1)]&&_0x39641b()[_0x1d938b(0x152a)](_0x224017[_0x1d938b(0xe0e)],function(_0x1ab1dd){const _0x7a742a=_0x1d938b;return _0x1ab1dd[_0x7a742a(0x1fbe)]!==_0x224017[_0x7a742a(0xae2)][_0x7a742a(0x1ef1)];});_0x224017[_0x1d938b(0xae2)][_0x1d938b(0x25ff)]&&_0x39641b()[_0x1d938b(0x152a)](_0x224017['filteredAgents'],function(_0x38c1a9){const _0x2c288e=_0x1d938b;return _0x38c1a9[_0x2c288e(0x16e6)]!==_0x224017[_0x2c288e(0xae2)][_0x2c288e(0x25ff)];});_0x224017[_0x1d938b(0xae2)]['filter']&&_0x39641b()['remove'](_0x224017[_0x1d938b(0xe0e)],function(_0x1af3c3){const _0xc01cf0=_0x1d938b;return _0x1af3c3['fullname'][_0xc01cf0(0x1680)]()[_0xc01cf0(0xd8a)](_0x224017[_0xc01cf0(0xae2)][_0xc01cf0(0x1c99)][_0xc01cf0(0x1680)]())<0x0;});_0x224017[_0x1d938b(0xe0e)]=_0x5c9f16(_0x224017['filteredAgents']);const _0x40aa35=(_0x224017[_0x1d938b(0xae2)]['page']-0x1)*_0x224017[_0x1d938b(0xae2)]['limit'];_0x224017[_0x1d938b(0x260a)]=_0x39641b()[_0x1d938b(0x276f)](_0x224017[_0x1d938b(0xe0e)],_0x40aa35)[_0x1d938b(0x14cb)](0x0,_0x224017['query'][_0x1d938b(0x236)]);for(let _0x3e1a71=0x0;_0x3e1a71<_0x224017[_0x1d938b(0x260a)][_0x1d938b(0xfd0)];_0x3e1a71+=0x1){_0x224017[_0x1d938b(0x237f)]['push'](_0x224017[_0x1d938b(0x260a)][_0x3e1a71]['id']);}_0x2143af[_0x1d938b(0x19a3)](),_0x224017[_0x1d938b(0x997)]=!![];}function _0x5d370c(_0x1d1a76){const _0x54005a=_0x17f984;_0x224017['agents'][_0x1d1a76['id']]&&(_0x39641b()['merge'](_0x224017[_0x54005a(0xc12)][_0x1d1a76['id']],_0x39641b()['pick'](_0x39641b()[_0x54005a(0x1bd8)](_0x1d1a76,_0x1d6d4c),_0x3d7bed)),_0x39641b()[_0x54005a(0x9c1)](_0x224017['rpcAgents'][_0x1d1a76['id']],_0x39641b()['pick'](_0x39641b()['omit'](_0x1d1a76,_0x1d6d4c),_0x3d7bed)),_0x5381d7(_0x224017[_0x54005a(0xc12)][_0x1d1a76['id']]));}function _0x360da2(_0x1d418a){const _0x1457ea=_0x17f984;_0x224017[_0x1457ea(0xc12)][_0x1d418a['id']]&&(_0x39641b()[_0x1457ea(0x9c1)](_0x224017[_0x1457ea(0xc12)][_0x1d418a['id']],_0x39641b()[_0x1457ea(0x169b)](_0x1d418a,_0x3d7bed)),_0x39641b()[_0x1457ea(0x9c1)](_0x224017['rpcAgents'][_0x1d418a['id']],_0x39641b()['pick'](_0x1d418a,_0x3d7bed)),_0x1d418a['lastPauseAt']&&(_0x224017[_0x1457ea(0xc12)][_0x1d418a['id']][_0x1457ea(0xdb9)]=_0x1d418a[_0x1457ea(0x25db)],_0x224017[_0x1457ea(0x212d)][_0x1d418a['id']][_0x1457ea(0xdb9)]=_0x1d418a[_0x1457ea(0x25db)]),_0x5381d7(_0x224017[_0x1457ea(0xc12)][_0x1d418a['id']]));}function _0x546087(_0x18f9e7){const _0x7b5be6=_0x17f984;_0x224017[_0x7b5be6(0xc12)][_0x224017[_0x7b5be6(0x1fdd)][_0x18f9e7[_0x7b5be6(0x7ea)]]]&&(_0x39641b()[_0x7b5be6(0x9c1)](_0x224017[_0x7b5be6(0xc12)][_0x224017[_0x7b5be6(0x1fdd)][_0x18f9e7[_0x7b5be6(0x7ea)]]],_0x39641b()[_0x7b5be6(0x169b)](_0x18f9e7,[_0x7b5be6(0x11cf),'destconnectedlinenum'])),_0x39641b()[_0x7b5be6(0x9c1)](_0x224017['rpcAgents'][_0x224017['agentInternal'][_0x18f9e7[_0x7b5be6(0x7ea)]]],_0x39641b()[_0x7b5be6(0x169b)](_0x18f9e7,[_0x7b5be6(0x11cf),_0x7b5be6(0x247)])));}function _0x34eed7(_0x2deb8c){const _0x13d66a=_0x17f984;_0x224017[_0x13d66a(0xc12)][_0x224017[_0x13d66a(0x1fdd)][_0x2deb8c[_0x13d66a(0x7ea)]]]&&(_0x224017['agents'][_0x224017['agentInternal'][_0x2deb8c[_0x13d66a(0x7ea)]]]=_0x39641b()[_0x13d66a(0x1bd8)](_0x224017['agents'][_0x224017[_0x13d66a(0x1fdd)][_0x2deb8c[_0x13d66a(0x7ea)]]],[_0x13d66a(0x11cf),'destconnectedlinenum']),_0x224017[_0x13d66a(0x212d)][_0x224017['agentInternal'][_0x2deb8c[_0x13d66a(0x7ea)]]]=_0x39641b()[_0x13d66a(0x1bd8)](_0x224017['rpcAgents'][_0x224017[_0x13d66a(0x1fdd)][_0x2deb8c[_0x13d66a(0x7ea)]]],[_0x13d66a(0x11cf),'destconnectedlinenum']));}function _0x5f5b70(_0x26167a){const _0x538daa=_0x17f984;return _0x3e31b2[_0x538daa(0xebe)]['logout']({'id':_0x26167a['id'],'device':_0x538daa(0x217b),'agent_id':_0x26167a['id'],'agent_name':_0x26167a[_0x538daa(0x16b6)]})['$promise'][_0x538daa(0x1cb0)](function(){const _0x3e56dd=_0x538daa;_0x373ba2['success']({'title':'Agent\x20logout','msg':_0x26167a[_0x3e56dd(0x1d14)]+_0x3e56dd(0x1540)}),_0x224017[_0x3e56dd(0xc12)][_0x26167a['id']]&&(_0x224017['agents'][_0x26167a['id']]['online']=![]),_0x224017['rpcAgents'][_0x26167a['id']]&&(_0x224017[_0x3e56dd(0x212d)][_0x26167a['id']][_0x3e56dd(0xa28)]=![]),_0x466ef0();})[_0x538daa(0x1c4)](function(_0x1655c9){const _0x15a231=_0x538daa;_0x373ba2['error']({'title':_0x1655c9['status']?'API:'+_0x1655c9[_0x15a231(0x291)]+'\x20-\x20'+_0x1655c9[_0x15a231(0xc22)]:_0x15a231(0xcd6),'msg':_0x1655c9[_0x15a231(0x25c)]?JSON[_0x15a231(0x2701)](_0x1655c9[_0x15a231(0x25c)][_0x15a231(0x155e)]):_0x1655c9['toString']()});});}function _0x38c3b3(_0x29308a,_0x504c33){const _0x4c2451=_0x17f984;return _0x3e31b2[_0x4c2451(0xebe)][_0x4c2451(0x785)]({'id':_0x29308a['id'],'type':_0x504c33})['$promise'][_0x4c2451(0x1cb0)](function(_0x1d836f){const _0x2d9703=_0x4c2451;_0x224017[_0x2d9703(0xc12)][_0x29308a['id']]&&_0x39641b()[_0x2d9703(0x9c1)](_0x224017[_0x2d9703(0xc12)][_0x29308a['id']],_0x39641b()['pick'](_0x1d836f,_0x3d7bed)),_0x224017[_0x2d9703(0x212d)][_0x29308a['id']]&&_0x39641b()['merge'](_0x224017[_0x2d9703(0x212d)][_0x29308a['id']],_0x39641b()[_0x2d9703(0x169b)](_0x1d836f,_0x3d7bed)),_0x5381d7(_0x224017[_0x2d9703(0xc12)][_0x29308a['id']]);})[_0x4c2451(0x1c4)](function(_0x1661ee){const _0x250fc9=_0x4c2451;_0x373ba2[_0x250fc9(0x218e)]({'title':_0x1661ee['status']?_0x250fc9(0xeb9)+_0x1661ee['status']+_0x250fc9(0x1657)+_0x1661ee[_0x250fc9(0xc22)]:_0x250fc9(0xcd6),'msg':_0x1661ee[_0x250fc9(0x25c)]?JSON[_0x250fc9(0x2701)](_0x1661ee[_0x250fc9(0x25c)][_0x250fc9(0x155e)]):_0x1661ee['toString']()});});}function _0x3c1473(_0x2bcbfe){const _0x4846ea=_0x17f984;return _0x3e31b2['user'][_0x4846ea(0xf5d)]({'id':_0x2bcbfe['id']})[_0x4846ea(0x1d77)][_0x4846ea(0x1cb0)](function(_0x4f951f){const _0x4a69d3=_0x4846ea;_0x224017[_0x4a69d3(0xc12)][_0x2bcbfe['id']]&&_0x39641b()[_0x4a69d3(0x9c1)](_0x224017[_0x4a69d3(0xc12)][_0x2bcbfe['id']],_0x39641b()[_0x4a69d3(0x169b)](_0x4f951f,_0x3d7bed)),_0x224017['rpcAgents'][_0x2bcbfe['id']]&&_0x39641b()['merge'](_0x224017[_0x4a69d3(0x212d)][_0x2bcbfe['id']],_0x39641b()[_0x4a69d3(0x169b)](_0x4f951f,_0x3d7bed)),_0x5381d7(_0x224017['agents'][_0x2bcbfe['id']]);})[_0x4846ea(0x1c4)](function(_0x2f0c6b){const _0x51827f=_0x4846ea;_0x373ba2[_0x51827f(0x218e)]({'title':_0x2f0c6b['status']?_0x51827f(0xeb9)+_0x2f0c6b[_0x51827f(0x291)]+'\x20-\x20'+_0x2f0c6b[_0x51827f(0xc22)]:'api.staff.save','msg':_0x2f0c6b[_0x51827f(0x25c)]?JSON['stringify'](_0x2f0c6b['data'][_0x51827f(0x155e)]):_0x2f0c6b[_0x51827f(0x147f)]()});});}function _0x4a7b74(_0x323958){const _0x3abbf1=_0x17f984;_0x52e54f['show'](_0x52e54f[_0x3abbf1(0x494)]()[_0x3abbf1(0x27e1)](!![])[_0x3abbf1(0x1386)](_0x3abbf1(0x1bc1))[_0x3abbf1(0x49e)](_0x3abbf1(0x26a))['ok']('Ok')[_0x3abbf1(0x728)](_0x323958));}function _0x2f8fcf(_0x3316bb,_0x77f132){const _0x1e83b4=_0x17f984;_0x52e54f[_0x1e83b4(0xe27)]({'controller':_0x1e83b4(0x7e6),'controllerAs':'vm','templateUrl':_0x535a6f,'parent':angular[_0x1e83b4(0x1853)](_0x1b6195[_0x1e83b4(0x1ed9)]),'targetEvent':_0x77f132,'clickOutsideToClose':!![],'locals':{'agent':_0x3316bb,'agents':[],'channel':_0x1e83b4(0x1944),'direction':_0x1e83b4(0x26c0),'crudPermissions':_0x224017['crudPermissions']}});}function _0x51bf7a(_0x568c3e){const _0x231c30=_0x17f984;return _0x568c3e===_0x231c30(0x785)||_0x568c3e===_0x231c30(0x1767);}function _0x23a895(_0x40679f){const _0x1d1251=_0x17f984;return _0x40679f===_0x1d1251(0x785);}function _0x292cc7(_0x424f5b){const _0x1a7f59=_0x17f984;return _0x424f5b===_0x1a7f59(0x1767);}function _0x13ab8f(_0x5ebc6b){const _0x1e7444=_0x17f984;return _0x5ebc6b===_0x1e7444(0x8df)||_0x5ebc6b===_0x1e7444(0x1c9d)||_0x5ebc6b===_0x1e7444(0x13a2)||_0x5ebc6b===_0x1e7444(0x1c7e)||_0x39641b()[_0x1e7444(0x958)](_0x5ebc6b);}function _0x12f1da(_0x3bdb0d,_0x2e6a3c){const _0xb69c1e=_0x17f984;if(_0x2e6a3c===_0xb69c1e(0x1fd4)){if(_0x3bdb0d[_0x2e6a3c+_0xb69c1e(0x938)]!==_0xb69c1e(0x8df))return _0x3bdb0d[_0x2e6a3c+_0xb69c1e(0x938)];if(_0x3bdb0d[_0x2e6a3c+'Pause'])return _0x3bdb0d[_0x2e6a3c+_0xb69c1e(0x938)]=_0xb69c1e(0x785),_0xb69c1e(0x785);return _0xb69c1e(0x8df);}else{if(_0x3bdb0d[_0x2e6a3c+'Pause'])return _0x3bdb0d[_0x2e6a3c+_0xb69c1e(0x938)]='pause',_0xb69c1e(0x785);return _0x3bdb0d[_0x2e6a3c+'Status'];}}function _0x5381d7(_0x89c3f8){const _0x44fbab=_0x17f984;let _0x4c3b50=_0x44fbab(0x1c9d);if(_0x39641b()['every']([_0x12f1da(_0x89c3f8,_0x44fbab(0x1fd4)),_0x12f1da(_0x89c3f8,_0x44fbab(0x174c)),_0x12f1da(_0x89c3f8,_0x44fbab(0x2651)),_0x12f1da(_0x89c3f8,_0x44fbab(0x15e0)),_0x12f1da(_0x89c3f8,_0x44fbab(0x689)),_0x12f1da(_0x89c3f8,'whatsapp'),_0x12f1da(_0x89c3f8,_0x44fbab(0x1944))],_0x51bf7a))_0x4c3b50=_0x44fbab(0x785);else{if(_0x39641b()[_0x44fbab(0x727)]([_0x12f1da(_0x89c3f8,_0x44fbab(0x1fd4)),_0x12f1da(_0x89c3f8,_0x44fbab(0x174c)),_0x12f1da(_0x89c3f8,_0x44fbab(0x2651)),_0x12f1da(_0x89c3f8,_0x44fbab(0x15e0)),_0x12f1da(_0x89c3f8,_0x44fbab(0x689)),_0x12f1da(_0x89c3f8,_0x44fbab(0x2476)),_0x12f1da(_0x89c3f8,'fax')],_0x51bf7a))_0x4c3b50='*pause';else _0x39641b()[_0x44fbab(0x1aa3)]([_0x12f1da(_0x89c3f8,_0x44fbab(0x1fd4)),_0x12f1da(_0x89c3f8,_0x44fbab(0x174c)),_0x12f1da(_0x89c3f8,_0x44fbab(0x2651)),_0x12f1da(_0x89c3f8,'openchannel'),_0x12f1da(_0x89c3f8,'sms'),_0x12f1da(_0x89c3f8,'whatsapp'),_0x12f1da(_0x89c3f8,_0x44fbab(0x1944))],_0x13ab8f)?_0x4c3b50='idle':_0x4c3b50=_0x44fbab(0x5b2);}_0x89c3f8[_0x44fbab(0x1fbe)]!==_0x4c3b50&&(_0x89c3f8['globalStatus']=_0x4c3b50,_0x89c3f8[_0x44fbab(0x1a8e)]?(_0x89c3f8[_0x44fbab(0x1a8e)]=![],_0x89c3f8['globalStatusTime']=_0x51bf7a(_0x4c3b50)?_0x39641b()['toNumber'](_0x543b5a()(_0x89c3f8[_0x44fbab(0x25db)])[_0x44fbab(0x1f31)]('x')):_0x39641b()[_0x44fbab(0xb33)]([_0x89c3f8[_0x44fbab(0xc9c)],_0x89c3f8['chatStatusTime'],_0x89c3f8[_0x44fbab(0x568)],_0x89c3f8[_0x44fbab(0x9eb)],_0x89c3f8[_0x44fbab(0x2064)],_0x89c3f8['openchannelStatusTime'],_0x89c3f8[_0x44fbab(0x1997)]])):_0x89c3f8[_0x44fbab(0xdb9)]=_0x39641b()[_0x44fbab(0x106d)](_0x543b5a()()[_0x44fbab(0x1f31)]('x')));}function _0x5c9f16(_0x29c8bb){const _0x3f0a77=_0x17f984,_0x491573=_0x39641b()[_0x3f0a77(0x1f2c)](_0x224017[_0x3f0a77(0xae2)][_0x3f0a77(0x237f)],'-')?_0x3f0a77(0x20a5):_0x3f0a77(0x24cc);return _0x39641b()[_0x3f0a77(0x17ca)](_0x29c8bb,[_0x224017['query']['order'][_0x3f0a77(0x288f)]('-','')],[_0x491573]);}function _0x2a52e5(){_0x43e8fa&&(_0x5d59bd['cancel'](_0x43e8fa),_0x43e8fa=null);}function _0x8e1a1c(_0x14bc9b,_0x655aef){const _0x2a5d48=_0x17f984;return _0x3e31b2[_0x2a5d48(0xebe)][_0x2a5d48(0x687)]({'id':_0x14bc9b['id']},{'screenrecording':_0x655aef})[_0x2a5d48(0x1d77)]['catch'](function(_0x3dd344){const _0x25ad59=_0x2a5d48;_0x373ba2['error']({'title':_0x3dd344[_0x25ad59(0x291)]?_0x25ad59(0xeb9)+_0x3dd344[_0x25ad59(0x291)]+_0x25ad59(0x1657)+_0x3dd344[_0x25ad59(0xc22)]:_0x25ad59(0x498),'msg':_0x3dd344[_0x25ad59(0x25c)]?JSON[_0x25ad59(0x2701)](_0x3dd344[_0x25ad59(0x25c)][_0x25ad59(0x155e)]):_0x3dd344['toString']()});});}_0x271916['$on'](_0x17f984(0x291c),function(){const _0x5a75e8=_0x17f984;_0x198311['removeAllListeners'](_0x5a75e8(0x2464)),_0x198311['removeAllListeners']('user:update'),_0x198311['removeAllListeners'](_0x5a75e8(0x2290)),_0x198311[_0x5a75e8(0xfb8)](_0x5a75e8(0x10b3)),_0x2a52e5();});}const _0x52b8df=_0x305a9d;;const _0x474deb=_0x5074a3['p']+_0x5537c6(0x2188);;const _0x1c94ff=_0x5074a3['p']+'src/js/modules/main/apps/fax/views/faxQueues/create/dialog.html/dialog.html';;_0x5c032f[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x2168),'$mdDialog','$q',_0x5537c6(0x22bf),'$interval',_0x5537c6(0x971),_0x5537c6(0x2255),_0x5537c6(0x142b),_0x5537c6(0x1986),_0x5537c6(0x9bf),_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x1774)];function _0x5c032f(_0x291683,_0x10378a,_0x259fcd,_0x5405f0,_0x1b1f79,_0x102d5e,_0x33839d,_0x188964,_0x331df4,_0x56941f,_0x3c9b1a,_0x3fe767,_0x34712a,_0x4966f8){const _0x191b3b=_0x5537c6,_0x219f0c=this,_0x411afb=['loggedIn','available',_0x191b3b(0x26b6),_0x191b3b(0x192a),_0x191b3b(0xfb2),_0x191b3b(0x2315),_0x191b3b(0x155e),_0x191b3b(0x737),'dialMethod',_0x191b3b(0xf67),'paused'];_0x219f0c[_0x191b3b(0xe76)]=_0x4966f8[_0x191b3b(0x21e8)](),_0x219f0c[_0x191b3b(0x184d)]=_0x33839d['count']?_0x33839d[_0x191b3b(0x184d)]:0x0,_0x219f0c[_0x191b3b(0x971)]=_0x33839d?_0x39641b()['keyBy'](_0x33839d[_0x191b3b(0x2214)]?_0x33839d['rows']:[],'id'):{},_0x219f0c[_0x191b3b(0x2255)]=_0x188964?_0x39641b()[_0x191b3b(0x2631)](_0x188964[_0x191b3b(0x2214)]?_0x188964['rows']:[],'id'):{},_0x219f0c[_0x191b3b(0x44a)]=_0x3fe767,_0x219f0c['userProfileSection']=_0x34712a&&_0x34712a[_0x191b3b(0x184d)]==0x1?_0x34712a['rows'][0x0]:null,_0x219f0c[_0x191b3b(0x1b1a)]=_0x4966f8[_0x191b3b(0x14ea)](_0x219f0c[_0x191b3b(0x2199)]?_0x219f0c['userProfileSection'][_0x191b3b(0x1b1a)]:null);_0x4966f8['hasRole'](_0x191b3b(0x1c60))?_0x219f0c[_0x191b3b(0xae2)]={'type':_0x191b3b(0x26c0),'sort':'-updatedAt','limit':0xa,'page':0x1}:_0x219f0c[_0x191b3b(0xae2)]={'id':_0x219f0c[_0x191b3b(0xe76)]['id'],'channel':_0x191b3b(0x1944),'type':_0x191b3b(0x26c0),'sort':'-updatedAt','limit':0xa,'page':0x1};_0x219f0c[_0x191b3b(0x829)]=_0x1313e6,_0x219f0c[_0x191b3b(0x13e9)]=_0x4bb761,_0x219f0c[_0x191b3b(0x12ff)]=_0x219e1a,_0x219f0c[_0x191b3b(0x1be7)]=_0x4f427a,_0x219f0c['updateQueue']=_0x46a809,_0x219f0c[_0x191b3b(0x294c)]=_0x5346f1,_0x219f0c['onSaveMember']=_0x149818,_0x219f0c[_0x191b3b(0x365)]=_0x40bf19,_0x219f0c['onPause']=_0x4b426f,_0x219f0c[_0x191b3b(0x26c9)]=_0x2a35fc,_0x219f0c[_0x191b3b(0x3be)]=_0x331964,_0x219f0c['$onInit']=_0x50c5be,_0x219f0c[_0x191b3b(0x237f)]=[],_0x39641b()['forIn'](_0x219f0c['queues'],function(_0x35b1a9){const _0x171107=_0x191b3b;_0x219f0c[_0x171107(0x237f)][_0x171107(0x2785)](_0x35b1a9['id']);}),_0x56941f['on'](_0x191b3b(0x24c4),_0x219f0c[_0x191b3b(0x294c)]),_0x56941f['on'](_0x191b3b(0x15f0),_0x219f0c['onSaveMember']),_0x56941f['on'](_0x191b3b(0x1c5c),_0x219f0c[_0x191b3b(0x365)]),_0x56941f['on'](_0x191b3b(0x14c6),_0x219f0c[_0x191b3b(0x18e4)]),_0x56941f['on'](_0x191b3b(0x201e),_0x219f0c[_0x191b3b(0x3be)]),_0x56941f['on']('userVoiceQueue:save',_0x219f0c['onLogin']);function _0x50c5be(){const _0x172d91=_0x191b3b,_0x52a79c=[];return _0x39641b()[_0x172d91(0x1ebd)](_0x219f0c['queues'],function(_0x59388f,_0x50566b){const _0x1fe45d=_0x172d91;_0x59388f[_0x1fe45d(0x139c)]=0x0,_0x59388f[_0x1fe45d(0x29a2)]=0x0,_0x219f0c[_0x1fe45d(0x971)][_0x50566b][_0x1fe45d(0xc12)]={},_0x52a79c[_0x1fe45d(0x2785)](_0x331df4['faxQueue'][_0x1fe45d(0x11dd)]({'id':_0x50566b})[_0x1fe45d(0x1d77)]),_0x219f0c[_0x1fe45d(0x2255)][_0x50566b]&&_0x39641b()[_0x1fe45d(0x9c1)](_0x59388f,_0x39641b()['pick'](_0x219f0c[_0x1fe45d(0x2255)][_0x50566b],_0x411afb));}),_0x5405f0[_0x172d91(0x223b)](_0x52a79c)[_0x172d91(0x1cb0)](function(_0x16ec58){const _0x45f01f=_0x172d91;for(let _0x40ac95=0x0;_0x40ac95<_0x16ec58[_0x45f01f(0xfd0)];_0x40ac95+=0x1){for(let _0x71a036=0x0;_0x71a036<_0x16ec58[_0x40ac95][_0x45f01f(0x2214)][_0x45f01f(0xfd0)];_0x71a036++){_0x16ec58[_0x40ac95][_0x45f01f(0x2214)][_0x71a036][_0x45f01f(0x139c)]&&_0x219f0c[_0x45f01f(0x971)][_0x16ec58[_0x40ac95][_0x45f01f(0x2214)][_0x71a036]['FaxQueueId']][_0x45f01f(0x139c)]++,_0x219f0c[_0x45f01f(0x971)][_0x16ec58[_0x40ac95][_0x45f01f(0x2214)][_0x71a036][_0x45f01f(0x1a99)]]['loggedInDb']++,_0x219f0c['queues'][_0x16ec58[_0x40ac95]['rows'][_0x71a036][_0x45f01f(0x1a99)]][_0x45f01f(0xc12)][_0x16ec58[_0x40ac95][_0x45f01f(0x2214)][_0x71a036]['UserId']]=_0x16ec58[_0x40ac95][_0x45f01f(0x2214)][_0x71a036][_0x45f01f(0x1a99)];}}})[_0x172d91(0x1c4)](function(_0x599d43){const _0x27d864=_0x172d91;console[_0x27d864(0x218e)](_0x599d43);});}function _0x5346f1(_0xce34da){const _0x299f4f=_0x191b3b;_0x219f0c[_0x299f4f(0x971)][_0xce34da['id']]&&_0x39641b()[_0x299f4f(0x9c1)](_0x219f0c[_0x299f4f(0x971)][_0xce34da['id']],_0x39641b()[_0x299f4f(0x169b)](_0xce34da,_0x411afb));}function _0x149818(_0x2d6a0b){const _0x40e890=_0x191b3b;_0x219f0c[_0x40e890(0x971)][_0x2d6a0b['FaxQueueId']]&&_0x219f0c[_0x40e890(0x971)][_0x2d6a0b['FaxQueueId']]['loggedInDb']++;}function _0x40bf19(_0x2036f9){const _0x306409=_0x191b3b;_0x219f0c[_0x306409(0x971)][_0x2036f9[_0x306409(0x1a99)]]&&_0x219f0c['queues'][_0x2036f9[_0x306409(0x1a99)]]['loggedInDb']--;}function _0x4b426f(_0x548c9a){const _0x42000d=_0x191b3b;console[_0x42000d(0x1b4f)]('onPause',_0x548c9a);if(_0x548c9a[_0x42000d(0x2478)])_0x39641b()[_0x42000d(0x1ebd)](_0x219f0c['queues'],function(_0x5e6d01){const _0x381989=_0x42000d;_0x5e6d01['agents'][_0x548c9a['id']]&&(_0x5e6d01[_0x381989(0x139c)]+=0x1,console[_0x381989(0x1b4f)]('pause'));});else!_0x548c9a[_0x42000d(0x2478)]&&_0x39641b()[_0x42000d(0x1ebd)](_0x219f0c[_0x42000d(0x971)],function(_0x1d9e5e){const _0x153a86=_0x42000d;_0x1d9e5e[_0x153a86(0xc12)][_0x548c9a['id']]&&(_0x1d9e5e[_0x153a86(0x139c)]>0x0&&(_0x1d9e5e['paused']-=0x1,console[_0x153a86(0x1b4f)](_0x153a86(0xf5d))));});}function _0x2a35fc(_0x4dde3f){const _0x2e4d01=_0x191b3b;console[_0x2e4d01(0x1b4f)](_0x2e4d01(0x2557),_0x4dde3f),_0x219f0c[_0x2e4d01(0x971)][_0x4dde3f[_0x2e4d01(0x1a99)]]&&(_0x219f0c[_0x2e4d01(0x971)][_0x4dde3f[_0x2e4d01(0x1a99)]][_0x2e4d01(0x29a2)]+=0x1,_0x219f0c[_0x2e4d01(0x971)][_0x4dde3f['FaxQueueId']][_0x2e4d01(0xc12)][_0x4dde3f[_0x2e4d01(0x21ab)]]=_0x4dde3f[_0x2e4d01(0x1a99)]);}function _0x331964(_0x1a6ac2){const _0x42cf16=_0x191b3b;console[_0x42cf16(0x1b4f)](_0x42cf16(0x3be),_0x1a6ac2),_0x219f0c[_0x42cf16(0x971)][_0x1a6ac2['FaxQueueId']]&&_0x219f0c[_0x42cf16(0x971)][_0x1a6ac2['FaxQueueId']]['loggedInDb']>0x0&&(_0x219f0c[_0x42cf16(0x971)][_0x1a6ac2[_0x42cf16(0x1a99)]][_0x42cf16(0x29a2)]-=0x1,delete _0x219f0c[_0x42cf16(0x971)][_0x1a6ac2['FaxQueueId']][_0x42cf16(0xc12)][_0x1a6ac2[_0x42cf16(0x21ab)]]);}let _0x2d32e1=!![],_0x5f9fb9=0x1;_0x291683[_0x191b3b(0x614)]('vm.query.filter',function(_0x4574e6,_0x11b6ef){const _0x299c50=_0x191b3b;_0x2d32e1?_0x10378a(function(){_0x2d32e1=![];}):(!_0x11b6ef&&(_0x5f9fb9=_0x219f0c[_0x299c50(0xae2)][_0x299c50(0x1c7b)]),_0x4574e6!==_0x11b6ef&&(_0x219f0c[_0x299c50(0xae2)][_0x299c50(0x1c7b)]=0x1),!_0x4574e6&&(_0x219f0c[_0x299c50(0xae2)][_0x299c50(0x1c7b)]=_0x5f9fb9),_0x219f0c[_0x299c50(0x13e9)]());});function _0x1313e6(_0x4a4a44){const _0x1b8abb=_0x191b3b;_0x219f0c['count']=_0x4a4a44[_0x1b8abb(0x184d)],_0x219f0c[_0x1b8abb(0x971)]=_0x4a4a44?_0x39641b()[_0x1b8abb(0x2631)](_0x4a4a44[_0x1b8abb(0x2214)]?_0x4a4a44['rows']:[],'id'):{};if(_0x4a4a44['rows']){_0x219f0c[_0x1b8abb(0x237f)]=[];for(let _0x2d8808=0x0;_0x2d8808<_0x4a4a44[_0x1b8abb(0x2214)][_0x1b8abb(0xfd0)];_0x2d8808++){_0x219f0c[_0x1b8abb(0x237f)][_0x1b8abb(0x2785)](_0x4a4a44[_0x1b8abb(0x2214)][_0x2d8808]['id']);}}_0x50c5be();}function _0x4bb761(){const _0x495bbd=_0x191b3b;_0x219f0c['query'][_0x495bbd(0x184b)]=(_0x219f0c[_0x495bbd(0xae2)][_0x495bbd(0x1c7b)]-0x1)*_0x219f0c[_0x495bbd(0xae2)][_0x495bbd(0x236)],_0x4966f8['hasRole'](_0x495bbd(0x1c60))?_0x219f0c[_0x495bbd(0x2061)]=_0x331df4[_0x495bbd(0x54d)]['get'](_0x219f0c[_0x495bbd(0xae2)],_0x1313e6)['$promise']:(_0x219f0c['query']['id']=_0x219f0c[_0x495bbd(0x44a)]['id'],_0x219f0c[_0x495bbd(0xae2)]['section']=_0x495bbd(0x1972),_0x219f0c[_0x495bbd(0x2061)]=_0x331df4[_0x495bbd(0x44a)][_0x495bbd(0x1810)](_0x219f0c[_0x495bbd(0xae2)],_0x1313e6)[_0x495bbd(0x1d77)]);}function _0x219e1a(_0x11c1c3,_0x3d2151){const _0x4ed187=_0x191b3b;_0x259fcd[_0x4ed187(0xe27)]({'controller':_0x4ed187(0xeea),'controllerAs':'vm','templateUrl':_0x1c94ff,'parent':angular['element'](_0x1b1f79[_0x4ed187(0x1ed9)]),'targetEvent':_0x11c1c3,'clickOutsideToClose':!![],'locals':{'faxQueue':_0x3d2151,'faxQueues':_0x39641b()[_0x4ed187(0x27aa)](_0x219f0c[_0x4ed187(0x971)]),'license':null,'setting':null,'crudPermissions':_0x219f0c['crudPermissions']}});}function _0x4f427a(_0x35a8f9,_0x14241a){const _0x5091e7=_0x191b3b;_0x259fcd[_0x5091e7(0xe27)]({'controller':_0x5091e7(0xe5e),'controllerAs':'vm','templateUrl':_0x474deb,'parent':angular[_0x5091e7(0x1853)](_0x1b1f79['body']),'targetEvent':_0x35a8f9,'clickOutsideToClose':!![],'locals':{'faxQueue':_0x14241a,'faxQueues':_0x219f0c[_0x5091e7(0x971)]?_0x219f0c[_0x5091e7(0x971)][_0x5091e7(0x2214)]:[],'realtime':![],'crudPermissions':_0x219f0c[_0x5091e7(0x1b1a)]}});}function _0x46a809(_0x49c274){const _0x28caf8=_0x191b3b;return _0x331df4[_0x28caf8(0x54d)]['update'](_0x49c274)[_0x28caf8(0x1d77)][_0x28caf8(0x1cb0)](function(){const _0x5cdac7=_0x28caf8;_0x3c9b1a[_0x5cdac7(0x829)]({'title':_0x5cdac7(0x264c),'msg':_0x49c274['name']?_0x49c274[_0x5cdac7(0x16b6)]+'\x20has\x20been\x20updated!':''});})['catch'](function(_0x388d9e){const _0x16fa27=_0x28caf8;_0x3c9b1a['error']({'title':_0x16fa27(0x454),'msg':_0x388d9e[_0x16fa27(0x155e)]});});}_0x291683[_0x191b3b(0x1d6)](_0x191b3b(0x291c),function(){const _0x13778d=_0x191b3b;_0x56941f[_0x13778d(0xfb8)](_0x13778d(0x24c4)),_0x56941f['removeAllListeners'](_0x13778d(0x1c5c)),_0x56941f[_0x13778d(0xfb8)](_0x13778d(0x15f0)),_0x56941f[_0x13778d(0xfb8)]('user:update'),_0x56941f[_0x13778d(0xfb8)]('userVoiceQueue:remove'),_0x56941f[_0x13778d(0xfb8)](_0x13778d(0x828));});}const _0x3289bc=_0x5c032f;;function _0x4856ca(){const _0x5a99b7=_0x5537c6;return{'status':{'registered':_0x5a99b7(0x1170),'unregistered':'red-300-fg\x20icon-close-circle','lagged':_0x5a99b7(0x29a3),'reachable':_0x5a99b7(0x1170),'unreachable':'red-300-fg\x20icon-close-circle','unknown':_0x5a99b7(0xb74)},'state':{'unknown':_0x5a99b7(0x181),'not_inuse':_0x5a99b7(0x25c2),'inuse':_0x5a99b7(0xd57),'busy':_0x5a99b7(0x35b),'invalid':'grey-fg\x20icon-phone-hangup','unavailable':_0x5a99b7(0x181),'ringing':_0x5a99b7(0x1c43),'ringinuse':_0x5a99b7(0x1c43),'onhold':_0x5a99b7(0x1825)},'channelStatus':{'ring':_0x5a99b7(0x1c43),'up':_0x5a99b7(0x142f),'hangup':_0x5a99b7(0xe67)},'channelStatusOut':{'ring':_0x5a99b7(0x53c),'up':'green-300-fg\x20icon-phone-in-talk','hangup':_0x5a99b7(0xe67)}};}const _0x825be1=_0x4856ca;;_0x500c29[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x1986)];function _0x500c29(_0x5b064b,_0x357399,_0x322ca9){const _0x2c4c25=_0x5537c6,_0x2882c9=this;_0x322ca9[_0x2c4c25(0x22e8)](),_0x322ca9[_0x2c4c25(0x8b0)](),_0x2882c9[_0x2c4c25(0x8ec)]=0x0;switch(_0x357399[_0x2c4c25(0x1970)][_0x2c4c25(0x16b6)]){case _0x2c4c25(0xdb7):_0x2882c9['selectedTab']=0x0;break;case _0x2c4c25(0x702):_0x2882c9[_0x2c4c25(0x8ec)]=0x1;break;case _0x2c4c25(0x734):_0x2882c9[_0x2c4c25(0x8ec)]=0x2;break;case _0x2c4c25(0x1076):_0x2882c9['selectedTab']=0x3;break;default:_0x2882c9[_0x2c4c25(0x8ec)]=0x0,_0x357399['go'](_0x2c4c25(0xdb7));}_0x5b064b[_0x2c4c25(0x614)](_0x2c4c25(0x1ced),function(_0x5a5309,_0x1d7c47){const _0x100483=_0x2c4c25;if(_0x5a5309!==_0x1d7c47)switch(_0x5a5309){case 0x0:_0x357399['go'](_0x100483(0xdb7));break;case 0x1:_0x357399['go'](_0x100483(0x702));break;case 0x2:_0x357399['go'](_0x100483(0x734));break;case 0x3:_0x357399['go'](_0x100483(0x1076));break;default:_0x357399['go']('app.fax.realtime.agents');}});}const _0x2e5a41=_0x500c29;;_0x4b1840[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x2168),_0x5537c6(0xcb9),_0x5537c6(0x1363),_0x5537c6(0x1f95),_0x5537c6(0x142b),_0x5537c6(0x1986),_0x5537c6(0x125c),_0x5537c6(0x406),_0x5537c6(0x44a),_0x5537c6(0x2199),'Auth'];function _0x4b1840(_0x1dab70,_0x3afdf9,_0x324d53,_0x26dc0b,_0x521091,_0x1535c1,_0x1036bb,_0x51c5ea,_0x283102,_0x24519a,_0x5d58b7,_0x3f0f59){const _0x29ce7f=_0x5537c6,_0x393452=this,_0x372ec7=[_0x29ce7f(0x291),_0x29ce7f(0x71a),_0x29ce7f(0x11ee),_0x29ce7f(0x17d8),'port',_0x29ce7f(0xdbd),_0x29ce7f(0x27e0),'status'];_0x393452[_0x29ce7f(0xe76)]=_0x3f0f59['getCurrentUser'](),_0x393452['count']=_0x26dc0b['count'],_0x393452[_0x29ce7f(0x1363)]=_0x26dc0b?_0x26dc0b[_0x29ce7f(0x2214)]:[],_0x393452[_0x29ce7f(0x1f95)]=_0x521091?_0x521091[_0x29ce7f(0x2214)]?_0x521091[_0x29ce7f(0x2214)]:[]:[],_0x393452['query']={'fields':_0x29ce7f(0x43c),'active':!![],'sort':'-updatedAt','limit':0xa,'page':0x1},_0x393452[_0x29ce7f(0xf2b)]=_0x51c5ea[_0x29ce7f(0x291)],_0x393452[_0x29ce7f(0xc74)]=_0x51c5ea[_0x29ce7f(0x27e0)],_0x393452[_0x29ce7f(0x44a)]=_0x24519a,_0x393452['userProfileSection']=_0x5d58b7&&_0x5d58b7[_0x29ce7f(0x184d)]==0x1?_0x5d58b7[_0x29ce7f(0x2214)][0x0]:null,_0x393452['crudPermissions']=_0x3f0f59[_0x29ce7f(0x14ea)](_0x393452['userProfileSection']?_0x393452[_0x29ce7f(0x2199)][_0x29ce7f(0x1b1a)]:null),_0x393452[_0x29ce7f(0x829)]=_0x8ee1c3,_0x393452[_0x29ce7f(0x129c)]=_0x50a6e7,_0x393452['showInfo']=_0x2167e4,_0x393452[_0x29ce7f(0xe77)]=_0x373075,_0x393452[_0x29ce7f(0x2a1)]=_0x4a34d0,_0x393452[_0x29ce7f(0x294c)]=_0x4a47e1,_0x1036bb['on'](_0x29ce7f(0x21b2),_0x393452[_0x29ce7f(0x294c)]);function _0x50a6e7(){const _0x5d840e=_0x29ce7f;let _0x3f49c5;for(let _0x5ed0f4=0x0;_0x5ed0f4<_0x393452[_0x5d840e(0x1363)]['length'];_0x5ed0f4+=0x1){_0x3f49c5=_0x39641b()[_0x5d840e(0x3c2)](_0x393452['rpcTrunks'],['id',_0x393452[_0x5d840e(0x1363)][_0x5ed0f4]['id']]),_0x3f49c5>=0x0&&_0x39641b()[_0x5d840e(0x9c1)](_0x393452[_0x5d840e(0x1363)][_0x5ed0f4],_0x39641b()['pick'](_0x393452[_0x5d840e(0x1f95)][_0x3f49c5],_0x372ec7));}}function _0x2167e4(_0x1b8620){const _0x44d938=_0x29ce7f;_0x324d53[_0x44d938(0xe27)](_0x324d53[_0x44d938(0x494)]()['clickOutsideToClose'](!![])[_0x44d938(0x1386)]('Legend')[_0x44d938(0x49e)](_0x44d938(0x26a))['ok']('Ok')[_0x44d938(0x728)](_0x1b8620));}function _0x333792(_0x21fc1c,_0x5b820c,_0x51b333){const _0x46cad1=_0x29ce7f;_0x5b820c>=0x0&&_0x39641b()[_0x46cad1(0x9c1)](_0x21fc1c[_0x5b820c],_0x39641b()['pick'](_0x51b333,_0x372ec7));}function _0x4a47e1(_0x189c24){const _0x2aab2f=_0x29ce7f,_0x2bcea9=_0x39641b()[_0x2aab2f(0x3c2)](_0x393452[_0x2aab2f(0x1363)],['id',_0x189c24['id']]),_0x439d8e=_0x39641b()['findIndex'](_0x393452[_0x2aab2f(0x1f95)],['id',_0x189c24['id']]);_0x333792(_0x393452['trunks'],_0x2bcea9,_0x189c24),_0x333792(_0x393452[_0x2aab2f(0x1f95)],_0x439d8e,_0x189c24);}let _0x2a0015=!![],_0x43378e=0x1;_0x1dab70[_0x29ce7f(0x614)](_0x29ce7f(0x957),function(_0xac955e,_0x7d8e44){const _0x182945=_0x29ce7f;_0x2a0015?_0x3afdf9(function(){_0x2a0015=![];}):(!_0x7d8e44&&(_0x43378e=_0x393452[_0x182945(0xae2)]['page']),_0xac955e!==_0x7d8e44&&(_0x393452[_0x182945(0xae2)][_0x182945(0x1c7b)]=0x1),!_0xac955e&&(_0x393452['query'][_0x182945(0x1c7b)]=_0x43378e),_0x393452['getTrunks']());});function _0x8ee1c3(_0xa99677){const _0x58a381=_0x29ce7f;_0x393452[_0x58a381(0x184d)]=_0xa99677[_0x58a381(0x184d)],_0x393452[_0x58a381(0x1363)]=_0xa99677?_0xa99677[_0x58a381(0x2214)]?_0xa99677[_0x58a381(0x2214)]:[]:[],_0x50a6e7();}function _0x373075(){const _0x468812=_0x29ce7f;_0x393452['query'][_0x468812(0x184b)]=(_0x393452[_0x468812(0xae2)][_0x468812(0x1c7b)]-0x1)*_0x393452[_0x468812(0xae2)][_0x468812(0x236)],_0x3f0f59[_0x468812(0x22b6)](_0x468812(0x1c60))?_0x393452['promise']=_0x1535c1['trunk'][_0x468812(0xbf7)](_0x393452[_0x468812(0xae2)],_0x8ee1c3)[_0x468812(0x1d77)]:(_0x393452[_0x468812(0xae2)]['id']=_0x393452['userProfile']['id'],_0x393452[_0x468812(0xae2)][_0x468812(0x1f74)]=_0x468812(0x272b),_0x393452['promise']=_0x1535c1[_0x468812(0x44a)][_0x468812(0x1810)](_0x393452[_0x468812(0xae2)],_0x8ee1c3)[_0x468812(0x1d77)]);}_0x1dab70[_0x29ce7f(0x1d6)]('$destroy',function(){const _0x17f73b=_0x29ce7f;_0x1036bb[_0x17f73b(0xfb8)](_0x17f73b(0x21b2));});function _0x4a34d0(_0x4917e0,_0x293ae5){const _0x585ab2=_0x29ce7f;_0x283102['go'](_0x585ab2(0xbe6),{'id':_0x293ae5['id']});}}const _0x25fd40=_0x4b1840;;_0x33fb3c[_0x5537c6(0x15b6)]=['$stateProvider'];function _0x33fb3c(_0x5493fb){const _0xa3dba1=_0x5537c6;_0x5493fb[_0xa3dba1(0x27e0)](_0xa3dba1(0x13a6),{'url':'/realtime','views':{'content@app':{'templateUrl':_0x124059,'controller':'FaxRealtimeController\x20as\x20vm'}},'resolve':{'userProfile':[_0xa3dba1(0x1e0b),_0xa3dba1(0x1774),function(_0x1f1fc0,_0x1b762d){const _0x3331ec=_0xa3dba1;return _0x1b762d[_0x3331ec(0x22b6)](_0x3331ec(0x1c60))?null:_0x1f1fc0[_0x3331ec(0x19a3)](_0x3331ec(0x9ae),{'fields':_0x3331ec(0x279),'id':_0x1b762d['getCurrentUser']()[_0x3331ec(0x13c1)]});}],'userProfileSection':[_0xa3dba1(0x1e0b),'Auth',function(_0x4ec9ca,_0x10cafa){const _0x206245=_0xa3dba1;return _0x10cafa[_0x206245(0x22b6)](_0x206245(0x1c60))?null:_0x4ec9ca[_0x206245(0x19a3)](_0x206245(0x2182),{'fields':_0x206245(0x1f5f),'userProfileId':_0x10cafa[_0x206245(0x21e8)]()[_0x206245(0x13c1)],'sectionId':0x38e});}]},'authenticate':!![],'permissionId':0x38e})[_0xa3dba1(0x27e0)](_0xa3dba1(0xdb7),{'url':'/agents','controller':_0xa3dba1(0x813),'templateUrl':_0x5f1263,'resolve':{'pauses':[_0xa3dba1(0x1e0b),function(_0xefa3da){const _0x43bb09=_0xa3dba1;return _0xefa3da[_0x43bb09(0x19a3)]('pause@get',{'nolimit':!![]});}],'agents':['apiResolver',_0xa3dba1(0x1774),function(_0x2602a6,_0x5edbe0){const _0x345b59=_0xa3dba1;return _0x5edbe0[_0x345b59(0x22b6)]('admin')?_0x2602a6[_0x345b59(0x19a3)](_0x345b59(0x1c86),{'fields':_0x345b59(0x1599),'role':_0x345b59(0x1eff),'sort':'fullname','nolimit':!![]}):_0x2602a6[_0x345b59(0x19a3)](_0x345b59(0x12da),{'id':_0x5edbe0[_0x345b59(0x21e8)]()['userProfileId'],'section':'Agents','fields':_0x345b59(0x1599),'role':'agent','sort':_0x345b59(0x1d14),'nolimit':!![]});}],'userProfile':[_0xa3dba1(0x1e0b),_0xa3dba1(0x1774),function(_0xd7c6d7,_0x38c2e2){const _0x1e09f4=_0xa3dba1;return _0x38c2e2['hasRole'](_0x1e09f4(0x1c60))?null:_0xd7c6d7[_0x1e09f4(0x19a3)]('userProfile@get',{'fields':_0x1e09f4(0x279),'id':_0x38c2e2[_0x1e09f4(0x21e8)]()[_0x1e09f4(0x13c1)]});}],'userProfileSection':['apiResolver',_0xa3dba1(0x1774),function(_0x3d1bd1,_0x38b79c){const _0x54f9b8=_0xa3dba1;return _0x38b79c['hasRole']('admin')?null:_0x3d1bd1[_0x54f9b8(0x19a3)](_0x54f9b8(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x38b79c[_0x54f9b8(0x21e8)]()[_0x54f9b8(0x13c1)],'sectionId':0xca});}],'rpcAgents':['apiResolver',function(_0x35b4a8){const _0x4b84cc=_0xa3dba1;return _0x35b4a8[_0x4b84cc(0x19a3)](_0x4b84cc(0x12c0));}]},'authenticate':!![],'permissionId':0x38e})[_0xa3dba1(0x27e0)](_0xa3dba1(0x702),{'url':_0xa3dba1(0x1c1a),'controller':'TrunksFaxRealtimeController\x20as\x20vm','templateUrl':_0x567438,'resolve':{'trunks':[_0xa3dba1(0x1e0b),_0xa3dba1(0x1774),function(_0x2aa9fa,_0x9f6243){const _0x50db37=_0xa3dba1;return _0x9f6243['hasRole']('admin')?_0x2aa9fa['resolve'](_0x50db37(0x99d),{'fields':_0x50db37(0x43c),'active':!![],'sort':_0x50db37(0x282),'limit':0xa,'offset':0x0}):_0x2aa9fa[_0x50db37(0x19a3)](_0x50db37(0x12da),{'id':_0x9f6243[_0x50db37(0x21e8)]()['userProfileId'],'section':_0x50db37(0x272b),'fields':'id,name','active':!![],'sort':_0x50db37(0x282),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver',_0xa3dba1(0x1774),function(_0x277e67,_0x9e22a7){const _0x439327=_0xa3dba1;return _0x9e22a7[_0x439327(0x22b6)]('admin')?null:_0x277e67[_0x439327(0x19a3)](_0x439327(0x9ae),{'fields':_0x439327(0x279),'id':_0x9e22a7[_0x439327(0x21e8)]()['userProfileId']});}],'userProfileSection':[_0xa3dba1(0x1e0b),_0xa3dba1(0x1774),function(_0x5176b5,_0x296068){const _0x5f4c10=_0xa3dba1;return _0x296068[_0x5f4c10(0x22b6)]('admin')?null:_0x5176b5[_0x5f4c10(0x19a3)](_0x5f4c10(0x2182),{'fields':_0x5f4c10(0x1f5f),'userProfileId':_0x296068[_0x5f4c10(0x21e8)]()[_0x5f4c10(0x13c1)],'sectionId':0x3f3});}],'rpcTrunks':[_0xa3dba1(0x1e0b),function(_0x2ca0b4){const _0x582f08=_0xa3dba1;return _0x2ca0b4[_0x582f08(0x19a3)]('rpc@getTrunks');}]},'authenticate':!![],'permissionId':0x38e})[_0xa3dba1(0x27e0)]('app.fax.realtime.queues',{'url':'/queues','controller':_0xa3dba1(0xd20),'templateUrl':_0x271134,'resolve':{'queues':['apiResolver',_0xa3dba1(0x1774),function(_0x59208f,_0x45d09d){const _0x5d473b=_0xa3dba1;return _0x45d09d[_0x5d473b(0x22b6)](_0x5d473b(0x1c60))?_0x59208f[_0x5d473b(0x19a3)](_0x5d473b(0x16d2),{'type':_0x5d473b(0x26c0),'sort':_0x5d473b(0x282),'limit':0xa,'offset':0x0}):_0x59208f[_0x5d473b(0x19a3)](_0x5d473b(0x12da),{'id':_0x45d09d['getCurrentUser']()[_0x5d473b(0x13c1)],'section':'FaxQueues','channel':_0x5d473b(0x1944),'type':_0x5d473b(0x26c0),'sort':_0x5d473b(0x282),'limit':0xa,'page':0x1});}],'userProfile':['apiResolver','Auth',function(_0x46ae08,_0xe082ee){const _0x3da027=_0xa3dba1;return _0xe082ee['hasRole'](_0x3da027(0x1c60))?null:_0x46ae08[_0x3da027(0x19a3)](_0x3da027(0x9ae),{'fields':_0x3da027(0x279),'id':_0xe082ee[_0x3da027(0x21e8)]()[_0x3da027(0x13c1)]});}],'userProfileSection':[_0xa3dba1(0x1e0b),_0xa3dba1(0x1774),function(_0x2026d1,_0x692be2){const _0x59d687=_0xa3dba1;return _0x692be2[_0x59d687(0x22b6)](_0x59d687(0x1c60))?null:_0x2026d1[_0x59d687(0x19a3)](_0x59d687(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x692be2['getCurrentUser']()['userProfileId'],'sectionId':0x385});}],'rpcQueues':[_0xa3dba1(0x1e0b),function(_0x4456b1){const _0x59fe80=_0xa3dba1;return _0x4456b1['resolve'](_0x59fe80(0x1968));}]},'authenticate':!![],'permissionId':0x38e})[_0xa3dba1(0x27e0)](_0xa3dba1(0x1076),{'url':'/accounts','controller':_0xa3dba1(0x158e),'templateUrl':_0x55cba2,'resolve':{'faxAccounts':[_0xa3dba1(0x1e0b),_0xa3dba1(0x1774),function(_0x3d63e5,_0x21c71e){const _0x3926c1=_0xa3dba1;return _0x21c71e[_0x3926c1(0x22b6)](_0x3926c1(0x1c60))?_0x3d63e5[_0x3926c1(0x19a3)](_0x3926c1(0x1e1a),{'sort':_0x3926c1(0x282),'limit':0xa,'offset':0x0}):_0x3d63e5['resolve']('userProfile@getResources',{'id':_0x21c71e[_0x3926c1(0x21e8)]()[_0x3926c1(0x13c1)],'section':_0x3926c1(0x1ffe),'sort':'-updatedAt','limit':0xa,'offset':0x0});}],'userProfile':[_0xa3dba1(0x1e0b),_0xa3dba1(0x1774),function(_0x2c2d8e,_0x587e4e){const _0x282e2c=_0xa3dba1;return _0x587e4e[_0x282e2c(0x22b6)](_0x282e2c(0x1c60))?null:_0x2c2d8e['resolve']('userProfile@get',{'fields':_0x282e2c(0x279),'id':_0x587e4e[_0x282e2c(0x21e8)]()['userProfileId']});}],'userProfileSection':['apiResolver','Auth',function(_0x459869,_0x454b5c){const _0x240f3a=_0xa3dba1;return _0x454b5c[_0x240f3a(0x22b6)](_0x240f3a(0x1c60))?null:_0x459869['resolve'](_0x240f3a(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x454b5c[_0x240f3a(0x21e8)]()[_0x240f3a(0x13c1)],'sectionId':0x386});}],'rpcFaxAccounts':[_0xa3dba1(0x1e0b),function(_0x8609ae){const _0x2c31e0=_0xa3dba1;return _0x8609ae[_0x2c31e0(0x19a3)](_0x2c31e0(0x1733));}]},'authenticate':!![],'permissionId':0x38e});}angular[_0x5537c6(0x9ab)](_0x5537c6(0x13a6),[])[_0x5537c6(0xa60)](_0x33fb3c)[_0x5537c6(0x6e5)](_0x5537c6(0x113b),_0x5ba4ce)[_0x5537c6(0x6e5)](_0x5537c6(0xdea),_0x52b8df)[_0x5537c6(0x6e5)](_0x5537c6(0x18ac),_0x3289bc)[_0x5537c6(0x234a)](_0x5537c6(0x125c),_0x825be1)[_0x5537c6(0x6e5)](_0x5537c6(0x1904),_0x2e5a41)[_0x5537c6(0x6e5)](_0x5537c6(0xb9b),_0x25fd40);;_0xa2b126[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q','$translate',_0x5537c6(0x9bf),_0x5537c6(0x29de),_0x5537c6(0xb91),'api',_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting',_0x5537c6(0x1b1a)];function _0xa2b126(_0x57ea01,_0xdb6ed2,_0x3e9bca,_0x5ba8cc,_0x57d243,_0x2edc14,_0x15b2c1,_0x414616,_0x3a144a,_0x267083,_0x1e1ab6,_0x31c32c,_0x13bbbc,_0x116a0c){const _0x3e22ee=_0x5537c6,_0x294394=this;_0x294394['currentUser']=_0x1e1ab6[_0x3e22ee(0x21e8)](),_0x294394[_0x3e22ee(0x1a7c)]=[],_0x294394[_0x3e22ee(0x9ca)]=_0x13bbbc,_0x294394['license']=_0x31c32c,_0x294394['crudPermissions']=_0x116a0c,_0x294394[_0x3e22ee(0xf4c)]={},_0x294394[_0x3e22ee(0x1b0c)]=_0x294394['setting']&&_0x294394[_0x3e22ee(0x9ca)][_0x3e22ee(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x294394[_0x3e22ee(0x1386)]=_0x3e22ee(0x1283),_0x294394[_0x3e22ee(0xb91)]=angular[_0x3e22ee(0x17fe)](_0x3a144a),_0x294394[_0x3e22ee(0x29de)]=_0x414616,_0x294394[_0x3e22ee(0x23dd)]=![];!_0x294394[_0x3e22ee(0xb91)]&&(_0x294394['faxAccount']={'waitForTheAssignedAgent':0xa,'notificationSound':!![],'queueTransferTimeout':0x12c,'agentTransferTimeout':0x12c},_0x294394[_0x3e22ee(0x1386)]=_0x3e22ee(0x2160),_0x294394[_0x3e22ee(0x23dd)]=!![]);_0xdb6ed2[_0x3e22ee(0x1dfe)]['id']&&(_0x294394[_0x3e22ee(0xb91)][_0x3e22ee(0x18e9)]=_0xdb6ed2[_0x3e22ee(0x1dfe)]['id']);_0x294394['addNewFaxAccount']=_0x3002f7,_0x294394[_0x3e22ee(0x7f8)]=_0x2e415f,_0x294394[_0x3e22ee(0x373)]=_0x407d7d,_0x294394[_0x3e22ee(0x2c4)]=_0x3d3d57,_0x294394[_0x3e22ee(0xda0)]=_0x5178f6,_0x1e1ab6['hasRole'](_0x3e22ee(0x1c60))?_0x267083[_0x3e22ee(0x1ae0)]['get']({'fields':'id,name','sort':_0x3e22ee(0x16b6)})['$promise'][_0x3e22ee(0x1cb0)](function(_0x316768){const _0x3fb719=_0x3e22ee;_0x294394['trunks']=_0x316768[_0x3fb719(0x2214)]||[];})['catch'](function(_0x56c2f9){const _0x57eacb=_0x3e22ee;_0x15b2c1['error']({'title':_0x56c2f9[_0x57eacb(0x291)]?_0x57eacb(0xeb9)+_0x56c2f9[_0x57eacb(0x291)]+_0x57eacb(0x1657)+_0x56c2f9[_0x57eacb(0xc22)]:_0x57eacb(0x14fc),'msg':_0x56c2f9[_0x57eacb(0x25c)]?JSON[_0x57eacb(0x2701)](_0x56c2f9[_0x57eacb(0x25c)]):_0x56c2f9[_0x57eacb(0x147f)]()});}):_0x267083[_0x3e22ee(0x1ae0)][_0x3e22ee(0xbf7)]({'fields':_0x3e22ee(0x43c),'sort':_0x3e22ee(0x16b6)})[_0x3e22ee(0x1d77)][_0x3e22ee(0x1cb0)](function(_0x21e45b){const _0x4fbf69=_0x3e22ee;_0x294394[_0x4fbf69(0x1363)]=_0x21e45b[_0x4fbf69(0x2214)]||[];})[_0x3e22ee(0x1cb0)](function(){const _0x4ddc7f=_0x3e22ee;return _0x267083[_0x4ddc7f(0x2199)][_0x4ddc7f(0xbf7)]({'userProfileId':_0x294394['currentUser'][_0x4ddc7f(0x13c1)],'sectionId':0x3f3})[_0x4ddc7f(0x1d77)];})[_0x3e22ee(0x1cb0)](function(_0x293a10){const _0x4eb259=_0x3e22ee,_0x57f896=_0x293a10&&_0x293a10[_0x4eb259(0x2214)]?_0x293a10[_0x4eb259(0x2214)][0x0]:null;if(!_0x57f896){const _0x20f77e=[];let _0x426a5c=null;_0x294394[_0x4eb259(0xb91)]&&(_0x426a5c=_0x39641b()[_0x4eb259(0x13b4)](_0x294394['trunks'],{'id':Number(_0x294394[_0x4eb259(0xb91)][_0x4eb259(0x7ab)])}));for(let _0x45e1ba=0x0;_0x45e1ba<_0x294394[_0x4eb259(0x1363)][_0x4eb259(0xfd0)];_0x45e1ba++){_0x426a5c&&_0x294394['trunks'][_0x45e1ba]['id']===_0x426a5c['id']&&(_0x294394[_0x4eb259(0x1363)][_0x45e1ba][_0x4eb259(0x15da)]=![],_0x20f77e[_0x4eb259(0x2785)](_0x294394[_0x4eb259(0x1363)][_0x45e1ba]));}_0x294394[_0x4eb259(0x1363)]=_0x20f77e;}else{if(!_0x57f896['autoAssociation'])return _0x267083[_0x4eb259(0x1198)]['get']({'sectionId':_0x57f896['id']})[_0x4eb259(0x1d77)][_0x4eb259(0x1cb0)](function(_0xc2e173){const _0x4a2d6b=_0x4eb259,_0x278cfb=_0x39641b()[_0x4a2d6b(0x1de2)](_0xc2e173['rows'],function(_0x32f4f5){const _0x50f4c9=_0x4a2d6b;return _0x39641b()['find'](_0x294394[_0x50f4c9(0x1363)],{'id':_0x32f4f5[_0x50f4c9(0x2982)]});});let _0x4a5238=null;_0x294394[_0x4a2d6b(0xb91)]&&(_0x4a5238=_0x39641b()[_0x4a2d6b(0x13b4)](_0x294394[_0x4a2d6b(0x1363)],{'id':Number(_0x294394['faxAccount'][_0x4a2d6b(0x7ab)])}));if(_0x4a5238&&!_0x39641b()[_0x4a2d6b(0x727)](_0x278cfb,['id',_0x4a5238['id']])){const _0x2e2ca2=_0x39641b()[_0x4a2d6b(0x13b4)](_0x294394[_0x4a2d6b(0x1363)],{'id':_0x4a5238['id']});_0x2e2ca2[_0x4a2d6b(0x15da)]=![],_0x278cfb[_0x4a2d6b(0x2785)](_0x2e2ca2);}_0x294394[_0x4a2d6b(0x1363)]=_0x278cfb;});}})[_0x3e22ee(0x1c4)](function(_0x3652e8){const _0xff4c32=_0x3e22ee;_0x15b2c1[_0xff4c32(0x218e)]({'title':_0x3652e8[_0xff4c32(0x291)]?_0xff4c32(0xeb9)+_0x3652e8[_0xff4c32(0x291)]+_0xff4c32(0x1657)+_0x3652e8['statusText']:_0xff4c32(0x2040),'msg':_0x3652e8[_0xff4c32(0x25c)]?JSON[_0xff4c32(0x2701)](_0x3652e8[_0xff4c32(0x25c)]):_0x3652e8['toString']()});}),_0x1e1ab6[_0x3e22ee(0x22b6)](_0x3e22ee(0x1c60))?_0x267083[_0x3e22ee(0x22f2)][_0x3e22ee(0xbf7)]({'fields':_0x3e22ee(0x43c),'sort':'name'})['$promise']['then'](function(_0x3b0ab8){const _0x1dd07b=_0x3e22ee;_0x294394[_0x1dd07b(0x1046)]=_0x3b0ab8[_0x1dd07b(0x2214)]||[];})[_0x3e22ee(0x1c4)](function(_0x224788){const _0x4dec98=_0x3e22ee;_0x15b2c1[_0x4dec98(0x218e)]({'title':_0x224788[_0x4dec98(0x291)]?_0x4dec98(0xeb9)+_0x224788[_0x4dec98(0x291)]+_0x4dec98(0x1657)+_0x224788[_0x4dec98(0xc22)]:'SYSTEM:GET_LISTS','msg':_0x224788[_0x4dec98(0x25c)]?JSON[_0x4dec98(0x2701)](_0x224788[_0x4dec98(0x25c)]):_0x224788[_0x4dec98(0x147f)]()});}):_0x267083[_0x3e22ee(0x22f2)][_0x3e22ee(0xbf7)]({'fields':'id,name','sort':_0x3e22ee(0x16b6)})[_0x3e22ee(0x1d77)][_0x3e22ee(0x1cb0)](function(_0x295ebb){const _0xedd975=_0x3e22ee;_0x294394[_0xedd975(0x1046)]=_0x295ebb[_0xedd975(0x2214)]||[];})[_0x3e22ee(0x1cb0)](function(){const _0x45f1a1=_0x3e22ee;return _0x267083['userProfileSection'][_0x45f1a1(0xbf7)]({'userProfileId':_0x294394[_0x45f1a1(0xe76)][_0x45f1a1(0x13c1)],'sectionId':0x12d})[_0x45f1a1(0x1d77)];})[_0x3e22ee(0x1cb0)](function(_0x5dc673){const _0xe12c0=_0x3e22ee,_0x300f84=_0x5dc673&&_0x5dc673['rows']?_0x5dc673[_0xe12c0(0x2214)][0x0]:null;if(!_0x300f84){const _0x449ab2=[];let _0x5c9632=null;_0x294394[_0xe12c0(0xb91)]&&(_0x5c9632=_0x39641b()[_0xe12c0(0x13b4)](_0x294394[_0xe12c0(0x1046)],{'id':Number(_0x294394[_0xe12c0(0xb91)][_0xe12c0(0x20a6)])}));for(let _0xfcc74b=0x0;_0xfcc74b<_0x294394[_0xe12c0(0x1046)][_0xe12c0(0xfd0)];_0xfcc74b++){_0x5c9632&&_0x294394[_0xe12c0(0x1046)][_0xfcc74b]['id']===_0x5c9632['id']&&(_0x294394[_0xe12c0(0x1046)][_0xfcc74b][_0xe12c0(0x15da)]=![],_0x449ab2['push'](_0x294394[_0xe12c0(0x1046)][_0xfcc74b]));}_0x294394[_0xe12c0(0x1046)]=_0x449ab2;}else{if(!_0x300f84[_0xe12c0(0x12f4)])return _0x267083[_0xe12c0(0x1198)]['get']({'sectionId':_0x300f84['id']})[_0xe12c0(0x1d77)][_0xe12c0(0x1cb0)](function(_0xec7d19){const _0x325607=_0xe12c0,_0x30d6ae=_0x39641b()[_0x325607(0x1de2)](_0xec7d19[_0x325607(0x2214)],function(_0x11af36){const _0x3b7872=_0x325607;return _0x39641b()[_0x3b7872(0x13b4)](_0x294394[_0x3b7872(0x1046)],{'id':_0x11af36[_0x3b7872(0x2982)]});});let _0x135eef=null;_0x294394['faxAccount']&&(_0x135eef=_0x39641b()['find'](_0x294394[_0x325607(0x1046)],{'id':Number(_0x294394[_0x325607(0xb91)][_0x325607(0x20a6)])}));if(_0x135eef&&!_0x39641b()[_0x325607(0x727)](_0x30d6ae,['id',_0x135eef['id']])){const _0x3e4d3f=_0x39641b()[_0x325607(0x13b4)](_0x294394[_0x325607(0x1046)],{'id':_0x135eef['id']});_0x3e4d3f[_0x325607(0x15da)]=![],_0x30d6ae[_0x325607(0x2785)](_0x3e4d3f);}_0x294394[_0x325607(0x1046)]=_0x30d6ae;});}})['catch'](function(_0x42867f){const _0x2f1ecf=_0x3e22ee;_0x15b2c1[_0x2f1ecf(0x218e)]({'title':_0x42867f[_0x2f1ecf(0x291)]?_0x2f1ecf(0xeb9)+_0x42867f[_0x2f1ecf(0x291)]+'\x20-\x20'+_0x42867f[_0x2f1ecf(0xc22)]:_0x2f1ecf(0x113c),'msg':_0x42867f['data']?JSON['stringify'](_0x42867f['data']):_0x42867f[_0x2f1ecf(0x147f)]()});});function _0x3002f7(){const _0x4836c5=_0x3e22ee;_0x294394[_0x4836c5(0x1a7c)]=[],_0x267083[_0x4836c5(0xb91)][_0x4836c5(0x121c)](_0x294394[_0x4836c5(0xb91)])[_0x4836c5(0x1d77)][_0x4836c5(0x1cb0)](function(_0xb6c336){const _0x4caf78=_0x4836c5;_0x294394[_0x4caf78(0x29de)][_0x4caf78(0xf63)](_0xb6c336[_0x4caf78(0x19b2)]()),_0x15b2c1['success']({'title':_0x4caf78(0x1860),'msg':_0x294394['faxAccount'][_0x4caf78(0x16b6)]?_0x294394[_0x4caf78(0xb91)]['name']+_0x4caf78(0x470):''}),_0x5178f6(_0xb6c336);})['catch'](function(_0x1b70f9){const _0xfb5892=_0x4836c5;if(_0x1b70f9[_0xfb5892(0x25c)]&&_0x1b70f9['data']['errors']&&_0x1b70f9[_0xfb5892(0x25c)][_0xfb5892(0x1a7c)]['length']){_0x294394[_0xfb5892(0x1a7c)]=_0x1b70f9[_0xfb5892(0x25c)]['errors']||[{'message':_0x1b70f9[_0xfb5892(0x147f)](),'type':_0xfb5892(0x977)}];for(let _0xbe31cd=0x0;_0xbe31cd<_0x1b70f9[_0xfb5892(0x25c)][_0xfb5892(0x1a7c)]['length'];_0xbe31cd+=0x1){_0x15b2c1[_0xfb5892(0x218e)]({'title':_0x1b70f9['data'][_0xfb5892(0x1a7c)][_0xbe31cd][_0xfb5892(0x66a)],'msg':_0x1b70f9[_0xfb5892(0x25c)][_0xfb5892(0x1a7c)][_0xbe31cd][_0xfb5892(0x155e)]});}}else _0x15b2c1[_0xfb5892(0x218e)]({'title':_0x1b70f9[_0xfb5892(0x291)]?_0xfb5892(0xeb9)+_0x1b70f9[_0xfb5892(0x291)]+_0xfb5892(0x1657)+_0x1b70f9[_0xfb5892(0xc22)]:'api.faxAccount.save','msg':_0x1b70f9[_0xfb5892(0x25c)]?JSON[_0xfb5892(0x2701)](_0x1b70f9[_0xfb5892(0x25c)][_0xfb5892(0x155e)]):_0x1b70f9[_0xfb5892(0x147f)]()});});}function _0x2e415f(){const _0x172a17=_0x3e22ee;_0x294394['errors']=[],_0x267083['faxAccount'][_0x172a17(0xff3)](_0x294394[_0x172a17(0xb91)])[_0x172a17(0x1d77)][_0x172a17(0x1cb0)](function(_0x11fc4f){const _0x1f562a=_0x172a17,_0xddfee2=_0x39641b()[_0x1f562a(0x13b4)](_0x294394[_0x1f562a(0x29de)],{'id':_0x11fc4f['id']});_0xddfee2&&_0x39641b()[_0x1f562a(0x9c1)](_0xddfee2,_0x39641b()[_0x1f562a(0x169b)](_0x11fc4f[_0x1f562a(0x19b2)](),_0x39641b()[_0x1f562a(0x1be5)](_0xddfee2))),_0x15b2c1[_0x1f562a(0x829)]({'title':_0x1f562a(0x24eb),'msg':_0x294394[_0x1f562a(0xb91)][_0x1f562a(0x16b6)]?_0x294394[_0x1f562a(0xb91)][_0x1f562a(0x16b6)]+_0x1f562a(0xedb):''}),_0x5178f6(_0x11fc4f);})[_0x172a17(0x1c4)](function(_0x15ca23){const _0x366bae=_0x172a17;if(_0x15ca23[_0x366bae(0x25c)]&&_0x15ca23['data'][_0x366bae(0x1a7c)]&&_0x15ca23['data'][_0x366bae(0x1a7c)][_0x366bae(0xfd0)]){_0x294394[_0x366bae(0x1a7c)]=_0x15ca23[_0x366bae(0x25c)][_0x366bae(0x1a7c)]||[{'message':_0x15ca23['toString'](),'type':'api.faxAccount.update'}];for(let _0x5cb982=0x0;_0x5cb982<_0x15ca23[_0x366bae(0x25c)][_0x366bae(0x1a7c)][_0x366bae(0xfd0)];_0x5cb982++){_0x15b2c1[_0x366bae(0x218e)]({'title':_0x15ca23[_0x366bae(0x25c)][_0x366bae(0x1a7c)][_0x5cb982][_0x366bae(0x66a)],'msg':_0x15ca23[_0x366bae(0x25c)][_0x366bae(0x1a7c)][_0x5cb982]['message']});}}else _0x15b2c1[_0x366bae(0x218e)]({'title':_0x15ca23[_0x366bae(0x291)]?'API:'+_0x15ca23[_0x366bae(0x291)]+'\x20-\x20'+_0x15ca23[_0x366bae(0xc22)]:_0x366bae(0x182f),'msg':_0x15ca23[_0x366bae(0x25c)]?JSON[_0x366bae(0x2701)](_0x15ca23[_0x366bae(0x25c)][_0x366bae(0x155e)]):_0x15ca23[_0x366bae(0x147f)]()});});}function _0x407d7d(_0x55bb97){const _0x45257d=_0x3e22ee;_0x294394[_0x45257d(0x1a7c)]=[];const _0x59f5b7=_0x5ba8cc[_0x45257d(0x1551)]()[_0x45257d(0x1386)](_0x45257d(0x1a2e))[_0x45257d(0x862)](_0x45257d(0xe41))[_0x45257d(0x15ad)](_0x45257d(0xc77))['ok']('Delete')[_0x45257d(0x696)](_0x45257d(0xde1))['targetEvent'](_0x55bb97);_0x5ba8cc['show'](_0x59f5b7)[_0x45257d(0x1cb0)](function(){const _0x458f86=_0x45257d;_0x267083[_0x458f86(0xb91)][_0x458f86(0x111d)]({'id':_0x294394[_0x458f86(0xb91)]['id']})[_0x458f86(0x1d77)]['then'](function(){const _0xb926e1=_0x458f86;_0x39641b()[_0xb926e1(0x152a)](_0x294394['faxAccounts'],{'id':_0x294394[_0xb926e1(0xb91)]['id']}),_0x15b2c1['success']({'title':_0xb926e1(0x24aa),'msg':(_0x294394[_0xb926e1(0xb91)][_0xb926e1(0x16b6)]||'faxAccount')+_0xb926e1(0x3f5)}),_0x5178f6(_0x294394[_0xb926e1(0xb91)]);})[_0x458f86(0x1c4)](function(_0x1213ee){const _0x6a5e8f=_0x458f86;if(_0x1213ee[_0x6a5e8f(0x25c)]&&_0x1213ee[_0x6a5e8f(0x25c)][_0x6a5e8f(0x1a7c)]&&_0x1213ee['data'][_0x6a5e8f(0x1a7c)]['length']){_0x294394[_0x6a5e8f(0x1a7c)]=_0x1213ee['data'][_0x6a5e8f(0x1a7c)]||[{'message':_0x1213ee[_0x6a5e8f(0x147f)](),'type':_0x6a5e8f(0x1b19)}];for(let _0x103a40=0x0;_0x103a40<_0x1213ee['data'][_0x6a5e8f(0x1a7c)][_0x6a5e8f(0xfd0)];_0x103a40++){_0x15b2c1[_0x6a5e8f(0x218e)]({'title':_0x1213ee[_0x6a5e8f(0x25c)][_0x6a5e8f(0x1a7c)][_0x103a40][_0x6a5e8f(0x66a)],'msg':_0x1213ee[_0x6a5e8f(0x25c)][_0x6a5e8f(0x1a7c)][_0x103a40][_0x6a5e8f(0x155e)]});}}else _0x15b2c1[_0x6a5e8f(0x218e)]({'title':_0x1213ee[_0x6a5e8f(0x291)]?_0x6a5e8f(0xeb9)+_0x1213ee[_0x6a5e8f(0x291)]+_0x6a5e8f(0x1657)+_0x1213ee[_0x6a5e8f(0xc22)]:_0x6a5e8f(0x1b19),'msg':_0x1213ee[_0x6a5e8f(0x25c)]?JSON[_0x6a5e8f(0x2701)](_0x1213ee[_0x6a5e8f(0x25c)][_0x6a5e8f(0x155e)]):_0x1213ee[_0x6a5e8f(0x155e)]||_0x1213ee[_0x6a5e8f(0x147f)]()});});},function(){});}function _0x3d3d57(_0x3155c7){return _0x3155c7===null?undefined:new Date(_0x3155c7);}function _0x5178f6(_0x33edd3){const _0x29b93a=_0x3e22ee;_0x5ba8cc[_0x29b93a(0x1426)](_0x33edd3);}}const _0x13c28e=_0xa2b126;;const _0x59ddb4=_0x5074a3['p']+_0x5537c6(0x1cd2);;const _0x39fb83=_0x5074a3['p']+_0x5537c6(0x1f92);;const _0x2a338d=_0x5074a3['p']+'src/js/modules/main/apps/fax/views/faxAccounts/edit/apps/gotoif/dialog.html/dialog.html';;const _0x474060=_0x5074a3['p']+_0x5537c6(0x1b2b);;const _0x52025e=_0x5074a3['p']+_0x5537c6(0x39b);;const _0x345198=_0x5074a3['p']+_0x5537c6(0x45c);;const _0x40992c=_0x5074a3['p']+_0x5537c6(0x1179);;const _0x57e75f=_0x5074a3['p']+_0x5537c6(0x2529);;const _0x42fa56=_0x5074a3['p']+'src/js/modules/main/apps/fax/views/faxAccounts/edit/apps/tag/dialog.html/dialog.html';;_0x5a382b['$inject']=[_0x5537c6(0x142b),'$mdDialog',_0x5537c6(0x22bf),_0x5537c6(0x9bf),'Auth'];const _0x1fdc5e={'agent':_0x59ddb4,'close':_0x39fb83,'gotoif':_0x2a338d,'gotop':_0x474060,'interval':_0x52025e,'noop':_0x345198,'queue':_0x40992c,'system':_0x57e75f,'tag':_0x42fa56};function _0x5a382b(_0x1034f4,_0x17fdef,_0x3dfb91,_0x3b0894,_0x3c0939){const _0x3b2362=_0x5537c6,_0x3b7d96=this;_0x3b7d96[_0x3b2362(0xe76)]=_0x3c0939['getCurrentUser'](),_0x3b7d96[_0x3b2362(0xb91)]={},_0x3b7d96[_0x3b2362(0x23df)]={'count':0x0,'rows':[]},_0x3b7d96[_0x3b2362(0x14c4)]=[],_0x3b7d96[_0x3b2362(0x1b1a)],_0x3b7d96['query']={'sort':'priority'},_0x3b7d96[_0x3b2362(0x196f)]=_0x39641b()[_0x3b2362(0x988)](_0x39641b()[_0x3b2362(0x1d33)]([{'app':'Interval','appType':_0x3b2362(0x1ac8),'types':[_0x3b2362(0x2017),'custom',_0x3b2362(0x240)],'fields':[],'isApp':![]},{'app':_0x3b2362(0x1234),'appType':_0x3b2362(0x1234),'type':'noop','icon':_0x3b2362(0x33e),'interval':'*,*,*,*','required':!![],'isApp':!![],'fields':[{'title':'Value','name':_0x3b2362(0x327),'type':_0x3b2362(0x19d3),'param':0x0}]},{'app':_0x3b2362(0x7be),'appType':'system','type':'system','icon':'icon-apps','interval':'*,*,*,*','required':!![],'isApp':!![],'extraApi':[{'name':'variables','field':{'name':_0x3b2362(0x1822),'key':_0x3b2362(0x16b6)},'route':'variable','filters':{'fields':_0x3b2362(0x43c),'sort':'name','nolimit':!![]},'permissions':{'section':0x3f4}}],'fields':[{'title':_0x3b2362(0x1b9),'name':_0x3b2362(0xd0d),'type':_0x3b2362(0x19d3),'required':!![],'param':0x0},{'title':'Variable','name':'variable','type':_0x3b2362(0xb52),'values':_0x3b2362(0x9a9),'value':_0x3b2362(0x3f3),'option':'variable.name','defaultValues':[{'value':'\x27\x27','option':'None'}],'defaultValue':'\x27\x27','param':0x1}]},{'app':'gotop','appType':_0x3b2362(0x265b),'type':_0x3b2362(0x3da),'icon':'icon-apps','interval':'*,*,*,*','isApp':!![],'fields':[{'title':'Priority','name':_0x3b2362(0x521),'type':'number','required':!![],'min':0x0,'param':0x0}]},{'app':_0x3b2362(0x13a0),'appType':_0x3b2362(0x13a0),'type':_0x3b2362(0x13a0),'icon':_0x3b2362(0x33e),'interval':_0x3b2362(0x965),'isApp':!![],'fields':[{'title':_0x3b2362(0x28f5),'name':_0x3b2362(0x166c),'type':_0x3b2362(0x19d3),'required':!![],'param':0x0},{'title':_0x3b2362(0xb24),'name':_0x3b2362(0xb24),'type':_0x3b2362(0x83d),'min':0x1,'required':!![],'param':0x1},{'title':_0x3b2362(0x17d),'name':_0x3b2362(0x17d),'type':'number','min':0x1,'required':!![],'param':0x2}]},{'app':_0x3b2362(0x11cf),'appType':_0x3b2362(0x11cf),'foreignKey':_0x3b2362(0x1a99),'type':_0x3b2362(0x11cf),'icon':_0x3b2362(0x33e),'interval':_0x3b2362(0x965),'isApp':!![],'extraApi':[{'name':_0x3b2362(0x971),'field':{'name':_0x3b2362(0x11cf),'key':_0x3b2362(0x16b6)},'route':_0x3b2362(0x54d),'filters':{'fields':_0x3b2362(0x1896),'sort':_0x3b2362(0x16b6),'nolimit':!![]},'permissions':{'section':0x385}}],'fields':[{'title':_0x3b2362(0x2687),'name':_0x3b2362(0x11cf),'type':_0x3b2362(0xb52),'values':'queues','value':_0x3b2362(0x19b3),'option':_0x3b2362(0x19b3),'defaultValue':0x12c,'required':!![],'param':0x0},{'title':_0x3b2362(0xbcc),'name':'timeout','type':_0x3b2362(0x83d),'max':0x20c49b,'min':0x0,'defaultValue':0x12c,'required':!![],'param':0x1}]},{'app':'agent','appType':_0x3b2362(0x1eff),'type':_0x3b2362(0x1eff),'foreignKey':_0x3b2362(0x21ab),'icon':_0x3b2362(0x33e),'interval':_0x3b2362(0x965),'isApp':!![],'extraApi':[{'name':'agents','field':{'name':'agent','key':_0x3b2362(0x16b6)},'route':'user','filters':{'fields':_0x3b2362(0x43c),'sort':'name','role':_0x3b2362(0x1eff),'nolimit':!![]},'permissions':{'section':0xca}}],'fields':[{'title':_0x3b2362(0x30e),'name':'agent','type':'apiselect','values':'agents','value':_0x3b2362(0x302),'option':_0x3b2362(0x302),'required':!![],'param':0x0},{'title':_0x3b2362(0xbcc),'name':_0x3b2362(0x1719),'type':'number','max':0x20c49b,'min':0x0,'required':!![],'defaultValue':0x1e,'param':0x1}]},{'app':_0x3b2362(0xf3b),'appType':_0x3b2362(0xf3b),'type':_0x3b2362(0xf3b),'icon':_0x3b2362(0x33e),'interval':_0x3b2362(0x965),'isApp':!![],'fields':[{'title':_0x3b2362(0x2542),'name':_0x3b2362(0x1746),'type':_0x3b2362(0x19d3),'required':!![],'param':0x0}]},{'app':_0x3b2362(0x22b1),'appType':_0x3b2362(0x22b1),'type':_0x3b2362(0x22b1),'foreignKey':_0x3b2362(0x1754),'icon':_0x3b2362(0x33e),'interval':_0x3b2362(0x965),'isApp':!![],'extraApi':[{'name':_0x3b2362(0xfbf),'field':{'name':_0x3b2362(0x22b1),'key':_0x3b2362(0x16b6)},'route':_0x3b2362(0x22b1),'filters':{'fields':_0x3b2362(0x43c),'sort':_0x3b2362(0x16b6),'nolimit':!![]},'permissions':{'section':0x3f0}}],'fields':[{'title':_0x3b2362(0xf2d),'name':_0x3b2362(0x22b1),'type':_0x3b2362(0xb52),'values':_0x3b2362(0xfbf),'value':_0x3b2362(0x52a),'option':_0x3b2362(0x52a),'required':!![],'param':0x0}]}],[_0x3b2362(0x22e1)]),{'isApp':![]}),_0x3b7d96[_0x3b2362(0x240)]={'group':{'name':_0x3b2362(0x2523),'pull':'clone'},'animation':0x64,'sort':![]},_0x3b7d96['applications']={'group':{'name':'opt2','put':'opt1'},'animation':0x64,'onAdd':function(_0x4ae282){const _0x3597e5=_0x3b2362;_0x1d5870(_0x4ae282,_0x4ae282[_0x3597e5(0x22e)]);},'onSort':function(){_0xef2900();}},_0x3b7d96[_0x3b2362(0x1a8e)]=_0x375d49,_0x3b7d96[_0x3b2362(0xb25)]=_0x138bd7,_0x3b7d96['getFaxAccountApps']=_0x4551d6,_0x3b7d96[_0x3b2362(0x1710)]=_0x1d5870,_0x3b7d96[_0x3b2362(0x1eee)]=_0x58aa46,_0x3b7d96[_0x3b2362(0xdf4)]=_0x1a72ba,_0x3b7d96[_0x3b2362(0x2481)]=_0x39547a,_0x3b7d96[_0x3b2362(0x463)]=_0xef2900,_0x3b7d96[_0x3b2362(0x22fc)]=_0x30c51a;function _0x375d49(_0x1bd288,_0x2deb2f){const _0x44eb42=_0x3b2362;_0x3b7d96['faxAccount']=_0x1bd288,_0x3b7d96[_0x44eb42(0x1b1a)]=typeof _0x2deb2f!==_0x44eb42(0x16b5)?_0x2deb2f:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x3b7d96[_0x44eb42(0x1f2f)][_0x44eb42(0x379)]=!_0x3b7d96[_0x44eb42(0x1b1a)]['canEdit']?!![]:![],_0x3b7d96['query']['id']=_0x1bd288['id'],_0x3b7d96[_0x44eb42(0xae2)][_0x44eb42(0xead)]=!![],_0x3b7d96[_0x44eb42(0xae2)][_0x44eb42(0x2282)]=!![],_0x3b7d96[_0x44eb42(0x6f7)](),_0x3b7d96[_0x44eb42(0x22fc)]();}function _0x138bd7(_0x3abdb8,_0x1e32cf,_0x35938d){const _0x5e0d23=_0x3b2362,_0x3e3f88=_0x17fdef[_0x5e0d23(0x1551)]()[_0x5e0d23(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20application?')[_0x5e0d23(0x49e)](_0x5e0d23(0x204d)+_0x3abdb8['app']+_0x5e0d23(0x1200)+'\x20will\x20be\x20deleted.')[_0x5e0d23(0x15ad)]('delete\x20application')[_0x5e0d23(0x728)](_0x35938d)['ok']('OK')[_0x5e0d23(0x696)](_0x5e0d23(0x24ba));_0x17fdef[_0x5e0d23(0xe27)](_0x3e3f88)[_0x5e0d23(0x1cb0)](function(){const _0x19e178=_0x5e0d23;_0x3b7d96[_0x19e178(0x23df)]['rows'][_0x19e178(0x159c)](_0x1e32cf,0x1),_0xef2900();},function(){console['log']('CANCEL');});}function _0x1d5870(_0x228f94,_0x1db545){const _0x2d9296=_0x3b2362;if(_0x3b7d96[_0x2d9296(0x23df)][_0x2d9296(0x2214)]['length']){const _0x3f489f=_0x3b7d96[_0x2d9296(0x23df)]['rows'][_0x1db545]?_0x3b7d96[_0x2d9296(0x23df)]['rows'][_0x1db545]:_0x3b7d96[_0x2d9296(0x23df)][_0x2d9296(0x2214)][0x0],_0x23b981=(_0x3f489f[_0x2d9296(0x299b)]||_0x3f489f[_0x2d9296(0x22e1)])[_0x2d9296(0x1680)]();_0x17fdef[_0x2d9296(0xe27)]({'controller':_0x2d9296(0x1c70)+_0x23b981+'DialogController','controllerAs':'vm','templateUrl':_0x1fdc5e[_0x23b981],'parent':angular[_0x2d9296(0x1853)](_0x3dfb91[_0x2d9296(0x1ed9)]),'targetEvent':_0x228f94,'clickOutsideToClose':!![],'locals':{'faxAccountApp':_0x3f489f,'faxAccount':_0x3b7d96[_0x2d9296(0xb91)],'crudPermissions':_0x3b7d96[_0x2d9296(0x1b1a)]}})[_0x2d9296(0x1cb0)](function(_0x5208e0){const _0x1a8a15=_0x2d9296;_0x5208e0&&(_0x5208e0['id']?_0x3b7d96[_0x1a8a15(0x23df)]['rows'][_0x1db545]=_0x5208e0:_0x3b7d96['faxAccountApps'][_0x1a8a15(0x2214)]['splice'](_0x1db545,0x0,_0x5208e0),_0xef2900());})['catch'](function(_0x2ef483){const _0x303f93=_0x2d9296;_0x2ef483&&_0x3b0894[_0x303f93(0x218e)]({'title':_0x2ef483[_0x303f93(0x291)]?_0x303f93(0xeb9)+_0x2ef483['status']+_0x303f93(0x1657)+_0x2ef483[_0x303f93(0xc22)]:_0x303f93(0xd95),'msg':_0x2ef483['data']?JSON[_0x303f93(0x2701)](_0x2ef483[_0x303f93(0x25c)]):_0x2ef483[_0x303f93(0x147f)]()});});}}function _0x58aa46(_0x1d3990,_0x3e0109){const _0x66720d=_0x3b2362;if(_0x3b7d96[_0x66720d(0x23df)][_0x66720d(0x2214)][_0x66720d(0xfd0)]){const _0x266123=_0x3b7d96[_0x66720d(0x23df)][_0x66720d(0x2214)][_0x3e0109]?_0x3b7d96[_0x66720d(0x23df)]['rows'][_0x3e0109]:_0x3b7d96['faxAccountApps']['rows'][0x0];_0x17fdef[_0x66720d(0xe27)]({'controller':_0x66720d(0x17b4),'controllerAs':'vm','templateUrl':_0x52025e,'parent':angular['element'](_0x3dfb91[_0x66720d(0x1ed9)]),'targetEvent':_0x1d3990,'clickOutsideToClose':!![],'locals':{'interval':{'interval':_0x266123[_0x66720d(0x1ac8)],'IntervalId':_0x266123[_0x66720d(0x1a60)],'application':!![]},'intervals':[],'crudPermissions':_0x3b7d96[_0x66720d(0x1b1a)]}})[_0x66720d(0x1cb0)](function(_0x5f471f){const _0x591b7c=_0x66720d;_0x5f471f&&(_0x266123[_0x591b7c(0x1ac8)]=_0x5f471f[_0x591b7c(0x1ac8)]||'*,*,*,*',_0x266123[_0x591b7c(0x1a60)]=_0x5f471f[_0x591b7c(0x1a60)]||null,_0xef2900());});}}function _0xef2900(){const _0x3c21ff=_0x3b2362;let _0x568d8f=0x1,_0x3145f8=[];for(let _0x348bcf=0x0;_0x348bcf<_0x3b7d96[_0x3c21ff(0x23df)][_0x3c21ff(0x2214)][_0x3c21ff(0xfd0)];_0x348bcf++){const _0x4b4fd7=_0x3b7d96[_0x3c21ff(0x23df)]['rows'][_0x348bcf],_0x2f461c=[],_0x408621=[];_0x4b4fd7['intervals']=_0x4b4fd7['interval']!==_0x3c21ff(0x965)?[_0x4b4fd7['interval']]:_0x4b4fd7[_0x3c21ff(0x1a60)]?_0x39641b()[_0x3c21ff(0x1de2)](_0x39641b()[_0x3c21ff(0x1c99)](_0x3b7d96[_0x3c21ff(0xb4a)][_0x3c21ff(0x2214)],{'IntervalId':_0x4b4fd7[_0x3c21ff(0x1a60)]}),_0x3c21ff(0x1ac8)):[],_0x4b4fd7[_0x3c21ff(0x2056)]=_0x3b7d96[_0x3c21ff(0xb91)][_0x3c21ff(0x2056)],_0x4b4fd7[_0x3c21ff(0x26a2)]=_0x3b7d96[_0x3c21ff(0xb91)][_0x3c21ff(0x26a2)],_0x4b4fd7[_0x3c21ff(0x66a)]&&(_0x4b4fd7[_0x3c21ff(0x66a)]=_0x4b4fd7['type'][_0x3c21ff(0x1680)]()),_0x4b4fd7[_0x3c21ff(0x521)]=_0x2f461c[_0x3c21ff(0xfd0)]?_0x39641b()[_0x3c21ff(0x1f9e)](_0x2f461c)['priority']+0x1:_0x568d8f,_0x568d8f=(_0x408621[_0x3c21ff(0xfd0)]?_0x39641b()[_0x3c21ff(0x1f9e)](_0x408621)[_0x3c21ff(0x521)]:_0x4b4fd7[_0x3c21ff(0x521)])+0x1,_0x3145f8=_0x39641b()[_0x3c21ff(0x298a)](_0x3145f8,_0x2f461c,[_0x4b4fd7],_0x408621);}_0x1034f4[_0x3c21ff(0xb91)]['addApplications']({'id':_0x3b7d96[_0x3c21ff(0xb91)]['id']},_0x39641b()[_0x3c21ff(0x1d33)](_0x3145f8,'priority'))['$promise'][_0x3c21ff(0x1cb0)](function(_0x1ef17a){const _0x150ec4=_0x3c21ff;_0x3b7d96[_0x150ec4(0x23df)][_0x150ec4(0x2214)]=_0x1ef17a[_0x150ec4(0x2214)];})[_0x3c21ff(0x1c4)](function(_0x37dae2){const _0x4c9243=_0x3c21ff;console[_0x4c9243(0x218e)](_0x37dae2);});}function _0x1a8f33(_0x3c6e98){const _0x23033f=_0x3b2362;_0x3b7d96[_0x23033f(0x23df)]=_0x3c6e98||{'count':0x0,'rows':[]};}function _0x30c51a(){const _0x678f9b=_0x3b2362;return _0x1034f4[_0x678f9b(0x1ac8)][_0x678f9b(0xbf7)]({'fields':'id,interval,IntervalId'})['$promise'][_0x678f9b(0x1cb0)](function(_0x476265){_0x3b7d96['intervals']=_0x476265;})[_0x678f9b(0x1c4)](function(_0x1caaf4){console['error'](_0x1caaf4);});}function _0x4551d6(){const _0x33e782=_0x3b2362;_0x3b7d96[_0x33e782(0x2061)]=_0x1034f4['faxAccount'][_0x33e782(0x930)](_0x3b7d96[_0x33e782(0xae2)],_0x1a8f33)['$promise'];}function _0x1a72ba(_0x5bebae){const _0x5574c2=_0x3b2362;_0x39641b()[_0x5574c2(0x152a)](_0x3b7d96['faxAccountApps'][_0x5574c2(0x2214)],{'id':_0x5bebae['id']}),_0xef2900(),_0x3b0894[_0x5574c2(0x829)]({'title':_0x5574c2(0x2923),'msg':_0x5bebae[_0x5574c2(0x22e1)]?_0x5bebae[_0x5574c2(0x22e1)]+_0x5574c2(0x3f5):''});}function _0x39547a(_0x333310){const _0x395ec2=_0x3b2362,_0x1364cf=_0x17fdef[_0x395ec2(0x1551)]()['title'](_0x395ec2(0x2436))[_0x395ec2(0x49e)](''+_0x3b7d96[_0x395ec2(0x14c4)]['length']+_0x395ec2(0x1d6c)+_0x395ec2(0x1b6))['ariaLabel'](_0x395ec2(0x531))[_0x395ec2(0x728)](_0x333310)['ok']('OK')['cancel']('CANCEL');_0x17fdef[_0x395ec2(0xe27)](_0x1364cf)[_0x395ec2(0x1cb0)](function(){const _0x174bd1=_0x395ec2;_0x3b7d96[_0x174bd1(0x14c4)][_0x174bd1(0xf90)](function(_0x3ac1c8){const _0x14cf2f=_0x174bd1;_0x39641b()[_0x14cf2f(0x152a)](_0x3b7d96[_0x14cf2f(0x23df)][_0x14cf2f(0x2214)],{'id':_0x3ac1c8['id']});}),_0x3b7d96[_0x174bd1(0x14c4)]=[],_0xef2900();});}}const _0x2066de=_0x5a382b;;_0x4eec21[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0xb91),_0x5537c6(0x29de),_0x5537c6(0x217b),_0x5537c6(0x1ae),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x4eec21(_0x2aad94,_0x1042b3,_0x28041d,_0x2bef14,_0x519a63,_0x416dc9,_0x2ffa22,_0x1c3afe,_0x39e76e,_0x225530){const _0x176c06=_0x5537c6,_0x58b08a=this;_0x58b08a[_0x176c06(0xe76)]=_0x39e76e[_0x176c06(0x21e8)](),_0x58b08a[_0x176c06(0xb91)]=_0x519a63,_0x58b08a['crudPermissions']=_0x225530,_0x58b08a[_0x176c06(0x217b)]=_0x2ffa22,_0x58b08a['items']=[],_0x58b08a[_0x176c06(0x1372)]=[],_0x58b08a[_0x176c06(0x20bb)]=[],_0x58b08a[_0x176c06(0xbd5)]=[],_0x58b08a[_0x176c06(0x1456)]=[],_0x58b08a['pendingChanges']=![],_0x58b08a[_0x176c06(0x1a34)]=_0x4900a,_0x58b08a['saveAgents']=_0x103a4b,_0x58b08a['closeDialog']=_0xe189a6,_0x58b08a[_0x176c06(0x18c0)]={'readOnly':!_0x58b08a[_0x176c06(0x1b1a)]['canEdit'],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':'name','line1':_0x176c06(0x1d14),'line2':[_0x176c06(0x16b6),_0x176c06(0xdbd)],'line3':'','labelAll':_0x1c3afe[_0x176c06(0x25cc)]('APP.ALL_AGENTS'),'labelSelected':_0x1c3afe[_0x176c06(0x25cc)](_0x176c06(0x1cd5)),'transferCallback':function(){const _0x4f4b9c=_0x176c06,_0x19a63c=_0x39641b()[_0x4f4b9c(0x1423)](_0x58b08a[_0x4f4b9c(0x1456)],_0x58b08a[_0x4f4b9c(0x20bb)],'id');_0x58b08a[_0x4f4b9c(0x50c)]=_0x39641b()['isEmpty'](_0x19a63c)?![]:!![];}};function _0x4900a(){const _0x280ef1=_0x176c06;return _0x39e76e[_0x280ef1(0x22b6)](_0x280ef1(0x1c60))?_0x21c763()[_0x280ef1(0x1c4)](function(_0x371770){const _0x3ac7fd=_0x280ef1;_0x28041d[_0x3ac7fd(0x218e)]({'title':_0x371770['status']?_0x3ac7fd(0xeb9)+_0x371770[_0x3ac7fd(0x291)]+'\x20-\x20'+_0x371770[_0x3ac7fd(0xc22)]:_0x3ac7fd(0x799),'msg':_0x371770[_0x3ac7fd(0x291)]?JSON[_0x3ac7fd(0x2701)](_0x371770['data']):_0x371770[_0x3ac7fd(0x147f)]()});}):_0x5c7aa6()['then'](function(_0x154128){const _0x5702dc=_0x280ef1;return _0x58b08a[_0x5702dc(0x1f74)]=_0x154128,_0x21c763();})[_0x280ef1(0x1c4)](function(_0x5bdba6){const _0x370f07=_0x280ef1;_0x28041d[_0x370f07(0x218e)]({'title':_0x5bdba6['status']?_0x370f07(0xeb9)+_0x5bdba6[_0x370f07(0x291)]+_0x370f07(0x1657)+_0x5bdba6['statusText']:'SYSTEM:GET_AGENTS','msg':_0x5bdba6[_0x370f07(0x291)]?JSON['stringify'](_0x5bdba6[_0x370f07(0x25c)]):_0x5bdba6['toString']()});});}function _0x5c7aa6(){return _0x1042b3(function(_0x2e3a74,_0x304db8){const _0x39331b=a0_0x5cbd;_0x2bef14[_0x39331b(0x2199)][_0x39331b(0xbf7)]({'userProfileId':_0x58b08a[_0x39331b(0xe76)][_0x39331b(0x13c1)],'name':_0x39331b(0x2536)})[_0x39331b(0x1d77)][_0x39331b(0x1cb0)](function(_0x5f073e){const _0x19bada=_0x39331b,_0x396694=_0x5f073e&&_0x5f073e['rows']?_0x5f073e[_0x19bada(0x2214)][0x0]:null;_0x2e3a74(_0x396694);})[_0x39331b(0x1c4)](function(_0x4926de){_0x304db8(_0x4926de);});});}function _0x21c763(){return _0x1042b3(function(_0x5cd04f,_0x1f15ac){const _0x2fd4f4=a0_0x5cbd;return _0x4fd82a()[_0x2fd4f4(0x1cb0)](function(_0xc9e667){const _0xc7bcc6=_0x2fd4f4;return _0x58b08a[_0xc7bcc6(0x122f)]=_0xc9e667[_0xc7bcc6(0x2214)]?_0xc9e667['rows']:[],_0x39e76e[_0xc7bcc6(0x22b6)](_0xc7bcc6(0x1c60))?_0xc9e667:_0x58b08a[_0xc7bcc6(0x1f74)]?_0x58b08a[_0xc7bcc6(0x1f74)][_0xc7bcc6(0x12f4)]?_0xc9e667:_0xcdaa80():null;})[_0x2fd4f4(0x1cb0)](function(_0x816cfb){const _0x2b268c=_0x2fd4f4,_0x878813=_0x816cfb&&_0x816cfb[_0x2b268c(0x2214)]?_0x816cfb[_0x2b268c(0x2214)]:[];return _0x58b08a[_0x2b268c(0x1372)]=_0x39641b()[_0x2b268c(0x1de2)](_0x878813,function(_0x233a94){const _0x19831b=_0x2b268c;return _0x39641b()[_0x19831b(0x13b4)](_0x58b08a[_0x19831b(0x122f)],{'id':_0x39e76e[_0x19831b(0x22b6)](_0x19831b(0x1c60))||_0x58b08a['section']['autoAssociation']?_0x233a94['id']:_0x233a94[_0x19831b(0x2982)]});}),_0x58b08a['startingAllowedItems']=angular[_0x2b268c(0x17fe)](_0x58b08a[_0x2b268c(0x1372)]),_0x58b08a['items'][_0x2b268c(0xf90)](function(_0x328181){const _0x118724=_0x2b268c,_0x105970=_0x39641b()[_0x118724(0x13b4)](_0x58b08a[_0x118724(0x1372)],{'id':_0x328181['id']});_0x39e76e['hasRole'](_0x118724(0x1c60))?_0x328181[_0x118724(0x1a4f)]=!![]:_0x328181['isValid']=typeof _0x105970!==_0x118724(0x16b5)?!![]:![];}),_0x4896be();})[_0x2fd4f4(0x1cb0)](function(_0x4cc9b0){const _0x1605a9=_0x2fd4f4,_0x42e5dd=_0x4cc9b0&&_0x4cc9b0[_0x1605a9(0x2214)]?_0x4cc9b0['rows']:[];_0x58b08a[_0x1605a9(0x20bb)]=_0x39641b()[_0x1605a9(0x1de2)](_0x42e5dd,function(_0x40dd47){const _0x45787a=_0x1605a9,_0x93de6=_0x39641b()[_0x45787a(0x13b4)](_0x58b08a[_0x45787a(0x122f)],{'id':_0x40dd47['id']});return _0x93de6['penalty']=_0x40dd47[_0x45787a(0x215c)]?_0x45787a(0x455)+_0x40dd47[_0x45787a(0x215c)][_0x45787a(0x1cbc)]:'',_0x93de6[_0x45787a(0xdbd)]=typeof _0x40dd47[_0x45787a(0xdbd)]!=='undefined'?'<'+_0x40dd47[_0x45787a(0xdbd)]+'>':'',_0x93de6;}),_0x58b08a[_0x1605a9(0x1456)]=angular[_0x1605a9(0x17fe)](_0x58b08a[_0x1605a9(0x20bb)]),_0x58b08a['dualMultiselectOptions'][_0x1605a9(0x20bb)]=_0x58b08a[_0x1605a9(0x20bb)],_0x58b08a['dualMultiselectOptions']['items']=_0x39641b()[_0x1605a9(0x2128)](_0x58b08a[_0x1605a9(0x1372)],_0x58b08a['dualMultiselectOptions'][_0x1605a9(0x20bb)],'id'),_0x5cd04f();})[_0x2fd4f4(0x1c4)](function(_0x491b5d){_0x1f15ac(_0x491b5d);});});}function _0xcdaa80(){return _0x1042b3(function(_0x112b78,_0x163aa8){const _0x162a61=a0_0x5cbd;return _0x2bef14[_0x162a61(0x1198)][_0x162a61(0xbf7)]({'sectionId':_0x58b08a[_0x162a61(0x1f74)]['id'],'nolimit':!![]})[_0x162a61(0x1d77)][_0x162a61(0x1cb0)](function(_0x499d21){_0x112b78(_0x499d21);})[_0x162a61(0x1c4)](function(_0x495c6c){_0x163aa8(_0x495c6c);});});}function _0x4896be(){return _0x1042b3(function(_0x28d3fa,_0x13f4b1){const _0x993e6d=a0_0x5cbd;return _0x2bef14[_0x993e6d(0xb91)]['getAgents']({'id':_0x58b08a[_0x993e6d(0xb91)]['id'],'fields':'id,name,internal,fullname','nolimit':!![],'role':_0x993e6d(0x1eff)})['$promise'][_0x993e6d(0x1cb0)](function(_0x18c0ed){_0x28d3fa(_0x18c0ed);})['catch'](function(_0x12fbde){_0x13f4b1(_0x12fbde);});});}function _0x4fd82a(){return _0x1042b3(function(_0x3006a5,_0x1c3e57){const _0x28cf10=a0_0x5cbd;return _0x2bef14[_0x28cf10(0xebe)][_0x28cf10(0xbf7)]({'fields':'id,name,internal,fullname','nolimit':!![],'role':_0x28cf10(0x1eff)})['$promise'][_0x28cf10(0x1cb0)](function(_0x2561f1){_0x3006a5(_0x2561f1);})[_0x28cf10(0x1c4)](function(_0x387df0){_0x1c3e57(_0x387df0);});});}function _0x31f3b5(_0xc1897){return _0x1042b3(function(_0x9f5a66,_0x33ddef){const _0x5bda77=a0_0x5cbd;_0x39641b()[_0x5bda77(0xce9)](_0xc1897)?_0x9f5a66():_0x2bef14[_0x5bda77(0xb91)][_0x5bda77(0x2057)]({'id':_0x58b08a[_0x5bda77(0xb91)]['id'],'ids':_0x39641b()[_0x5bda77(0x1de2)](_0xc1897,'id')})[_0x5bda77(0x1d77)][_0x5bda77(0x1cb0)](function(){_0x9f5a66();})['catch'](function(_0xff0edd){_0x33ddef(_0xff0edd);});});}function _0x21b0d9(_0x389ad6){return _0x1042b3(function(_0x15dcfb,_0x13e1e3){const _0x5f2d39=a0_0x5cbd;_0x39641b()[_0x5f2d39(0xce9)](_0x389ad6)?_0x15dcfb():_0x2bef14[_0x5f2d39(0xb91)][_0x5f2d39(0x223f)]({'id':_0x58b08a['faxAccount']['id'],'ids':_0x39641b()[_0x5f2d39(0x1de2)](_0x389ad6,'id')})[_0x5f2d39(0x1d77)]['then'](function(){_0x15dcfb();})[_0x5f2d39(0x1c4)](function(_0x536bf9){_0x13e1e3(_0x536bf9);});});}function _0x103a4b(){const _0x5e89d6=_0x176c06,_0x34bba1=_0x39641b()[_0x5e89d6(0x2128)](_0x58b08a[_0x5e89d6(0x1456)],_0x58b08a[_0x5e89d6(0x20bb)],'id'),_0x46163e=_0x39641b()[_0x5e89d6(0x2128)](_0x58b08a[_0x5e89d6(0x20bb)],_0x58b08a[_0x5e89d6(0x1456)],'id');return _0x21b0d9(_0x34bba1)[_0x5e89d6(0x1cb0)](function(){return _0x31f3b5(_0x46163e);})[_0x5e89d6(0x1cb0)](function(){const _0x1dc0f6=_0x5e89d6;_0x58b08a['pendingChanges']=![],_0x58b08a[_0x1dc0f6(0xbd5)]=angular[_0x1dc0f6(0x17fe)](_0x58b08a['allowedItems']),_0x58b08a[_0x1dc0f6(0x1456)]=angular[_0x1dc0f6(0x17fe)](_0x58b08a[_0x1dc0f6(0x20bb)]),_0x28041d['success']({'title':'SUCCESS','msg':_0x1dc0f6(0x976)});})[_0x5e89d6(0x1c4)](function(_0x1a389f){const _0x41bc0b=_0x5e89d6;_0x28041d[_0x41bc0b(0x218e)]({'title':_0x1a389f['status']?_0x41bc0b(0xeb9)+_0x1a389f[_0x41bc0b(0x291)]+'\x20-\x20'+_0x1a389f[_0x41bc0b(0xc22)]:_0x41bc0b(0x1221),'msg':_0x1a389f[_0x41bc0b(0x291)]?JSON[_0x41bc0b(0x2701)](_0x1a389f[_0x41bc0b(0x25c)]):_0x1a389f[_0x41bc0b(0x147f)]()});});}function _0xe189a6(){_0x2aad94['hide']();}}const _0x39b6c8=_0x4eec21;;_0x3d8464[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x5af),'faxAccount',_0x5537c6(0x142b),'Auth',_0x5537c6(0x1b1a)];function _0x3d8464(_0x30dfa4,_0x558da9,_0x40af53,_0x541355,_0x51fa50,_0x37e7f6,_0x589b06,_0x3fce7d){const _0x3cf21a=_0x5537c6,_0x113298=this;_0x113298[_0x3cf21a(0xe76)]=_0x589b06[_0x3cf21a(0x21e8)](),_0x113298[_0x3cf21a(0x1a7c)]=[],_0x113298[_0x3cf21a(0x1386)]='FAX.EDIT_'+(_0x541355[_0x3cf21a(0x299b)]||_0x541355[_0x3cf21a(0x22e1)])[_0x3cf21a(0x2335)](),_0x113298[_0x3cf21a(0x1eff)]=angular[_0x3cf21a(0x17fe)](_0x541355),_0x113298[_0x3cf21a(0x1b1a)]=_0x3fce7d,_0x113298[_0x3cf21a(0xf4c)]={};if(_0x113298[_0x3cf21a(0x1eff)]['appdata'])switch(_0x113298[_0x3cf21a(0x1eff)][_0x3cf21a(0x299b)]?_0x113298[_0x3cf21a(0x1eff)]['appType'][_0x3cf21a(0x1680)]():_0x113298[_0x3cf21a(0x1eff)][_0x3cf21a(0x22e1)][_0x3cf21a(0x1680)]()){case _0x3cf21a(0x197c):break;case'dialogflow':{const _0x361e81=_0x113298['agent']['appdata'][_0x3cf21a(0xbe1)](',');_0x113298[_0x3cf21a(0x1eff)]['key']=_0x361e81[0x0],_0x113298[_0x3cf21a(0x1eff)][_0x3cf21a(0x12b4)]=_0x361e81[0x1],_0x113298[_0x3cf21a(0x1eff)][_0x3cf21a(0x173a)]=_0x361e81[_0x3cf21a(0x14cb)](0x2,_0x361e81['length'])['join'](',');}break;case _0x3cf21a(0xece):{const _0xc359d2=_0x113298[_0x3cf21a(0x1eff)][_0x3cf21a(0x28df)][_0x3cf21a(0xbe1)](',');_0x113298[_0x3cf21a(0x1eff)][_0x3cf21a(0x2854)]=_0xc359d2[0x0],_0x113298[_0x3cf21a(0x1eff)][_0x3cf21a(0x12bf)]=_0xc359d2[0x1],_0x113298[_0x3cf21a(0x1eff)]['privateKey']=_0xc359d2[0x2],_0x113298[_0x3cf21a(0x1eff)][_0x3cf21a(0x12b4)]=_0xc359d2[0x3],_0x113298[_0x3cf21a(0x1eff)][_0x3cf21a(0x173a)]=_0xc359d2['slice'](0x4,_0xc359d2[_0x3cf21a(0xfd0)])[_0x3cf21a(0x1f66)](',');}break;case _0x3cf21a(0x123a):{const _0x58fe1b=_0x113298['agent']['appdata'][_0x3cf21a(0xbe1)](',');_0x113298[_0x3cf21a(0x1eff)]['accesskeyid']=_0x58fe1b[0x0],_0x113298['agent'][_0x3cf21a(0x21d9)]=_0x58fe1b[0x1],_0x113298['agent'][_0x3cf21a(0xd50)]=_0x58fe1b[0x2],_0x113298['agent'][_0x3cf21a(0x1c7f)]=_0x58fe1b[0x3],_0x113298[_0x3cf21a(0x1eff)]['welcomemessage']=_0x58fe1b['slice'](0x4,_0x58fe1b[_0x3cf21a(0xfd0)])['join'](',');}break;case _0x3cf21a(0x1f71):{const _0x4d4ad2=_0x113298[_0x3cf21a(0x1eff)][_0x3cf21a(0x28df)][_0x3cf21a(0xbe1)](',');_0x113298[_0x3cf21a(0x1eff)]['times']=isNaN(_0x4d4ad2[0x0])?_0x4d4ad2[0x0]:parseInt(_0x4d4ad2[0x0],0xa),_0x113298[_0x3cf21a(0x1eff)][_0x3cf21a(0x19d3)]=_0x4d4ad2['slice'](0x1,_0x4d4ad2[_0x3cf21a(0xfd0)])['join'](',');}break;case'message':_0x113298[_0x3cf21a(0x1eff)][_0x3cf21a(0x19d3)]=_0x113298['agent'][_0x3cf21a(0x28df)];break;case'set':_0x113298[_0x3cf21a(0x1eff)][_0x3cf21a(0x16b6)]=_0x113298['agent']['appdata'][_0x3cf21a(0xbe1)]('=')[0x0],_0x113298[_0x3cf21a(0x1eff)][_0x3cf21a(0x327)]=_0x113298[_0x3cf21a(0x1eff)][_0x3cf21a(0x28df)][_0x3cf21a(0xbe1)]('=')[0x1];break;case _0x3cf21a(0x4b4):_0x113298['agent'][_0x3cf21a(0x12a7)]=_0x113298['agent']['appdata'];break;default:{const _0x5e4d07=_0x113298['agent'][_0x3cf21a(0x28df)][_0x3cf21a(0xbe1)](',');_0x113298[_0x3cf21a(0x1eff)][_0x3cf21a(0x1eff)]=_0x39641b()[_0x3cf21a(0xce9)](_0x5e4d07[0x0])?_0x5e4d07[0x0]:isNaN(_0x5e4d07[0x0])?_0x5e4d07[0x0]:parseInt(_0x5e4d07[0x0],0xa),_0x113298[_0x3cf21a(0x1eff)][_0x3cf21a(0x1719)]=_0x39641b()[_0x3cf21a(0xce9)](_0x5e4d07[0x1])?_0x5e4d07[0x1]:isNaN(_0x5e4d07[0x1])?_0x5e4d07[0x1]:parseInt(_0x5e4d07[0x1],0xa);}break;}else _0x113298['agent'][_0x3cf21a(0x1719)]=0x1e;_0x113298['agent'][_0x3cf21a(0x66a)]&&_0x113298[_0x3cf21a(0x1eff)]['type'][_0x3cf21a(0x1680)]()==='outbound'&&_0x113298['agent'][_0x3cf21a(0x299b)][_0x3cf21a(0x1680)]()==='outbounddial'&&(_0x113298[_0x3cf21a(0x1eff)][_0x3cf21a(0x586)]=_0x113298['agent'][_0x3cf21a(0x1340)]?_0x113298[_0x3cf21a(0x1eff)][_0x3cf21a(0x1340)][_0x3cf21a(0xbe1)]('$')[0x0]:undefined,_0x113298[_0x3cf21a(0x1eff)][_0x3cf21a(0x1e7c)]=_0x113298[_0x3cf21a(0x1eff)][_0x3cf21a(0x2816)]?_0x3cf21a(0x25f9)+_0x113298['agent']['callerID']:undefined);_0x113298[_0x3cf21a(0x19ad)]=_0x40a787,_0x113298[_0x3cf21a(0xda0)]=_0x3a2d52,_0x589b06[_0x3cf21a(0x22b6)](_0x3cf21a(0x1c60))?_0x37e7f6[_0x3cf21a(0xebe)][_0x3cf21a(0xbf7)]({'fields':_0x3cf21a(0x43c),'sort':_0x3cf21a(0x16b6),'role':_0x3cf21a(0x1eff),'nolimit':_0x3cf21a(0x44d)})[_0x3cf21a(0x1d77)][_0x3cf21a(0x1cb0)](function(_0x37015a){const _0xd19500=_0x3cf21a;_0x113298[_0xd19500(0xc12)]=_0x37015a[_0xd19500(0x2214)]||[];})[_0x3cf21a(0x1c4)](function(_0x26848f){const _0x93d469=_0x3cf21a;_0x40af53[_0x93d469(0x218e)]({'title':_0x26848f[_0x93d469(0x291)]?_0x93d469(0xeb9)+_0x26848f['status']+'\x20-\x20'+_0x26848f[_0x93d469(0xc22)]:'SYSTEM:GET_AGENTS','msg':_0x26848f[_0x93d469(0x25c)]?JSON[_0x93d469(0x2701)](_0x26848f['data']):_0x26848f['toString']()});}):_0x37e7f6[_0x3cf21a(0xebe)]['get']({'fields':_0x3cf21a(0x43c),'sort':_0x3cf21a(0x16b6),'role':_0x3cf21a(0x1eff),'nolimit':_0x3cf21a(0x44d)})['$promise'][_0x3cf21a(0x1cb0)](function(_0x1a7eb7){const _0x39d357=_0x3cf21a;_0x113298[_0x39d357(0xc12)]=_0x1a7eb7[_0x39d357(0x2214)]||[];})[_0x3cf21a(0x1cb0)](function(){const _0x273a0c=_0x3cf21a;return _0x37e7f6[_0x273a0c(0x2199)][_0x273a0c(0xbf7)]({'userProfileId':_0x113298[_0x273a0c(0xe76)][_0x273a0c(0x13c1)],'sectionId':0xca})[_0x273a0c(0x1d77)];})[_0x3cf21a(0x1cb0)](function(_0x3a06e9){const _0x3d0420=_0x3cf21a,_0x2d9da7=_0x3a06e9&&_0x3a06e9['rows']?_0x3a06e9['rows'][0x0]:null;if(!_0x2d9da7){const _0x1a8e64=[];let _0x32e05c=null;_0x113298['agent']&&(_0x32e05c=_0x39641b()[_0x3d0420(0x13b4)](_0x113298[_0x3d0420(0xc12)],{'name':_0x113298[_0x3d0420(0x1eff)][_0x3d0420(0x1eff)]}));for(let _0x423fbe=0x0;_0x423fbe<_0x113298[_0x3d0420(0xc12)]['length'];_0x423fbe++){_0x32e05c&&_0x113298[_0x3d0420(0xc12)][_0x423fbe]['id']===_0x32e05c['id']&&(_0x113298['agents'][_0x423fbe][_0x3d0420(0x15da)]=![],_0x1a8e64[_0x3d0420(0x2785)](_0x113298[_0x3d0420(0xc12)][_0x423fbe]));}_0x113298['agents']=_0x1a8e64;}else{if(!_0x2d9da7['autoAssociation'])return _0x37e7f6[_0x3d0420(0x1198)][_0x3d0420(0xbf7)]({'sectionId':_0x2d9da7['id']})[_0x3d0420(0x1d77)][_0x3d0420(0x1cb0)](function(_0x12659c){const _0x59c4b6=_0x3d0420,_0x4855a3=_0x39641b()[_0x59c4b6(0x1de2)](_0x12659c[_0x59c4b6(0x2214)],function(_0x242a0e){const _0x34ea33=_0x59c4b6;return _0x39641b()[_0x34ea33(0x13b4)](_0x113298[_0x34ea33(0xc12)],{'id':_0x242a0e[_0x34ea33(0x2982)]});});let _0x2e38c8=null;_0x113298[_0x59c4b6(0x1eff)]&&(_0x2e38c8=_0x39641b()['find'](_0x113298['agents'],{'name':_0x113298[_0x59c4b6(0x1eff)][_0x59c4b6(0x1eff)]}));if(_0x2e38c8&&!_0x39641b()[_0x59c4b6(0x727)](_0x4855a3,['id',_0x2e38c8['id']])){const _0x2f6d40=_0x39641b()[_0x59c4b6(0x13b4)](_0x113298[_0x59c4b6(0xc12)],{'id':_0x2e38c8['id']});_0x2f6d40['canSelect']=![],_0x4855a3[_0x59c4b6(0x2785)](_0x2f6d40);}_0x113298['agents']=_0x4855a3;});}})[_0x3cf21a(0x1c4)](function(_0x38e79c){const _0x2e7167=_0x3cf21a;_0x40af53[_0x2e7167(0x218e)]({'title':_0x38e79c['status']?_0x2e7167(0xeb9)+_0x38e79c[_0x2e7167(0x291)]+'\x20-\x20'+_0x38e79c[_0x2e7167(0xc22)]:_0x2e7167(0x2159),'msg':_0x38e79c[_0x2e7167(0x25c)]?JSON['stringify'](_0x38e79c[_0x2e7167(0x25c)]):_0x38e79c['toString']()});});function _0x40a787(){const _0x2d1770=_0x3cf21a;_0x113298[_0x2d1770(0x1a7c)]=[];const _0x21b2f7=[];_0x113298['agent'][_0x2d1770(0x66a)]&&_0x113298[_0x2d1770(0x1eff)][_0x2d1770(0x66a)][_0x2d1770(0x1680)]()==='outbound'&&_0x113298[_0x2d1770(0x1eff)][_0x2d1770(0x299b)]===_0x2d1770(0x25f4)&&(_0x113298['agent']['phone']=_0x51fa50[_0x2d1770(0x325)]?(_0x113298['agent'][_0x2d1770(0x586)]||'')+_0x2d1770(0x19e4)+_0x51fa50['cutdigits']+'}':(_0x113298[_0x2d1770(0x1eff)][_0x2d1770(0x586)]||'')+_0x2d1770(0xcdd),_0x51fa50['recordingFormat']!==_0x2d1770(0x13b1)?_0x113298['agent'][_0x2d1770(0x2224)][_0x2d1770(0xd8a)](_0x2d1770(0x106a))<0x0&&(_0x113298[_0x2d1770(0x1eff)]['options']+='U(xcally-mixmonitor-context)'):_0x113298[_0x2d1770(0x1eff)][_0x2d1770(0x2224)]=_0x113298[_0x2d1770(0x1eff)]['options']['replace'](_0x2d1770(0x106a),''));const _0x3f996f=_0x39641b()[_0x2d1770(0x13b4)](_0x113298[_0x2d1770(0xc12)],{'name':_0x113298[_0x2d1770(0x1eff)]['agent']});_0x3f996f&&(_0x113298[_0x2d1770(0x1eff)][_0x2d1770(0x21ab)]=_0x3f996f['id']);if(_0x113298[_0x2d1770(0x1eff)]['appType']&&_0x113298[_0x2d1770(0x1eff)][_0x2d1770(0x299b)]==='custom'){}else switch((_0x113298[_0x2d1770(0x1eff)][_0x2d1770(0x22e1)]||_0x113298['agent'][_0x2d1770(0x299b)])[_0x2d1770(0x1680)]()){case _0x2d1770(0x19d1):_0x113298[_0x2d1770(0x1eff)][_0x2d1770(0x28df)]=_0x113298['agent'][_0x2d1770(0x16b6)]+'='+_0x113298[_0x2d1770(0x1eff)]['value'];break;case'custom':break;default:_0x21b2f7[0x0]=_0x113298[_0x2d1770(0x1eff)]['agent'],_0x21b2f7[0x1]=_0x113298[_0x2d1770(0x1eff)]['timeout'],_0x113298['agent'][_0x2d1770(0x28df)]=_0x21b2f7['join'](',');}_0x3a2d52(_0x113298[_0x2d1770(0x1eff)]);}function _0x3a2d52(_0x3a2d32){const _0x721804=_0x3cf21a;_0x30dfa4[_0x721804(0x1426)](_0x3a2d32);}}const _0x23ec31=_0x3d8464;;_0x194e8e['$inject']=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x5af),_0x5537c6(0xb91),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x194e8e(_0x3046f9,_0x1476cc,_0x268f15,_0x50e4a3,_0x2e9165,_0x372aef,_0x409c9a,_0x447789){const _0x5484ac=_0x5537c6,_0x4d3e74=this;_0x4d3e74[_0x5484ac(0xe76)]=_0x409c9a['getCurrentUser'](),_0x4d3e74['errors']=[],_0x4d3e74['title']=_0x5484ac(0xc13)+(_0x50e4a3[_0x5484ac(0x299b)]||_0x50e4a3[_0x5484ac(0x22e1)])[_0x5484ac(0x2335)](),_0x4d3e74['close']=angular[_0x5484ac(0x17fe)](_0x50e4a3),_0x4d3e74[_0x5484ac(0x1b1a)]=_0x447789,_0x4d3e74[_0x5484ac(0xf4c)]={};if(_0x4d3e74['close'][_0x5484ac(0x28df)])switch(_0x4d3e74['close'][_0x5484ac(0x299b)]?_0x4d3e74[_0x5484ac(0xf3b)][_0x5484ac(0x299b)]['toLowerCase']():_0x4d3e74[_0x5484ac(0xf3b)][_0x5484ac(0x22e1)][_0x5484ac(0x1680)]()){case _0x5484ac(0x197c):break;case _0x5484ac(0x711):{const _0x526e1b=_0x4d3e74[_0x5484ac(0xf3b)]['appdata'][_0x5484ac(0xbe1)](',');_0x4d3e74[_0x5484ac(0xf3b)][_0x5484ac(0xee8)]=_0x526e1b[0x0],_0x4d3e74['close']['language']=_0x526e1b[0x1],_0x4d3e74['close']['welcomemessage']=_0x526e1b['slice'](0x2,_0x526e1b[_0x5484ac(0xfd0)])[_0x5484ac(0x1f66)](',');}break;case _0x5484ac(0xece):{const _0x296ee1=_0x4d3e74[_0x5484ac(0xf3b)][_0x5484ac(0x28df)][_0x5484ac(0xbe1)](',');_0x4d3e74[_0x5484ac(0xf3b)][_0x5484ac(0x2854)]=_0x296ee1[0x0],_0x4d3e74['close']['clientEmail']=_0x296ee1[0x1],_0x4d3e74[_0x5484ac(0xf3b)]['privateKey']=_0x296ee1[0x2],_0x4d3e74[_0x5484ac(0xf3b)]['language']=_0x296ee1[0x3],_0x4d3e74[_0x5484ac(0xf3b)]['welcomemessage']=_0x296ee1[_0x5484ac(0x14cb)](0x4,_0x296ee1[_0x5484ac(0xfd0)])['join'](',');}break;case _0x5484ac(0x123a):{const _0x1bfed1=_0x4d3e74[_0x5484ac(0xf3b)]['appdata'][_0x5484ac(0xbe1)](',');_0x4d3e74[_0x5484ac(0xf3b)][_0x5484ac(0x413)]=_0x1bfed1[0x0],_0x4d3e74[_0x5484ac(0xf3b)]['secretaccesskey']=_0x1bfed1[0x1],_0x4d3e74[_0x5484ac(0xf3b)][_0x5484ac(0xd50)]=_0x1bfed1[0x2],_0x4d3e74[_0x5484ac(0xf3b)]['botname']=_0x1bfed1[0x3],_0x4d3e74['close'][_0x5484ac(0x173a)]=_0x1bfed1['slice'](0x4,_0x1bfed1[_0x5484ac(0xfd0)])['join'](',');}break;case'autoreply':{const _0xbfaabb=_0x4d3e74['close'][_0x5484ac(0x28df)]['split'](',');_0x4d3e74['close']['times']=isNaN(_0xbfaabb[0x0])?_0xbfaabb[0x0]:parseInt(_0xbfaabb[0x0],0xa),_0x4d3e74[_0x5484ac(0xf3b)]['text']=_0xbfaabb['slice'](0x1,_0xbfaabb['length'])[_0x5484ac(0x1f66)](',');}break;case _0x5484ac(0x155e):_0x4d3e74['close'][_0x5484ac(0x19d3)]=_0x4d3e74[_0x5484ac(0xf3b)][_0x5484ac(0x28df)];break;case _0x5484ac(0x19d1):_0x4d3e74['close'][_0x5484ac(0x16b6)]=_0x4d3e74[_0x5484ac(0xf3b)]['appdata'][_0x5484ac(0xbe1)]('=')[0x0],_0x4d3e74['close'][_0x5484ac(0x327)]=_0x4d3e74[_0x5484ac(0xf3b)][_0x5484ac(0x28df)]['split']('=')[0x1];break;case _0x5484ac(0x4b4):_0x4d3e74[_0x5484ac(0xf3b)][_0x5484ac(0x12a7)]=_0x4d3e74[_0x5484ac(0xf3b)][_0x5484ac(0x28df)];break;default:{const _0x4255ca=_0x4d3e74[_0x5484ac(0xf3b)]['appdata'][_0x5484ac(0xbe1)](',');_0x4d3e74[_0x5484ac(0xf3b)][_0x5484ac(0x1746)]=_0x39641b()[_0x5484ac(0xce9)](_0x4255ca[0x0])?_0x4255ca[0x0]:isNaN(_0x4255ca[0x0])?_0x4255ca[0x0]:parseInt(_0x4255ca[0x0],0xa);}break;}else{}_0x4d3e74['close'][_0x5484ac(0x66a)]&&_0x4d3e74[_0x5484ac(0xf3b)][_0x5484ac(0x66a)]['toLowerCase']()===_0x5484ac(0x895)&&_0x4d3e74[_0x5484ac(0xf3b)][_0x5484ac(0x299b)]['toLowerCase']()===_0x5484ac(0x20ff)&&(_0x4d3e74['close']['prefix']=_0x4d3e74['close'][_0x5484ac(0x1340)]?_0x4d3e74[_0x5484ac(0xf3b)]['phone']['split']('$')[0x0]:undefined,_0x4d3e74['close'][_0x5484ac(0x1e7c)]=_0x4d3e74[_0x5484ac(0xf3b)][_0x5484ac(0x2816)]?_0x5484ac(0x25f9)+_0x4d3e74[_0x5484ac(0xf3b)][_0x5484ac(0x2816)]:undefined);_0x4d3e74[_0x5484ac(0x19ad)]=_0x518516,_0x4d3e74[_0x5484ac(0xda0)]=_0x5bd7f6;function _0x518516(){const _0x43dbe2=_0x5484ac;_0x4d3e74[_0x43dbe2(0x1a7c)]=[];const _0x451790=[];_0x4d3e74['close'][_0x43dbe2(0x66a)]&&_0x4d3e74[_0x43dbe2(0xf3b)][_0x43dbe2(0x66a)][_0x43dbe2(0x1680)]()===_0x43dbe2(0x895)&&_0x4d3e74[_0x43dbe2(0xf3b)][_0x43dbe2(0x299b)]===_0x43dbe2(0x25f4)&&(_0x4d3e74[_0x43dbe2(0xf3b)][_0x43dbe2(0x1340)]=_0x2e9165[_0x43dbe2(0x325)]?(_0x4d3e74['close'][_0x43dbe2(0x586)]||'')+_0x43dbe2(0x19e4)+_0x2e9165[_0x43dbe2(0x325)]+'}':(_0x4d3e74[_0x43dbe2(0xf3b)][_0x43dbe2(0x586)]||'')+_0x43dbe2(0xcdd),_0x2e9165[_0x43dbe2(0xf8d)]!=='none'?_0x4d3e74[_0x43dbe2(0xf3b)][_0x43dbe2(0x2224)][_0x43dbe2(0xd8a)](_0x43dbe2(0x106a))<0x0&&(_0x4d3e74['close'][_0x43dbe2(0x2224)]+=_0x43dbe2(0x106a)):_0x4d3e74['close'][_0x43dbe2(0x2224)]=_0x4d3e74[_0x43dbe2(0xf3b)][_0x43dbe2(0x2224)][_0x43dbe2(0x288f)](_0x43dbe2(0x106a),''));if(_0x4d3e74[_0x43dbe2(0xf3b)]['appType']&&_0x4d3e74[_0x43dbe2(0xf3b)][_0x43dbe2(0x299b)]==='custom'){}else switch((_0x4d3e74[_0x43dbe2(0xf3b)][_0x43dbe2(0x22e1)]||_0x4d3e74[_0x43dbe2(0xf3b)][_0x43dbe2(0x299b)])[_0x43dbe2(0x1680)]()){case _0x43dbe2(0x19d1):_0x4d3e74['close']['appdata']=_0x4d3e74[_0x43dbe2(0xf3b)]['name']+'='+_0x4d3e74['close'][_0x43dbe2(0x327)];break;case _0x43dbe2(0x197c):break;default:_0x451790[0x0]=_0x4d3e74[_0x43dbe2(0xf3b)][_0x43dbe2(0x1746)],_0x4d3e74[_0x43dbe2(0xf3b)][_0x43dbe2(0x28df)]=_0x451790[_0x43dbe2(0x1f66)](',');}_0x5bd7f6(_0x4d3e74[_0x43dbe2(0xf3b)]);}function _0x5bd7f6(_0x2900a0){const _0x5d212b=_0x5484ac;_0x3046f9[_0x5d212b(0x1426)](_0x2900a0);}}const _0x2e3575=_0x194e8e;;_0x5cff74[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),'faxAccountApp',_0x5537c6(0xb91),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x5cff74(_0x4d2ee0,_0x25e644,_0x52837c,_0x19489d,_0x11612b,_0x2eb606,_0x17debe,_0x117f32){const _0x339c94=_0x5537c6,_0x55360d=this;_0x55360d[_0x339c94(0xe76)]=_0x17debe[_0x339c94(0x21e8)](),_0x55360d[_0x339c94(0x1a7c)]=[],_0x55360d[_0x339c94(0x1386)]=_0x339c94(0xc13)+(_0x19489d[_0x339c94(0x299b)]||_0x19489d[_0x339c94(0x22e1)])[_0x339c94(0x2335)](),_0x55360d['gotoif']=angular[_0x339c94(0x17fe)](_0x19489d),_0x55360d[_0x339c94(0x1b1a)]=_0x117f32,_0x55360d['hasModulePermissions']={};if(_0x55360d['gotoif'][_0x339c94(0x28df)])switch(_0x55360d[_0x339c94(0x13a0)][_0x339c94(0x299b)]?_0x55360d['gotoif'][_0x339c94(0x299b)]['toLowerCase']():_0x55360d[_0x339c94(0x13a0)][_0x339c94(0x22e1)][_0x339c94(0x1680)]()){case _0x339c94(0x197c):break;case _0x339c94(0x711):{const _0x49c0e9=_0x55360d[_0x339c94(0x13a0)]['appdata'][_0x339c94(0xbe1)](',');_0x55360d[_0x339c94(0x13a0)][_0x339c94(0xee8)]=_0x49c0e9[0x0],_0x55360d[_0x339c94(0x13a0)][_0x339c94(0x12b4)]=_0x49c0e9[0x1],_0x55360d['gotoif'][_0x339c94(0x173a)]=_0x49c0e9[_0x339c94(0x14cb)](0x2,_0x49c0e9[_0x339c94(0xfd0)])[_0x339c94(0x1f66)](',');}break;case _0x339c94(0xece):{const _0x44b333=_0x55360d['gotoif'][_0x339c94(0x28df)]['split'](',');_0x55360d[_0x339c94(0x13a0)]['projectId']=_0x44b333[0x0],_0x55360d[_0x339c94(0x13a0)][_0x339c94(0x12bf)]=_0x44b333[0x1],_0x55360d['gotoif'][_0x339c94(0x29d6)]=_0x44b333[0x2],_0x55360d[_0x339c94(0x13a0)][_0x339c94(0x12b4)]=_0x44b333[0x3],_0x55360d[_0x339c94(0x13a0)][_0x339c94(0x173a)]=_0x44b333['slice'](0x4,_0x44b333['length'])[_0x339c94(0x1f66)](',');}break;case _0x339c94(0x123a):{const _0x2e0db6=_0x55360d[_0x339c94(0x13a0)][_0x339c94(0x28df)][_0x339c94(0xbe1)](',');_0x55360d[_0x339c94(0x13a0)][_0x339c94(0x413)]=_0x2e0db6[0x0],_0x55360d[_0x339c94(0x13a0)][_0x339c94(0x21d9)]=_0x2e0db6[0x1],_0x55360d[_0x339c94(0x13a0)][_0x339c94(0xd50)]=_0x2e0db6[0x2],_0x55360d[_0x339c94(0x13a0)][_0x339c94(0x1c7f)]=_0x2e0db6[0x3],_0x55360d[_0x339c94(0x13a0)]['welcomemessage']=_0x2e0db6[_0x339c94(0x14cb)](0x4,_0x2e0db6[_0x339c94(0xfd0)])[_0x339c94(0x1f66)](',');}break;case'autoreply':{const _0x32b169=_0x55360d[_0x339c94(0x13a0)][_0x339c94(0x28df)][_0x339c94(0xbe1)](',');_0x55360d[_0x339c94(0x13a0)][_0x339c94(0x18a5)]=isNaN(_0x32b169[0x0])?_0x32b169[0x0]:parseInt(_0x32b169[0x0],0xa),_0x55360d[_0x339c94(0x13a0)][_0x339c94(0x19d3)]=_0x32b169[_0x339c94(0x14cb)](0x1,_0x32b169[_0x339c94(0xfd0)])[_0x339c94(0x1f66)](',');}break;case _0x339c94(0x155e):_0x55360d[_0x339c94(0x13a0)][_0x339c94(0x19d3)]=_0x55360d['gotoif'][_0x339c94(0x28df)];break;case'set':_0x55360d[_0x339c94(0x13a0)][_0x339c94(0x16b6)]=_0x55360d[_0x339c94(0x13a0)]['appdata']['split']('=')[0x0],_0x55360d['gotoif'][_0x339c94(0x327)]=_0x55360d[_0x339c94(0x13a0)][_0x339c94(0x28df)][_0x339c94(0xbe1)]('=')[0x1];break;case'agi':_0x55360d[_0x339c94(0x13a0)][_0x339c94(0x12a7)]=_0x55360d['gotoif'][_0x339c94(0x28df)];break;default:{const _0xd55277=_0x55360d[_0x339c94(0x13a0)][_0x339c94(0x28df)][_0x339c94(0xbe1)](',');_0x55360d[_0x339c94(0x13a0)]['condition']=_0x39641b()['isEmpty'](_0xd55277[0x0])?_0xd55277[0x0]:isNaN(_0xd55277[0x0])?_0xd55277[0x0]:parseInt(_0xd55277[0x0],0xa),_0x55360d['gotoif']['truepriority']=_0x39641b()[_0x339c94(0xce9)](_0xd55277[0x1])?_0xd55277[0x1]:isNaN(_0xd55277[0x1])?_0xd55277[0x1]:parseInt(_0xd55277[0x1],0xa),_0x55360d[_0x339c94(0x13a0)][_0x339c94(0x17d)]=_0x39641b()[_0x339c94(0xce9)](_0xd55277[0x2])?_0xd55277[0x2]:isNaN(_0xd55277[0x2])?_0xd55277[0x2]:parseInt(_0xd55277[0x2],0xa);}break;}else{}_0x55360d[_0x339c94(0x13a0)]['type']&&_0x55360d[_0x339c94(0x13a0)]['type']['toLowerCase']()===_0x339c94(0x895)&&_0x55360d['gotoif'][_0x339c94(0x299b)]['toLowerCase']()===_0x339c94(0x20ff)&&(_0x55360d[_0x339c94(0x13a0)][_0x339c94(0x586)]=_0x55360d['gotoif'][_0x339c94(0x1340)]?_0x55360d[_0x339c94(0x13a0)][_0x339c94(0x1340)][_0x339c94(0xbe1)]('$')[0x0]:undefined,_0x55360d['gotoif'][_0x339c94(0x1e7c)]=_0x55360d['gotoif']['callerID']?'CALLERID(all)='+_0x55360d[_0x339c94(0x13a0)][_0x339c94(0x2816)]:undefined);_0x55360d[_0x339c94(0x19ad)]=_0xae5336,_0x55360d[_0x339c94(0xda0)]=_0x40509b;function _0xae5336(){const _0x510fed=_0x339c94;_0x55360d[_0x510fed(0x1a7c)]=[];const _0xe00025=[];_0x55360d['gotoif'][_0x510fed(0x66a)]&&_0x55360d[_0x510fed(0x13a0)]['type']['toLowerCase']()===_0x510fed(0x895)&&_0x55360d[_0x510fed(0x13a0)]['appType']==='outboundDial'&&(_0x55360d[_0x510fed(0x13a0)][_0x510fed(0x1340)]=_0x11612b[_0x510fed(0x325)]?(_0x55360d[_0x510fed(0x13a0)][_0x510fed(0x586)]||'')+_0x510fed(0x19e4)+_0x11612b[_0x510fed(0x325)]+'}':(_0x55360d['gotoif'][_0x510fed(0x586)]||'')+_0x510fed(0xcdd),_0x11612b['recordingFormat']!==_0x510fed(0x13b1)?_0x55360d[_0x510fed(0x13a0)]['options'][_0x510fed(0xd8a)](_0x510fed(0x106a))<0x0&&(_0x55360d[_0x510fed(0x13a0)][_0x510fed(0x2224)]+=_0x510fed(0x106a)):_0x55360d[_0x510fed(0x13a0)][_0x510fed(0x2224)]=_0x55360d['gotoif'][_0x510fed(0x2224)][_0x510fed(0x288f)](_0x510fed(0x106a),''));if(_0x55360d['gotoif'][_0x510fed(0x299b)]&&_0x55360d['gotoif'][_0x510fed(0x299b)]===_0x510fed(0x197c)){}else switch((_0x55360d[_0x510fed(0x13a0)]['app']||_0x55360d['gotoif'][_0x510fed(0x299b)])[_0x510fed(0x1680)]()){case _0x510fed(0x19d1):_0x55360d['gotoif'][_0x510fed(0x28df)]=_0x55360d[_0x510fed(0x13a0)][_0x510fed(0x16b6)]+'='+_0x55360d['gotoif'][_0x510fed(0x327)];break;case _0x510fed(0x197c):break;default:_0xe00025[0x0]=_0x55360d[_0x510fed(0x13a0)][_0x510fed(0x166c)],_0xe00025[0x1]=_0x55360d[_0x510fed(0x13a0)]['truepriority'],_0xe00025[0x2]=_0x55360d[_0x510fed(0x13a0)][_0x510fed(0x17d)],_0x55360d['gotoif'][_0x510fed(0x28df)]=_0xe00025['join'](',');}_0x40509b(_0x55360d['gotoif']);}function _0x40509b(_0x9015aa){const _0x32b399=_0x339c94;_0x4d2ee0[_0x32b399(0x1426)](_0x9015aa);}}const _0xbb55bf=_0x5cff74;;_0x47ad21[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q','toasty','faxAccountApp',_0x5537c6(0xb91),_0x5537c6(0x142b),'Auth',_0x5537c6(0x1b1a)];function _0x47ad21(_0x12d32e,_0x19cc3a,_0x5744de,_0x3c74a8,_0xa8e2b4,_0x3142b0,_0x43f228,_0x29d341){const _0xdba4f6=_0x5537c6,_0x176ed4=this;_0x176ed4[_0xdba4f6(0xe76)]=_0x43f228[_0xdba4f6(0x21e8)](),_0x176ed4[_0xdba4f6(0x1a7c)]=[],_0x176ed4[_0xdba4f6(0x1386)]='FAX.EDIT_'+(_0x3c74a8['appType']||_0x3c74a8['app'])[_0xdba4f6(0x2335)](),_0x176ed4[_0xdba4f6(0x265b)]=angular[_0xdba4f6(0x17fe)](_0x3c74a8),_0x176ed4[_0xdba4f6(0x1b1a)]=_0x29d341,_0x176ed4['hasModulePermissions']={};if(_0x176ed4[_0xdba4f6(0x265b)]['appdata'])switch(_0x176ed4['gotop'][_0xdba4f6(0x299b)]?_0x176ed4[_0xdba4f6(0x265b)][_0xdba4f6(0x299b)][_0xdba4f6(0x1680)]():_0x176ed4[_0xdba4f6(0x265b)][_0xdba4f6(0x22e1)][_0xdba4f6(0x1680)]()){case _0xdba4f6(0x197c):break;case'dialogflow':{const _0x491a2e=_0x176ed4[_0xdba4f6(0x265b)][_0xdba4f6(0x28df)][_0xdba4f6(0xbe1)](',');_0x176ed4[_0xdba4f6(0x265b)][_0xdba4f6(0xee8)]=_0x491a2e[0x0],_0x176ed4[_0xdba4f6(0x265b)][_0xdba4f6(0x12b4)]=_0x491a2e[0x1],_0x176ed4[_0xdba4f6(0x265b)][_0xdba4f6(0x173a)]=_0x491a2e[_0xdba4f6(0x14cb)](0x2,_0x491a2e[_0xdba4f6(0xfd0)])[_0xdba4f6(0x1f66)](',');}break;case'dialogflowv2':{const _0xb0477b=_0x176ed4['gotop']['appdata'][_0xdba4f6(0xbe1)](',');_0x176ed4[_0xdba4f6(0x265b)][_0xdba4f6(0x2854)]=_0xb0477b[0x0],_0x176ed4['gotop'][_0xdba4f6(0x12bf)]=_0xb0477b[0x1],_0x176ed4[_0xdba4f6(0x265b)]['privateKey']=_0xb0477b[0x2],_0x176ed4['gotop'][_0xdba4f6(0x12b4)]=_0xb0477b[0x3],_0x176ed4['gotop'][_0xdba4f6(0x173a)]=_0xb0477b['slice'](0x4,_0xb0477b[_0xdba4f6(0xfd0)])['join'](',');}break;case _0xdba4f6(0x123a):{const _0x173513=_0x176ed4['gotop'][_0xdba4f6(0x28df)][_0xdba4f6(0xbe1)](',');_0x176ed4[_0xdba4f6(0x265b)][_0xdba4f6(0x413)]=_0x173513[0x0],_0x176ed4[_0xdba4f6(0x265b)]['secretaccesskey']=_0x173513[0x1],_0x176ed4[_0xdba4f6(0x265b)][_0xdba4f6(0xd50)]=_0x173513[0x2],_0x176ed4[_0xdba4f6(0x265b)][_0xdba4f6(0x1c7f)]=_0x173513[0x3],_0x176ed4[_0xdba4f6(0x265b)]['welcomemessage']=_0x173513['slice'](0x4,_0x173513[_0xdba4f6(0xfd0)])[_0xdba4f6(0x1f66)](',');}break;case _0xdba4f6(0x1f71):{const _0x4edb05=_0x176ed4[_0xdba4f6(0x265b)]['appdata'][_0xdba4f6(0xbe1)](',');_0x176ed4[_0xdba4f6(0x265b)][_0xdba4f6(0x18a5)]=isNaN(_0x4edb05[0x0])?_0x4edb05[0x0]:parseInt(_0x4edb05[0x0],0xa),_0x176ed4['gotop'][_0xdba4f6(0x19d3)]=_0x4edb05['slice'](0x1,_0x4edb05[_0xdba4f6(0xfd0)])['join'](',');}break;case _0xdba4f6(0x155e):_0x176ed4['gotop']['text']=_0x176ed4[_0xdba4f6(0x265b)][_0xdba4f6(0x28df)];break;case _0xdba4f6(0x19d1):_0x176ed4[_0xdba4f6(0x265b)][_0xdba4f6(0x16b6)]=_0x176ed4[_0xdba4f6(0x265b)][_0xdba4f6(0x28df)][_0xdba4f6(0xbe1)]('=')[0x0],_0x176ed4[_0xdba4f6(0x265b)]['value']=_0x176ed4['gotop'][_0xdba4f6(0x28df)][_0xdba4f6(0xbe1)]('=')[0x1];break;case _0xdba4f6(0x4b4):_0x176ed4['gotop']['project']=_0x176ed4['gotop'][_0xdba4f6(0x28df)];break;default:{const _0x1d47ab=_0x176ed4[_0xdba4f6(0x265b)][_0xdba4f6(0x28df)][_0xdba4f6(0xbe1)](',');_0x176ed4[_0xdba4f6(0x265b)][_0xdba4f6(0x521)]=_0x39641b()[_0xdba4f6(0xce9)](_0x1d47ab[0x0])?_0x1d47ab[0x0]:isNaN(_0x1d47ab[0x0])?_0x1d47ab[0x0]:parseInt(_0x1d47ab[0x0],0xa);}break;}else{}_0x176ed4[_0xdba4f6(0x265b)][_0xdba4f6(0x66a)]&&_0x176ed4[_0xdba4f6(0x265b)][_0xdba4f6(0x66a)]['toLowerCase']()==='outbound'&&_0x176ed4['gotop'][_0xdba4f6(0x299b)][_0xdba4f6(0x1680)]()===_0xdba4f6(0x20ff)&&(_0x176ed4[_0xdba4f6(0x265b)][_0xdba4f6(0x586)]=_0x176ed4['gotop'][_0xdba4f6(0x1340)]?_0x176ed4[_0xdba4f6(0x265b)]['phone']['split']('$')[0x0]:undefined,_0x176ed4[_0xdba4f6(0x265b)][_0xdba4f6(0x1e7c)]=_0x176ed4[_0xdba4f6(0x265b)][_0xdba4f6(0x2816)]?'CALLERID(all)='+_0x176ed4[_0xdba4f6(0x265b)]['callerID']:undefined);_0x176ed4[_0xdba4f6(0x19ad)]=_0x3ec9dc,_0x176ed4[_0xdba4f6(0xda0)]=_0x406a7b;function _0x3ec9dc(){const _0x3ab4b6=_0xdba4f6;_0x176ed4[_0x3ab4b6(0x1a7c)]=[];const _0x32daaf=[];_0x176ed4[_0x3ab4b6(0x265b)][_0x3ab4b6(0x66a)]&&_0x176ed4[_0x3ab4b6(0x265b)]['type'][_0x3ab4b6(0x1680)]()==='outbound'&&_0x176ed4[_0x3ab4b6(0x265b)]['appType']===_0x3ab4b6(0x25f4)&&(_0x176ed4[_0x3ab4b6(0x265b)][_0x3ab4b6(0x1340)]=_0xa8e2b4[_0x3ab4b6(0x325)]?(_0x176ed4[_0x3ab4b6(0x265b)][_0x3ab4b6(0x586)]||'')+_0x3ab4b6(0x19e4)+_0xa8e2b4[_0x3ab4b6(0x325)]+'}':(_0x176ed4[_0x3ab4b6(0x265b)][_0x3ab4b6(0x586)]||'')+_0x3ab4b6(0xcdd),_0xa8e2b4[_0x3ab4b6(0xf8d)]!==_0x3ab4b6(0x13b1)?_0x176ed4[_0x3ab4b6(0x265b)][_0x3ab4b6(0x2224)][_0x3ab4b6(0xd8a)]('U(xcally-mixmonitor-context)')<0x0&&(_0x176ed4[_0x3ab4b6(0x265b)]['options']+=_0x3ab4b6(0x106a)):_0x176ed4[_0x3ab4b6(0x265b)][_0x3ab4b6(0x2224)]=_0x176ed4['gotop'][_0x3ab4b6(0x2224)][_0x3ab4b6(0x288f)](_0x3ab4b6(0x106a),''));if(_0x176ed4[_0x3ab4b6(0x265b)][_0x3ab4b6(0x299b)]&&_0x176ed4[_0x3ab4b6(0x265b)][_0x3ab4b6(0x299b)]===_0x3ab4b6(0x197c)){}else switch((_0x176ed4[_0x3ab4b6(0x265b)][_0x3ab4b6(0x22e1)]||_0x176ed4[_0x3ab4b6(0x265b)][_0x3ab4b6(0x299b)])[_0x3ab4b6(0x1680)]()){case _0x3ab4b6(0x19d1):_0x176ed4[_0x3ab4b6(0x265b)]['appdata']=_0x176ed4[_0x3ab4b6(0x265b)]['name']+'='+_0x176ed4[_0x3ab4b6(0x265b)][_0x3ab4b6(0x327)];break;case'custom':break;default:_0x32daaf[0x0]=_0x176ed4[_0x3ab4b6(0x265b)]['priority'],_0x176ed4[_0x3ab4b6(0x265b)][_0x3ab4b6(0x28df)]=_0x32daaf[_0x3ab4b6(0x1f66)](',');}_0x406a7b(_0x176ed4[_0x3ab4b6(0x265b)]);}function _0x406a7b(_0x4254a6){const _0x67568=_0xdba4f6;_0x12d32e[_0x67568(0x1426)](_0x4254a6);}}const _0x366e9f=_0x47ad21;;_0x34a910[_0x5537c6(0x15b6)]=['$state',_0x5537c6(0xcb9),_0x5537c6(0x200d),_0x5537c6(0x1b2),'interval',_0x5537c6(0xb4a),_0x5537c6(0x9bf),'api',_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x34a910(_0x45e758,_0x291c4a,_0x30015d,_0x4a0af1,_0x8c71e0,_0x53202e,_0x52c135,_0x58c3e3,_0x20da72,_0x19ca96){const _0x3607b6=_0x5537c6,_0x248a21=this;_0x248a21['currentUser']=_0x20da72[_0x3607b6(0x21e8)](),_0x248a21['errors']=[],_0x248a21[_0x3607b6(0x1386)]=_0x3607b6(0x1571),_0x248a21[_0x3607b6(0x1ac8)]=angular[_0x3607b6(0x17fe)](_0x8c71e0),_0x248a21[_0x3607b6(0xb4a)]=_0x53202e,_0x248a21[_0x3607b6(0x18c1)]=![],_0x248a21[_0x3607b6(0x2025)]=[_0x3607b6(0x2017),'custom','list'],_0x248a21[_0x3607b6(0x1b1a)]=_0x19ca96,_0x248a21[_0x3607b6(0x1275)]=_0x4a0af1[_0x3607b6(0x1aae)](),_0x248a21[_0x3607b6(0x2055)]=_0x4a0af1['getMonthNumber'](),_0x248a21['monthName']=_0x4a0af1[_0x3607b6(0x7cc)](),_0x248a21[_0x3607b6(0x202d)]=_0x4a0af1[_0x3607b6(0xc1e)]();if(!_0x248a21[_0x3607b6(0x1ac8)])_0x248a21[_0x3607b6(0x1ac8)]={'interval':_0x3607b6(0x965)},_0x248a21['type']=_0x3607b6(0x2017),_0x248a21[_0x3607b6(0x1386)]=_0x3607b6(0x287b),_0x248a21[_0x3607b6(0x18c1)]=!![];else{if(_0x248a21[_0x3607b6(0x1ac8)]['interval']!==_0x3607b6(0x965)){_0x248a21[_0x3607b6(0x66a)]='custom';const _0x525974=_0x248a21[_0x3607b6(0x1ac8)][_0x3607b6(0x1ac8)]['split'](','),_0x55b762=_0x525974[0x0],_0x2edbec=_0x525974[0x1],_0x27e583=_0x525974[0x2],_0xd677b2=_0x525974[0x3];if(_0x55b762!=='*'){const _0x37e7db=_0x55b762[_0x3607b6(0xbe1)]('-')[0x0],_0x238671=_0x55b762['split']('-')[0x1];let _0x26a06e;_0x26a06e=new Date(),_0x26a06e[_0x3607b6(0x201b)](Number(_0x37e7db[_0x3607b6(0xbe1)](':')[0x0])),_0x26a06e['setMinutes'](Number(_0x37e7db[_0x3607b6(0xbe1)](':')[0x1])),_0x248a21['timeRangeFrom']=_0x26a06e,_0x26a06e=new Date(),_0x26a06e[_0x3607b6(0x201b)](Number(_0x238671[_0x3607b6(0xbe1)](':')[0x0])),_0x26a06e[_0x3607b6(0x1622)](Number(_0x238671[_0x3607b6(0xbe1)](':')[0x1])),_0x248a21[_0x3607b6(0x64a)]=_0x26a06e;}_0x2edbec!=='*'&&(_0x248a21['dayOfWeekFrom']=_0x2edbec['split']('-')[0x0],_0x248a21['dayOfWeekTo']=_0x2edbec[_0x3607b6(0xbe1)]('-')[0x1]),_0x27e583!=='*'&&(_0x248a21[_0x3607b6(0xd6f)]=_0x27e583['split']('-')[0x0],_0x248a21['monthDayTo']=_0x27e583[_0x3607b6(0xbe1)]('-')[0x1]),_0xd677b2!=='*'&&(_0x248a21['monthFrom']=_0xd677b2[_0x3607b6(0xbe1)]('-')[0x0],_0x248a21[_0x3607b6(0xa68)]=_0xd677b2[_0x3607b6(0xbe1)]('-')[0x1]);}else _0x248a21[_0x3607b6(0x66a)]=_0x3607b6(0x2017);}_0x45e758['params']['id']&&!_0x248a21['interval'][_0x3607b6(0x171b)]&&(_0x248a21[_0x3607b6(0x1ac8)][_0x3607b6(0x1a60)]=_0x45e758[_0x3607b6(0x1dfe)]['id']);_0x248a21[_0x3607b6(0x1ac8)][_0x3607b6(0x1a60)]&&_0x248a21[_0x3607b6(0x1ac8)][_0x3607b6(0x171b)]&&(_0x248a21[_0x3607b6(0x66a)]='list');_0x248a21[_0x3607b6(0x18b3)]=_0x394fe3,_0x248a21[_0x3607b6(0x1891)]=_0x58f547,_0x248a21[_0x3607b6(0xda0)]=_0x5e1b3f;_0x248a21[_0x3607b6(0x1ac8)]['application']&&(_0x20da72['hasRole'](_0x3607b6(0x1c60))?_0x58c3e3[_0x3607b6(0x1ac8)][_0x3607b6(0xbf7)]({'fields':'id,name,interval,IntervalId','IntervalId':_0x3607b6(0xd38),'nolimit':!![]})[_0x3607b6(0x1d77)][_0x3607b6(0x1cb0)](function(_0x568efe){const _0x12b86f=_0x3607b6;_0x248a21['intervals']=_0x568efe[_0x12b86f(0x2214)]||[];})[_0x3607b6(0x1c4)](function(_0x29b1b5){const _0x380492=_0x3607b6;_0x52c135['error']({'title':_0x29b1b5[_0x380492(0x291)]?_0x380492(0xeb9)+_0x29b1b5[_0x380492(0x291)]+_0x380492(0x1657)+_0x29b1b5[_0x380492(0xc22)]:'SYSTEM:GET_INTERVALS','msg':_0x29b1b5[_0x380492(0x25c)]?JSON['stringify'](_0x29b1b5[_0x380492(0x25c)]):_0x29b1b5[_0x380492(0x147f)]()});}):_0x58c3e3[_0x3607b6(0x1ac8)]['get']({'fields':_0x3607b6(0x872),'IntervalId':_0x3607b6(0xd38),'nolimit':!![]})[_0x3607b6(0x1d77)]['then'](function(_0x28aae2){const _0x5376fd=_0x3607b6;_0x248a21[_0x5376fd(0xb4a)]=_0x28aae2['rows']||[];})[_0x3607b6(0x1cb0)](function(){const _0x1a09e2=_0x3607b6;return _0x58c3e3[_0x1a09e2(0x2199)][_0x1a09e2(0xbf7)]({'userProfileId':_0x248a21[_0x1a09e2(0xe76)][_0x1a09e2(0x13c1)],'sectionId':0x3ec})['$promise'];})['then'](function(_0x4a15f3){const _0x5686ac=_0x3607b6,_0x20e22f=_0x4a15f3&&_0x4a15f3['rows']?_0x4a15f3[_0x5686ac(0x2214)][0x0]:null;if(!_0x20e22f)_0x248a21[_0x5686ac(0xb4a)]=[];else{if(!_0x20e22f['autoAssociation'])return _0x58c3e3[_0x5686ac(0x1198)][_0x5686ac(0xbf7)]({'sectionId':_0x20e22f['id']})[_0x5686ac(0x1d77)][_0x5686ac(0x1cb0)](function(_0x21d6c4){const _0x131864=_0x5686ac,_0x5992a2=_0x21d6c4&&_0x21d6c4[_0x131864(0x2214)]?_0x21d6c4[_0x131864(0x2214)]:[],_0xffc063=[];let _0x3c8925=null;_0x248a21[_0x131864(0x1ac8)]&&(_0x3c8925=_0x39641b()[_0x131864(0x13b4)](_0x248a21[_0x131864(0xb4a)],{'name':_0x248a21['interval'][_0x131864(0x1a60)]})),_0x3c8925&&!_0x39641b()['some'](_0x5992a2,['resourceId',_0x3c8925['id']])&&_0x248a21[_0x131864(0xb4a)][_0x131864(0xf90)](function(_0x45dc1a){const _0xadde94=_0x131864;_0x45dc1a['id']===_0x3c8925['id']&&(_0x45dc1a[_0xadde94(0x15da)]=![],_0xffc063[_0xadde94(0x2785)](_0x45dc1a));}),_0x248a21['intervals']=_0xffc063;});}})[_0x3607b6(0x1c4)](function(_0x422312){const _0x5bbaa1=_0x3607b6;_0x52c135[_0x5bbaa1(0x218e)]({'title':_0x422312[_0x5bbaa1(0x291)]?_0x5bbaa1(0xeb9)+_0x422312[_0x5bbaa1(0x291)]+_0x5bbaa1(0x1657)+_0x422312['statusText']:_0x5bbaa1(0xdac),'msg':_0x422312[_0x5bbaa1(0x25c)]?JSON[_0x5bbaa1(0x2701)](_0x422312['data']):_0x422312[_0x5bbaa1(0x147f)]()});}));function _0x3d8c6f(){const _0x5e4f4a=_0x3607b6;switch(_0x248a21[_0x5e4f4a(0x66a)]){case _0x5e4f4a(0x2017):case _0x5e4f4a(0x240):return _0x5e4f4a(0x965);case'custom':{const _0x434f43=[];if(_0x248a21[_0x5e4f4a(0x718)]!=='*'&&_0x248a21[_0x5e4f4a(0x718)]&&_0x248a21[_0x5e4f4a(0x64a)]){const _0x3f35da=(_0x248a21[_0x5e4f4a(0x718)][_0x5e4f4a(0x1169)]()<0xa?'0':'')+_0x248a21[_0x5e4f4a(0x718)][_0x5e4f4a(0x1169)]()+':'+((_0x248a21['timeRangeFrom'][_0x5e4f4a(0x851)]()<0xa?'0':'')+_0x248a21['timeRangeFrom'][_0x5e4f4a(0x851)]()),_0x20ca53=(_0x248a21[_0x5e4f4a(0x64a)][_0x5e4f4a(0x1169)]()<0xa?'0':'')+_0x248a21['timeRangeTo']['getHours']()+':'+((_0x248a21['timeRangeTo'][_0x5e4f4a(0x851)]()<0xa?'0':'')+_0x248a21[_0x5e4f4a(0x64a)]['getMinutes']());_0x434f43[_0x5e4f4a(0x2785)](_0x3f35da+'-'+_0x20ca53);}else _0x434f43[_0x5e4f4a(0x2785)]('*');return _0x248a21['dayOfWeekFrom']?_0x248a21[_0x5e4f4a(0x28db)]?_0x434f43['push'](_0x248a21[_0x5e4f4a(0x28a6)]+'-'+_0x248a21[_0x5e4f4a(0x28db)]):_0x434f43[_0x5e4f4a(0x2785)](_0x248a21[_0x5e4f4a(0x28a6)]):_0x434f43['push']('*'),_0x248a21[_0x5e4f4a(0xd6f)]?_0x248a21[_0x5e4f4a(0x194d)]?_0x434f43[_0x5e4f4a(0x2785)](_0x248a21[_0x5e4f4a(0xd6f)]+'-'+_0x248a21[_0x5e4f4a(0x194d)]):_0x434f43['push'](_0x248a21[_0x5e4f4a(0xd6f)]):_0x434f43[_0x5e4f4a(0x2785)]('*'),_0x248a21[_0x5e4f4a(0x1756)]?_0x248a21[_0x5e4f4a(0xa68)]?_0x434f43['push'](_0x248a21[_0x5e4f4a(0x1756)]+'-'+_0x248a21[_0x5e4f4a(0xa68)]):_0x434f43[_0x5e4f4a(0x2785)](_0x248a21[_0x5e4f4a(0x1756)]):_0x434f43[_0x5e4f4a(0x2785)]('*'),_0x434f43[_0x5e4f4a(0x1f66)]();}}}function _0x394fe3(){const _0x527886=_0x3607b6;_0x248a21['errors']=[],_0x248a21[_0x527886(0x1ac8)][_0x527886(0x1ac8)]=_0x3d8c6f(),_0x58c3e3[_0x527886(0x1ac8)][_0x527886(0x1c3f)](_0x248a21['interval'])[_0x527886(0x1d77)][_0x527886(0x1cb0)](function(_0x25424a){const _0x4c91b6=_0x527886;_0x248a21[_0x4c91b6(0xb4a)][_0x4c91b6(0x2785)](_0x25424a),_0x52c135['success']({'title':'Interval\x20properly\x20created','msg':_0x248a21[_0x4c91b6(0x1ac8)][_0x4c91b6(0x16b6)]?_0x248a21[_0x4c91b6(0x1ac8)][_0x4c91b6(0x16b6)]+_0x4c91b6(0x470):''}),_0x5e1b3f();})[_0x527886(0x1c4)](function(_0xf8aa0d){const _0x3e25fa=_0x527886;console[_0x3e25fa(0x218e)](_0xf8aa0d),_0x248a21[_0x3e25fa(0x1a7c)]=_0xf8aa0d[_0x3e25fa(0x25c)][_0x3e25fa(0x1a7c)]||[{'message':_0xf8aa0d['toString'](),'type':_0x3e25fa(0x24f7)}];});}function _0x58f547(){const _0x4886c4=_0x3607b6;_0x248a21[_0x4886c4(0x1a7c)]=[],_0x248a21[_0x4886c4(0x1ac8)][_0x4886c4(0x1ac8)]=_0x3d8c6f(),_0x248a21[_0x4886c4(0x1ac8)][_0x4886c4(0x171b)]?(_0x248a21[_0x4886c4(0x66a)]!==_0x4886c4(0x240)&&(_0x248a21[_0x4886c4(0x1ac8)][_0x4886c4(0x1a60)]=null),_0x5e1b3f(_0x248a21[_0x4886c4(0x1ac8)])):_0x58c3e3['interval'][_0x4886c4(0x687)]({'id':_0x248a21[_0x4886c4(0x1ac8)]['id']},_0x248a21[_0x4886c4(0x1ac8)])[_0x4886c4(0x1d77)]['then'](function(_0x3ef8b4){const _0x4c9b88=_0x4886c4,_0x32ddc5=_0x39641b()[_0x4c9b88(0x13b4)](_0x248a21[_0x4c9b88(0xb4a)],{'id':_0x3ef8b4['id']});_0x32ddc5&&_0x39641b()[_0x4c9b88(0x9c1)](_0x32ddc5,_0x3ef8b4),_0x52c135[_0x4c9b88(0x829)]({'title':_0x4c9b88(0x1498),'msg':_0x4c9b88(0x2994)}),_0x5e1b3f();})[_0x4886c4(0x1c4)](function(_0x34dfa5){const _0xb9c545=_0x4886c4;console[_0xb9c545(0x218e)](_0x34dfa5),_0x248a21[_0xb9c545(0x1a7c)]=_0x34dfa5[_0xb9c545(0x25c)][_0xb9c545(0x1a7c)]||[{'message':_0x34dfa5[_0xb9c545(0x147f)](),'type':'api.interval.update'}];});}function _0x5e1b3f(_0x255cf1){const _0x30b6b5=_0x3607b6;_0x291c4a[_0x30b6b5(0x1426)](_0x255cf1);}}const _0x2a6154=_0x34a910;;_0x555ae1[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x5af),_0x5537c6(0xb91),'api',_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x555ae1(_0x16ef3c,_0x5a2b5f,_0x337a69,_0x19707a,_0x308f21,_0x16cb70,_0x876dc6,_0x491257){const _0x5f3320=_0x5537c6,_0x276a94=this;_0x276a94[_0x5f3320(0xe76)]=_0x876dc6['getCurrentUser'](),_0x276a94[_0x5f3320(0x1a7c)]=[],_0x276a94[_0x5f3320(0x1386)]=_0x5f3320(0xc13)+(_0x19707a[_0x5f3320(0x299b)]||_0x19707a[_0x5f3320(0x22e1)])[_0x5f3320(0x2335)](),_0x276a94[_0x5f3320(0x1234)]=angular[_0x5f3320(0x17fe)](_0x19707a),_0x276a94[_0x5f3320(0x1b1a)]=_0x491257,_0x276a94['hasModulePermissions']={};if(_0x276a94[_0x5f3320(0x1234)][_0x5f3320(0x28df)])switch(_0x276a94['noop'][_0x5f3320(0x299b)]?_0x276a94[_0x5f3320(0x1234)][_0x5f3320(0x299b)]['toLowerCase']():_0x276a94[_0x5f3320(0x1234)][_0x5f3320(0x22e1)][_0x5f3320(0x1680)]()){case'custom':break;case _0x5f3320(0x711):{const _0x3a916e=_0x276a94[_0x5f3320(0x1234)][_0x5f3320(0x28df)][_0x5f3320(0xbe1)](',');_0x276a94['noop'][_0x5f3320(0xee8)]=_0x3a916e[0x0],_0x276a94['noop'][_0x5f3320(0x12b4)]=_0x3a916e[0x1],_0x276a94['noop'][_0x5f3320(0x173a)]=_0x3a916e[_0x5f3320(0x14cb)](0x2,_0x3a916e[_0x5f3320(0xfd0)])[_0x5f3320(0x1f66)](',');}break;case _0x5f3320(0xece):{const _0x5463dc=_0x276a94[_0x5f3320(0x1234)][_0x5f3320(0x28df)][_0x5f3320(0xbe1)](',');_0x276a94[_0x5f3320(0x1234)][_0x5f3320(0x2854)]=_0x5463dc[0x0],_0x276a94[_0x5f3320(0x1234)][_0x5f3320(0x12bf)]=_0x5463dc[0x1],_0x276a94[_0x5f3320(0x1234)][_0x5f3320(0x29d6)]=_0x5463dc[0x2],_0x276a94[_0x5f3320(0x1234)]['language']=_0x5463dc[0x3],_0x276a94['noop'][_0x5f3320(0x173a)]=_0x5463dc[_0x5f3320(0x14cb)](0x4,_0x5463dc[_0x5f3320(0xfd0)])[_0x5f3320(0x1f66)](',');}break;case'amazonlex':{const _0x14f20d=_0x276a94['noop']['appdata'][_0x5f3320(0xbe1)](',');_0x276a94[_0x5f3320(0x1234)][_0x5f3320(0x413)]=_0x14f20d[0x0],_0x276a94[_0x5f3320(0x1234)][_0x5f3320(0x21d9)]=_0x14f20d[0x1],_0x276a94['noop'][_0x5f3320(0xd50)]=_0x14f20d[0x2],_0x276a94[_0x5f3320(0x1234)][_0x5f3320(0x1c7f)]=_0x14f20d[0x3],_0x276a94[_0x5f3320(0x1234)]['welcomemessage']=_0x14f20d['slice'](0x4,_0x14f20d['length'])['join'](',');}break;case _0x5f3320(0x1f71):{const _0x2ed546=_0x276a94[_0x5f3320(0x1234)][_0x5f3320(0x28df)][_0x5f3320(0xbe1)](',');_0x276a94[_0x5f3320(0x1234)][_0x5f3320(0x18a5)]=isNaN(_0x2ed546[0x0])?_0x2ed546[0x0]:parseInt(_0x2ed546[0x0],0xa),_0x276a94['noop'][_0x5f3320(0x19d3)]=_0x2ed546[_0x5f3320(0x14cb)](0x1,_0x2ed546['length'])[_0x5f3320(0x1f66)](',');}break;case _0x5f3320(0x155e):_0x276a94[_0x5f3320(0x1234)][_0x5f3320(0x19d3)]=_0x276a94[_0x5f3320(0x1234)]['appdata'];break;case'set':_0x276a94[_0x5f3320(0x1234)]['name']=_0x276a94[_0x5f3320(0x1234)][_0x5f3320(0x28df)][_0x5f3320(0xbe1)]('=')[0x0],_0x276a94[_0x5f3320(0x1234)][_0x5f3320(0x327)]=_0x276a94[_0x5f3320(0x1234)][_0x5f3320(0x28df)][_0x5f3320(0xbe1)]('=')[0x1];break;case'agi':_0x276a94[_0x5f3320(0x1234)][_0x5f3320(0x12a7)]=_0x276a94[_0x5f3320(0x1234)]['appdata'];break;default:{const _0x5e0058=_0x276a94['noop'][_0x5f3320(0x28df)][_0x5f3320(0xbe1)](',');_0x276a94[_0x5f3320(0x1234)][_0x5f3320(0x327)]=_0x39641b()[_0x5f3320(0xce9)](_0x5e0058[0x0])?_0x5e0058[0x0]:isNaN(_0x5e0058[0x0])?_0x5e0058[0x0]:parseInt(_0x5e0058[0x0],0xa);}break;}else{}_0x276a94['noop'][_0x5f3320(0x66a)]&&_0x276a94[_0x5f3320(0x1234)][_0x5f3320(0x66a)]['toLowerCase']()===_0x5f3320(0x895)&&_0x276a94[_0x5f3320(0x1234)][_0x5f3320(0x299b)]['toLowerCase']()==='outbounddial'&&(_0x276a94[_0x5f3320(0x1234)][_0x5f3320(0x586)]=_0x276a94['noop'][_0x5f3320(0x1340)]?_0x276a94['noop']['phone']['split']('$')[0x0]:undefined,_0x276a94[_0x5f3320(0x1234)][_0x5f3320(0x1e7c)]=_0x276a94[_0x5f3320(0x1234)][_0x5f3320(0x2816)]?_0x5f3320(0x25f9)+_0x276a94['noop']['callerID']:undefined);_0x276a94[_0x5f3320(0x19ad)]=_0x10e50a,_0x276a94[_0x5f3320(0xda0)]=_0x35df9d;function _0x10e50a(){const _0x3c9163=_0x5f3320;_0x276a94[_0x3c9163(0x1a7c)]=[];const _0x5f3f51=[];_0x276a94[_0x3c9163(0x1234)][_0x3c9163(0x66a)]&&_0x276a94[_0x3c9163(0x1234)][_0x3c9163(0x66a)]['toLowerCase']()===_0x3c9163(0x895)&&_0x276a94[_0x3c9163(0x1234)][_0x3c9163(0x299b)]===_0x3c9163(0x25f4)&&(_0x276a94['noop'][_0x3c9163(0x1340)]=_0x308f21[_0x3c9163(0x325)]?(_0x276a94[_0x3c9163(0x1234)][_0x3c9163(0x586)]||'')+_0x3c9163(0x19e4)+_0x308f21[_0x3c9163(0x325)]+'}':(_0x276a94[_0x3c9163(0x1234)][_0x3c9163(0x586)]||'')+'${EXTEN}',_0x308f21['recordingFormat']!==_0x3c9163(0x13b1)?_0x276a94[_0x3c9163(0x1234)][_0x3c9163(0x2224)]['indexOf'](_0x3c9163(0x106a))<0x0&&(_0x276a94[_0x3c9163(0x1234)][_0x3c9163(0x2224)]+=_0x3c9163(0x106a)):_0x276a94[_0x3c9163(0x1234)][_0x3c9163(0x2224)]=_0x276a94[_0x3c9163(0x1234)][_0x3c9163(0x2224)][_0x3c9163(0x288f)](_0x3c9163(0x106a),''));if(_0x276a94[_0x3c9163(0x1234)][_0x3c9163(0x299b)]&&_0x276a94[_0x3c9163(0x1234)][_0x3c9163(0x299b)]===_0x3c9163(0x197c)){}else switch((_0x276a94[_0x3c9163(0x1234)][_0x3c9163(0x22e1)]||_0x276a94[_0x3c9163(0x1234)]['appType'])[_0x3c9163(0x1680)]()){case'set':_0x276a94['noop']['appdata']=_0x276a94[_0x3c9163(0x1234)][_0x3c9163(0x16b6)]+'='+_0x276a94[_0x3c9163(0x1234)][_0x3c9163(0x327)];break;case _0x3c9163(0x197c):break;default:_0x5f3f51[0x0]=_0x276a94['noop'][_0x3c9163(0x327)],_0x276a94['noop'][_0x3c9163(0x28df)]=_0x5f3f51[_0x3c9163(0x1f66)](',');}_0x35df9d(_0x276a94['noop']);}function _0x35df9d(_0xa3eb7c){const _0x2d3c77=_0x5f3320;_0x16ef3c[_0x2d3c77(0x1426)](_0xa3eb7c);}}const _0x40e585=_0x555ae1;;_0x206d50[_0x5537c6(0x15b6)]=['$mdDialog','$q',_0x5537c6(0x9bf),_0x5537c6(0x5af),_0x5537c6(0xb91),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x206d50(_0x40775d,_0x1f44c4,_0x4c64b6,_0x1e998b,_0x2ec731,_0x1c7da0,_0x1e2fa0,_0x311be4){const _0x56f5fc=_0x5537c6,_0x27c1a0=this;_0x27c1a0[_0x56f5fc(0xe76)]=_0x1e2fa0[_0x56f5fc(0x21e8)](),_0x27c1a0[_0x56f5fc(0x1a7c)]=[],_0x27c1a0[_0x56f5fc(0x1386)]=_0x56f5fc(0xc13)+(_0x1e998b['appType']||_0x1e998b[_0x56f5fc(0x22e1)])[_0x56f5fc(0x2335)](),_0x27c1a0[_0x56f5fc(0x11cf)]=angular['copy'](_0x1e998b),_0x27c1a0[_0x56f5fc(0x1b1a)]=_0x311be4,_0x27c1a0[_0x56f5fc(0xf4c)]={};if(_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x28df)])switch(_0x27c1a0['queue']['appType']?_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x299b)][_0x56f5fc(0x1680)]():_0x27c1a0[_0x56f5fc(0x11cf)]['app'][_0x56f5fc(0x1680)]()){case _0x56f5fc(0x197c):break;case _0x56f5fc(0x711):{const _0x335e05=_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x28df)]['split'](',');_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0xee8)]=_0x335e05[0x0],_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x12b4)]=_0x335e05[0x1],_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x173a)]=_0x335e05[_0x56f5fc(0x14cb)](0x2,_0x335e05[_0x56f5fc(0xfd0)])[_0x56f5fc(0x1f66)](',');}break;case _0x56f5fc(0xece):{const _0x31c26d=_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x28df)][_0x56f5fc(0xbe1)](',');_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x2854)]=_0x31c26d[0x0],_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x12bf)]=_0x31c26d[0x1],_0x27c1a0[_0x56f5fc(0x11cf)]['privateKey']=_0x31c26d[0x2],_0x27c1a0['queue']['language']=_0x31c26d[0x3],_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x173a)]=_0x31c26d[_0x56f5fc(0x14cb)](0x4,_0x31c26d['length'])[_0x56f5fc(0x1f66)](',');}break;case _0x56f5fc(0x123a):{const _0x4bb397=_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x28df)]['split'](',');_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x413)]=_0x4bb397[0x0],_0x27c1a0['queue']['secretaccesskey']=_0x4bb397[0x1],_0x27c1a0['queue']['lexregion']=_0x4bb397[0x2],_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x1c7f)]=_0x4bb397[0x3],_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x173a)]=_0x4bb397[_0x56f5fc(0x14cb)](0x4,_0x4bb397['length'])[_0x56f5fc(0x1f66)](',');}break;case'autoreply':{const _0x1fcfe8=_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x28df)][_0x56f5fc(0xbe1)](',');_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x18a5)]=isNaN(_0x1fcfe8[0x0])?_0x1fcfe8[0x0]:parseInt(_0x1fcfe8[0x0],0xa),_0x27c1a0[_0x56f5fc(0x11cf)]['text']=_0x1fcfe8[_0x56f5fc(0x14cb)](0x1,_0x1fcfe8[_0x56f5fc(0xfd0)])[_0x56f5fc(0x1f66)](',');}break;case _0x56f5fc(0x155e):_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x19d3)]=_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x28df)];break;case'set':_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x16b6)]=_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x28df)][_0x56f5fc(0xbe1)]('=')[0x0],_0x27c1a0[_0x56f5fc(0x11cf)]['value']=_0x27c1a0['queue'][_0x56f5fc(0x28df)][_0x56f5fc(0xbe1)]('=')[0x1];break;case _0x56f5fc(0x4b4):_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x12a7)]=_0x27c1a0['queue'][_0x56f5fc(0x28df)];break;default:{const _0x84a9b2=_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x28df)][_0x56f5fc(0xbe1)](',');_0x27c1a0[_0x56f5fc(0x11cf)]['queue']=_0x39641b()['isEmpty'](_0x84a9b2[0x0])?_0x84a9b2[0x0]:isNaN(_0x84a9b2[0x0])?_0x84a9b2[0x0]:parseInt(_0x84a9b2[0x0],0xa),_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x1719)]=_0x39641b()[_0x56f5fc(0xce9)](_0x84a9b2[0x1])?_0x84a9b2[0x1]:isNaN(_0x84a9b2[0x1])?_0x84a9b2[0x1]:parseInt(_0x84a9b2[0x1],0xa);}break;}else _0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x11cf)]=0x12c,_0x27c1a0['queue'][_0x56f5fc(0x1719)]=0x12c;_0x27c1a0['queue'][_0x56f5fc(0x66a)]&&_0x27c1a0['queue']['type'][_0x56f5fc(0x1680)]()===_0x56f5fc(0x895)&&_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x299b)][_0x56f5fc(0x1680)]()===_0x56f5fc(0x20ff)&&(_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x586)]=_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x1340)]?_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x1340)][_0x56f5fc(0xbe1)]('$')[0x0]:undefined,_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x1e7c)]=_0x27c1a0['queue'][_0x56f5fc(0x2816)]?_0x56f5fc(0x25f9)+_0x27c1a0[_0x56f5fc(0x11cf)][_0x56f5fc(0x2816)]:undefined);_0x27c1a0[_0x56f5fc(0x19ad)]=_0x242fdc,_0x27c1a0['closeDialog']=_0x24394e,_0x1e2fa0[_0x56f5fc(0x22b6)](_0x56f5fc(0x1c60))?_0x1c7da0[_0x56f5fc(0x54d)][_0x56f5fc(0xbf7)]({'fields':'id,name,type','sort':_0x56f5fc(0x16b6),'nolimit':_0x56f5fc(0x44d)})['$promise']['then'](function(_0x479d5c){const _0x45d803=_0x56f5fc;_0x27c1a0[_0x45d803(0x971)]=_0x479d5c['rows']||[];})['catch'](function(_0x5c0f06){const _0xe950bc=_0x56f5fc;_0x4c64b6[_0xe950bc(0x218e)]({'title':_0x5c0f06['status']?_0xe950bc(0xeb9)+_0x5c0f06[_0xe950bc(0x291)]+_0xe950bc(0x1657)+_0x5c0f06[_0xe950bc(0xc22)]:'SYSTEM:GET_QUEUES','msg':_0x5c0f06[_0xe950bc(0x25c)]?JSON[_0xe950bc(0x2701)](_0x5c0f06[_0xe950bc(0x25c)]):_0x5c0f06[_0xe950bc(0x147f)]()});}):_0x1c7da0[_0x56f5fc(0x54d)][_0x56f5fc(0xbf7)]({'fields':_0x56f5fc(0x1896),'sort':_0x56f5fc(0x16b6),'nolimit':_0x56f5fc(0x44d)})[_0x56f5fc(0x1d77)][_0x56f5fc(0x1cb0)](function(_0x499ea){const _0x18eb50=_0x56f5fc;_0x27c1a0['queues']=_0x499ea[_0x18eb50(0x2214)]||[];})[_0x56f5fc(0x1cb0)](function(){const _0x45255b=_0x56f5fc;return _0x1c7da0[_0x45255b(0x2199)][_0x45255b(0xbf7)]({'userProfileId':_0x27c1a0[_0x45255b(0xe76)][_0x45255b(0x13c1)],'sectionId':0x385})[_0x45255b(0x1d77)];})[_0x56f5fc(0x1cb0)](function(_0x1263e9){const _0x3cbcc1=_0x56f5fc,_0x4073d1=_0x1263e9&&_0x1263e9[_0x3cbcc1(0x2214)]?_0x1263e9[_0x3cbcc1(0x2214)][0x0]:null;if(!_0x4073d1){const _0x233b69=[];let _0x2a4b0c=null;_0x27c1a0[_0x3cbcc1(0x11cf)]&&(_0x2a4b0c=_0x39641b()[_0x3cbcc1(0x13b4)](_0x27c1a0[_0x3cbcc1(0x971)],{'name':_0x27c1a0[_0x3cbcc1(0x11cf)][_0x3cbcc1(0x11cf)]}));for(let _0x20aa79=0x0;_0x20aa79<_0x27c1a0[_0x3cbcc1(0x971)][_0x3cbcc1(0xfd0)];_0x20aa79++){_0x2a4b0c&&_0x27c1a0[_0x3cbcc1(0x971)][_0x20aa79]['id']===_0x2a4b0c['id']&&(_0x27c1a0['queues'][_0x20aa79][_0x3cbcc1(0x15da)]=![],_0x233b69[_0x3cbcc1(0x2785)](_0x27c1a0[_0x3cbcc1(0x971)][_0x20aa79]));}_0x27c1a0[_0x3cbcc1(0x971)]=_0x233b69;}else{if(!_0x4073d1[_0x3cbcc1(0x12f4)])return _0x1c7da0['userProfileResource'][_0x3cbcc1(0xbf7)]({'sectionId':_0x4073d1['id']})[_0x3cbcc1(0x1d77)]['then'](function(_0x59f401){const _0x356571=_0x3cbcc1,_0x241ee=_0x39641b()['map'](_0x59f401[_0x356571(0x2214)],function(_0x1d0e79){const _0x4e03c5=_0x356571;return _0x39641b()[_0x4e03c5(0x13b4)](_0x27c1a0[_0x4e03c5(0x971)],{'id':_0x1d0e79['resourceId']});});let _0x147710=null;_0x27c1a0[_0x356571(0x11cf)]&&(_0x147710=_0x39641b()[_0x356571(0x13b4)](_0x27c1a0[_0x356571(0x971)],{'name':_0x27c1a0[_0x356571(0x11cf)][_0x356571(0x11cf)]}));if(_0x147710&&!_0x39641b()['some'](_0x241ee,['id',_0x147710['id']])){const _0x313b0b=_0x39641b()['find'](_0x27c1a0[_0x356571(0x971)],{'id':_0x147710['id']});_0x313b0b[_0x356571(0x15da)]=![],_0x241ee[_0x356571(0x2785)](_0x313b0b);}_0x27c1a0[_0x356571(0x971)]=_0x241ee;});}})[_0x56f5fc(0x1c4)](function(_0x2c87be){const _0x3b9c53=_0x56f5fc;_0x4c64b6[_0x3b9c53(0x218e)]({'title':_0x2c87be[_0x3b9c53(0x291)]?_0x3b9c53(0xeb9)+_0x2c87be['status']+_0x3b9c53(0x1657)+_0x2c87be[_0x3b9c53(0xc22)]:_0x3b9c53(0x1af2),'msg':_0x2c87be[_0x3b9c53(0x25c)]?JSON['stringify'](_0x2c87be[_0x3b9c53(0x25c)]):_0x2c87be[_0x3b9c53(0x147f)]()});});function _0x242fdc(){const _0x5da0da=_0x56f5fc;_0x27c1a0[_0x5da0da(0x1a7c)]=[];const _0x587f93=[];_0x27c1a0[_0x5da0da(0x11cf)][_0x5da0da(0x66a)]&&_0x27c1a0[_0x5da0da(0x11cf)][_0x5da0da(0x66a)][_0x5da0da(0x1680)]()==='outbound'&&_0x27c1a0[_0x5da0da(0x11cf)][_0x5da0da(0x299b)]===_0x5da0da(0x25f4)&&(_0x27c1a0[_0x5da0da(0x11cf)]['phone']=_0x2ec731['cutdigits']?(_0x27c1a0['queue'][_0x5da0da(0x586)]||'')+'${EXTEN:'+_0x2ec731['cutdigits']+'}':(_0x27c1a0['queue'][_0x5da0da(0x586)]||'')+_0x5da0da(0xcdd),_0x2ec731[_0x5da0da(0xf8d)]!==_0x5da0da(0x13b1)?_0x27c1a0['queue'][_0x5da0da(0x2224)]['indexOf'](_0x5da0da(0x106a))<0x0&&(_0x27c1a0[_0x5da0da(0x11cf)][_0x5da0da(0x2224)]+=_0x5da0da(0x106a)):_0x27c1a0[_0x5da0da(0x11cf)]['options']=_0x27c1a0[_0x5da0da(0x11cf)][_0x5da0da(0x2224)][_0x5da0da(0x288f)](_0x5da0da(0x106a),''));const _0x1ec531=_0x39641b()[_0x5da0da(0x13b4)](_0x27c1a0[_0x5da0da(0x971)],{'name':_0x27c1a0['queue']['queue']});_0x1ec531&&(_0x27c1a0[_0x5da0da(0x11cf)][_0x39641b()[_0x5da0da(0x432)]('fax')+'QueueId']=_0x1ec531['id']);if(_0x27c1a0['queue'][_0x5da0da(0x299b)]&&_0x27c1a0[_0x5da0da(0x11cf)][_0x5da0da(0x299b)]===_0x5da0da(0x197c)){}else switch((_0x27c1a0[_0x5da0da(0x11cf)][_0x5da0da(0x22e1)]||_0x27c1a0[_0x5da0da(0x11cf)][_0x5da0da(0x299b)])['toLowerCase']()){case _0x5da0da(0x19d1):_0x27c1a0[_0x5da0da(0x11cf)]['appdata']=_0x27c1a0['queue']['name']+'='+_0x27c1a0[_0x5da0da(0x11cf)][_0x5da0da(0x327)];break;case _0x5da0da(0x197c):break;default:_0x587f93[0x0]=_0x27c1a0[_0x5da0da(0x11cf)]['queue'],_0x587f93[0x1]=_0x27c1a0[_0x5da0da(0x11cf)][_0x5da0da(0x1719)],_0x27c1a0['queue'][_0x5da0da(0x28df)]=_0x587f93['join'](',');}_0x24394e(_0x27c1a0[_0x5da0da(0x11cf)]);}function _0x24394e(_0x1261d7){const _0xb2d328=_0x56f5fc;_0x40775d[_0xb2d328(0x1426)](_0x1261d7);}}const _0x4f2f53=_0x206d50;;_0x549ea6[_0x5537c6(0x15b6)]=['$mdDialog','$q',_0x5537c6(0x9bf),_0x5537c6(0x5af),'faxAccount',_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x549ea6(_0x3c772f,_0x1aa3ae,_0x46f655,_0x2ed845,_0xb0ae71,_0x513f3c,_0x3394e0,_0x65b6d6){const _0x51d1b9=_0x5537c6,_0xd10856=this;_0xd10856[_0x51d1b9(0xe76)]=_0x3394e0[_0x51d1b9(0x21e8)](),_0xd10856[_0x51d1b9(0x1a7c)]=[],_0xd10856[_0x51d1b9(0x1386)]=_0x51d1b9(0xc13)+(_0x2ed845[_0x51d1b9(0x299b)]||_0x2ed845[_0x51d1b9(0x22e1)])['toUpperCase'](),_0xd10856[_0x51d1b9(0x7be)]=angular[_0x51d1b9(0x17fe)](_0x2ed845),_0xd10856['crudPermissions']=_0x65b6d6,_0xd10856[_0x51d1b9(0xf4c)]={};if(_0xd10856[_0x51d1b9(0x7be)][_0x51d1b9(0x28df)])switch(_0xd10856['system'][_0x51d1b9(0x299b)]?_0xd10856[_0x51d1b9(0x7be)][_0x51d1b9(0x299b)][_0x51d1b9(0x1680)]():_0xd10856[_0x51d1b9(0x7be)]['app']['toLowerCase']()){case _0x51d1b9(0x197c):break;case _0x51d1b9(0x711):{const _0x9a6153=_0xd10856[_0x51d1b9(0x7be)]['appdata'][_0x51d1b9(0xbe1)](',');_0xd10856[_0x51d1b9(0x7be)][_0x51d1b9(0xee8)]=_0x9a6153[0x0],_0xd10856['system'][_0x51d1b9(0x12b4)]=_0x9a6153[0x1],_0xd10856[_0x51d1b9(0x7be)][_0x51d1b9(0x173a)]=_0x9a6153[_0x51d1b9(0x14cb)](0x2,_0x9a6153[_0x51d1b9(0xfd0)])[_0x51d1b9(0x1f66)](',');}break;case _0x51d1b9(0xece):{const _0x22ce02=_0xd10856[_0x51d1b9(0x7be)][_0x51d1b9(0x28df)][_0x51d1b9(0xbe1)](',');_0xd10856[_0x51d1b9(0x7be)][_0x51d1b9(0x2854)]=_0x22ce02[0x0],_0xd10856['system'][_0x51d1b9(0x12bf)]=_0x22ce02[0x1],_0xd10856['system'][_0x51d1b9(0x29d6)]=_0x22ce02[0x2],_0xd10856[_0x51d1b9(0x7be)][_0x51d1b9(0x12b4)]=_0x22ce02[0x3],_0xd10856['system'][_0x51d1b9(0x173a)]=_0x22ce02['slice'](0x4,_0x22ce02[_0x51d1b9(0xfd0)])[_0x51d1b9(0x1f66)](',');}break;case _0x51d1b9(0x123a):{const _0x179a84=_0xd10856[_0x51d1b9(0x7be)][_0x51d1b9(0x28df)][_0x51d1b9(0xbe1)](',');_0xd10856[_0x51d1b9(0x7be)][_0x51d1b9(0x413)]=_0x179a84[0x0],_0xd10856['system']['secretaccesskey']=_0x179a84[0x1],_0xd10856[_0x51d1b9(0x7be)]['lexregion']=_0x179a84[0x2],_0xd10856[_0x51d1b9(0x7be)][_0x51d1b9(0x1c7f)]=_0x179a84[0x3],_0xd10856['system'][_0x51d1b9(0x173a)]=_0x179a84[_0x51d1b9(0x14cb)](0x4,_0x179a84[_0x51d1b9(0xfd0)])[_0x51d1b9(0x1f66)](',');}break;case _0x51d1b9(0x1f71):{const _0x24f3ed=_0xd10856['system'][_0x51d1b9(0x28df)][_0x51d1b9(0xbe1)](',');_0xd10856[_0x51d1b9(0x7be)][_0x51d1b9(0x18a5)]=isNaN(_0x24f3ed[0x0])?_0x24f3ed[0x0]:parseInt(_0x24f3ed[0x0],0xa),_0xd10856[_0x51d1b9(0x7be)][_0x51d1b9(0x19d3)]=_0x24f3ed[_0x51d1b9(0x14cb)](0x1,_0x24f3ed[_0x51d1b9(0xfd0)])[_0x51d1b9(0x1f66)](',');}break;case _0x51d1b9(0x155e):_0xd10856[_0x51d1b9(0x7be)][_0x51d1b9(0x19d3)]=_0xd10856['system'][_0x51d1b9(0x28df)];break;case _0x51d1b9(0x19d1):_0xd10856['system'][_0x51d1b9(0x16b6)]=_0xd10856[_0x51d1b9(0x7be)][_0x51d1b9(0x28df)][_0x51d1b9(0xbe1)]('=')[0x0],_0xd10856['system'][_0x51d1b9(0x327)]=_0xd10856[_0x51d1b9(0x7be)][_0x51d1b9(0x28df)][_0x51d1b9(0xbe1)]('=')[0x1];break;case _0x51d1b9(0x4b4):_0xd10856['system'][_0x51d1b9(0x12a7)]=_0xd10856[_0x51d1b9(0x7be)][_0x51d1b9(0x28df)];break;default:{const _0x5eb2e0=_0xd10856[_0x51d1b9(0x7be)]['appdata'][_0x51d1b9(0xbe1)](',');_0xd10856[_0x51d1b9(0x7be)][_0x51d1b9(0xd0d)]=_0x39641b()[_0x51d1b9(0xce9)](_0x5eb2e0[0x0])?_0x5eb2e0[0x0]:isNaN(_0x5eb2e0[0x0])?_0x5eb2e0[0x0]:parseInt(_0x5eb2e0[0x0],0xa),_0xd10856['system'][_0x51d1b9(0x1822)]=_0x39641b()[_0x51d1b9(0xce9)](_0x5eb2e0[0x1])?_0x5eb2e0[0x1]:isNaN(_0x5eb2e0[0x1])?_0x5eb2e0[0x1]:parseInt(_0x5eb2e0[0x1],0xa);}}else _0xd10856[_0x51d1b9(0x7be)][_0x51d1b9(0x1822)]='';_0xd10856[_0x51d1b9(0x7be)][_0x51d1b9(0x66a)]&&_0xd10856[_0x51d1b9(0x7be)][_0x51d1b9(0x66a)]['toLowerCase']()===_0x51d1b9(0x895)&&_0xd10856['system']['appType']['toLowerCase']()===_0x51d1b9(0x20ff)&&(_0xd10856[_0x51d1b9(0x7be)][_0x51d1b9(0x586)]=_0xd10856['system'][_0x51d1b9(0x1340)]?_0xd10856[_0x51d1b9(0x7be)][_0x51d1b9(0x1340)][_0x51d1b9(0xbe1)]('$')[0x0]:undefined,_0xd10856[_0x51d1b9(0x7be)]['callerId']=_0xd10856['system'][_0x51d1b9(0x2816)]?_0x51d1b9(0x25f9)+_0xd10856['system']['callerID']:undefined);_0xd10856[_0x51d1b9(0x19ad)]=_0x25d2c5,_0xd10856[_0x51d1b9(0xda0)]=_0x10e58e,_0x3394e0[_0x51d1b9(0x22b6)](_0x51d1b9(0x1c60))?_0x513f3c['variable'][_0x51d1b9(0xbf7)]({'fields':'id,name','sort':_0x51d1b9(0x16b6),'nolimit':'true'})[_0x51d1b9(0x1d77)][_0x51d1b9(0x1cb0)](function(_0x3660b6){const _0x1bbc52=_0x51d1b9;_0xd10856[_0x1bbc52(0x9a9)]=_0x3660b6[_0x1bbc52(0x2214)]||[];})[_0x51d1b9(0x1c4)](function(_0x2388cf){const _0xc0c360=_0x51d1b9;_0x46f655[_0xc0c360(0x218e)]({'title':_0x2388cf[_0xc0c360(0x291)]?_0xc0c360(0xeb9)+_0x2388cf[_0xc0c360(0x291)]+_0xc0c360(0x1657)+_0x2388cf['statusText']:_0xc0c360(0xe04),'msg':_0x2388cf[_0xc0c360(0x25c)]?JSON[_0xc0c360(0x2701)](_0x2388cf[_0xc0c360(0x25c)]):_0x2388cf[_0xc0c360(0x147f)]()});}):_0x513f3c['variable'][_0x51d1b9(0xbf7)]({'fields':_0x51d1b9(0x43c),'sort':_0x51d1b9(0x16b6),'nolimit':_0x51d1b9(0x44d)})['$promise'][_0x51d1b9(0x1cb0)](function(_0x128146){const _0x151582=_0x51d1b9;_0xd10856[_0x151582(0x9a9)]=_0x128146['rows']||[];})[_0x51d1b9(0x1cb0)](function(){const _0x519fa9=_0x51d1b9;return _0x513f3c[_0x519fa9(0x2199)][_0x519fa9(0xbf7)]({'userProfileId':_0xd10856[_0x519fa9(0xe76)]['userProfileId'],'sectionId':0x3f4})['$promise'];})[_0x51d1b9(0x1cb0)](function(_0x2bc28e){const _0x181b83=_0x51d1b9,_0x18c453=_0x2bc28e&&_0x2bc28e[_0x181b83(0x2214)]?_0x2bc28e[_0x181b83(0x2214)][0x0]:null;if(!_0x18c453){const _0x5e9331=[];let _0x19ed41=null;_0xd10856[_0x181b83(0x7be)]&&(_0x19ed41=_0x39641b()[_0x181b83(0x13b4)](_0xd10856[_0x181b83(0x9a9)],{'name':_0xd10856[_0x181b83(0x7be)][_0x181b83(0x1822)]}));for(let _0x2d427b=0x0;_0x2d427b<_0xd10856[_0x181b83(0x9a9)][_0x181b83(0xfd0)];_0x2d427b++){_0x19ed41&&_0xd10856[_0x181b83(0x9a9)][_0x2d427b]['id']===_0x19ed41['id']&&(_0xd10856[_0x181b83(0x9a9)][_0x2d427b][_0x181b83(0x15da)]=![],_0x5e9331[_0x181b83(0x2785)](_0xd10856[_0x181b83(0x9a9)][_0x2d427b]));}_0xd10856['variables']=_0x5e9331;}else{if(!_0x18c453[_0x181b83(0x12f4)])return _0x513f3c[_0x181b83(0x1198)][_0x181b83(0xbf7)]({'sectionId':_0x18c453['id']})[_0x181b83(0x1d77)][_0x181b83(0x1cb0)](function(_0x265af3){const _0x22f326=_0x181b83,_0x359fbe=_0x39641b()[_0x22f326(0x1de2)](_0x265af3[_0x22f326(0x2214)],function(_0x5ce491){const _0x411596=_0x22f326;return _0x39641b()[_0x411596(0x13b4)](_0xd10856[_0x411596(0x9a9)],{'id':_0x5ce491['resourceId']});});let _0xd6b8dc=null;_0xd10856[_0x22f326(0x7be)]&&(_0xd6b8dc=_0x39641b()[_0x22f326(0x13b4)](_0xd10856[_0x22f326(0x9a9)],{'name':_0xd10856[_0x22f326(0x7be)][_0x22f326(0x1822)]}));if(_0xd6b8dc&&!_0x39641b()[_0x22f326(0x727)](_0x359fbe,['id',_0xd6b8dc['id']])){const _0x157f15=_0x39641b()[_0x22f326(0x13b4)](_0xd10856[_0x22f326(0x9a9)],{'id':_0xd6b8dc['id']});_0x157f15[_0x22f326(0x15da)]=![],_0x359fbe[_0x22f326(0x2785)](_0x157f15);}_0xd10856[_0x22f326(0x9a9)]=_0x359fbe;});}})['catch'](function(_0x1731e1){const _0x5d4ea4=_0x51d1b9;_0x46f655[_0x5d4ea4(0x218e)]({'title':_0x1731e1[_0x5d4ea4(0x291)]?'API:'+_0x1731e1[_0x5d4ea4(0x291)]+_0x5d4ea4(0x1657)+_0x1731e1[_0x5d4ea4(0xc22)]:_0x5d4ea4(0xda5),'msg':_0x1731e1['data']?JSON['stringify'](_0x1731e1[_0x5d4ea4(0x25c)]):_0x1731e1[_0x5d4ea4(0x147f)]()});});function _0x25d2c5(){const _0x5e8ef1=_0x51d1b9;_0xd10856[_0x5e8ef1(0x1a7c)]=[];const _0x17dcf9=[];_0xd10856[_0x5e8ef1(0x7be)][_0x5e8ef1(0x66a)]&&_0xd10856[_0x5e8ef1(0x7be)][_0x5e8ef1(0x66a)]['toLowerCase']()==='outbound'&&_0xd10856['system'][_0x5e8ef1(0x299b)]===_0x5e8ef1(0x25f4)&&(_0xd10856[_0x5e8ef1(0x7be)][_0x5e8ef1(0x1340)]=_0xb0ae71[_0x5e8ef1(0x325)]?(_0xd10856['system'][_0x5e8ef1(0x586)]||'')+_0x5e8ef1(0x19e4)+_0xb0ae71[_0x5e8ef1(0x325)]+'}':(_0xd10856[_0x5e8ef1(0x7be)][_0x5e8ef1(0x586)]||'')+_0x5e8ef1(0xcdd),_0xb0ae71['recordingFormat']!=='none'?_0xd10856[_0x5e8ef1(0x7be)]['options'][_0x5e8ef1(0xd8a)](_0x5e8ef1(0x106a))<0x0&&(_0xd10856['system'][_0x5e8ef1(0x2224)]+=_0x5e8ef1(0x106a)):_0xd10856[_0x5e8ef1(0x7be)][_0x5e8ef1(0x2224)]=_0xd10856[_0x5e8ef1(0x7be)]['options'][_0x5e8ef1(0x288f)](_0x5e8ef1(0x106a),''));if(_0xd10856[_0x5e8ef1(0x7be)][_0x5e8ef1(0x299b)]&&_0xd10856[_0x5e8ef1(0x7be)][_0x5e8ef1(0x299b)]===_0x5e8ef1(0x197c)){}else switch((_0xd10856[_0x5e8ef1(0x7be)]['app']||_0xd10856[_0x5e8ef1(0x7be)]['appType'])[_0x5e8ef1(0x1680)]()){case _0x5e8ef1(0x19d1):_0xd10856[_0x5e8ef1(0x7be)][_0x5e8ef1(0x28df)]=_0xd10856['system'][_0x5e8ef1(0x16b6)]+'='+_0xd10856[_0x5e8ef1(0x7be)][_0x5e8ef1(0x327)];break;case _0x5e8ef1(0x197c):break;default:_0x17dcf9[0x0]=_0xd10856['system'][_0x5e8ef1(0xd0d)],_0x17dcf9[0x1]=_0xd10856[_0x5e8ef1(0x7be)][_0x5e8ef1(0x1822)],_0xd10856[_0x5e8ef1(0x7be)][_0x5e8ef1(0x28df)]=_0x17dcf9[_0x5e8ef1(0x1f66)](',');}_0x10e58e(_0xd10856[_0x5e8ef1(0x7be)]);}function _0x10e58e(_0x513ce2){_0x3c772f['hide'](_0x513ce2);}}const _0x2c92c8=_0x549ea6;;_0x363afb[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x5af),_0x5537c6(0xb91),_0x5537c6(0x142b),_0x5537c6(0x1774),'crudPermissions'];function _0x363afb(_0x5d3960,_0x15ae8a,_0xc5f2ce,_0xe2f9c9,_0x1e243d,_0x3c1f31,_0x170290,_0x9f58c7){const _0x1a26e1=_0x5537c6,_0x372a44=this;_0x372a44[_0x1a26e1(0xe76)]=_0x170290[_0x1a26e1(0x21e8)](),_0x372a44[_0x1a26e1(0x1a7c)]=[],_0x372a44['title']='FAX.EDIT_'+(_0xe2f9c9[_0x1a26e1(0x299b)]||_0xe2f9c9['app'])[_0x1a26e1(0x2335)](),_0x372a44['tag']=angular[_0x1a26e1(0x17fe)](_0xe2f9c9),_0x372a44[_0x1a26e1(0x1b1a)]=_0x9f58c7,_0x372a44[_0x1a26e1(0xf4c)]={};if(_0x372a44[_0x1a26e1(0x22b1)]['appdata'])switch(_0x372a44[_0x1a26e1(0x22b1)][_0x1a26e1(0x299b)]?_0x372a44['tag'][_0x1a26e1(0x299b)][_0x1a26e1(0x1680)]():_0x372a44['tag'][_0x1a26e1(0x22e1)]['toLowerCase']()){case'custom':break;case _0x1a26e1(0x711):{const _0x4dc002=_0x372a44[_0x1a26e1(0x22b1)][_0x1a26e1(0x28df)][_0x1a26e1(0xbe1)](',');_0x372a44['tag']['key']=_0x4dc002[0x0],_0x372a44[_0x1a26e1(0x22b1)][_0x1a26e1(0x12b4)]=_0x4dc002[0x1],_0x372a44[_0x1a26e1(0x22b1)]['welcomemessage']=_0x4dc002[_0x1a26e1(0x14cb)](0x2,_0x4dc002[_0x1a26e1(0xfd0)])['join'](',');}break;case _0x1a26e1(0xece):{const _0x550542=_0x372a44['tag'][_0x1a26e1(0x28df)]['split'](',');_0x372a44[_0x1a26e1(0x22b1)][_0x1a26e1(0x2854)]=_0x550542[0x0],_0x372a44[_0x1a26e1(0x22b1)][_0x1a26e1(0x12bf)]=_0x550542[0x1],_0x372a44['tag'][_0x1a26e1(0x29d6)]=_0x550542[0x2],_0x372a44[_0x1a26e1(0x22b1)]['language']=_0x550542[0x3],_0x372a44[_0x1a26e1(0x22b1)][_0x1a26e1(0x173a)]=_0x550542[_0x1a26e1(0x14cb)](0x4,_0x550542[_0x1a26e1(0xfd0)])['join'](',');}break;case _0x1a26e1(0x123a):{const _0x781089=_0x372a44[_0x1a26e1(0x22b1)]['appdata'][_0x1a26e1(0xbe1)](',');_0x372a44['tag'][_0x1a26e1(0x413)]=_0x781089[0x0],_0x372a44[_0x1a26e1(0x22b1)]['secretaccesskey']=_0x781089[0x1],_0x372a44[_0x1a26e1(0x22b1)][_0x1a26e1(0xd50)]=_0x781089[0x2],_0x372a44[_0x1a26e1(0x22b1)]['botname']=_0x781089[0x3],_0x372a44['tag']['welcomemessage']=_0x781089[_0x1a26e1(0x14cb)](0x4,_0x781089[_0x1a26e1(0xfd0)])[_0x1a26e1(0x1f66)](',');}break;case _0x1a26e1(0x1f71):{const _0x366fd4=_0x372a44['tag'][_0x1a26e1(0x28df)]['split'](',');_0x372a44[_0x1a26e1(0x22b1)][_0x1a26e1(0x18a5)]=isNaN(_0x366fd4[0x0])?_0x366fd4[0x0]:parseInt(_0x366fd4[0x0],0xa),_0x372a44[_0x1a26e1(0x22b1)]['text']=_0x366fd4[_0x1a26e1(0x14cb)](0x1,_0x366fd4[_0x1a26e1(0xfd0)])['join'](',');}break;case'message':_0x372a44[_0x1a26e1(0x22b1)][_0x1a26e1(0x19d3)]=_0x372a44[_0x1a26e1(0x22b1)]['appdata'];break;case'set':_0x372a44['tag'][_0x1a26e1(0x16b6)]=_0x372a44[_0x1a26e1(0x22b1)][_0x1a26e1(0x28df)][_0x1a26e1(0xbe1)]('=')[0x0],_0x372a44['tag'][_0x1a26e1(0x327)]=_0x372a44[_0x1a26e1(0x22b1)][_0x1a26e1(0x28df)][_0x1a26e1(0xbe1)]('=')[0x1];break;case _0x1a26e1(0x4b4):_0x372a44['tag'][_0x1a26e1(0x12a7)]=_0x372a44[_0x1a26e1(0x22b1)][_0x1a26e1(0x28df)];break;default:{const _0x4201e2=_0x372a44[_0x1a26e1(0x22b1)][_0x1a26e1(0x28df)][_0x1a26e1(0xbe1)](',');_0x372a44[_0x1a26e1(0x22b1)][_0x1a26e1(0x22b1)]=_0x39641b()[_0x1a26e1(0xce9)](_0x4201e2[0x0])?_0x4201e2[0x0]:isNaN(_0x4201e2[0x0])?_0x4201e2[0x0]:parseInt(_0x4201e2[0x0],0xa);}break;}else{}_0x372a44[_0x1a26e1(0x22b1)]['type']&&_0x372a44[_0x1a26e1(0x22b1)][_0x1a26e1(0x66a)]['toLowerCase']()==='outbound'&&_0x372a44['tag'][_0x1a26e1(0x299b)]['toLowerCase']()===_0x1a26e1(0x20ff)&&(_0x372a44[_0x1a26e1(0x22b1)][_0x1a26e1(0x586)]=_0x372a44[_0x1a26e1(0x22b1)][_0x1a26e1(0x1340)]?_0x372a44[_0x1a26e1(0x22b1)]['phone'][_0x1a26e1(0xbe1)]('$')[0x0]:undefined,_0x372a44['tag'][_0x1a26e1(0x1e7c)]=_0x372a44['tag']['callerID']?_0x1a26e1(0x25f9)+_0x372a44[_0x1a26e1(0x22b1)][_0x1a26e1(0x2816)]:undefined);_0x372a44[_0x1a26e1(0x19ad)]=_0x101330,_0x372a44[_0x1a26e1(0xda0)]=_0x19a866,_0x170290['hasRole'](_0x1a26e1(0x1c60))?_0x3c1f31['tag']['get']({'fields':_0x1a26e1(0x43c),'sort':_0x1a26e1(0x16b6),'nolimit':_0x1a26e1(0x44d)})[_0x1a26e1(0x1d77)]['then'](function(_0x43cf38){const _0x19f2c8=_0x1a26e1;_0x372a44['tags']=_0x43cf38[_0x19f2c8(0x2214)]||[];})[_0x1a26e1(0x1c4)](function(_0xe567e8){const _0x363b70=_0x1a26e1;_0xc5f2ce[_0x363b70(0x218e)]({'title':_0xe567e8[_0x363b70(0x291)]?'API:'+_0xe567e8[_0x363b70(0x291)]+_0x363b70(0x1657)+_0xe567e8[_0x363b70(0xc22)]:_0x363b70(0x12f9),'msg':_0xe567e8[_0x363b70(0x25c)]?JSON['stringify'](_0xe567e8[_0x363b70(0x25c)]):_0xe567e8[_0x363b70(0x147f)]()});}):_0x3c1f31[_0x1a26e1(0x22b1)][_0x1a26e1(0xbf7)]({'fields':_0x1a26e1(0x43c),'sort':_0x1a26e1(0x16b6),'nolimit':_0x1a26e1(0x44d)})[_0x1a26e1(0x1d77)][_0x1a26e1(0x1cb0)](function(_0x4a0e08){const _0x52c411=_0x1a26e1;_0x372a44[_0x52c411(0xfbf)]=_0x4a0e08[_0x52c411(0x2214)]||[];})['then'](function(){const _0x59e1f0=_0x1a26e1;return _0x3c1f31['userProfileSection'][_0x59e1f0(0xbf7)]({'userProfileId':_0x372a44[_0x59e1f0(0xe76)]['userProfileId'],'sectionId':0x3f0})[_0x59e1f0(0x1d77)];})[_0x1a26e1(0x1cb0)](function(_0x392df6){const _0x32f8e7=_0x1a26e1,_0x4a3646=_0x392df6&&_0x392df6[_0x32f8e7(0x2214)]?_0x392df6[_0x32f8e7(0x2214)][0x0]:null;if(!_0x4a3646){const _0x2f587e=[];let _0x1cf437=null;_0x372a44[_0x32f8e7(0x22b1)]&&(_0x1cf437=_0x39641b()[_0x32f8e7(0x13b4)](_0x372a44[_0x32f8e7(0xfbf)],{'name':_0x372a44[_0x32f8e7(0x22b1)]['tag']}));for(let _0x222f92=0x0;_0x222f92<_0x372a44[_0x32f8e7(0xfbf)][_0x32f8e7(0xfd0)];_0x222f92++){_0x1cf437&&_0x372a44['tags'][_0x222f92]['id']===_0x1cf437['id']&&(_0x372a44['tags'][_0x222f92]['canSelect']=![],_0x2f587e[_0x32f8e7(0x2785)](_0x372a44['tags'][_0x222f92]));}_0x372a44[_0x32f8e7(0xfbf)]=_0x2f587e;}else{if(!_0x4a3646[_0x32f8e7(0x12f4)])return _0x3c1f31['userProfileResource'][_0x32f8e7(0xbf7)]({'sectionId':_0x4a3646['id']})[_0x32f8e7(0x1d77)][_0x32f8e7(0x1cb0)](function(_0x5534f4){const _0x331d40=_0x32f8e7,_0x150c3c=_0x39641b()[_0x331d40(0x1de2)](_0x5534f4[_0x331d40(0x2214)],function(_0x3760bb){const _0x37232c=_0x331d40;return _0x39641b()[_0x37232c(0x13b4)](_0x372a44['tags'],{'id':_0x3760bb['resourceId']});});let _0x447d15=null;_0x372a44[_0x331d40(0x22b1)]&&(_0x447d15=_0x39641b()['find'](_0x372a44['tags'],{'name':_0x372a44[_0x331d40(0x22b1)][_0x331d40(0x22b1)]}));if(_0x447d15&&!_0x39641b()[_0x331d40(0x727)](_0x150c3c,['id',_0x447d15['id']])){const _0x5c9160=_0x39641b()[_0x331d40(0x13b4)](_0x372a44['tags'],{'id':_0x447d15['id']});_0x5c9160['canSelect']=![],_0x150c3c[_0x331d40(0x2785)](_0x5c9160);}_0x372a44[_0x331d40(0xfbf)]=_0x150c3c;});}})[_0x1a26e1(0x1c4)](function(_0xa13efd){const _0x4880f8=_0x1a26e1;_0xc5f2ce['error']({'title':_0xa13efd['status']?_0x4880f8(0xeb9)+_0xa13efd['status']+'\x20-\x20'+_0xa13efd[_0x4880f8(0xc22)]:_0x4880f8(0x808),'msg':_0xa13efd[_0x4880f8(0x25c)]?JSON[_0x4880f8(0x2701)](_0xa13efd[_0x4880f8(0x25c)]):_0xa13efd[_0x4880f8(0x147f)]()});});function _0x101330(){const _0x26769a=_0x1a26e1;_0x372a44['errors']=[];const _0x43b91a=[];_0x372a44[_0x26769a(0x22b1)]['type']&&_0x372a44[_0x26769a(0x22b1)]['type'][_0x26769a(0x1680)]()===_0x26769a(0x895)&&_0x372a44['tag'][_0x26769a(0x299b)]===_0x26769a(0x25f4)&&(_0x372a44[_0x26769a(0x22b1)][_0x26769a(0x1340)]=_0x1e243d[_0x26769a(0x325)]?(_0x372a44[_0x26769a(0x22b1)][_0x26769a(0x586)]||'')+_0x26769a(0x19e4)+_0x1e243d[_0x26769a(0x325)]+'}':(_0x372a44[_0x26769a(0x22b1)]['prefix']||'')+'${EXTEN}',_0x1e243d[_0x26769a(0xf8d)]!=='none'?_0x372a44['tag'][_0x26769a(0x2224)]['indexOf']('U(xcally-mixmonitor-context)')<0x0&&(_0x372a44[_0x26769a(0x22b1)][_0x26769a(0x2224)]+=_0x26769a(0x106a)):_0x372a44[_0x26769a(0x22b1)][_0x26769a(0x2224)]=_0x372a44[_0x26769a(0x22b1)]['options']['replace'](_0x26769a(0x106a),''));const _0x31299b=_0x39641b()[_0x26769a(0x13b4)](_0x372a44[_0x26769a(0xfbf)],{'name':_0x372a44[_0x26769a(0x22b1)]['tag']});_0x31299b&&(_0x372a44[_0x26769a(0x22b1)][_0x26769a(0x1754)]=_0x31299b['id']);if(_0x372a44[_0x26769a(0x22b1)]['appType']&&_0x372a44['tag'][_0x26769a(0x299b)]==='custom'){}else switch((_0x372a44['tag'][_0x26769a(0x22e1)]||_0x372a44[_0x26769a(0x22b1)][_0x26769a(0x299b)])['toLowerCase']()){case'set':_0x372a44[_0x26769a(0x22b1)][_0x26769a(0x28df)]=_0x372a44[_0x26769a(0x22b1)][_0x26769a(0x16b6)]+'='+_0x372a44[_0x26769a(0x22b1)][_0x26769a(0x327)];break;case'custom':break;default:_0x43b91a[0x0]=_0x372a44['tag'][_0x26769a(0x22b1)],_0x372a44[_0x26769a(0x22b1)]['appdata']=_0x43b91a[_0x26769a(0x1f66)](',');}_0x19a866(_0x372a44[_0x26769a(0x22b1)]);}function _0x19a866(_0x1d9149){const _0x559206=_0x1a26e1;_0x5d3960[_0x559206(0x1426)](_0x1d9149);}}const _0x4eb22e=_0x363afb;;_0x344eae[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x1f10),_0x5537c6(0x406),'$mdSidenav','$mdDialog','$document',_0x5537c6(0x2168),'$translate',_0x5537c6(0x142b),'toasty','Auth'];function _0x344eae(_0x1f9ec3,_0x363052,_0x50115a,_0x2430bb,_0x4b3bd8,_0x56ea34,_0x276164,_0x5ce1d3,_0x334b5c,_0x5a117a,_0x4e3427){const _0x308739=_0x5537c6,_0x48af73=this;_0x48af73[_0x308739(0xe76)]=_0x4e3427['getCurrentUser'](),_0x48af73[_0x308739(0xdf2)]={'count':0x0,'rows':[]},_0x48af73[_0x308739(0x122a)]=[],_0x48af73[_0x308739(0x1b1a)],_0x48af73[_0x308739(0x2376)]={'first':_0x308739(0x29a0),'second':'2nd','third':_0x308739(0xfbe)},_0x48af73[_0x308739(0xae2)]={'fields':'id,level,name,description,ParentId,createdAt,updatedAt','sort':_0x308739(0x282),'limit':0xa,'page':0x1},_0x48af73[_0x308739(0x1a8e)]=_0x54ad75,_0x48af73['deleteConfirm']=_0x334df9,_0x48af73[_0x308739(0x829)]=_0x5b6a13,_0x48af73[_0x308739(0x640)]=_0x2e99a8,_0x48af73[_0x308739(0x932)]=_0xaae730,_0x48af73['deleteDisposition']=_0x50802c,_0x48af73[_0x308739(0x1ebf)]=_0x4b89bf,_0x48af73[_0x308739(0x1626)]=_0x48a4d0,_0x48af73[_0x308739(0x25ec)]=_0x1b5a67,_0x48af73[_0x308739(0x13ff)]=_0x5cc151;function _0x54ad75(_0x51f63f,_0x460f19){const _0x3593af=_0x308739;_0x48af73[_0x3593af(0xb91)]=_0x51f63f||{},_0x48af73[_0x3593af(0x1b1a)]=typeof _0x460f19!=='undefined'?_0x460f19:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x48af73['query']['FaxAccountId']=_0x48af73[_0x3593af(0xb91)]['id'],_0x48af73[_0x3593af(0xae2)]['id']=_0x48af73[_0x3593af(0xb91)]['id'],_0x2e99a8();}function _0xaae730(_0x5db593,_0x56d209){const _0x4210c2=_0x308739;_0x4b3bd8['show']({'controller':_0x4210c2(0x201d),'controllerAs':'vm','templateUrl':_0x3d7049,'parent':angular[_0x4210c2(0x1853)](_0x56ea34[_0x4210c2(0x1ed9)]),'targetEvent':_0x5db593,'clickOutsideToClose':!![],'locals':{'disposition':_0x56d209,'model':{'id':_0x48af73[_0x4210c2(0xb91)]['id'],'field':_0x4210c2(0x18e9),'route':_0x4210c2(0xb91)},'license':null,'setting':null,'crudPermissions':_0x48af73[_0x4210c2(0x1b1a)]}})['then'](function(_0x57bf95){if(_0x57bf95)_0x2e99a8();});}function _0x334df9(_0x124e2d,_0x39d56d){const _0xf4cf86=_0x308739,_0x123264=_0x39641b()[_0xf4cf86(0x727)](_0x48af73[_0xf4cf86(0xdf2)][_0xf4cf86(0x2214)],[_0xf4cf86(0x55e),_0x124e2d['id']]),_0x39a09e=_0x4b3bd8[_0xf4cf86(0x1551)]()[_0xf4cf86(0x1386)](_0x5ce1d3[_0xf4cf86(0x25cc)](_0xf4cf86(0x1d64)))[_0xf4cf86(0x862)](_0x5ce1d3[_0xf4cf86(0x25cc)](_0xf4cf86(0x250b)+(_0x123264?_0xf4cf86(0x13cb):_0xf4cf86(0x1089)),{'name':_0x124e2d[_0xf4cf86(0x16b6)]}))['ariaLabel'](_0xf4cf86(0x35d))[_0xf4cf86(0x728)](_0x39d56d)['ok']('OK')['cancel'](_0x5ce1d3[_0xf4cf86(0x25cc)](_0xf4cf86(0x2768)));_0x4b3bd8[_0xf4cf86(0xe27)](_0x39a09e)[_0xf4cf86(0x1cb0)](function(){_0x50802c(_0x124e2d);});}function _0x5b6a13(_0x4dc269){const _0x3a4396=_0x308739;_0x48af73[_0x3a4396(0xdf2)]=_0x4dc269||{'count':0x0,'rows':[]};}function _0x2e99a8(){const _0x4ac8dd=_0x308739;_0x48af73[_0x4ac8dd(0xae2)][_0x4ac8dd(0x184b)]=(_0x48af73[_0x4ac8dd(0xae2)][_0x4ac8dd(0x1c7b)]-0x1)*_0x48af73[_0x4ac8dd(0xae2)][_0x4ac8dd(0x236)],_0x48af73[_0x4ac8dd(0x2061)]=_0x334b5c['faxAccount'][_0x4ac8dd(0x640)](_0x48af73['query'],_0x5b6a13)[_0x4ac8dd(0x1d77)];}function _0x50802c(_0x3e4561){const _0x3109b0=_0x308739;_0x334b5c[_0x3109b0(0x1746)]['delete']({'id':_0x3e4561['id']})[_0x3109b0(0x1d77)]['then'](function(){const _0x374107=_0x3109b0;_0x2e99a8(),_0x5a117a[_0x374107(0x829)]({'title':_0x5ce1d3['instant'](_0x374107(0x1f96))});})['catch'](function(_0x403806){const _0x11fd87=_0x3109b0;if(_0x403806[_0x11fd87(0x25c)]&&_0x403806['data'][_0x11fd87(0x1a7c)]&&_0x403806[_0x11fd87(0x25c)]['errors']['length']){_0x48af73[_0x11fd87(0x1a7c)]=_0x403806['data'][_0x11fd87(0x1a7c)]||[{'message':_0x403806[_0x11fd87(0x147f)](),'type':_0x11fd87(0x2618)}];for(let _0x2d3ba1=0x0;_0x2d3ba1<_0x403806[_0x11fd87(0x25c)]['errors']['length'];_0x2d3ba1++){_0x5a117a['error']({'title':_0x403806['data'][_0x11fd87(0x1a7c)][_0x2d3ba1]['type'],'msg':_0x403806[_0x11fd87(0x25c)][_0x11fd87(0x1a7c)][_0x2d3ba1][_0x11fd87(0x155e)]});}}else _0x5a117a[_0x11fd87(0x218e)]({'title':_0x403806[_0x11fd87(0x291)]?_0x11fd87(0xeb9)+_0x403806[_0x11fd87(0x291)]+'\x20-\x20'+_0x403806[_0x11fd87(0xc22)]:'SYSTEM:DELETEdisposition','msg':_0x403806[_0x11fd87(0x25c)]?JSON[_0x11fd87(0x2701)](_0x403806['data'][_0x11fd87(0x155e)]):_0x403806[_0x11fd87(0x155e)]||_0x403806[_0x11fd87(0x147f)]()});});}function _0x4b89bf(){const _0x391d85=_0x308739,_0x43d45b=angular[_0x391d85(0x17fe)](_0x48af73[_0x391d85(0x122a)]);return _0x48af73[_0x391d85(0x122a)]=[],_0x43d45b;}function _0x48a4d0(_0x2916d2){const _0x1b12fd=_0x308739,_0x31bc49=_0x4b3bd8[_0x1b12fd(0x1551)]()['title'](_0x5ce1d3[_0x1b12fd(0x25cc)](_0x1b12fd(0x969)))[_0x1b12fd(0x862)](_0x5ce1d3[_0x1b12fd(0x25cc)](_0x1b12fd(0xb3c),{'total':_0x48af73['selectedDispositions'][_0x1b12fd(0xfd0)]}))[_0x1b12fd(0x15ad)]('Delete\x20dispositions')[_0x1b12fd(0x728)](_0x2916d2)['ok']('OK')['cancel'](_0x5ce1d3[_0x1b12fd(0x25cc)](_0x1b12fd(0x2768)));_0x4b3bd8[_0x1b12fd(0xe27)](_0x31bc49)[_0x1b12fd(0x1cb0)](function(){const _0xf16bf4=_0x1b12fd;_0x48af73[_0xf16bf4(0x122a)][_0xf16bf4(0xf90)](function(_0x439384){_0x50802c(_0x439384);}),_0x48af73['selectedDispositions']=[];});}function _0x1b5a67(){const _0x4cc4f2=_0x308739;_0x48af73[_0x4cc4f2(0x122a)]=[];}function _0x5cc151(){const _0x41878b=_0x308739;_0x48af73[_0x41878b(0x122a)]=_0x48af73[_0x41878b(0xdf2)][_0x41878b(0x2214)];}let _0x3dbf63=!![],_0x432ebd=0x1;_0x1f9ec3[_0x308739(0x614)](_0x308739(0xeb6),function(_0x26e3d6,_0x4cd11a){const _0x428542=_0x308739;_0x3dbf63?_0x276164(function(){_0x3dbf63=![];}):(!_0x4cd11a&&(_0x432ebd=_0x48af73[_0x428542(0xae2)][_0x428542(0x1c7b)]),_0x26e3d6!==_0x4cd11a&&(_0x48af73['query'][_0x428542(0x1c7b)]=0x1),!_0x26e3d6&&(_0x48af73[_0x428542(0xae2)][_0x428542(0x1c7b)]=_0x432ebd),_0x2e99a8());});}const _0x7f1509=_0x344eae;;_0x13a9f8[_0x5537c6(0x15b6)]=[_0x5537c6(0x173),'$scope',_0x5537c6(0x406),'$q',_0x5537c6(0x1ae),_0x5537c6(0x2168),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x1774)];function _0x13a9f8(_0x5b4e03,_0x1ccb6e,_0x2d5fea,_0x1b772,_0x45104c,_0xbc7a45,_0x308616,_0x360ec7,_0x39cd77,_0x1ccfe3,_0x184c0a){const _0x34e16f=_0x5537c6,_0x7657ec=this;_0x7657ec['currentUser']=_0x184c0a['getCurrentUser'](),_0x7657ec[_0x34e16f(0xb91)]={},_0x7657ec[_0x34e16f(0x305)]={'count':0x0,'rows':[]},_0x7657ec['selectedFaxAccountInteractions']=[],_0x7657ec[_0x34e16f(0x1b1a)],_0x7657ec[_0x34e16f(0xae2)]={'read':'null','closed':_0x34e16f(0xd38),'sort':_0x34e16f(0x1c45),'includeAll':_0x34e16f(0x44d),'limit':0xa,'page':0x1},_0x7657ec[_0x34e16f(0x1a8e)]=_0x4fa5cb,_0x7657ec[_0x34e16f(0xb25)]=_0x553db7,_0x7657ec[_0x34e16f(0x21f3)]=_0x1ed864,_0x7657ec[_0x34e16f(0x829)]=_0xd419e2,_0x7657ec[_0x34e16f(0x153a)]=_0x2d6b00,_0x7657ec['spyfaxInteraction']=_0xce8bd0,_0x7657ec['openAdvancedSearch']=_0x2f3742,_0x7657ec[_0x34e16f(0xd75)]=_0x4d8e94,_0x7657ec['deleteFaxAccountInteraction']=_0x2ad18f,_0x7657ec['deleteSelectedFaxAccountInteractions']=_0x47fdd1;function _0x4fa5cb(_0x1cfcf9,_0x36ed11){const _0x1f25aa=_0x34e16f;_0x7657ec[_0x1f25aa(0xb91)]=_0x1cfcf9,_0x7657ec[_0x1f25aa(0x1b1a)]=typeof _0x36ed11!==_0x1f25aa(0x16b5)?_0x36ed11:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x7657ec[_0x1f25aa(0xae2)][_0x1f25aa(0x18e9)]=_0x7657ec[_0x1f25aa(0xb91)]['id'],_0x7657ec[_0x1f25aa(0x16ad)]={'fields':_0x42b88b()},_0x28a32e();}function _0x28a32e(){const _0x54d3bd=_0x34e16f;return _0x1ccfe3[_0x54d3bd(0x22b1)][_0x54d3bd(0xbf7)]()[_0x54d3bd(0x1d77)]['then'](function(_0x41bba9){const _0x71bc18=_0x54d3bd;_0x7657ec[_0x71bc18(0xfbf)]=_0x41bba9||{'count':0x0,'rows':[]};})[_0x54d3bd(0x1cb0)](function(){const _0x2ed5f6=_0x54d3bd;_0x7657ec[_0x2ed5f6(0x789)]=_0x5892c2();});}function _0x5892c2(){const _0x28f0c6=_0x34e16f;return[{'name':_0x28f0c6(0x68d),'key':_0x28f0c6(0x24cb),'type':'date','label':_0x28f0c6(0xf5e)},{'name':_0x28f0c6(0x223c),'key':_0x28f0c6(0xfc1),'type':_0x28f0c6(0x220f),'label':_0x28f0c6(0x9e5),'customOptions':[{'value':0x0,'translate':'DASHBOARDS.UNREAD'},{'value':0x1,'translate':_0x28f0c6(0x27a4)},{'value':null,'translate':_0x28f0c6(0x2706)}]},{'name':_0x28f0c6(0x938),'key':_0x28f0c6(0x22aa),'type':_0x28f0c6(0x220f),'label':_0x28f0c6(0x24b6),'customOptions':[{'value':0x0,'translate':_0x28f0c6(0x1bbd)},{'value':0x1,'translate':'DASHBOARDS.CLOSED'},{'value':null,'translate':_0x28f0c6(0x2706)}]},{'name':_0x28f0c6(0x30e),'key':_0x28f0c6(0x21ab),'type':_0x28f0c6(0x220f),'label':'DASHBOARDS.SELECT_AGENT','customOptions':[{'value':_0x28f0c6(0xd38),'translate':_0x28f0c6(0x68f)},{'value':undefined,'translate':_0x28f0c6(0x2706)}]},{'name':_0x28f0c6(0xf2d),'key':'tag','type':_0x28f0c6(0x1d50),'label':'DASHBOARDS.SELECT_TAG','options':_0x7657ec[_0x28f0c6(0xfbf)][_0x28f0c6(0x2214)],'placeholder':'DASHBOARDS.TAGS'}];}function _0x42b88b(){const _0x51336f=_0x34e16f;return[{'name':'Id','column':'id','type':_0x51336f(0x83d)},{'name':_0x51336f(0x8d3),'column':_0x51336f(0x8d3),'type':_0x51336f(0x19e0),'options':{'searchFields':[_0x51336f(0x1491),_0x51336f(0x1fbb),_0x51336f(0x1e19)],'route':{'model':_0x51336f(0xbe7),'action':_0x51336f(0xbf7),'params':{'fields':_0x51336f(0x9b0),'Contact':_0x51336f(0xed6),'nolimit':!![]}},'extraOperators':[_0x51336f(0x1fb1)],'excludedOperators':[_0x51336f(0x15ce)]}},{'name':'Subject','column':'subject','type':'text','options':{'excludedOperators':['$eq',_0x51336f(0x15ce)]}},{'name':_0x51336f(0x269b),'column':_0x51336f(0x1cd0),'type':_0x51336f(0x19d3),'options':{'excludedOperators':['$eq',_0x51336f(0x15ce)]}},{'name':'Status','column':_0x51336f(0x22aa),'type':_0x51336f(0x220f),'values':[{'id':0x0,'translate':_0x51336f(0x1bbd)},{'id':0x1,'translate':_0x51336f(0x191b)}],'options':{'excludedOperators':['$ne']}},{'name':'Agent','column':'User','type':_0x51336f(0x19e0),'options':{'table':'i','route':{'model':_0x51336f(0xebe),'action':_0x51336f(0xbf7),'params':{'role':_0x51336f(0x1eff),'fields':_0x51336f(0x1d34),'nolimit':!![]}},'searchFields':[_0x51336f(0x1d14),'name'],'extraOperators':[_0x51336f(0x1fb1)],'excludedOperators':[_0x51336f(0x15ce)]}},{'name':_0x51336f(0x190),'column':_0x51336f(0xf2d),'type':'multiselect','options':{'route':{'model':_0x51336f(0x22b1),'action':_0x51336f(0xbf7),'params':{'nolimit':!![]}},'excludedOperators':['$notIn']}},{'name':_0x51336f(0x68d),'column':'createdAt','type':_0x51336f(0x18ec),'options':{'excludedOperators':[_0x51336f(0x15ce)]}},{'name':_0x51336f(0x946),'column':'unreadMessages','type':_0x51336f(0x220f),'values':[{'id':0x1,'translate':'DASHBOARDS.READ'},{'id':0x0,'translate':'DASHBOARDS.UNREAD'}],'options':{'excludedOperators':[_0x51336f(0x15ce)]}}];}function _0x2f3742(){const _0x4806f5=_0x34e16f;_0x308616[_0x4806f5(0xe27)]({'controller':_0x4806f5(0x15f7),'controllerAs':'vm','templateUrl':_0x3530d6,'parent':angular[_0x4806f5(0x1853)](_0x360ec7['body']),'clickOutsideToClose':![],'locals':{'fields':_0x7657ec[_0x4806f5(0x16ad)][_0x4806f5(0x355)],'color':undefined,'storagePath':_0x4806f5(0x16bb)},'fullscreen':!![]})[_0x4806f5(0x1cb0)](function(_0x445359){const _0x423ca3=_0x4806f5;_0x7657ec[_0x423ca3(0xae2)][_0x423ca3(0x24be)]=_0x445359===![]?undefined:_0x445359;if(_0x7657ec['query'][_0x423ca3(0x24be)])_0x2d6b00();else _0x445359===![]&&_0x2d6b00();})[_0x4806f5(0x1c4)](function(_0x53f471){const _0x401dac=_0x4806f5;_0x39cd77[_0x401dac(0x218e)]({'title':_0x401dac(0x2260),'msg':_0x53f471[_0x401dac(0x25c)]?JSON['stringify'](_0x53f471['data'][_0x401dac(0x155e)]):_0x53f471[_0x401dac(0x147f)]()});});}function _0x1ed864(_0x571e7b,_0x16adb2,_0x52dfa2){const _0x4eee21=_0x34e16f;return _0x1ccfe3[_0x4eee21(0x1480)][_0x4eee21(0x26ec)]({'id':_0x571e7b['id'],'exists':!![],'attachments':_0x52dfa2})[_0x4eee21(0x1d77)][_0x4eee21(0x1cb0)](function(_0x123fcf){const _0x149bc4=_0x4eee21,_0x3df8cd=[_0x123fcf[_0x149bc4(0xef0)]];let _0x2a6534=_0x149bc4(0x10e4)+_0x571e7b['id'];const _0x217de0=new Blob(_0x3df8cd,{'type':_0x123fcf[_0x149bc4(0x66a)]});_0x2a6534=_0x149bc4(0x1b5b)+_0x571e7b['id']+'.zip';const _0x14ea58=window[_0x149bc4(0x1db8)]['createElement']('a');_0x14ea58['setAttribute']('href',URL[_0x149bc4(0x2247)](_0x217de0)),_0x14ea58[_0x149bc4(0x23b9)](_0x149bc4(0x26ec),_0x2a6534),document[_0x149bc4(0x1ed9)][_0x149bc4(0x23de)](_0x14ea58),_0x14ea58[_0x149bc4(0x20b8)]();})[_0x4eee21(0x1c4)](function(_0x2129e7){const _0x10e4cb=_0x4eee21;if(_0x2129e7[_0x10e4cb(0x25c)]&&_0x2129e7[_0x10e4cb(0x25c)]['errors']&&_0x2129e7[_0x10e4cb(0x25c)]['errors'][_0x10e4cb(0xfd0)])for(let _0x1907d0=0x0;_0x1907d0<_0x2129e7[_0x10e4cb(0x25c)][_0x10e4cb(0x1a7c)]['length'];_0x1907d0+=0x1){_0x39cd77[_0x10e4cb(0x218e)]({'title':_0x2129e7[_0x10e4cb(0x25c)]['errors'][_0x1907d0]['type'],'msg':_0x2129e7['data']['errors'][_0x1907d0][_0x10e4cb(0x155e)]});}else _0x39cd77[_0x10e4cb(0x218e)]({'title':_0x2129e7['status']?'API:'+_0x2129e7[_0x10e4cb(0x291)]+'\x20-\x20'+_0x2129e7[_0x10e4cb(0xc22)]:'api.faxAccount.save','msg':_0x2129e7['data']?JSON[_0x10e4cb(0x2701)](_0x2129e7[_0x10e4cb(0x25c)]['message']):_0x2129e7['toString']()});});}function _0x553db7(_0xc17d1,_0x13f7bb){const _0x3977fc=_0x34e16f,_0x31d4e6=_0x308616[_0x3977fc(0x1551)]()['title'](_0x3977fc(0x9bc))[_0x3977fc(0x49e)](''+(_0xc17d1['name']||_0xc17d1['id']&&_0x39641b()[_0x3977fc(0x277)]('interaction\x20#')+_0xc17d1['id']||'interaction')+_0x3977fc(0x1200)+_0x3977fc(0x1b6))[_0x3977fc(0x15ad)](_0x3977fc(0x116f))[_0x3977fc(0x728)](_0x13f7bb)['ok']('OK')[_0x3977fc(0x696)](_0x3977fc(0x24ba));_0x308616[_0x3977fc(0xe27)](_0x31d4e6)[_0x3977fc(0x1cb0)](function(){_0x2ad18f(_0xc17d1);},function(){const _0xb13904=_0x3977fc;console[_0xb13904(0x1b4f)](_0xb13904(0x24ba));});}function _0xd419e2(_0x5fde4d){const _0x2777d2=_0x34e16f;_0x7657ec[_0x2777d2(0x305)]=_0x5fde4d||{'count':0x0,'rows':[]};for(let _0xbdd462=0x0;_0xbdd462<_0x7657ec[_0x2777d2(0x305)][_0x2777d2(0x2214)]['length'];_0xbdd462+=0x1){const _0xd889fb=_0x7657ec[_0x2777d2(0x305)]['rows'][_0xbdd462];_0x380b42(_0xd889fb),_0xd889fb[_0x2777d2(0x135d)]['name']=_0x34f924(_0xd889fb);}}function _0x2d6b00(){const _0x3e47ca=_0x34e16f;_0x7657ec['query'][_0x3e47ca(0x184b)]=(_0x7657ec['query'][_0x3e47ca(0x1c7b)]-0x1)*_0x7657ec['query'][_0x3e47ca(0x236)],_0x7657ec[_0x3e47ca(0x2061)]=_0x1ccfe3[_0x3e47ca(0x1480)][_0x3e47ca(0xbf7)](_0x7657ec[_0x3e47ca(0xae2)],_0xd419e2)[_0x3e47ca(0x1d77)];}function _0xce8bd0(_0xc19ece,_0x52e4b0){const _0x2d9487=_0x34e16f;_0x308616[_0x2d9487(0xe27)]({'controller':'AgentGeneralInteractionController','controllerAs':'vm','templateUrl':_0x50da87,'parent':angular[_0x2d9487(0x1853)](_0x360ec7[_0x2d9487(0x1ed9)]),'targetEvent':_0xc19ece,'clickOutsideToClose':!![],'onShowing':function(_0x104dc6){const _0x131366=_0x2d9487;_0x104dc6['vm'][_0x131366(0x1a8e)]({'id':0x1,'channel':_0x131366(0x1944),'interaction':_0x52e4b0,'spy':!![]},_0x1ccb6e[_0x131366(0x17bc)]['vm'][_0x131366(0x9ca)]);}});}function _0x2ad18f(_0x589a72){const _0x45a856=_0x34e16f;_0x1ccfe3[_0x45a856(0x1480)]['delete']({'id':_0x589a72['id']})[_0x45a856(0x1d77)][_0x45a856(0x1cb0)](function(){const _0x43cf2c=_0x45a856;_0x39641b()[_0x43cf2c(0x152a)](_0x7657ec[_0x43cf2c(0x305)]['rows'],{'id':_0x589a72['id']}),_0x7657ec[_0x43cf2c(0x305)][_0x43cf2c(0x184d)]-=0x1,!_0x7657ec['faxAccountInteractions'][_0x43cf2c(0x2214)][_0x43cf2c(0xfd0)]&&_0x2d6b00(),_0x39cd77['success']({'title':'Interaction\x20deleted!','msg':_0x589a72[_0x43cf2c(0x16b6)]?_0x589a72[_0x43cf2c(0x16b6)]+_0x43cf2c(0x3f5):''});})[_0x45a856(0x1c4)](function(_0x1fe735){const _0xf57902=_0x45a856;if(_0x1fe735[_0xf57902(0x25c)]&&_0x1fe735[_0xf57902(0x25c)][_0xf57902(0x1a7c)]&&_0x1fe735[_0xf57902(0x25c)][_0xf57902(0x1a7c)]['length']){_0x7657ec[_0xf57902(0x1a7c)]=_0x1fe735[_0xf57902(0x25c)][_0xf57902(0x1a7c)]||[{'message':_0x1fe735[_0xf57902(0x147f)](),'type':'SYSTEM:GETfaxAccount'}];for(let _0x449dea=0x0;_0x449dea<_0x1fe735[_0xf57902(0x25c)][_0xf57902(0x1a7c)]['length'];_0x449dea++){_0x39cd77[_0xf57902(0x218e)]({'title':_0x1fe735[_0xf57902(0x25c)]['errors'][_0x449dea]['type'],'msg':_0x1fe735['data'][_0xf57902(0x1a7c)][_0x449dea]['message']});}}else _0x39cd77[_0xf57902(0x218e)]({'title':_0x1fe735[_0xf57902(0x291)]?_0xf57902(0xeb9)+_0x1fe735[_0xf57902(0x291)]+_0xf57902(0x1657)+_0x1fe735[_0xf57902(0xc22)]:_0xf57902(0x2633),'msg':_0x1fe735[_0xf57902(0x25c)]?JSON[_0xf57902(0x2701)](_0x1fe735['data'][_0xf57902(0x155e)]):_0x1fe735[_0xf57902(0x155e)]||_0x1fe735['toString']()});});}function _0x4d8e94(){const _0x28861c=_0x34e16f,_0x2cbad7=angular[_0x28861c(0x17fe)](_0x7657ec[_0x28861c(0x224a)]);return _0x7657ec['selectedFaxAccountInteractions']=[],_0x2cbad7;}function _0x47fdd1(_0x4c58a9){const _0x20de12=_0x34e16f,_0x513687=_0x308616[_0x20de12(0x1551)]()[_0x20de12(0x1386)](_0x20de12(0xb2e))[_0x20de12(0x49e)](_0x20de12(0x204d)+_0x7657ec[_0x20de12(0x224a)][_0x20de12(0xfd0)]+_0x20de12(0x1d6c)+_0x20de12(0x1b6))['ariaLabel'](_0x20de12(0x8ca))['targetEvent'](_0x4c58a9)['ok']('OK')[_0x20de12(0x696)](_0x20de12(0x24ba));_0x308616['show'](_0x513687)[_0x20de12(0x1cb0)](function(){const _0x16b40d=_0x20de12;_0x7657ec[_0x16b40d(0x224a)][_0x16b40d(0xf90)](function(_0x21eafd){_0x2ad18f(_0x21eafd);}),_0x7657ec[_0x16b40d(0x224a)]=[];});}function _0x380b42(_0x3a262c){const _0x2c634c=_0x34e16f;_0x3a262c[_0x2c634c(0x8d3)]?(_0x3a262c[_0x2c634c(0x1325)]=(_0x3a262c[_0x2c634c(0x8d3)][_0x2c634c(0x1491)]||'')+'\x20'+(_0x3a262c[_0x2c634c(0x8d3)][_0x2c634c(0x1fbb)]||''),_0x3a262c[_0x2c634c(0x28b6)]=_0x3a262c['Contact'][_0x2c634c(0x1944)]):_0x3a262c[_0x2c634c(0x1325)]=_0x45104c[_0x2c634c(0x25cc)](_0x2c634c(0x2b9));}function _0x34f924(_0x350c93){const _0x302f3e=_0x34e16f;if(_0x350c93[_0x302f3e(0x21ab)])return _0x350c93[_0x302f3e(0x21ab)]===_0x7657ec[_0x302f3e(0xe76)]['id']?_0x45104c['instant'](_0x302f3e(0x1ea6)):_0x350c93[_0x302f3e(0x135d)][_0x302f3e(0x1d14)]+'\x20<'+_0x350c93[_0x302f3e(0x135d)][_0x302f3e(0xdbd)]+'>';return _0x45104c[_0x302f3e(0x25cc)](_0x302f3e(0x68f));}let _0x31f910=!![],_0x2e3d50=0x1;_0x1ccb6e['$watch']('vm_dc.query.filter',function(_0x5b91b5,_0x94451f){const _0x467fb5=_0x34e16f;_0x31f910?_0xbc7a45(function(){_0x31f910=![];}):(!_0x94451f&&(_0x2e3d50=_0x7657ec[_0x467fb5(0xae2)][_0x467fb5(0x1c7b)]),_0x5b91b5!==_0x94451f&&(_0x7657ec[_0x467fb5(0xae2)]['page']=0x1),!_0x5b91b5&&(_0x7657ec[_0x467fb5(0xae2)]['page']=_0x2e3d50),_0x2d6b00());});}const _0x10e9cd=_0x13a9f8;;const _0x16157a=_0x5074a3['p']+'src/js/modules/main/apps/fax/views/faxAccounts/edit/agentadd/agentadd.html/agentadd.html';;_0x9101a9['$inject']=[_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x1ae),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x142b),'toasty',_0x5537c6(0x1774),_0x5537c6(0xb91),_0x5537c6(0x2199)];function _0x9101a9(_0x3634dd,_0x112264,_0xf59de6,_0x3313f2,_0x4f35be,_0x2a2149,_0x29c66b,_0x4ac9c5,_0x5abeab,_0x20ed22,_0x3262b3,_0x288729){const _0x452222=_0x5537c6,_0x5d0ae5=this;_0x5d0ae5[_0x452222(0xe76)]=_0x20ed22[_0x452222(0x21e8)](),_0x5d0ae5['license']=_0x2a2149,_0x5d0ae5[_0x452222(0x9ca)]=_0x29c66b,_0x5d0ae5[_0x452222(0x1b0c)]=_0x5d0ae5[_0x452222(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x5d0ae5[_0x452222(0x2404)]=_0x112264[_0x452222(0x2276)]()+_0x452222(0x138b)+_0x112264['host'](),_0x5d0ae5[_0x452222(0xb91)]=_0x3262b3||_0x3634dd[_0x452222(0x1dfe)][_0x452222(0xb91)]||{},_0x5d0ae5['userProfileSection']=_0x288729&&_0x288729['count']==0x1?_0x288729['rows'][0x0]:null,_0x5d0ae5[_0x452222(0x1b1a)]=_0x20ed22[_0x452222(0x14ea)](_0x5d0ae5['userProfileSection']?_0x5d0ae5[_0x452222(0x2199)][_0x452222(0x1b1a)]:null),_0x5d0ae5['hasModulePermissions']={},_0x5d0ae5[_0x452222(0x8ec)]=_0x3634dd['params'][_0x452222(0x291e)]||0x0,_0x5d0ae5['gotogoto']=_0x463c77,_0x5d0ae5[_0x452222(0x1379)]=_0x4a53d2,_0x5d0ae5[_0x452222(0x494)]=_0x5abeab[_0x452222(0x28c7)],_0x5d0ae5[_0x452222(0x13de)]=_0x2564fa,_0x5d0ae5[_0x452222(0x7f8)]=_0xb32d6e,_0x20ed22[_0x452222(0x22b6)](_0x452222(0x1c60))?_0x4ac9c5[_0x452222(0x1ae0)][_0x452222(0xbf7)]({'fields':_0x452222(0x43c),'sort':_0x452222(0x16b6)})[_0x452222(0x1d77)][_0x452222(0x1cb0)](function(_0x549b39){_0x5d0ae5['trunks']=_0x549b39['rows']||[];})[_0x452222(0x1c4)](function(_0x4dba99){const _0x4561c4=_0x452222;_0x5abeab[_0x4561c4(0x218e)]({'title':_0x4dba99[_0x4561c4(0x291)]?_0x4561c4(0xeb9)+_0x4dba99['status']+_0x4561c4(0x1657)+_0x4dba99[_0x4561c4(0xc22)]:_0x4561c4(0x14fc),'msg':_0x4dba99[_0x4561c4(0x25c)]?JSON[_0x4561c4(0x2701)](_0x4dba99[_0x4561c4(0x25c)]):_0x4dba99['toString']()});}):_0x4ac9c5['trunk']['get']({'fields':'id,name','sort':'name'})[_0x452222(0x1d77)][_0x452222(0x1cb0)](function(_0x232ea3){const _0x1a8ad4=_0x452222;_0x5d0ae5[_0x1a8ad4(0x1363)]=_0x232ea3[_0x1a8ad4(0x2214)]||[];})[_0x452222(0x1cb0)](function(){const _0x4c625b=_0x452222;return _0x4ac9c5[_0x4c625b(0x2199)][_0x4c625b(0xbf7)]({'userProfileId':_0x5d0ae5[_0x4c625b(0xe76)]['userProfileId'],'sectionId':0x3f3})['$promise'];})[_0x452222(0x1cb0)](function(_0x105815){const _0x2d3a36=_0x452222,_0x940306=_0x105815&&_0x105815['rows']?_0x105815[_0x2d3a36(0x2214)][0x0]:null;if(!_0x940306){const _0x324cbb=[];let _0x2e4ec3=null;_0x5d0ae5[_0x2d3a36(0xb91)]&&(_0x2e4ec3=_0x39641b()['find'](_0x5d0ae5[_0x2d3a36(0x1363)],{'id':Number(_0x5d0ae5[_0x2d3a36(0xb91)][_0x2d3a36(0x7ab)])}));for(let _0x3c8dfc=0x0;_0x3c8dfc<_0x5d0ae5['trunks'][_0x2d3a36(0xfd0)];_0x3c8dfc++){_0x2e4ec3&&_0x5d0ae5[_0x2d3a36(0x1363)][_0x3c8dfc]['id']===_0x2e4ec3['id']&&(_0x5d0ae5[_0x2d3a36(0x1363)][_0x3c8dfc][_0x2d3a36(0x15da)]=![],_0x324cbb[_0x2d3a36(0x2785)](_0x5d0ae5[_0x2d3a36(0x1363)][_0x3c8dfc]));}_0x5d0ae5['trunks']=_0x324cbb;}else{if(!_0x940306[_0x2d3a36(0x12f4)])return _0x4ac9c5[_0x2d3a36(0x1198)][_0x2d3a36(0xbf7)]({'sectionId':_0x940306['id']})[_0x2d3a36(0x1d77)][_0x2d3a36(0x1cb0)](function(_0x22e170){const _0xe935aa=_0x2d3a36,_0x237caa=_0x39641b()[_0xe935aa(0x1de2)](_0x22e170[_0xe935aa(0x2214)],function(_0x2787a0){const _0x55547c=_0xe935aa;return _0x39641b()['find'](_0x5d0ae5[_0x55547c(0x1363)],{'id':_0x2787a0[_0x55547c(0x2982)]});});let _0x164fcc=null;_0x5d0ae5[_0xe935aa(0xb91)]&&(_0x164fcc=_0x39641b()[_0xe935aa(0x13b4)](_0x5d0ae5['trunks'],{'id':Number(_0x5d0ae5[_0xe935aa(0xb91)]['TrunkId'])}));if(_0x164fcc&&!_0x39641b()[_0xe935aa(0x727)](_0x237caa,['id',_0x164fcc['id']])){const _0x4b3c32=_0x39641b()['find'](_0x5d0ae5[_0xe935aa(0x1363)],{'id':_0x164fcc['id']});_0x4b3c32[_0xe935aa(0x15da)]=![],_0x237caa[_0xe935aa(0x2785)](_0x4b3c32);}_0x5d0ae5[_0xe935aa(0x1363)]=_0x237caa;});}})[_0x452222(0x1c4)](function(_0x131dce){const _0x340c49=_0x452222;_0x5abeab['error']({'title':_0x131dce[_0x340c49(0x291)]?_0x340c49(0xeb9)+_0x131dce[_0x340c49(0x291)]+_0x340c49(0x1657)+_0x131dce[_0x340c49(0xc22)]:'SYSTEM:GETtrunks','msg':_0x131dce['data']?JSON[_0x340c49(0x2701)](_0x131dce['data']):_0x131dce['toString']()});}),_0x20ed22[_0x452222(0x22b6)](_0x452222(0x1c60))?_0x4ac9c5[_0x452222(0x22f2)][_0x452222(0xbf7)]({'fields':_0x452222(0x43c),'sort':'name'})[_0x452222(0x1d77)][_0x452222(0x1cb0)](function(_0x223239){const _0x5738b5=_0x452222;_0x5d0ae5[_0x5738b5(0x1046)]=_0x223239[_0x5738b5(0x2214)]||[];})[_0x452222(0x1c4)](function(_0xee1620){const _0x5553a4=_0x452222;_0x5abeab['error']({'title':_0xee1620['status']?'API:'+_0xee1620[_0x5553a4(0x291)]+_0x5553a4(0x1657)+_0xee1620[_0x5553a4(0xc22)]:_0x5553a4(0x149e),'msg':_0xee1620[_0x5553a4(0x25c)]?JSON[_0x5553a4(0x2701)](_0xee1620[_0x5553a4(0x25c)]):_0xee1620['toString']()});}):_0x4ac9c5['cmList']['get']({'fields':_0x452222(0x43c),'sort':'name'})['$promise'][_0x452222(0x1cb0)](function(_0x18d5f0){const _0x2a9308=_0x452222;_0x5d0ae5[_0x2a9308(0x1046)]=_0x18d5f0[_0x2a9308(0x2214)]||[];})['then'](function(){const _0x49373c=_0x452222;return _0x4ac9c5[_0x49373c(0x2199)]['get']({'userProfileId':_0x5d0ae5[_0x49373c(0xe76)]['userProfileId'],'sectionId':0x12d})[_0x49373c(0x1d77)];})[_0x452222(0x1cb0)](function(_0x4b47fb){const _0x14099b=_0x452222,_0x538886=_0x4b47fb&&_0x4b47fb[_0x14099b(0x2214)]?_0x4b47fb[_0x14099b(0x2214)][0x0]:null;if(!_0x538886){const _0x5d9297=[];let _0x15cf53=null;_0x5d0ae5[_0x14099b(0xb91)]&&(_0x15cf53=_0x39641b()[_0x14099b(0x13b4)](_0x5d0ae5[_0x14099b(0x1046)],{'id':Number(_0x5d0ae5['faxAccount']['ListId'])}));for(let _0x53265f=0x0;_0x53265f<_0x5d0ae5[_0x14099b(0x1046)][_0x14099b(0xfd0)];_0x53265f++){_0x15cf53&&_0x5d0ae5['lists'][_0x53265f]['id']===_0x15cf53['id']&&(_0x5d0ae5[_0x14099b(0x1046)][_0x53265f][_0x14099b(0x15da)]=![],_0x5d9297[_0x14099b(0x2785)](_0x5d0ae5['lists'][_0x53265f]));}_0x5d0ae5[_0x14099b(0x1046)]=_0x5d9297;}else{if(!_0x538886[_0x14099b(0x12f4)])return _0x4ac9c5[_0x14099b(0x1198)][_0x14099b(0xbf7)]({'sectionId':_0x538886['id']})[_0x14099b(0x1d77)][_0x14099b(0x1cb0)](function(_0x2bc176){const _0x1da809=_0x14099b,_0x1e5be0=_0x39641b()[_0x1da809(0x1de2)](_0x2bc176[_0x1da809(0x2214)],function(_0x4890e3){const _0x2ab905=_0x1da809;return _0x39641b()[_0x2ab905(0x13b4)](_0x5d0ae5[_0x2ab905(0x1046)],{'id':_0x4890e3[_0x2ab905(0x2982)]});});let _0x4ad8d5=null;_0x5d0ae5[_0x1da809(0xb91)]&&(_0x4ad8d5=_0x39641b()[_0x1da809(0x13b4)](_0x5d0ae5['lists'],{'id':Number(_0x5d0ae5[_0x1da809(0xb91)][_0x1da809(0x20a6)])}));if(_0x4ad8d5&&!_0x39641b()[_0x1da809(0x727)](_0x1e5be0,['id',_0x4ad8d5['id']])){const _0x844b28=_0x39641b()[_0x1da809(0x13b4)](_0x5d0ae5[_0x1da809(0x1046)],{'id':_0x4ad8d5['id']});_0x844b28[_0x1da809(0x15da)]=![],_0x1e5be0['push'](_0x844b28);}_0x5d0ae5['lists']=_0x1e5be0;});}})[_0x452222(0x1c4)](function(_0x3be7a2){const _0x5a6a4e=_0x452222;_0x5abeab[_0x5a6a4e(0x218e)]({'title':_0x3be7a2['status']?'API:'+_0x3be7a2['status']+_0x5a6a4e(0x1657)+_0x3be7a2[_0x5a6a4e(0xc22)]:_0x5a6a4e(0x113c),'msg':_0x3be7a2[_0x5a6a4e(0x25c)]?JSON[_0x5a6a4e(0x2701)](_0x3be7a2[_0x5a6a4e(0x25c)]):_0x3be7a2[_0x5a6a4e(0x147f)]()});}),_0x20ed22[_0x452222(0x22b6)](_0x452222(0x1c60))?_0x4ac9c5[_0x452222(0x785)][_0x452222(0xbf7)]({'fields':_0x452222(0x791),'sort':_0x452222(0x16b6),'nolimit':_0x452222(0x44d)})[_0x452222(0x1d77)][_0x452222(0x1cb0)](function(_0x3ebc7c){const _0x33d5ce=_0x452222;_0x5d0ae5[_0x33d5ce(0x8de)]=_0x3ebc7c[_0x33d5ce(0x2214)]||[];})['catch'](function(_0x7e7654){const _0x128e57=_0x452222;_0x5abeab['error']({'title':_0x7e7654['status']?_0x128e57(0xeb9)+_0x7e7654[_0x128e57(0x291)]+'\x20-\x20'+_0x7e7654['statusText']:_0x128e57(0x1589),'msg':_0x7e7654[_0x128e57(0x25c)]?JSON[_0x128e57(0x2701)](_0x7e7654['data']):_0x7e7654[_0x128e57(0x147f)]()});}):_0x4ac9c5[_0x452222(0x785)][_0x452222(0xbf7)]({'fields':'name,id','sort':_0x452222(0x16b6),'nolimit':_0x452222(0x44d)})[_0x452222(0x1d77)]['then'](function(_0x286a87){const _0x586032=_0x452222;_0x5d0ae5[_0x586032(0x8de)]=_0x286a87[_0x586032(0x2214)]||[];})[_0x452222(0x1cb0)](function(){const _0x297275=_0x452222;return _0x4ac9c5[_0x297275(0x2199)][_0x297275(0xbf7)]({'userProfileId':_0x5d0ae5[_0x297275(0xe76)][_0x297275(0x13c1)],'sectionId':0x3ed})[_0x297275(0x1d77)];})[_0x452222(0x1cb0)](function(_0x5c7ccb){const _0x2d6458=_0x452222,_0x43cb8c=_0x5c7ccb&&_0x5c7ccb[_0x2d6458(0x2214)]?_0x5c7ccb['rows'][0x0]:null;if(!_0x43cb8c)_0x5d0ae5[_0x2d6458(0x8de)]=[];else{if(!_0x43cb8c['autoAssociation'])return _0x4ac9c5[_0x2d6458(0x1198)]['get']({'sectionId':_0x43cb8c['id']})[_0x2d6458(0x1d77)][_0x2d6458(0x1cb0)](function(_0x5b2c6f){const _0x29bcaf=_0x2d6458,_0x25a539=_0x39641b()['map'](_0x5b2c6f[_0x29bcaf(0x2214)],function(_0x36b6f8){return _0x39641b()['find'](_0x5d0ae5['pauses'],{'id':_0x36b6f8['resourceId']});});_0x5d0ae5[_0x29bcaf(0x8de)]['forEach'](function(_0x1eca32){const _0x161d8f=_0x29bcaf;!_0x39641b()[_0x161d8f(0x727)](_0x25a539,['id',_0x1eca32['id']])&&(_0x1eca32[_0x161d8f(0x15da)]=![]),_0x25a539['push'](_0x1eca32);}),_0x5d0ae5[_0x29bcaf(0x8de)]=_0x25a539;});}})['catch'](function(_0x114d6b){const _0xd854b2=_0x452222;_0x5abeab[_0xd854b2(0x218e)]({'title':_0x114d6b[_0xd854b2(0x291)]?_0xd854b2(0xeb9)+_0x114d6b[_0xd854b2(0x291)]+'\x20-\x20'+_0x114d6b[_0xd854b2(0xc22)]:_0xd854b2(0x162),'msg':_0x114d6b['data']?JSON['stringify'](_0x114d6b[_0xd854b2(0x25c)]):_0x114d6b[_0xd854b2(0x147f)]()});});function _0x463c77(){const _0x19be09=_0x452222;if(_0x20ed22[_0x19be09(0x22b6)](_0x19be09(0x1c60)))_0x3634dd['go'](_0x19be09(0x1076),{});else return _0x4ac9c5[_0x19be09(0x2199)][_0x19be09(0xbf7)]({'userProfileId':_0x20ed22[_0x19be09(0x21e8)]()[_0x19be09(0x13c1)],'sectionId':0x38e})[_0x19be09(0x1d77)][_0x19be09(0x1cb0)](function(_0x1d72e1){const _0x4acd78=_0x19be09,_0x260b9c=_0x1d72e1&&_0x1d72e1['rows']?_0x1d72e1[_0x4acd78(0x2214)][0x0]:null;_0x260b9c&&_0x260b9c[_0x4acd78(0x281c)]?_0x3634dd['go']('app.fax.realtime.accounts',{}):_0x5abeab[_0x4acd78(0x28c7)]({'title':_0x4f35be[_0x4acd78(0x25cc)](_0x4acd78(0x370)),'msg':_0x4f35be['instant'](_0x4acd78(0x33a))});})['catch'](function(_0x54fa04){const _0x24c76e=_0x19be09;_0x5abeab[_0x24c76e(0x218e)]({'title':_0x54fa04['status']?_0x24c76e(0xeb9)+_0x54fa04['status']+_0x24c76e(0x1657)+_0x54fa04['statusText']:_0x24c76e(0x17ba),'msg':_0x54fa04[_0x24c76e(0x291)]?JSON[_0x24c76e(0x2701)](_0x54fa04[_0x24c76e(0x25c)]):_0x54fa04['toString']()});});}function _0x4a53d2(_0x4b6356,_0x52c67b){const _0xcd144d=_0x452222;_0xf59de6[_0xcd144d(0xe27)]({'controller':_0xcd144d(0x2340),'controllerAs':'vm','templateUrl':_0x16157a,'parent':angular[_0xcd144d(0x1853)](_0x3313f2[_0xcd144d(0x1ed9)]),'targetEvent':_0x52c67b,'clickOutsideToClose':!![],'locals':{'faxAccount':_0x4b6356,'faxAccounts':_0x5d0ae5[_0xcd144d(0x29de)]?_0x5d0ae5[_0xcd144d(0x29de)][_0xcd144d(0x2214)]:[],'crudPermissions':_0x5d0ae5['crudPermissions'],'realtime':![]}});}function _0x2564fa(){const _0x56da0e=_0x452222;_0x3634dd['go'](_0x56da0e(0x2808),{},{'reload':_0x56da0e(0x2808)});}function _0xb32d6e(){const _0x3b0d6e=_0x452222;_0x4ac9c5[_0x3b0d6e(0xb91)][_0x3b0d6e(0xff3)](_0x5d0ae5[_0x3b0d6e(0xb91)])[_0x3b0d6e(0x1d77)][_0x3b0d6e(0x1cb0)](function(){const _0x290694=_0x3b0d6e;_0x5abeab[_0x290694(0x829)]({'title':_0x290694(0xe60),'msg':_0x5d0ae5[_0x290694(0xb91)][_0x290694(0x16b6)]?_0x5d0ae5[_0x290694(0xb91)][_0x290694(0x16b6)]+_0x290694(0x1068):''});})['catch'](function(_0x391815){const _0x2387bf=_0x3b0d6e;_0x5abeab[_0x2387bf(0x218e)]({'title':_0x391815[_0x2387bf(0x291)]?_0x2387bf(0xeb9)+_0x391815[_0x2387bf(0x291)]+_0x2387bf(0x1657)+_0x391815[_0x2387bf(0xc22)]:_0x2387bf(0x2633),'msg':_0x391815[_0x2387bf(0x25c)]?JSON['stringify'](_0x391815[_0x2387bf(0x25c)]):_0x391815[_0x2387bf(0x147f)]()});});}}const _0x4c9a39=_0x9101a9;;const _0x5ed520=_0x5074a3['p']+_0x5537c6(0x873);;_0x30d8b6[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x2168),'$translate',_0x5537c6(0x29de),_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x142b),_0x5537c6(0xde8),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x30d8b6(_0x7e0355,_0x3c09ac,_0x547675,_0x3bd4d5,_0xb7dae6,_0x1871e3,_0x33f2c4,_0x25a832,_0xf599da,_0x4ea931,_0x4444da,_0x4db959,_0x350e7e,_0x43fcb0,_0x100790,_0x564fe5,_0x2f5ecc){const _0x5f4ea6=_0x5537c6,_0x3bab8b=this;_0x3bab8b[_0x5f4ea6(0x8a5)]=_0x564fe5,_0x3bab8b[_0x5f4ea6(0x9ca)]=_0x2f5ecc,_0x3bab8b[_0x5f4ea6(0xe76)]=_0x100790[_0x5f4ea6(0x21e8)](),_0x3bab8b[_0x5f4ea6(0x29de)]=_0xf599da||{'count':0x0,'rows':[]},_0x3bab8b[_0x5f4ea6(0x44a)]=_0x4ea931,_0x3bab8b[_0x5f4ea6(0x2199)]=_0x4444da&&_0x4444da[_0x5f4ea6(0x184d)]==0x1?_0x4444da['rows'][0x0]:null,_0x3bab8b[_0x5f4ea6(0x1b1a)]=_0x100790['parseCrudPermissions'](_0x3bab8b[_0x5f4ea6(0x2199)]?_0x3bab8b['userProfileSection'][_0x5f4ea6(0x1b1a)]:null),_0x3bab8b[_0x5f4ea6(0xc83)]='faxAccounts',_0x3bab8b['listOrder']='',_0x3bab8b[_0x5f4ea6(0x1cdf)]=null,_0x3bab8b['selectedFaxAccounts']=[],_0x3bab8b[_0x5f4ea6(0xae2)]={'fields':'createdAt,updatedAt,id,name,key,headerinfo,TrunkId,localstationid,ListId,waitForTheAssignedAgent,mandatoryDisposition,mandatoryDispositionPauseId,description,ecm,minrate,maxrate,modem,gateway,faxdetect,t38timeout,tech,notificationSound,notificationShake,notificationTemplate,queueTransfer,queueTransferTimeout,agentTransfer,agentTransferTimeout','sort':_0x5f4ea6(0x282),'limit':0xa,'page':0x1},_0x3bab8b[_0x5f4ea6(0x1aa1)]=_0x39641b()[_0x5f4ea6(0x2631)]([{'option':'yes','value':_0x5f4ea6(0x27e4)},{'option':'no','value':'\x27no\x27'}],function(_0x3e9b03){const _0x6a02ae=_0x5f4ea6;return _0x39641b()['replace'](_0x3e9b03[_0x6a02ae(0x327)],new RegExp('\x27','g'),'');}),_0x3bab8b[_0x5f4ea6(0x1735)]=_0x39641b()[_0x5f4ea6(0x2631)]([{'option':_0x5f4ea6(0x10a8),'value':_0x5f4ea6(0x2f0)},{'option':_0x5f4ea6(0x213c),'value':'\x274800\x27'},{'option':_0x5f4ea6(0x1f4d),'value':_0x5f4ea6(0x972)},{'option':'9600','value':'\x279600\x27'},{'option':_0x5f4ea6(0x1cd7),'value':_0x5f4ea6(0x140f)},{'option':_0x5f4ea6(0x2932),'value':'\x2714400\x27'}],function(_0x393c31){const _0x48d8c8=_0x5f4ea6;return _0x39641b()[_0x48d8c8(0x288f)](_0x393c31[_0x48d8c8(0x327)],new RegExp('\x27','g'),'');}),_0x3bab8b['arraymaxrate']=_0x39641b()['keyBy']([{'option':_0x5f4ea6(0x10a8),'value':_0x5f4ea6(0x2f0)},{'option':'4800','value':_0x5f4ea6(0xd3c)},{'option':_0x5f4ea6(0x1f4d),'value':_0x5f4ea6(0x972)},{'option':_0x5f4ea6(0x513),'value':_0x5f4ea6(0x29c1)},{'option':_0x5f4ea6(0x1cd7),'value':_0x5f4ea6(0x140f)},{'option':_0x5f4ea6(0x2932),'value':'\x2714400\x27'}],function(_0x2a156f){const _0x5d2dce=_0x5f4ea6;return _0x39641b()[_0x5d2dce(0x288f)](_0x2a156f[_0x5d2dce(0x327)],new RegExp('\x27','g'),'');}),_0x3bab8b['arraytech']=_0x39641b()[_0x5f4ea6(0x2631)]([{'option':_0x5f4ea6(0x2832),'value':_0x5f4ea6(0x261a)},{'option':_0x5f4ea6(0x9a4),'value':_0x5f4ea6(0x20ab)},{'option':'DADHI','value':'\x27DADHI\x27'},{'option':_0x5f4ea6(0x1e6e),'value':_0x5f4ea6(0x24fa)}],function(_0x1e7841){const _0x27ab8b=_0x5f4ea6;return _0x39641b()[_0x27ab8b(0x288f)](_0x1e7841[_0x27ab8b(0x327)],new RegExp('\x27','g'),'');}),_0x3bab8b[_0x5f4ea6(0x235d)]=_0xb3a41e,_0x3bab8b[_0x5f4ea6(0x1552)]=_0x53d32f,_0x3bab8b['gotorealtimegoto']=_0x40eb9c,_0x3bab8b[_0x5f4ea6(0x1379)]=_0x486e80,_0x3bab8b[_0x5f4ea6(0x27fe)]=_0x1e95f5,_0x3bab8b[_0x5f4ea6(0x829)]=_0x27ac1e,_0x3bab8b[_0x5f4ea6(0x8e2)]=_0x5df79f,_0x3bab8b['createOrEditFaxAccount']=_0x420813,_0x3bab8b['deleteFaxAccount']=_0x3c9e8c,_0x3bab8b[_0x5f4ea6(0x1b95)]=_0x399f46,_0x3bab8b[_0x5f4ea6(0x1b8f)]=_0x3f9e8f,_0x3bab8b[_0x5f4ea6(0x1007)]=_0x11a1eb,_0x3bab8b[_0x5f4ea6(0xe4e)]=_0xf9f7e3,_0x100790['hasRole'](_0x5f4ea6(0x1c60))?_0x4db959['trunk'][_0x5f4ea6(0xbf7)]({'fields':_0x5f4ea6(0x43c),'sort':'name'})[_0x5f4ea6(0x1d77)][_0x5f4ea6(0x1cb0)](function(_0x77e077){const _0x5e04c3=_0x5f4ea6;_0x3bab8b[_0x5e04c3(0x1363)]=_0x77e077['rows']||[];})[_0x5f4ea6(0x1c4)](function(_0x4f13f0){const _0x36f5cf=_0x5f4ea6;_0x43fcb0[_0x36f5cf(0x218e)]({'title':_0x4f13f0[_0x36f5cf(0x291)]?_0x36f5cf(0xeb9)+_0x4f13f0['status']+'\x20-\x20'+_0x4f13f0['statusText']:_0x36f5cf(0x14fc),'msg':_0x4f13f0[_0x36f5cf(0x25c)]?JSON[_0x36f5cf(0x2701)](_0x4f13f0[_0x36f5cf(0x25c)]):_0x4f13f0[_0x36f5cf(0x147f)]()});}):_0x4db959['trunk'][_0x5f4ea6(0xbf7)]({'fields':'id,name','sort':'name'})[_0x5f4ea6(0x1d77)][_0x5f4ea6(0x1cb0)](function(_0x34e613){const _0x3a890f=_0x5f4ea6;_0x3bab8b[_0x3a890f(0x1363)]=_0x34e613['rows']||[];})[_0x5f4ea6(0x1cb0)](function(){const _0x2e15fe=_0x5f4ea6;return _0x4db959[_0x2e15fe(0x2199)]['get']({'userProfileId':_0x3bab8b[_0x2e15fe(0xe76)][_0x2e15fe(0x13c1)],'sectionId':0x3f3})[_0x2e15fe(0x1d77)];})[_0x5f4ea6(0x1cb0)](function(_0x1eb528){const _0x1c9258=_0x5f4ea6,_0x4f388b=_0x1eb528&&_0x1eb528[_0x1c9258(0x2214)]?_0x1eb528[_0x1c9258(0x2214)][0x0]:null;if(!_0x4f388b){const _0x52efeb=[],_0x584dac=[];_0x3bab8b['faxAccounts'][_0x1c9258(0x2214)][_0x1c9258(0xf90)](function(_0x41b2b9){const _0x518a6c=_0x1c9258,_0x5cbe29=_0x39641b()['find'](_0x3bab8b[_0x518a6c(0x1363)],{'id':Number(_0x41b2b9['TrunkId'])});_0x584dac[_0x518a6c(0x2785)](_0x5cbe29);});for(let _0x1b5952=0x0;_0x1b5952<_0x3bab8b[_0x1c9258(0x1363)][_0x1c9258(0xfd0)];_0x1b5952++){const _0x4528af=_0x39641b()['some'](_0x584dac,{'id':_0x3bab8b['trunks'][_0x1b5952]['id']});_0x4528af&&(_0x3bab8b['trunks'][_0x1b5952][_0x1c9258(0x15da)]=![],_0x52efeb['push'](_0x3bab8b[_0x1c9258(0x1363)][_0x1b5952]));}_0x3bab8b[_0x1c9258(0x1363)]=_0x52efeb;}else{if(!_0x4f388b[_0x1c9258(0x12f4)])return _0x4db959[_0x1c9258(0x1198)][_0x1c9258(0xbf7)]({'sectionId':_0x4f388b['id']})[_0x1c9258(0x1d77)]['then'](function(_0x5526a8){const _0x579fab=_0x1c9258,_0x40b146=_0x39641b()[_0x579fab(0x1de2)](_0x5526a8[_0x579fab(0x2214)],function(_0x577817){return _0x39641b()['find'](_0x3bab8b['trunks'],{'id':_0x577817['resourceId']});});let _0x4ad478=null;_0x4ad478=[],_0x3bab8b[_0x579fab(0x29de)][_0x579fab(0x2214)][_0x579fab(0xf90)](function(_0x312391){const _0x30655c=_0x579fab,_0x3ae6f7=_0x39641b()[_0x30655c(0x13b4)](_0x3bab8b[_0x30655c(0x1363)],{'id':Number(_0x312391['TrunkId'])});_0x4ad478['push'](_0x3ae6f7);}),!_0x39641b()[_0x579fab(0xce9)](_0x4ad478)&&_0x4ad478[_0x579fab(0xf90)](function(_0x543941){const _0x16ed3b=_0x579fab;if(!_0x39641b()[_0x16ed3b(0x727)](_0x40b146,['id',_0x543941['id']])){const _0x42bdbc=_0x39641b()[_0x16ed3b(0x13b4)](_0x3bab8b[_0x16ed3b(0x1363)],{'id':_0x543941['id']});_0x42bdbc[_0x16ed3b(0x15da)]=![],_0x40b146[_0x16ed3b(0x2785)](_0x42bdbc);}}),_0x3bab8b['trunks']=_0x40b146;});}})['catch'](function(_0x2bad5c){const _0x326425=_0x5f4ea6;_0x43fcb0[_0x326425(0x218e)]({'title':_0x2bad5c[_0x326425(0x291)]?_0x326425(0xeb9)+_0x2bad5c[_0x326425(0x291)]+_0x326425(0x1657)+_0x2bad5c[_0x326425(0xc22)]:'SYSTEM:GETtrunks','msg':_0x2bad5c['data']?JSON[_0x326425(0x2701)](_0x2bad5c['data']):_0x2bad5c[_0x326425(0x147f)]()});}),_0x100790[_0x5f4ea6(0x22b6)](_0x5f4ea6(0x1c60))?_0x4db959[_0x5f4ea6(0x22f2)]['get']({'fields':_0x5f4ea6(0x43c),'sort':'name'})[_0x5f4ea6(0x1d77)][_0x5f4ea6(0x1cb0)](function(_0x3b14b3){const _0x40edf4=_0x5f4ea6;_0x3bab8b[_0x40edf4(0x1046)]=_0x3b14b3[_0x40edf4(0x2214)]||[];})[_0x5f4ea6(0x1c4)](function(_0xb451eb){const _0x193686=_0x5f4ea6;_0x43fcb0['error']({'title':_0xb451eb[_0x193686(0x291)]?_0x193686(0xeb9)+_0xb451eb[_0x193686(0x291)]+_0x193686(0x1657)+_0xb451eb[_0x193686(0xc22)]:_0x193686(0x149e),'msg':_0xb451eb[_0x193686(0x25c)]?JSON[_0x193686(0x2701)](_0xb451eb[_0x193686(0x25c)]):_0xb451eb[_0x193686(0x147f)]()});}):_0x4db959[_0x5f4ea6(0x22f2)][_0x5f4ea6(0xbf7)]({'fields':'id,name','sort':'name'})[_0x5f4ea6(0x1d77)][_0x5f4ea6(0x1cb0)](function(_0x40a289){const _0x37a585=_0x5f4ea6;_0x3bab8b[_0x37a585(0x1046)]=_0x40a289[_0x37a585(0x2214)]||[];})['then'](function(){const _0xcb17ee=_0x5f4ea6;return _0x4db959[_0xcb17ee(0x2199)][_0xcb17ee(0xbf7)]({'userProfileId':_0x3bab8b['currentUser'][_0xcb17ee(0x13c1)],'sectionId':0x12d})[_0xcb17ee(0x1d77)];})[_0x5f4ea6(0x1cb0)](function(_0x5f1f0d){const _0x965589=_0x5f4ea6,_0x270f2a=_0x5f1f0d&&_0x5f1f0d['rows']?_0x5f1f0d[_0x965589(0x2214)][0x0]:null;if(!_0x270f2a){const _0x14fa9b=[];let _0x18c904=null;_0x3bab8b[_0x965589(0xb91)]&&(_0x18c904=_0x39641b()[_0x965589(0x13b4)](_0x3bab8b[_0x965589(0x1046)],{'id':Number(_0x3bab8b[_0x965589(0xb91)][_0x965589(0x20a6)])}));for(let _0x22fe28=0x0;_0x22fe28<_0x3bab8b['lists']['length'];_0x22fe28++){_0x18c904&&_0x3bab8b['lists'][_0x22fe28]['id']===_0x18c904['id']&&(_0x3bab8b[_0x965589(0x1046)][_0x22fe28][_0x965589(0x15da)]=![],_0x14fa9b[_0x965589(0x2785)](_0x3bab8b[_0x965589(0x1046)][_0x22fe28]));}_0x3bab8b[_0x965589(0x1046)]=_0x14fa9b;}else{if(!_0x270f2a[_0x965589(0x12f4)])return _0x4db959['userProfileResource'][_0x965589(0xbf7)]({'sectionId':_0x270f2a['id']})[_0x965589(0x1d77)][_0x965589(0x1cb0)](function(_0x44c487){const _0x535baa=_0x965589,_0x1a4128=_0x39641b()[_0x535baa(0x1de2)](_0x44c487[_0x535baa(0x2214)],function(_0x11bac2){const _0x3ba77a=_0x535baa;return _0x39641b()['find'](_0x3bab8b[_0x3ba77a(0x1046)],{'id':_0x11bac2[_0x3ba77a(0x2982)]});});let _0x1347fd=null;_0x3bab8b[_0x535baa(0xb91)]&&(_0x1347fd=_0x39641b()['find'](_0x3bab8b['lists'],{'id':Number(_0x3bab8b[_0x535baa(0xb91)]['ListId'])}));if(_0x1347fd&&!_0x39641b()['some'](_0x1a4128,['id',_0x1347fd['id']])){const _0x2c45cc=_0x39641b()['find'](_0x3bab8b['lists'],{'id':_0x1347fd['id']});_0x2c45cc[_0x535baa(0x15da)]=![],_0x1a4128[_0x535baa(0x2785)](_0x2c45cc);}_0x3bab8b[_0x535baa(0x1046)]=_0x1a4128;});}})['catch'](function(_0x3fc753){const _0xa5a7b8=_0x5f4ea6;_0x43fcb0['error']({'title':_0x3fc753[_0xa5a7b8(0x291)]?_0xa5a7b8(0xeb9)+_0x3fc753['status']+_0xa5a7b8(0x1657)+_0x3fc753['statusText']:_0xa5a7b8(0x113c),'msg':_0x3fc753[_0xa5a7b8(0x25c)]?JSON[_0xa5a7b8(0x2701)](_0x3fc753['data']):_0x3fc753['toString']()});});function _0xb3a41e(_0x294d48){const _0x4cce36=_0x5f4ea6;_0x547675['go'](_0x4cce36(0x240b),{'id':_0x294d48['id'],'faxAccount':_0x294d48,'crudPermissions':_0x3bab8b[_0x4cce36(0x1b1a)]});}function _0x53d32f(_0x2b02dc){const _0x2d75dd=_0x5f4ea6;_0x547675['go'](_0x2d75dd(0x240b),{'id':_0x2b02dc['id'],'tab':0x5});}function _0x40eb9c(){const _0x393b60=_0x5f4ea6;if(_0x100790[_0x393b60(0x22b6)](_0x393b60(0x1c60)))_0x547675['go'](_0x393b60(0x1076),{});else return _0x4db959[_0x393b60(0x2199)]['get']({'userProfileId':_0x100790[_0x393b60(0x21e8)]()[_0x393b60(0x13c1)],'sectionId':0x38e})[_0x393b60(0x1d77)][_0x393b60(0x1cb0)](function(_0x4be7a4){const _0x4630f5=_0x393b60,_0x3fcb04=_0x4be7a4&&_0x4be7a4[_0x4630f5(0x2214)]?_0x4be7a4[_0x4630f5(0x2214)][0x0]:null;_0x3fcb04&&_0x3fcb04[_0x4630f5(0x281c)]?_0x547675['go']('app.fax.realtime.accounts',{}):_0x43fcb0[_0x4630f5(0x28c7)]({'title':_0x25a832[_0x4630f5(0x25cc)](_0x4630f5(0x370)),'msg':_0x25a832['instant'](_0x4630f5(0x33a))});})[_0x393b60(0x1c4)](function(_0x5e9a4c){const _0x45e13a=_0x393b60;_0x43fcb0[_0x45e13a(0x218e)]({'title':_0x5e9a4c[_0x45e13a(0x291)]?_0x45e13a(0xeb9)+_0x5e9a4c[_0x45e13a(0x291)]+_0x45e13a(0x1657)+_0x5e9a4c[_0x45e13a(0xc22)]:'USERPROFILE:GET_SECTION','msg':_0x5e9a4c['status']?JSON[_0x45e13a(0x2701)](_0x5e9a4c[_0x45e13a(0x25c)]):_0x5e9a4c[_0x45e13a(0x147f)]()});});}function _0x486e80(_0x2ec046,_0x1ee3d8){const _0x1321b6=_0x5f4ea6;_0xb7dae6[_0x1321b6(0xe27)]({'controller':'FaxAccountagentaddController','controllerAs':'vm','templateUrl':_0x16157a,'parent':angular['element'](_0x1871e3['body']),'targetEvent':_0x1ee3d8,'clickOutsideToClose':!![],'locals':{'faxAccount':_0x2ec046,'faxAccounts':_0x3bab8b[_0x1321b6(0x29de)]?_0x3bab8b['faxAccounts'][_0x1321b6(0x2214)]:[],'crudPermissions':_0x3bab8b[_0x1321b6(0x1b1a)],'realtime':![]}});}function _0x1e95f5(_0x468d08,_0x4716b4){const _0x5d7ec5=_0x5f4ea6,_0x5e6fc9=_0xb7dae6[_0x5d7ec5(0x1551)]()['title'](_0x5d7ec5(0x140b)+_0x39641b()[_0x5d7ec5(0xa75)](_0x5d7ec5(0xb91))+'?')[_0x5d7ec5(0x49e)](''+(_0x468d08[_0x5d7ec5(0x16b6)]||_0x5d7ec5(0xb91))+''+_0x5d7ec5(0x1b6))[_0x5d7ec5(0x15ad)](_0x5d7ec5(0x1cdb))[_0x5d7ec5(0x728)](_0x4716b4)['ok']('OK')[_0x5d7ec5(0x696)]('CANCEL');_0xb7dae6['show'](_0x5e6fc9)[_0x5d7ec5(0x1cb0)](function(){_0x3c9e8c(_0x468d08);},function(){const _0x21b864=_0x5d7ec5;console[_0x21b864(0x1b4f)](_0x21b864(0x24ba));});}let _0x2b74b5=!![],_0x6a166f=0x1;_0x7e0355['$watch'](_0x5f4ea6(0x957),function(_0x390bbb,_0x79bb8d){const _0x34b747=_0x5f4ea6;_0x2b74b5?_0x33f2c4(function(){_0x2b74b5=![];}):(!_0x79bb8d&&(_0x6a166f=_0x3bab8b[_0x34b747(0xae2)][_0x34b747(0x1c7b)]),_0x390bbb!==_0x79bb8d&&(_0x3bab8b[_0x34b747(0xae2)][_0x34b747(0x1c7b)]=0x1),!_0x390bbb&&(_0x3bab8b['query'][_0x34b747(0x1c7b)]=_0x6a166f),_0x3bab8b[_0x34b747(0x8e2)]());});function _0x27ac1e(_0x5b4b7e){_0x3bab8b['faxAccounts']=_0x5b4b7e||{'count':0x0,'rows':[]};}function _0x5df79f(){const _0x5f3143=_0x5f4ea6;_0x3bab8b[_0x5f3143(0xae2)][_0x5f3143(0x184b)]=(_0x3bab8b[_0x5f3143(0xae2)][_0x5f3143(0x1c7b)]-0x1)*_0x3bab8b[_0x5f3143(0xae2)]['limit'],_0x100790[_0x5f3143(0x22b6)](_0x5f3143(0x1c60))?_0x3bab8b[_0x5f3143(0x2061)]=_0x4db959[_0x5f3143(0xb91)][_0x5f3143(0xbf7)](_0x3bab8b[_0x5f3143(0xae2)],_0x27ac1e)[_0x5f3143(0x1d77)]:(_0x3bab8b[_0x5f3143(0xae2)]['id']=_0x3bab8b[_0x5f3143(0x44a)]['id'],_0x3bab8b[_0x5f3143(0xae2)][_0x5f3143(0x1f74)]=_0x5f3143(0x1ffe),_0x3bab8b[_0x5f3143(0x2061)]=_0x4db959[_0x5f3143(0x44a)][_0x5f3143(0x1810)](_0x3bab8b[_0x5f3143(0xae2)],_0x27ac1e)[_0x5f3143(0x1d77)]);}function _0x420813(_0x595391,_0x19d8fc){const _0x78f984=_0x5f4ea6;_0xb7dae6['show']({'controller':_0x78f984(0x5ee),'controllerAs':'vm','templateUrl':_0x5ed520,'parent':angular[_0x78f984(0x1853)](_0x1871e3['body']),'targetEvent':_0x595391,'clickOutsideToClose':!![],'locals':{'faxAccount':_0x19d8fc,'faxAccounts':_0x3bab8b[_0x78f984(0x29de)][_0x78f984(0x2214)],'license':_0x3bab8b[_0x78f984(0x8a5)],'setting':_0x3bab8b['setting'],'crudPermissions':_0x3bab8b[_0x78f984(0x1b1a)]}});}function _0x3c9e8c(_0x34cc93){const _0x413f6c=_0x5f4ea6;_0x4db959['faxAccount'][_0x413f6c(0x111d)]({'id':_0x34cc93['id']})[_0x413f6c(0x1d77)][_0x413f6c(0x1cb0)](function(){const _0x5dda89=_0x413f6c;_0x39641b()[_0x5dda89(0x152a)](_0x3bab8b['faxAccounts'][_0x5dda89(0x2214)],{'id':_0x34cc93['id']}),_0x3bab8b['faxAccounts']['count']-=0x1,!_0x3bab8b['faxAccounts'][_0x5dda89(0x2214)][_0x5dda89(0xfd0)]&&_0x3bab8b[_0x5dda89(0x8e2)](),_0x43fcb0[_0x5dda89(0x829)]({'title':_0x39641b()[_0x5dda89(0xa75)](_0x5dda89(0x14b7))+_0x5dda89(0x2663),'msg':_0x34cc93[_0x5dda89(0x16b6)]?_0x34cc93[_0x5dda89(0x16b6)]+_0x5dda89(0x3f5):''});})[_0x413f6c(0x1c4)](function(_0x4db333){const _0x4b4712=_0x413f6c;if(_0x4db333['data']&&_0x4db333[_0x4b4712(0x25c)][_0x4b4712(0x1a7c)]&&_0x4db333[_0x4b4712(0x25c)][_0x4b4712(0x1a7c)]['length']){_0x3bab8b[_0x4b4712(0x1a7c)]=_0x4db333[_0x4b4712(0x25c)][_0x4b4712(0x1a7c)]||[{'message':_0x4db333[_0x4b4712(0x147f)](),'type':_0x4b4712(0x1e2a)}];for(let _0x5cacc2=0x0;_0x5cacc2<_0x4db333[_0x4b4712(0x25c)][_0x4b4712(0x1a7c)][_0x4b4712(0xfd0)];_0x5cacc2++){_0x43fcb0[_0x4b4712(0x218e)]({'title':_0x4db333[_0x4b4712(0x25c)][_0x4b4712(0x1a7c)][_0x5cacc2]['type'],'msg':_0x4db333[_0x4b4712(0x25c)][_0x4b4712(0x1a7c)][_0x5cacc2][_0x4b4712(0x155e)]});}}else _0x43fcb0['error']({'title':_0x4db333[_0x4b4712(0x291)]?_0x4b4712(0xeb9)+_0x4db333['status']+_0x4b4712(0x1657)+_0x4db333[_0x4b4712(0xc22)]:_0x4b4712(0x1e2a),'msg':_0x4db333[_0x4b4712(0x25c)]?JSON['stringify'](_0x4db333[_0x4b4712(0x25c)][_0x4b4712(0x155e)]):_0x4db333[_0x4b4712(0x155e)]||_0x4db333[_0x4b4712(0x147f)]()});});}function _0x399f46(){const _0x4df5e3=_0x5f4ea6,_0x80bd59=angular[_0x4df5e3(0x17fe)](_0x3bab8b[_0x4df5e3(0xf91)]);return _0x3bab8b[_0x4df5e3(0xf91)]=[],_0x80bd59;}function _0x3f9e8f(_0x27e305){const _0x19b505=_0x5f4ea6,_0x211741=_0xb7dae6[_0x19b505(0x1551)]()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20faxAccounts?')[_0x19b505(0x49e)](_0x19b505(0x204d)+_0x3bab8b[_0x19b505(0xf91)][_0x19b505(0xfd0)]+_0x19b505(0x1d6c)+_0x19b505(0x1b6))['ariaLabel'](_0x19b505(0x1c09))[_0x19b505(0x728)](_0x27e305)['ok']('OK')['cancel'](_0x19b505(0x24ba));_0xb7dae6[_0x19b505(0xe27)](_0x211741)[_0x19b505(0x1cb0)](function(){const _0x46a2b4=_0x19b505;_0x3bab8b[_0x46a2b4(0xf91)][_0x46a2b4(0xf90)](function(_0x3f8b87){_0x3c9e8c(_0x3f8b87);}),_0x3bab8b['selectedFaxAccounts']=[];});}function _0x11a1eb(){const _0x134d03=_0x5f4ea6;_0x3bab8b[_0x134d03(0xf91)]=[];}function _0xf9f7e3(){const _0x4ea945=_0x5f4ea6;_0x3bab8b['selectedFaxAccounts']=_0x3bab8b[_0x4ea945(0x29de)][_0x4ea945(0x2214)];}}const _0xba7168=_0x30d8b6;;_0x3d7e0e['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),'$mdDialog','$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x754),_0x5537c6(0x54d),'api','Auth',_0x5537c6(0x8a5),'setting','crudPermissions'];function _0x3d7e0e(_0x474c01,_0x35b77d,_0x10fefc,_0x205fa4,_0x50fd38,_0x2795a6,_0x5d26c7,_0x1714bf,_0x188117,_0x2a5973,_0x2eeed4,_0x1b66fd,_0x243182,_0x417b62){const _0x8403e2=_0x5537c6,_0x2e9bf0=this;_0x2e9bf0['currentUser']=_0x2eeed4['getCurrentUser'](),_0x2e9bf0[_0x8403e2(0x1a7c)]=[],_0x2e9bf0['setting']=_0x243182,_0x2e9bf0[_0x8403e2(0x8a5)]=_0x1b66fd,_0x2e9bf0[_0x8403e2(0x1b1a)]=_0x417b62,_0x2e9bf0[_0x8403e2(0xf4c)]={},_0x2e9bf0[_0x8403e2(0x1b0c)]=_0x2e9bf0[_0x8403e2(0x9ca)]&&_0x2e9bf0[_0x8403e2(0x9ca)][_0x8403e2(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x2e9bf0[_0x8403e2(0x1386)]=_0x8403e2(0x72b),_0x2e9bf0[_0x8403e2(0x54d)]=angular[_0x8403e2(0x17fe)](_0x188117),_0x2e9bf0[_0x8403e2(0x754)]=_0x1714bf,_0x2e9bf0['newFaxQueue']=![];!_0x2e9bf0[_0x8403e2(0x54d)]&&(_0x2e9bf0[_0x8403e2(0x54d)]={'strategy':'beepall','timeout':0xa},_0x2e9bf0[_0x8403e2(0x1386)]=_0x8403e2(0x178),_0x2e9bf0[_0x8403e2(0x3ec)]=!![]);_0x2e9bf0[_0x8403e2(0xf28)]=_0x3e333a,_0x2e9bf0[_0x8403e2(0x2044)]=_0x3ead0c,_0x2e9bf0[_0x8403e2(0x8a9)]=_0x39c71c,_0x2e9bf0[_0x8403e2(0x2c4)]=_0x5c877a,_0x2e9bf0[_0x8403e2(0xda0)]=_0x31389a;function _0x3e333a(){const _0x3f3297=_0x8403e2;_0x2e9bf0[_0x3f3297(0x1a7c)]=[],_0x2a5973[_0x3f3297(0x54d)][_0x3f3297(0x1c3f)](_0x2e9bf0[_0x3f3297(0x54d)])[_0x3f3297(0x1d77)]['then'](function(_0x533e73){const _0x129c98=_0x3f3297;_0x2e9bf0[_0x129c98(0x754)]['unshift'](_0x533e73[_0x129c98(0x19b2)]()),_0x5d26c7[_0x129c98(0x829)]({'title':_0x129c98(0xc81),'msg':_0x2e9bf0[_0x129c98(0x54d)][_0x129c98(0x16b6)]?_0x2e9bf0['faxQueue'][_0x129c98(0x16b6)]+_0x129c98(0x470):''}),_0x31389a(_0x533e73);})[_0x3f3297(0x1c4)](function(_0x593b1b){const _0x46143e=_0x3f3297;if(_0x593b1b[_0x46143e(0x25c)]&&_0x593b1b[_0x46143e(0x25c)][_0x46143e(0x1a7c)]&&_0x593b1b[_0x46143e(0x25c)][_0x46143e(0x1a7c)][_0x46143e(0xfd0)]){_0x2e9bf0[_0x46143e(0x1a7c)]=_0x593b1b['data'][_0x46143e(0x1a7c)]||[{'message':_0x593b1b[_0x46143e(0x147f)](),'type':_0x46143e(0x25e6)}];for(let _0x11c1c0=0x0;_0x11c1c0<_0x593b1b[_0x46143e(0x25c)][_0x46143e(0x1a7c)]['length'];_0x11c1c0+=0x1){_0x5d26c7[_0x46143e(0x218e)]({'title':_0x593b1b[_0x46143e(0x25c)][_0x46143e(0x1a7c)][_0x11c1c0][_0x46143e(0x66a)],'msg':_0x593b1b['data']['errors'][_0x11c1c0][_0x46143e(0x155e)]});}}else _0x5d26c7['error']({'title':_0x593b1b[_0x46143e(0x291)]?_0x46143e(0xeb9)+_0x593b1b['status']+_0x46143e(0x1657)+_0x593b1b[_0x46143e(0xc22)]:_0x46143e(0x25e6),'msg':_0x593b1b[_0x46143e(0x25c)]?JSON[_0x46143e(0x2701)](_0x593b1b[_0x46143e(0x25c)][_0x46143e(0x155e)]):_0x593b1b[_0x46143e(0x147f)]()});});}function _0x3ead0c(){const _0xf7dd5d=_0x8403e2;_0x2e9bf0[_0xf7dd5d(0x1a7c)]=[],_0x2a5973['faxQueue'][_0xf7dd5d(0x687)]({'id':_0x2e9bf0[_0xf7dd5d(0x54d)]['id']},_0x2e9bf0[_0xf7dd5d(0x54d)])['$promise']['then'](function(_0x6b508){const _0x5c9f08=_0xf7dd5d,_0x636ffd=_0x39641b()[_0x5c9f08(0x13b4)](_0x2e9bf0[_0x5c9f08(0x754)],{'id':_0x6b508['id']});_0x636ffd&&_0x39641b()[_0x5c9f08(0x9c1)](_0x636ffd,_0x39641b()[_0x5c9f08(0x169b)](_0x6b508[_0x5c9f08(0x19b2)](),_0x39641b()[_0x5c9f08(0x1be5)](_0x636ffd))),_0x5d26c7[_0x5c9f08(0x829)]({'title':'FaxQueue\x20properly\x20saved!','msg':_0x2e9bf0[_0x5c9f08(0x54d)][_0x5c9f08(0x16b6)]?_0x2e9bf0[_0x5c9f08(0x54d)][_0x5c9f08(0x16b6)]+_0x5c9f08(0xedb):''}),_0x31389a(_0x6b508);})[_0xf7dd5d(0x1c4)](function(_0x345022){const _0x2a161e=_0xf7dd5d;if(_0x345022['data']&&_0x345022['data'][_0x2a161e(0x1a7c)]&&_0x345022['data']['errors']['length']){_0x2e9bf0[_0x2a161e(0x1a7c)]=_0x345022[_0x2a161e(0x25c)][_0x2a161e(0x1a7c)]||[{'message':_0x345022[_0x2a161e(0x147f)](),'type':_0x2a161e(0x1915)}];for(let _0x50f0cb=0x0;_0x50f0cb<_0x345022[_0x2a161e(0x25c)][_0x2a161e(0x1a7c)][_0x2a161e(0xfd0)];_0x50f0cb++){_0x5d26c7['error']({'title':_0x345022[_0x2a161e(0x25c)][_0x2a161e(0x1a7c)][_0x50f0cb]['type'],'msg':_0x345022[_0x2a161e(0x25c)][_0x2a161e(0x1a7c)][_0x50f0cb][_0x2a161e(0x155e)]});}}else _0x5d26c7[_0x2a161e(0x218e)]({'title':_0x345022[_0x2a161e(0x291)]?'API:'+_0x345022[_0x2a161e(0x291)]+'\x20-\x20'+_0x345022[_0x2a161e(0xc22)]:'api.faxQueue.update','msg':_0x345022['data']?JSON[_0x2a161e(0x2701)](_0x345022[_0x2a161e(0x25c)][_0x2a161e(0x155e)]):_0x345022[_0x2a161e(0x147f)]()});});}function _0x39c71c(_0x500b42){const _0x27d90e=_0x8403e2;_0x2e9bf0[_0x27d90e(0x1a7c)]=[];const _0xeb17b4=_0x205fa4[_0x27d90e(0x1551)]()['title'](_0x27d90e(0x1a2e))['content']('The\x20faxQueue\x20will\x20be\x20deleted.')[_0x27d90e(0x15ad)](_0x27d90e(0x23d))['ok']('Delete')[_0x27d90e(0x696)](_0x27d90e(0xde1))[_0x27d90e(0x728)](_0x500b42);_0x205fa4[_0x27d90e(0xe27)](_0xeb17b4)[_0x27d90e(0x1cb0)](function(){const _0x38e046=_0x27d90e;_0x2a5973['faxQueue'][_0x38e046(0x111d)]({'id':_0x2e9bf0[_0x38e046(0x54d)]['id']})['$promise'][_0x38e046(0x1cb0)](function(){const _0x2e963e=_0x38e046;_0x39641b()[_0x2e963e(0x152a)](_0x2e9bf0[_0x2e963e(0x754)],{'id':_0x2e9bf0[_0x2e963e(0x54d)]['id']}),_0x5d26c7[_0x2e963e(0x829)]({'title':_0x2e963e(0x1946),'msg':(_0x2e9bf0['faxQueue'][_0x2e963e(0x16b6)]||'faxQueue')+_0x2e963e(0x3f5)}),_0x31389a(_0x2e9bf0[_0x2e963e(0x54d)]);})['catch'](function(_0x5a2b3d){const _0x51d01a=_0x38e046;if(_0x5a2b3d[_0x51d01a(0x25c)]&&_0x5a2b3d[_0x51d01a(0x25c)][_0x51d01a(0x1a7c)]&&_0x5a2b3d[_0x51d01a(0x25c)][_0x51d01a(0x1a7c)][_0x51d01a(0xfd0)]){_0x2e9bf0[_0x51d01a(0x1a7c)]=_0x5a2b3d[_0x51d01a(0x25c)][_0x51d01a(0x1a7c)]||[{'message':_0x5a2b3d['toString'](),'type':'api.faxQueue.delete'}];for(let _0x29585c=0x0;_0x29585c<_0x5a2b3d[_0x51d01a(0x25c)][_0x51d01a(0x1a7c)][_0x51d01a(0xfd0)];_0x29585c++){_0x5d26c7[_0x51d01a(0x218e)]({'title':_0x5a2b3d[_0x51d01a(0x25c)][_0x51d01a(0x1a7c)][_0x29585c]['type'],'msg':_0x5a2b3d[_0x51d01a(0x25c)][_0x51d01a(0x1a7c)][_0x29585c][_0x51d01a(0x155e)]});}}else _0x5d26c7['error']({'title':_0x5a2b3d[_0x51d01a(0x291)]?_0x51d01a(0xeb9)+_0x5a2b3d['status']+'\x20-\x20'+_0x5a2b3d[_0x51d01a(0xc22)]:_0x51d01a(0x5cd),'msg':_0x5a2b3d['data']?JSON[_0x51d01a(0x2701)](_0x5a2b3d[_0x51d01a(0x25c)][_0x51d01a(0x155e)]):_0x5a2b3d[_0x51d01a(0x155e)]||_0x5a2b3d[_0x51d01a(0x147f)]()});});},function(){});}function _0x5c877a(_0x125e98){return _0x125e98===null?undefined:new Date(_0x125e98);}function _0x31389a(_0x160464){const _0x111261=_0x8403e2;_0x205fa4[_0x111261(0x1426)](_0x160464);}}const _0x52f939=_0x3d7e0e;;_0x196bc3[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x54d),'faxQueues',_0x5537c6(0x217b),_0x5537c6(0x1ae),_0x5537c6(0x1774),'crudPermissions'];function _0x196bc3(_0x440648,_0x54f565,_0x6c0df8,_0x23492d,_0x4035ef,_0x3a661e,_0x47488d,_0x148f51,_0x180121,_0x2a6225){const _0x40d06d=_0x5537c6,_0x2dd67b=this;_0x2dd67b['currentUser']=_0x180121[_0x40d06d(0x21e8)](),_0x2dd67b['faxQueue']=_0x4035ef,_0x2dd67b[_0x40d06d(0x1b1a)]=_0x2a6225,_0x2dd67b[_0x40d06d(0x217b)]=_0x47488d,_0x2dd67b[_0x40d06d(0x122f)]=[],_0x2dd67b['allowedItems']=[],_0x2dd67b[_0x40d06d(0x20bb)]=[],_0x2dd67b['startingAllowedItems']=[],_0x2dd67b[_0x40d06d(0x1456)]=[],_0x2dd67b[_0x40d06d(0x50c)]=![],_0x2dd67b[_0x40d06d(0x1a34)]=_0x327fdd,_0x2dd67b['saveAgents']=_0x570cf8,_0x2dd67b[_0x40d06d(0xda0)]=_0x20769b,_0x2dd67b[_0x40d06d(0x18c0)]={'readOnly':!_0x2dd67b[_0x40d06d(0x1b1a)][_0x40d06d(0xb3d)],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x40d06d(0x16b6),'line1':'fullname','line2':[_0x40d06d(0x16b6),_0x40d06d(0xdbd)],'line3':'','labelAll':_0x148f51[_0x40d06d(0x25cc)](_0x40d06d(0x21e7)),'labelSelected':_0x148f51[_0x40d06d(0x25cc)](_0x40d06d(0x1cd5)),'transferCallback':function(){const _0x2efc91=_0x40d06d,_0x33e1f9=_0x39641b()['xorBy'](_0x2dd67b[_0x2efc91(0x1456)],_0x2dd67b[_0x2efc91(0x20bb)],'id');_0x2dd67b[_0x2efc91(0x50c)]=_0x39641b()[_0x2efc91(0xce9)](_0x33e1f9)?![]:!![];}};function _0x327fdd(){const _0x5e16ee=_0x40d06d;return _0x180121['hasRole']('admin')?_0x33421a()[_0x5e16ee(0x1c4)](function(_0x335101){const _0x5a4ea4=_0x5e16ee;_0x6c0df8[_0x5a4ea4(0x218e)]({'title':_0x335101[_0x5a4ea4(0x291)]?_0x5a4ea4(0xeb9)+_0x335101[_0x5a4ea4(0x291)]+_0x5a4ea4(0x1657)+_0x335101[_0x5a4ea4(0xc22)]:'SYSTEM:GET_AGENTS','msg':_0x335101[_0x5a4ea4(0x291)]?JSON[_0x5a4ea4(0x2701)](_0x335101['data']):_0x335101[_0x5a4ea4(0x147f)]()});}):_0x266e2d()[_0x5e16ee(0x1cb0)](function(_0x320020){return _0x2dd67b['section']=_0x320020,_0x33421a();})[_0x5e16ee(0x1c4)](function(_0x543644){const _0x5c20c0=_0x5e16ee;_0x6c0df8[_0x5c20c0(0x218e)]({'title':_0x543644['status']?'API:'+_0x543644[_0x5c20c0(0x291)]+_0x5c20c0(0x1657)+_0x543644[_0x5c20c0(0xc22)]:'SYSTEM:GET_AGENTS','msg':_0x543644[_0x5c20c0(0x291)]?JSON['stringify'](_0x543644['data']):_0x543644[_0x5c20c0(0x147f)]()});});}function _0x266e2d(){return _0x54f565(function(_0x5a80bb,_0x3bb029){const _0x202b95=a0_0x5cbd;_0x23492d[_0x202b95(0x2199)][_0x202b95(0xbf7)]({'userProfileId':_0x2dd67b[_0x202b95(0xe76)][_0x202b95(0x13c1)],'name':_0x202b95(0x2536)})[_0x202b95(0x1d77)][_0x202b95(0x1cb0)](function(_0x38c6c){const _0x356a74=_0x38c6c&&_0x38c6c['rows']?_0x38c6c['rows'][0x0]:null;_0x5a80bb(_0x356a74);})['catch'](function(_0x1c818a){_0x3bb029(_0x1c818a);});});}function _0x33421a(){return _0x54f565(function(_0x5b3cb4,_0x206db4){const _0x2056bd=a0_0x5cbd;return _0x2d8d44()[_0x2056bd(0x1cb0)](function(_0x165f73){const _0x25acfd=_0x2056bd;return _0x2dd67b[_0x25acfd(0x122f)]=_0x165f73[_0x25acfd(0x2214)]?_0x165f73[_0x25acfd(0x2214)]:[],_0x180121[_0x25acfd(0x22b6)](_0x25acfd(0x1c60))?_0x165f73:_0x2dd67b[_0x25acfd(0x1f74)]?_0x2dd67b['section'][_0x25acfd(0x12f4)]?_0x165f73:_0x4a13e0():null;})[_0x2056bd(0x1cb0)](function(_0x6e508b){const _0xe3c5c6=_0x2056bd,_0xf1ba0e=_0x6e508b&&_0x6e508b[_0xe3c5c6(0x2214)]?_0x6e508b['rows']:[];return _0x2dd67b[_0xe3c5c6(0x1372)]=_0x39641b()[_0xe3c5c6(0x1de2)](_0xf1ba0e,function(_0x283ff2){const _0x1dd177=_0xe3c5c6;return _0x39641b()[_0x1dd177(0x13b4)](_0x2dd67b['items'],{'id':_0x180121[_0x1dd177(0x22b6)](_0x1dd177(0x1c60))||_0x2dd67b[_0x1dd177(0x1f74)][_0x1dd177(0x12f4)]?_0x283ff2['id']:_0x283ff2[_0x1dd177(0x2982)]});}),_0x2dd67b['startingAllowedItems']=angular[_0xe3c5c6(0x17fe)](_0x2dd67b[_0xe3c5c6(0x1372)]),_0x2dd67b[_0xe3c5c6(0x122f)][_0xe3c5c6(0xf90)](function(_0xcef9fd){const _0x507ea4=_0xe3c5c6,_0x48dced=_0x39641b()[_0x507ea4(0x13b4)](_0x2dd67b[_0x507ea4(0x1372)],{'id':_0xcef9fd['id']});_0x180121[_0x507ea4(0x22b6)](_0x507ea4(0x1c60))?_0xcef9fd[_0x507ea4(0x1a4f)]=!![]:_0xcef9fd[_0x507ea4(0x1a4f)]=typeof _0x48dced!=='undefined'?!![]:![];}),_0xe38cc();})[_0x2056bd(0x1cb0)](function(_0x3235b3){const _0x111780=_0x2056bd,_0x1a77fb=_0x3235b3&&_0x3235b3[_0x111780(0x2214)]?_0x3235b3['rows']:[];_0x2dd67b['selectedItems']=_0x39641b()['map'](_0x1a77fb,function(_0x20a028){const _0x3bfba3=_0x111780,_0x395d7d=_0x39641b()['find'](_0x2dd67b['items'],{'id':_0x20a028['id']});return _0x395d7d[_0x3bfba3(0x1cbc)]=_0x20a028['UserFaxQueue']?'penalty\x20'+_0x20a028['UserFaxQueue'][_0x3bfba3(0x1cbc)]:'',_0x395d7d[_0x3bfba3(0xdbd)]=typeof _0x20a028['internal']!==_0x3bfba3(0x16b5)?'<'+_0x20a028[_0x3bfba3(0xdbd)]+'>':'',_0x395d7d;}),_0x2dd67b[_0x111780(0x1456)]=angular[_0x111780(0x17fe)](_0x2dd67b[_0x111780(0x20bb)]),_0x2dd67b[_0x111780(0x18c0)]['selectedItems']=_0x2dd67b['selectedItems'],_0x2dd67b[_0x111780(0x18c0)]['items']=_0x39641b()[_0x111780(0x2128)](_0x2dd67b['allowedItems'],_0x2dd67b[_0x111780(0x18c0)]['selectedItems'],'id'),_0x5b3cb4();})['catch'](function(_0x1fa718){_0x206db4(_0x1fa718);});});}function _0x4a13e0(){return _0x54f565(function(_0x4668ad,_0x33b617){const _0x531752=a0_0x5cbd;return _0x23492d[_0x531752(0x1198)][_0x531752(0xbf7)]({'sectionId':_0x2dd67b[_0x531752(0x1f74)]['id'],'nolimit':!![]})[_0x531752(0x1d77)][_0x531752(0x1cb0)](function(_0x2280b4){_0x4668ad(_0x2280b4);})[_0x531752(0x1c4)](function(_0x1810f5){_0x33b617(_0x1810f5);});});}function _0xe38cc(){return _0x54f565(function(_0x1d315e,_0x1e389c){const _0x1b507c=a0_0x5cbd;return _0x23492d[_0x1b507c(0x54d)][_0x1b507c(0x2348)]({'id':_0x2dd67b['faxQueue']['id'],'fields':_0x1b507c(0x251e),'nolimit':!![],'role':_0x1b507c(0x1eff)})['$promise'][_0x1b507c(0x1cb0)](function(_0x4abd7a){_0x1d315e(_0x4abd7a);})['catch'](function(_0x566f04){_0x1e389c(_0x566f04);});});}function _0x2d8d44(){return _0x54f565(function(_0x73ff8a,_0x2c652f){const _0x371b87=a0_0x5cbd;return _0x23492d['user'][_0x371b87(0xbf7)]({'fields':_0x371b87(0x251e),'nolimit':!![],'role':_0x371b87(0x1eff)})[_0x371b87(0x1d77)]['then'](function(_0x55509b){_0x73ff8a(_0x55509b);})[_0x371b87(0x1c4)](function(_0x13235e){_0x2c652f(_0x13235e);});});}function _0x2b2f7c(_0x44ab21){return _0x54f565(function(_0x19448a,_0x485af2){const _0x299f0a=a0_0x5cbd;_0x39641b()[_0x299f0a(0xce9)](_0x44ab21)?_0x19448a():_0x23492d[_0x299f0a(0x54d)][_0x299f0a(0x2057)]({'id':_0x2dd67b['faxQueue']['id'],'ids':_0x39641b()['map'](_0x44ab21,'id')})[_0x299f0a(0x1d77)][_0x299f0a(0x1cb0)](function(){_0x19448a();})['catch'](function(_0xb1a8b2){_0x485af2(_0xb1a8b2);});});}function _0x2fd3cc(_0x47760b){return _0x54f565(function(_0x575f38,_0x4d3c67){const _0x20a837=a0_0x5cbd;_0x39641b()['isEmpty'](_0x47760b)?_0x575f38():_0x23492d['faxQueue']['removeAgents']({'id':_0x2dd67b['faxQueue']['id'],'ids':_0x39641b()[_0x20a837(0x1de2)](_0x47760b,'id')})[_0x20a837(0x1d77)][_0x20a837(0x1cb0)](function(){_0x575f38();})[_0x20a837(0x1c4)](function(_0x95ffcf){_0x4d3c67(_0x95ffcf);});});}function _0x570cf8(){const _0x5534ae=_0x40d06d,_0x40c765=_0x39641b()[_0x5534ae(0x2128)](_0x2dd67b[_0x5534ae(0x1456)],_0x2dd67b[_0x5534ae(0x20bb)],'id'),_0x892a74=_0x39641b()[_0x5534ae(0x2128)](_0x2dd67b[_0x5534ae(0x20bb)],_0x2dd67b[_0x5534ae(0x1456)],'id');return _0x2fd3cc(_0x40c765)['then'](function(){return _0x2b2f7c(_0x892a74);})[_0x5534ae(0x1cb0)](function(){const _0x4a80a6=_0x5534ae;_0x2dd67b[_0x4a80a6(0x50c)]=![],_0x2dd67b[_0x4a80a6(0xbd5)]=angular[_0x4a80a6(0x17fe)](_0x2dd67b[_0x4a80a6(0x1372)]),_0x2dd67b[_0x4a80a6(0x1456)]=angular[_0x4a80a6(0x17fe)](_0x2dd67b[_0x4a80a6(0x20bb)]),_0x6c0df8[_0x4a80a6(0x829)]({'title':_0x4a80a6(0x201),'msg':'Agents\x20association\x20has\x20been\x20updated!'});})['catch'](function(_0x16027f){const _0x33f819=_0x5534ae;_0x6c0df8[_0x33f819(0x218e)]({'title':_0x16027f[_0x33f819(0x291)]?_0x33f819(0xeb9)+_0x16027f['status']+_0x33f819(0x1657)+_0x16027f['statusText']:'SYSTEM:LISTS_ASSOCIATION','msg':_0x16027f[_0x33f819(0x291)]?JSON[_0x33f819(0x2701)](_0x16027f[_0x33f819(0x25c)]):_0x16027f[_0x33f819(0x147f)]()});});}function _0x20769b(){_0x440648['hide']();}}const _0x3f7c3a=_0x196bc3;;_0x83184b[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q','toasty',_0x5537c6(0x142b),'faxQueue',_0x5537c6(0x1ae),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x83184b(_0x3ad9c9,_0x1506fe,_0x4c6e64,_0x146173,_0x1bd37e,_0x13bc15,_0x35cc83,_0x21c308){const _0x69ab56=_0x5537c6,_0x57fa28=this;_0x57fa28[_0x69ab56(0xe76)]=_0x35cc83[_0x69ab56(0x21e8)](),_0x57fa28[_0x69ab56(0x54d)]=_0x1bd37e,_0x57fa28['crudPermissions']=_0x21c308,_0x57fa28[_0x69ab56(0x122f)]=[],_0x57fa28['allowedItems']=[],_0x57fa28['selectedItems']=[],_0x57fa28[_0x69ab56(0xbd5)]=[],_0x57fa28['startingSelectedItems']=[],_0x57fa28[_0x69ab56(0x50c)]=![],_0x57fa28[_0x69ab56(0x18c0)]={'readOnly':!_0x57fa28[_0x69ab56(0x1b1a)][_0x69ab56(0xb3d)],'allowedItems':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x69ab56(0x16b6),'line1':_0x69ab56(0x16b6),'line2':'','line3':'','labelAll':_0x13bc15[_0x69ab56(0x25cc)](_0x69ab56(0x1f3)),'labelSelected':_0x13bc15['instant'](_0x69ab56(0x1b5f)),'transferCallback':function(){const _0x111724=_0x69ab56,_0x4161fd=_0x39641b()[_0x111724(0x1423)](_0x57fa28['startingSelectedItems'],_0x57fa28[_0x111724(0x20bb)],'id');_0x57fa28[_0x111724(0x50c)]=_0x39641b()[_0x111724(0xce9)](_0x4161fd)?![]:!![];}},_0x57fa28['onInit']=_0x429e58,_0x57fa28[_0x69ab56(0x1c56)]=_0x2cf8d7,_0x57fa28['closeDialog']=_0x35253e;function _0x429e58(){const _0x4d219c=_0x69ab56;return _0x35cc83['hasRole'](_0x4d219c(0x1c60))?_0x21c025()[_0x4d219c(0x1c4)](function(_0x3c5514){const _0x1fc232=_0x4d219c;_0x4c6e64[_0x1fc232(0x218e)]({'title':_0x3c5514[_0x1fc232(0x291)]?'API:'+_0x3c5514[_0x1fc232(0x291)]+'\x20-\x20'+_0x3c5514['statusText']:'SYSTEM:GET_TEAMS','msg':_0x3c5514[_0x1fc232(0x291)]?JSON[_0x1fc232(0x2701)](_0x3c5514[_0x1fc232(0x25c)]):_0x3c5514[_0x1fc232(0x147f)]()});}):_0x4e50d0()[_0x4d219c(0x1cb0)](function(_0x5a81ef){return _0x57fa28['section']=_0x5a81ef,_0x21c025();})[_0x4d219c(0x1c4)](function(_0x274cc0){const _0x31794b=_0x4d219c;_0x4c6e64[_0x31794b(0x218e)]({'title':_0x274cc0['status']?_0x31794b(0xeb9)+_0x274cc0[_0x31794b(0x291)]+_0x31794b(0x1657)+_0x274cc0['statusText']:_0x31794b(0x2206),'msg':_0x274cc0[_0x31794b(0x291)]?JSON[_0x31794b(0x2701)](_0x274cc0[_0x31794b(0x25c)]):_0x274cc0[_0x31794b(0x147f)]()});});}function _0x4e50d0(){return _0x1506fe(function(_0x27a5b0,_0x4c1944){const _0x17f08f=a0_0x5cbd;_0x146173[_0x17f08f(0x2199)][_0x17f08f(0xbf7)]({'userProfileId':_0x57fa28['currentUser'][_0x17f08f(0x13c1)],'name':'Teams'})[_0x17f08f(0x1d77)][_0x17f08f(0x1cb0)](function(_0x177654){const _0x5f3ffb=_0x17f08f,_0x285a07=_0x177654&&_0x177654[_0x5f3ffb(0x2214)]?_0x177654[_0x5f3ffb(0x2214)][0x0]:null;_0x27a5b0(_0x285a07);})['catch'](function(_0x1386a5){_0x4c1944(_0x1386a5);});});}function _0x21c025(){return _0x1506fe(function(_0x28d6f8,_0x49ccec){const _0x249313=a0_0x5cbd;return _0x15d72f()[_0x249313(0x1cb0)](function(_0x1066f3){const _0x1cf6e5=_0x249313;return _0x57fa28[_0x1cf6e5(0x122f)]=_0x1066f3['rows']?_0x1066f3['rows']:[],_0x35cc83[_0x1cf6e5(0x22b6)]('admin')?_0x1066f3:_0x57fa28[_0x1cf6e5(0x1f74)]?_0x57fa28[_0x1cf6e5(0x1f74)]['autoAssociation']?_0x1066f3:_0x544b88():null;})[_0x249313(0x1cb0)](function(_0x5582c6){const _0x196048=_0x249313,_0x198cbc=_0x5582c6&&_0x5582c6[_0x196048(0x2214)]?_0x5582c6[_0x196048(0x2214)]:[];return _0x57fa28[_0x196048(0x1372)]=_0x39641b()[_0x196048(0x1de2)](_0x198cbc,function(_0x1df5e1){const _0x54b86c=_0x196048;return _0x39641b()[_0x54b86c(0x13b4)](_0x57fa28[_0x54b86c(0x122f)],{'id':_0x35cc83[_0x54b86c(0x22b6)]('admin')||_0x57fa28[_0x54b86c(0x1f74)][_0x54b86c(0x12f4)]?_0x1df5e1['id']:_0x1df5e1[_0x54b86c(0x2982)]});}),_0x57fa28['items'][_0x196048(0xf90)](function(_0x53d676){const _0x4d1e72=_0x196048,_0x37031a=_0x39641b()[_0x4d1e72(0x13b4)](_0x57fa28[_0x4d1e72(0x1372)],{'id':_0x53d676['id']});_0x35cc83[_0x4d1e72(0x22b6)](_0x4d1e72(0x1c60))?_0x53d676['isValid']=!![]:_0x53d676[_0x4d1e72(0x1a4f)]=typeof _0x37031a!==_0x4d1e72(0x16b5)?!![]:![];}),_0x54af66();})[_0x249313(0x1cb0)](function(_0x351f16){const _0x49cb4a=_0x249313,_0x378b24=_0x351f16&&_0x351f16['rows']?_0x351f16['rows']:[];_0x57fa28[_0x49cb4a(0x20bb)]=_0x39641b()['map'](_0x378b24,function(_0x3ca6b7){const _0x3713dc=_0x49cb4a;return _0x39641b()[_0x3713dc(0x13b4)](_0x57fa28['items'],{'id':_0x3ca6b7['id']});}),_0x57fa28[_0x49cb4a(0x1456)]=angular[_0x49cb4a(0x17fe)](_0x57fa28[_0x49cb4a(0x20bb)]),_0x57fa28['dualMultiselectOptions'][_0x49cb4a(0x20bb)]=_0x57fa28[_0x49cb4a(0x20bb)],_0x57fa28[_0x49cb4a(0x18c0)]['items']=_0x39641b()[_0x49cb4a(0x2128)](_0x57fa28[_0x49cb4a(0x1372)],_0x57fa28[_0x49cb4a(0x18c0)][_0x49cb4a(0x20bb)],'id'),_0x28d6f8();})[_0x249313(0x1c4)](function(_0x2d1994){_0x49ccec(_0x2d1994);});});}function _0x544b88(){return _0x1506fe(function(_0x555127,_0x224d14){const _0x5b6544=a0_0x5cbd;return _0x146173[_0x5b6544(0x1198)][_0x5b6544(0xbf7)]({'sectionId':_0x57fa28['section']['id'],'nolimit':!![]})[_0x5b6544(0x1d77)][_0x5b6544(0x1cb0)](function(_0x1ffb0d){_0x555127(_0x1ffb0d);})[_0x5b6544(0x1c4)](function(_0x5c1a70){_0x224d14(_0x5c1a70);});});}function _0x54af66(){return _0x1506fe(function(_0x2e2b83,_0x282737){const _0x1c1b01=a0_0x5cbd;return _0x146173['faxQueue'][_0x1c1b01(0x1407)]({'id':_0x57fa28['faxQueue']['id'],'fields':_0x1c1b01(0x43c),'nolimit':!![]})['$promise'][_0x1c1b01(0x1cb0)](function(_0x50f975){_0x2e2b83(_0x50f975);})['catch'](function(_0x4df104){_0x282737(_0x4df104);});});}function _0x15d72f(){return _0x1506fe(function(_0x387510,_0x5b356c){const _0x3f501b=a0_0x5cbd;return _0x146173[_0x3f501b(0xdfd)][_0x3f501b(0xbf7)]({'fields':_0x3f501b(0x43c),'nolimit':!![]})['$promise'][_0x3f501b(0x1cb0)](function(_0x20972c){_0x387510(_0x20972c);})[_0x3f501b(0x1c4)](function(_0x44c6cd){_0x5b356c(_0x44c6cd);});});}function _0x56b119(_0x574bba){return _0x1506fe(function(_0x48deed,_0x3de834){const _0x202689=a0_0x5cbd;_0x39641b()['isEmpty'](_0x574bba)?_0x48deed():_0x146173[_0x202689(0x54d)][_0x202689(0x28d9)]({'id':_0x57fa28['faxQueue']['id'],'ids':_0x39641b()[_0x202689(0x1de2)](_0x574bba,'id')})[_0x202689(0x1d77)]['then'](function(){_0x48deed();})[_0x202689(0x1c4)](function(_0x39a960){_0x3de834(_0x39a960);});});}function _0xaf226d(_0x467bbd){return _0x1506fe(function(_0x33ce26,_0x311907){const _0x575922=a0_0x5cbd;_0x39641b()[_0x575922(0xce9)](_0x467bbd)?_0x33ce26():_0x146173[_0x575922(0x54d)]['removeTeams']({'id':_0x57fa28[_0x575922(0x54d)]['id'],'ids':_0x39641b()[_0x575922(0x1de2)](_0x467bbd,'id')})[_0x575922(0x1d77)]['then'](function(){_0x33ce26();})[_0x575922(0x1c4)](function(_0xce72fb){_0x311907(_0xce72fb);});});}function _0x2cf8d7(){const _0x5af282=_0x69ab56,_0xe12d81=_0x39641b()[_0x5af282(0x2128)](_0x57fa28[_0x5af282(0x1456)],_0x57fa28[_0x5af282(0x20bb)],'id'),_0x390e28=_0x39641b()[_0x5af282(0x2128)](_0x57fa28[_0x5af282(0x20bb)],_0x57fa28[_0x5af282(0x1456)],'id');return _0xaf226d(_0xe12d81)['then'](function(){return _0x56b119(_0x390e28);})[_0x5af282(0x1cb0)](function(){const _0xe11fb7=_0x5af282;_0x57fa28[_0xe11fb7(0x50c)]=![],_0x57fa28['startingAllowedItems']=angular[_0xe11fb7(0x17fe)](_0x57fa28[_0xe11fb7(0x1372)]),_0x57fa28[_0xe11fb7(0x1456)]=angular[_0xe11fb7(0x17fe)](_0x57fa28[_0xe11fb7(0x20bb)]),_0x4c6e64[_0xe11fb7(0x829)]({'title':'SUCCESS','msg':_0xe11fb7(0xc72)});})[_0x5af282(0x1c4)](function(_0x57cd5b){const _0x52afba=_0x5af282;_0x4c6e64[_0x52afba(0x218e)]({'title':_0x57cd5b[_0x52afba(0x291)]?_0x52afba(0xeb9)+_0x57cd5b[_0x52afba(0x291)]+'\x20-\x20'+_0x57cd5b['statusText']:_0x52afba(0x1221),'msg':_0x57cd5b[_0x52afba(0x291)]?JSON[_0x52afba(0x2701)](_0x57cd5b[_0x52afba(0x25c)]):_0x57cd5b['toString']()});});}function _0x35253e(){const _0x232284=_0x69ab56;_0x3ad9c9[_0x232284(0x1426)]();}}const _0x4f8815=_0x83184b;;const _0x1a813e=_0x5074a3['p']+'src/js/modules/main/apps/fax/views/faxQueues/edit/teamadd/teamadd.html/teamadd.html';;_0x227199['$inject']=[_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x1ae),'license',_0x5537c6(0x9ca),_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x54d),_0x5537c6(0x2199)];function _0x227199(_0x3829d6,_0x3f8759,_0xf71e2b,_0x10a60c,_0xb11ba9,_0x2535b7,_0xdc4ebc,_0x4f5b00,_0x5c6afd,_0x22958d,_0x4f7548,_0x3a0d96){const _0xda9edd=_0x5537c6,_0x3a5ddc=this;_0x3a5ddc[_0xda9edd(0xe76)]=_0x22958d['getCurrentUser'](),_0x3a5ddc['license']=_0x2535b7,_0x3a5ddc[_0xda9edd(0x9ca)]=_0xdc4ebc,_0x3a5ddc[_0xda9edd(0x1b0c)]=_0x3a5ddc[_0xda9edd(0x9ca)][_0xda9edd(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x3a5ddc['location']=_0x3f8759[_0xda9edd(0x2276)]()+'://'+_0x3f8759['host'](),_0x3a5ddc[_0xda9edd(0x54d)]=_0x4f7548||_0x3829d6[_0xda9edd(0x1dfe)]['faxQueue']||{},_0x3a5ddc['userProfileSection']=_0x3a0d96&&_0x3a0d96[_0xda9edd(0x184d)]==0x1?_0x3a0d96[_0xda9edd(0x2214)][0x0]:null,_0x3a5ddc['crudPermissions']=_0x22958d[_0xda9edd(0x14ea)](_0x3a5ddc[_0xda9edd(0x2199)]?_0x3a5ddc[_0xda9edd(0x2199)]['crudPermissions']:null),_0x3a5ddc[_0xda9edd(0xf4c)]={},_0x3a5ddc[_0xda9edd(0x8ec)]=_0x3829d6[_0xda9edd(0x1dfe)][_0xda9edd(0x291e)]||0x0,_0x3a5ddc[_0xda9edd(0x1d63)]=_0x1afe94,_0x3a5ddc['agentadddialog']=_0xa7234f,_0x3a5ddc['alert']=_0x5c6afd[_0xda9edd(0x28c7)],_0x3a5ddc[_0xda9edd(0x1603)]=_0x15febc,_0x3a5ddc[_0xda9edd(0x2044)]=_0x14c57f;function _0x1afe94(_0x2ddc98,_0x247994){const _0x115c90=_0xda9edd;_0xf71e2b[_0x115c90(0xe27)]({'controller':_0x115c90(0x9ec),'controllerAs':'vm','templateUrl':_0x1a813e,'parent':angular[_0x115c90(0x1853)](_0x10a60c[_0x115c90(0x1ed9)]),'targetEvent':_0x247994,'clickOutsideToClose':!![],'locals':{'faxQueue':_0x2ddc98,'faxQueues':_0x3a5ddc[_0x115c90(0x754)]?_0x3a5ddc[_0x115c90(0x754)][_0x115c90(0x2214)]:[],'crudPermissions':_0x3a5ddc[_0x115c90(0x1b1a)]}});}function _0xa7234f(_0x4ce979,_0x10842e){const _0x3ec294=_0xda9edd;_0xf71e2b[_0x3ec294(0xe27)]({'controller':_0x3ec294(0xe5e),'controllerAs':'vm','templateUrl':_0x474deb,'parent':angular[_0x3ec294(0x1853)](_0x10a60c[_0x3ec294(0x1ed9)]),'targetEvent':_0x10842e,'clickOutsideToClose':!![],'locals':{'faxQueue':_0x4ce979,'faxQueues':_0x3a5ddc[_0x3ec294(0x754)]?_0x3a5ddc[_0x3ec294(0x754)]['rows']:[],'crudPermissions':_0x3a5ddc[_0x3ec294(0x1b1a)],'realtime':![]}});}function _0x15febc(){const _0x37c656=_0xda9edd;_0x3829d6['go'](_0x37c656(0x826),{},{'reload':_0x37c656(0x826)});}function _0x14c57f(){const _0x3de0e9=_0xda9edd;_0x4f5b00['faxQueue'][_0x3de0e9(0x687)]({'id':_0x3a5ddc[_0x3de0e9(0x54d)]['id']},_0x3a5ddc[_0x3de0e9(0x54d)])[_0x3de0e9(0x1d77)][_0x3de0e9(0x1cb0)](function(){const _0x424fcc=_0x3de0e9;_0x5c6afd[_0x424fcc(0x829)]({'title':'FaxQueue\x20updated!','msg':_0x3a5ddc[_0x424fcc(0x54d)][_0x424fcc(0x16b6)]?_0x3a5ddc[_0x424fcc(0x54d)][_0x424fcc(0x16b6)]+_0x424fcc(0x1068):''});})['catch'](function(_0x11e7c9){const _0x2d3b7d=_0x3de0e9;_0x5c6afd[_0x2d3b7d(0x218e)]({'title':_0x11e7c9[_0x2d3b7d(0x291)]?'API:'+_0x11e7c9['status']+_0x2d3b7d(0x1657)+_0x11e7c9[_0x2d3b7d(0xc22)]:_0x2d3b7d(0x2107),'msg':_0x11e7c9[_0x2d3b7d(0x25c)]?JSON[_0x2d3b7d(0x2701)](_0x11e7c9[_0x2d3b7d(0x25c)]):_0x11e7c9[_0x2d3b7d(0x147f)]()});});}}const _0x3285c9=_0x227199;;_0x1005d9[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),'$state',_0x5537c6(0x417),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0x754),_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x142b),'msUtils',_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x1005d9(_0x53e7a8,_0x4cd7c1,_0x572101,_0x1802f2,_0x200104,_0x37864f,_0x5c2e0a,_0x5657d8,_0x32785e,_0x3fd8d3,_0x44b4d6,_0x1b0b52,_0xab352c,_0x19a403,_0x4e272e,_0x14aac5,_0x391eca){const _0x5487d4=_0x5537c6,_0x348ca1=this;_0x348ca1[_0x5487d4(0x8a5)]=_0x14aac5,_0x348ca1[_0x5487d4(0x9ca)]=_0x391eca,_0x348ca1[_0x5487d4(0xe76)]=_0x4e272e['getCurrentUser'](),_0x348ca1['faxQueues']=_0x32785e||{'count':0x0,'rows':[]},_0x348ca1[_0x5487d4(0x44a)]=_0x3fd8d3,_0x348ca1[_0x5487d4(0x2199)]=_0x44b4d6&&_0x44b4d6['count']==0x1?_0x44b4d6[_0x5487d4(0x2214)][0x0]:null,_0x348ca1[_0x5487d4(0x1b1a)]=_0x4e272e[_0x5487d4(0x14ea)](_0x348ca1[_0x5487d4(0x2199)]?_0x348ca1[_0x5487d4(0x2199)][_0x5487d4(0x1b1a)]:null),_0x348ca1['table']=_0x5487d4(0x754),_0x348ca1['listOrder']='',_0x348ca1['listOrderAsc']=null,_0x348ca1['selectedFaxQueues']=[],_0x348ca1['query']={'fields':_0x5487d4(0x22f3),'sort':_0x5487d4(0x282),'channel':_0x5487d4(0x1944),'limit':0xa,'page':0x1},_0x348ca1['arraystrategy']=_0x39641b()[_0x5487d4(0x2631)]([{'option':'Beepall','value':_0x5487d4(0xcf1)},{'option':_0x5487d4(0x290b),'value':_0x5487d4(0x15b5)}],function(_0x4341a2){const _0x5d6e1b=_0x5487d4;return _0x39641b()[_0x5d6e1b(0x288f)](_0x4341a2[_0x5d6e1b(0x327)],new RegExp('\x27','g'),'');}),_0x348ca1[_0x5487d4(0x235d)]=_0x2c0bb3,_0x348ca1[_0x5487d4(0x1d63)]=_0xc5f507,_0x348ca1[_0x5487d4(0x1379)]=_0x3f275a,_0x348ca1[_0x5487d4(0x27fe)]=_0x1428c5,_0x348ca1[_0x5487d4(0x649)]=_0x22dd57,_0x348ca1[_0x5487d4(0x829)]=_0x167eb8,_0x348ca1[_0x5487d4(0x10b8)]=_0x560f7d,_0x348ca1[_0x5487d4(0x12ff)]=_0x2f60bf,_0x348ca1[_0x5487d4(0x8a9)]=_0x2244b9,_0x348ca1[_0x5487d4(0x12c4)]=_0x59ab70,_0x348ca1['deleteSelectedFaxQueues']=_0x2a034e,_0x348ca1[_0x5487d4(0x920)]=_0x19b5a0,_0x348ca1[_0x5487d4(0x1b5e)]=_0x31574a;function _0x2c0bb3(_0x4561f6){const _0xb28629=_0x5487d4;_0x572101['go'](_0xb28629(0x1499),{'id':_0x4561f6['id'],'faxQueue':_0x4561f6,'crudPermissions':_0x348ca1['crudPermissions']});}function _0xc5f507(_0x19d4fc,_0x42c48e){const _0xc301ec=_0x5487d4;_0x200104[_0xc301ec(0xe27)]({'controller':_0xc301ec(0x9ec),'controllerAs':'vm','templateUrl':_0x1a813e,'parent':angular[_0xc301ec(0x1853)](_0x37864f[_0xc301ec(0x1ed9)]),'targetEvent':_0x42c48e,'clickOutsideToClose':!![],'locals':{'faxQueue':_0x19d4fc,'faxQueues':_0x348ca1['faxQueues']?_0x348ca1['faxQueues'][_0xc301ec(0x2214)]:[],'crudPermissions':_0x348ca1[_0xc301ec(0x1b1a)]}});}function _0x3f275a(_0x390318,_0x3e7697){const _0x19281f=_0x5487d4;_0x200104[_0x19281f(0xe27)]({'controller':_0x19281f(0xe5e),'controllerAs':'vm','templateUrl':_0x474deb,'parent':angular['element'](_0x37864f[_0x19281f(0x1ed9)]),'targetEvent':_0x3e7697,'clickOutsideToClose':!![],'locals':{'faxQueue':_0x390318,'faxQueues':_0x348ca1[_0x19281f(0x754)]?_0x348ca1[_0x19281f(0x754)][_0x19281f(0x2214)]:[],'crudPermissions':_0x348ca1[_0x19281f(0x1b1a)],'realtime':![]}});}function _0x1428c5(_0x5929fd,_0x1e9ff5){const _0x24a00e=_0x5487d4,_0x687333=_0x200104['confirm']()[_0x24a00e(0x1386)](_0x24a00e(0x140b)+_0x39641b()[_0x24a00e(0xa75)]('faxQueue')+'?')[_0x24a00e(0x49e)](''+(_0x5929fd[_0x24a00e(0x16b6)]||_0x24a00e(0x54d))+_0x24a00e(0x1200)+_0x24a00e(0x1b6))[_0x24a00e(0x15ad)](_0x24a00e(0x854))[_0x24a00e(0x728)](_0x1e9ff5)['ok']('OK')[_0x24a00e(0x696)](_0x24a00e(0x24ba));_0x200104[_0x24a00e(0xe27)](_0x687333)[_0x24a00e(0x1cb0)](function(){_0x2244b9(_0x5929fd);},function(){const _0x53009f=_0x24a00e;console['log'](_0x53009f(0x24ba));});}function _0x22dd57(){const _0x1ccff7=_0x5487d4;if(_0x4e272e['hasRole'](_0x1ccff7(0x1c60)))_0x572101['go'](_0x1ccff7(0x734),{});else return _0x1b0b52[_0x1ccff7(0x2199)]['get']({'userProfileId':_0x4e272e[_0x1ccff7(0x21e8)]()[_0x1ccff7(0x13c1)],'sectionId':0x38e})[_0x1ccff7(0x1d77)][_0x1ccff7(0x1cb0)](function(_0xebe705){const _0x51300a=_0x1ccff7,_0x220b13=_0xebe705&&_0xebe705[_0x51300a(0x2214)]?_0xebe705[_0x51300a(0x2214)][0x0]:null;_0x220b13&&_0x220b13[_0x51300a(0x281c)]?_0x572101['go'](_0x51300a(0x734),{}):_0x19a403['info']({'title':_0x5657d8[_0x51300a(0x25cc)](_0x51300a(0x370)),'msg':_0x5657d8['instant']('STAFF.PERMISSIONS_UNAUTHORIZED_REDIRECT_MESSAGE')});})[_0x1ccff7(0x1c4)](function(_0x1c085d){const _0x24ad13=_0x1ccff7;_0x19a403['error']({'title':_0x1c085d['status']?_0x24ad13(0xeb9)+_0x1c085d[_0x24ad13(0x291)]+_0x24ad13(0x1657)+_0x1c085d[_0x24ad13(0xc22)]:_0x24ad13(0x17ba),'msg':_0x1c085d['status']?JSON[_0x24ad13(0x2701)](_0x1c085d[_0x24ad13(0x25c)]):_0x1c085d['toString']()});});}let _0x4c7725=!![],_0x5ba14b=0x1;_0x53e7a8[_0x5487d4(0x614)](_0x5487d4(0x957),function(_0x2fe184,_0x48f29d){const _0x18af89=_0x5487d4;_0x4c7725?_0x5c2e0a(function(){_0x4c7725=![];}):(!_0x48f29d&&(_0x5ba14b=_0x348ca1[_0x18af89(0xae2)][_0x18af89(0x1c7b)]),_0x2fe184!==_0x48f29d&&(_0x348ca1[_0x18af89(0xae2)]['page']=0x1),!_0x2fe184&&(_0x348ca1[_0x18af89(0xae2)][_0x18af89(0x1c7b)]=_0x5ba14b),_0x348ca1['getFaxQueues']());});function _0x167eb8(_0x35b1c6){const _0x20475c=_0x5487d4;_0x348ca1[_0x20475c(0x754)]=_0x35b1c6||{'count':0x0,'rows':[]};}function _0x560f7d(){const _0x58fcde=_0x5487d4;_0x348ca1[_0x58fcde(0xae2)][_0x58fcde(0x184b)]=(_0x348ca1['query'][_0x58fcde(0x1c7b)]-0x1)*_0x348ca1[_0x58fcde(0xae2)]['limit'],_0x4e272e['hasRole'](_0x58fcde(0x1c60))?_0x348ca1[_0x58fcde(0x2061)]=_0x1b0b52[_0x58fcde(0x54d)]['get'](_0x348ca1[_0x58fcde(0xae2)],_0x167eb8)[_0x58fcde(0x1d77)]:(_0x348ca1['query']['id']=_0x348ca1[_0x58fcde(0x44a)]['id'],_0x348ca1[_0x58fcde(0xae2)][_0x58fcde(0x1f74)]=_0x58fcde(0x1972),_0x348ca1['promise']=_0x1b0b52[_0x58fcde(0x44a)][_0x58fcde(0x1810)](_0x348ca1[_0x58fcde(0xae2)],_0x167eb8)[_0x58fcde(0x1d77)]);}function _0x2f60bf(_0x8b565d,_0x2d7cb9){const _0x18bd8a=_0x5487d4;_0x200104['show']({'controller':_0x18bd8a(0xeea),'controllerAs':'vm','templateUrl':_0x1c94ff,'parent':angular[_0x18bd8a(0x1853)](_0x37864f[_0x18bd8a(0x1ed9)]),'targetEvent':_0x8b565d,'clickOutsideToClose':!![],'locals':{'faxQueue':_0x2d7cb9,'faxQueues':_0x348ca1[_0x18bd8a(0x754)][_0x18bd8a(0x2214)],'license':_0x348ca1[_0x18bd8a(0x8a5)],'setting':_0x348ca1[_0x18bd8a(0x9ca)],'crudPermissions':_0x348ca1['crudPermissions']}});}function _0x2244b9(_0x5785c1){const _0xf89017=_0x5487d4;_0x1b0b52[_0xf89017(0x54d)][_0xf89017(0x111d)]({'id':_0x5785c1['id']})[_0xf89017(0x1d77)][_0xf89017(0x1cb0)](function(){const _0x27a5c0=_0xf89017;_0x39641b()[_0x27a5c0(0x152a)](_0x348ca1[_0x27a5c0(0x754)][_0x27a5c0(0x2214)],{'id':_0x5785c1['id']}),_0x348ca1[_0x27a5c0(0x754)][_0x27a5c0(0x184d)]-=0x1,!_0x348ca1[_0x27a5c0(0x754)][_0x27a5c0(0x2214)][_0x27a5c0(0xfd0)]&&_0x348ca1[_0x27a5c0(0x10b8)](),_0x19a403[_0x27a5c0(0x829)]({'title':_0x39641b()[_0x27a5c0(0xa75)](_0x27a5c0(0x2e6))+_0x27a5c0(0x2663),'msg':_0x5785c1['name']?_0x5785c1[_0x27a5c0(0x16b6)]+_0x27a5c0(0x3f5):''});})[_0xf89017(0x1c4)](function(_0x3d1560){const _0x45141b=_0xf89017;if(_0x3d1560[_0x45141b(0x25c)]&&_0x3d1560[_0x45141b(0x25c)][_0x45141b(0x1a7c)]&&_0x3d1560[_0x45141b(0x25c)]['errors'][_0x45141b(0xfd0)]){_0x348ca1[_0x45141b(0x1a7c)]=_0x3d1560[_0x45141b(0x25c)]['errors']||[{'message':_0x3d1560['toString'](),'type':'SYSTEM:DELETEfaxQueue'}];for(let _0x55a800=0x0;_0x55a800<_0x3d1560[_0x45141b(0x25c)][_0x45141b(0x1a7c)]['length'];_0x55a800++){_0x19a403['error']({'title':_0x3d1560[_0x45141b(0x25c)][_0x45141b(0x1a7c)][_0x55a800]['type'],'msg':_0x3d1560[_0x45141b(0x25c)][_0x45141b(0x1a7c)][_0x55a800][_0x45141b(0x155e)]});}}else _0x19a403['error']({'title':_0x3d1560[_0x45141b(0x291)]?_0x45141b(0xeb9)+_0x3d1560[_0x45141b(0x291)]+_0x45141b(0x1657)+_0x3d1560[_0x45141b(0xc22)]:_0x45141b(0x212c),'msg':_0x3d1560[_0x45141b(0x25c)]?JSON[_0x45141b(0x2701)](_0x3d1560['data'][_0x45141b(0x155e)]):_0x3d1560[_0x45141b(0x155e)]||_0x3d1560[_0x45141b(0x147f)]()});});}function _0x59ab70(){const _0x1fc6b1=_0x5487d4,_0x4f0068=angular[_0x1fc6b1(0x17fe)](_0x348ca1[_0x1fc6b1(0x2414)]);return _0x348ca1['selectedFaxQueues']=[],_0x4f0068;}function _0x2a034e(_0x210f8a){const _0x5a0e51=_0x5487d4,_0x558e2a=_0x200104[_0x5a0e51(0x1551)]()[_0x5a0e51(0x1386)](_0x5a0e51(0x1aa4))[_0x5a0e51(0x49e)](_0x5a0e51(0x204d)+_0x348ca1['selectedFaxQueues'][_0x5a0e51(0xfd0)]+'\x20selected'+_0x5a0e51(0x1b6))[_0x5a0e51(0x15ad)](_0x5a0e51(0x911))[_0x5a0e51(0x728)](_0x210f8a)['ok']('OK')[_0x5a0e51(0x696)]('CANCEL');_0x200104['show'](_0x558e2a)['then'](function(){const _0x1cb14e=_0x5a0e51;_0x348ca1[_0x1cb14e(0x2414)][_0x1cb14e(0xf90)](function(_0x3d426c){_0x2244b9(_0x3d426c);}),_0x348ca1[_0x1cb14e(0x2414)]=[];});}function _0x19b5a0(){const _0x7daccf=_0x5487d4;_0x348ca1[_0x7daccf(0x2414)]=[];}function _0x31574a(){const _0x4ea8e5=_0x5487d4;_0x348ca1[_0x4ea8e5(0x2414)]=_0x348ca1[_0x4ea8e5(0x754)][_0x4ea8e5(0x2214)];}}const _0xcbebd1=_0x1005d9;;_0x14a746[_0x5537c6(0x15b6)]=[_0x5537c6(0xbd6),_0x5537c6(0x1f2a)];function _0x14a746(_0x50c6ec,_0x4cbd30){const _0x430426=_0x5537c6;_0x50c6ec[_0x430426(0x27e0)](_0x430426(0x29b7),{'abstract':!![],'url':_0x430426(0xf93)})[_0x430426(0x27e0)]('app.fax.faxQueues',{'url':_0x430426(0x196),'views':{'content@app':{'templateUrl':_0x318ce1,'controller':_0x430426(0x1503)}},'resolve':{'faxQueues':[_0x430426(0x1e0b),_0x430426(0x1774),function(_0x484687,_0x5f3f1f){const _0x24b5f7=_0x430426;return _0x5f3f1f['hasRole']('admin')?_0x484687[_0x24b5f7(0x19a3)](_0x24b5f7(0x16d2),{'fields':'createdAt,updatedAt,id,name,strategy,timeout,description','sort':_0x24b5f7(0x282),'channel':_0x24b5f7(0x1944),'limit':0xa,'offset':0x0}):_0x484687[_0x24b5f7(0x19a3)](_0x24b5f7(0x12da),{'id':_0x5f3f1f[_0x24b5f7(0x21e8)]()[_0x24b5f7(0x13c1)],'section':_0x24b5f7(0x1972),'fields':'createdAt,updatedAt,id,name,strategy,timeout,description','sort':_0x24b5f7(0x282),'channel':_0x24b5f7(0x1944),'limit':0xa,'offset':0x0});}],'userProfile':[_0x430426(0x1e0b),_0x430426(0x1774),function(_0x24c127,_0xc9e77d){const _0x36c7c5=_0x430426;return _0xc9e77d['hasRole'](_0x36c7c5(0x1c60))?null:_0x24c127[_0x36c7c5(0x19a3)](_0x36c7c5(0x9ae),{'fields':_0x36c7c5(0x279),'id':_0xc9e77d['getCurrentUser']()[_0x36c7c5(0x13c1)]});}],'userProfileSection':[_0x430426(0x1e0b),_0x430426(0x1774),function(_0x1212af,_0x2aecf0){const _0x198838=_0x430426;return _0x2aecf0[_0x198838(0x22b6)](_0x198838(0x1c60))?null:_0x1212af[_0x198838(0x19a3)](_0x198838(0x2182),{'fields':_0x198838(0x1f5f),'userProfileId':_0x2aecf0[_0x198838(0x21e8)]()[_0x198838(0x13c1)],'sectionId':0x385});}]},'authenticate':!![],'permissionId':0x385,'bodyClass':_0x430426(0x1944)})[_0x430426(0x27e0)](_0x430426(0x1499),{'url':_0x430426(0x1bf2),'params':{'faxQueue':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x26d434,'controller':_0x430426(0x256f)}},'resolve':{'faxQueue':['apiResolver',_0x430426(0x225c),function(_0x414caf,_0x47da3d){const _0x2f011d=_0x430426;return _0x414caf[_0x2f011d(0x19a3)](_0x2f011d(0x16d2),{'fields':_0x2f011d(0x22f3),'id':_0x47da3d['id']});}],'userProfileSection':[_0x430426(0x1e0b),_0x430426(0x1774),function(_0x735bc8,_0x17e776){const _0x57a506=_0x430426;return _0x735bc8[_0x57a506(0x19a3)](_0x57a506(0x2182),{'fields':_0x57a506(0x1f5f),'userProfileId':_0x17e776[_0x57a506(0x21e8)]()['userProfileId'],'sectionId':0x385});}]},'authenticate':!![],'permissionId':0x385,'bodyClass':'fax'})[_0x430426(0x27e0)](_0x430426(0x2808),{'url':_0x430426(0x1bea),'views':{'content@app':{'templateUrl':_0x849fd7,'controller':'FaxAccountsController\x20as\x20vm'}},'resolve':{'faxAccounts':[_0x430426(0x1e0b),_0x430426(0x1774),function(_0x2e2d7e,_0x4949af){const _0x1d27a8=_0x430426;return _0x4949af[_0x1d27a8(0x22b6)](_0x1d27a8(0x1c60))?_0x2e2d7e[_0x1d27a8(0x19a3)](_0x1d27a8(0x1e1a),{'fields':_0x1d27a8(0x2238),'sort':_0x1d27a8(0x282),'limit':0xa,'offset':0x0}):_0x2e2d7e[_0x1d27a8(0x19a3)]('userProfile@getResources',{'id':_0x4949af['getCurrentUser']()[_0x1d27a8(0x13c1)],'section':_0x1d27a8(0x1ffe),'fields':_0x1d27a8(0x2238),'sort':_0x1d27a8(0x282),'limit':0xa,'offset':0x0});}],'userProfile':[_0x430426(0x1e0b),_0x430426(0x1774),function(_0x3a3d72,_0x349b89){const _0x1e49a2=_0x430426;return _0x349b89[_0x1e49a2(0x22b6)]('admin')?null:_0x3a3d72[_0x1e49a2(0x19a3)](_0x1e49a2(0x9ae),{'fields':'id,name,crudPermissions','id':_0x349b89[_0x1e49a2(0x21e8)]()['userProfileId']});}],'userProfileSection':[_0x430426(0x1e0b),_0x430426(0x1774),function(_0x302b56,_0x553cb6){const _0xd889ab=_0x430426;return _0x553cb6['hasRole'](_0xd889ab(0x1c60))?null:_0x302b56[_0xd889ab(0x19a3)](_0xd889ab(0x2182),{'fields':_0xd889ab(0x1f5f),'userProfileId':_0x553cb6[_0xd889ab(0x21e8)]()['userProfileId'],'sectionId':0x386});}]},'authenticate':!![],'permissionId':0x386,'bodyClass':'fax'})['state'](_0x430426(0x240b),{'url':_0x430426(0x1bf2),'params':{'faxAccount':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x4ba5c3,'controller':_0x430426(0x1b28)}},'resolve':{'faxAccount':[_0x430426(0x1e0b),_0x430426(0x225c),function(_0x1d5456,_0x127f00){const _0x36a819=_0x430426;return _0x1d5456[_0x36a819(0x19a3)](_0x36a819(0x1e1a),{'fields':_0x36a819(0x2238),'id':_0x127f00['id']});}],'userProfileSection':[_0x430426(0x1e0b),_0x430426(0x1774),function(_0x28ff7f,_0x576f65){const _0x981e08=_0x430426;return _0x28ff7f[_0x981e08(0x19a3)](_0x981e08(0x2182),{'fields':_0x981e08(0x1f5f),'userProfileId':_0x576f65['getCurrentUser']()[_0x981e08(0x13c1)],'sectionId':0x386});}]},'authenticate':!![],'permissionId':0x386,'bodyClass':_0x430426(0x1944)}),_0x4cbd30[_0x430426(0x4e7)](_0x430426(0x1bfc));}angular[_0x5537c6(0x9ab)](_0x5537c6(0x29b7),[_0x5537c6(0x2770),_0x5537c6(0x2135),_0x5537c6(0x1260),_0x5537c6(0xacf),'mdColorPicker',_0x5537c6(0xd19),'ng-sortable',_0x5537c6(0x2ec),_0x5537c6(0x1890),_0x5537c6(0x167d),_0x5537c6(0x208f),'mwFormViewer',_0x5537c6(0x2166),_0x5537c6(0x3b9),_0x5537c6(0x7c9),_0x5537c6(0xeb5),_0x5537c6(0x27af),'material.components.expansionPanels',_0x5537c6(0x1cbd),_0x5537c6(0xd01),_0x5537c6(0x13a6)])['config'](_0x14a746)['controller']('CreateOrEditFaxAccountDialogController',_0x13c28e)['controller'](_0x5537c6(0x1ea9),_0x2066de)[_0x5537c6(0x6e5)](_0x5537c6(0x2340),_0x39b6c8)[_0x5537c6(0x6e5)](_0x5537c6(0xda1),_0x23ec31)['controller'](_0x5537c6(0x1308),_0x2e3575)[_0x5537c6(0x6e5)](_0x5537c6(0x2873),_0xbb55bf)[_0x5537c6(0x6e5)](_0x5537c6(0x151e),_0x366e9f)[_0x5537c6(0x6e5)](_0x5537c6(0x17b4),_0x2a6154)[_0x5537c6(0x6e5)]('EditFaxAccountAppnoopDialogController',_0x40e585)[_0x5537c6(0x6e5)]('EditFaxAccountAppqueueDialogController',_0x4f2f53)[_0x5537c6(0x6e5)]('EditFaxAccountAppsystemDialogController',_0x2c92c8)['controller'](_0x5537c6(0x34b),_0x4eb22e)[_0x5537c6(0x6e5)](_0x5537c6(0x1cf3),_0x7f1509)[_0x5537c6(0x6e5)](_0x5537c6(0x2074),_0x10e9cd)[_0x5537c6(0x6e5)]('FaxAccountController',_0x4c9a39)[_0x5537c6(0x6e5)](_0x5537c6(0x26bd),_0xba7168)[_0x5537c6(0x6e5)]('CreateOrEditFaxQueueDialogController',_0x52f939)[_0x5537c6(0x6e5)](_0x5537c6(0xe5e),_0x3f7c3a)[_0x5537c6(0x6e5)](_0x5537c6(0x9ec),_0x4f8815)[_0x5537c6(0x6e5)]('FaxQueueController',_0x3285c9)['controller'](_0x5537c6(0x1a41),_0xcbebd1);;const _0x28a737=_0x5074a3['p']+_0x5537c6(0x12db);;_0x352508['$inject']=[_0x5537c6(0xcb9),'$translate','$q',_0x5537c6(0x9bf),'disposition',_0x5537c6(0xf72),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x352508(_0x4233cc,_0x3b2fc3,_0x2e1562,_0x39ec03,_0x462723,_0x222541,_0x2c4f7f,_0x30048a,_0x33df4c,_0x2b97de,_0x42c87c){const _0x20384c=_0x5537c6,_0x4ee9a8=this;_0x4ee9a8[_0x20384c(0xe76)]=_0x30048a[_0x20384c(0x21e8)](),_0x4ee9a8[_0x20384c(0x1746)]=angular['copy'](_0x462723),_0x4ee9a8[_0x20384c(0x9ca)]=_0x42c87c,_0x4ee9a8['license']=_0x2b97de,_0x4ee9a8[_0x20384c(0x1b1a)]=_0x33df4c,_0x4ee9a8[_0x20384c(0x2965)]=![],_0x4ee9a8[_0x20384c(0x1a7c)]=[];!_0x4ee9a8['disposition']&&(_0x4ee9a8[_0x20384c(0x1746)]={'level':_0x20384c(0xf07)},_0x4ee9a8['newDisposition']=!![]);if(_0x222541){const _0x9a1268=_0x222541[_0x20384c(0x2198)];_0x4ee9a8['disposition'][_0x9a1268]=_0x222541['id'];}_0x4ee9a8['onInit']=_0x27fef1,_0x4ee9a8['onFirstLevelSelection']=_0x17b8f9,_0x4ee9a8[_0x20384c(0x1f59)]=_0x16289a,_0x4ee9a8['saveDisposition']=_0x47df43,_0x4ee9a8[_0x20384c(0x20b3)]=_0x2df79c,_0x4ee9a8[_0x20384c(0x2370)]=_0x38623a,_0x4ee9a8['closeDialog']=_0x3c3884;function _0x27fef1(){const _0xd1abf0=_0x20384c;let _0x3ff450=_0xd1abf0(0x1746);if(_0x222541)_0x3ff450=_0x222541[_0xd1abf0(0x15d0)];else{if(_0x4ee9a8[_0xd1abf0(0xe76)]['role']===_0xd1abf0(0xebe))_0x3ff450=_0xd1abf0(0x44a);}let _0x56bb81='get';if(_0x222541)_0x56bb81=_0xd1abf0(0x640);else{if(_0x4ee9a8['currentUser']['role']===_0xd1abf0(0xebe))_0x56bb81=_0xd1abf0(0x1810);}const _0x1a5b0e={'fields':_0xd1abf0(0x2999),'id':_0x222541?_0x222541['id']:undefined,'section':_0x4ee9a8[_0xd1abf0(0xe76)]['role']==='user'?_0xd1abf0(0x5d1):undefined,'MailAccountId':_0x222541?undefined:'null','FaxAccountId':_0x222541?undefined:'null','SmsAccountId':_0x222541?undefined:_0xd1abf0(0xd38),'OpenchannelAccountId':_0x222541?undefined:'null','ChatWebsiteId':_0x222541?undefined:'null','WhatsappAccountId':_0x222541?undefined:_0xd1abf0(0xd38),'ListId':_0x222541?undefined:_0xd1abf0(0xd38),'sort':'id','nolimit':_0xd1abf0(0x44d)};_0x1cba85(_0x3ff450,_0x56bb81,_0x1a5b0e)[_0xd1abf0(0x1cb0)](function(_0xaf2400){const _0x59f3cf=_0xd1abf0;_0x4ee9a8[_0x59f3cf(0xdf2)]=angular[_0x59f3cf(0x17fe)](_0xaf2400);if(!_0x4ee9a8[_0x59f3cf(0x2965)])_0x55287b();})['catch'](function(_0x10845b){const _0x133724=_0xd1abf0;_0x39ec03[_0x133724(0x218e)]({'title':_0x10845b[_0x133724(0x291)]?_0x133724(0xeb9)+_0x10845b[_0x133724(0x291)]+'\x20-\x20'+_0x10845b[_0x133724(0xc22)]:[_0x133724(0x142b),_0x3ff450,_0x56bb81][_0x133724(0x1f66)](':'),'msg':_0x10845b['data']?JSON[_0x133724(0x2701)](_0x10845b[_0x133724(0x25c)]['message']):_0x10845b[_0x133724(0x155e)]||_0x10845b['toString']()});});}function _0x55287b(){const _0x580eab=_0x20384c;if(_0x4ee9a8[_0x580eab(0x1746)][_0x580eab(0x3c9)]==='first')return;_0x4ee9a8[_0x580eab(0x2230)]=_0x39641b()['find'](_0x4ee9a8[_0x580eab(0xdf2)],['id',_0x4ee9a8[_0x580eab(0x1746)][_0x580eab(0x55e)]]);if(!_0x4ee9a8[_0x580eab(0x2230)]){_0x5bbe7e()[_0x580eab(0x1cb0)](function(_0x48cd00){const _0x645437=_0x580eab;_0x4ee9a8[_0x645437(0x1616)]=_0x48cd00;for(let _0x39ee7b=0x0;_0x39ee7b<_0x4ee9a8[_0x645437(0x1616)][_0x645437(0xfd0)];_0x39ee7b++){const _0x436d24=_0x4ee9a8[_0x645437(0x1616)][_0x39ee7b];if(_0x436d24['id']===_0x4ee9a8[_0x645437(0x1746)][_0x645437(0x55e)]){_0x4b3ab8(_0x436d24),_0x436d24[_0x645437(0x15da)]=![],_0x4ee9a8[_0x645437(0xdf2)][_0x645437(0x2785)](_0x436d24);break;}}});return;}_0x4b3ab8(_0x4ee9a8[_0x580eab(0x2230)]);}function _0x1cba85(_0x3b5f24,_0x4ecfe7,_0x31bd00){return _0x2e1562(function(_0x3291b5,_0x5dd11a){const _0x1057a2=a0_0x5cbd;_0x2c4f7f[_0x3b5f24][_0x4ecfe7](_0x31bd00)[_0x1057a2(0x1d77)][_0x1057a2(0x1cb0)](function(_0x398ffc){const _0x42ae00=_0x1057a2,_0x6b7dbc=_0x398ffc[_0x42ae00(0x2214)]||[];return _0x3291b5(_0x6b7dbc);})['catch'](function(_0x26151e){_0x5dd11a(_0x26151e);});});}function _0x5bbe7e(){return _0x2e1562(function(_0x3ae94a,_0x14ae4d){const _0x3f9cd4=a0_0x5cbd;_0x2c4f7f[_0x3f9cd4(0x1746)][_0x3f9cd4(0xbf7)]({'fields':'id,name,level,ParentId','sort':'id','nolimit':_0x3f9cd4(0x44d)})[_0x3f9cd4(0x1d77)][_0x3f9cd4(0x1cb0)](function(_0xe1be00){const _0x2444fe=_0x3f9cd4,_0x2c8fd8=_0xe1be00[_0x2444fe(0x2214)]||[];return _0x3ae94a(_0x2c8fd8);})['catch'](function(_0x1d39f6){_0x14ae4d(_0x1d39f6);});});}function _0x4b3ab8(_0x1e0afc){const _0x66da7c=_0x20384c;_0x1e0afc['level']==='first'?_0x4ee9a8[_0x66da7c(0x8ba)]=_0x1e0afc:(_0x4ee9a8[_0x66da7c(0x18fe)]=!![],_0x4ee9a8['secondLevelDisposition']=_0x1e0afc,_0x4ee9a8[_0x66da7c(0x8ba)]=_0x39641b()[_0x66da7c(0x13b4)](_0x4ee9a8[_0x66da7c(0xdf2)],['id',_0x4ee9a8['secondLevelDisposition'][_0x66da7c(0x55e)]]),!_0x4ee9a8['firstLevelDisposition']&&_0x5bbe7e()[_0x66da7c(0x1cb0)](function(){const _0x54b55b=_0x66da7c;_0x4ee9a8[_0x54b55b(0x8ba)]=_0x39641b()[_0x54b55b(0x13b4)](_0x4ee9a8['allDispositions'],['id',_0x4ee9a8['secondLevelDisposition'][_0x54b55b(0x55e)]]),_0x4ee9a8['firstLevelDisposition'][_0x54b55b(0x15da)]=![],_0x4ee9a8[_0x54b55b(0xdf2)][_0x54b55b(0x2785)](_0x4ee9a8['firstLevelDisposition']);}));}function _0x38623a(_0x397635){const _0x35f343=_0x20384c;_0x397635==='first'?(_0x4ee9a8[_0x35f343(0x8ba)]=undefined,_0x4ee9a8[_0x35f343(0x1aeb)]=undefined,_0x4ee9a8[_0x35f343(0x18fe)]=![]):_0x4ee9a8[_0x35f343(0x1aeb)]=undefined;}function _0x17b8f9(){const _0x49034b=_0x20384c;_0x4ee9a8[_0x49034b(0x1aeb)]=undefined,_0x4ee9a8[_0x49034b(0x18fe)]=_0x39641b()['some'](_0x4ee9a8[_0x49034b(0xdf2)],function(_0x4dad36){const _0x5ed55d=_0x49034b;return _0x4dad36[_0x5ed55d(0x55e)]===_0x4ee9a8[_0x5ed55d(0x8ba)]['id']&&_0x4dad36['id']!=_0x4ee9a8[_0x5ed55d(0x1746)]['id'];});}function _0x16289a(){const _0x38a2ba=_0x20384c;_0x4ee9a8['errors']=[];if(_0x4ee9a8[_0x38a2ba(0x1aeb)])_0x4ee9a8[_0x38a2ba(0x1746)]['ParentId']=_0x4ee9a8[_0x38a2ba(0x1aeb)]['id'],_0x4ee9a8['disposition'][_0x38a2ba(0x3c9)]='third';else _0x4ee9a8[_0x38a2ba(0x8ba)]&&(_0x4ee9a8['disposition'][_0x38a2ba(0x55e)]=_0x4ee9a8[_0x38a2ba(0x8ba)]['id'],_0x4ee9a8[_0x38a2ba(0x1746)][_0x38a2ba(0x3c9)]=_0x38a2ba(0x1e82));_0x2c4f7f[_0x38a2ba(0x1746)][_0x38a2ba(0x1c3f)](_0x4ee9a8[_0x38a2ba(0x1746)])[_0x38a2ba(0x1d77)][_0x38a2ba(0x1cb0)](function(_0x521e6a){const _0x4376b8=_0x38a2ba;_0x4ee9a8[_0x4376b8(0xdf2)]['unshift'](_0x521e6a['toJSON']()),_0x39ec03['success']({'title':_0x3b2fc3[_0x4376b8(0x25cc)](_0x4376b8(0x298e))}),_0x3c3884(!![]);})['catch'](function(_0x4d1605){const _0x1939df=_0x38a2ba;if(_0x4d1605[_0x1939df(0x25c)]&&_0x4d1605[_0x1939df(0x25c)]['errors']&&_0x4d1605[_0x1939df(0x25c)]['errors'][_0x1939df(0xfd0)]){_0x4ee9a8[_0x1939df(0x1a7c)]=_0x4d1605[_0x1939df(0x25c)][_0x1939df(0x1a7c)]||[{'message':_0x4d1605['toString'](),'type':_0x1939df(0x140c)}];for(let _0x48f3b2=0x0;_0x48f3b2<_0x4d1605['data'][_0x1939df(0x1a7c)][_0x1939df(0xfd0)];_0x48f3b2+=0x1){_0x39ec03[_0x1939df(0x218e)]({'title':_0x4d1605['data']['errors'][_0x48f3b2][_0x1939df(0x66a)],'msg':_0x4d1605['data']['errors'][_0x48f3b2][_0x1939df(0x155e)]});}}else _0x39ec03[_0x1939df(0x218e)]({'title':_0x4d1605[_0x1939df(0x291)]?_0x1939df(0xeb9)+_0x4d1605['status']+_0x1939df(0x1657)+_0x4d1605[_0x1939df(0xc22)]:_0x1939df(0x140c),'msg':_0x4d1605[_0x1939df(0x25c)]?JSON[_0x1939df(0x2701)](_0x4d1605[_0x1939df(0x25c)]['message']):_0x4d1605[_0x1939df(0x147f)]()});});}function _0x47df43(){const _0xbd92c2=_0x20384c;_0x4ee9a8[_0xbd92c2(0x1a7c)]=[];if(_0x4ee9a8[_0xbd92c2(0x1aeb)])_0x4ee9a8[_0xbd92c2(0x1746)][_0xbd92c2(0x55e)]=_0x4ee9a8[_0xbd92c2(0x1aeb)]['id'],_0x4ee9a8[_0xbd92c2(0x1746)][_0xbd92c2(0x3c9)]=_0xbd92c2(0x1dd0);else _0x4ee9a8[_0xbd92c2(0x8ba)]&&(_0x4ee9a8[_0xbd92c2(0x1746)]['ParentId']=_0x4ee9a8[_0xbd92c2(0x8ba)]['id'],_0x4ee9a8[_0xbd92c2(0x1746)]['level']='second');_0x2c4f7f['disposition'][_0xbd92c2(0x687)]({'id':_0x4ee9a8['disposition']['id']},_0x4ee9a8[_0xbd92c2(0x1746)])[_0xbd92c2(0x1d77)]['then'](function(_0x35b1e6){const _0x540ad4=_0xbd92c2,_0x34d8ab=_0x39641b()[_0x540ad4(0x13b4)](_0x4ee9a8[_0x540ad4(0xdf2)],{'id':_0x35b1e6['id']});_0x34d8ab&&_0x39641b()[_0x540ad4(0x9c1)](_0x34d8ab,_0x39641b()[_0x540ad4(0x169b)](_0x35b1e6[_0x540ad4(0x19b2)](),_0x39641b()[_0x540ad4(0x1be5)](_0x34d8ab))),_0x39ec03['success']({'title':_0x3b2fc3[_0x540ad4(0x25cc)](_0x540ad4(0x1bf))}),_0x3c3884(!![]);})['catch'](function(_0x201c05){const _0x323a24=_0xbd92c2;if(_0x201c05[_0x323a24(0x25c)]&&_0x201c05[_0x323a24(0x25c)][_0x323a24(0x1a7c)]&&_0x201c05[_0x323a24(0x25c)][_0x323a24(0x1a7c)][_0x323a24(0xfd0)]){_0x4ee9a8[_0x323a24(0x1a7c)]=_0x201c05[_0x323a24(0x25c)][_0x323a24(0x1a7c)]||[{'message':_0x201c05[_0x323a24(0x147f)](),'type':_0x323a24(0xfef)}];for(let _0x50c508=0x0;_0x50c508<_0x201c05['data'][_0x323a24(0x1a7c)][_0x323a24(0xfd0)];_0x50c508++){_0x39ec03[_0x323a24(0x218e)]({'title':_0x201c05[_0x323a24(0x25c)][_0x323a24(0x1a7c)][_0x50c508][_0x323a24(0x66a)],'msg':_0x201c05[_0x323a24(0x25c)][_0x323a24(0x1a7c)][_0x50c508]['message']});}}else _0x39ec03[_0x323a24(0x218e)]({'title':_0x201c05[_0x323a24(0x291)]?_0x323a24(0xeb9)+_0x201c05[_0x323a24(0x291)]+_0x323a24(0x1657)+_0x201c05[_0x323a24(0xc22)]:_0x323a24(0xfef),'msg':_0x201c05[_0x323a24(0x25c)]?JSON[_0x323a24(0x2701)](_0x201c05[_0x323a24(0x25c)]['message']):_0x201c05[_0x323a24(0x147f)]()});});}function _0x2df79c(_0x54d6ee){const _0x5c936e=_0x20384c;_0x4ee9a8[_0x5c936e(0x1a7c)]=[];const _0x3ab3eb=_0x39641b()[_0x5c936e(0x727)](_0x4ee9a8[_0x5c936e(0xdf2)],['ParentId',_0x4ee9a8['disposition']['id']]),_0x3ed67d=_0x4233cc[_0x5c936e(0x1551)]({'skipHide':!![]})[_0x5c936e(0x1386)](_0x3b2fc3[_0x5c936e(0x25cc)](_0x5c936e(0x1d64)))['content'](_0x3b2fc3['instant'](_0x5c936e(0x250b)+(_0x3ab3eb?_0x5c936e(0x13cb):_0x5c936e(0x1089)),{'name':_0x4ee9a8['disposition']['name']}))['ariaLabel'](_0x5c936e(0x35d))['ok'](_0x3b2fc3[_0x5c936e(0x25cc)]('APP.DELETE'))[_0x5c936e(0x696)](_0x3b2fc3['instant']('APP.CANCEL'))['targetEvent'](_0x54d6ee);_0x4233cc[_0x5c936e(0xe27)](_0x3ed67d)['then'](function(){const _0x503b51=_0x5c936e;_0x2c4f7f[_0x503b51(0x1746)][_0x503b51(0x111d)]({'id':_0x4ee9a8[_0x503b51(0x1746)]['id']})['$promise'][_0x503b51(0x1cb0)](function(){const _0x4f5551=_0x503b51;_0x39ec03['success']({'title':_0x3b2fc3[_0x4f5551(0x25cc)](_0x4f5551(0x1f96))}),_0x3c3884(!![]);})[_0x503b51(0x1c4)](function(_0x2f740b){const _0x551d4f=_0x503b51;if(_0x2f740b[_0x551d4f(0x25c)]&&_0x2f740b[_0x551d4f(0x25c)][_0x551d4f(0x1a7c)]&&_0x2f740b[_0x551d4f(0x25c)]['errors'][_0x551d4f(0xfd0)]){_0x4ee9a8[_0x551d4f(0x1a7c)]=_0x2f740b[_0x551d4f(0x25c)][_0x551d4f(0x1a7c)]||[{'message':_0x2f740b['toString'](),'type':_0x551d4f(0xe66)}];for(let _0x1bc182=0x0;_0x1bc182<_0x2f740b[_0x551d4f(0x25c)][_0x551d4f(0x1a7c)]['length'];_0x1bc182++){_0x39ec03[_0x551d4f(0x218e)]({'title':_0x2f740b['data'][_0x551d4f(0x1a7c)][_0x1bc182][_0x551d4f(0x66a)],'msg':_0x2f740b[_0x551d4f(0x25c)]['errors'][_0x1bc182][_0x551d4f(0x155e)]});}}else _0x39ec03[_0x551d4f(0x218e)]({'title':_0x2f740b[_0x551d4f(0x291)]?_0x551d4f(0xeb9)+_0x2f740b['status']+_0x551d4f(0x1657)+_0x2f740b[_0x551d4f(0xc22)]:_0x551d4f(0xe66),'msg':_0x2f740b['data']?JSON[_0x551d4f(0x2701)](_0x2f740b[_0x551d4f(0x25c)][_0x551d4f(0x155e)]):_0x2f740b[_0x551d4f(0x155e)]||_0x2f740b[_0x551d4f(0x147f)]()});});});}function _0x3c3884(_0xd9acc5){_0x4233cc['hide'](_0xd9acc5);}}const _0xe99590=_0x352508;;_0x7a0825[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x1f10),'$state',_0x5537c6(0x417),_0x5537c6(0xcb9),'$document','$timeout',_0x5537c6(0x1ae),'dispositions','userProfile',_0x5537c6(0x2199),_0x5537c6(0x142b),'toasty','Auth',_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x7a0825(_0x20f1d6,_0x7b11e3,_0x50386c,_0x58fa5c,_0x545dc8,_0x404a50,_0x2747c5,_0x578268,_0xd982cc,_0x2613a2,_0x84614a,_0x1cc190,_0x2f2117,_0xe27064,_0x52912e,_0x3985a4){const _0x382ffb=_0x5537c6,_0x109742=this;_0x109742[_0x382ffb(0x8a5)]=_0x52912e,_0x109742[_0x382ffb(0x9ca)]=_0x3985a4,_0x109742[_0x382ffb(0xe76)]=_0xe27064['getCurrentUser'](),_0x109742[_0x382ffb(0xdf2)]=_0xd982cc||{'count':0x0,'rows':[]},_0x109742[_0x382ffb(0x44a)]=_0x2613a2,_0x109742['userProfileSection']=_0x84614a&&_0x84614a[_0x382ffb(0x184d)]==0x1?_0x84614a[_0x382ffb(0x2214)][0x0]:null,_0x109742['crudPermissions']=_0xe27064['parseCrudPermissions'](_0x109742[_0x382ffb(0x2199)]?_0x109742[_0x382ffb(0x2199)][_0x382ffb(0x1b1a)]:null),_0x109742[_0x382ffb(0x122a)]=[],_0x109742[_0x382ffb(0x2376)]={'first':_0x382ffb(0x29a0),'second':_0x382ffb(0x26db),'third':_0x382ffb(0xfbe)},_0x109742['query']={'fields':_0x382ffb(0x1f4b),'sort':_0x382ffb(0x282),'MailAccountId':'null','FaxAccountId':_0x382ffb(0xd38),'SmsAccountId':'null','OpenchannelAccountId':_0x382ffb(0xd38),'ChatWebsiteId':_0x382ffb(0xd38),'WhatsappAccountId':_0x382ffb(0xd38),'ListId':'null','limit':0xa,'page':0x1},_0x109742['deleteconfirm']=_0x32d24c,_0x109742['success']=_0x374e1c,_0x109742['getDispositions']=_0xa903df,_0x109742[_0x382ffb(0x932)]=_0x43c85d,_0x109742['deleteDisposition']=_0x913fd4,_0x109742['exportSelectedDispositions']=_0x237c95,_0x109742[_0x382ffb(0x1626)]=_0x43d53f,_0x109742['deselectDispositions']=_0x30a18d,_0x109742[_0x382ffb(0x13ff)]=_0x535062;function _0x43c85d(_0x3768e3,_0x103d2b){const _0x16a77e=_0x382ffb;_0x545dc8[_0x16a77e(0xe27)]({'controller':_0x16a77e(0x201d),'controllerAs':'vm','templateUrl':_0x3d7049,'parent':angular[_0x16a77e(0x1853)](_0x404a50[_0x16a77e(0x1ed9)]),'targetEvent':_0x3768e3,'clickOutsideToClose':!![],'locals':{'disposition':_0x103d2b,'model':null,'license':_0x109742['license'],'setting':_0x109742[_0x16a77e(0x9ca)],'crudPermissions':_0x109742[_0x16a77e(0x1b1a)]}})[_0x16a77e(0x1cb0)](function(_0x1b6399){if(_0x1b6399===!![])_0xa903df();});}function _0x32d24c(_0xb72bfa,_0x52755e){const _0x2e83d3=_0x382ffb,_0x5e66b7=_0x39641b()[_0x2e83d3(0x727)](_0x109742['dispositions']['rows'],[_0x2e83d3(0x55e),_0xb72bfa['id']]),_0x2a495c=_0x545dc8[_0x2e83d3(0x1551)]()['title'](_0x578268[_0x2e83d3(0x25cc)](_0x2e83d3(0x1d64)))[_0x2e83d3(0x862)](_0x578268[_0x2e83d3(0x25cc)](_0x2e83d3(0x250b)+(_0x5e66b7?_0x2e83d3(0x13cb):'DISPOSITION_DELETE_MESSAGE'),{'name':_0xb72bfa[_0x2e83d3(0x16b6)]}))[_0x2e83d3(0x15ad)](_0x2e83d3(0x35d))[_0x2e83d3(0x728)](_0x52755e)['ok']('OK')[_0x2e83d3(0x696)](_0x578268['instant'](_0x2e83d3(0x2768)));_0x545dc8[_0x2e83d3(0xe27)](_0x2a495c)[_0x2e83d3(0x1cb0)](function(){_0x913fd4(_0xb72bfa);});}function _0x374e1c(_0x2eef2e){const _0x11f83a=_0x382ffb;_0x109742[_0x11f83a(0xdf2)]=_0x2eef2e||{'count':0x0,'rows':[]};}function _0xa903df(){const _0x335bab=_0x382ffb;_0x109742[_0x335bab(0xae2)][_0x335bab(0x184b)]=(_0x109742[_0x335bab(0xae2)][_0x335bab(0x1c7b)]-0x1)*_0x109742[_0x335bab(0xae2)][_0x335bab(0x236)],_0xe27064[_0x335bab(0x10fc)]()?_0x109742[_0x335bab(0x2061)]=_0x1cc190[_0x335bab(0x1746)][_0x335bab(0xbf7)](_0x109742[_0x335bab(0xae2)],_0x374e1c)[_0x335bab(0x1d77)]:(_0x109742[_0x335bab(0xae2)]['id']=_0x109742[_0x335bab(0x44a)]['id'],_0x109742[_0x335bab(0xae2)]['section']=_0x335bab(0x5d1),_0x109742[_0x335bab(0x2061)]=_0x1cc190[_0x335bab(0x44a)]['getResources'](_0x109742[_0x335bab(0xae2)],_0x374e1c)['$promise']);}function _0x913fd4(_0x1afcd6){const _0x314815=_0x382ffb;_0x1cc190[_0x314815(0x1746)][_0x314815(0x111d)]({'id':_0x1afcd6['id']})['$promise']['then'](function(){const _0x2b06c3=_0x314815;_0xa903df(),_0x2f2117[_0x2b06c3(0x829)]({'title':_0x578268[_0x2b06c3(0x25cc)](_0x2b06c3(0x1f96))});})[_0x314815(0x1c4)](function(_0x5da27f){const _0x50e63e=_0x314815;if(_0x5da27f[_0x50e63e(0x25c)]&&_0x5da27f[_0x50e63e(0x25c)]['errors']&&_0x5da27f[_0x50e63e(0x25c)][_0x50e63e(0x1a7c)][_0x50e63e(0xfd0)]){_0x109742['errors']=_0x5da27f[_0x50e63e(0x25c)]['errors']||[{'message':_0x5da27f[_0x50e63e(0x147f)](),'type':_0x50e63e(0x2618)}];for(let _0x14b3b5=0x0;_0x14b3b5<_0x5da27f[_0x50e63e(0x25c)][_0x50e63e(0x1a7c)][_0x50e63e(0xfd0)];_0x14b3b5++){_0x2f2117['error']({'title':_0x5da27f['data'][_0x50e63e(0x1a7c)][_0x14b3b5][_0x50e63e(0x66a)],'msg':_0x5da27f[_0x50e63e(0x25c)][_0x50e63e(0x1a7c)][_0x14b3b5][_0x50e63e(0x155e)]});}}else _0x2f2117[_0x50e63e(0x218e)]({'title':_0x5da27f[_0x50e63e(0x291)]?_0x50e63e(0xeb9)+_0x5da27f[_0x50e63e(0x291)]+_0x50e63e(0x1657)+_0x5da27f[_0x50e63e(0xc22)]:_0x50e63e(0x2618),'msg':_0x5da27f[_0x50e63e(0x25c)]?JSON[_0x50e63e(0x2701)](_0x5da27f['data']['message']):_0x5da27f[_0x50e63e(0x155e)]||_0x5da27f[_0x50e63e(0x147f)]()});});}function _0x237c95(){const _0x1620b9=_0x382ffb,_0x3f69ab=angular[_0x1620b9(0x17fe)](_0x109742[_0x1620b9(0x122a)]);return _0x109742[_0x1620b9(0x122a)]=[],_0x3f69ab;}function _0x43d53f(_0x1cc494){const _0xd5f9ef=_0x382ffb,_0x1b1462=_0x545dc8['confirm']()[_0xd5f9ef(0x1386)](_0x578268['instant'](_0xd5f9ef(0x969)))[_0xd5f9ef(0x862)](_0x578268[_0xd5f9ef(0x25cc)](_0xd5f9ef(0xb3c),{'total':_0x109742[_0xd5f9ef(0x122a)][_0xd5f9ef(0xfd0)]}))['ariaLabel'](_0xd5f9ef(0x1e1c))[_0xd5f9ef(0x728)](_0x1cc494)['ok']('OK')[_0xd5f9ef(0x696)](_0x578268[_0xd5f9ef(0x25cc)]('APP.CANCEL'));_0x545dc8[_0xd5f9ef(0xe27)](_0x1b1462)[_0xd5f9ef(0x1cb0)](function(){const _0x3520c1=_0xd5f9ef;_0x109742[_0x3520c1(0x122a)][_0x3520c1(0xf90)](function(_0x4239a4){_0x913fd4(_0x4239a4);}),_0x109742[_0x3520c1(0x122a)]=[];});}function _0x30a18d(){const _0x355c24=_0x382ffb;_0x109742[_0x355c24(0x122a)]=[];}function _0x535062(){const _0x91efa8=_0x382ffb;_0x109742['selectedDispositions']=_0x109742[_0x91efa8(0xdf2)]['rows'];}let _0x3ccbd7=!![],_0x1e27f8=0x1;_0x20f1d6[_0x382ffb(0x614)]('vm.query.filter',function(_0x39c6e9,_0x40e443){const _0x1eba7e=_0x382ffb;_0x3ccbd7?_0x2747c5(function(){_0x3ccbd7=![];}):(!_0x40e443&&(_0x1e27f8=_0x109742['query']['page']),_0x39c6e9!==_0x40e443&&(_0x109742['query'][_0x1eba7e(0x1c7b)]=0x1),!_0x39c6e9&&(_0x109742[_0x1eba7e(0xae2)][_0x1eba7e(0x1c7b)]=_0x1e27f8),_0xa903df());});}const _0x3250e8=_0x7a0825;;_0x417622['$inject']=[_0x5537c6(0xbd6)];function _0x417622(_0x1a8b6d){const _0x160e91=_0x5537c6;_0x1a8b6d[_0x160e91(0x27e0)]('app.tools.dispositions',{'url':_0x160e91(0x2992),'views':{'content@app':{'templateUrl':_0x28a737,'controller':_0x160e91(0x127a)}},'resolve':{'dispositions':['apiResolver',_0x160e91(0x1774),function(_0x1e581d,_0x19795d){const _0x5efd41=_0x160e91;return _0x19795d[_0x5efd41(0x22b6)]('admin')?_0x1e581d[_0x5efd41(0x19a3)]('disposition@get',{'fields':_0x5efd41(0x1f4b),'sort':_0x5efd41(0x282),'MailAccountId':_0x5efd41(0xd38),'FaxAccountId':_0x5efd41(0xd38),'SmsAccountId':_0x5efd41(0xd38),'OpenchannelAccountId':_0x5efd41(0xd38),'ChatWebsiteId':_0x5efd41(0xd38),'WhatsappAccountId':_0x5efd41(0xd38),'ListId':_0x5efd41(0xd38),'limit':0xa,'offset':0x0}):_0x1e581d[_0x5efd41(0x19a3)](_0x5efd41(0x12da),{'id':_0x19795d[_0x5efd41(0x21e8)]()['userProfileId'],'section':_0x5efd41(0x5d1),'fields':_0x5efd41(0x1f4b),'sort':_0x5efd41(0x282),'MailAccountId':_0x5efd41(0xd38),'FaxAccountId':_0x5efd41(0xd38),'SmsAccountId':_0x5efd41(0xd38),'OpenchannelAccountId':_0x5efd41(0xd38),'ChatWebsiteId':_0x5efd41(0xd38),'WhatsappAccountId':'null','ListId':'null','limit':0xa,'offset':0x0});}],'userProfile':['apiResolver',_0x160e91(0x1774),function(_0x35ab44,_0x519d1e){const _0x15e716=_0x160e91;return _0x519d1e['hasRole'](_0x15e716(0x1c60))?null:_0x35ab44['resolve'](_0x15e716(0x9ae),{'fields':_0x15e716(0x279),'id':_0x519d1e[_0x15e716(0x21e8)]()[_0x15e716(0x13c1)]});}],'userProfileSection':[_0x160e91(0x1e0b),_0x160e91(0x1774),function(_0x5866e5,_0x5a44d4){const _0x2b0c08=_0x160e91;return _0x5a44d4[_0x2b0c08(0x22b6)](_0x2b0c08(0x1c60))?null:_0x5866e5['resolve'](_0x2b0c08(0x2182),{'fields':_0x2b0c08(0x1f5f),'userProfileId':_0x5a44d4['getCurrentUser']()[_0x2b0c08(0x13c1)],'sectionId':0x3ea});}]},'authenticate':!![],'permissionId':0x3ea,'bodyClass':_0x160e91(0x10f6)});}angular[_0x5537c6(0x9ab)](_0x5537c6(0x8b4),[])[_0x5537c6(0xa60)](_0x417622)[_0x5537c6(0x6e5)](_0x5537c6(0x201d),_0xe99590)[_0x5537c6(0x6e5)](_0x5537c6(0x1dcf),_0x3250e8);;const _0x3715a8=_0x5074a3['p']+_0x5537c6(0xb5e);;const _0x1845ac=_0x5074a3['p']+_0x5537c6(0x1146);;_0xd4a0e8['$inject']=[_0x5537c6(0xcb9),_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0xfd5),_0x5537c6(0x497),_0x5537c6(0x142b),_0x5537c6(0x1774),'license',_0x5537c6(0x9ca),'crudPermissions'];function _0xd4a0e8(_0x40e33f,_0x14efb9,_0x27efd2,_0x3021c6,_0x1a4d9e,_0x1d2575,_0x2cd9ef,_0x46286f,_0x54212c,_0x3eed10){const _0x557f4e=_0x5537c6,_0x366ce3=this;_0x366ce3[_0x557f4e(0xe76)]=_0x2cd9ef['getCurrentUser'](),_0x366ce3['trigger']=angular[_0x557f4e(0x17fe)](_0x1a4d9e),_0x366ce3['triggers']=angular[_0x557f4e(0x17fe)](_0x3021c6),_0x366ce3['setting']=_0x54212c,_0x366ce3[_0x557f4e(0x8a5)]=_0x46286f,_0x366ce3[_0x557f4e(0x1b1a)]=_0x3eed10,_0x366ce3[_0x557f4e(0xd59)]=![],_0x366ce3[_0x557f4e(0x1a7c)]=[];!_0x366ce3['trigger']&&(_0x366ce3[_0x557f4e(0x497)]={'channel':_0x557f4e(0x1fd4)},_0x366ce3[_0x557f4e(0xd59)]=!![]);_0x366ce3[_0x557f4e(0x6be)]=_0x49f307,_0x366ce3[_0x557f4e(0x1cba)]=_0x528bdc,_0x366ce3[_0x557f4e(0x2783)]=_0x5ea697,_0x366ce3['closeDialog']=_0x28b62b;function _0x49f307(){const _0x136ed9=_0x557f4e;_0x366ce3[_0x136ed9(0x1a7c)]=[],_0x1d2575[_0x136ed9(0x497)][_0x136ed9(0x1c3f)](_0x366ce3[_0x136ed9(0x497)])[_0x136ed9(0x1d77)][_0x136ed9(0x1cb0)](function(_0x4c9e52){const _0x5d5f0b=_0x136ed9;_0x366ce3[_0x5d5f0b(0xfd5)][_0x5d5f0b(0xf63)](_0x4c9e52[_0x5d5f0b(0x19b2)]()),_0x27efd2['success']({'title':_0x14efb9[_0x5d5f0b(0x25cc)](_0x5d5f0b(0x1ab0))}),_0x28b62b(_0x366ce3['triggers']);})[_0x136ed9(0x1c4)](function(_0xe0fbb){const _0x35d845=_0x136ed9;if(_0xe0fbb[_0x35d845(0x25c)]&&_0xe0fbb[_0x35d845(0x25c)][_0x35d845(0x1a7c)]&&_0xe0fbb[_0x35d845(0x25c)]['errors'][_0x35d845(0xfd0)]){_0x366ce3[_0x35d845(0x1a7c)]=_0xe0fbb[_0x35d845(0x25c)][_0x35d845(0x1a7c)]||[{'message':_0xe0fbb[_0x35d845(0x147f)](),'type':_0x35d845(0xfa3)}];for(let _0x47466e=0x0;_0x47466e<_0xe0fbb['data'][_0x35d845(0x1a7c)][_0x35d845(0xfd0)];_0x47466e+=0x1){_0x27efd2['error']({'title':_0xe0fbb['data'][_0x35d845(0x1a7c)][_0x47466e]['type'],'msg':_0xe0fbb[_0x35d845(0x25c)][_0x35d845(0x1a7c)][_0x47466e][_0x35d845(0x155e)]});}}else _0x27efd2[_0x35d845(0x218e)]({'title':_0xe0fbb['status']?'API:'+_0xe0fbb[_0x35d845(0x291)]+'\x20-\x20'+_0xe0fbb[_0x35d845(0xc22)]:_0x35d845(0xfa3),'msg':_0xe0fbb[_0x35d845(0x25c)]?JSON[_0x35d845(0x2701)](_0xe0fbb[_0x35d845(0x25c)][_0x35d845(0x155e)]):_0xe0fbb[_0x35d845(0x147f)]()});});}function _0x528bdc(){const _0x3a2970=_0x557f4e;_0x366ce3['errors']=[],_0x1d2575['trigger'][_0x3a2970(0x687)]({'id':_0x366ce3[_0x3a2970(0x497)]['id']},_0x366ce3[_0x3a2970(0x497)])[_0x3a2970(0x1d77)][_0x3a2970(0x1cb0)](function(){const _0x40cdcf=_0x3a2970;_0x366ce3[_0x40cdcf(0xfd5)]=_0x39641b()[_0x40cdcf(0x1de2)](_0x366ce3['triggers'],function(_0x1673a3){const _0x22a93c=_0x40cdcf;if(_0x1673a3['id']===_0x366ce3[_0x22a93c(0x497)]['id'])return _0x366ce3[_0x22a93c(0x497)];else return _0x1673a3;}),_0x27efd2[_0x40cdcf(0x829)]({'title':_0x14efb9[_0x40cdcf(0x25cc)](_0x40cdcf(0x1b37))}),_0x28b62b(_0x366ce3[_0x40cdcf(0xfd5)]);})[_0x3a2970(0x1c4)](function(_0x33adb4){const _0x3f120a=_0x3a2970;if(_0x33adb4['data']&&_0x33adb4['data'][_0x3f120a(0x1a7c)]&&_0x33adb4[_0x3f120a(0x25c)][_0x3f120a(0x1a7c)][_0x3f120a(0xfd0)]){_0x366ce3[_0x3f120a(0x1a7c)]=_0x33adb4[_0x3f120a(0x25c)][_0x3f120a(0x1a7c)]||[{'message':_0x33adb4['toString'](),'type':_0x3f120a(0x1311)}];for(let _0x41af19=0x0;_0x41af19<_0x33adb4['data'][_0x3f120a(0x1a7c)][_0x3f120a(0xfd0)];_0x41af19++){_0x27efd2[_0x3f120a(0x218e)]({'title':_0x33adb4[_0x3f120a(0x25c)][_0x3f120a(0x1a7c)][_0x41af19][_0x3f120a(0x66a)],'msg':_0x33adb4['data'][_0x3f120a(0x1a7c)][_0x41af19][_0x3f120a(0x155e)]});}}else _0x27efd2['error']({'title':_0x33adb4[_0x3f120a(0x291)]?_0x3f120a(0xeb9)+_0x33adb4[_0x3f120a(0x291)]+'\x20-\x20'+_0x33adb4[_0x3f120a(0xc22)]:_0x3f120a(0x1311),'msg':_0x33adb4[_0x3f120a(0x25c)]?JSON[_0x3f120a(0x2701)](_0x33adb4[_0x3f120a(0x25c)][_0x3f120a(0x155e)]):_0x33adb4[_0x3f120a(0x147f)]()});});}function _0x5ea697(_0x306017){const _0x7955cd=_0x557f4e;_0x366ce3[_0x7955cd(0x1a7c)]=[];const _0x2dd21b=_0x40e33f[_0x7955cd(0x1551)]({'skipHide':!![]})['title'](_0x14efb9[_0x7955cd(0x25cc)](_0x7955cd(0x5ad)))[_0x7955cd(0x862)](_0x14efb9['instant'](_0x7955cd(0x666),{'name':_0x366ce3[_0x7955cd(0x497)]['name']}))['ariaLabel'](_0x7955cd(0x936))['ok'](_0x14efb9[_0x7955cd(0x25cc)](_0x7955cd(0x2966)))['cancel'](_0x14efb9[_0x7955cd(0x25cc)](_0x7955cd(0x2768)))['targetEvent'](_0x306017);_0x40e33f['show'](_0x2dd21b)['then'](function(){const _0x21990f=_0x7955cd;_0x1d2575['trigger'][_0x21990f(0x111d)]({'id':_0x366ce3[_0x21990f(0x497)]['id']})[_0x21990f(0x1d77)]['then'](function(){const _0x53d1a0=_0x21990f;_0x366ce3[_0x53d1a0(0xfd5)]=_0x39641b()[_0x53d1a0(0x1c99)](_0x366ce3[_0x53d1a0(0xfd5)],function(_0xb5bc54){const _0x4dcf2f=_0x53d1a0;return _0xb5bc54['id']!==_0x366ce3[_0x4dcf2f(0x497)]['id'];}),_0x27efd2['success']({'title':_0x14efb9['instant'](_0x53d1a0(0xf29))}),_0x28b62b(_0x366ce3[_0x53d1a0(0xfd5)]);})[_0x21990f(0x1c4)](function(_0x155f70){const _0x676a01=_0x21990f;if(_0x155f70[_0x676a01(0x25c)]&&_0x155f70[_0x676a01(0x25c)]['errors']&&_0x155f70[_0x676a01(0x25c)][_0x676a01(0x1a7c)][_0x676a01(0xfd0)]){_0x366ce3['errors']=_0x155f70['data'][_0x676a01(0x1a7c)]||[{'message':_0x155f70[_0x676a01(0x147f)](),'type':_0x676a01(0x367)}];for(let _0x147509=0x0;_0x147509<_0x155f70[_0x676a01(0x25c)][_0x676a01(0x1a7c)][_0x676a01(0xfd0)];_0x147509++){_0x27efd2[_0x676a01(0x218e)]({'title':_0x155f70[_0x676a01(0x25c)][_0x676a01(0x1a7c)][_0x147509][_0x676a01(0x66a)],'msg':_0x155f70[_0x676a01(0x25c)]['errors'][_0x147509][_0x676a01(0x155e)]});}}else _0x27efd2[_0x676a01(0x218e)]({'title':_0x155f70[_0x676a01(0x291)]?'API:'+_0x155f70[_0x676a01(0x291)]+_0x676a01(0x1657)+_0x155f70['statusText']:_0x676a01(0x367),'msg':_0x155f70['data']?JSON[_0x676a01(0x2701)](_0x155f70[_0x676a01(0x25c)][_0x676a01(0x155e)]):_0x155f70['message']||_0x155f70[_0x676a01(0x147f)]()});});},function(){});}function _0x28b62b(_0x56c656){_0x40e33f['hide'](_0x56c656);}}const _0x368d5c=_0xd4a0e8;;const _0x53fab7=_0x5074a3['p']+_0x5537c6(0x1dd6);;_0x4a4bf6[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1ae),'$timeout',_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x9bf),'api',_0x5537c6(0x1774)];function _0x4a4bf6(_0x6a41f2,_0x1fd159,_0x30a635,_0x2b6a64,_0x38788a,_0x1dfebd,_0x13c12f,_0x3aed54){const _0x552050=_0x5537c6,_0xda3dc6=this;_0xda3dc6['currentUser']=_0x3aed54[_0x552050(0x21e8)](),_0xda3dc6[_0x552050(0x497)]={},_0xda3dc6[_0x552050(0x93c)]={'count':0x0,'rows':[]},_0xda3dc6['selectedTriggerActions']=[],_0xda3dc6[_0x552050(0xae2)]={'fields':_0x552050(0x868),'limit':0xa,'page':0x1},_0xda3dc6['integrationTypes']={'zendesk':_0x552050(0x333),'salesforce':_0x552050(0x2558),'sugarcrm':_0x552050(0x1f4),'freshdesk':_0x552050(0x3f2),'desk':'Desk','zoho':_0x552050(0x1350),'vtiger':'vTiger','dynamics365':_0x552050(0x1615),'servicenow':'ServiceNow','freshsales':'Freshsales'},_0xda3dc6['motionBarOptions']={0x0:_0x552050(0x22c3),0x1:'CTI\x20URL',0x2:'Windows\x20App'},_0xda3dc6[_0x552050(0x1a34)]=_0x4c4a85,_0xda3dc6[_0x552050(0x829)]=_0x454094,_0xda3dc6[_0x552050(0x1a96)]=_0x4e2f77,_0xda3dc6['createOrEditTriggerAction']=_0x3d482c,_0xda3dc6[_0x552050(0x275d)]=_0x3c7fb8,_0xda3dc6['deleteConfirm']=_0x3357b8,_0xda3dc6['deleteSelectedTriggerActions']=_0x3a8410;function _0x4c4a85(_0x5492ec,_0x55d7a6){const _0x576d3d=_0x552050;_0xda3dc6[_0x576d3d(0x497)]=_0x5492ec,_0xda3dc6[_0x576d3d(0x1b1a)]=typeof _0x55d7a6!==_0x576d3d(0x16b5)?_0x55d7a6:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0xda3dc6[_0x576d3d(0xae2)][_0x576d3d(0x13aa)]=_0xda3dc6['trigger']['id'],_0xda3dc6[_0x576d3d(0xae2)]['id']=_0xda3dc6[_0x576d3d(0x497)]['id'],_0x4e2f77();}function _0x4c087a(){const _0x2e0d3e=_0x552050;_0x3aed54['isAdmin']()?_0x13c12f[_0x2e0d3e(0x22f2)]['get']({'fields':_0x2e0d3e(0x43c),'sort':_0x2e0d3e(0x16b6),'nolimit':'true'})[_0x2e0d3e(0x1d77)][_0x2e0d3e(0x1cb0)](function(_0x58ed1c){const _0xcc180f=_0x2e0d3e;_0xda3dc6[_0xcc180f(0x1046)]=_0x58ed1c[_0xcc180f(0x2214)]||[];})[_0x2e0d3e(0x1c4)](function(_0x34f331){const _0x47981b=_0x2e0d3e;_0x1dfebd['error']({'title':_0x34f331[_0x47981b(0x291)]?_0x47981b(0xeb9)+_0x34f331['status']+'\x20-\x20'+_0x34f331[_0x47981b(0xc22)]:'SYSTEM:GET_LISTS','msg':_0x34f331[_0x47981b(0x25c)]?JSON[_0x47981b(0x2701)](_0x34f331[_0x47981b(0x25c)]):_0x34f331[_0x47981b(0x147f)]()});}):_0x13c12f[_0x2e0d3e(0x22f2)][_0x2e0d3e(0xbf7)]({'fields':_0x2e0d3e(0x43c),'sort':_0x2e0d3e(0x16b6),'nolimit':_0x2e0d3e(0x44d)})['$promise'][_0x2e0d3e(0x1cb0)](function(_0x449a8d){const _0x498fb9=_0x2e0d3e;_0xda3dc6[_0x498fb9(0x1046)]=_0x449a8d['rows']||[];})[_0x2e0d3e(0x1cb0)](function(){const _0x533f3c=_0x2e0d3e;return _0x13c12f[_0x533f3c(0x2199)][_0x533f3c(0xbf7)]({'userProfileId':_0xda3dc6[_0x533f3c(0xe76)][_0x533f3c(0x13c1)],'sectionId':0x12d})['$promise'];})[_0x2e0d3e(0x1cb0)](function(_0xf8bd12){const _0x1b99cf=_0x2e0d3e,_0x6c1605=_0xf8bd12&&_0xf8bd12[_0x1b99cf(0x2214)]?_0xf8bd12['rows'][0x0]:null;if(!_0x6c1605){const _0x23e60b=[],_0x1298f4=[];_0xda3dc6[_0x1b99cf(0x93c)][_0x1b99cf(0x2214)][_0x1b99cf(0xf90)](function(_0x4da54e){const _0x4a4e8c=_0x1b99cf;if(_0x4da54e[_0x4a4e8c(0x269a)]==='contactManager'){const _0x3a9f51=_0x39641b()[_0x4a4e8c(0x13b4)](_0xda3dc6[_0x4a4e8c(0x1046)],{'id':Number(_0x4da54e['data1'])});_0x1298f4['push'](_0x3a9f51);}});for(let _0x5a2bae=0x0;_0x5a2bae<_0xda3dc6[_0x1b99cf(0x1046)]['length'];_0x5a2bae++){const _0x119f2f=_0x39641b()[_0x1b99cf(0x727)](_0x1298f4,{'id':_0xda3dc6[_0x1b99cf(0x1046)][_0x5a2bae]['id']});_0x119f2f&&(_0xda3dc6[_0x1b99cf(0x1046)][_0x5a2bae]['canSelect']=![],_0x23e60b[_0x1b99cf(0x2785)](_0xda3dc6['lists'][_0x5a2bae]));}_0xda3dc6[_0x1b99cf(0x1046)]=_0x23e60b;}else{if(!_0x6c1605[_0x1b99cf(0x12f4)])return _0x13c12f[_0x1b99cf(0x1198)][_0x1b99cf(0xbf7)]({'sectionId':_0x6c1605['id']})[_0x1b99cf(0x1d77)][_0x1b99cf(0x1cb0)](function(_0x2d062e){const _0x455749=_0x1b99cf,_0x52bb8b=_0x39641b()[_0x455749(0x1de2)](_0x2d062e[_0x455749(0x2214)],function(_0x1c4b19){const _0x8519d6=_0x455749;return _0x39641b()[_0x8519d6(0x13b4)](_0xda3dc6[_0x8519d6(0x1046)],{'id':_0x1c4b19[_0x8519d6(0x2982)]});});let _0x13abdd=null;_0x13abdd=[],_0xda3dc6[_0x455749(0x93c)][_0x455749(0x2214)]['forEach'](function(_0x32a289){const _0x9e0412=_0x455749;if(_0x32a289['action']===_0x9e0412(0xd68)){const _0x59f5d9=_0x39641b()[_0x9e0412(0x13b4)](_0xda3dc6['lists'],{'id':Number(_0x32a289[_0x9e0412(0x200a)])});_0x13abdd['push'](_0x59f5d9);}}),!_0x39641b()[_0x455749(0xce9)](_0x13abdd)&&_0x13abdd[_0x455749(0xf90)](function(_0x3eddc4){const _0x36cdb7=_0x455749;if(!_0x39641b()[_0x36cdb7(0x727)](_0x52bb8b,['id',_0x3eddc4['id']])){const _0xc98d76=_0x39641b()['find'](_0xda3dc6[_0x36cdb7(0x1046)],{'id':_0x3eddc4['id']});_0xc98d76[_0x36cdb7(0x15da)]=![],_0x52bb8b[_0x36cdb7(0x2785)](_0xc98d76);}}),_0xda3dc6['lists']=_0x52bb8b;});}})['catch'](function(_0x11af66){const _0x58b4d8=_0x2e0d3e;_0x1dfebd[_0x58b4d8(0x218e)]({'title':_0x11af66[_0x58b4d8(0x291)]?_0x58b4d8(0xeb9)+_0x11af66[_0x58b4d8(0x291)]+_0x58b4d8(0x1657)+_0x11af66['statusText']:_0x58b4d8(0x113c),'msg':_0x11af66[_0x58b4d8(0x25c)]?JSON[_0x58b4d8(0x2701)](_0x11af66['data']):_0x11af66[_0x58b4d8(0x147f)]()});});}function _0x2bdbc5(){const _0x9e41d8=_0x552050,_0x1e5060=_0x39641b()(_0xda3dc6['triggerActions'][_0x9e41d8(0x2214)])[_0x9e41d8(0x1de2)](function(_0x1439a5){const _0x50a19f=_0x9e41d8;return _0x1439a5[_0x50a19f(0x269a)]==='integration'?_0x1439a5[_0x50a19f(0x200a)]:undefined;})[_0x9e41d8(0xc67)]()[_0x9e41d8(0x14bc)]()[_0x9e41d8(0x327)]();_0x1e5060[_0x9e41d8(0xf90)](function(_0x253f47){const _0x970be9=_0x9e41d8,_0x20e901=_0x253f47+_0x970be9(0x170d);if(!_0xda3dc6[_0x20e901]){const _0x2f1af1=_0x970be9(0x68b)+_0x39641b()[_0x970be9(0xa75)](_0x253f47)+_0x970be9(0x1649);_0x13c12f[_0x2f1af1][_0x970be9(0xbf7)]({'fields':_0x970be9(0x43c),'sort':'id','nolimit':_0x970be9(0x44d)})['$promise'][_0x970be9(0x1cb0)](function(_0x324a24){const _0x41f85c=_0x970be9;_0xda3dc6[_0x20e901]=_0x324a24[_0x41f85c(0x2214)]||[];})['catch'](function(_0x1c36f1){const _0x4f5b3a=_0x970be9;_0x1dfebd['error']({'title':_0x1c36f1[_0x4f5b3a(0x291)]?_0x4f5b3a(0xeb9)+_0x1c36f1[_0x4f5b3a(0x291)]+_0x4f5b3a(0x1657)+_0x1c36f1[_0x4f5b3a(0xc22)]:_0x4f5b3a(0x21df)+_0x20e901[_0x4f5b3a(0x2335)]()+_0x4f5b3a(0x21e),'msg':_0x1c36f1[_0x4f5b3a(0x25c)]?JSON[_0x4f5b3a(0x2701)](_0x1c36f1[_0x4f5b3a(0x25c)]):_0x1c36f1[_0x4f5b3a(0x147f)]()});});}});}function _0x31aa75(){const _0x31f430=_0x552050;_0x3aed54[_0x31f430(0x10fc)]()?_0x13c12f[_0x31f430(0x1c21)][_0x31f430(0xbf7)]({'fields':_0x31f430(0x43c),'sort':_0x31f430(0x16b6),'nolimit':_0x31f430(0x44d)})[_0x31f430(0x1d77)][_0x31f430(0x1cb0)](function(_0x5dea8d){const _0x5100ec=_0x31f430;_0xda3dc6[_0x5100ec(0x2981)]=_0x5dea8d[_0x5100ec(0x2214)]||[];})['catch'](function(_0x23bd8b){const _0x1419ea=_0x31f430;_0x1dfebd[_0x1419ea(0x218e)]({'title':_0x23bd8b[_0x1419ea(0x291)]?_0x1419ea(0xeb9)+_0x23bd8b[_0x1419ea(0x291)]+_0x1419ea(0x1657)+_0x23bd8b['statusText']:_0x1419ea(0x214c),'msg':_0x23bd8b[_0x1419ea(0x25c)]?JSON[_0x1419ea(0x2701)](_0x23bd8b[_0x1419ea(0x25c)]):_0x23bd8b[_0x1419ea(0x147f)]()});}):_0x13c12f['jscriptyProject'][_0x31f430(0xbf7)]({'fields':_0x31f430(0x43c),'sort':_0x31f430(0x16b6),'nolimit':_0x31f430(0x44d)})[_0x31f430(0x1d77)]['then'](function(_0x27374e){const _0x57ad5f=_0x31f430;_0xda3dc6[_0x57ad5f(0x2981)]=_0x27374e['rows']||[];})['then'](function(){const _0x6e12d4=_0x31f430;return _0x13c12f[_0x6e12d4(0x2199)][_0x6e12d4(0xbf7)]({'userProfileId':_0xda3dc6['currentUser'][_0x6e12d4(0x13c1)],'sectionId':0x6a5})['$promise'];})['then'](function(_0x265d77){const _0x1d279a=_0x31f430,_0x19cfcd=_0x265d77&&_0x265d77[_0x1d279a(0x2214)]?_0x265d77[_0x1d279a(0x2214)][0x0]:null;if(!_0x19cfcd){const _0x385705=[],_0x326541=[];_0xda3dc6[_0x1d279a(0x93c)][_0x1d279a(0x2214)][_0x1d279a(0xf90)](function(_0x4955ef){const _0x413890=_0x1d279a;if(_0x4955ef['action']===_0x413890(0x1e98)){const _0x5756de=_0x39641b()[_0x413890(0x13b4)](_0xda3dc6['projects'],{'id':Number(_0x4955ef['data1'])});_0x326541['push'](_0x5756de);}});for(let _0x5c424d=0x0;_0x5c424d<_0xda3dc6[_0x1d279a(0x2981)]['length'];_0x5c424d++){const _0x274949=_0x39641b()[_0x1d279a(0x727)](_0x326541,{'id':_0xda3dc6[_0x1d279a(0x2981)][_0x5c424d]['id']});_0x274949&&(_0xda3dc6['projects'][_0x5c424d][_0x1d279a(0x15da)]=![],_0x385705[_0x1d279a(0x2785)](_0xda3dc6[_0x1d279a(0x2981)][_0x5c424d]));}_0xda3dc6[_0x1d279a(0x2981)]=_0x385705;}else{if(!_0x19cfcd['autoAssociation'])return _0x13c12f[_0x1d279a(0x1198)]['get']({'sectionId':_0x19cfcd['id']})[_0x1d279a(0x1d77)][_0x1d279a(0x1cb0)](function(_0x2737b6){const _0x4d2b47=_0x1d279a,_0x43d076=_0x39641b()[_0x4d2b47(0x1de2)](_0x2737b6[_0x4d2b47(0x2214)],function(_0xd76ae4){const _0xf0952a=_0x4d2b47;return _0x39641b()[_0xf0952a(0x13b4)](_0xda3dc6[_0xf0952a(0x2981)],{'id':_0xd76ae4[_0xf0952a(0x2982)]});});let _0x40e210=null;_0x40e210=[],_0xda3dc6[_0x4d2b47(0x93c)][_0x4d2b47(0x2214)][_0x4d2b47(0xf90)](function(_0x2b148d){const _0x163ba1=_0x4d2b47;if(_0x2b148d['action']==='jscripty'){const _0x1895e4=_0x39641b()[_0x163ba1(0x13b4)](_0xda3dc6[_0x163ba1(0x2981)],{'id':Number(_0x2b148d[_0x163ba1(0x200a)])});_0x40e210[_0x163ba1(0x2785)](_0x1895e4);}}),!_0x39641b()['isEmpty'](_0x40e210)&&_0x40e210[_0x4d2b47(0xf90)](function(_0x11cadc){const _0xe8ceb2=_0x4d2b47;if(!_0x39641b()['some'](_0x43d076,['id',_0x11cadc['id']])){const _0x52d8d2=_0x39641b()[_0xe8ceb2(0x13b4)](_0xda3dc6[_0xe8ceb2(0x2981)],{'id':_0x11cadc['id']});_0x52d8d2['canSelect']=![],_0x43d076['push'](_0x52d8d2);}}),_0xda3dc6[_0x4d2b47(0x2981)]=_0x43d076;});}})['catch'](function(_0x52d19a){const _0x5b61c7=_0x31f430;_0x1dfebd[_0x5b61c7(0x218e)]({'title':_0x52d19a[_0x5b61c7(0x291)]?'API:'+_0x52d19a[_0x5b61c7(0x291)]+_0x5b61c7(0x1657)+_0x52d19a['statusText']:_0x5b61c7(0x2101),'msg':_0x52d19a[_0x5b61c7(0x25c)]?JSON[_0x5b61c7(0x2701)](_0x52d19a[_0x5b61c7(0x25c)]):_0x52d19a[_0x5b61c7(0x147f)]()});});}function _0x3bc811(){const _0x5cbdc6=_0x552050;_0x3aed54[_0x5cbdc6(0x10fc)]()?_0x13c12f[_0x5cbdc6(0x2330)]['get']({'fields':_0x5cbdc6(0x43c),'sort':'id','nolimit':_0x5cbdc6(0x44d)})[_0x5cbdc6(0x1d77)][_0x5cbdc6(0x1cb0)](function(_0x7d8411){const _0x4c5810=_0x5cbdc6;_0xda3dc6[_0x4c5810(0x9b4)]=_0x7d8411[_0x4c5810(0x2214)]||[];})[_0x5cbdc6(0x1c4)](function(_0x42ed2a){const _0x4a4ad0=_0x5cbdc6;_0x1dfebd['error']({'title':_0x42ed2a[_0x4a4ad0(0x291)]?'API:'+_0x42ed2a[_0x4a4ad0(0x291)]+_0x4a4ad0(0x1657)+_0x42ed2a[_0x4a4ad0(0xc22)]:_0x4a4ad0(0x1362),'msg':_0x42ed2a[_0x4a4ad0(0x25c)]?JSON[_0x4a4ad0(0x2701)](_0x42ed2a['data']):_0x42ed2a['toString']()});}):_0x13c12f[_0x5cbdc6(0x2330)][_0x5cbdc6(0xbf7)]({'fields':_0x5cbdc6(0x43c),'sort':'id','nolimit':_0x5cbdc6(0x44d)})[_0x5cbdc6(0x1d77)][_0x5cbdc6(0x1cb0)](function(_0x1b4859){const _0x144b1a=_0x5cbdc6;_0xda3dc6[_0x144b1a(0x9b4)]=_0x1b4859[_0x144b1a(0x2214)]||[];})[_0x5cbdc6(0x1cb0)](function(){const _0x2b7786=_0x5cbdc6;return _0x13c12f[_0x2b7786(0x2199)][_0x2b7786(0xbf7)]({'userProfileId':_0xda3dc6[_0x2b7786(0xe76)]['userProfileId'],'sectionId':0x3f1})[_0x2b7786(0x1d77)];})[_0x5cbdc6(0x1cb0)](function(_0xd59a9a){const _0x13de71=_0x5cbdc6,_0x533ee1=_0xd59a9a&&_0xd59a9a[_0x13de71(0x2214)]?_0xd59a9a[_0x13de71(0x2214)][0x0]:null;if(!_0x533ee1){const _0x389942=[],_0x5014db=[];_0xda3dc6[_0x13de71(0x93c)]['rows'][_0x13de71(0xf90)](function(_0x3eac42){const _0x14a485=_0x13de71;if(_0x39641b()['includes'](['motionbar',_0x14a485(0x64f)],_0x3eac42[_0x14a485(0x269a)])){const _0x112bef=_0x39641b()[_0x14a485(0x13b4)](_0xda3dc6[_0x14a485(0x9b4)],{'id':Number(_0x3eac42[_0x14a485(0xdde)])});_0x5014db[_0x14a485(0x2785)](_0x112bef);}});for(let _0x415708=0x0;_0x415708<_0xda3dc6[_0x13de71(0x9b4)]['length'];_0x415708++){const _0x3cc4b0=_0x39641b()[_0x13de71(0x727)](_0x5014db,{'id':_0xda3dc6[_0x13de71(0x9b4)][_0x415708]['id']});_0x3cc4b0&&(_0xda3dc6[_0x13de71(0x9b4)][_0x415708][_0x13de71(0x15da)]=![],_0x389942[_0x13de71(0x2785)](_0xda3dc6['templates'][_0x415708]));}_0xda3dc6[_0x13de71(0x9b4)]=_0x389942;}else{if(!_0x533ee1[_0x13de71(0x12f4)])return _0x13c12f[_0x13de71(0x1198)][_0x13de71(0xbf7)]({'sectionId':_0x533ee1['id']})[_0x13de71(0x1d77)][_0x13de71(0x1cb0)](function(_0x39e07a){const _0x3fc7a1=_0x13de71,_0x389855=_0x39641b()['map'](_0x39e07a[_0x3fc7a1(0x2214)],function(_0x36d2ba){const _0x5da75f=_0x3fc7a1;return _0x39641b()['find'](_0xda3dc6[_0x5da75f(0x9b4)],{'id':_0x36d2ba[_0x5da75f(0x2982)]});});let _0xed7653=null;_0xed7653=[],_0xda3dc6[_0x3fc7a1(0x93c)][_0x3fc7a1(0x2214)][_0x3fc7a1(0xf90)](function(_0x2aeaae){const _0x221c03=_0x3fc7a1;if(_0x39641b()[_0x221c03(0x250a)](['motionbar','browser'],_0x2aeaae[_0x221c03(0x269a)])){const _0x1a51e3=_0x39641b()['find'](_0xda3dc6[_0x221c03(0x9b4)],{'id':Number(_0x2aeaae[_0x221c03(0xdde)])});_0xed7653[_0x221c03(0x2785)](_0x1a51e3);}}),!_0x39641b()[_0x3fc7a1(0xce9)](_0xed7653)&&_0xed7653[_0x3fc7a1(0xf90)](function(_0x5a4d88){const _0x477ac8=_0x3fc7a1;if(!_0x39641b()[_0x477ac8(0x727)](_0x389855,['id',_0x5a4d88['id']])){const _0x465734=_0x39641b()['find'](_0xda3dc6[_0x477ac8(0x9b4)],{'id':_0x5a4d88['id']});_0x465734[_0x477ac8(0x15da)]=![],_0x389855[_0x477ac8(0x2785)](_0x465734);}}),_0xda3dc6[_0x3fc7a1(0x9b4)]=_0x389855;});}})[_0x5cbdc6(0x1c4)](function(_0x30daca){const _0x13a70f=_0x5cbdc6;_0x1dfebd['error']({'title':_0x30daca[_0x13a70f(0x291)]?'API:'+_0x30daca['status']+'\x20-\x20'+_0x30daca[_0x13a70f(0xc22)]:_0x13a70f(0x1362),'msg':_0x30daca[_0x13a70f(0x25c)]?JSON[_0x13a70f(0x2701)](_0x30daca[_0x13a70f(0x25c)]):_0x30daca[_0x13a70f(0x147f)]()});});}function _0x494fbd(){const _0x39582f=_0x552050;if(_0x39641b()['some'](_0xda3dc6[_0x39582f(0x93c)][_0x39582f(0x2214)],[_0x39582f(0x269a),_0x39582f(0xd68)])){if(!_0xda3dc6[_0x39582f(0x1046)])_0x4c087a();}_0x39641b()[_0x39582f(0x727)](_0xda3dc6['triggerActions'][_0x39582f(0x2214)],['action',_0x39582f(0x502)])&&_0x2bdbc5();if(_0x39641b()[_0x39582f(0x727)](_0xda3dc6[_0x39582f(0x93c)][_0x39582f(0x2214)],function(_0x23e0ad){const _0xcc8eba=_0x39582f;return _0x39641b()[_0xcc8eba(0x250a)]([_0xcc8eba(0x250e),'browser'],_0x23e0ad[_0xcc8eba(0x269a)]);})){if(!_0xda3dc6[_0x39582f(0x9b4)])_0x3bc811();}if(_0x39641b()['some'](_0xda3dc6[_0x39582f(0x93c)][_0x39582f(0x2214)],['action',_0x39582f(0x1e98)])){if(!_0xda3dc6[_0x39582f(0x2981)])_0x31aa75();}}function _0x454094(_0x4a66c6){const _0x45e06e=_0x552050;_0xda3dc6[_0x45e06e(0x93c)]=_0x4a66c6||{'count':0x0,'rows':[]},_0x494fbd();}function _0x4e2f77(){const _0x46f20d=_0x552050;_0xda3dc6[_0x46f20d(0xae2)][_0x46f20d(0x184b)]=(_0xda3dc6['query'][_0x46f20d(0x1c7b)]-0x1)*_0xda3dc6[_0x46f20d(0xae2)][_0x46f20d(0x236)],_0xda3dc6['promise']=_0x13c12f[_0x46f20d(0x497)]['getActions'](_0xda3dc6[_0x46f20d(0xae2)],_0x454094)['$promise'];}function _0x3d482c(_0x321fee,_0x32f3e6){const _0x1e24c2=_0x552050;_0x2b6a64[_0x1e24c2(0xe27)]({'controller':_0x1e24c2(0x1fad),'controllerAs':'vm','templateUrl':_0x53fab7,'parent':angular[_0x1e24c2(0x1853)](_0x38788a['body']),'targetEvent':_0x321fee,'clickOutsideToClose':!![],'locals':{'trigger':_0xda3dc6[_0x1e24c2(0x497)],'action':_0x32f3e6,'actions':_0xda3dc6['triggerActions']['rows'],'license':null,'setting':null,'crudPermissions':_0xda3dc6[_0x1e24c2(0x1b1a)]}})[_0x1e24c2(0x1cb0)](function(_0x1525b2){const _0x565b73=_0x1e24c2;_0x1525b2&&(_0xda3dc6[_0x565b73(0x93c)]={'count':_0x1525b2['length'],'rows':_0x1525b2},_0x494fbd());});}function _0x3357b8(_0x4d49ee,_0x12776a){const _0x25f0ec=_0x552050,_0x719f69=_0x2b6a64[_0x25f0ec(0x1551)]()[_0x25f0ec(0x1386)](_0x1fd159[_0x25f0ec(0x25cc)](_0x25f0ec(0x1f20)))[_0x25f0ec(0x15ad)](_0x25f0ec(0x17de))[_0x25f0ec(0x728)](_0x12776a)['ok']('OK')[_0x25f0ec(0x696)](_0x1fd159[_0x25f0ec(0x25cc)]('APP.CANCEL'));_0x2b6a64[_0x25f0ec(0xe27)](_0x719f69)[_0x25f0ec(0x1cb0)](function(){_0x335788(_0x4d49ee,!![]);});}function _0x335788(_0x1ea538,_0x42dd5b){const _0x293a0b=_0x552050;_0x13c12f[_0x293a0b(0x269a)][_0x293a0b(0x111d)]({'id':_0x1ea538['id']})[_0x293a0b(0x1d77)][_0x293a0b(0x1cb0)](function(){const _0x4a4ac2=_0x293a0b;_0x4e2f77(),_0x42dd5b&&_0x1dfebd[_0x4a4ac2(0x829)]({'title':_0x1fd159[_0x4a4ac2(0x25cc)](_0x4a4ac2(0x1406))});})[_0x293a0b(0x1c4)](function(_0x4dd59d){const _0x35a334=_0x293a0b;if(_0x4dd59d['data']&&_0x4dd59d[_0x35a334(0x25c)]['errors']&&_0x4dd59d[_0x35a334(0x25c)][_0x35a334(0x1a7c)][_0x35a334(0xfd0)]){_0xda3dc6[_0x35a334(0x1a7c)]=_0x4dd59d['data']['errors']||[{'message':_0x4dd59d[_0x35a334(0x147f)](),'type':_0x35a334(0x15e7)}];for(let _0x1cd743=0x0;_0x1cd743<_0x4dd59d['data'][_0x35a334(0x1a7c)]['length'];_0x1cd743++){_0x1dfebd['error']({'title':_0x4dd59d['data'][_0x35a334(0x1a7c)][_0x1cd743][_0x35a334(0x66a)],'msg':_0x4dd59d[_0x35a334(0x25c)][_0x35a334(0x1a7c)][_0x1cd743][_0x35a334(0x155e)]});}}else _0x1dfebd[_0x35a334(0x218e)]({'title':_0x4dd59d[_0x35a334(0x291)]?_0x35a334(0xeb9)+_0x4dd59d[_0x35a334(0x291)]+_0x35a334(0x1657)+_0x4dd59d['statusText']:'SYSTEM:GETtrigger','msg':_0x4dd59d[_0x35a334(0x25c)]?JSON[_0x35a334(0x2701)](_0x4dd59d['data'][_0x35a334(0x155e)]):_0x4dd59d[_0x35a334(0x155e)]||_0x4dd59d['toString']()});});}function _0x3c7fb8(){const _0x68804d=_0x552050,_0xddaa73=angular[_0x68804d(0x17fe)](_0xda3dc6[_0x68804d(0x2163)]);return _0xda3dc6[_0x68804d(0x2163)]=[],_0xddaa73;}function _0x3a8410(_0x453b1c){const _0x57d6ef=_0x552050,_0x58db34=_0x2b6a64[_0x57d6ef(0x1551)]()[_0x57d6ef(0x1386)](_0x1fd159['instant'](_0x57d6ef(0xfe8)))[_0x57d6ef(0x862)](_0x1fd159[_0x57d6ef(0x25cc)]('TOOLS.NOTIFICATIONS.ACTIONS_DELETE_MESSAGE',{'total':_0xda3dc6[_0x57d6ef(0x2163)][_0x57d6ef(0xfd0)]}))[_0x57d6ef(0x15ad)](_0x57d6ef(0xfd8))[_0x57d6ef(0x728)](_0x453b1c)['ok']('OK')[_0x57d6ef(0x696)](_0x1fd159[_0x57d6ef(0x25cc)](_0x57d6ef(0x2768)));_0x2b6a64[_0x57d6ef(0xe27)](_0x58db34)[_0x57d6ef(0x1cb0)](function(){const _0x571623=_0x57d6ef;_0xda3dc6[_0x571623(0x2163)][_0x571623(0xf90)](function(_0x2fe6e6){_0x335788(_0x2fe6e6);}),_0xda3dc6[_0x571623(0x2163)]=[],_0x1dfebd[_0x571623(0x829)]({'title':_0x1fd159[_0x571623(0x25cc)]('TOOLS.NOTIFICATIONS.ACTIONS_DELETED_SUCCESS_TITLE')});});}let _0x2a8a78=!![],_0x589adc=0x1;_0x6a41f2['$watch'](_0x552050(0xeb6),function(_0x2bcea6,_0x4d0643){const _0x2ef88b=_0x552050;_0x2a8a78?_0x30a635(function(){_0x2a8a78=![];}):(!_0x4d0643&&(_0x589adc=_0xda3dc6[_0x2ef88b(0xae2)][_0x2ef88b(0x1c7b)]),_0x2bcea6!==_0x4d0643&&(_0xda3dc6[_0x2ef88b(0xae2)][_0x2ef88b(0x1c7b)]=0x1),!_0x2bcea6&&(_0xda3dc6[_0x2ef88b(0xae2)][_0x2ef88b(0x1c7b)]=_0x589adc),_0x4e2f77());});}const _0x58920d=_0x4a4bf6;;_0x2ab34c[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),_0x5537c6(0xcb9),'$translate','toasty',_0x5537c6(0x1ae1),_0x5537c6(0x269a),_0x5537c6(0x497),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca),'crudPermissions'];function _0x2ab34c(_0x55c95c,_0x6a3b95,_0x4d817f,_0x2984ff,_0x211b42,_0xe62084,_0x4240e8,_0x1af61f,_0x15c714,_0x568699,_0x2d11f6,_0x1c4e65){const _0x20f3e5=_0x5537c6,_0x41163b=this;_0x41163b[_0x20f3e5(0xe76)]=_0x15c714['getCurrentUser'](),_0x41163b[_0x20f3e5(0x269a)]=angular[_0x20f3e5(0x17fe)](_0xe62084),_0x41163b['actions']=angular[_0x20f3e5(0x17fe)](_0x211b42),_0x41163b['setting']=_0x2d11f6,_0x41163b[_0x20f3e5(0x8a5)]=_0x568699,_0x41163b['crudPermissions']=_0x1c4e65,_0x41163b['newAction']=![],_0x41163b[_0x20f3e5(0x1a7c)]=[],_0x41163b[_0x20f3e5(0x497)]=angular[_0x20f3e5(0x17fe)](_0x4240e8);!_0x41163b[_0x20f3e5(0x269a)]&&(_0x41163b['action']={},_0x41163b['newAction']=!![]);_0x41163b[_0x20f3e5(0x269a)][_0x20f3e5(0x13aa)]=_0x55c95c[_0x20f3e5(0x1dfe)]['id']||undefined,_0x41163b[_0x20f3e5(0xbc4)]=[_0x20f3e5(0x1340),_0x20f3e5(0x1ce6),'fax'],_0x41163b[_0x20f3e5(0xa63)]=_0x303661,_0x41163b[_0x20f3e5(0x8d4)]=_0x21e286,_0x41163b['deleteAction']=_0x35e85e,_0x41163b[_0x20f3e5(0x1106)]=_0x5d530b,_0x41163b[_0x20f3e5(0x258e)]=_0x2b82c6,_0x41163b[_0x20f3e5(0x22f9)]=_0xaedc8b,_0x41163b[_0x20f3e5(0x1892)]=_0x4557e6,_0x41163b[_0x20f3e5(0x2158)]=_0x7607ad,_0x41163b[_0x20f3e5(0x1667)]=_0x3d46c6,_0x41163b[_0x20f3e5(0x579)]=_0x4ef58d,_0x41163b[_0x20f3e5(0x1193)]=_0x7c997,_0x41163b[_0x20f3e5(0x14de)]=_0x4f7f0c,_0x41163b[_0x20f3e5(0xda0)]=_0x3a3f63;function _0x5d530b(){const _0x1941f6=_0x20f3e5;_0x15c714['hasModulePermissions'](_0x1941f6(0x1294))[_0x1941f6(0x1cb0)](function(_0x5327b1){const _0x5c1495=_0x1941f6;_0x41163b[_0x5c1495(0x1bd1)]=_0x5327b1;})[_0x1941f6(0x1c4)](function(_0x4f6352){const _0x416150=_0x1941f6;_0x2984ff[_0x416150(0x218e)]({'title':_0x4f6352[_0x416150(0x291)]?_0x416150(0xeb9)+_0x4f6352[_0x416150(0x291)]+_0x416150(0x1657)+_0x4f6352[_0x416150(0xc22)]:_0x416150(0x1000),'msg':_0x4f6352['data']?JSON[_0x416150(0x2701)](_0x4f6352[_0x416150(0x25c)]):_0x4f6352[_0x416150(0x147f)]()});});if(_0x41163b[_0x1941f6(0x269a)][_0x1941f6(0x269a)])_0x8f329e();}function _0x2b82c6(){const _0x21aed8=_0x20f3e5,_0x30070f=[0x515,0x516,0x517,0x519,0x51a,0x51b,0x51c,0x51d,0x51e,0x51f];_0x15c714[_0x21aed8(0x2845)](_0x30070f)[_0x21aed8(0x1cb0)](function(_0x50afe2){const _0x3c9419=_0x21aed8;_0x41163b['hasSectionPermissions']=_0x39641b()[_0x3c9419(0x9c1)](_0x41163b[_0x3c9419(0x1f97)],_0x50afe2);})[_0x21aed8(0x1c4)](function(_0x4866b0){const _0x4f9f43=_0x21aed8;_0x2984ff['error']({'title':_0x4866b0[_0x4f9f43(0x291)]?_0x4f9f43(0xeb9)+_0x4866b0[_0x4f9f43(0x291)]+_0x4f9f43(0x1657)+_0x4866b0['statusText']:_0x4f9f43(0x25d1),'msg':_0x4866b0['data']?JSON[_0x4f9f43(0x2701)](_0x4866b0['data']):_0x4866b0[_0x4f9f43(0x147f)]()});});}function _0xaedc8b(){const _0x2afded=_0x20f3e5;if(!_0x41163b[_0x2afded(0x26ad)])_0x130447();}function _0x3da3a2(){const _0x2f86f0=_0x20f3e5;_0x15c714[_0x2f86f0(0x10fc)]()?_0x1af61f[_0x2f86f0(0x22f2)][_0x2f86f0(0xbf7)]({'fields':'id,name','sort':_0x2f86f0(0x16b6),'nolimit':_0x2f86f0(0x44d)})['$promise'][_0x2f86f0(0x1cb0)](function(_0x778d0a){const _0x5109bf=_0x2f86f0;_0x41163b[_0x5109bf(0x1046)]=_0x778d0a['rows']||[];})[_0x2f86f0(0x1c4)](function(_0x368139){const _0xe7482f=_0x2f86f0;_0x2984ff['error']({'title':_0x368139[_0xe7482f(0x291)]?_0xe7482f(0xeb9)+_0x368139[_0xe7482f(0x291)]+_0xe7482f(0x1657)+_0x368139[_0xe7482f(0xc22)]:'SYSTEM:GET_LISTS','msg':_0x368139['data']?JSON[_0xe7482f(0x2701)](_0x368139['data']):_0x368139[_0xe7482f(0x147f)]()});}):_0x1af61f[_0x2f86f0(0x22f2)]['get']({'fields':'id,name','sort':'name','nolimit':_0x2f86f0(0x44d)})[_0x2f86f0(0x1d77)][_0x2f86f0(0x1cb0)](function(_0x1dd5f0){_0x41163b['lists']=_0x1dd5f0['rows']||[];})[_0x2f86f0(0x1cb0)](function(){const _0x5a4dcc=_0x2f86f0;return _0x1af61f[_0x5a4dcc(0x2199)][_0x5a4dcc(0xbf7)]({'userProfileId':_0x41163b['currentUser']['userProfileId'],'sectionId':0x12d})['$promise'];})[_0x2f86f0(0x1cb0)](function(_0x58fa15){const _0x1a9611=_0x2f86f0,_0x396cb4=_0x58fa15&&_0x58fa15[_0x1a9611(0x2214)]?_0x58fa15[_0x1a9611(0x2214)][0x0]:null;if(!_0x396cb4){const _0x1402e8=[],_0x5c587d=[];_0x41163b[_0x1a9611(0x93c)][_0x1a9611(0x2214)][_0x1a9611(0xf90)](function(_0x3de282){const _0x10da78=_0x1a9611;if(_0x3de282[_0x10da78(0x269a)]===_0x10da78(0xd68)){const _0x279602=_0x39641b()[_0x10da78(0x13b4)](_0x41163b[_0x10da78(0x1046)],{'id':Number(_0x3de282['data1'])});_0x5c587d[_0x10da78(0x2785)](_0x279602);}});for(let _0x26e3a4=0x0;_0x26e3a4<_0x41163b[_0x1a9611(0x1046)][_0x1a9611(0xfd0)];_0x26e3a4++){const _0x5a2dc4=_0x39641b()[_0x1a9611(0x727)](_0x5c587d,{'id':_0x41163b[_0x1a9611(0x1046)][_0x26e3a4]['id']});_0x5a2dc4&&(_0x41163b[_0x1a9611(0x1046)][_0x26e3a4][_0x1a9611(0x15da)]=![],_0x1402e8[_0x1a9611(0x2785)](_0x41163b[_0x1a9611(0x1046)][_0x26e3a4]));}_0x41163b[_0x1a9611(0x1046)]=_0x1402e8;}else{if(!_0x396cb4[_0x1a9611(0x12f4)])return _0x1af61f[_0x1a9611(0x1198)][_0x1a9611(0xbf7)]({'sectionId':_0x396cb4['id']})[_0x1a9611(0x1d77)][_0x1a9611(0x1cb0)](function(_0x224e29){const _0x5206a=_0x1a9611,_0x34e056=_0x39641b()[_0x5206a(0x1de2)](_0x224e29[_0x5206a(0x2214)],function(_0x4d1414){const _0x3ceb63=_0x5206a;return _0x39641b()[_0x3ceb63(0x13b4)](_0x41163b['lists'],{'id':_0x4d1414[_0x3ceb63(0x2982)]});});let _0x793943=null;_0x793943=[],_0x41163b[_0x5206a(0x93c)][_0x5206a(0x2214)]['forEach'](function(_0x279812){const _0xecc712=_0x5206a;if(_0x279812[_0xecc712(0x269a)]==='contactManager'){const _0x6d55bc=_0x39641b()['find'](_0x41163b[_0xecc712(0x1046)],{'id':Number(_0x279812[_0xecc712(0x200a)])});_0x793943[_0xecc712(0x2785)](_0x6d55bc);}}),!_0x39641b()[_0x5206a(0xce9)](_0x793943)&&_0x793943[_0x5206a(0xf90)](function(_0x2c37a4){const _0x3739f4=_0x5206a;if(!_0x39641b()[_0x3739f4(0x727)](_0x34e056,['id',_0x2c37a4['id']])){const _0x276c67=_0x39641b()[_0x3739f4(0x13b4)](_0x41163b['lists'],{'id':_0x2c37a4['id']});_0x276c67[_0x3739f4(0x15da)]=![],_0x34e056[_0x3739f4(0x2785)](_0x276c67);}}),_0x41163b[_0x5206a(0x1046)]=_0x34e056;});}})['catch'](function(_0x3561b0){const _0x1e7731=_0x2f86f0;_0x2984ff[_0x1e7731(0x218e)]({'title':_0x3561b0[_0x1e7731(0x291)]?_0x1e7731(0xeb9)+_0x3561b0[_0x1e7731(0x291)]+_0x1e7731(0x1657)+_0x3561b0[_0x1e7731(0xc22)]:_0x1e7731(0x113c),'msg':_0x3561b0[_0x1e7731(0x25c)]?JSON[_0x1e7731(0x2701)](_0x3561b0[_0x1e7731(0x25c)]):_0x3561b0[_0x1e7731(0x147f)]()});});}function _0x3f5d2e(){const _0x2fbabb=_0x20f3e5;_0x1af61f[_0x2fbabb(0xbe7)][_0x2fbabb(0x1e57)]({})[_0x2fbabb(0x1d77)][_0x2fbabb(0x1cb0)](function(_0xb1999c){const _0x4f9182=_0x2fbabb;_0x41163b[_0x4f9182(0x13c0)]=[],_0x41163b['customFields']=[];const _0x2b750b=_0x39641b()[_0x4f9182(0x27aa)](_0xb1999c[_0x4f9182(0x19b2)]());for(let _0x4281ee=0x0;_0x4281ee<_0x2b750b['length'];_0x4281ee++){if(_0x39641b()['includes'](_0x41163b[_0x4f9182(0xbc4)],_0x2b750b[_0x4281ee][_0x4f9182(0x34f)]))_0x41163b[_0x4f9182(0x13c0)][_0x4f9182(0x2785)](_0x2b750b[_0x4281ee]);else{if(_0x39641b()[_0x4f9182(0x1f2c)](_0x2b750b[_0x4281ee][_0x4f9182(0x34f)],_0x4f9182(0x1e4b))){if(_0x2b750b[_0x4281ee][_0x4f9182(0x197c)][_0x4f9182(0x66a)]==='text')_0x41163b[_0x4f9182(0x2242)]['push'](_0x2b750b[_0x4281ee]);}}}})['catch'](function(_0x55474f){const _0x2811a3=_0x2fbabb;_0x2984ff[_0x2811a3(0x218e)]({'title':_0x55474f[_0x2811a3(0x291)]?_0x2811a3(0xeb9)+_0x55474f[_0x2811a3(0x291)]+_0x2811a3(0x1657)+_0x55474f[_0x2811a3(0xc22)]:_0x2811a3(0x2272),'msg':_0x55474f[_0x2811a3(0x25c)]?JSON[_0x2811a3(0x2701)](_0x55474f[_0x2811a3(0x25c)]):_0x55474f[_0x2811a3(0x147f)]()});});}function _0x130447(){const _0x3a800f=_0x20f3e5;_0x1af61f[_0x3a800f(0x22f2)][_0x3a800f(0x178f)]({'id':_0x41163b[_0x3a800f(0x269a)][_0x3a800f(0x200a)],'ListId':_0x41163b['action'][_0x3a800f(0x200a)]})[_0x3a800f(0x1d77)][_0x3a800f(0x1cb0)](function(_0x596149){const _0x25ed8b=_0x3a800f;_0x41163b[_0x25ed8b(0xc2a)]=_0x39641b()[_0x25ed8b(0x1c99)](_0x596149[_0x25ed8b(0x2214)],[_0x25ed8b(0x66a),'text']),_0x41163b[_0x25ed8b(0xc2a)]['forEach'](function(_0x1ad966){_0x1ad966['columnName']='cf_'+_0x1ad966['id'];});})[_0x3a800f(0x1c4)](function(_0x50ce69){const _0x434275=_0x3a800f;_0x2984ff[_0x434275(0x218e)]({'title':_0x50ce69[_0x434275(0x291)]?_0x434275(0xeb9)+_0x50ce69[_0x434275(0x291)]+'\x20-\x20'+_0x50ce69[_0x434275(0xc22)]:'SYSTEM:GET_LIST_CUSTOM_FIELDS','msg':_0x50ce69[_0x434275(0x25c)]?JSON[_0x434275(0x2701)](_0x50ce69['data']):_0x50ce69[_0x434275(0x147f)]()});});}function _0x5be7dc(){const _0x580115=_0x20f3e5;_0x15c714[_0x580115(0x10fc)]()?_0x1af61f[_0x580115(0x1c21)][_0x580115(0xbf7)]({'fields':_0x580115(0x43c),'sort':_0x580115(0x16b6),'nolimit':_0x580115(0x44d)})[_0x580115(0x1d77)][_0x580115(0x1cb0)](function(_0x48a42b){const _0x14c6c2=_0x580115;_0x41163b[_0x14c6c2(0x2981)]=_0x48a42b[_0x14c6c2(0x2214)]||[];})['catch'](function(_0x5a9da1){const _0x24f613=_0x580115;_0x2984ff[_0x24f613(0x218e)]({'title':_0x5a9da1[_0x24f613(0x291)]?_0x24f613(0xeb9)+_0x5a9da1['status']+_0x24f613(0x1657)+_0x5a9da1[_0x24f613(0xc22)]:_0x24f613(0x214c),'msg':_0x5a9da1[_0x24f613(0x25c)]?JSON[_0x24f613(0x2701)](_0x5a9da1[_0x24f613(0x25c)]):_0x5a9da1[_0x24f613(0x147f)]()});}):_0x1af61f['jscriptyProject'][_0x580115(0xbf7)]({'fields':_0x580115(0x43c),'sort':'name','nolimit':'true'})[_0x580115(0x1d77)][_0x580115(0x1cb0)](function(_0x34f798){const _0x208701=_0x580115;_0x41163b['projects']=_0x34f798[_0x208701(0x2214)]||[];})[_0x580115(0x1cb0)](function(){const _0x3c6b58=_0x580115;return _0x1af61f[_0x3c6b58(0x2199)][_0x3c6b58(0xbf7)]({'userProfileId':_0x41163b[_0x3c6b58(0xe76)][_0x3c6b58(0x13c1)],'sectionId':0x6a5})['$promise'];})['then'](function(_0x16b274){const _0x393435=_0x580115,_0x554ee1=_0x16b274&&_0x16b274[_0x393435(0x2214)]?_0x16b274[_0x393435(0x2214)][0x0]:null;if(!_0x554ee1){const _0x4edcb3=[],_0x7a867b=[];_0x41163b[_0x393435(0x93c)][_0x393435(0x2214)][_0x393435(0xf90)](function(_0x1970c7){const _0x365a86=_0x393435;if(_0x1970c7[_0x365a86(0x269a)]==='jscripty'){const _0xcdef94=_0x39641b()[_0x365a86(0x13b4)](_0x41163b['projects'],{'id':Number(_0x1970c7[_0x365a86(0x200a)])});_0x7a867b[_0x365a86(0x2785)](_0xcdef94);}});for(let _0x1ac655=0x0;_0x1ac655<_0x41163b[_0x393435(0x2981)][_0x393435(0xfd0)];_0x1ac655++){const _0x1d7662=_0x39641b()[_0x393435(0x727)](_0x7a867b,{'id':_0x41163b[_0x393435(0x2981)][_0x1ac655]['id']});_0x1d7662&&(_0x41163b[_0x393435(0x2981)][_0x1ac655][_0x393435(0x15da)]=![],_0x4edcb3['push'](_0x41163b[_0x393435(0x2981)][_0x1ac655]));}_0x41163b['projects']=_0x4edcb3;}else{if(!_0x554ee1[_0x393435(0x12f4)])return _0x1af61f['userProfileResource']['get']({'sectionId':_0x554ee1['id']})[_0x393435(0x1d77)][_0x393435(0x1cb0)](function(_0x2b0873){const _0x3b9efc=_0x393435,_0x20763f=_0x39641b()['map'](_0x2b0873['rows'],function(_0x713a3d){const _0xa675ef=a0_0x5cbd;return _0x39641b()[_0xa675ef(0x13b4)](_0x41163b[_0xa675ef(0x2981)],{'id':_0x713a3d['resourceId']});});let _0x561ed8=null;_0x561ed8=[],_0x41163b[_0x3b9efc(0x93c)][_0x3b9efc(0x2214)][_0x3b9efc(0xf90)](function(_0x512317){const _0x2a00f0=_0x3b9efc;if(_0x512317[_0x2a00f0(0x269a)]===_0x2a00f0(0x1e98)){const _0x5ab598=_0x39641b()[_0x2a00f0(0x13b4)](_0x41163b[_0x2a00f0(0x2981)],{'id':Number(_0x512317[_0x2a00f0(0x200a)])});_0x561ed8['push'](_0x5ab598);}}),!_0x39641b()[_0x3b9efc(0xce9)](_0x561ed8)&&_0x561ed8[_0x3b9efc(0xf90)](function(_0x48ea25){const _0x3db6bf=_0x3b9efc;if(!_0x39641b()['some'](_0x20763f,['id',_0x48ea25['id']])){const _0x508ad4=_0x39641b()['find'](_0x41163b[_0x3db6bf(0x2981)],{'id':_0x48ea25['id']});_0x508ad4[_0x3db6bf(0x15da)]=![],_0x20763f[_0x3db6bf(0x2785)](_0x508ad4);}}),_0x41163b[_0x3b9efc(0x2981)]=_0x20763f;});}})[_0x580115(0x1c4)](function(_0x54ab9c){const _0x1660b7=_0x580115;_0x2984ff[_0x1660b7(0x218e)]({'title':_0x54ab9c['status']?_0x1660b7(0xeb9)+_0x54ab9c[_0x1660b7(0x291)]+_0x1660b7(0x1657)+_0x54ab9c[_0x1660b7(0xc22)]:_0x1660b7(0x2101),'msg':_0x54ab9c[_0x1660b7(0x25c)]?JSON[_0x1660b7(0x2701)](_0x54ab9c[_0x1660b7(0x25c)]):_0x54ab9c[_0x1660b7(0x147f)]()});});}function _0x50120f(){const _0x3206dd=_0x20f3e5;_0x15c714['isAdmin']()?_0x1af61f[_0x3206dd(0x2330)]['get']({'fields':'id,name','sort':'id','nolimit':_0x3206dd(0x44d)})[_0x3206dd(0x1d77)][_0x3206dd(0x1cb0)](function(_0x134284){const _0x2d0381=_0x3206dd;_0x41163b['templates']=_0x134284[_0x2d0381(0x2214)]||[];})[_0x3206dd(0x1c4)](function(_0x44fdfc){const _0x4da081=_0x3206dd;_0x2984ff[_0x4da081(0x218e)]({'title':_0x44fdfc[_0x4da081(0x291)]?'API:'+_0x44fdfc[_0x4da081(0x291)]+_0x4da081(0x1657)+_0x44fdfc['statusText']:_0x4da081(0x1362),'msg':_0x44fdfc[_0x4da081(0x25c)]?JSON[_0x4da081(0x2701)](_0x44fdfc[_0x4da081(0x25c)]):_0x44fdfc[_0x4da081(0x147f)]()});}):_0x1af61f[_0x3206dd(0x2330)][_0x3206dd(0xbf7)]({'fields':_0x3206dd(0x43c),'sort':'id','nolimit':'true'})['$promise'][_0x3206dd(0x1cb0)](function(_0xb24cd1){const _0xed9be8=_0x3206dd;_0x41163b['templates']=_0xb24cd1[_0xed9be8(0x2214)]||[];})['then'](function(){const _0x3c524e=_0x3206dd;return _0x1af61f[_0x3c524e(0x2199)][_0x3c524e(0xbf7)]({'userProfileId':_0x41163b['currentUser'][_0x3c524e(0x13c1)],'sectionId':0x3f1})[_0x3c524e(0x1d77)];})[_0x3206dd(0x1cb0)](function(_0x1ad63a){const _0x132c27=_0x3206dd,_0x104e20=_0x1ad63a&&_0x1ad63a[_0x132c27(0x2214)]?_0x1ad63a[_0x132c27(0x2214)][0x0]:null;if(!_0x104e20){const _0x1e6653=[],_0x6ec40e=[];_0x41163b[_0x132c27(0x93c)][_0x132c27(0x2214)][_0x132c27(0xf90)](function(_0x71b88b){const _0x396f62=_0x132c27;if(_0x39641b()[_0x396f62(0x250a)](['motionbar','browser'],_0x71b88b['action'])){const _0x23f678=_0x39641b()[_0x396f62(0x13b4)](_0x41163b[_0x396f62(0x9b4)],{'id':Number(_0x71b88b[_0x396f62(0xdde)])});_0x6ec40e['push'](_0x23f678);}});for(let _0x13b5d2=0x0;_0x13b5d2<_0x41163b[_0x132c27(0x9b4)][_0x132c27(0xfd0)];_0x13b5d2++){const _0x17ed12=_0x39641b()['some'](_0x6ec40e,{'id':_0x41163b[_0x132c27(0x9b4)][_0x13b5d2]['id']});_0x17ed12&&(_0x41163b[_0x132c27(0x9b4)][_0x13b5d2][_0x132c27(0x15da)]=![],_0x1e6653[_0x132c27(0x2785)](_0x41163b[_0x132c27(0x9b4)][_0x13b5d2]));}_0x41163b[_0x132c27(0x9b4)]=_0x1e6653;}else{if(!_0x104e20[_0x132c27(0x12f4)])return _0x1af61f[_0x132c27(0x1198)][_0x132c27(0xbf7)]({'sectionId':_0x104e20['id']})['$promise']['then'](function(_0x3e2e07){const _0x37f031=_0x132c27,_0x5d034e=_0x39641b()[_0x37f031(0x1de2)](_0x3e2e07[_0x37f031(0x2214)],function(_0x1d506d){const _0x13e684=_0x37f031;return _0x39641b()[_0x13e684(0x13b4)](_0x41163b[_0x13e684(0x9b4)],{'id':_0x1d506d[_0x13e684(0x2982)]});});let _0x5b86d6=null;_0x5b86d6=[],_0x41163b['triggerActions']['rows']['forEach'](function(_0x142136){const _0x130a04=_0x37f031;if(_0x39641b()['includes']([_0x130a04(0x250e),'browser'],_0x142136['action'])){const _0x2da5cf=_0x39641b()['find'](_0x41163b['templates'],{'id':Number(_0x142136[_0x130a04(0xdde)])});_0x5b86d6[_0x130a04(0x2785)](_0x2da5cf);}}),!_0x39641b()[_0x37f031(0xce9)](_0x5b86d6)&&_0x5b86d6[_0x37f031(0xf90)](function(_0x38e50f){const _0x2e8b1f=_0x37f031;if(!_0x39641b()['some'](_0x5d034e,['id',_0x38e50f['id']])){const _0x2c5535=_0x39641b()[_0x2e8b1f(0x13b4)](_0x41163b[_0x2e8b1f(0x9b4)],{'id':_0x38e50f['id']});_0x2c5535[_0x2e8b1f(0x15da)]=![],_0x5d034e[_0x2e8b1f(0x2785)](_0x2c5535);}}),_0x41163b[_0x37f031(0x9b4)]=_0x5d034e;});}})[_0x3206dd(0x1c4)](function(_0x5b39c4){const _0x3351d4=_0x3206dd;_0x2984ff[_0x3351d4(0x218e)]({'title':_0x5b39c4[_0x3351d4(0x291)]?_0x3351d4(0xeb9)+_0x5b39c4[_0x3351d4(0x291)]+_0x3351d4(0x1657)+_0x5b39c4['statusText']:_0x3351d4(0x1362),'msg':_0x5b39c4[_0x3351d4(0x25c)]?JSON[_0x3351d4(0x2701)](_0x5b39c4['data']):_0x5b39c4[_0x3351d4(0x147f)]()});});}function _0x49ca9a(){const _0x4e85a5=_0x20f3e5;switch(_0x41163b[_0x4e85a5(0x269a)]['data1']){case'zendesk':return _0x41163b[_0x4e85a5(0x1f97)][0x515];case _0x4e85a5(0x236a):return _0x41163b['hasSectionPermissions'][0x516];case _0x4e85a5(0x1121):return _0x41163b[_0x4e85a5(0x1f97)][0x519];case _0x4e85a5(0x1ed1):return _0x41163b[_0x4e85a5(0x1f97)][0x517];case _0x4e85a5(0x25e5):return _0x41163b['hasSectionPermissions'][0x51a];case _0x4e85a5(0x1284):return _0x41163b['hasSectionPermissions'][0x51b];case _0x4e85a5(0x10a2):return _0x41163b[_0x4e85a5(0x1f97)][0x51c];case _0x4e85a5(0x1c54):return _0x41163b[_0x4e85a5(0x1f97)][0x51d];case _0x4e85a5(0x67b):return _0x41163b[_0x4e85a5(0x1f97)][0x51e];case _0x4e85a5(0x13dd):return _0x41163b[_0x4e85a5(0x1f97)][0x51f];}}function _0x994169(){const _0x4e21af=_0x20f3e5;_0x41163b['action'][_0x4e21af(0x200a)]=undefined,_0x41163b[_0x4e21af(0x269a)][_0x4e21af(0xdde)]=undefined,_0x41163b[_0x4e21af(0x269a)][_0x4e21af(0x810)]=undefined,_0x41163b['action'][_0x4e21af(0x14b1)]=undefined,_0x41163b[_0x4e21af(0x269a)][_0x4e21af(0x2561)]=_0x41163b[_0x4e21af(0x269a)][_0x4e21af(0x269a)]==='urlForward'?0x5:undefined;}function _0x8f329e(){const _0x2d7b7d=_0x20f3e5;switch(_0x41163b[_0x2d7b7d(0x269a)]['action']){case _0x2d7b7d(0xd68):if(!_0x41163b[_0x2d7b7d(0x1046)])_0x3da3a2();if(!_0x41163b[_0x2d7b7d(0x13c0)])_0x3f5d2e();_0x41163b[_0x2d7b7d(0x269a)][_0x2d7b7d(0x810)]=_0x41163b[_0x2d7b7d(0x269a)]['data3']?_0x41163b[_0x2d7b7d(0x269a)][_0x2d7b7d(0x810)][_0x2d7b7d(0xbe1)](','):[_0x2d7b7d(0x1340)];if(_0x41163b[_0x2d7b7d(0x269a)][_0x2d7b7d(0xdde)]==='1'&&!_0x41163b[_0x2d7b7d(0x269a)][_0x2d7b7d(0x14b1)])_0x41163b[_0x2d7b7d(0x269a)][_0x2d7b7d(0x14b1)]='phone';break;case _0x2d7b7d(0x1e98):if(!_0x41163b[_0x2d7b7d(0x2981)])_0x5be7dc();break;case'urlForward':if(!_0x41163b[_0x2d7b7d(0x269a)]['data5'])_0x41163b[_0x2d7b7d(0x269a)][_0x2d7b7d(0x2561)]=0x5;break;}}function _0x4557e6(){_0x994169(),_0x8f329e();}function _0x7607ad(){const _0x1215cf=_0x20f3e5;_0x41163b[_0x1215cf(0x269a)][_0x1215cf(0xdde)]=undefined,_0x41163b[_0x1215cf(0x269a)][_0x1215cf(0x810)]=undefined,_0x41163b['action'][_0x1215cf(0x7e2)]=_0x41163b[_0x1215cf(0x26ad)]?![]:!_0x49ca9a();const _0xfe7234=_0x1215cf(0x68b)+_0x39641b()[_0x1215cf(0x432)](_0x41163b[_0x1215cf(0x269a)][_0x1215cf(0x200a)])+'Account';_0x1af61f[_0xfe7234][_0x1215cf(0xbf7)]({'fields':_0x1215cf(0x43c),'sort':'id','nolimit':'true'})[_0x1215cf(0x1d77)][_0x1215cf(0x1cb0)](function(_0x203bb6){const _0x1187b7=_0x1215cf;_0x41163b['accounts']=_0x203bb6[_0x1187b7(0x2214)]||[];})[_0x1215cf(0x1c4)](function(_0x1a2101){const _0x51232e=_0x1215cf;_0x2984ff[_0x51232e(0x218e)]({'title':_0x1a2101[_0x51232e(0x291)]?'API:'+_0x1a2101['status']+'\x20-\x20'+_0x1a2101[_0x51232e(0xc22)]:_0x51232e(0x21df)+_0x41163b[_0x51232e(0x269a)]['data1'][_0x51232e(0x2335)]()+_0x51232e(0x21e),'msg':_0x1a2101[_0x51232e(0x25c)]?JSON['stringify'](_0x1a2101[_0x51232e(0x25c)]):_0x1a2101[_0x51232e(0x147f)]()});});}function _0x3d46c6(){_0x130447();}function _0x4ef58d(){const _0x8fece8=_0x20f3e5;if(_0x41163b[_0x8fece8(0x269a)]['data1']==='0'&&!_0x41163b[_0x8fece8(0x9b4)])_0x50120f();}function _0x7c997(){const _0x46f72f=_0x20f3e5;if(_0x41163b[_0x46f72f(0x269a)][_0x46f72f(0xdde)]==='0')_0x41163b[_0x46f72f(0x269a)][_0x46f72f(0x14b1)]=undefined;if(!_0x41163b[_0x46f72f(0x269a)]['data4']&&_0x41163b[_0x46f72f(0x269a)][_0x46f72f(0xdde)]==='1')_0x41163b[_0x46f72f(0x269a)][_0x46f72f(0x14b1)]='phone';}function _0x4f7f0c(){const _0x412df3=_0x20f3e5;_0x41163b[_0x412df3(0x269a)]['data3']=undefined;const _0x4da433='int'+_0x39641b()['capitalize'](_0x41163b[_0x412df3(0x269a)][_0x412df3(0x200a)])+_0x412df3(0x17b2);_0x1af61f[_0x4da433]['get']({'fields':_0x412df3(0x269c),'sort':'id','nolimit':_0x412df3(0x44d)})['$promise'][_0x412df3(0x1cb0)](function(_0x306111){const _0xca0381=_0x412df3;_0x41163b[_0xca0381(0xa06)]=_0x306111['rows']||[];})[_0x412df3(0x1c4)](function(_0x311a20){const _0x8e80e6=_0x412df3;_0x2984ff[_0x8e80e6(0x218e)]({'title':_0x311a20[_0x8e80e6(0x291)]?_0x8e80e6(0xeb9)+_0x311a20[_0x8e80e6(0x291)]+_0x8e80e6(0x1657)+_0x311a20['statusText']:_0x8e80e6(0x21df)+_0x41163b[_0x8e80e6(0x269a)]['data1'][_0x8e80e6(0x2335)]()+'CONFIGURATIONS','msg':_0x311a20[_0x8e80e6(0x25c)]?JSON[_0x8e80e6(0x2701)](_0x311a20[_0x8e80e6(0x25c)]):_0x311a20[_0x8e80e6(0x147f)]()});});}function _0x3bd60a(){const _0x46dc73=_0x20f3e5,_0x1b1a9f=angular[_0x46dc73(0x17fe)](_0x41163b[_0x46dc73(0x269a)]);if(_0x39641b()[_0x46dc73(0x216b)](_0x1b1a9f[_0x46dc73(0x810)]))_0x1b1a9f[_0x46dc73(0x810)]=_0x41163b[_0x46dc73(0x269a)][_0x46dc73(0x810)][_0x46dc73(0x1f66)](',');return _0x1b1a9f;}function _0x303661(){const _0x9e5c58=_0x20f3e5;_0x41163b[_0x9e5c58(0x1a7c)]=[];const _0x406b2e=_0x3bd60a();_0x1af61f['trigger']['addAction']({'id':_0x55c95c[_0x9e5c58(0x1dfe)]['id']},_0x406b2e)[_0x9e5c58(0x1d77)][_0x9e5c58(0x1cb0)](function(_0x313866){const _0x1a6f43=_0x9e5c58;_0x41163b[_0x1a6f43(0x1ae1)][_0x1a6f43(0xf63)](_0x313866['toJSON']()),_0x2984ff[_0x1a6f43(0x829)]({'title':_0x4d817f[_0x1a6f43(0x25cc)]('TOOLS.NOTIFICATIONS.ACTION_CREATED_SUCCESS_TITLE')}),_0x3a3f63(_0x41163b['actions']);})[_0x9e5c58(0x1c4)](function(_0x177e21){const _0xacf8d0=_0x9e5c58;if(_0x177e21[_0xacf8d0(0x25c)]&&_0x177e21[_0xacf8d0(0x25c)]['errors']&&_0x177e21['data']['errors'][_0xacf8d0(0xfd0)]){_0x41163b[_0xacf8d0(0x1a7c)]=_0x177e21[_0xacf8d0(0x25c)][_0xacf8d0(0x1a7c)]||[{'message':_0x177e21[_0xacf8d0(0x147f)](),'type':'api.action.save'}];for(let _0x4b3d0f=0x0;_0x4b3d0f<_0x177e21[_0xacf8d0(0x25c)][_0xacf8d0(0x1a7c)][_0xacf8d0(0xfd0)];_0x4b3d0f+=0x1){_0x2984ff[_0xacf8d0(0x218e)]({'title':_0x177e21[_0xacf8d0(0x25c)][_0xacf8d0(0x1a7c)][_0x4b3d0f][_0xacf8d0(0x66a)],'msg':_0x177e21['data'][_0xacf8d0(0x1a7c)][_0x4b3d0f][_0xacf8d0(0x155e)]});}}else _0x2984ff['error']({'title':_0x177e21[_0xacf8d0(0x291)]?_0xacf8d0(0xeb9)+_0x177e21['status']+_0xacf8d0(0x1657)+_0x177e21['statusText']:'api.action.save','msg':_0x177e21[_0xacf8d0(0x25c)]?JSON[_0xacf8d0(0x2701)](_0x177e21[_0xacf8d0(0x25c)][_0xacf8d0(0x155e)]):_0x177e21['toString']()});});}function _0x21e286(){const _0x3180e9=_0x20f3e5;_0x41163b[_0x3180e9(0x1a7c)]=[];const _0x5110c6=_0x3bd60a();_0x1af61f[_0x3180e9(0x269a)][_0x3180e9(0x687)]({'id':_0x5110c6['id']},_0x5110c6)[_0x3180e9(0x1d77)][_0x3180e9(0x1cb0)](function(){const _0x3b1fd6=_0x3180e9;_0x41163b[_0x3b1fd6(0x1ae1)]=_0x39641b()[_0x3b1fd6(0x1de2)](_0x41163b[_0x3b1fd6(0x1ae1)],function(_0x248ef0){if(_0x248ef0['id']===_0x5110c6['id'])return _0x5110c6;else return _0x248ef0;}),_0x2984ff[_0x3b1fd6(0x829)]({'title':_0x4d817f['instant'](_0x3b1fd6(0x478))}),_0x3a3f63(_0x41163b['actions']);})[_0x3180e9(0x1c4)](function(_0x4d6529){const _0x36cef3=_0x3180e9;if(_0x4d6529[_0x36cef3(0x25c)]&&_0x4d6529[_0x36cef3(0x25c)][_0x36cef3(0x1a7c)]&&_0x4d6529[_0x36cef3(0x25c)][_0x36cef3(0x1a7c)]['length']){_0x41163b['errors']=_0x4d6529[_0x36cef3(0x25c)][_0x36cef3(0x1a7c)]||[{'message':_0x4d6529[_0x36cef3(0x147f)](),'type':_0x36cef3(0x2421)}];for(let _0x4c45ae=0x0;_0x4c45ae<_0x4d6529[_0x36cef3(0x25c)]['errors'][_0x36cef3(0xfd0)];_0x4c45ae++){_0x2984ff[_0x36cef3(0x218e)]({'title':_0x4d6529[_0x36cef3(0x25c)][_0x36cef3(0x1a7c)][_0x4c45ae]['type'],'msg':_0x4d6529[_0x36cef3(0x25c)][_0x36cef3(0x1a7c)][_0x4c45ae][_0x36cef3(0x155e)]});}}else _0x2984ff[_0x36cef3(0x218e)]({'title':_0x4d6529[_0x36cef3(0x291)]?_0x36cef3(0xeb9)+_0x4d6529[_0x36cef3(0x291)]+_0x36cef3(0x1657)+_0x4d6529[_0x36cef3(0xc22)]:'api.action.update','msg':_0x4d6529['data']?JSON['stringify'](_0x4d6529[_0x36cef3(0x25c)][_0x36cef3(0x155e)]):_0x4d6529[_0x36cef3(0x147f)]()});});}function _0x35e85e(_0x3c54d9){const _0x4aa5fd=_0x20f3e5;_0x41163b[_0x4aa5fd(0x1a7c)]=[];const _0x52a37a=_0x6a3b95[_0x4aa5fd(0x1551)]({'skipHide':!![]})[_0x4aa5fd(0x1386)](_0x4d817f['instant'](_0x4aa5fd(0x1f20)))['ariaLabel'](_0x4aa5fd(0x17de))['ok'](_0x4d817f['instant'](_0x4aa5fd(0x2966)))[_0x4aa5fd(0x696)](_0x4d817f[_0x4aa5fd(0x25cc)](_0x4aa5fd(0x2768)))['targetEvent'](_0x3c54d9);_0x6a3b95[_0x4aa5fd(0xe27)](_0x52a37a)[_0x4aa5fd(0x1cb0)](function(){const _0x5bbc5f=_0x4aa5fd;_0x1af61f[_0x5bbc5f(0x269a)]['delete']({'id':_0x41163b['action']['id']})[_0x5bbc5f(0x1d77)]['then'](function(){const _0x1c0b91=_0x5bbc5f;_0x41163b[_0x1c0b91(0x1ae1)]=_0x39641b()[_0x1c0b91(0x1c99)](_0x41163b['actions'],function(_0x301b7f){const _0x4803ac=_0x1c0b91;return _0x301b7f['id']!==_0x41163b[_0x4803ac(0x269a)]['id'];}),_0x2984ff['success']({'title':_0x4d817f[_0x1c0b91(0x25cc)](_0x1c0b91(0x1406))}),_0x3a3f63(_0x41163b[_0x1c0b91(0x1ae1)]);})[_0x5bbc5f(0x1c4)](function(_0x70fce1){const _0x9ff305=_0x5bbc5f;if(_0x70fce1[_0x9ff305(0x25c)]&&_0x70fce1[_0x9ff305(0x25c)][_0x9ff305(0x1a7c)]&&_0x70fce1['data']['errors']['length']){_0x41163b[_0x9ff305(0x1a7c)]=_0x70fce1['data']['errors']||[{'message':_0x70fce1['toString'](),'type':'api.action.delete'}];for(let _0x447bf4=0x0;_0x447bf4<_0x70fce1[_0x9ff305(0x25c)][_0x9ff305(0x1a7c)][_0x9ff305(0xfd0)];_0x447bf4++){_0x2984ff[_0x9ff305(0x218e)]({'title':_0x70fce1[_0x9ff305(0x25c)][_0x9ff305(0x1a7c)][_0x447bf4][_0x9ff305(0x66a)],'msg':_0x70fce1[_0x9ff305(0x25c)][_0x9ff305(0x1a7c)][_0x447bf4]['message']});}}else _0x2984ff[_0x9ff305(0x218e)]({'title':_0x70fce1['status']?_0x9ff305(0xeb9)+_0x70fce1[_0x9ff305(0x291)]+_0x9ff305(0x1657)+_0x70fce1['statusText']:_0x9ff305(0x27d8),'msg':_0x70fce1['data']?JSON[_0x9ff305(0x2701)](_0x70fce1[_0x9ff305(0x25c)][_0x9ff305(0x155e)]):_0x70fce1[_0x9ff305(0x155e)]||_0x70fce1[_0x9ff305(0x147f)]()});});},function(){});}function _0x3a3f63(_0x4e6058){const _0x2c56c2=_0x20f3e5;_0x6a3b95[_0x2c56c2(0x1426)](_0x4e6058);}}const _0x27dbc1=_0x2ab34c;;const _0x5b5e60=_0x5074a3['p']+_0x5537c6(0x1e54);;_0x4350d8[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$q','$translate',_0x5537c6(0x2168),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x1774)];function _0x4350d8(_0x50faf9,_0x48f182,_0x383e35,_0x519c44,_0x4de393,_0x52f514,_0x187f6a,_0x5ebaec,_0x30436f){const _0x168e2e=_0x5537c6,_0x3b3817=this;_0x3b3817[_0x168e2e(0xe76)]=_0x30436f[_0x168e2e(0x21e8)](),_0x3b3817[_0x168e2e(0x497)]={},_0x3b3817['triggerAllConditions']={'count':0x0,'rows':[]},_0x3b3817[_0x168e2e(0x9cf)]=[],_0x3b3817[_0x168e2e(0xae2)]={'fields':_0x168e2e(0x13ce),'limit':0xa,'page':0x1},_0x3b3817['fields']={'queue':_0x168e2e(0x2687),'lastevent':'Call_Status','routeId':_0x168e2e(0x15cf),'agent':_0x168e2e(0x30e),'account':_0x168e2e(0x1649),'interaction':_0x168e2e(0x2735),'message':_0x168e2e(0x27df)},_0x3b3817[_0x168e2e(0x507)]={'abandoned':'Abandon','timeout':_0x168e2e(0xbcc),'complete':'Hangup','rejected':'Reject','called':'Ring','connect':_0x168e2e(0x265d),'nosuchnumber':_0x168e2e(0xc40),'busy':_0x168e2e(0x1427),'noanswer':'NoAnswer','congestion':_0x168e2e(0xb7e),'agentreject':_0x168e2e(0x1ae4)},_0x3b3817[_0x168e2e(0x23d4)]={'in':_0x168e2e(0xab4),'out':_0x168e2e(0xf52),'accept':'Accepted','reject':_0x168e2e(0x221f)},_0x3b3817['onInit']=_0x2fef3e,_0x3b3817[_0x168e2e(0x829)]=_0x2f084f,_0x3b3817['getTriggerAllConditions']=_0x295bb7,_0x3b3817[_0x168e2e(0x1d40)]=_0x31442b,_0x3b3817[_0x168e2e(0x10f2)]=_0x5b3f24,_0x3b3817['deleteConfirm']=_0x37a4e4,_0x3b3817['deleteSelectedTriggerAllConditions']=_0x113baf;function _0x2fef3e(_0xb3d7f7,_0x30bc74){const _0x31414e=_0x168e2e;_0x3b3817[_0x31414e(0x497)]=_0xb3d7f7,_0x3b3817['crudPermissions']=typeof _0x30bc74!==_0x31414e(0x16b5)?_0x30bc74:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x3b3817['query'][_0x31414e(0x4a5)]=_0x3b3817['trigger']['id'],_0x3b3817[_0x31414e(0xae2)]['id']=_0x3b3817[_0x31414e(0x497)]['id'],_0x295bb7();}function _0x3d2149(){const _0x16dbcc=_0x168e2e,_0x5b4fff=_0x3b3817['trigger'][_0x16dbcc(0x1072)],_0x155b1f=_0x3b3817[_0x16dbcc(0x497)][_0x16dbcc(0x1072)]+_0x3b3817[_0x16dbcc(0x497)][_0x16dbcc(0x1072)]===_0x16dbcc(0x174c)?_0x16dbcc(0x9dd):_0x16dbcc(0x170d),_0x4d6c76=_0x3b3817['trigger'][_0x16dbcc(0x1072)]+(_0x3b3817[_0x16dbcc(0x497)][_0x16dbcc(0x1072)]===_0x16dbcc(0x174c)?_0x16dbcc(0x11aa):_0x16dbcc(0x1649));let _0x24965b;switch(_0x3b3817[_0x16dbcc(0x497)][_0x16dbcc(0x1072)]){case _0x16dbcc(0x174c):_0x24965b=0x1f6;break;case'fax':_0x24965b=0x386;break;case _0x16dbcc(0x2651):_0x24965b=0x25a;break;case _0x16dbcc(0x15e0):_0x24965b=0x322;break;case _0x16dbcc(0x689):_0x24965b=0x2be;break;case _0x16dbcc(0x2476):_0x24965b=0x836;break;}_0x30436f[_0x16dbcc(0x10fc)]()?_0x5ebaec[_0x4d6c76][_0x16dbcc(0xbf7)]({'fields':_0x16dbcc(0x43c),'sort':'id','nolimit':_0x16dbcc(0x44d)})['$promise'][_0x16dbcc(0x1cb0)](function(_0x142e90){const _0x7176ee=_0x16dbcc;_0x3b3817[_0x155b1f]=_0x142e90[_0x7176ee(0x2214)]||[];})[_0x16dbcc(0x1c4)](function(_0x311ac8){const _0x4e30bf=_0x16dbcc;_0x187f6a[_0x4e30bf(0x218e)]({'title':_0x311ac8[_0x4e30bf(0x291)]?_0x4e30bf(0xeb9)+_0x311ac8['status']+_0x4e30bf(0x1657)+_0x311ac8[_0x4e30bf(0xc22)]:'SYSTEM:GET_'+_0x5b4fff[_0x4e30bf(0x2335)]()+_0x5b4fff===_0x4e30bf(0x174c)?_0x4e30bf(0x202a):_0x4e30bf(0x21e),'msg':_0x311ac8['data']?JSON[_0x4e30bf(0x2701)](_0x311ac8['data']):_0x311ac8['toString']()});}):_0x5ebaec[_0x4d6c76][_0x16dbcc(0xbf7)]({'fields':'id,name','sort':'id','nolimit':_0x16dbcc(0x44d)})[_0x16dbcc(0x1d77)][_0x16dbcc(0x1cb0)](function(_0x4e300a){const _0x4a4f71=_0x16dbcc;_0x3b3817[_0x155b1f]=_0x4e300a[_0x4a4f71(0x2214)]||[];})[_0x16dbcc(0x1cb0)](function(){const _0x54e72d=_0x16dbcc;return _0x5ebaec[_0x54e72d(0x2199)][_0x54e72d(0xbf7)]({'userProfileId':_0x3b3817[_0x54e72d(0xe76)][_0x54e72d(0x13c1)],'sectionId':_0x24965b})[_0x54e72d(0x1d77)];})[_0x16dbcc(0x1cb0)](function(_0x283b12){const _0x371bc1=_0x16dbcc,_0x20c613=_0x283b12&&_0x283b12[_0x371bc1(0x2214)]?_0x283b12[_0x371bc1(0x2214)][0x0]:null;if(!_0x20c613){const _0xd8c64f=[],_0x18ac72=[];_0x3b3817[_0x371bc1(0x13af)][_0x371bc1(0x2214)][_0x371bc1(0xf90)](function(_0x2a3516){const _0x4fb31a=_0x371bc1;if(_0x2a3516[_0x4fb31a(0x2198)]==='account'){const _0xcf6fc4=_0x39641b()[_0x4fb31a(0x13b4)](_0x3b3817[_0x155b1f],{'id':Number(_0x2a3516['value'])});_0x18ac72['push'](_0xcf6fc4);}});for(let _0x479102=0x0;_0x479102<_0x3b3817[_0x155b1f][_0x371bc1(0xfd0)];_0x479102++){const _0x4bd666=_0x39641b()[_0x371bc1(0x727)](_0x18ac72,{'id':_0x3b3817[_0x155b1f][_0x479102]['id']});_0x4bd666&&(_0x3b3817[_0x155b1f][_0x479102][_0x371bc1(0x15da)]=![],_0xd8c64f[_0x371bc1(0x2785)](_0x3b3817[_0x155b1f][_0x479102]));}_0x3b3817[_0x155b1f]=_0xd8c64f;}else{if(!_0x20c613[_0x371bc1(0x12f4)])return _0x5ebaec['userProfileResource'][_0x371bc1(0xbf7)]({'sectionId':_0x20c613['id']})[_0x371bc1(0x1d77)][_0x371bc1(0x1cb0)](function(_0x462e59){const _0x50830e=_0x371bc1,_0x2f0355=_0x39641b()[_0x50830e(0x1de2)](_0x462e59[_0x50830e(0x2214)],function(_0x1b31aa){const _0x5c7ed4=_0x50830e;return _0x39641b()[_0x5c7ed4(0x13b4)](_0x3b3817[_0x155b1f],{'id':_0x1b31aa['resourceId']});});let _0x42e020=null;_0x42e020=[],_0x3b3817[_0x50830e(0x13af)][_0x50830e(0x2214)][_0x50830e(0xf90)](function(_0x2a1000){const _0x106460=_0x50830e;if(_0x2a1000[_0x106460(0x2198)]===_0x106460(0x1539)){const _0x203797=_0x39641b()[_0x106460(0x13b4)](_0x3b3817[_0x155b1f],{'id':Number(_0x2a1000[_0x106460(0x327)])});_0x42e020['push'](_0x203797);}}),!_0x39641b()[_0x50830e(0xce9)](_0x42e020)&&_0x42e020[_0x50830e(0xf90)](function(_0x4a5d7){const _0x1d3378=_0x50830e;if(!_0x39641b()[_0x1d3378(0x727)](_0x2f0355,['id',_0x4a5d7['id']])){const _0x509a9d=_0x39641b()[_0x1d3378(0x13b4)](_0x3b3817[_0x155b1f],{'id':_0x4a5d7['id']});_0x509a9d[_0x1d3378(0x15da)]=![],_0x2f0355['push'](_0x509a9d);}}),_0x3b3817[_0x155b1f]=_0x2f0355;});}})[_0x16dbcc(0x1c4)](function(_0x579969){const _0x446a20=_0x16dbcc;_0x187f6a[_0x446a20(0x218e)]({'title':_0x579969[_0x446a20(0x291)]?'API:'+_0x579969[_0x446a20(0x291)]+'\x20-\x20'+_0x579969[_0x446a20(0xc22)]:_0x446a20(0x21df)+_0x5b4fff[_0x446a20(0x2335)]()+_0x5b4fff==='chat'?_0x446a20(0x202a):'ACCOUNTS','msg':_0x579969[_0x446a20(0x25c)]?JSON['stringify'](_0x579969['data']):_0x579969[_0x446a20(0x147f)]()});});}function _0x2e2bbb(){const _0x172f53=_0x168e2e,_0x1a493b=[{'id':0x191,'type':_0x172f53(0x26c0)},{'id':0x5dd,'type':'outbound'}];let _0x51a9e8=null;_0x30436f[_0x172f53(0x10fc)]()?_0x5ebaec[_0x172f53(0x26b3)][_0x172f53(0xbf7)]({'fields':_0x172f53(0x1896),'sort':_0x172f53(0x16b6),'nolimit':_0x172f53(0x44d)})[_0x172f53(0x1d77)][_0x172f53(0x1cb0)](function(_0x328573){const _0xe6ae50=_0x172f53;_0x3b3817[_0xe6ae50(0x971)]=_0x328573['rows']||[];})['catch'](function(_0x50ac5f){const _0x3ac600=_0x172f53;_0x187f6a[_0x3ac600(0x218e)]({'title':_0x50ac5f[_0x3ac600(0x291)]?_0x3ac600(0xeb9)+_0x50ac5f[_0x3ac600(0x291)]+_0x3ac600(0x1657)+_0x50ac5f['statusText']:_0x3ac600(0x25f2),'msg':_0x50ac5f[_0x3ac600(0x25c)]?JSON[_0x3ac600(0x2701)](_0x50ac5f[_0x3ac600(0x25c)]):_0x50ac5f['toString']()});}):_0x5ebaec[_0x172f53(0x26b3)][_0x172f53(0xbf7)]({'fields':'id,name,type','sort':_0x172f53(0x16b6),'nolimit':_0x172f53(0x44d)})[_0x172f53(0x1d77)]['then'](function(_0x372977){const _0x198f0d=_0x172f53;_0x51a9e8=_0x372977[_0x198f0d(0x2214)]||[];})[_0x172f53(0x1cb0)](function(){const _0x5424ca=_0x172f53,_0xd818f6=[];for(let _0x2ae1bd=0x0;_0x2ae1bd<_0x1a493b[_0x5424ca(0xfd0)];_0x2ae1bd++){_0xd818f6['push'](_0x5ebaec['userProfileSection'][_0x5424ca(0xbf7)]({'userProfileId':_0x3b3817['currentUser']['userProfileId'],'sectionId':_0x1a493b[_0x2ae1bd]['id']})['$promise']);}return _0x48f182[_0x5424ca(0x223b)](_0xd818f6);})['then'](function(_0x2c84db){const _0x57679d=_0x172f53,_0x1afd79=_0x39641b()[_0x57679d(0x1de2)](_0x2c84db,function(_0xd168b8){const _0x49a6e0=_0x57679d;return _0xd168b8&&_0xd168b8[_0x49a6e0(0x2214)]?_0xd168b8[_0x49a6e0(0x2214)][0x0]:null;}),_0x83ff5=[];for(let _0x5e624e=0x0;_0x5e624e<_0x1a493b['length'];_0x5e624e++){const _0x338779=_0x39641b()[_0x57679d(0x13b4)](_0x1afd79,[_0x57679d(0xec6),_0x1a493b[_0x5e624e]['id']]);if(_0x338779){if(!_0x338779[_0x57679d(0x12f4)])_0x83ff5[_0x57679d(0x2785)](_0x5ebaec[_0x57679d(0x1198)][_0x57679d(0xbf7)]({'sectionId':_0x338779['id']})['$promise']);else{const _0x3c4322=[];_0x51a9e8['forEach'](function(_0x56f746){const _0x4035fb=_0x57679d;_0x56f746[_0x4035fb(0x66a)]===_0x1a493b[_0x5e624e][_0x4035fb(0x66a)]&&_0x3c4322[_0x4035fb(0x2785)](_0x56f746);}),_0x83ff5[_0x57679d(0x2785)]({'rows':_0x3c4322,'count':_0x3c4322[_0x57679d(0xfd0)]});}}}return _0x48f182[_0x57679d(0x223b)](_0x83ff5);})[_0x172f53(0x1cb0)](function(_0x330c48){const _0x36aa28=_0x172f53,_0x28c297=[],_0x456977=[];_0x3b3817[_0x36aa28(0x13af)][_0x36aa28(0x2214)][_0x36aa28(0xf90)](function(_0x543808){const _0x22e866=_0x36aa28;if(_0x543808[_0x22e866(0x2198)]===_0x22e866(0x11cf)){const _0x2c2fef=_0x39641b()['find'](_0x51a9e8,{'name':_0x543808[_0x22e866(0x327)]});_0x456977[_0x22e866(0x2785)](_0x2c2fef);}});for(let _0x36c6d2=0x0;_0x36c6d2<_0x330c48[_0x36aa28(0xfd0)];_0x36c6d2++){const _0x1011c7=_0x330c48[_0x36c6d2][_0x36aa28(0x2214)]||[];_0x1011c7[_0x36aa28(0xf90)](function(_0x5d9914){const _0x48114c=_0x36aa28;_0x28c297[_0x48114c(0x2785)](_0x5d9914);});}!_0x39641b()[_0x36aa28(0xce9)](_0x456977)&&_0x456977[_0x36aa28(0xf90)](function(_0x525ff2){const _0xb2947=_0x36aa28;if(!_0x39641b()[_0xb2947(0x727)](_0x28c297,[_0xb2947(0x2982),_0x525ff2['id']])){const _0x545322=_0x39641b()['find'](_0x51a9e8,{'id':_0x525ff2['id']});_0x545322['canSelect']=![],_0x28c297[_0xb2947(0x2785)](_0x545322);}}),_0x3b3817[_0x36aa28(0x971)]=_0x28c297;})[_0x172f53(0x1c4)](function(_0x2362eb){const _0x463fef=_0x172f53;_0x187f6a[_0x463fef(0x218e)]({'title':_0x2362eb['status']?_0x463fef(0xeb9)+_0x2362eb['status']+_0x463fef(0x1657)+_0x2362eb[_0x463fef(0xc22)]:_0x463fef(0x277c),'msg':_0x2362eb['data']?JSON[_0x463fef(0x2701)](_0x2362eb[_0x463fef(0x25c)]):_0x2362eb[_0x463fef(0x147f)]()});});}function _0x370de0(){const _0x175d10=_0x168e2e;_0x30436f[_0x175d10(0x10fc)]()?_0x5ebaec[_0x175d10(0x1059)][_0x175d10(0xbf7)]({'fields':_0x175d10(0x286f),'type':_0x175d10(0x895),'VoiceExtensionId':_0x175d10(0xd38),'nolimit':_0x175d10(0x44d)})['$promise'][_0x175d10(0x1cb0)](function(_0x1fef2f){const _0x3c4b2f=_0x175d10;_0x3b3817[_0x3c4b2f(0xe57)]=_0x1fef2f['rows']||[];})['catch'](function(_0x4e71d3){const _0x40c3eb=_0x175d10;_0x187f6a[_0x40c3eb(0x218e)]({'title':_0x4e71d3[_0x40c3eb(0x291)]?_0x40c3eb(0xeb9)+_0x4e71d3['status']+_0x40c3eb(0x1657)+_0x4e71d3[_0x40c3eb(0xc22)]:_0x40c3eb(0x25ea),'msg':_0x4e71d3['data']?JSON['stringify'](_0x4e71d3[_0x40c3eb(0x25c)]):_0x4e71d3[_0x40c3eb(0x147f)]()});}):_0x5ebaec[_0x175d10(0x1059)]['get']({'fields':_0x175d10(0x286f),'type':'outbound','VoiceExtensionId':_0x175d10(0xd38),'nolimit':'true'})[_0x175d10(0x1d77)][_0x175d10(0x1cb0)](function(_0x5c9f95){const _0x58454c=_0x175d10;_0x3b3817[_0x58454c(0xe57)]=_0x5c9f95[_0x58454c(0x2214)]||[];})[_0x175d10(0x1cb0)](function(){const _0x86633a=_0x175d10;return _0x5ebaec[_0x86633a(0x2199)][_0x86633a(0xbf7)]({'userProfileId':_0x3b3817[_0x86633a(0xe76)][_0x86633a(0x13c1)],'sectionId':0x193})[_0x86633a(0x1d77)];})['then'](function(_0x32639a){const _0x5c8278=_0x175d10,_0x5a2be2=_0x32639a&&_0x32639a['rows']?_0x32639a[_0x5c8278(0x2214)][0x0]:null;if(!_0x5a2be2){const _0x370f3c=[],_0x14b5dd=[];_0x3b3817[_0x5c8278(0x13af)][_0x5c8278(0x2214)][_0x5c8278(0xf90)](function(_0x321e6d){const _0x519dec=_0x5c8278;if(_0x321e6d[_0x519dec(0x2198)]==='routeId'){const _0x4e9b43=_0x39641b()[_0x519dec(0x13b4)](_0x3b3817['outboundRoutes'],{'id':Number(_0x321e6d[_0x519dec(0x327)])});_0x14b5dd[_0x519dec(0x2785)](_0x4e9b43);}});for(let _0x162319=0x0;_0x162319<_0x3b3817[_0x5c8278(0xe57)]['length'];_0x162319++){const _0x1dc29b=_0x39641b()[_0x5c8278(0x727)](_0x14b5dd,{'id':_0x3b3817[_0x5c8278(0xe57)][_0x162319]['id']});_0x1dc29b&&(_0x3b3817[_0x5c8278(0xe57)][_0x162319]['canSelect']=![],_0x370f3c['push'](_0x3b3817['outboundRoutes'][_0x162319]));}_0x3b3817[_0x5c8278(0xe57)]=_0x370f3c;}else{if(!_0x5a2be2[_0x5c8278(0x12f4)])return _0x5ebaec[_0x5c8278(0x1198)]['get']({'sectionId':_0x5a2be2['id']})['$promise'][_0x5c8278(0x1cb0)](function(_0x3c72b9){const _0x9440e4=_0x5c8278,_0x4b9eb6=_0x39641b()[_0x9440e4(0x1de2)](_0x3c72b9[_0x9440e4(0x2214)],function(_0x2c1c53){const _0x53d26c=_0x9440e4;return _0x39641b()[_0x53d26c(0x13b4)](_0x3b3817['outboundRoutes'],{'id':_0x2c1c53['resourceId']});});let _0x36f317=null;_0x36f317=[],_0x3b3817[_0x9440e4(0x13af)]['rows'][_0x9440e4(0xf90)](function(_0x4eb97a){const _0x4e06b8=_0x9440e4;if(_0x4eb97a['field']==='routeId'){const _0x5cd382=_0x39641b()[_0x4e06b8(0x13b4)](_0x3b3817[_0x4e06b8(0xe57)],{'id':Number(_0x4eb97a[_0x4e06b8(0x327)])});_0x36f317['push'](_0x5cd382);}}),!_0x39641b()[_0x9440e4(0xce9)](_0x36f317)&&_0x36f317[_0x9440e4(0xf90)](function(_0x5279d8){const _0x59e04f=_0x9440e4;if(!_0x39641b()[_0x59e04f(0x727)](_0x4b9eb6,['id',_0x5279d8['id']])){const _0x30d2e8=_0x39641b()['find'](_0x3b3817[_0x59e04f(0xe57)],{'id':_0x5279d8['id']});_0x30d2e8[_0x59e04f(0x15da)]=![],_0x4b9eb6[_0x59e04f(0x2785)](_0x30d2e8);}}),_0x3b3817[_0x9440e4(0xe57)]=_0x4b9eb6;});}})[_0x175d10(0x1c4)](function(_0x3197d1){const _0x427456=_0x175d10;_0x187f6a[_0x427456(0x218e)]({'title':_0x3197d1[_0x427456(0x291)]?_0x427456(0xeb9)+_0x3197d1[_0x427456(0x291)]+_0x427456(0x1657)+_0x3197d1[_0x427456(0xc22)]:_0x427456(0x25ea),'msg':_0x3197d1[_0x427456(0x25c)]?JSON[_0x427456(0x2701)](_0x3197d1[_0x427456(0x25c)]):_0x3197d1[_0x427456(0x147f)]()});});}function _0x39a3ea(){const _0x4f5605=_0x168e2e;_0x30436f['isAdmin']()?_0x5ebaec['user'][_0x4f5605(0xbf7)]({'fields':_0x4f5605(0x1d34),'sort':_0x4f5605(0x16b6),'role':_0x4f5605(0x1eff),'nolimit':'true'})['$promise'][_0x4f5605(0x1cb0)](function(_0xe0c496){const _0x970017=_0x4f5605;_0x3b3817[_0x970017(0xc12)]=_0xe0c496[_0x970017(0x2214)]||[];})[_0x4f5605(0x1c4)](function(_0x4ec8c2){const _0x296c52=_0x4f5605;_0x187f6a[_0x296c52(0x218e)]({'title':_0x4ec8c2['status']?'API:'+_0x4ec8c2[_0x296c52(0x291)]+'\x20-\x20'+_0x4ec8c2[_0x296c52(0xc22)]:_0x296c52(0x799),'msg':_0x4ec8c2[_0x296c52(0x25c)]?JSON[_0x296c52(0x2701)](_0x4ec8c2[_0x296c52(0x25c)]):_0x4ec8c2['toString']()});}):_0x5ebaec[_0x4f5605(0xebe)]['get']({'fields':'id,name,fullname','sort':_0x4f5605(0x16b6),'role':'agent','nolimit':_0x4f5605(0x44d)})[_0x4f5605(0x1d77)][_0x4f5605(0x1cb0)](function(_0x286865){const _0x54472b=_0x4f5605;_0x3b3817[_0x54472b(0xc12)]=_0x286865[_0x54472b(0x2214)]||[];})[_0x4f5605(0x1cb0)](function(){const _0x1b5549=_0x4f5605;return _0x5ebaec[_0x1b5549(0x2199)][_0x1b5549(0xbf7)]({'userProfileId':_0x3b3817[_0x1b5549(0xe76)]['userProfileId'],'sectionId':0xca})['$promise'];})[_0x4f5605(0x1cb0)](function(_0x2d08cb){const _0xb56c61=_0x4f5605,_0xec1390=_0x2d08cb&&_0x2d08cb[_0xb56c61(0x2214)]?_0x2d08cb[_0xb56c61(0x2214)][0x0]:null;if(!_0xec1390){const _0x54df16=[],_0x123b83=[];_0x3b3817[_0xb56c61(0x13af)][_0xb56c61(0x2214)]['forEach'](function(_0x262e30){const _0x4a7df7=_0xb56c61;if(_0x262e30[_0x4a7df7(0x2198)]===_0x4a7df7(0x1eff)){const _0x4d72d3=_0x39641b()[_0x4a7df7(0x13b4)](_0x3b3817[_0x4a7df7(0xc12)],{'name':_0x262e30[_0x4a7df7(0x327)]});_0x123b83[_0x4a7df7(0x2785)](_0x4d72d3);}});for(let _0x28e6d5=0x0;_0x28e6d5<_0x3b3817[_0xb56c61(0xc12)][_0xb56c61(0xfd0)];_0x28e6d5++){const _0x15ed2a=_0x39641b()[_0xb56c61(0x727)](_0x123b83,{'id':_0x3b3817[_0xb56c61(0xc12)][_0x28e6d5]['id']});_0x15ed2a&&(_0x3b3817[_0xb56c61(0xc12)][_0x28e6d5][_0xb56c61(0x15da)]=![],_0x54df16[_0xb56c61(0x2785)](_0x3b3817[_0xb56c61(0xc12)][_0x28e6d5]));}_0x3b3817[_0xb56c61(0xc12)]=_0x54df16;}else{if(!_0xec1390[_0xb56c61(0x12f4)])return _0x5ebaec[_0xb56c61(0x1198)][_0xb56c61(0xbf7)]({'sectionId':_0xec1390['id']})[_0xb56c61(0x1d77)][_0xb56c61(0x1cb0)](function(_0x745cf8){const _0x2f7a58=_0xb56c61,_0x36cecf=_0x39641b()[_0x2f7a58(0x1de2)](_0x745cf8[_0x2f7a58(0x2214)],function(_0x10ad7c){const _0x304347=_0x2f7a58;return _0x39641b()['find'](_0x3b3817[_0x304347(0xc12)],{'id':_0x10ad7c['resourceId']});});let _0x2cde99=null;_0x2cde99=[],_0x3b3817[_0x2f7a58(0x13af)][_0x2f7a58(0x2214)][_0x2f7a58(0xf90)](function(_0x18de61){const _0x4aa297=_0x2f7a58;if(_0x18de61[_0x4aa297(0x2198)]==='agent'){const _0x3bf649=_0x39641b()[_0x4aa297(0x13b4)](_0x3b3817['agents'],{'name':_0x18de61[_0x4aa297(0x327)]});_0x2cde99[_0x4aa297(0x2785)](_0x3bf649);}}),!_0x39641b()['isEmpty'](_0x2cde99)&&_0x2cde99[_0x2f7a58(0xf90)](function(_0x51f642){const _0x23c55f=_0x2f7a58;if(!_0x39641b()[_0x23c55f(0x727)](_0x36cecf,['id',_0x51f642['id']])){const _0x598bbb=_0x39641b()[_0x23c55f(0x13b4)](_0x3b3817[_0x23c55f(0xc12)],{'id':_0x51f642['id']});_0x598bbb[_0x23c55f(0x15da)]=![],_0x36cecf[_0x23c55f(0x2785)](_0x598bbb);}}),_0x3b3817['agents']=_0x36cecf;});}})['catch'](function(_0x5cca13){const _0x384346=_0x4f5605;_0x187f6a[_0x384346(0x218e)]({'title':_0x5cca13[_0x384346(0x291)]?_0x384346(0xeb9)+_0x5cca13[_0x384346(0x291)]+'\x20-\x20'+_0x5cca13[_0x384346(0xc22)]:_0x384346(0x799),'msg':_0x5cca13[_0x384346(0x25c)]?JSON[_0x384346(0x2701)](_0x5cca13['data']):_0x5cca13[_0x384346(0x147f)]()});});}function _0x4d2604(){const _0x58529a=_0x168e2e;if(_0x3b3817[_0x58529a(0x497)]['channel']===_0x58529a(0x1fd4)){if(_0x39641b()[_0x58529a(0x727)](_0x3b3817['triggerAllConditions'][_0x58529a(0x2214)],[_0x58529a(0x2198),_0x58529a(0x11cf)])){if(!_0x3b3817[_0x58529a(0x971)])_0x2e2bbb();}if(_0x39641b()[_0x58529a(0x727)](_0x3b3817[_0x58529a(0x13af)][_0x58529a(0x2214)],[_0x58529a(0x2198),_0x58529a(0xedf)])){if(!_0x3b3817[_0x58529a(0xe57)])_0x370de0();}if(_0x39641b()['some'](_0x3b3817[_0x58529a(0x13af)][_0x58529a(0x2214)],[_0x58529a(0x2198),_0x58529a(0x1eff)])){if(!_0x3b3817[_0x58529a(0xc12)])_0x39a3ea();}}else _0x3d2149();}function _0x2f084f(_0x42dd39){const _0x5a8b3a=_0x168e2e;_0x3b3817[_0x5a8b3a(0x13af)]=_0x42dd39||{'count':0x0,'rows':[]},_0x4d2604();}function _0x295bb7(){const _0x5982cb=_0x168e2e;_0x3b3817['query'][_0x5982cb(0x184b)]=(_0x3b3817[_0x5982cb(0xae2)][_0x5982cb(0x1c7b)]-0x1)*_0x3b3817[_0x5982cb(0xae2)][_0x5982cb(0x236)],_0x3b3817[_0x5982cb(0x2061)]=_0x5ebaec[_0x5982cb(0x497)]['getAllConditions'](_0x3b3817[_0x5982cb(0xae2)],_0x2f084f)[_0x5982cb(0x1d77)];}function _0x31442b(_0x38c62f,_0x3f1799){const _0x2dd2d4=_0x168e2e;_0x4de393[_0x2dd2d4(0xe27)]({'controller':'CreateOrEditAllConditionDialogController','controllerAs':'vm','templateUrl':_0x5b5e60,'parent':angular[_0x2dd2d4(0x1853)](_0x52f514[_0x2dd2d4(0x1ed9)]),'targetEvent':_0x38c62f,'clickOutsideToClose':!![],'locals':{'trigger':_0x3b3817[_0x2dd2d4(0x497)],'allCondition':_0x3f1799,'allConditions':_0x3b3817[_0x2dd2d4(0x13af)][_0x2dd2d4(0x2214)],'license':null,'setting':null,'crudPermissions':_0x3b3817[_0x2dd2d4(0x1b1a)]}})[_0x2dd2d4(0x1cb0)](function(_0x17a1b6){const _0x3b7bda=_0x2dd2d4;_0x17a1b6&&(_0x3b3817['triggerAllConditions']={'count':_0x17a1b6[_0x3b7bda(0xfd0)],'rows':_0x17a1b6},_0x4d2604());});}function _0x37a4e4(_0xfd4a17,_0x162f32){const _0xb72ded=_0x168e2e,_0x36c88f=_0x4de393[_0xb72ded(0x1551)]()[_0xb72ded(0x1386)](_0x383e35[_0xb72ded(0x25cc)](_0xb72ded(0x2473)))['ariaLabel'](_0xb72ded(0x213f))[_0xb72ded(0x728)](_0x162f32)['ok']('OK')[_0xb72ded(0x696)](_0x383e35[_0xb72ded(0x25cc)](_0xb72ded(0x2768)));_0x4de393[_0xb72ded(0xe27)](_0x36c88f)[_0xb72ded(0x1cb0)](function(){_0x55bce4(_0xfd4a17,!![]);});}function _0x55bce4(_0x179946,_0x2ca90e){const _0x2d28b8=_0x168e2e;_0x5ebaec['condition'][_0x2d28b8(0x111d)]({'id':_0x179946['id']})[_0x2d28b8(0x1d77)][_0x2d28b8(0x1cb0)](function(){const _0x481eef=_0x2d28b8;_0x295bb7(),_0x2ca90e&&_0x187f6a['success']({'title':_0x383e35[_0x481eef(0x25cc)](_0x481eef(0x16de))});})[_0x2d28b8(0x1c4)](function(_0x1b47b6){const _0x4a76d5=_0x2d28b8;if(_0x1b47b6['data']&&_0x1b47b6['data'][_0x4a76d5(0x1a7c)]&&_0x1b47b6[_0x4a76d5(0x25c)][_0x4a76d5(0x1a7c)][_0x4a76d5(0xfd0)]){_0x3b3817[_0x4a76d5(0x1a7c)]=_0x1b47b6[_0x4a76d5(0x25c)][_0x4a76d5(0x1a7c)]||[{'message':_0x1b47b6[_0x4a76d5(0x147f)](),'type':'SYSTEM:GETtrigger'}];for(let _0x1bdd25=0x0;_0x1bdd25<_0x1b47b6[_0x4a76d5(0x25c)][_0x4a76d5(0x1a7c)]['length'];_0x1bdd25++){_0x187f6a[_0x4a76d5(0x218e)]({'title':_0x1b47b6[_0x4a76d5(0x25c)][_0x4a76d5(0x1a7c)][_0x1bdd25][_0x4a76d5(0x66a)],'msg':_0x1b47b6[_0x4a76d5(0x25c)]['errors'][_0x1bdd25][_0x4a76d5(0x155e)]});}}else _0x187f6a['error']({'title':_0x1b47b6[_0x4a76d5(0x291)]?_0x4a76d5(0xeb9)+_0x1b47b6[_0x4a76d5(0x291)]+_0x4a76d5(0x1657)+_0x1b47b6[_0x4a76d5(0xc22)]:_0x4a76d5(0x15e7),'msg':_0x1b47b6['data']?JSON[_0x4a76d5(0x2701)](_0x1b47b6[_0x4a76d5(0x25c)]['message']):_0x1b47b6[_0x4a76d5(0x155e)]||_0x1b47b6[_0x4a76d5(0x147f)]()});});}function _0x5b3f24(){const _0x4d38c4=_0x168e2e,_0x14fe55=angular[_0x4d38c4(0x17fe)](_0x3b3817[_0x4d38c4(0x9cf)]);return _0x3b3817[_0x4d38c4(0x9cf)]=[],_0x14fe55;}function _0x113baf(_0x52a0a2){const _0x182f2f=_0x168e2e,_0x5d93da=_0x4de393[_0x182f2f(0x1551)]()[_0x182f2f(0x1386)](_0x383e35[_0x182f2f(0x25cc)](_0x182f2f(0xda3)))[_0x182f2f(0x862)](_0x383e35[_0x182f2f(0x25cc)](_0x182f2f(0x181d),{'total':_0x3b3817[_0x182f2f(0x9cf)][_0x182f2f(0xfd0)]}))[_0x182f2f(0x15ad)](_0x182f2f(0x1d0c))['targetEvent'](_0x52a0a2)['ok']('OK')['cancel'](_0x383e35['instant']('APP.CANCEL'));_0x4de393[_0x182f2f(0xe27)](_0x5d93da)[_0x182f2f(0x1cb0)](function(){const _0x2ef822=_0x182f2f;_0x3b3817[_0x2ef822(0x9cf)][_0x2ef822(0xf90)](function(_0x4c4a96){_0x55bce4(_0x4c4a96);}),_0x3b3817[_0x2ef822(0x9cf)]=[],_0x187f6a[_0x2ef822(0x829)]({'title':_0x383e35[_0x2ef822(0x25cc)](_0x2ef822(0x25fe))});});}let _0x3f9fda=!![],_0x325265=0x1;_0x50faf9[_0x168e2e(0x614)]('vm_dc.query.filter',function(_0x357b86,_0x3f19f7){const _0x1b55ae=_0x168e2e;_0x3f9fda?_0x519c44(function(){_0x3f9fda=![];}):(!_0x3f19f7&&(_0x325265=_0x3b3817[_0x1b55ae(0xae2)][_0x1b55ae(0x1c7b)]),_0x357b86!==_0x3f19f7&&(_0x3b3817[_0x1b55ae(0xae2)][_0x1b55ae(0x1c7b)]=0x1),!_0x357b86&&(_0x3b3817[_0x1b55ae(0xae2)]['page']=_0x325265),_0x295bb7());});}const _0x522625=_0x4350d8;;_0x33e91b[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),'toasty','allconditions',_0x5537c6(0x1835),_0x5537c6(0x497),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x33e91b(_0x5de6ff,_0x2b5f8e,_0x27873c,_0x26077c,_0x549bce,_0x3ba2fa,_0xd205e0,_0x2e584b,_0x5ed10f,_0x58768d,_0x258a92,_0x3d95eb,_0x63cea1,_0x5de2f5,_0x19a925){const _0x46364c=_0x5537c6,_0x2c22e2=this;_0x2c22e2[_0x46364c(0xe76)]=_0x3d95eb[_0x46364c(0x21e8)](),_0x2c22e2[_0x46364c(0x1a7c)]=[],_0x2c22e2[_0x46364c(0x9ca)]=_0x5de2f5,_0x2c22e2[_0x46364c(0x8a5)]=_0x63cea1,_0x2c22e2[_0x46364c(0x1b1a)]=_0x19a925,_0x2c22e2[_0x46364c(0xf4c)]={},_0x2c22e2[_0x46364c(0x1b0c)]=_0x2c22e2['setting']&&_0x2c22e2[_0x46364c(0x9ca)][_0x46364c(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x2c22e2[_0x46364c(0x1386)]=_0x46364c(0xdb5),_0x2c22e2[_0x46364c(0x1835)]=angular[_0x46364c(0x17fe)](_0x5ed10f),_0x2c22e2['allconditions']=_0x2e584b,_0x2c22e2[_0x46364c(0x28ad)]=![],_0x2c22e2['trigger']=angular[_0x46364c(0x17fe)](_0x58768d);!_0x2c22e2[_0x46364c(0x1835)]&&(_0x2c22e2[_0x46364c(0x1835)]={'field':_0x46364c(0x11cf),'operator':_0x46364c(0x1c53),'value':'in'},_0x2c22e2['title']=_0x46364c(0xd35),_0x2c22e2[_0x46364c(0x28ad)]=!![]);_0x2b5f8e[_0x46364c(0x1dfe)]['id']&&(_0x2c22e2['allCondition'][_0x46364c(0x4a5)]=_0x2b5f8e[_0x46364c(0x1dfe)]['id']);_0x2c22e2[_0x46364c(0x15de)]=_0x1090d6,_0x2c22e2[_0x46364c(0x263a)]=_0x5abfdf,_0x2c22e2[_0x46364c(0x59e)]=_0x4d293b,_0x2c22e2[_0x46364c(0x2c4)]=_0x14c9e6,_0x2c22e2[_0x46364c(0xda0)]=_0x47a1ed,_0x3d95eb[_0x46364c(0x22b6)](_0x46364c(0x1c60))?_0x258a92['chatWebsite'][_0x46364c(0xbf7)]({'fields':_0x46364c(0x43c),'sort':'id','nolimit':_0x46364c(0x44d)})[_0x46364c(0x1d77)][_0x46364c(0x1cb0)](function(_0x4f54c9){const _0x2be3eb=_0x46364c;_0x2c22e2[_0x2be3eb(0x1ad1)]=_0x4f54c9[_0x2be3eb(0x2214)]||[];})['catch'](function(_0x3c5ef0){const _0x79f24c=_0x46364c;_0xd205e0['error']({'title':_0x3c5ef0[_0x79f24c(0x291)]?_0x79f24c(0xeb9)+_0x3c5ef0['status']+_0x79f24c(0x1657)+_0x3c5ef0[_0x79f24c(0xc22)]:_0x79f24c(0x2103),'msg':_0x3c5ef0[_0x79f24c(0x25c)]?JSON[_0x79f24c(0x2701)](_0x3c5ef0[_0x79f24c(0x25c)]):_0x3c5ef0['toString']()});}):_0x258a92[_0x46364c(0x226c)]['get']({'fields':_0x46364c(0x43c),'sort':'id','nolimit':'true'})['$promise'][_0x46364c(0x1cb0)](function(_0x230f79){const _0x409091=_0x46364c;_0x2c22e2[_0x409091(0x1ad1)]=_0x230f79[_0x409091(0x2214)]||[];})[_0x46364c(0x1cb0)](function(){const _0x474d8b=_0x46364c;return _0x258a92[_0x474d8b(0x2199)][_0x474d8b(0xbf7)]({'userProfileId':_0x2c22e2[_0x474d8b(0xe76)][_0x474d8b(0x13c1)],'sectionId':0x1f6})['$promise'];})[_0x46364c(0x1cb0)](function(_0x556ce5){const _0x1ac0be=_0x46364c,_0x323c59=_0x556ce5&&_0x556ce5['rows']?_0x556ce5[_0x1ac0be(0x2214)][0x0]:null;if(!_0x323c59){const _0x31a8f9=[];let _0x801b67=null;_0x2c22e2['allCondition']&&(_0x801b67=_0x39641b()[_0x1ac0be(0x13b4)](_0x2c22e2[_0x1ac0be(0x1ad1)],{'id':Number(_0x2c22e2[_0x1ac0be(0x1835)]['value'])}));for(let _0x2bb9f1=0x0;_0x2bb9f1<_0x2c22e2[_0x1ac0be(0x1ad1)][_0x1ac0be(0xfd0)];_0x2bb9f1++){_0x801b67&&_0x2c22e2[_0x1ac0be(0x1ad1)][_0x2bb9f1]['id']===_0x801b67['id']&&(_0x2c22e2[_0x1ac0be(0x1ad1)][_0x2bb9f1][_0x1ac0be(0x15da)]=![],_0x31a8f9[_0x1ac0be(0x2785)](_0x2c22e2['chatAccounts'][_0x2bb9f1]));}_0x2c22e2[_0x1ac0be(0x1ad1)]=_0x31a8f9;}else{if(!_0x323c59[_0x1ac0be(0x12f4)])return _0x258a92[_0x1ac0be(0x1198)]['get']({'sectionId':_0x323c59['id']})['$promise'][_0x1ac0be(0x1cb0)](function(_0x36cb1f){const _0x3bdef4=_0x1ac0be,_0x468640=_0x39641b()[_0x3bdef4(0x1de2)](_0x36cb1f[_0x3bdef4(0x2214)],function(_0x14de11){const _0xb9387e=_0x3bdef4;return _0x39641b()[_0xb9387e(0x13b4)](_0x2c22e2[_0xb9387e(0x1ad1)],{'id':_0x14de11[_0xb9387e(0x2982)]});});let _0x1b2904=null;_0x2c22e2['allCondition']&&(_0x1b2904=_0x39641b()[_0x3bdef4(0x13b4)](_0x2c22e2[_0x3bdef4(0x1ad1)],{'id':Number(_0x2c22e2[_0x3bdef4(0x1835)][_0x3bdef4(0x327)])}));if(_0x1b2904&&!_0x39641b()[_0x3bdef4(0x727)](_0x468640,['id',_0x1b2904['id']])){const _0x38a0ad=_0x39641b()['find'](_0x2c22e2['chatAccounts'],{'id':_0x1b2904['id']});_0x38a0ad[_0x3bdef4(0x15da)]=![],_0x468640[_0x3bdef4(0x2785)](_0x38a0ad);}_0x2c22e2[_0x3bdef4(0x1ad1)]=_0x468640;});}})['catch'](function(_0x5a51fc){const _0x51e945=_0x46364c;_0xd205e0[_0x51e945(0x218e)]({'title':_0x5a51fc[_0x51e945(0x291)]?_0x51e945(0xeb9)+_0x5a51fc[_0x51e945(0x291)]+_0x51e945(0x1657)+_0x5a51fc['statusText']:_0x51e945(0x18e5),'msg':_0x5a51fc['data']?JSON[_0x51e945(0x2701)](_0x5a51fc['data']):_0x5a51fc[_0x51e945(0x147f)]()});}),_0x3d95eb[_0x46364c(0x22b6)]('admin')?_0x258a92['mailAccount'][_0x46364c(0xbf7)]({'fields':'id,name','sort':'id','nolimit':_0x46364c(0x44d)})[_0x46364c(0x1d77)][_0x46364c(0x1cb0)](function(_0xb77dea){_0x2c22e2['mailAccounts']=_0xb77dea['rows']||[];})[_0x46364c(0x1c4)](function(_0x4500c5){const _0x2db111=_0x46364c;_0xd205e0[_0x2db111(0x218e)]({'title':_0x4500c5[_0x2db111(0x291)]?_0x2db111(0xeb9)+_0x4500c5[_0x2db111(0x291)]+'\x20-\x20'+_0x4500c5[_0x2db111(0xc22)]:_0x2db111(0x3d7),'msg':_0x4500c5[_0x2db111(0x25c)]?JSON['stringify'](_0x4500c5['data']):_0x4500c5['toString']()});}):_0x258a92[_0x46364c(0x131c)][_0x46364c(0xbf7)]({'fields':_0x46364c(0x43c),'sort':'id','nolimit':_0x46364c(0x44d)})[_0x46364c(0x1d77)][_0x46364c(0x1cb0)](function(_0x221818){const _0x3135d4=_0x46364c;_0x2c22e2['mailAccounts']=_0x221818[_0x3135d4(0x2214)]||[];})['then'](function(){const _0x35eb33=_0x46364c;return _0x258a92[_0x35eb33(0x2199)]['get']({'userProfileId':_0x2c22e2[_0x35eb33(0xe76)][_0x35eb33(0x13c1)],'sectionId':0x25a})[_0x35eb33(0x1d77)];})[_0x46364c(0x1cb0)](function(_0x26ae8c){const _0xc8258a=_0x46364c,_0x4800bf=_0x26ae8c&&_0x26ae8c['rows']?_0x26ae8c[_0xc8258a(0x2214)][0x0]:null;if(!_0x4800bf){const _0x42b24e=[];let _0x3cb45f=null;_0x2c22e2[_0xc8258a(0x1835)]&&(_0x3cb45f=_0x39641b()[_0xc8258a(0x13b4)](_0x2c22e2[_0xc8258a(0x228e)],{'id':Number(_0x2c22e2[_0xc8258a(0x1835)][_0xc8258a(0x327)])}));for(let _0x472c6f=0x0;_0x472c6f<_0x2c22e2['mailAccounts']['length'];_0x472c6f++){_0x3cb45f&&_0x2c22e2[_0xc8258a(0x228e)][_0x472c6f]['id']===_0x3cb45f['id']&&(_0x2c22e2[_0xc8258a(0x228e)][_0x472c6f][_0xc8258a(0x15da)]=![],_0x42b24e[_0xc8258a(0x2785)](_0x2c22e2['mailAccounts'][_0x472c6f]));}_0x2c22e2[_0xc8258a(0x228e)]=_0x42b24e;}else{if(!_0x4800bf['autoAssociation'])return _0x258a92[_0xc8258a(0x1198)][_0xc8258a(0xbf7)]({'sectionId':_0x4800bf['id']})['$promise'][_0xc8258a(0x1cb0)](function(_0x122b4f){const _0x625441=_0xc8258a,_0x4f650c=_0x39641b()[_0x625441(0x1de2)](_0x122b4f[_0x625441(0x2214)],function(_0x326d4e){const _0x38acfd=_0x625441;return _0x39641b()[_0x38acfd(0x13b4)](_0x2c22e2[_0x38acfd(0x228e)],{'id':_0x326d4e['resourceId']});});let _0x4a66c7=null;_0x2c22e2[_0x625441(0x1835)]&&(_0x4a66c7=_0x39641b()[_0x625441(0x13b4)](_0x2c22e2[_0x625441(0x228e)],{'id':Number(_0x2c22e2[_0x625441(0x1835)][_0x625441(0x327)])}));if(_0x4a66c7&&!_0x39641b()[_0x625441(0x727)](_0x4f650c,['id',_0x4a66c7['id']])){const _0x44ba09=_0x39641b()['find'](_0x2c22e2[_0x625441(0x228e)],{'id':_0x4a66c7['id']});_0x44ba09[_0x625441(0x15da)]=![],_0x4f650c[_0x625441(0x2785)](_0x44ba09);}_0x2c22e2[_0x625441(0x228e)]=_0x4f650c;});}})[_0x46364c(0x1c4)](function(_0x89a390){const _0x3adc3d=_0x46364c;_0xd205e0['error']({'title':_0x89a390[_0x3adc3d(0x291)]?_0x3adc3d(0xeb9)+_0x89a390['status']+_0x3adc3d(0x1657)+_0x89a390['statusText']:_0x3adc3d(0x20f2),'msg':_0x89a390['data']?JSON[_0x3adc3d(0x2701)](_0x89a390[_0x3adc3d(0x25c)]):_0x89a390['toString']()});}),_0x3d95eb[_0x46364c(0x22b6)](_0x46364c(0x1c60))?_0x258a92['smsAccount'][_0x46364c(0xbf7)]({'fields':'id,name','sort':'id','nolimit':'true'})[_0x46364c(0x1d77)][_0x46364c(0x1cb0)](function(_0x1429f){const _0x59ce1d=_0x46364c;_0x2c22e2['smsAccounts']=_0x1429f[_0x59ce1d(0x2214)]||[];})[_0x46364c(0x1c4)](function(_0x28ff6c){const _0x2ae9bf=_0x46364c;_0xd205e0['error']({'title':_0x28ff6c[_0x2ae9bf(0x291)]?_0x2ae9bf(0xeb9)+_0x28ff6c[_0x2ae9bf(0x291)]+_0x2ae9bf(0x1657)+_0x28ff6c['statusText']:_0x2ae9bf(0x10bf),'msg':_0x28ff6c[_0x2ae9bf(0x25c)]?JSON[_0x2ae9bf(0x2701)](_0x28ff6c[_0x2ae9bf(0x25c)]):_0x28ff6c[_0x2ae9bf(0x147f)]()});}):_0x258a92[_0x46364c(0x658)][_0x46364c(0xbf7)]({'fields':_0x46364c(0x43c),'sort':'id','nolimit':'true'})['$promise'][_0x46364c(0x1cb0)](function(_0x3e2f10){const _0x3a4dd8=_0x46364c;_0x2c22e2[_0x3a4dd8(0x1ac)]=_0x3e2f10[_0x3a4dd8(0x2214)]||[];})[_0x46364c(0x1cb0)](function(){const _0x279a68=_0x46364c;return _0x258a92[_0x279a68(0x2199)][_0x279a68(0xbf7)]({'userProfileId':_0x2c22e2[_0x279a68(0xe76)][_0x279a68(0x13c1)],'sectionId':0x2be})[_0x279a68(0x1d77)];})[_0x46364c(0x1cb0)](function(_0x26cdb4){const _0x3202d2=_0x46364c,_0x32ffaf=_0x26cdb4&&_0x26cdb4[_0x3202d2(0x2214)]?_0x26cdb4['rows'][0x0]:null;if(!_0x32ffaf){const _0x2f839c=[];let _0x4bdd69=null;_0x2c22e2['allCondition']&&(_0x4bdd69=_0x39641b()[_0x3202d2(0x13b4)](_0x2c22e2[_0x3202d2(0x1ac)],{'id':Number(_0x2c22e2[_0x3202d2(0x1835)][_0x3202d2(0x327)])}));for(let _0x468f55=0x0;_0x468f55<_0x2c22e2[_0x3202d2(0x1ac)][_0x3202d2(0xfd0)];_0x468f55++){_0x4bdd69&&_0x2c22e2[_0x3202d2(0x1ac)][_0x468f55]['id']===_0x4bdd69['id']&&(_0x2c22e2[_0x3202d2(0x1ac)][_0x468f55][_0x3202d2(0x15da)]=![],_0x2f839c[_0x3202d2(0x2785)](_0x2c22e2[_0x3202d2(0x1ac)][_0x468f55]));}_0x2c22e2[_0x3202d2(0x1ac)]=_0x2f839c;}else{if(!_0x32ffaf[_0x3202d2(0x12f4)])return _0x258a92['userProfileResource'][_0x3202d2(0xbf7)]({'sectionId':_0x32ffaf['id']})['$promise'][_0x3202d2(0x1cb0)](function(_0x490dc8){const _0x44816a=_0x3202d2,_0x5bd729=_0x39641b()['map'](_0x490dc8['rows'],function(_0x5f5af4){const _0x274b0d=a0_0x5cbd;return _0x39641b()['find'](_0x2c22e2[_0x274b0d(0x1ac)],{'id':_0x5f5af4[_0x274b0d(0x2982)]});});let _0x51c408=null;_0x2c22e2[_0x44816a(0x1835)]&&(_0x51c408=_0x39641b()[_0x44816a(0x13b4)](_0x2c22e2[_0x44816a(0x1ac)],{'id':Number(_0x2c22e2['allCondition'][_0x44816a(0x327)])}));if(_0x51c408&&!_0x39641b()[_0x44816a(0x727)](_0x5bd729,['id',_0x51c408['id']])){const _0x503f75=_0x39641b()[_0x44816a(0x13b4)](_0x2c22e2[_0x44816a(0x1ac)],{'id':_0x51c408['id']});_0x503f75[_0x44816a(0x15da)]=![],_0x5bd729['push'](_0x503f75);}_0x2c22e2[_0x44816a(0x1ac)]=_0x5bd729;});}})[_0x46364c(0x1c4)](function(_0x317d42){const _0x4106d3=_0x46364c;_0xd205e0['error']({'title':_0x317d42[_0x4106d3(0x291)]?_0x4106d3(0xeb9)+_0x317d42['status']+_0x4106d3(0x1657)+_0x317d42[_0x4106d3(0xc22)]:'SYSTEM:GETsmsAccounts','msg':_0x317d42[_0x4106d3(0x25c)]?JSON[_0x4106d3(0x2701)](_0x317d42[_0x4106d3(0x25c)]):_0x317d42[_0x4106d3(0x147f)]()});}),_0x3d95eb[_0x46364c(0x22b6)]('admin')?_0x258a92[_0x46364c(0x83a)]['get']({'fields':_0x46364c(0x43c),'sort':'id','nolimit':_0x46364c(0x44d)})[_0x46364c(0x1d77)]['then'](function(_0x23a510){const _0x4cb289=_0x46364c;_0x2c22e2[_0x4cb289(0xbb3)]=_0x23a510[_0x4cb289(0x2214)]||[];})[_0x46364c(0x1c4)](function(_0x144798){const _0x3fd2a4=_0x46364c;_0xd205e0[_0x3fd2a4(0x218e)]({'title':_0x144798['status']?_0x3fd2a4(0xeb9)+_0x144798[_0x3fd2a4(0x291)]+_0x3fd2a4(0x1657)+_0x144798[_0x3fd2a4(0xc22)]:'SYSTEM:GET_WHATSAPPACCOUNTS','msg':_0x144798[_0x3fd2a4(0x25c)]?JSON[_0x3fd2a4(0x2701)](_0x144798[_0x3fd2a4(0x25c)]):_0x144798[_0x3fd2a4(0x147f)]()});}):_0x258a92[_0x46364c(0x83a)][_0x46364c(0xbf7)]({'fields':_0x46364c(0x43c),'sort':'id','nolimit':_0x46364c(0x44d)})[_0x46364c(0x1d77)]['then'](function(_0x3012de){const _0x522391=_0x46364c;_0x2c22e2[_0x522391(0xbb3)]=_0x3012de[_0x522391(0x2214)]||[];})[_0x46364c(0x1cb0)](function(){const _0x525315=_0x46364c;return _0x258a92[_0x525315(0x2199)]['get']({'userProfileId':_0x2c22e2[_0x525315(0xe76)][_0x525315(0x13c1)],'sectionId':0x836})[_0x525315(0x1d77)];})[_0x46364c(0x1cb0)](function(_0x21fee2){const _0x368709=_0x46364c,_0x238cd3=_0x21fee2&&_0x21fee2[_0x368709(0x2214)]?_0x21fee2['rows'][0x0]:null;if(!_0x238cd3){const _0x1c3382=[];let _0x29d85b=null;_0x2c22e2[_0x368709(0x1835)]&&(_0x29d85b=_0x39641b()['find'](_0x2c22e2['whatsappAccounts'],{'id':Number(_0x2c22e2[_0x368709(0x1835)][_0x368709(0x327)])}));for(let _0x2da900=0x0;_0x2da900<_0x2c22e2[_0x368709(0xbb3)][_0x368709(0xfd0)];_0x2da900++){_0x29d85b&&_0x2c22e2['whatsappAccounts'][_0x2da900]['id']===_0x29d85b['id']&&(_0x2c22e2[_0x368709(0xbb3)][_0x2da900]['canSelect']=![],_0x1c3382[_0x368709(0x2785)](_0x2c22e2[_0x368709(0xbb3)][_0x2da900]));}_0x2c22e2[_0x368709(0xbb3)]=_0x1c3382;}else{if(!_0x238cd3['autoAssociation'])return _0x258a92[_0x368709(0x1198)][_0x368709(0xbf7)]({'sectionId':_0x238cd3['id']})['$promise'][_0x368709(0x1cb0)](function(_0x3a8a2d){const _0x5425ca=_0x368709,_0x283212=_0x39641b()['map'](_0x3a8a2d[_0x5425ca(0x2214)],function(_0x4216f1){return _0x39641b()['find'](_0x2c22e2['whatsappAccounts'],{'id':_0x4216f1['resourceId']});});let _0x48dfb0=null;_0x2c22e2[_0x5425ca(0x1835)]&&(_0x48dfb0=_0x39641b()[_0x5425ca(0x13b4)](_0x2c22e2[_0x5425ca(0xbb3)],{'id':Number(_0x2c22e2[_0x5425ca(0x1835)][_0x5425ca(0x327)])}));if(_0x48dfb0&&!_0x39641b()[_0x5425ca(0x727)](_0x283212,['id',_0x48dfb0['id']])){const _0x87eab2=_0x39641b()['find'](_0x2c22e2['whatsappAccounts'],{'id':_0x48dfb0['id']});_0x87eab2[_0x5425ca(0x15da)]=![],_0x283212['push'](_0x87eab2);}_0x2c22e2[_0x5425ca(0xbb3)]=_0x283212;});}})[_0x46364c(0x1c4)](function(_0x1103c6){const _0x28b90d=_0x46364c;_0xd205e0['error']({'title':_0x1103c6[_0x28b90d(0x291)]?_0x28b90d(0xeb9)+_0x1103c6[_0x28b90d(0x291)]+_0x28b90d(0x1657)+_0x1103c6['statusText']:'SYSTEM:GETwhatsappAccounts','msg':_0x1103c6[_0x28b90d(0x25c)]?JSON['stringify'](_0x1103c6[_0x28b90d(0x25c)]):_0x1103c6['toString']()});}),_0x3d95eb[_0x46364c(0x22b6)](_0x46364c(0x1c60))?_0x258a92[_0x46364c(0xb91)]['get']({'fields':_0x46364c(0x43c),'sort':'id','nolimit':_0x46364c(0x44d)})['$promise']['then'](function(_0x355688){const _0x7e768e=_0x46364c;_0x2c22e2['faxAccounts']=_0x355688[_0x7e768e(0x2214)]||[];})['catch'](function(_0x2fb5ad){const _0x2e46c6=_0x46364c;_0xd205e0[_0x2e46c6(0x218e)]({'title':_0x2fb5ad[_0x2e46c6(0x291)]?_0x2e46c6(0xeb9)+_0x2fb5ad['status']+_0x2e46c6(0x1657)+_0x2fb5ad[_0x2e46c6(0xc22)]:_0x2e46c6(0x286),'msg':_0x2fb5ad[_0x2e46c6(0x25c)]?JSON[_0x2e46c6(0x2701)](_0x2fb5ad[_0x2e46c6(0x25c)]):_0x2fb5ad[_0x2e46c6(0x147f)]()});}):_0x258a92[_0x46364c(0xb91)][_0x46364c(0xbf7)]({'fields':_0x46364c(0x43c),'sort':'id','nolimit':_0x46364c(0x44d)})['$promise'][_0x46364c(0x1cb0)](function(_0x5f3b62){const _0x13fa40=_0x46364c;_0x2c22e2[_0x13fa40(0x29de)]=_0x5f3b62[_0x13fa40(0x2214)]||[];})[_0x46364c(0x1cb0)](function(){const _0x2d826b=_0x46364c;return _0x258a92[_0x2d826b(0x2199)][_0x2d826b(0xbf7)]({'userProfileId':_0x2c22e2[_0x2d826b(0xe76)][_0x2d826b(0x13c1)],'sectionId':0x386})[_0x2d826b(0x1d77)];})['then'](function(_0x3f70c1){const _0x265455=_0x46364c,_0x26b927=_0x3f70c1&&_0x3f70c1[_0x265455(0x2214)]?_0x3f70c1[_0x265455(0x2214)][0x0]:null;if(!_0x26b927){const _0x48f863=[];let _0x13ee4f=null;_0x2c22e2[_0x265455(0x1835)]&&(_0x13ee4f=_0x39641b()['find'](_0x2c22e2[_0x265455(0x29de)],{'id':Number(_0x2c22e2[_0x265455(0x1835)]['value'])}));for(let _0x58f8af=0x0;_0x58f8af<_0x2c22e2['faxAccounts']['length'];_0x58f8af++){_0x13ee4f&&_0x2c22e2[_0x265455(0x29de)][_0x58f8af]['id']===_0x13ee4f['id']&&(_0x2c22e2[_0x265455(0x29de)][_0x58f8af][_0x265455(0x15da)]=![],_0x48f863['push'](_0x2c22e2[_0x265455(0x29de)][_0x58f8af]));}_0x2c22e2['faxAccounts']=_0x48f863;}else{if(!_0x26b927[_0x265455(0x12f4)])return _0x258a92['userProfileResource'][_0x265455(0xbf7)]({'sectionId':_0x26b927['id']})['$promise']['then'](function(_0xcb6d86){const _0x491b18=_0x265455,_0x3ea8d3=_0x39641b()[_0x491b18(0x1de2)](_0xcb6d86[_0x491b18(0x2214)],function(_0x311c9e){const _0x526fe=_0x491b18;return _0x39641b()[_0x526fe(0x13b4)](_0x2c22e2[_0x526fe(0x29de)],{'id':_0x311c9e[_0x526fe(0x2982)]});});let _0x1e05e9=null;_0x2c22e2[_0x491b18(0x1835)]&&(_0x1e05e9=_0x39641b()[_0x491b18(0x13b4)](_0x2c22e2['faxAccounts'],{'id':Number(_0x2c22e2[_0x491b18(0x1835)][_0x491b18(0x327)])}));if(_0x1e05e9&&!_0x39641b()[_0x491b18(0x727)](_0x3ea8d3,['id',_0x1e05e9['id']])){const _0x1a38a7=_0x39641b()['find'](_0x2c22e2[_0x491b18(0x29de)],{'id':_0x1e05e9['id']});_0x1a38a7[_0x491b18(0x15da)]=![],_0x3ea8d3[_0x491b18(0x2785)](_0x1a38a7);}_0x2c22e2[_0x491b18(0x29de)]=_0x3ea8d3;});}})[_0x46364c(0x1c4)](function(_0x3d923d){const _0x354695=_0x46364c;_0xd205e0['error']({'title':_0x3d923d[_0x354695(0x291)]?_0x354695(0xeb9)+_0x3d923d[_0x354695(0x291)]+_0x354695(0x1657)+_0x3d923d[_0x354695(0xc22)]:_0x354695(0xf1f),'msg':_0x3d923d[_0x354695(0x25c)]?JSON[_0x354695(0x2701)](_0x3d923d[_0x354695(0x25c)]):_0x3d923d[_0x354695(0x147f)]()});}),_0x3d95eb['hasRole'](_0x46364c(0x1c60))?_0x258a92[_0x46364c(0x80e)][_0x46364c(0xbf7)]({'fields':_0x46364c(0x43c),'sort':'id','nolimit':_0x46364c(0x44d)})[_0x46364c(0x1d77)][_0x46364c(0x1cb0)](function(_0x5be703){const _0x1f410e=_0x46364c;_0x2c22e2[_0x1f410e(0xe5c)]=_0x5be703[_0x1f410e(0x2214)]||[];})[_0x46364c(0x1c4)](function(_0x2fbe88){const _0x33fa98=_0x46364c;_0xd205e0[_0x33fa98(0x218e)]({'title':_0x2fbe88[_0x33fa98(0x291)]?_0x33fa98(0xeb9)+_0x2fbe88['status']+_0x33fa98(0x1657)+_0x2fbe88[_0x33fa98(0xc22)]:_0x33fa98(0x223),'msg':_0x2fbe88[_0x33fa98(0x25c)]?JSON[_0x33fa98(0x2701)](_0x2fbe88['data']):_0x2fbe88['toString']()});}):_0x258a92['openchannelAccount'][_0x46364c(0xbf7)]({'fields':_0x46364c(0x43c),'sort':'id','nolimit':_0x46364c(0x44d)})[_0x46364c(0x1d77)]['then'](function(_0x585e6f){const _0x3f674a=_0x46364c;_0x2c22e2[_0x3f674a(0xe5c)]=_0x585e6f[_0x3f674a(0x2214)]||[];})[_0x46364c(0x1cb0)](function(){const _0x31c316=_0x46364c;return _0x258a92[_0x31c316(0x2199)]['get']({'userProfileId':_0x2c22e2['currentUser']['userProfileId'],'sectionId':0x322})['$promise'];})[_0x46364c(0x1cb0)](function(_0x21a420){const _0x350c66=_0x46364c,_0x330868=_0x21a420&&_0x21a420[_0x350c66(0x2214)]?_0x21a420[_0x350c66(0x2214)][0x0]:null;if(!_0x330868){const _0x4ac9e4=[];let _0x6b08c=null;_0x2c22e2['allCondition']&&(_0x6b08c=_0x39641b()[_0x350c66(0x13b4)](_0x2c22e2['openchannelAccounts'],{'id':Number(_0x2c22e2[_0x350c66(0x1835)][_0x350c66(0x327)])}));for(let _0x34e491=0x0;_0x34e491<_0x2c22e2[_0x350c66(0xe5c)][_0x350c66(0xfd0)];_0x34e491++){_0x6b08c&&_0x2c22e2[_0x350c66(0xe5c)][_0x34e491]['id']===_0x6b08c['id']&&(_0x2c22e2['openchannelAccounts'][_0x34e491]['canSelect']=![],_0x4ac9e4[_0x350c66(0x2785)](_0x2c22e2[_0x350c66(0xe5c)][_0x34e491]));}_0x2c22e2[_0x350c66(0xe5c)]=_0x4ac9e4;}else{if(!_0x330868[_0x350c66(0x12f4)])return _0x258a92[_0x350c66(0x1198)]['get']({'sectionId':_0x330868['id']})['$promise'][_0x350c66(0x1cb0)](function(_0x3cc1b2){const _0x481f6b=_0x350c66,_0x287350=_0x39641b()[_0x481f6b(0x1de2)](_0x3cc1b2[_0x481f6b(0x2214)],function(_0x589665){const _0x51a9f9=_0x481f6b;return _0x39641b()[_0x51a9f9(0x13b4)](_0x2c22e2[_0x51a9f9(0xe5c)],{'id':_0x589665[_0x51a9f9(0x2982)]});});let _0x522227=null;_0x2c22e2['allCondition']&&(_0x522227=_0x39641b()['find'](_0x2c22e2[_0x481f6b(0xe5c)],{'id':Number(_0x2c22e2[_0x481f6b(0x1835)][_0x481f6b(0x327)])}));if(_0x522227&&!_0x39641b()['some'](_0x287350,['id',_0x522227['id']])){const _0x4d02c7=_0x39641b()[_0x481f6b(0x13b4)](_0x2c22e2[_0x481f6b(0xe5c)],{'id':_0x522227['id']});_0x4d02c7['canSelect']=![],_0x287350[_0x481f6b(0x2785)](_0x4d02c7);}_0x2c22e2[_0x481f6b(0xe5c)]=_0x287350;});}})[_0x46364c(0x1c4)](function(_0x516d70){const _0x50ec91=_0x46364c;_0xd205e0['error']({'title':_0x516d70['status']?_0x50ec91(0xeb9)+_0x516d70[_0x50ec91(0x291)]+'\x20-\x20'+_0x516d70[_0x50ec91(0xc22)]:_0x50ec91(0x1908),'msg':_0x516d70[_0x50ec91(0x25c)]?JSON[_0x50ec91(0x2701)](_0x516d70[_0x50ec91(0x25c)]):_0x516d70[_0x50ec91(0x147f)]()});});const _0x20f28a=[{'id':0x191,'type':'inbound'},{'id':0x5dd,'type':_0x46364c(0x895)}];let _0x43dd9c=null;_0x3d95eb['hasRole'](_0x46364c(0x1c60))?_0x258a92['voiceQueue'][_0x46364c(0xbf7)]({'fields':_0x46364c(0x1896),'sort':_0x46364c(0x16b6),'nolimit':_0x46364c(0x44d)})[_0x46364c(0x1d77)][_0x46364c(0x1cb0)](function(_0xc1ab3f){const _0x1fda09=_0x46364c;_0x2c22e2[_0x1fda09(0x971)]=_0xc1ab3f['rows']||[];})[_0x46364c(0x1c4)](function(_0x2790ae){const _0x4f47fb=_0x46364c;_0xd205e0['error']({'title':_0x2790ae['status']?'API:'+_0x2790ae['status']+_0x4f47fb(0x1657)+_0x2790ae['statusText']:_0x4f47fb(0x277c),'msg':_0x2790ae[_0x4f47fb(0x25c)]?JSON[_0x4f47fb(0x2701)](_0x2790ae[_0x4f47fb(0x25c)]):_0x2790ae[_0x4f47fb(0x147f)]()});}):_0x258a92['voiceQueue'][_0x46364c(0xbf7)]({'fields':'id,name,type','sort':_0x46364c(0x16b6),'nolimit':_0x46364c(0x44d)})[_0x46364c(0x1d77)][_0x46364c(0x1cb0)](function(_0x467658){const _0x52ac72=_0x46364c;_0x43dd9c=_0x467658[_0x52ac72(0x2214)]||[];})[_0x46364c(0x1cb0)](function(){const _0x44dc14=_0x46364c,_0x2ca9dd=[];for(let _0x1506fd=0x0;_0x1506fd<_0x20f28a['length'];_0x1506fd++){_0x2ca9dd['push'](_0x258a92[_0x44dc14(0x2199)][_0x44dc14(0xbf7)]({'userProfileId':_0x2c22e2[_0x44dc14(0xe76)][_0x44dc14(0x13c1)],'sectionId':_0x20f28a[_0x1506fd]['id']})[_0x44dc14(0x1d77)]);}return _0x549bce['all'](_0x2ca9dd);})[_0x46364c(0x1cb0)](function(_0xedae68){const _0x184648=_0x46364c,_0x376fcb=_0x39641b()[_0x184648(0x1de2)](_0xedae68,function(_0x1faeb1){const _0x18dff6=_0x184648;return _0x1faeb1&&_0x1faeb1[_0x18dff6(0x2214)]?_0x1faeb1[_0x18dff6(0x2214)][0x0]:null;}),_0x71ec56=[];for(let _0x5a1229=0x0;_0x5a1229<_0x20f28a[_0x184648(0xfd0)];_0x5a1229++){const _0x3c5756=_0x39641b()['find'](_0x376fcb,['sectionId',_0x20f28a[_0x5a1229]['id']]);if(_0x3c5756){if(!_0x3c5756['autoAssociation'])_0x71ec56['push'](_0x258a92['userProfileResource'][_0x184648(0xbf7)]({'sectionId':_0x3c5756['id']})[_0x184648(0x1d77)]);else{const _0x4ef843=[];_0x43dd9c[_0x184648(0xf90)](function(_0x4126e8){const _0x255f0a=_0x184648;_0x4126e8[_0x255f0a(0x66a)]===_0x20f28a[_0x5a1229]['type']&&_0x4ef843[_0x255f0a(0x2785)](_0x4126e8);}),_0x71ec56[_0x184648(0x2785)]({'rows':_0x4ef843,'count':_0x4ef843['length']});}}}return _0x549bce[_0x184648(0x223b)](_0x71ec56);})[_0x46364c(0x1cb0)](function(_0x3714fb){const _0x476029=_0x46364c,_0x24a177=[];let _0x1cc5dc=null;_0x2c22e2[_0x476029(0x1835)]&&(_0x1cc5dc=_0x39641b()[_0x476029(0x13b4)](_0x43dd9c,{'name':_0x2c22e2[_0x476029(0x1835)][_0x476029(0x327)]}));for(let _0x4c0147=0x0;_0x4c0147<_0x3714fb[_0x476029(0xfd0)];_0x4c0147++){const _0x415130=_0x3714fb[_0x4c0147]['rows']||[];_0x415130[_0x476029(0xf90)](function(_0x3f3998){const _0x10ee77=_0x476029;_0x24a177[_0x10ee77(0x2785)](_0x3f3998);});}_0x1cc5dc&&!_0x39641b()[_0x476029(0x727)](_0x24a177,[_0x476029(0x2982),_0x1cc5dc['id']])&&_0x43dd9c['forEach'](function(_0x1e6f0d){const _0x48c21f=_0x476029;_0x1e6f0d['id']===_0x1cc5dc['id']&&(_0x1e6f0d[_0x48c21f(0x15da)]=![],_0x24a177['push'](_0x1e6f0d));}),_0x2c22e2['queues']=_0x24a177;})['catch'](function(_0x495c2b){const _0x5a45fd=_0x46364c;_0xd205e0[_0x5a45fd(0x218e)]({'title':_0x495c2b[_0x5a45fd(0x291)]?_0x5a45fd(0xeb9)+_0x495c2b[_0x5a45fd(0x291)]+_0x5a45fd(0x1657)+_0x495c2b['statusText']:_0x5a45fd(0x1af2),'msg':_0x495c2b[_0x5a45fd(0x25c)]?JSON[_0x5a45fd(0x2701)](_0x495c2b[_0x5a45fd(0x25c)]):_0x495c2b[_0x5a45fd(0x147f)]()});}),_0x3d95eb[_0x46364c(0x22b6)](_0x46364c(0x1c60))?_0x258a92[_0x46364c(0x1059)][_0x46364c(0xbf7)]({'fields':_0x46364c(0x286f),'type':_0x46364c(0x895),'VoiceExtensionId':'null','nolimit':_0x46364c(0x44d)})['$promise'][_0x46364c(0x1cb0)](function(_0x5194e7){_0x2c22e2['outboundRoutes']=_0x5194e7['rows']||[];})[_0x46364c(0x1c4)](function(_0x345e40){const _0x3f22ee=_0x46364c;_0xd205e0[_0x3f22ee(0x218e)]({'title':_0x345e40[_0x3f22ee(0x291)]?_0x3f22ee(0xeb9)+_0x345e40['status']+_0x3f22ee(0x1657)+_0x345e40['statusText']:'SYSTEM:GET_OUTBOUNDROUTES','msg':_0x345e40['data']?JSON[_0x3f22ee(0x2701)](_0x345e40[_0x3f22ee(0x25c)]):_0x345e40[_0x3f22ee(0x147f)]()});}):_0x258a92['voiceExtension'][_0x46364c(0xbf7)]({'fields':'id,exten','type':'outbound','VoiceExtensionId':_0x46364c(0xd38),'nolimit':_0x46364c(0x44d)})[_0x46364c(0x1d77)][_0x46364c(0x1cb0)](function(_0x4d3b26){const _0x20bcb9=_0x46364c;_0x2c22e2[_0x20bcb9(0xe57)]=_0x4d3b26[_0x20bcb9(0x2214)]||[];})['then'](function(){const _0xaaadef=_0x46364c;return _0x258a92[_0xaaadef(0x2199)][_0xaaadef(0xbf7)]({'userProfileId':_0x2c22e2[_0xaaadef(0xe76)][_0xaaadef(0x13c1)],'sectionId':0x193})[_0xaaadef(0x1d77)];})[_0x46364c(0x1cb0)](function(_0x109b0f){const _0x3a6cb8=_0x46364c,_0x49f839=_0x109b0f&&_0x109b0f[_0x3a6cb8(0x2214)]?_0x109b0f['rows'][0x0]:null;if(!_0x49f839){const _0x5e62ec=[];let _0x137f03=null;_0x2c22e2['allCondition']&&(_0x137f03=_0x39641b()[_0x3a6cb8(0x13b4)](_0x2c22e2[_0x3a6cb8(0xe57)],{'id':Number(_0x2c22e2[_0x3a6cb8(0x1835)]['value'])}));for(let _0x5e123d=0x0;_0x5e123d<_0x2c22e2[_0x3a6cb8(0xe57)][_0x3a6cb8(0xfd0)];_0x5e123d++){_0x137f03&&_0x2c22e2[_0x3a6cb8(0xe57)][_0x5e123d]['id']===_0x137f03['id']&&(_0x2c22e2[_0x3a6cb8(0xe57)][_0x5e123d][_0x3a6cb8(0x15da)]=![],_0x5e62ec['push'](_0x2c22e2[_0x3a6cb8(0xe57)][_0x5e123d]));}_0x2c22e2[_0x3a6cb8(0xe57)]=_0x5e62ec;}else{if(!_0x49f839['autoAssociation'])return _0x258a92[_0x3a6cb8(0x1198)]['get']({'sectionId':_0x49f839['id']})[_0x3a6cb8(0x1d77)][_0x3a6cb8(0x1cb0)](function(_0x1e603b){const _0x9bcaca=_0x3a6cb8,_0x1e44b0=_0x39641b()[_0x9bcaca(0x1de2)](_0x1e603b[_0x9bcaca(0x2214)],function(_0x5ed9d6){const _0x2fe859=_0x9bcaca;return _0x39641b()[_0x2fe859(0x13b4)](_0x2c22e2[_0x2fe859(0xe57)],{'id':_0x5ed9d6['resourceId']});});let _0x3c2f5a=null;_0x2c22e2[_0x9bcaca(0x1835)]&&(_0x3c2f5a=_0x39641b()[_0x9bcaca(0x13b4)](_0x2c22e2[_0x9bcaca(0xe57)],{'id':Number(_0x2c22e2[_0x9bcaca(0x1835)][_0x9bcaca(0x327)])}));if(_0x3c2f5a&&!_0x39641b()[_0x9bcaca(0x727)](_0x1e44b0,['id',_0x3c2f5a['id']])){const _0x41b3f1=_0x39641b()[_0x9bcaca(0x13b4)](_0x2c22e2['outboundRoutes'],{'id':_0x3c2f5a['id']});_0x41b3f1[_0x9bcaca(0x15da)]=![],_0x1e44b0[_0x9bcaca(0x2785)](_0x41b3f1);}_0x2c22e2['outboundRoutes']=_0x1e44b0;});}})[_0x46364c(0x1c4)](function(_0x5d6dd5){const _0x202b2b=_0x46364c;_0xd205e0['error']({'title':_0x5d6dd5['status']?'API:'+_0x5d6dd5['status']+_0x202b2b(0x1657)+_0x5d6dd5[_0x202b2b(0xc22)]:_0x202b2b(0x15c5),'msg':_0x5d6dd5[_0x202b2b(0x25c)]?JSON['stringify'](_0x5d6dd5[_0x202b2b(0x25c)]):_0x5d6dd5[_0x202b2b(0x147f)]()});}),_0x3d95eb['hasRole'](_0x46364c(0x1c60))?_0x258a92[_0x46364c(0xebe)]['get']({'fields':'id,name,fullname','sort':'name','role':_0x46364c(0x1eff),'nolimit':_0x46364c(0x44d)})[_0x46364c(0x1d77)][_0x46364c(0x1cb0)](function(_0x4d509b){const _0x38ec12=_0x46364c;_0x2c22e2[_0x38ec12(0xc12)]=_0x4d509b[_0x38ec12(0x2214)]||[];})[_0x46364c(0x1c4)](function(_0x5d3f1f){const _0x16f330=_0x46364c;_0xd205e0[_0x16f330(0x218e)]({'title':_0x5d3f1f[_0x16f330(0x291)]?_0x16f330(0xeb9)+_0x5d3f1f[_0x16f330(0x291)]+_0x16f330(0x1657)+_0x5d3f1f[_0x16f330(0xc22)]:_0x16f330(0x799),'msg':_0x5d3f1f['data']?JSON[_0x16f330(0x2701)](_0x5d3f1f[_0x16f330(0x25c)]):_0x5d3f1f[_0x16f330(0x147f)]()});}):_0x258a92[_0x46364c(0xebe)]['get']({'fields':_0x46364c(0x1d34),'sort':_0x46364c(0x16b6),'role':_0x46364c(0x1eff),'nolimit':'true'})['$promise'][_0x46364c(0x1cb0)](function(_0x44a29c){const _0x534d64=_0x46364c;_0x2c22e2[_0x534d64(0xc12)]=_0x44a29c[_0x534d64(0x2214)]||[];})[_0x46364c(0x1cb0)](function(){const _0x5e8a0a=_0x46364c;return _0x258a92[_0x5e8a0a(0x2199)][_0x5e8a0a(0xbf7)]({'userProfileId':_0x2c22e2[_0x5e8a0a(0xe76)][_0x5e8a0a(0x13c1)],'sectionId':0xca})[_0x5e8a0a(0x1d77)];})[_0x46364c(0x1cb0)](function(_0x148d64){const _0x4adf01=_0x46364c,_0x58b8b4=_0x148d64&&_0x148d64[_0x4adf01(0x2214)]?_0x148d64['rows'][0x0]:null;if(!_0x58b8b4){const _0x434b76=[];let _0x407479=null;_0x2c22e2[_0x4adf01(0x1835)]&&(_0x407479=_0x39641b()[_0x4adf01(0x13b4)](_0x2c22e2[_0x4adf01(0xc12)],{'name':_0x2c22e2[_0x4adf01(0x1835)][_0x4adf01(0x327)]}));for(let _0x1dab26=0x0;_0x1dab26<_0x2c22e2[_0x4adf01(0xc12)][_0x4adf01(0xfd0)];_0x1dab26++){_0x407479&&_0x2c22e2[_0x4adf01(0xc12)][_0x1dab26]['id']===_0x407479['id']&&(_0x2c22e2['agents'][_0x1dab26][_0x4adf01(0x15da)]=![],_0x434b76[_0x4adf01(0x2785)](_0x2c22e2['agents'][_0x1dab26]));}_0x2c22e2['agents']=_0x434b76;}else{if(!_0x58b8b4[_0x4adf01(0x12f4)])return _0x258a92[_0x4adf01(0x1198)][_0x4adf01(0xbf7)]({'sectionId':_0x58b8b4['id']})[_0x4adf01(0x1d77)][_0x4adf01(0x1cb0)](function(_0x9abc8a){const _0x341d9f=_0x4adf01,_0x4758bc=_0x39641b()[_0x341d9f(0x1de2)](_0x9abc8a[_0x341d9f(0x2214)],function(_0x305a01){const _0x58a217=_0x341d9f;return _0x39641b()[_0x58a217(0x13b4)](_0x2c22e2['agents'],{'id':_0x305a01[_0x58a217(0x2982)]});});let _0x4494d7=null;_0x2c22e2[_0x341d9f(0x1835)]&&(_0x4494d7=_0x39641b()[_0x341d9f(0x13b4)](_0x2c22e2[_0x341d9f(0xc12)],{'name':_0x2c22e2[_0x341d9f(0x1835)][_0x341d9f(0x327)]}));if(_0x4494d7&&!_0x39641b()['some'](_0x4758bc,['id',_0x4494d7['id']])){const _0x2ce11e=_0x39641b()['find'](_0x2c22e2['agents'],{'id':_0x4494d7['id']});_0x2ce11e[_0x341d9f(0x15da)]=![],_0x4758bc[_0x341d9f(0x2785)](_0x2ce11e);}_0x2c22e2[_0x341d9f(0xc12)]=_0x4758bc;});}})['catch'](function(_0x5a64b7){const _0x5c6bd5=_0x46364c;_0xd205e0[_0x5c6bd5(0x218e)]({'title':_0x5a64b7[_0x5c6bd5(0x291)]?_0x5c6bd5(0xeb9)+_0x5a64b7[_0x5c6bd5(0x291)]+_0x5c6bd5(0x1657)+_0x5a64b7[_0x5c6bd5(0xc22)]:_0x5c6bd5(0x2159),'msg':_0x5a64b7['data']?JSON['stringify'](_0x5a64b7[_0x5c6bd5(0x25c)]):_0x5a64b7[_0x5c6bd5(0x147f)]()});});function _0x1090d6(){const _0x3f7c40=_0x46364c;_0x2c22e2[_0x3f7c40(0x1a7c)]=[],_0x258a92[_0x3f7c40(0x497)][_0x3f7c40(0x170b)]({'id':_0x2b5f8e['params']['id']},_0x2c22e2[_0x3f7c40(0x1835)])[_0x3f7c40(0x1d77)][_0x3f7c40(0x1cb0)](function(_0x265db3){const _0x3f9a6c=_0x3f7c40;_0x2c22e2['allconditions'][_0x3f9a6c(0xf63)](_0x265db3[_0x3f9a6c(0x19b2)]()),_0xd205e0[_0x3f9a6c(0x829)]({'title':_0x3f9a6c(0x6f2),'msg':_0x2c22e2[_0x3f9a6c(0x1835)][_0x3f9a6c(0x16b6)]?_0x2c22e2[_0x3f9a6c(0x1835)][_0x3f9a6c(0x16b6)]+_0x3f9a6c(0x470):''}),_0x47a1ed(_0x265db3);})[_0x3f7c40(0x1c4)](function(_0xdb7f35){const _0x1cd60e=_0x3f7c40;if(_0xdb7f35[_0x1cd60e(0x25c)]&&_0xdb7f35[_0x1cd60e(0x25c)][_0x1cd60e(0x1a7c)]&&_0xdb7f35[_0x1cd60e(0x25c)][_0x1cd60e(0x1a7c)]['length']){_0x2c22e2['errors']=_0xdb7f35[_0x1cd60e(0x25c)][_0x1cd60e(0x1a7c)]||[{'message':_0xdb7f35[_0x1cd60e(0x147f)](),'type':_0x1cd60e(0x23a3)}];for(let _0x48d3dc=0x0;_0x48d3dc<_0xdb7f35[_0x1cd60e(0x25c)]['errors'][_0x1cd60e(0xfd0)];_0x48d3dc+=0x1){_0xd205e0[_0x1cd60e(0x218e)]({'title':_0xdb7f35[_0x1cd60e(0x25c)][_0x1cd60e(0x1a7c)][_0x48d3dc]['type'],'msg':_0xdb7f35[_0x1cd60e(0x25c)][_0x1cd60e(0x1a7c)][_0x48d3dc][_0x1cd60e(0x155e)]});}}else _0xd205e0['error']({'title':_0xdb7f35['status']?_0x1cd60e(0xeb9)+_0xdb7f35['status']+_0x1cd60e(0x1657)+_0xdb7f35['statusText']:_0x1cd60e(0x23a3),'msg':_0xdb7f35[_0x1cd60e(0x25c)]?JSON[_0x1cd60e(0x2701)](_0xdb7f35[_0x1cd60e(0x25c)][_0x1cd60e(0x155e)]):_0xdb7f35[_0x1cd60e(0x147f)]()});});}function _0x5abfdf(){const _0x430bd0=_0x46364c;_0x2c22e2['errors']=[],_0x258a92[_0x430bd0(0x166c)][_0x430bd0(0x687)]({'id':_0x2c22e2['allCondition']['id']},_0x2c22e2[_0x430bd0(0x1835)])[_0x430bd0(0x1d77)][_0x430bd0(0x1cb0)](function(_0x48d7b3){const _0x5e5e1d=_0x430bd0,_0x35b906=_0x39641b()['find'](_0x2c22e2[_0x5e5e1d(0x668)],{'id':_0x48d7b3['id']});_0x35b906&&_0x39641b()[_0x5e5e1d(0x9c1)](_0x35b906,_0x39641b()[_0x5e5e1d(0x169b)](_0x48d7b3[_0x5e5e1d(0x19b2)](),_0x39641b()[_0x5e5e1d(0x1be5)](_0x35b906))),_0xd205e0[_0x5e5e1d(0x829)]({'title':_0x5e5e1d(0x23fa),'msg':_0x2c22e2[_0x5e5e1d(0x1835)]['name']?_0x2c22e2[_0x5e5e1d(0x1835)]['name']+_0x5e5e1d(0xedb):''}),_0x47a1ed(_0x48d7b3);})[_0x430bd0(0x1c4)](function(_0x4c4c3e){const _0x1541a6=_0x430bd0;if(_0x4c4c3e[_0x1541a6(0x25c)]&&_0x4c4c3e[_0x1541a6(0x25c)][_0x1541a6(0x1a7c)]&&_0x4c4c3e[_0x1541a6(0x25c)][_0x1541a6(0x1a7c)][_0x1541a6(0xfd0)]){_0x2c22e2[_0x1541a6(0x1a7c)]=_0x4c4c3e[_0x1541a6(0x25c)][_0x1541a6(0x1a7c)]||[{'message':_0x4c4c3e[_0x1541a6(0x147f)](),'type':_0x1541a6(0x229f)}];for(let _0x2a01d5=0x0;_0x2a01d5<_0x4c4c3e[_0x1541a6(0x25c)][_0x1541a6(0x1a7c)][_0x1541a6(0xfd0)];_0x2a01d5++){_0xd205e0[_0x1541a6(0x218e)]({'title':_0x4c4c3e[_0x1541a6(0x25c)][_0x1541a6(0x1a7c)][_0x2a01d5]['type'],'msg':_0x4c4c3e[_0x1541a6(0x25c)][_0x1541a6(0x1a7c)][_0x2a01d5]['message']});}}else _0xd205e0['error']({'title':_0x4c4c3e[_0x1541a6(0x291)]?_0x1541a6(0xeb9)+_0x4c4c3e[_0x1541a6(0x291)]+_0x1541a6(0x1657)+_0x4c4c3e[_0x1541a6(0xc22)]:'api.condition.update','msg':_0x4c4c3e[_0x1541a6(0x25c)]?JSON[_0x1541a6(0x2701)](_0x4c4c3e['data'][_0x1541a6(0x155e)]):_0x4c4c3e[_0x1541a6(0x147f)]()});});}function _0x4d293b(_0xbf6cfd){const _0x703088=_0x46364c;_0x2c22e2[_0x703088(0x1a7c)]=[];const _0x1b6c12=_0x26077c[_0x703088(0x1551)]()[_0x703088(0x1386)](_0x703088(0x1a2e))[_0x703088(0x862)](_0x703088(0x1bc8))[_0x703088(0x15ad)](_0x703088(0x19bd))['ok']('Delete')[_0x703088(0x696)](_0x703088(0xde1))['targetEvent'](_0xbf6cfd);_0x26077c['show'](_0x1b6c12)[_0x703088(0x1cb0)](function(){const _0x5de017=_0x703088;_0x258a92[_0x5de017(0x166c)][_0x5de017(0x111d)]({'id':_0x2c22e2[_0x5de017(0x1835)]['id']})[_0x5de017(0x1d77)][_0x5de017(0x1cb0)](function(){const _0x4d5fd2=_0x5de017;_0x39641b()['remove'](_0x2c22e2[_0x4d5fd2(0x668)],{'id':_0x2c22e2['allCondition']['id']}),_0xd205e0[_0x4d5fd2(0x829)]({'title':_0x4d5fd2(0xc42),'msg':(_0x2c22e2['allCondition']['name']||_0x4d5fd2(0x1835))+'\x20has\x20been\x20deleted!'}),_0x47a1ed(_0x2c22e2[_0x4d5fd2(0x1835)]);})[_0x5de017(0x1c4)](function(_0x46af44){const _0x37e93d=_0x5de017;if(_0x46af44[_0x37e93d(0x25c)]&&_0x46af44[_0x37e93d(0x25c)][_0x37e93d(0x1a7c)]&&_0x46af44['data']['errors'][_0x37e93d(0xfd0)]){_0x2c22e2['errors']=_0x46af44['data'][_0x37e93d(0x1a7c)]||[{'message':_0x46af44[_0x37e93d(0x147f)](),'type':_0x37e93d(0xcc8)}];for(let _0x4067d1=0x0;_0x4067d1<_0x46af44[_0x37e93d(0x25c)][_0x37e93d(0x1a7c)][_0x37e93d(0xfd0)];_0x4067d1++){_0xd205e0[_0x37e93d(0x218e)]({'title':_0x46af44[_0x37e93d(0x25c)]['errors'][_0x4067d1][_0x37e93d(0x66a)],'msg':_0x46af44[_0x37e93d(0x25c)][_0x37e93d(0x1a7c)][_0x4067d1]['message']});}}else _0xd205e0[_0x37e93d(0x218e)]({'title':_0x46af44[_0x37e93d(0x291)]?_0x37e93d(0xeb9)+_0x46af44[_0x37e93d(0x291)]+_0x37e93d(0x1657)+_0x46af44[_0x37e93d(0xc22)]:_0x37e93d(0xcc8),'msg':_0x46af44[_0x37e93d(0x25c)]?JSON[_0x37e93d(0x2701)](_0x46af44[_0x37e93d(0x25c)][_0x37e93d(0x155e)]):_0x46af44[_0x37e93d(0x155e)]||_0x46af44['toString']()});});},function(){});}function _0x14c9e6(_0x14550a){return _0x14550a===null?undefined:new Date(_0x14550a);}function _0x47a1ed(_0x2d62fa){const _0x478b94=_0x46364c;_0x26077c[_0x478b94(0x1426)](_0x2d62fa);}}const _0x10b123=_0x33e91b;;const _0x58ea11=_0x5074a3['p']+_0x5537c6(0x2677);;_0x46de01[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$q','$translate',_0x5537c6(0x2168),'$mdDialog',_0x5537c6(0x22bf),_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x1774)];function _0x46de01(_0x482555,_0x6a77ad,_0x7acd22,_0x40300a,_0x1696a2,_0x405a96,_0x3359b0,_0x55c201,_0xe42eac){const _0x516cb6=_0x5537c6,_0x4850f1=this;_0x4850f1['currentUser']=_0xe42eac[_0x516cb6(0x21e8)](),_0x4850f1[_0x516cb6(0x497)]={},_0x4850f1[_0x516cb6(0x23ce)]={'count':0x0,'rows':[]},_0x4850f1[_0x516cb6(0xb9c)]=[],_0x4850f1[_0x516cb6(0xae2)]={'fields':_0x516cb6(0x13ce),'limit':0xa,'page':0x1},_0x4850f1[_0x516cb6(0x355)]={'queue':_0x516cb6(0x2687),'lastevent':_0x516cb6(0xebd),'routeId':_0x516cb6(0x15cf),'agent':_0x516cb6(0x30e),'account':_0x516cb6(0x1649),'interaction':_0x516cb6(0x2735),'message':_0x516cb6(0x27df)},_0x4850f1[_0x516cb6(0x507)]={'abandoned':_0x516cb6(0x2b7),'timeout':_0x516cb6(0xbcc),'complete':_0x516cb6(0x26e1),'rejected':_0x516cb6(0x951),'called':_0x516cb6(0x1805),'connect':_0x516cb6(0x265d),'nosuchnumber':_0x516cb6(0xc40),'busy':'Busy','noanswer':_0x516cb6(0x3b6),'congestion':_0x516cb6(0xb7e),'agentreject':_0x516cb6(0x1ae4)},_0x4850f1[_0x516cb6(0x23d4)]={'in':'Incoming','out':_0x516cb6(0xf52),'accept':_0x516cb6(0x1041),'reject':'Rejected'},_0x4850f1[_0x516cb6(0x1a34)]=_0x35f345,_0x4850f1['success']=_0x3ad30e,_0x4850f1['getTriggerAnyConditions']=_0x21a7b3,_0x4850f1[_0x516cb6(0xa04)]=_0x38f4a6,_0x4850f1[_0x516cb6(0x19d5)]=_0x51bfe9,_0x4850f1[_0x516cb6(0xb25)]=_0x7581d8,_0x4850f1[_0x516cb6(0x106f)]=_0x17e159,_0x4850f1[_0x516cb6(0x1eb3)]=_0x52382b;function _0x35f345(_0xe3b2db,_0x4b700d){const _0x24618a=_0x516cb6;_0x4850f1['trigger']=_0xe3b2db,_0x4850f1[_0x24618a(0x1b1a)]=typeof _0x4b700d!==_0x24618a(0x16b5)?_0x4b700d:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x4850f1['query'][_0x24618a(0xcca)]=_0x4850f1[_0x24618a(0x497)]['id'],_0x4850f1['query']['id']=_0x4850f1[_0x24618a(0x497)]['id'],_0x21a7b3();}function _0x4000aa(){const _0x3f558c=_0x516cb6,_0x2eb85e=_0x4850f1[_0x3f558c(0x497)][_0x3f558c(0x1072)]+_0x4850f1[_0x3f558c(0x497)][_0x3f558c(0x1072)]===_0x3f558c(0x174c)?'Websites':_0x3f558c(0x170d),_0x70936a=_0x4850f1[_0x3f558c(0x497)][_0x3f558c(0x1072)]+(_0x4850f1[_0x3f558c(0x497)][_0x3f558c(0x1072)]===_0x3f558c(0x174c)?_0x3f558c(0x11aa):_0x3f558c(0x1649));let _0x53398c;switch(_0x4850f1[_0x3f558c(0x497)]['channel']){case _0x3f558c(0x174c):_0x53398c=0x1f6;break;case _0x3f558c(0x1944):_0x53398c=0x386;break;case'mail':_0x53398c=0x25a;break;case _0x3f558c(0x15e0):_0x53398c=0x322;break;case'sms':_0x53398c=0x2be;break;case _0x3f558c(0x2476):_0x53398c=0x836;break;}if(_0xe42eac[_0x3f558c(0x10fc)]()){const _0x1d62b2=_0x4850f1[_0x3f558c(0x497)][_0x3f558c(0x1072)];_0x55c201[_0x70936a]['get']({'fields':_0x3f558c(0x43c),'sort':'id','nolimit':_0x3f558c(0x44d)})[_0x3f558c(0x1d77)]['then'](function(_0x515b6c){const _0x559e13=_0x3f558c;_0x4850f1[_0x2eb85e]=_0x515b6c[_0x559e13(0x2214)]||[];})['catch'](function(_0x48f233){const _0x3af21c=_0x3f558c;_0x3359b0['error']({'title':_0x48f233['status']?_0x3af21c(0xeb9)+_0x48f233[_0x3af21c(0x291)]+_0x3af21c(0x1657)+_0x48f233[_0x3af21c(0xc22)]:_0x3af21c(0x21df)+_0x1d62b2[_0x3af21c(0x2335)]()+_0x1d62b2===_0x3af21c(0x174c)?'WEBSITES':_0x3af21c(0x21e),'msg':_0x48f233[_0x3af21c(0x25c)]?JSON[_0x3af21c(0x2701)](_0x48f233['data']):_0x48f233['toString']()});});}else{const _0x3acd4d=_0x4850f1[_0x3f558c(0x497)][_0x3f558c(0x1072)];_0x55c201[_0x70936a][_0x3f558c(0xbf7)]({'fields':_0x3f558c(0x43c),'sort':'id','nolimit':'true'})[_0x3f558c(0x1d77)]['then'](function(_0x1dd812){const _0xca93fc=_0x3f558c;_0x4850f1[_0x2eb85e]=_0x1dd812[_0xca93fc(0x2214)]||[];})[_0x3f558c(0x1cb0)](function(){const _0x394737=_0x3f558c;return _0x55c201[_0x394737(0x2199)]['get']({'userProfileId':_0x4850f1[_0x394737(0xe76)]['userProfileId'],'sectionId':_0x53398c})[_0x394737(0x1d77)];})[_0x3f558c(0x1cb0)](function(_0x3258d8){const _0x12ce3a=_0x3f558c,_0x15553d=_0x3258d8&&_0x3258d8['rows']?_0x3258d8[_0x12ce3a(0x2214)][0x0]:null;if(!_0x15553d){const _0x595b60=[],_0x2c224f=[];_0x4850f1[_0x12ce3a(0x23ce)][_0x12ce3a(0x2214)][_0x12ce3a(0xf90)](function(_0x1f6b13){const _0x88990f=_0x12ce3a;if(_0x1f6b13[_0x88990f(0x2198)]===_0x88990f(0x1539)){const _0x32e357=_0x39641b()[_0x88990f(0x13b4)](_0x4850f1[_0x2eb85e],{'id':Number(_0x1f6b13[_0x88990f(0x327)])});_0x2c224f['push'](_0x32e357);}});for(let _0x3c0837=0x0;_0x3c0837<_0x4850f1[_0x2eb85e]['length'];_0x3c0837++){const _0x3fa4f1=_0x39641b()[_0x12ce3a(0x727)](_0x2c224f,{'id':_0x4850f1[_0x2eb85e][_0x3c0837]['id']});_0x3fa4f1&&(_0x4850f1[_0x2eb85e][_0x3c0837]['canSelect']=![],_0x595b60[_0x12ce3a(0x2785)](_0x4850f1[_0x2eb85e][_0x3c0837]));}_0x4850f1[_0x2eb85e]=_0x595b60;}else{if(!_0x15553d[_0x12ce3a(0x12f4)])return _0x55c201[_0x12ce3a(0x1198)][_0x12ce3a(0xbf7)]({'sectionId':_0x15553d['id']})[_0x12ce3a(0x1d77)][_0x12ce3a(0x1cb0)](function(_0x838878){const _0x4c1ff0=_0x12ce3a,_0x435ad1=_0x39641b()[_0x4c1ff0(0x1de2)](_0x838878[_0x4c1ff0(0x2214)],function(_0x5bd962){const _0x4acba6=_0x4c1ff0;return _0x39641b()['find'](_0x4850f1[_0x2eb85e],{'id':_0x5bd962[_0x4acba6(0x2982)]});});let _0x29672c=null;_0x29672c=[],_0x4850f1[_0x4c1ff0(0x23ce)][_0x4c1ff0(0x2214)]['forEach'](function(_0x333407){const _0x22c604=_0x4c1ff0;if(_0x333407[_0x22c604(0x2198)]===_0x22c604(0x1539)){const _0x992486=_0x39641b()[_0x22c604(0x13b4)](_0x4850f1[_0x2eb85e],{'id':Number(_0x333407[_0x22c604(0x327)])});_0x29672c[_0x22c604(0x2785)](_0x992486);}}),!_0x39641b()[_0x4c1ff0(0xce9)](_0x29672c)&&_0x29672c[_0x4c1ff0(0xf90)](function(_0x47372a){const _0x223802=_0x4c1ff0;if(!_0x39641b()['some'](_0x435ad1,['id',_0x47372a['id']])){const _0x30cb66=_0x39641b()['find'](_0x4850f1[_0x2eb85e],{'id':_0x47372a['id']});_0x30cb66[_0x223802(0x15da)]=![],_0x435ad1[_0x223802(0x2785)](_0x30cb66);}}),_0x4850f1[_0x2eb85e]=_0x435ad1;});}})[_0x3f558c(0x1c4)](function(_0x12f073){const _0x455f62=_0x3f558c;_0x3359b0[_0x455f62(0x218e)]({'title':_0x12f073[_0x455f62(0x291)]?_0x455f62(0xeb9)+_0x12f073[_0x455f62(0x291)]+'\x20-\x20'+_0x12f073[_0x455f62(0xc22)]:'SYSTEM:GET_'+_0x3acd4d[_0x455f62(0x2335)]()+_0x3acd4d===_0x455f62(0x174c)?_0x455f62(0x202a):_0x455f62(0x21e),'msg':_0x12f073[_0x455f62(0x25c)]?JSON[_0x455f62(0x2701)](_0x12f073[_0x455f62(0x25c)]):_0x12f073[_0x455f62(0x147f)]()});});}}function _0x265fcc(){const _0x15f450=_0x516cb6,_0x20d30d=[{'id':0x191,'type':'inbound'},{'id':0x5dd,'type':_0x15f450(0x895)}];let _0x1a702b=null;_0xe42eac[_0x15f450(0x10fc)]()?_0x55c201[_0x15f450(0x26b3)][_0x15f450(0xbf7)]({'fields':_0x15f450(0x1896),'sort':_0x15f450(0x16b6),'nolimit':_0x15f450(0x44d)})[_0x15f450(0x1d77)][_0x15f450(0x1cb0)](function(_0x4d16d5){const _0x62fc1b=_0x15f450;_0x4850f1[_0x62fc1b(0x971)]=_0x4d16d5[_0x62fc1b(0x2214)]||[];})['catch'](function(_0x3fb929){const _0x3d902f=_0x15f450;_0x3359b0[_0x3d902f(0x218e)]({'title':_0x3fb929[_0x3d902f(0x291)]?_0x3d902f(0xeb9)+_0x3fb929[_0x3d902f(0x291)]+_0x3d902f(0x1657)+_0x3fb929[_0x3d902f(0xc22)]:_0x3d902f(0x25f2),'msg':_0x3fb929[_0x3d902f(0x25c)]?JSON[_0x3d902f(0x2701)](_0x3fb929[_0x3d902f(0x25c)]):_0x3fb929['toString']()});}):_0x55c201[_0x15f450(0x26b3)]['get']({'fields':_0x15f450(0x1896),'sort':_0x15f450(0x16b6),'nolimit':_0x15f450(0x44d)})[_0x15f450(0x1d77)][_0x15f450(0x1cb0)](function(_0x4d9280){const _0x2009f0=_0x15f450;_0x1a702b=_0x4d9280[_0x2009f0(0x2214)]||[];})['then'](function(){const _0x33000d=_0x15f450,_0x316cff=[];for(let _0x25521b=0x0;_0x25521b<_0x20d30d[_0x33000d(0xfd0)];_0x25521b++){_0x316cff[_0x33000d(0x2785)](_0x55c201[_0x33000d(0x2199)][_0x33000d(0xbf7)]({'userProfileId':_0x4850f1[_0x33000d(0xe76)][_0x33000d(0x13c1)],'sectionId':_0x20d30d[_0x25521b]['id']})[_0x33000d(0x1d77)]);}return _0x6a77ad[_0x33000d(0x223b)](_0x316cff);})[_0x15f450(0x1cb0)](function(_0x49934e){const _0x5604d9=_0x15f450,_0xc91d6=_0x39641b()[_0x5604d9(0x1de2)](_0x49934e,function(_0x3fc8ee){const _0x28eef6=_0x5604d9;return _0x3fc8ee&&_0x3fc8ee[_0x28eef6(0x2214)]?_0x3fc8ee[_0x28eef6(0x2214)][0x0]:null;}),_0x44a793=[];for(let _0x506fb7=0x0;_0x506fb7<_0x20d30d[_0x5604d9(0xfd0)];_0x506fb7++){const _0x47d66a=_0x39641b()['find'](_0xc91d6,[_0x5604d9(0xec6),_0x20d30d[_0x506fb7]['id']]);if(_0x47d66a){if(!_0x47d66a['autoAssociation'])_0x44a793['push'](_0x55c201[_0x5604d9(0x1198)][_0x5604d9(0xbf7)]({'sectionId':_0x47d66a['id']})[_0x5604d9(0x1d77)]);else{const _0x1799e3=[];_0x1a702b[_0x5604d9(0xf90)](function(_0xee9a34){const _0x11161c=_0x5604d9;_0xee9a34[_0x11161c(0x66a)]===_0x20d30d[_0x506fb7][_0x11161c(0x66a)]&&_0x1799e3[_0x11161c(0x2785)](_0xee9a34);}),_0x44a793[_0x5604d9(0x2785)]({'rows':_0x1799e3,'count':_0x1799e3[_0x5604d9(0xfd0)]});}}}return _0x6a77ad['all'](_0x44a793);})['then'](function(_0x10720e){const _0x22edd1=_0x15f450,_0x273605=[],_0x288e1b=[];_0x4850f1['triggerAnyConditions'][_0x22edd1(0x2214)][_0x22edd1(0xf90)](function(_0x59288d){const _0x1c78cc=_0x22edd1;if(_0x59288d['field']===_0x1c78cc(0x11cf)){const _0x458147=_0x39641b()['find'](_0x1a702b,{'name':_0x59288d[_0x1c78cc(0x327)]});_0x288e1b[_0x1c78cc(0x2785)](_0x458147);}});for(let _0x20da4a=0x0;_0x20da4a<_0x10720e['length'];_0x20da4a++){const _0x5080cc=_0x10720e[_0x20da4a][_0x22edd1(0x2214)]||[];_0x5080cc[_0x22edd1(0xf90)](function(_0x4be979){const _0x523af6=_0x22edd1;_0x273605[_0x523af6(0x2785)](_0x4be979);});}!_0x39641b()[_0x22edd1(0xce9)](_0x288e1b)&&_0x288e1b[_0x22edd1(0xf90)](function(_0x34ba84){const _0x1a2820=_0x22edd1;if(!_0x39641b()[_0x1a2820(0x727)](_0x273605,['resourceId',_0x34ba84['id']])){const _0x55d57f=_0x39641b()['find'](_0x1a702b,{'id':_0x34ba84['id']});_0x55d57f[_0x1a2820(0x15da)]=![],_0x273605[_0x1a2820(0x2785)](_0x55d57f);}}),_0x4850f1[_0x22edd1(0x971)]=_0x273605;})[_0x15f450(0x1c4)](function(_0x164c58){const _0x115a7a=_0x15f450;_0x3359b0[_0x115a7a(0x218e)]({'title':_0x164c58[_0x115a7a(0x291)]?_0x115a7a(0xeb9)+_0x164c58[_0x115a7a(0x291)]+_0x115a7a(0x1657)+_0x164c58[_0x115a7a(0xc22)]:_0x115a7a(0x277c),'msg':_0x164c58[_0x115a7a(0x25c)]?JSON[_0x115a7a(0x2701)](_0x164c58[_0x115a7a(0x25c)]):_0x164c58[_0x115a7a(0x147f)]()});});}function _0x36feb9(){const _0x3f2b33=_0x516cb6;_0xe42eac['isAdmin']()?_0x55c201[_0x3f2b33(0x1059)][_0x3f2b33(0xbf7)]({'fields':_0x3f2b33(0x286f),'type':_0x3f2b33(0x895),'VoiceExtensionId':_0x3f2b33(0xd38),'nolimit':_0x3f2b33(0x44d)})[_0x3f2b33(0x1d77)]['then'](function(_0x261336){const _0x3c44c6=_0x3f2b33;_0x4850f1[_0x3c44c6(0xe57)]=_0x261336['rows']||[];})[_0x3f2b33(0x1c4)](function(_0x33957e){const _0x3d67e3=_0x3f2b33;_0x3359b0[_0x3d67e3(0x218e)]({'title':_0x33957e['status']?_0x3d67e3(0xeb9)+_0x33957e[_0x3d67e3(0x291)]+_0x3d67e3(0x1657)+_0x33957e[_0x3d67e3(0xc22)]:_0x3d67e3(0x25ea),'msg':_0x33957e[_0x3d67e3(0x25c)]?JSON[_0x3d67e3(0x2701)](_0x33957e[_0x3d67e3(0x25c)]):_0x33957e['toString']()});}):_0x55c201[_0x3f2b33(0x1059)][_0x3f2b33(0xbf7)]({'fields':_0x3f2b33(0x286f),'type':_0x3f2b33(0x895),'VoiceExtensionId':_0x3f2b33(0xd38),'nolimit':_0x3f2b33(0x44d)})[_0x3f2b33(0x1d77)][_0x3f2b33(0x1cb0)](function(_0xfd8e5e){const _0x5711c0=_0x3f2b33;_0x4850f1[_0x5711c0(0xe57)]=_0xfd8e5e[_0x5711c0(0x2214)]||[];})[_0x3f2b33(0x1cb0)](function(){const _0xb5cf9c=_0x3f2b33;return _0x55c201['userProfileSection'][_0xb5cf9c(0xbf7)]({'userProfileId':_0x4850f1['currentUser'][_0xb5cf9c(0x13c1)],'sectionId':0x193})[_0xb5cf9c(0x1d77)];})[_0x3f2b33(0x1cb0)](function(_0x5ebc22){const _0x36909a=_0x3f2b33,_0x24c2e4=_0x5ebc22&&_0x5ebc22[_0x36909a(0x2214)]?_0x5ebc22[_0x36909a(0x2214)][0x0]:null;if(!_0x24c2e4){const _0x4a2b9d=[],_0x3593fb=[];_0x4850f1['triggerAnyConditions'][_0x36909a(0x2214)][_0x36909a(0xf90)](function(_0x1658f4){const _0x1a2dfd=_0x36909a;if(_0x1658f4['field']===_0x1a2dfd(0xedf)){const _0x42ce40=_0x39641b()[_0x1a2dfd(0x13b4)](_0x4850f1['outboundRoutes'],{'id':Number(_0x1658f4[_0x1a2dfd(0x327)])});_0x3593fb[_0x1a2dfd(0x2785)](_0x42ce40);}});for(let _0x7cdc5c=0x0;_0x7cdc5c<_0x4850f1[_0x36909a(0xe57)][_0x36909a(0xfd0)];_0x7cdc5c++){const _0x4bf8e0=_0x39641b()[_0x36909a(0x727)](_0x3593fb,{'id':_0x4850f1[_0x36909a(0xe57)][_0x7cdc5c]['id']});_0x4bf8e0&&(_0x4850f1[_0x36909a(0xe57)][_0x7cdc5c][_0x36909a(0x15da)]=![],_0x4a2b9d['push'](_0x4850f1[_0x36909a(0xe57)][_0x7cdc5c]));}_0x4850f1['outboundRoutes']=_0x4a2b9d;}else{if(!_0x24c2e4[_0x36909a(0x12f4)])return _0x55c201[_0x36909a(0x1198)][_0x36909a(0xbf7)]({'sectionId':_0x24c2e4['id']})[_0x36909a(0x1d77)][_0x36909a(0x1cb0)](function(_0x53ab03){const _0x2eb225=_0x36909a,_0x2f99a6=_0x39641b()[_0x2eb225(0x1de2)](_0x53ab03[_0x2eb225(0x2214)],function(_0x33864b){const _0x35ccfe=_0x2eb225;return _0x39641b()[_0x35ccfe(0x13b4)](_0x4850f1[_0x35ccfe(0xe57)],{'id':_0x33864b['resourceId']});});let _0x2836d0=null;_0x2836d0=[],_0x4850f1[_0x2eb225(0x23ce)][_0x2eb225(0x2214)][_0x2eb225(0xf90)](function(_0x52b5e3){const _0x4686bc=_0x2eb225;if(_0x52b5e3['field']==='routeId'){const _0x30d9e7=_0x39641b()[_0x4686bc(0x13b4)](_0x4850f1['outboundRoutes'],{'id':Number(_0x52b5e3[_0x4686bc(0x327)])});_0x2836d0[_0x4686bc(0x2785)](_0x30d9e7);}}),!_0x39641b()[_0x2eb225(0xce9)](_0x2836d0)&&_0x2836d0[_0x2eb225(0xf90)](function(_0x380a6e){const _0x467b5b=_0x2eb225;if(!_0x39641b()[_0x467b5b(0x727)](_0x2f99a6,['id',_0x380a6e['id']])){const _0x5d39ee=_0x39641b()[_0x467b5b(0x13b4)](_0x4850f1[_0x467b5b(0xe57)],{'id':_0x380a6e['id']});_0x5d39ee[_0x467b5b(0x15da)]=![],_0x2f99a6[_0x467b5b(0x2785)](_0x5d39ee);}}),_0x4850f1[_0x2eb225(0xe57)]=_0x2f99a6;});}})[_0x3f2b33(0x1c4)](function(_0x212708){const _0x4a39ff=_0x3f2b33;_0x3359b0[_0x4a39ff(0x218e)]({'title':_0x212708[_0x4a39ff(0x291)]?_0x4a39ff(0xeb9)+_0x212708['status']+'\x20-\x20'+_0x212708[_0x4a39ff(0xc22)]:_0x4a39ff(0x25ea),'msg':_0x212708[_0x4a39ff(0x25c)]?JSON[_0x4a39ff(0x2701)](_0x212708[_0x4a39ff(0x25c)]):_0x212708[_0x4a39ff(0x147f)]()});});}function _0x4fc154(){const _0xaef13e=_0x516cb6;_0xe42eac[_0xaef13e(0x10fc)]()?_0x55c201[_0xaef13e(0xebe)][_0xaef13e(0xbf7)]({'fields':'id,name,fullname','sort':_0xaef13e(0x16b6),'role':'agent','nolimit':_0xaef13e(0x44d)})[_0xaef13e(0x1d77)]['then'](function(_0x283529){const _0x17d79c=_0xaef13e;_0x4850f1[_0x17d79c(0xc12)]=_0x283529[_0x17d79c(0x2214)]||[];})[_0xaef13e(0x1c4)](function(_0x234476){const _0x466c52=_0xaef13e;_0x3359b0[_0x466c52(0x218e)]({'title':_0x234476[_0x466c52(0x291)]?_0x466c52(0xeb9)+_0x234476['status']+_0x466c52(0x1657)+_0x234476[_0x466c52(0xc22)]:'SYSTEM:GET_AGENTS','msg':_0x234476[_0x466c52(0x25c)]?JSON[_0x466c52(0x2701)](_0x234476[_0x466c52(0x25c)]):_0x234476[_0x466c52(0x147f)]()});}):_0x55c201[_0xaef13e(0xebe)]['get']({'fields':'id,name,fullname','sort':_0xaef13e(0x16b6),'role':'agent','nolimit':_0xaef13e(0x44d)})[_0xaef13e(0x1d77)][_0xaef13e(0x1cb0)](function(_0x1cfc41){const _0x396ad3=_0xaef13e;_0x4850f1[_0x396ad3(0xc12)]=_0x1cfc41[_0x396ad3(0x2214)]||[];})[_0xaef13e(0x1cb0)](function(){const _0x3838bf=_0xaef13e;return _0x55c201[_0x3838bf(0x2199)][_0x3838bf(0xbf7)]({'userProfileId':_0x4850f1[_0x3838bf(0xe76)]['userProfileId'],'sectionId':0xca})['$promise'];})[_0xaef13e(0x1cb0)](function(_0x14dfef){const _0x1d3f8f=_0xaef13e,_0x3b3697=_0x14dfef&&_0x14dfef[_0x1d3f8f(0x2214)]?_0x14dfef[_0x1d3f8f(0x2214)][0x0]:null;if(!_0x3b3697){const _0x202e9d=[],_0x1c5ab8=[];_0x4850f1[_0x1d3f8f(0x23ce)][_0x1d3f8f(0x2214)][_0x1d3f8f(0xf90)](function(_0x24d4cb){const _0x5c69bb=_0x1d3f8f;if(_0x24d4cb[_0x5c69bb(0x2198)]===_0x5c69bb(0x1eff)){const _0xc87ff9=_0x39641b()[_0x5c69bb(0x13b4)](_0x4850f1['agents'],{'name':_0x24d4cb[_0x5c69bb(0x327)]});_0x1c5ab8[_0x5c69bb(0x2785)](_0xc87ff9);}});for(let _0x99476=0x0;_0x99476<_0x4850f1[_0x1d3f8f(0xc12)][_0x1d3f8f(0xfd0)];_0x99476++){const _0x36c8c8=_0x39641b()[_0x1d3f8f(0x727)](_0x1c5ab8,{'id':_0x4850f1[_0x1d3f8f(0xc12)][_0x99476]['id']});_0x36c8c8&&(_0x4850f1[_0x1d3f8f(0xc12)][_0x99476][_0x1d3f8f(0x15da)]=![],_0x202e9d['push'](_0x4850f1[_0x1d3f8f(0xc12)][_0x99476]));}_0x4850f1[_0x1d3f8f(0xc12)]=_0x202e9d;}else{if(!_0x3b3697[_0x1d3f8f(0x12f4)])return _0x55c201[_0x1d3f8f(0x1198)][_0x1d3f8f(0xbf7)]({'sectionId':_0x3b3697['id']})[_0x1d3f8f(0x1d77)][_0x1d3f8f(0x1cb0)](function(_0x239117){const _0x49c879=_0x1d3f8f,_0x4867a5=_0x39641b()[_0x49c879(0x1de2)](_0x239117[_0x49c879(0x2214)],function(_0x38191d){const _0x4a9aa2=_0x49c879;return _0x39641b()[_0x4a9aa2(0x13b4)](_0x4850f1[_0x4a9aa2(0xc12)],{'id':_0x38191d[_0x4a9aa2(0x2982)]});});let _0x52302a=null;_0x52302a=[],_0x4850f1[_0x49c879(0x23ce)]['rows'][_0x49c879(0xf90)](function(_0xcd3f97){const _0x4fa072=_0x49c879;if(_0xcd3f97[_0x4fa072(0x2198)]===_0x4fa072(0x1eff)){const _0x2c9560=_0x39641b()['find'](_0x4850f1[_0x4fa072(0xc12)],{'name':_0xcd3f97[_0x4fa072(0x327)]});_0x52302a['push'](_0x2c9560);}}),!_0x39641b()[_0x49c879(0xce9)](_0x52302a)&&_0x52302a[_0x49c879(0xf90)](function(_0x3e0831){const _0x1c4943=_0x49c879;if(!_0x39641b()[_0x1c4943(0x727)](_0x4867a5,['id',_0x3e0831['id']])){const _0x1a6fa9=_0x39641b()['find'](_0x4850f1[_0x1c4943(0xc12)],{'id':_0x3e0831['id']});_0x1a6fa9[_0x1c4943(0x15da)]=![],_0x4867a5[_0x1c4943(0x2785)](_0x1a6fa9);}}),_0x4850f1[_0x49c879(0xc12)]=_0x4867a5;});}})[_0xaef13e(0x1c4)](function(_0x355597){const _0x4c76e3=_0xaef13e;_0x3359b0[_0x4c76e3(0x218e)]({'title':_0x355597[_0x4c76e3(0x291)]?'API:'+_0x355597[_0x4c76e3(0x291)]+_0x4c76e3(0x1657)+_0x355597[_0x4c76e3(0xc22)]:_0x4c76e3(0x799),'msg':_0x355597['data']?JSON['stringify'](_0x355597[_0x4c76e3(0x25c)]):_0x355597[_0x4c76e3(0x147f)]()});});}function _0x56b7be(){const _0xe449df=_0x516cb6;if(_0x4850f1['trigger'][_0xe449df(0x1072)]===_0xe449df(0x1fd4)){if(_0x39641b()[_0xe449df(0x727)](_0x4850f1[_0xe449df(0x23ce)]['rows'],[_0xe449df(0x2198),'queue'])){if(!_0x4850f1[_0xe449df(0x971)])_0x265fcc();}if(_0x39641b()[_0xe449df(0x727)](_0x4850f1['triggerAnyConditions'][_0xe449df(0x2214)],[_0xe449df(0x2198),'routeId'])){if(!_0x4850f1[_0xe449df(0xe57)])_0x36feb9();}if(_0x39641b()[_0xe449df(0x727)](_0x4850f1[_0xe449df(0x23ce)][_0xe449df(0x2214)],[_0xe449df(0x2198),_0xe449df(0x1eff)])){if(!_0x4850f1[_0xe449df(0xc12)])_0x4fc154();}}else _0x4000aa();}function _0x3ad30e(_0x4c3a74){const _0x3c52d1=_0x516cb6;_0x4850f1[_0x3c52d1(0x23ce)]=_0x4c3a74||{'count':0x0,'rows':[]},_0x56b7be();}function _0x21a7b3(){const _0x37bfde=_0x516cb6;_0x4850f1['query']['offset']=(_0x4850f1[_0x37bfde(0xae2)][_0x37bfde(0x1c7b)]-0x1)*_0x4850f1[_0x37bfde(0xae2)][_0x37bfde(0x236)],_0x4850f1[_0x37bfde(0x2061)]=_0x55c201[_0x37bfde(0x497)][_0x37bfde(0x106e)](_0x4850f1[_0x37bfde(0xae2)],_0x3ad30e)[_0x37bfde(0x1d77)];}function _0x38f4a6(_0x236a4f,_0x407aea){const _0x35086f=_0x516cb6;_0x1696a2[_0x35086f(0xe27)]({'controller':'CreateOrEditAnyConditionDialogController','controllerAs':'vm','templateUrl':_0x58ea11,'parent':angular['element'](_0x405a96[_0x35086f(0x1ed9)]),'targetEvent':_0x236a4f,'clickOutsideToClose':!![],'locals':{'trigger':_0x4850f1[_0x35086f(0x497)],'anyCondition':_0x407aea,'anyConditions':_0x4850f1[_0x35086f(0x23ce)][_0x35086f(0x2214)],'license':null,'setting':null,'crudPermissions':_0x4850f1[_0x35086f(0x1b1a)]}})['then'](function(_0x1d941a){const _0x477e3d=_0x35086f;_0x1d941a&&(_0x4850f1[_0x477e3d(0x23ce)]={'count':_0x1d941a[_0x477e3d(0xfd0)],'rows':_0x1d941a},_0x56b7be());});}function _0x7581d8(_0x42dd23,_0x59f7ca){const _0x5e949c=_0x516cb6,_0x271fba=_0x1696a2[_0x5e949c(0x1551)]()[_0x5e949c(0x1386)](_0x7acd22[_0x5e949c(0x25cc)](_0x5e949c(0x2473)))[_0x5e949c(0x15ad)]('Delete\x20condition')[_0x5e949c(0x728)](_0x59f7ca)['ok']('OK')[_0x5e949c(0x696)](_0x7acd22[_0x5e949c(0x25cc)](_0x5e949c(0x2768)));_0x1696a2[_0x5e949c(0xe27)](_0x271fba)[_0x5e949c(0x1cb0)](function(){_0x17e159(_0x42dd23,!![]);});}function _0x17e159(_0x244da7,_0x535122){const _0x294f44=_0x516cb6;_0x55c201[_0x294f44(0x166c)][_0x294f44(0x111d)]({'id':_0x244da7['id']})[_0x294f44(0x1d77)][_0x294f44(0x1cb0)](function(){const _0x1dfbe3=_0x294f44;_0x21a7b3(),_0x535122&&_0x3359b0[_0x1dfbe3(0x829)]({'title':_0x7acd22['instant'](_0x1dfbe3(0x16de))});})['catch'](function(_0x4a6724){const _0x82b907=_0x294f44;if(_0x4a6724[_0x82b907(0x25c)]&&_0x4a6724['data'][_0x82b907(0x1a7c)]&&_0x4a6724[_0x82b907(0x25c)][_0x82b907(0x1a7c)][_0x82b907(0xfd0)]){_0x4850f1[_0x82b907(0x1a7c)]=_0x4a6724[_0x82b907(0x25c)][_0x82b907(0x1a7c)]||[{'message':_0x4a6724['toString'](),'type':'SYSTEM:GETtrigger'}];for(let _0x5557a0=0x0;_0x5557a0<_0x4a6724['data'][_0x82b907(0x1a7c)][_0x82b907(0xfd0)];_0x5557a0++){_0x3359b0[_0x82b907(0x218e)]({'title':_0x4a6724[_0x82b907(0x25c)][_0x82b907(0x1a7c)][_0x5557a0][_0x82b907(0x66a)],'msg':_0x4a6724[_0x82b907(0x25c)]['errors'][_0x5557a0][_0x82b907(0x155e)]});}}else _0x3359b0[_0x82b907(0x218e)]({'title':_0x4a6724['status']?'API:'+_0x4a6724[_0x82b907(0x291)]+'\x20-\x20'+_0x4a6724['statusText']:_0x82b907(0x15e7),'msg':_0x4a6724[_0x82b907(0x25c)]?JSON[_0x82b907(0x2701)](_0x4a6724[_0x82b907(0x25c)]['message']):_0x4a6724[_0x82b907(0x155e)]||_0x4a6724['toString']()});});}function _0x51bfe9(){const _0x28bc1c=_0x516cb6,_0xdf1c02=angular[_0x28bc1c(0x17fe)](_0x4850f1[_0x28bc1c(0xb9c)]);return _0x4850f1['selectedTriggerAnyConditions']=[],_0xdf1c02;}function _0x52382b(_0x36931d){const _0x41ae0e=_0x516cb6,_0x3383e2=_0x1696a2[_0x41ae0e(0x1551)]()['title'](_0x7acd22['instant'](_0x41ae0e(0xda3)))[_0x41ae0e(0x862)](_0x7acd22[_0x41ae0e(0x25cc)](_0x41ae0e(0x181d),{'total':_0x4850f1[_0x41ae0e(0xb9c)][_0x41ae0e(0xfd0)]}))[_0x41ae0e(0x15ad)](_0x41ae0e(0x1d0c))[_0x41ae0e(0x728)](_0x36931d)['ok']('OK')[_0x41ae0e(0x696)](_0x7acd22['instant']('APP.CANCEL'));_0x1696a2[_0x41ae0e(0xe27)](_0x3383e2)[_0x41ae0e(0x1cb0)](function(){const _0x1dfa53=_0x41ae0e;_0x4850f1[_0x1dfa53(0xb9c)]['forEach'](function(_0x4208a6){_0x17e159(_0x4208a6);}),_0x4850f1[_0x1dfa53(0xb9c)]=[],_0x3359b0['success']({'title':_0x7acd22[_0x1dfa53(0x25cc)]('TOOLS.NOTIFICATIONS.CONDITIONS_DELETED_SUCCESS_TITLE')});});}let _0x451ea7=!![],_0x30af58=0x1;_0x482555[_0x516cb6(0x614)](_0x516cb6(0xeb6),function(_0x51d9b4,_0x16c3c2){const _0x13fcf3=_0x516cb6;_0x451ea7?_0x40300a(function(){_0x451ea7=![];}):(!_0x16c3c2&&(_0x30af58=_0x4850f1[_0x13fcf3(0xae2)][_0x13fcf3(0x1c7b)]),_0x51d9b4!==_0x16c3c2&&(_0x4850f1[_0x13fcf3(0xae2)][_0x13fcf3(0x1c7b)]=0x1),!_0x51d9b4&&(_0x4850f1[_0x13fcf3(0xae2)][_0x13fcf3(0x1c7b)]=_0x30af58),_0x21a7b3());});}const _0xebbcc4=_0x46de01;;_0x3523fa[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),'allConditions','allCondition',_0x5537c6(0x497),'api',_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting',_0x5537c6(0x1b1a)];function _0x3523fa(_0x4b7d83,_0x2bbd3c,_0x2449a9,_0x2087a4,_0x394b08,_0xec5f0a,_0x35a2dd,_0x45db1b,_0xadf15b,_0x3c3a70,_0x14f189,_0xac0d2c,_0x214806){const _0x4a1376=_0x5537c6,_0x295527=this;_0x295527[_0x4a1376(0xe76)]=_0x3c3a70[_0x4a1376(0x21e8)](),_0x295527['allCondition']=angular[_0x4a1376(0x17fe)](_0x35a2dd),_0x295527[_0x4a1376(0xfa4)]=angular[_0x4a1376(0x17fe)](_0xec5f0a),_0x295527[_0x4a1376(0x9ca)]=_0xac0d2c,_0x295527[_0x4a1376(0x8a5)]=_0x14f189,_0x295527[_0x4a1376(0x1b1a)]=_0x214806,_0x295527[_0x4a1376(0xf4c)]={},_0x295527[_0x4a1376(0x28ad)]=![],_0x295527[_0x4a1376(0x1a7c)]=[],_0x295527[_0x4a1376(0x497)]=angular['copy'](_0x45db1b);!_0x295527['allCondition']?(_0x295527[_0x4a1376(0x1835)]={'operator':_0x4a1376(0x1c53)},_0x295527[_0x4a1376(0x28ad)]=!![]):_0x242cc7();_0x295527[_0x4a1376(0x1835)][_0x4a1376(0x4a5)]=_0x4b7d83[_0x4a1376(0x1dfe)]['id']||undefined,_0x295527['callStatuses']=[{'name':_0x4a1376(0x2b7),'value':_0x4a1376(0x20fe)},{'name':'Timeout','value':_0x4a1376(0x1719)},{'name':'Hangup','value':_0x4a1376(0xa31)},{'name':'Reject','value':_0x4a1376(0x1fb6)},{'name':_0x4a1376(0x1805),'value':_0x4a1376(0x13d1)},{'name':_0x4a1376(0x265d),'value':_0x4a1376(0x8b0)},{'name':_0x4a1376(0xc40),'value':_0x4a1376(0xca0)},{'name':_0x4a1376(0x1427),'value':_0x4a1376(0x5b2)},{'name':_0x4a1376(0x3b6),'value':'noanswer'},{'name':_0x4a1376(0xb7e),'value':_0x4a1376(0x634)},{'name':_0x4a1376(0x1ae4),'value':_0x4a1376(0x97a)}],_0x295527[_0x4a1376(0x16b1)]=_0x58ab9c,_0x295527[_0x4a1376(0x15de)]=_0x20ca85,_0x295527['saveAllCondition']=_0x3c949f,_0x295527['deleteAllCondition']=_0x2f6ed9,_0x295527[_0x4a1376(0xda0)]=_0x476ca7;function _0x21363f(){const _0x28486b=_0x4a1376,_0x1f1ca3=[{'id':0x191,'type':_0x28486b(0x26c0)},{'id':0x5dd,'type':_0x28486b(0x895)}];let _0x29494a=null;_0x3c3a70[_0x28486b(0x10fc)]()?_0xadf15b[_0x28486b(0x26b3)][_0x28486b(0xbf7)]({'fields':_0x28486b(0x1896),'sort':_0x28486b(0x16b6),'nolimit':_0x28486b(0x44d)})[_0x28486b(0x1d77)][_0x28486b(0x1cb0)](function(_0x5029eb){const _0x50eb4f=_0x28486b;_0x295527[_0x50eb4f(0x971)]=_0x5029eb['rows']||[];})[_0x28486b(0x1c4)](function(_0x339bb2){const _0xf5b8a0=_0x28486b;_0x394b08['error']({'title':_0x339bb2[_0xf5b8a0(0x291)]?_0xf5b8a0(0xeb9)+_0x339bb2[_0xf5b8a0(0x291)]+'\x20-\x20'+_0x339bb2[_0xf5b8a0(0xc22)]:'SYSTEM:GET_VOICEQUEUES','msg':_0x339bb2[_0xf5b8a0(0x25c)]?JSON[_0xf5b8a0(0x2701)](_0x339bb2['data']):_0x339bb2[_0xf5b8a0(0x147f)]()});}):_0xadf15b[_0x28486b(0x26b3)][_0x28486b(0xbf7)]({'fields':_0x28486b(0x1896),'sort':_0x28486b(0x16b6),'nolimit':_0x28486b(0x44d)})['$promise']['then'](function(_0x38029a){const _0x58fbe6=_0x28486b;_0x29494a=_0x38029a[_0x58fbe6(0x2214)]||[];})['then'](function(){const _0x24e371=_0x28486b,_0x4d05a2=[];for(let _0x3fc7c8=0x0;_0x3fc7c8<_0x1f1ca3[_0x24e371(0xfd0)];_0x3fc7c8++){_0x4d05a2[_0x24e371(0x2785)](_0xadf15b[_0x24e371(0x2199)]['get']({'userProfileId':_0x295527[_0x24e371(0xe76)][_0x24e371(0x13c1)],'sectionId':_0x1f1ca3[_0x3fc7c8]['id']})['$promise']);}return _0x2449a9[_0x24e371(0x223b)](_0x4d05a2);})[_0x28486b(0x1cb0)](function(_0x21d323){const _0x5055bb=_0x28486b,_0x52bc61=_0x39641b()[_0x5055bb(0x1de2)](_0x21d323,function(_0xf7dfe1){const _0x7a9b92=_0x5055bb;return _0xf7dfe1&&_0xf7dfe1['rows']?_0xf7dfe1[_0x7a9b92(0x2214)][0x0]:null;}),_0x445a18=[];for(let _0x2ac5b0=0x0;_0x2ac5b0<_0x1f1ca3[_0x5055bb(0xfd0)];_0x2ac5b0++){const _0x5c6fa7=_0x39641b()['find'](_0x52bc61,['sectionId',_0x1f1ca3[_0x2ac5b0]['id']]);if(_0x5c6fa7){if(!_0x5c6fa7[_0x5055bb(0x12f4)])_0x445a18[_0x5055bb(0x2785)](_0xadf15b[_0x5055bb(0x1198)][_0x5055bb(0xbf7)]({'sectionId':_0x5c6fa7['id']})['$promise']);else{const _0x51d0da=[];_0x29494a['forEach'](function(_0x523187){const _0x2e8681=_0x5055bb;_0x523187[_0x2e8681(0x66a)]===_0x1f1ca3[_0x2ac5b0]['type']&&_0x51d0da['push'](_0x523187);}),_0x445a18[_0x5055bb(0x2785)]({'rows':_0x51d0da,'count':_0x51d0da[_0x5055bb(0xfd0)]});}}}return _0x2449a9['all'](_0x445a18);})[_0x28486b(0x1cb0)](function(_0x150a68){const _0x93c892=_0x28486b,_0x295035=[],_0x593fee=[];_0x295527[_0x93c892(0x1504)][_0x93c892(0x2214)][_0x93c892(0xf90)](function(_0x3c1903){const _0x15cb0d=_0x93c892;if(_0x3c1903[_0x15cb0d(0x2198)]===_0x15cb0d(0x11cf)){const _0x3184a7=_0x39641b()[_0x15cb0d(0x13b4)](_0x29494a,{'name':_0x3c1903[_0x15cb0d(0x327)]});_0x593fee[_0x15cb0d(0x2785)](_0x3184a7);}});for(let _0x2fcf85=0x0;_0x2fcf85<_0x150a68[_0x93c892(0xfd0)];_0x2fcf85++){const _0x115a8b=_0x150a68[_0x2fcf85][_0x93c892(0x2214)]||[];_0x115a8b[_0x93c892(0xf90)](function(_0x49158b){const _0xa72840=_0x93c892;_0x295035[_0xa72840(0x2785)](_0x49158b);});}!_0x39641b()[_0x93c892(0xce9)](_0x593fee)&&_0x593fee[_0x93c892(0xf90)](function(_0x115894){const _0x5489cd=_0x93c892;if(!_0x39641b()[_0x5489cd(0x727)](_0x295035,[_0x5489cd(0x2982),_0x115894['id']])){const _0x5460e4=_0x39641b()['find'](_0x29494a,{'id':_0x115894['id']});_0x5460e4[_0x5489cd(0x15da)]=![],_0x295035[_0x5489cd(0x2785)](_0x5460e4);}}),_0x295527['queues']=_0x295035;})[_0x28486b(0x1c4)](function(_0x5b0be9){const _0x5c1bf0=_0x28486b;_0x394b08[_0x5c1bf0(0x218e)]({'title':_0x5b0be9['status']?_0x5c1bf0(0xeb9)+_0x5b0be9[_0x5c1bf0(0x291)]+'\x20-\x20'+_0x5b0be9['statusText']:'SYSTEM:GET_QUEUES','msg':_0x5b0be9[_0x5c1bf0(0x25c)]?JSON['stringify'](_0x5b0be9['data']):_0x5b0be9[_0x5c1bf0(0x147f)]()});});}function _0x5e5737(){const _0x1f1513=_0x4a1376;_0x3c3a70['isAdmin']()?_0xadf15b[_0x1f1513(0x1059)][_0x1f1513(0xbf7)]({'fields':_0x1f1513(0x286f),'type':_0x1f1513(0x895),'VoiceExtensionId':_0x1f1513(0xd38),'nolimit':_0x1f1513(0x44d)})[_0x1f1513(0x1d77)][_0x1f1513(0x1cb0)](function(_0x262af9){const _0x1019f8=_0x1f1513;_0x295527[_0x1019f8(0xe57)]=_0x262af9['rows']||[];})[_0x1f1513(0x1c4)](function(_0x55101a){const _0x15055a=_0x1f1513;_0x394b08[_0x15055a(0x218e)]({'title':_0x55101a[_0x15055a(0x291)]?_0x15055a(0xeb9)+_0x55101a[_0x15055a(0x291)]+'\x20-\x20'+_0x55101a[_0x15055a(0xc22)]:'SYSTEM:GET_OUTBOUNDROUTES','msg':_0x55101a[_0x15055a(0x25c)]?JSON[_0x15055a(0x2701)](_0x55101a[_0x15055a(0x25c)]):_0x55101a['toString']()});}):_0xadf15b[_0x1f1513(0x1059)][_0x1f1513(0xbf7)]({'fields':'id,exten','type':_0x1f1513(0x895),'VoiceExtensionId':_0x1f1513(0xd38),'nolimit':_0x1f1513(0x44d)})[_0x1f1513(0x1d77)][_0x1f1513(0x1cb0)](function(_0x41736e){const _0x3ae020=_0x1f1513;_0x295527[_0x3ae020(0xe57)]=_0x41736e[_0x3ae020(0x2214)]||[];})[_0x1f1513(0x1cb0)](function(){const _0x213d7c=_0x1f1513;return _0xadf15b[_0x213d7c(0x2199)][_0x213d7c(0xbf7)]({'userProfileId':_0x295527[_0x213d7c(0xe76)]['userProfileId'],'sectionId':0x193})['$promise'];})[_0x1f1513(0x1cb0)](function(_0x4d44c0){const _0x1fa3bf=_0x1f1513,_0x41f2d8=_0x4d44c0&&_0x4d44c0['rows']?_0x4d44c0[_0x1fa3bf(0x2214)][0x0]:null;if(!_0x41f2d8){const _0x3b84d8=[],_0x3e5935=[];_0x295527[_0x1fa3bf(0x1504)][_0x1fa3bf(0x2214)][_0x1fa3bf(0xf90)](function(_0x3e94eb){const _0x3b2d64=_0x1fa3bf;if(_0x3e94eb['field']===_0x3b2d64(0xedf)){const _0x2163fc=_0x39641b()[_0x3b2d64(0x13b4)](_0x295527[_0x3b2d64(0xe57)],{'id':Number(_0x3e94eb[_0x3b2d64(0x327)])});_0x3e5935[_0x3b2d64(0x2785)](_0x2163fc);}});for(let _0x44027d=0x0;_0x44027d<_0x295527[_0x1fa3bf(0xe57)][_0x1fa3bf(0xfd0)];_0x44027d++){const _0xf344a0=_0x39641b()[_0x1fa3bf(0x727)](_0x3e5935,{'id':_0x295527[_0x1fa3bf(0xe57)][_0x44027d]['id']});_0xf344a0&&(_0x295527['outboundRoutes'][_0x44027d][_0x1fa3bf(0x15da)]=![],_0x3b84d8['push'](_0x295527[_0x1fa3bf(0xe57)][_0x44027d]));}_0x295527[_0x1fa3bf(0xe57)]=_0x3b84d8;}else{if(!_0x41f2d8['autoAssociation'])return _0xadf15b[_0x1fa3bf(0x1198)][_0x1fa3bf(0xbf7)]({'sectionId':_0x41f2d8['id']})['$promise'][_0x1fa3bf(0x1cb0)](function(_0x4503ee){const _0xd0a56=_0x1fa3bf,_0x3e6dd8=_0x39641b()[_0xd0a56(0x1de2)](_0x4503ee[_0xd0a56(0x2214)],function(_0x1357ee){const _0x271a70=_0xd0a56;return _0x39641b()[_0x271a70(0x13b4)](_0x295527[_0x271a70(0xe57)],{'id':_0x1357ee[_0x271a70(0x2982)]});});let _0x2a4578=null;_0x2a4578=[],_0x295527[_0xd0a56(0x1504)]['rows'][_0xd0a56(0xf90)](function(_0x5119a6){const _0x162d03=_0xd0a56;if(_0x5119a6[_0x162d03(0x2198)]===_0x162d03(0xedf)){const _0xc30135=_0x39641b()[_0x162d03(0x13b4)](_0x295527['outboundRoutes'],{'id':Number(_0x5119a6[_0x162d03(0x327)])});_0x2a4578[_0x162d03(0x2785)](_0xc30135);}}),!_0x39641b()['isEmpty'](_0x2a4578)&&_0x2a4578[_0xd0a56(0xf90)](function(_0x26c469){const _0x3c1822=_0xd0a56;if(!_0x39641b()[_0x3c1822(0x727)](_0x3e6dd8,['id',_0x26c469['id']])){const _0x5de30e=_0x39641b()[_0x3c1822(0x13b4)](_0x295527[_0x3c1822(0xe57)],{'id':_0x26c469['id']});_0x5de30e[_0x3c1822(0x15da)]=![],_0x3e6dd8[_0x3c1822(0x2785)](_0x5de30e);}}),_0x295527['outboundRoutes']=_0x3e6dd8;});}})[_0x1f1513(0x1c4)](function(_0x71296b){const _0x2d0a0d=_0x1f1513;_0x394b08[_0x2d0a0d(0x218e)]({'title':_0x71296b[_0x2d0a0d(0x291)]?'API:'+_0x71296b[_0x2d0a0d(0x291)]+_0x2d0a0d(0x1657)+_0x71296b[_0x2d0a0d(0xc22)]:_0x2d0a0d(0x25ea),'msg':_0x71296b[_0x2d0a0d(0x25c)]?JSON[_0x2d0a0d(0x2701)](_0x71296b[_0x2d0a0d(0x25c)]):_0x71296b[_0x2d0a0d(0x147f)]()});});}function _0x1a6e5d(){const _0x17f226=_0x4a1376;_0x3c3a70[_0x17f226(0x10fc)]()?_0xadf15b[_0x17f226(0xebe)][_0x17f226(0xbf7)]({'fields':_0x17f226(0x1d34),'sort':_0x17f226(0x16b6),'role':_0x17f226(0x1eff),'nolimit':_0x17f226(0x44d)})['$promise'][_0x17f226(0x1cb0)](function(_0x57afbd){const _0x6487d2=_0x17f226;_0x295527[_0x6487d2(0xc12)]=_0x57afbd[_0x6487d2(0x2214)]||[];})[_0x17f226(0x1c4)](function(_0x2cd9d8){const _0x4d5994=_0x17f226;_0x394b08[_0x4d5994(0x218e)]({'title':_0x2cd9d8[_0x4d5994(0x291)]?'API:'+_0x2cd9d8[_0x4d5994(0x291)]+'\x20-\x20'+_0x2cd9d8[_0x4d5994(0xc22)]:_0x4d5994(0x799),'msg':_0x2cd9d8[_0x4d5994(0x25c)]?JSON['stringify'](_0x2cd9d8[_0x4d5994(0x25c)]):_0x2cd9d8['toString']()});}):_0xadf15b[_0x17f226(0xebe)][_0x17f226(0xbf7)]({'fields':'id,name,fullname','sort':_0x17f226(0x16b6),'role':_0x17f226(0x1eff),'nolimit':'true'})['$promise'][_0x17f226(0x1cb0)](function(_0x4838dc){const _0x2186e8=_0x17f226;_0x295527[_0x2186e8(0xc12)]=_0x4838dc[_0x2186e8(0x2214)]||[];})[_0x17f226(0x1cb0)](function(){const _0x3be5d4=_0x17f226;return _0xadf15b['userProfileSection']['get']({'userProfileId':_0x295527[_0x3be5d4(0xe76)][_0x3be5d4(0x13c1)],'sectionId':0xca})[_0x3be5d4(0x1d77)];})['then'](function(_0x43b762){const _0x1310d5=_0x17f226,_0x14e4ed=_0x43b762&&_0x43b762[_0x1310d5(0x2214)]?_0x43b762[_0x1310d5(0x2214)][0x0]:null;if(!_0x14e4ed){const _0x5c7913=[],_0x12567f=[];_0x295527[_0x1310d5(0x1504)][_0x1310d5(0x2214)][_0x1310d5(0xf90)](function(_0x713353){const _0x55d93a=_0x1310d5;if(_0x713353[_0x55d93a(0x2198)]===_0x55d93a(0x1eff)){const _0x4928d5=_0x39641b()[_0x55d93a(0x13b4)](_0x295527[_0x55d93a(0xc12)],{'name':_0x713353['value']});_0x12567f[_0x55d93a(0x2785)](_0x4928d5);}});for(let _0x21021b=0x0;_0x21021b<_0x295527[_0x1310d5(0xc12)][_0x1310d5(0xfd0)];_0x21021b++){const _0x289f73=_0x39641b()[_0x1310d5(0x727)](_0x12567f,{'id':_0x295527[_0x1310d5(0xc12)][_0x21021b]['id']});_0x289f73&&(_0x295527[_0x1310d5(0xc12)][_0x21021b]['canSelect']=![],_0x5c7913[_0x1310d5(0x2785)](_0x295527[_0x1310d5(0xc12)][_0x21021b]));}_0x295527[_0x1310d5(0xc12)]=_0x5c7913;}else{if(!_0x14e4ed[_0x1310d5(0x12f4)])return _0xadf15b['userProfileResource']['get']({'sectionId':_0x14e4ed['id']})[_0x1310d5(0x1d77)][_0x1310d5(0x1cb0)](function(_0x1a5193){const _0x2e1bfd=_0x1310d5,_0x170b9c=_0x39641b()['map'](_0x1a5193[_0x2e1bfd(0x2214)],function(_0x36a4b5){const _0x3d214=_0x2e1bfd;return _0x39641b()['find'](_0x295527['agents'],{'id':_0x36a4b5[_0x3d214(0x2982)]});});let _0x532c1f=null;_0x532c1f=[],_0x295527[_0x2e1bfd(0x1504)][_0x2e1bfd(0x2214)][_0x2e1bfd(0xf90)](function(_0x276d22){const _0x4de7e7=_0x2e1bfd;if(_0x276d22['field']===_0x4de7e7(0x1eff)){const _0x35b742=_0x39641b()[_0x4de7e7(0x13b4)](_0x295527[_0x4de7e7(0xc12)],{'name':_0x276d22[_0x4de7e7(0x327)]});_0x532c1f[_0x4de7e7(0x2785)](_0x35b742);}}),!_0x39641b()[_0x2e1bfd(0xce9)](_0x532c1f)&&_0x532c1f[_0x2e1bfd(0xf90)](function(_0x35e83b){const _0x1ae184=_0x2e1bfd;if(!_0x39641b()['some'](_0x170b9c,['id',_0x35e83b['id']])){const _0x1e5b31=_0x39641b()[_0x1ae184(0x13b4)](_0x295527['agents'],{'id':_0x35e83b['id']});_0x1e5b31[_0x1ae184(0x15da)]=![],_0x170b9c[_0x1ae184(0x2785)](_0x1e5b31);}}),_0x295527[_0x2e1bfd(0xc12)]=_0x170b9c;});}})[_0x17f226(0x1c4)](function(_0x204d69){const _0x41edb6=_0x17f226;_0x394b08[_0x41edb6(0x218e)]({'title':_0x204d69[_0x41edb6(0x291)]?_0x41edb6(0xeb9)+_0x204d69[_0x41edb6(0x291)]+'\x20-\x20'+_0x204d69['statusText']:_0x41edb6(0x799),'msg':_0x204d69[_0x41edb6(0x25c)]?JSON[_0x41edb6(0x2701)](_0x204d69['data']):_0x204d69[_0x41edb6(0x147f)]()});});}function _0x364c8f(){const _0x3eee07=_0x4a1376,_0x2a3226=_0x295527[_0x3eee07(0x497)][_0x3eee07(0x1072)]+(_0x295527['trigger'][_0x3eee07(0x1072)]==='chat'?_0x3eee07(0x11aa):_0x3eee07(0x1649));let _0x5f15bf;switch(_0x295527[_0x3eee07(0x497)][_0x3eee07(0x1072)]){case _0x3eee07(0x174c):_0x5f15bf=0x1f6;break;case _0x3eee07(0x1944):_0x5f15bf=0x386;break;case _0x3eee07(0x2651):_0x5f15bf=0x25a;break;case'openchannel':_0x5f15bf=0x322;break;case'sms':_0x5f15bf=0x2be;break;case'whatsapp':_0x5f15bf=0x836;break;}if(_0x3c3a70[_0x3eee07(0x10fc)]()){const _0x20226e=_0x295527[_0x3eee07(0x497)][_0x3eee07(0x1072)];_0xadf15b[_0x2a3226]['get']({'fields':_0x3eee07(0x43c),'sort':'id','nolimit':'true'})[_0x3eee07(0x1d77)][_0x3eee07(0x1cb0)](function(_0x1b667c){const _0x23c760=_0x3eee07;_0x295527[_0x23c760(0x745)]=_0x1b667c[_0x23c760(0x2214)]||[];})[_0x3eee07(0x1c4)](function(_0x2d212c){const _0x21ce9e=_0x3eee07;_0x394b08[_0x21ce9e(0x218e)]({'title':_0x2d212c[_0x21ce9e(0x291)]?_0x21ce9e(0xeb9)+_0x2d212c[_0x21ce9e(0x291)]+_0x21ce9e(0x1657)+_0x2d212c[_0x21ce9e(0xc22)]:_0x21ce9e(0x21df)+_0x20226e[_0x21ce9e(0x2335)]()+_0x20226e===_0x21ce9e(0x174c)?_0x21ce9e(0x202a):_0x21ce9e(0x21e),'msg':_0x2d212c[_0x21ce9e(0x25c)]?JSON[_0x21ce9e(0x2701)](_0x2d212c[_0x21ce9e(0x25c)]):_0x2d212c['toString']()});});}else _0xadf15b[_0x2a3226][_0x3eee07(0xbf7)]({'fields':_0x3eee07(0x43c),'sort':'id','nolimit':_0x3eee07(0x44d)})[_0x3eee07(0x1d77)][_0x3eee07(0x1cb0)](function(_0x29214e){const _0xdf7830=_0x3eee07;_0x295527[_0xdf7830(0x745)]=_0x29214e['rows']||[];})[_0x3eee07(0x1cb0)](function(){const _0x4a3e75=_0x3eee07;return _0xadf15b[_0x4a3e75(0x2199)][_0x4a3e75(0xbf7)]({'userProfileId':_0x295527['currentUser'][_0x4a3e75(0x13c1)],'sectionId':_0x5f15bf})[_0x4a3e75(0x1d77)];})[_0x3eee07(0x1cb0)](function(_0x342d40){const _0x5c270a=_0x3eee07,_0x2ae16c=_0x342d40&&_0x342d40[_0x5c270a(0x2214)]?_0x342d40[_0x5c270a(0x2214)][0x0]:null;if(!_0x2ae16c){const _0x305300=[],_0x15e388=[];_0x295527[_0x5c270a(0x1504)][_0x5c270a(0x2214)][_0x5c270a(0xf90)](function(_0xf6d94b){const _0x1e7270=_0x5c270a;if(_0xf6d94b['field']===_0x1e7270(0x1539)){const _0x87ff66=_0x39641b()['find'](_0x295527[_0x1e7270(0x745)],{'id':Number(_0xf6d94b[_0x1e7270(0x327)])});_0x15e388[_0x1e7270(0x2785)](_0x87ff66);}});for(let _0x50609e=0x0;_0x50609e<_0x295527[_0x5c270a(0x745)][_0x5c270a(0xfd0)];_0x50609e++){const _0x1b5945=_0x39641b()[_0x5c270a(0x727)](_0x15e388,{'id':_0x295527['accounts'][_0x50609e]['id']});_0x1b5945&&(_0x295527[_0x5c270a(0x745)][_0x50609e][_0x5c270a(0x15da)]=![],_0x305300[_0x5c270a(0x2785)](_0x295527[_0x5c270a(0x745)][_0x50609e]));}_0x295527[_0x5c270a(0x745)]=_0x305300;}else{if(!_0x2ae16c[_0x5c270a(0x12f4)])return _0xadf15b[_0x5c270a(0x1198)][_0x5c270a(0xbf7)]({'sectionId':_0x2ae16c['id']})[_0x5c270a(0x1d77)][_0x5c270a(0x1cb0)](function(_0x1915f9){const _0x5839a7=_0x5c270a,_0x393fe1=_0x39641b()[_0x5839a7(0x1de2)](_0x1915f9[_0x5839a7(0x2214)],function(_0x1feed2){const _0x32f977=_0x5839a7;return _0x39641b()[_0x32f977(0x13b4)](_0x295527[_0x32f977(0x745)],{'id':_0x1feed2[_0x32f977(0x2982)]});});let _0x7d4362=null;_0x7d4362=[],_0x295527[_0x5839a7(0x1504)][_0x5839a7(0x2214)][_0x5839a7(0xf90)](function(_0x1843e6){const _0xafd47c=_0x5839a7;if(_0x1843e6[_0xafd47c(0x2198)]==='account'){const _0x55d88a=_0x39641b()['find'](_0x295527[_0xafd47c(0x745)],{'id':Number(_0x1843e6['value'])});_0x7d4362[_0xafd47c(0x2785)](_0x55d88a);}}),!_0x39641b()[_0x5839a7(0xce9)](_0x7d4362)&&_0x7d4362['forEach'](function(_0x31722a){const _0x503bd1=_0x5839a7;if(!_0x39641b()[_0x503bd1(0x727)](_0x393fe1,['id',_0x31722a['id']])){const _0x1be500=_0x39641b()[_0x503bd1(0x13b4)](_0x295527[_0x503bd1(0x745)],{'id':_0x31722a['id']});_0x1be500[_0x503bd1(0x15da)]=![],_0x393fe1[_0x503bd1(0x2785)](_0x1be500);}}),_0x295527[_0x5839a7(0x745)]=_0x393fe1;});}})['catch'](function(_0x303d87){const _0x25ee0b=_0x3eee07,_0x12f393=_0x295527[_0x25ee0b(0x497)][_0x25ee0b(0x1072)];_0x394b08[_0x25ee0b(0x218e)]({'title':_0x303d87['status']?_0x25ee0b(0xeb9)+_0x303d87['status']+_0x25ee0b(0x1657)+_0x303d87['statusText']:_0x25ee0b(0x21df)+_0x12f393[_0x25ee0b(0x2335)]()+_0x12f393===_0x25ee0b(0x174c)?_0x25ee0b(0x202a):'ACCOUNTS','msg':_0x303d87[_0x25ee0b(0x25c)]?JSON[_0x25ee0b(0x2701)](_0x303d87[_0x25ee0b(0x25c)]):_0x303d87[_0x25ee0b(0x147f)]()});});}function _0x242cc7(){const _0x375d87=_0x4a1376;switch(_0x295527[_0x375d87(0x1835)][_0x375d87(0x2198)]){case _0x375d87(0x11cf):if(!_0x295527[_0x375d87(0x971)])_0x21363f();break;case _0x375d87(0xedf):if(!_0x295527['outboundRoutes'])_0x5e5737();break;case _0x375d87(0x1eff):if(!_0x295527[_0x375d87(0xc12)])_0x1a6e5d();break;case _0x375d87(0x1539):_0x364c8f();break;}}function _0x58ab9c(){_0x242cc7();}function _0x20ca85(){const _0x5b974e=_0x4a1376;_0x295527['errors']=[],_0xadf15b[_0x5b974e(0x497)]['addAllCondition']({'id':_0x4b7d83[_0x5b974e(0x1dfe)]['id']},_0x295527[_0x5b974e(0x1835)])['$promise']['then'](function(_0x43e4a3){const _0x3d95=_0x5b974e;_0x295527['allConditions'][_0x3d95(0xf63)](_0x43e4a3[_0x3d95(0x19b2)]()),_0x394b08[_0x3d95(0x829)]({'title':_0x2087a4[_0x3d95(0x25cc)](_0x3d95(0x111e))}),_0x476ca7(_0x295527[_0x3d95(0xfa4)]);})['catch'](function(_0x2afac6){const _0x5e2d4b=_0x5b974e;if(_0x2afac6['data']&&_0x2afac6[_0x5e2d4b(0x25c)][_0x5e2d4b(0x1a7c)]&&_0x2afac6['data'][_0x5e2d4b(0x1a7c)][_0x5e2d4b(0xfd0)]){_0x295527[_0x5e2d4b(0x1a7c)]=_0x2afac6[_0x5e2d4b(0x25c)][_0x5e2d4b(0x1a7c)]||[{'message':_0x2afac6[_0x5e2d4b(0x147f)](),'type':_0x5e2d4b(0x23a3)}];for(let _0x5ec784=0x0;_0x5ec784<_0x2afac6[_0x5e2d4b(0x25c)][_0x5e2d4b(0x1a7c)][_0x5e2d4b(0xfd0)];_0x5ec784+=0x1){_0x394b08[_0x5e2d4b(0x218e)]({'title':_0x2afac6[_0x5e2d4b(0x25c)]['errors'][_0x5ec784][_0x5e2d4b(0x66a)],'msg':_0x2afac6['data'][_0x5e2d4b(0x1a7c)][_0x5ec784][_0x5e2d4b(0x155e)]});}}else _0x394b08['error']({'title':_0x2afac6[_0x5e2d4b(0x291)]?'API:'+_0x2afac6['status']+_0x5e2d4b(0x1657)+_0x2afac6[_0x5e2d4b(0xc22)]:_0x5e2d4b(0x23a3),'msg':_0x2afac6[_0x5e2d4b(0x25c)]?JSON['stringify'](_0x2afac6['data'][_0x5e2d4b(0x155e)]):_0x2afac6[_0x5e2d4b(0x147f)]()});});}function _0x3c949f(){const _0x3a3b2a=_0x4a1376;_0x295527['errors']=[],_0xadf15b[_0x3a3b2a(0x166c)]['update']({'id':_0x295527[_0x3a3b2a(0x1835)]['id']},_0x295527['allCondition'])['$promise'][_0x3a3b2a(0x1cb0)](function(){const _0x3d7c7f=_0x3a3b2a;_0x295527[_0x3d7c7f(0xfa4)]=_0x39641b()[_0x3d7c7f(0x1de2)](_0x295527['allConditions'],function(_0x164266){const _0x8ffe7e=_0x3d7c7f;if(_0x164266['id']===_0x295527[_0x8ffe7e(0x1835)]['id'])return _0x295527['allCondition'];else return _0x164266;}),_0x394b08['success']({'title':_0x2087a4[_0x3d7c7f(0x25cc)](_0x3d7c7f(0x2692))}),_0x476ca7(_0x295527[_0x3d7c7f(0xfa4)]);})[_0x3a3b2a(0x1c4)](function(_0x200632){const _0x579bc1=_0x3a3b2a;if(_0x200632[_0x579bc1(0x25c)]&&_0x200632['data'][_0x579bc1(0x1a7c)]&&_0x200632[_0x579bc1(0x25c)][_0x579bc1(0x1a7c)][_0x579bc1(0xfd0)]){_0x295527[_0x579bc1(0x1a7c)]=_0x200632['data'][_0x579bc1(0x1a7c)]||[{'message':_0x200632['toString'](),'type':_0x579bc1(0x229f)}];for(let _0x7c3aa5=0x0;_0x7c3aa5<_0x200632[_0x579bc1(0x25c)]['errors'][_0x579bc1(0xfd0)];_0x7c3aa5++){_0x394b08['error']({'title':_0x200632[_0x579bc1(0x25c)][_0x579bc1(0x1a7c)][_0x7c3aa5][_0x579bc1(0x66a)],'msg':_0x200632[_0x579bc1(0x25c)][_0x579bc1(0x1a7c)][_0x7c3aa5][_0x579bc1(0x155e)]});}}else _0x394b08['error']({'title':_0x200632[_0x579bc1(0x291)]?_0x579bc1(0xeb9)+_0x200632[_0x579bc1(0x291)]+'\x20-\x20'+_0x200632[_0x579bc1(0xc22)]:'api.condition.update','msg':_0x200632[_0x579bc1(0x25c)]?JSON['stringify'](_0x200632[_0x579bc1(0x25c)][_0x579bc1(0x155e)]):_0x200632['toString']()});});}function _0x2f6ed9(_0x557636){const _0x5a6bf1=_0x4a1376;_0x295527['errors']=[];const _0x45527d=_0x2bbd3c[_0x5a6bf1(0x1551)]({'skipHide':!![]})[_0x5a6bf1(0x1386)](_0x2087a4[_0x5a6bf1(0x25cc)]('TOOLS.NOTIFICATIONS.CONDITION_DELETE_TITLE'))[_0x5a6bf1(0x15ad)]('Delete\x20condition')['ok'](_0x2087a4[_0x5a6bf1(0x25cc)](_0x5a6bf1(0x2966)))[_0x5a6bf1(0x696)](_0x2087a4[_0x5a6bf1(0x25cc)](_0x5a6bf1(0x2768)))[_0x5a6bf1(0x728)](_0x557636);_0x2bbd3c[_0x5a6bf1(0xe27)](_0x45527d)['then'](function(){const _0x265ec7=_0x5a6bf1;_0xadf15b[_0x265ec7(0x166c)][_0x265ec7(0x111d)]({'id':_0x295527[_0x265ec7(0x1835)]['id']})[_0x265ec7(0x1d77)]['then'](function(){const _0x5f0381=_0x265ec7;_0x295527[_0x5f0381(0xfa4)]=_0x39641b()['filter'](_0x295527[_0x5f0381(0xfa4)],function(_0x747b9){return _0x747b9['id']!==_0x295527['allCondition']['id'];}),_0x394b08['success']({'title':_0x2087a4['instant']('TOOLS.NOTIFICATIONS.CONDITION_DELETED_SUCCESS_TITLE')}),_0x476ca7(_0x295527[_0x5f0381(0xfa4)]);})[_0x265ec7(0x1c4)](function(_0x124c65){const _0x4c9211=_0x265ec7;if(_0x124c65['data']&&_0x124c65[_0x4c9211(0x25c)][_0x4c9211(0x1a7c)]&&_0x124c65[_0x4c9211(0x25c)][_0x4c9211(0x1a7c)][_0x4c9211(0xfd0)]){_0x295527[_0x4c9211(0x1a7c)]=_0x124c65[_0x4c9211(0x25c)][_0x4c9211(0x1a7c)]||[{'message':_0x124c65[_0x4c9211(0x147f)](),'type':_0x4c9211(0xcc8)}];for(let _0x2e4efc=0x0;_0x2e4efc<_0x124c65[_0x4c9211(0x25c)][_0x4c9211(0x1a7c)]['length'];_0x2e4efc++){_0x394b08[_0x4c9211(0x218e)]({'title':_0x124c65[_0x4c9211(0x25c)][_0x4c9211(0x1a7c)][_0x2e4efc]['type'],'msg':_0x124c65[_0x4c9211(0x25c)][_0x4c9211(0x1a7c)][_0x2e4efc][_0x4c9211(0x155e)]});}}else _0x394b08[_0x4c9211(0x218e)]({'title':_0x124c65['status']?_0x4c9211(0xeb9)+_0x124c65[_0x4c9211(0x291)]+_0x4c9211(0x1657)+_0x124c65[_0x4c9211(0xc22)]:'api.condition.delete','msg':_0x124c65[_0x4c9211(0x25c)]?JSON['stringify'](_0x124c65[_0x4c9211(0x25c)][_0x4c9211(0x155e)]):_0x124c65['message']||_0x124c65[_0x4c9211(0x147f)]()});});},function(){});}function _0x476ca7(_0x474de9){_0x2bbd3c['hide'](_0x474de9);}}const _0x4584cd=_0x3523fa;;_0x456c56[_0x5537c6(0x15b6)]=['$state','$translate',_0x5537c6(0x8a5),'setting',_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x497),_0x5537c6(0x2199)];function _0x456c56(_0x2404bf,_0x5a6a65,_0x2fe7f1,_0x38bc7d,_0x4eedd9,_0x1aca32,_0x56937c,_0x297763,_0x3d119d){const _0x3ba110=_0x5537c6,_0x4dc4dc=this;_0x4dc4dc[_0x3ba110(0xe76)]=_0x56937c['getCurrentUser'](),_0x4dc4dc[_0x3ba110(0x8a5)]=_0x2fe7f1,_0x4dc4dc[_0x3ba110(0x9ca)]=_0x38bc7d,_0x4dc4dc[_0x3ba110(0x497)]=_0x297763||_0x2404bf[_0x3ba110(0x1dfe)][_0x3ba110(0x497)]||{},_0x4dc4dc[_0x3ba110(0x8ec)]=_0x2404bf['params']['tab']||0x0;_0x2404bf[_0x3ba110(0x1dfe)][_0x3ba110(0x1b1a)]?_0x4dc4dc[_0x3ba110(0x1b1a)]=_0x2404bf[_0x3ba110(0x1dfe)][_0x3ba110(0x1b1a)]:(_0x4dc4dc['userProfileSection']=_0x3d119d&&_0x3d119d[_0x3ba110(0x184d)]==0x1?_0x3d119d['rows'][0x0]:null,_0x4dc4dc[_0x3ba110(0x1b1a)]=_0x56937c[_0x3ba110(0x14ea)](_0x4dc4dc['userProfileSection']?_0x4dc4dc[_0x3ba110(0x2199)][_0x3ba110(0x1b1a)]:null));_0x4dc4dc[_0x3ba110(0xeb7)]=_0x5972a2,_0x4dc4dc[_0x3ba110(0x1cba)]=_0x2285b6;function _0x5972a2(){const _0x5548c8=_0x3ba110;_0x2404bf['go'](_0x5548c8(0xf53),{},{'reload':_0x5548c8(0xf53)});}function _0x2285b6(){const _0x5881b4=_0x3ba110;_0x4eedd9['trigger'][_0x5881b4(0x687)]({'id':_0x4dc4dc[_0x5881b4(0x497)]['id']},_0x4dc4dc[_0x5881b4(0x497)])[_0x5881b4(0x1d77)]['then'](function(){const _0x322c07=_0x5881b4;_0x1aca32[_0x322c07(0x829)]({'title':_0x5a6a65[_0x322c07(0x25cc)]('TOOLS.NOTIFICATIONS.TRIGGER_SAVED_SUCCESS_TITLE')});})[_0x5881b4(0x1c4)](function(_0x190dcc){const _0x8cacec=_0x5881b4;_0x1aca32[_0x8cacec(0x218e)]({'title':_0x190dcc[_0x8cacec(0x291)]?_0x8cacec(0xeb9)+_0x190dcc[_0x8cacec(0x291)]+'\x20-\x20'+_0x190dcc[_0x8cacec(0xc22)]:_0x8cacec(0x15e7),'msg':_0x190dcc['data']?JSON[_0x8cacec(0x2701)](_0x190dcc[_0x8cacec(0x25c)]):_0x190dcc['toString']()});});}}const _0x5811ae=_0x456c56;;const _0x100e69=_0x5074a3['p']+'src/js/modules/main/apps/tools/views/triggers/create/dialog.html/dialog.html';;_0x110139[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0xcb9),_0x5537c6(0x22bf),'$timeout',_0x5537c6(0x1ae),_0x5537c6(0xfd5),_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x1774),'license',_0x5537c6(0x9ca)];function _0x110139(_0x3ee045,_0x1c2b43,_0x36a66c,_0x34fb31,_0xbf5bab,_0x1ec7b4,_0x5df8a5,_0x8eb4a3,_0x2d8635,_0x4dcaf4,_0x18734d,_0x120364,_0x227bea,_0xd1394c){const _0x470e6f=_0x5537c6,_0x2557a6=this;_0x2557a6[_0x470e6f(0x8a5)]=_0x227bea,_0x2557a6[_0x470e6f(0x9ca)]=_0xd1394c,_0x2557a6[_0x470e6f(0xe76)]=_0x120364['getCurrentUser'](),_0x2557a6[_0x470e6f(0xfd5)]=_0x5df8a5||{'count':0x0,'rows':[]},_0x2557a6[_0x470e6f(0x44a)]=_0x8eb4a3,_0x2557a6[_0x470e6f(0x2199)]=_0x2d8635&&_0x2d8635[_0x470e6f(0x184d)]==0x1?_0x2d8635[_0x470e6f(0x2214)][0x0]:null,_0x2557a6['crudPermissions']=_0x120364[_0x470e6f(0x14ea)](_0x2557a6['userProfileSection']?_0x2557a6['userProfileSection'][_0x470e6f(0x1b1a)]:null),_0x2557a6[_0x470e6f(0x232)]=[],_0x2557a6['query']={'fields':'id,name,channel,status,description,createdAt,updatedAt','sort':_0x470e6f(0x282),'limit':0xa,'page':0x1},_0x2557a6[_0x470e6f(0x1dfb)]=_0x21bf31,_0x2557a6[_0x470e6f(0x20b5)]=_0x1a01b2,_0x2557a6[_0x470e6f(0x829)]=_0x292d38,_0x2557a6[_0x470e6f(0xf98)]=_0x860017,_0x2557a6['deleteconfirm']=_0x3b0c34,_0x2557a6[_0x470e6f(0x15f9)]=_0x447679,_0x2557a6[_0x470e6f(0x2940)]=_0x38982b,_0x2557a6['deselectTriggers']=_0x238ce8,_0x2557a6[_0x470e6f(0x1ef4)]=_0x37b849;function _0x21bf31(_0x1ad69d){const _0xb1f52f=_0x470e6f;_0x1c2b43['go'](_0xb1f52f(0x10a1),{'id':_0x1ad69d['id'],'trigger':_0x1ad69d,'crudPermissions':_0x2557a6['crudPermissions']});}function _0x1a01b2(_0x87d88a,_0x4a265a){const _0x54a9a7=_0x470e6f;if(_0x2557a6[_0x54a9a7(0x1b1a)][_0x54a9a7(0x2e2)]||_0x2557a6[_0x54a9a7(0x1b1a)]['canDelete']&&!_0x2557a6[_0x54a9a7(0x1b1a)][_0x54a9a7(0xb3d)]){_0x21bf31(_0x4a265a);return;}_0x36a66c['show']({'controller':_0x54a9a7(0x27b2),'controllerAs':'vm','templateUrl':_0x100e69,'parent':angular[_0x54a9a7(0x1853)](_0x34fb31[_0x54a9a7(0x1ed9)]),'targetEvent':_0x87d88a,'clickOutsideToClose':!![],'locals':{'trigger':_0x4a265a,'triggers':_0x2557a6[_0x54a9a7(0xfd5)][_0x54a9a7(0x2214)],'license':_0x2557a6['license'],'setting':_0x2557a6['setting'],'crudPermissions':_0x2557a6['crudPermissions']}})[_0x54a9a7(0x1cb0)](function(_0x5f1b00){const _0x37daa1=_0x54a9a7;_0x5f1b00&&(_0x2557a6['triggers']={'count':_0x5f1b00[_0x37daa1(0xfd0)],'rows':_0x5f1b00});});}function _0x292d38(_0x54e2c0){const _0x4f0049=_0x470e6f;_0x2557a6[_0x4f0049(0xfd5)]=_0x54e2c0||{'count':0x0,'rows':[]};}function _0x860017(){const _0x4afea8=_0x470e6f;_0x2557a6[_0x4afea8(0xae2)][_0x4afea8(0x184b)]=(_0x2557a6[_0x4afea8(0xae2)][_0x4afea8(0x1c7b)]-0x1)*_0x2557a6[_0x4afea8(0xae2)][_0x4afea8(0x236)],_0x120364[_0x4afea8(0x10fc)]()?_0x2557a6[_0x4afea8(0x2061)]=_0x4dcaf4[_0x4afea8(0x497)][_0x4afea8(0xbf7)](_0x2557a6[_0x4afea8(0xae2)],_0x292d38)[_0x4afea8(0x1d77)]:(_0x2557a6[_0x4afea8(0xae2)]['id']=_0x2557a6[_0x4afea8(0x44a)]['id'],_0x2557a6[_0x4afea8(0xae2)][_0x4afea8(0x1f74)]='Triggers',_0x2557a6[_0x4afea8(0x2061)]=_0x4dcaf4[_0x4afea8(0x44a)]['getResources'](_0x2557a6[_0x4afea8(0xae2)],_0x292d38)['$promise']);}function _0x3b0c34(_0x1f8f65,_0x45dc6d){const _0x1cb3e2=_0x470e6f,_0xf657fc=_0x36a66c['confirm']()[_0x1cb3e2(0x1386)](_0x1ec7b4[_0x1cb3e2(0x25cc)](_0x1cb3e2(0x5ad)))[_0x1cb3e2(0x862)](_0x1ec7b4[_0x1cb3e2(0x25cc)](_0x1cb3e2(0x666),{'name':_0x1f8f65[_0x1cb3e2(0x16b6)]}))['ariaLabel'](_0x1cb3e2(0x936))[_0x1cb3e2(0x728)](_0x45dc6d)['ok']('OK')[_0x1cb3e2(0x696)](_0x1ec7b4['instant']('APP.CANCEL'));_0x36a66c[_0x1cb3e2(0xe27)](_0xf657fc)[_0x1cb3e2(0x1cb0)](function(){_0x13bbd7(_0x1f8f65,!![]);});}function _0x13bbd7(_0x33e474,_0x485df4){const _0x4566cb=_0x470e6f;_0x4dcaf4[_0x4566cb(0x497)]['delete']({'id':_0x33e474['id']})[_0x4566cb(0x1d77)][_0x4566cb(0x1cb0)](function(){const _0x439eee=_0x4566cb;_0x860017(),_0x485df4&&_0x18734d[_0x439eee(0x829)]({'title':_0x1ec7b4['instant']('TOOLS.NOTIFICATIONS.TRIGGER_DELETED_SUCCESS_TITLE')});})[_0x4566cb(0x1c4)](function(_0x6d1094){const _0x19dead=_0x4566cb;if(_0x6d1094[_0x19dead(0x25c)]&&_0x6d1094[_0x19dead(0x25c)][_0x19dead(0x1a7c)]&&_0x6d1094[_0x19dead(0x25c)][_0x19dead(0x1a7c)]['length']){_0x2557a6[_0x19dead(0x1a7c)]=_0x6d1094[_0x19dead(0x25c)]['errors']||[{'message':_0x6d1094[_0x19dead(0x147f)](),'type':_0x19dead(0x1957)}];for(let _0x24e1d8=0x0;_0x24e1d8<_0x6d1094[_0x19dead(0x25c)][_0x19dead(0x1a7c)][_0x19dead(0xfd0)];_0x24e1d8++){_0x18734d[_0x19dead(0x218e)]({'title':_0x6d1094['data'][_0x19dead(0x1a7c)][_0x24e1d8][_0x19dead(0x66a)],'msg':_0x6d1094[_0x19dead(0x25c)][_0x19dead(0x1a7c)][_0x24e1d8][_0x19dead(0x155e)]});}}else _0x18734d[_0x19dead(0x218e)]({'title':_0x6d1094[_0x19dead(0x291)]?_0x19dead(0xeb9)+_0x6d1094[_0x19dead(0x291)]+_0x19dead(0x1657)+_0x6d1094[_0x19dead(0xc22)]:_0x19dead(0x1957),'msg':_0x6d1094[_0x19dead(0x25c)]?JSON[_0x19dead(0x2701)](_0x6d1094['data'][_0x19dead(0x155e)]):_0x6d1094[_0x19dead(0x155e)]||_0x6d1094[_0x19dead(0x147f)]()});});}function _0x447679(){const _0x40a385=_0x470e6f,_0x2990b1=angular[_0x40a385(0x17fe)](_0x2557a6[_0x40a385(0x232)]);return _0x2557a6[_0x40a385(0x232)]=[],_0x2990b1;}function _0x38982b(_0x3448b7){const _0x133614=_0x470e6f,_0x77bbdb=_0x36a66c[_0x133614(0x1551)]()['title'](_0x1ec7b4[_0x133614(0x25cc)](_0x133614(0x78f)))['content'](_0x1ec7b4[_0x133614(0x25cc)](_0x133614(0x27d7),{'total':_0x2557a6[_0x133614(0x232)]['length']}))['ariaLabel'](_0x133614(0x92c))['targetEvent'](_0x3448b7)['ok']('OK')[_0x133614(0x696)](_0x1ec7b4['instant'](_0x133614(0x2768)));_0x36a66c[_0x133614(0xe27)](_0x77bbdb)[_0x133614(0x1cb0)](function(){const _0x3f95ff=_0x133614;_0x2557a6[_0x3f95ff(0x232)]['forEach'](function(_0x647db2){_0x13bbd7(_0x647db2);}),_0x2557a6[_0x3f95ff(0x232)]=[],_0x18734d[_0x3f95ff(0x829)]({'title':_0x1ec7b4[_0x3f95ff(0x25cc)](_0x3f95ff(0x2756))});});}function _0x238ce8(){const _0xcbb2a1=_0x470e6f;_0x2557a6[_0xcbb2a1(0x232)]=[];}function _0x37b849(){const _0x48535d=_0x470e6f;_0x2557a6[_0x48535d(0x232)]=_0x2557a6[_0x48535d(0xfd5)]['rows'];}let _0xd6baff=!![],_0x167095=0x1;_0x3ee045[_0x470e6f(0x614)](_0x470e6f(0x957),function(_0x159098,_0x23148a){const _0xe3b3=_0x470e6f;_0xd6baff?_0xbf5bab(function(){_0xd6baff=![];}):(!_0x23148a&&(_0x167095=_0x2557a6[_0xe3b3(0xae2)]['page']),_0x159098!==_0x23148a&&(_0x2557a6[_0xe3b3(0xae2)]['page']=0x1),!_0x159098&&(_0x2557a6[_0xe3b3(0xae2)][_0xe3b3(0x1c7b)]=_0x167095),_0x860017());});}const _0x312331=_0x110139;;_0x498676[_0x5537c6(0x15b6)]=[_0x5537c6(0xbd6)];function _0x498676(_0x394177){const _0xf2a15c=_0x5537c6;_0x394177[_0xf2a15c(0x27e0)]('app.tools.triggers',{'url':_0xf2a15c(0x282e),'views':{'content@app':{'templateUrl':_0x3715a8,'controller':'TriggersController\x20as\x20vm'}},'resolve':{'triggers':['apiResolver',_0xf2a15c(0x1774),function(_0xaf00f6,_0x8c5da6){const _0x12448d=_0xf2a15c;return _0x8c5da6['hasRole'](_0x12448d(0x1c60))?_0xaf00f6[_0x12448d(0x19a3)]('trigger@get',{'fields':_0x12448d(0x1ee8),'sort':_0x12448d(0x282),'limit':0xa,'offset':0x0}):_0xaf00f6['resolve']('userProfile@getResources',{'id':_0x8c5da6[_0x12448d(0x21e8)]()[_0x12448d(0x13c1)],'section':_0x12448d(0x19c1),'fields':_0x12448d(0x1ee8),'sort':_0x12448d(0x282),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver','Auth',function(_0x492bd4,_0x193c1a){const _0x32996c=_0xf2a15c;return _0x193c1a[_0x32996c(0x22b6)](_0x32996c(0x1c60))?null:_0x492bd4[_0x32996c(0x19a3)](_0x32996c(0x9ae),{'fields':_0x32996c(0x279),'id':_0x193c1a['getCurrentUser']()[_0x32996c(0x13c1)]});}],'userProfileSection':['apiResolver','Auth',function(_0x1fee64,_0x4a60f8){const _0x5ba60b=_0xf2a15c;return _0x4a60f8[_0x5ba60b(0x22b6)]('admin')?null:_0x1fee64['resolve'](_0x5ba60b(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x4a60f8[_0x5ba60b(0x21e8)]()[_0x5ba60b(0x13c1)],'sectionId':0x3f2});}]},'authenticate':!![],'permissionId':0x3f2,'bodyClass':_0xf2a15c(0x10f6)})[_0xf2a15c(0x27e0)]('app.tools.triggers.edit',{'url':_0xf2a15c(0x1bf2),'params':{'trigger':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x1845ac,'controller':'TriggerController\x20as\x20vm'}},'resolve':{'trigger':[_0xf2a15c(0x1e0b),_0xf2a15c(0x225c),function(_0xcbbe8e,_0x24492e){const _0x18f050=_0xf2a15c;return _0xcbbe8e[_0x18f050(0x19a3)](_0x18f050(0x1ee0),{'fields':_0x18f050(0x1ee8),'id':_0x24492e['id']});}],'userProfileSection':[_0xf2a15c(0x1e0b),'Auth',function(_0x17c9e9,_0xece4a6){const _0xea7f8f=_0xf2a15c;return _0x17c9e9[_0xea7f8f(0x19a3)](_0xea7f8f(0x2182),{'fields':_0xea7f8f(0x1f5f),'userProfileId':_0xece4a6[_0xea7f8f(0x21e8)]()['userProfileId'],'sectionId':0x3f2});}]},'authenticate':!![],'permissionId':0x3f2,'bodyClass':_0xf2a15c(0x10f6)});}angular[_0x5537c6(0x9ab)]('app.tools.triggers',[])[_0x5537c6(0xa60)](_0x498676)[_0x5537c6(0x6e5)]('CreateOrEditTriggerDialogController',_0x368d5c)[_0x5537c6(0x6e5)](_0x5537c6(0x1c9),_0x58920d)[_0x5537c6(0x6e5)]('CreateOrEditActionDialogController',_0x27dbc1)['controller']('TriggerAllConditionsController',_0x522625)[_0x5537c6(0x6e5)](_0x5537c6(0x351),_0x10b123)[_0x5537c6(0x6e5)]('TriggerAnyConditionsController',_0xebbcc4)[_0x5537c6(0x6e5)](_0x5537c6(0x24df),_0x4584cd)[_0x5537c6(0x6e5)]('TriggerController',_0x5811ae)[_0x5537c6(0x6e5)](_0x5537c6(0x138a),_0x312331);;const _0x4fa67c=_0x5074a3['p']+'src/js/modules/main/apps/tools/views/cannedAnswers/cannedAnswers.html/cannedAnswers.html';;const _0x2b1ec4=_0x5074a3['p']+'src/js/modules/main/apps/tools/views/customDashboards/customDashboards.html/customDashboards.html';;const _0x38a5cf=_0x5074a3['p']+_0x5537c6(0xa13);;const _0x13841a=_0x5074a3['p']+_0x5537c6(0xa18);;const _0x1cf171=_0x5074a3['p']+_0x5537c6(0x1404);;const _0x573919=_0x5074a3['p']+_0x5537c6(0xd72);;const _0x14a7d3=_0x5074a3['p']+_0x5537c6(0x1941);;const _0x5a08cd=_0x5074a3['p']+_0x5537c6(0x137f);;const _0x538b44=_0x5074a3['p']+_0x5537c6(0x6d5);;const _0x47c543=_0x5074a3['p']+'src/js/modules/main/apps/tools/views/templates/templates.html/templates.html';;const _0x436b77=_0x5074a3['p']+_0x5537c6(0x2286);;const _0x4d44a4=_0x5074a3['p']+'src/js/modules/main/apps/tools/views/trunks/edit/view.html/view.html';;const _0x5a2703=_0x5074a3['p']+'src/js/modules/main/apps/tools/views/variables/variables.html/variables.html';;const _0x35045f=_0x5074a3['p']+_0x5537c6(0x1442);;_0x276045[_0x5537c6(0x15b6)]=['$scope','$window',_0x5537c6(0x406),'$mdSidenav','$mdDialog',_0x5537c6(0x22bf),_0x5537c6(0x2168),'$translate','cannedAnswers','userProfile',_0x5537c6(0x2199),_0x5537c6(0x142b),_0x5537c6(0xde8),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting'];function _0x276045(_0x51503d,_0x539b36,_0x2b33c,_0x338fdd,_0x103c3d,_0x4bcd89,_0x815f0c,_0xad38a,_0x28c77a,_0x35088c,_0x1eb05f,_0x2e455c,_0x3f3038,_0x24c9d2,_0x18c24f,_0x4cd48c,_0x38b2e4){const _0x4eba1b=_0x5537c6,_0x44ab18=this;_0x44ab18[_0x4eba1b(0x8a5)]=_0x4cd48c,_0x44ab18[_0x4eba1b(0x9ca)]=_0x38b2e4,_0x44ab18[_0x4eba1b(0xe76)]=_0x18c24f[_0x4eba1b(0x21e8)](),_0x44ab18[_0x4eba1b(0x1bec)]=_0x28c77a||{'count':0x0,'rows':[]},_0x44ab18['userProfile']=_0x35088c,_0x44ab18[_0x4eba1b(0x2199)]=_0x1eb05f&&_0x1eb05f['count']==0x1?_0x1eb05f[_0x4eba1b(0x2214)][0x0]:null,_0x44ab18[_0x4eba1b(0x1b1a)]=_0x18c24f[_0x4eba1b(0x14ea)](_0x44ab18[_0x4eba1b(0x2199)]?_0x44ab18[_0x4eba1b(0x2199)][_0x4eba1b(0x1b1a)]:null),_0x44ab18[_0x4eba1b(0xc83)]=_0x4eba1b(0x1bec),_0x44ab18['listOrder']='',_0x44ab18[_0x4eba1b(0x1cdf)]=null,_0x44ab18[_0x4eba1b(0x7ee)]=[],_0x44ab18[_0x4eba1b(0xae2)]={'fields':_0x4eba1b(0x1d68),'sort':_0x4eba1b(0x282),'MailAccountId':_0x4eba1b(0xd38),'FaxAccountId':_0x4eba1b(0xd38),'SmsAccountId':_0x4eba1b(0xd38),'OpenchannelAccountId':_0x4eba1b(0xd38),'ChatWebsiteId':_0x4eba1b(0xd38),'limit':0xa,'page':0x1},_0x44ab18[_0x4eba1b(0xc93)]=_0x29ee31,_0x44ab18[_0x4eba1b(0x27fe)]=_0x3ed02d,_0x44ab18[_0x4eba1b(0x829)]=_0x577108,_0x44ab18[_0x4eba1b(0x151d)]=_0x37a394,_0x44ab18['createOrEditCannedAnswer']=_0x2e7f81,_0x44ab18['deleteCannedAnswer']=_0x2b2b01,_0x44ab18[_0x4eba1b(0x1b21)]=_0x5d7022,_0x44ab18[_0x4eba1b(0xdc0)]=_0x4ac696,_0x44ab18[_0x4eba1b(0x1cb5)]=_0x3b2ed6,_0x44ab18[_0x4eba1b(0x2364)]=_0x506bbf;function _0x29ee31(_0x3c56ea,_0x4869a4){const _0x232b87=_0x4eba1b;_0x103c3d[_0x232b87(0xe27)]({'controller':_0x232b87(0x295a),'controllerAs':'vm','templateUrl':_0x35045f,'parent':angular[_0x232b87(0x1853)](_0x4bcd89[_0x232b87(0x1ed9)]),'targetEvent':_0x4869a4,'clickOutsideToClose':!![],'locals':{'cannedAnswer':_0x3c56ea,'cannedAnswers':_0x44ab18[_0x232b87(0x1bec)][_0x232b87(0x2214)],'license':_0x44ab18[_0x232b87(0x8a5)],'setting':null,'crudPermissions':_0x44ab18[_0x232b87(0x1b1a)]}});}function _0x3ed02d(_0xc3375,_0x1b7ed7){const _0x2a1241=_0x4eba1b,_0x502bf1=_0x103c3d[_0x2a1241(0x1551)]()[_0x2a1241(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20'+_0x39641b()[_0x2a1241(0xa75)](_0x2a1241(0x1c25))+'?')[_0x2a1241(0x49e)](''+(_0xc3375[_0x2a1241(0x16b6)]||_0x2a1241(0x1c25))+_0x2a1241(0x1200)+_0x2a1241(0x1b6))[_0x2a1241(0x15ad)](_0x2a1241(0x29ac))[_0x2a1241(0x728)](_0x1b7ed7)['ok']('OK')['cancel'](_0x2a1241(0x24ba));_0x103c3d[_0x2a1241(0xe27)](_0x502bf1)[_0x2a1241(0x1cb0)](function(){_0x2b2b01(_0xc3375);},function(){const _0x50dc2a=_0x2a1241;console[_0x50dc2a(0x1b4f)](_0x50dc2a(0x24ba));});}let _0x5e909a=!![],_0x4e77c6=0x1;_0x51503d[_0x4eba1b(0x614)](_0x4eba1b(0x957),function(_0x1256ad,_0x2434b3){const _0x2d624c=_0x4eba1b;_0x5e909a?_0x815f0c(function(){_0x5e909a=![];}):(!_0x2434b3&&(_0x4e77c6=_0x44ab18[_0x2d624c(0xae2)][_0x2d624c(0x1c7b)]),_0x1256ad!==_0x2434b3&&(_0x44ab18[_0x2d624c(0xae2)][_0x2d624c(0x1c7b)]=0x1),!_0x1256ad&&(_0x44ab18[_0x2d624c(0xae2)]['page']=_0x4e77c6),_0x44ab18[_0x2d624c(0x151d)]());});function _0x577108(_0x5f1e48){const _0x268145=_0x4eba1b;_0x44ab18[_0x268145(0x1bec)]=_0x5f1e48||{'count':0x0,'rows':[]};}function _0x37a394(){const _0x1bf5f8=_0x4eba1b;_0x44ab18[_0x1bf5f8(0xae2)][_0x1bf5f8(0x184b)]=(_0x44ab18[_0x1bf5f8(0xae2)][_0x1bf5f8(0x1c7b)]-0x1)*_0x44ab18[_0x1bf5f8(0xae2)][_0x1bf5f8(0x236)],_0x18c24f[_0x1bf5f8(0x22b6)](_0x1bf5f8(0x1c60))?_0x44ab18[_0x1bf5f8(0x2061)]=_0x2e455c[_0x1bf5f8(0x1c25)][_0x1bf5f8(0xbf7)](_0x44ab18[_0x1bf5f8(0xae2)],_0x577108)[_0x1bf5f8(0x1d77)]:(_0x44ab18[_0x1bf5f8(0xae2)]['id']=_0x44ab18[_0x1bf5f8(0x44a)]['id'],_0x44ab18[_0x1bf5f8(0xae2)][_0x1bf5f8(0x1f74)]=_0x1bf5f8(0x825),_0x44ab18['promise']=_0x2e455c[_0x1bf5f8(0x44a)][_0x1bf5f8(0x1810)](_0x44ab18[_0x1bf5f8(0xae2)],_0x577108)['$promise']);}function _0x2e7f81(_0x2ab738,_0x68561){const _0x8a1fac=_0x4eba1b;_0x103c3d['show']({'controller':_0x8a1fac(0x295a),'controllerAs':'vm','templateUrl':_0x35045f,'parent':angular[_0x8a1fac(0x1853)](_0x4bcd89[_0x8a1fac(0x1ed9)]),'targetEvent':_0x2ab738,'clickOutsideToClose':!![],'locals':{'cannedAnswer':_0x68561,'cannedAnswers':_0x44ab18[_0x8a1fac(0x1bec)]['rows'],'license':_0x44ab18[_0x8a1fac(0x8a5)],'setting':_0x44ab18[_0x8a1fac(0x9ca)],'crudPermissions':_0x44ab18[_0x8a1fac(0x1b1a)]}});}function _0x2b2b01(_0x451b47){const _0x33fb20=_0x4eba1b;_0x2e455c[_0x33fb20(0x1c25)][_0x33fb20(0x111d)]({'id':_0x451b47['id']})['$promise'][_0x33fb20(0x1cb0)](function(){const _0x9da64=_0x33fb20;_0x39641b()['remove'](_0x44ab18[_0x9da64(0x1bec)]['rows'],{'id':_0x451b47['id']}),_0x44ab18['cannedAnswers'][_0x9da64(0x184d)]-=0x1,!_0x44ab18[_0x9da64(0x1bec)]['rows'][_0x9da64(0xfd0)]&&_0x44ab18[_0x9da64(0x151d)](),_0x24c9d2[_0x9da64(0x829)]({'title':_0x39641b()[_0x9da64(0xa75)](_0x9da64(0x137a))+'\x20deleted!','msg':_0x451b47['name']?_0x451b47['name']+'\x20has\x20been\x20deleted!':''});})[_0x33fb20(0x1c4)](function(_0x3fe5aa){const _0xc92959=_0x33fb20;if(_0x3fe5aa[_0xc92959(0x25c)]&&_0x3fe5aa[_0xc92959(0x25c)][_0xc92959(0x1a7c)]&&_0x3fe5aa['data'][_0xc92959(0x1a7c)][_0xc92959(0xfd0)]){_0x44ab18[_0xc92959(0x1a7c)]=_0x3fe5aa[_0xc92959(0x25c)][_0xc92959(0x1a7c)]||[{'message':_0x3fe5aa[_0xc92959(0x147f)](),'type':_0xc92959(0x1efe)}];for(let _0xa2e72=0x0;_0xa2e72<_0x3fe5aa[_0xc92959(0x25c)][_0xc92959(0x1a7c)][_0xc92959(0xfd0)];_0xa2e72++){_0x24c9d2[_0xc92959(0x218e)]({'title':_0x3fe5aa[_0xc92959(0x25c)][_0xc92959(0x1a7c)][_0xa2e72][_0xc92959(0x66a)],'msg':_0x3fe5aa[_0xc92959(0x25c)][_0xc92959(0x1a7c)][_0xa2e72][_0xc92959(0x155e)]});}}else _0x24c9d2['error']({'title':_0x3fe5aa[_0xc92959(0x291)]?_0xc92959(0xeb9)+_0x3fe5aa[_0xc92959(0x291)]+_0xc92959(0x1657)+_0x3fe5aa['statusText']:'SYSTEM:DELETEcannedAnswer','msg':_0x3fe5aa['data']?JSON[_0xc92959(0x2701)](_0x3fe5aa['data']['message']):_0x3fe5aa[_0xc92959(0x155e)]||_0x3fe5aa[_0xc92959(0x147f)]()});});}function _0x5d7022(){const _0x156fb8=_0x4eba1b,_0x187af9=angular[_0x156fb8(0x17fe)](_0x44ab18['selectedCannedAnswers']);return _0x44ab18[_0x156fb8(0x7ee)]=[],_0x187af9;}function _0x4ac696(_0x362808){const _0x400d84=_0x4eba1b,_0x49d394=_0x103c3d[_0x400d84(0x1551)]()[_0x400d84(0x1386)](_0x400d84(0x1c0d))['htmlContent'](_0x400d84(0x204d)+_0x44ab18[_0x400d84(0x7ee)][_0x400d84(0xfd0)]+_0x400d84(0x1d6c)+'\x20will\x20be\x20deleted.')['ariaLabel'](_0x400d84(0xf42))[_0x400d84(0x728)](_0x362808)['ok']('OK')['cancel'](_0x400d84(0x24ba));_0x103c3d[_0x400d84(0xe27)](_0x49d394)[_0x400d84(0x1cb0)](function(){const _0x41e0f7=_0x400d84;_0x44ab18[_0x41e0f7(0x7ee)]['forEach'](function(_0x5c8153){_0x2b2b01(_0x5c8153);}),_0x44ab18['selectedCannedAnswers']=[];});}function _0x3b2ed6(){const _0x213a26=_0x4eba1b;_0x44ab18[_0x213a26(0x7ee)]=[];}function _0x506bbf(){const _0x5a6c2d=_0x4eba1b;_0x44ab18[_0x5a6c2d(0x7ee)]=_0x44ab18['cannedAnswers'][_0x5a6c2d(0x2214)];}}const _0x48bcbc=_0x276045;;_0x5b70e2[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x1bec),_0x5537c6(0x1c25),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x5b70e2(_0x4f058a,_0x28fc50,_0x29ec95,_0x57fb4a,_0x36c9c1,_0x436279,_0xef603a,_0x2496a4,_0x4aeb77,_0xd3b2f5,_0x59ab0a,_0x49d7b0,_0x4b18e1,_0x38a0e2){const _0x4ee25b=_0x5537c6,_0x3484cd=this;_0x3484cd[_0x4ee25b(0xe76)]=_0x59ab0a['getCurrentUser'](),_0x3484cd[_0x4ee25b(0x1a7c)]=[],_0x3484cd[_0x4ee25b(0x9ca)]=_0x4b18e1,_0x3484cd['license']=_0x49d7b0,_0x3484cd['crudPermissions']=_0x38a0e2,_0x3484cd['hasModulePermissions']={},_0x3484cd['passwordPattern']=_0x3484cd['setting']&&_0x3484cd['setting'][_0x4ee25b(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x3484cd[_0x4ee25b(0x1386)]=_0x4ee25b(0x74d),_0x3484cd[_0x4ee25b(0x1c25)]=angular[_0x4ee25b(0x17fe)](_0x4aeb77),_0x3484cd[_0x4ee25b(0x1bec)]=_0x2496a4,_0x3484cd[_0x4ee25b(0x2252)]=![];!_0x3484cd[_0x4ee25b(0x1c25)]&&(_0x3484cd[_0x4ee25b(0x1c25)]={},_0x3484cd[_0x4ee25b(0x1386)]=_0x4ee25b(0x1b2e),_0x3484cd[_0x4ee25b(0x2252)]=!![]);_0x3484cd[_0x4ee25b(0x22eb)]=_0x1b05d8,_0x3484cd[_0x4ee25b(0x1662)]=_0x3056ee,_0x3484cd[_0x4ee25b(0x1b09)]=_0x279672,_0x3484cd['getDateFromString']=_0x1fc866,_0x3484cd[_0x4ee25b(0xda0)]=_0x29140f;function _0x1b05d8(){const _0x50d841=_0x4ee25b;_0x3484cd[_0x50d841(0x1a7c)]=[],_0xd3b2f5[_0x50d841(0x1c25)][_0x50d841(0x1c3f)](_0x3484cd[_0x50d841(0x1c25)])['$promise']['then'](function(_0x5bc6bd){const _0x179f66=_0x50d841;_0x3484cd['cannedAnswers'][_0x179f66(0xf63)](_0x5bc6bd[_0x179f66(0x19b2)]()),_0xef603a[_0x179f66(0x829)]({'title':_0x179f66(0x2219),'msg':_0x3484cd[_0x179f66(0x1c25)][_0x179f66(0x16b6)]?_0x3484cd[_0x179f66(0x1c25)][_0x179f66(0x16b6)]+_0x179f66(0x470):''}),_0x29140f(_0x5bc6bd);})[_0x50d841(0x1c4)](function(_0x2022be){const _0x247fac=_0x50d841;if(_0x2022be['data']&&_0x2022be['data']['errors']&&_0x2022be[_0x247fac(0x25c)][_0x247fac(0x1a7c)][_0x247fac(0xfd0)]){_0x3484cd[_0x247fac(0x1a7c)]=_0x2022be['data'][_0x247fac(0x1a7c)]||[{'message':_0x2022be[_0x247fac(0x147f)](),'type':_0x247fac(0x224)}];for(let _0x5b3688=0x0;_0x5b3688<_0x2022be[_0x247fac(0x25c)]['errors'][_0x247fac(0xfd0)];_0x5b3688+=0x1){_0xef603a[_0x247fac(0x218e)]({'title':_0x2022be[_0x247fac(0x25c)][_0x247fac(0x1a7c)][_0x5b3688][_0x247fac(0x66a)],'msg':_0x2022be[_0x247fac(0x25c)][_0x247fac(0x1a7c)][_0x5b3688][_0x247fac(0x155e)]});}}else _0xef603a[_0x247fac(0x218e)]({'title':_0x2022be[_0x247fac(0x291)]?'API:'+_0x2022be[_0x247fac(0x291)]+'\x20-\x20'+_0x2022be['statusText']:_0x247fac(0x224),'msg':_0x2022be[_0x247fac(0x25c)]?JSON[_0x247fac(0x2701)](_0x2022be['data'][_0x247fac(0x155e)]):_0x2022be[_0x247fac(0x147f)]()});});}function _0x3056ee(){const _0x17924e=_0x4ee25b;_0x3484cd[_0x17924e(0x1a7c)]=[],_0xd3b2f5['cannedAnswer'][_0x17924e(0x687)]({'id':_0x3484cd[_0x17924e(0x1c25)]['id']},_0x3484cd['cannedAnswer'])[_0x17924e(0x1d77)][_0x17924e(0x1cb0)](function(_0xc22928){const _0xe7b84f=_0x17924e,_0x4c95cc=_0x39641b()[_0xe7b84f(0x13b4)](_0x3484cd[_0xe7b84f(0x1bec)],{'id':_0xc22928['id']});_0x4c95cc&&_0x39641b()[_0xe7b84f(0x9c1)](_0x4c95cc,_0x39641b()[_0xe7b84f(0x169b)](_0xc22928['toJSON'](),_0x39641b()[_0xe7b84f(0x1be5)](_0x4c95cc))),_0xef603a[_0xe7b84f(0x829)]({'title':_0xe7b84f(0x20fa),'msg':_0x3484cd[_0xe7b84f(0x1c25)][_0xe7b84f(0x16b6)]?_0x3484cd[_0xe7b84f(0x1c25)][_0xe7b84f(0x16b6)]+_0xe7b84f(0xedb):''}),_0x29140f(_0xc22928);})['catch'](function(_0x599470){const _0x1ec1d1=_0x17924e;if(_0x599470['data']&&_0x599470['data'][_0x1ec1d1(0x1a7c)]&&_0x599470['data'][_0x1ec1d1(0x1a7c)]['length']){_0x3484cd[_0x1ec1d1(0x1a7c)]=_0x599470[_0x1ec1d1(0x25c)][_0x1ec1d1(0x1a7c)]||[{'message':_0x599470[_0x1ec1d1(0x147f)](),'type':_0x1ec1d1(0x922)}];for(let _0x2b6d18=0x0;_0x2b6d18<_0x599470['data']['errors']['length'];_0x2b6d18++){_0xef603a[_0x1ec1d1(0x218e)]({'title':_0x599470[_0x1ec1d1(0x25c)][_0x1ec1d1(0x1a7c)][_0x2b6d18][_0x1ec1d1(0x66a)],'msg':_0x599470['data'][_0x1ec1d1(0x1a7c)][_0x2b6d18]['message']});}}else _0xef603a['error']({'title':_0x599470['status']?_0x1ec1d1(0xeb9)+_0x599470[_0x1ec1d1(0x291)]+_0x1ec1d1(0x1657)+_0x599470[_0x1ec1d1(0xc22)]:_0x1ec1d1(0x922),'msg':_0x599470[_0x1ec1d1(0x25c)]?JSON[_0x1ec1d1(0x2701)](_0x599470[_0x1ec1d1(0x25c)][_0x1ec1d1(0x155e)]):_0x599470[_0x1ec1d1(0x147f)]()});});}function _0x279672(_0x35ade7){const _0x14da84=_0x4ee25b;_0x3484cd['errors']=[];const _0x5da576=_0x57fb4a[_0x14da84(0x1551)]()['title'](_0x14da84(0x1a2e))[_0x14da84(0x862)](_0x14da84(0xa44))[_0x14da84(0x15ad)](_0x14da84(0x16ff))['ok'](_0x14da84(0x2594))[_0x14da84(0x696)](_0x14da84(0xde1))[_0x14da84(0x728)](_0x35ade7);_0x57fb4a['show'](_0x5da576)[_0x14da84(0x1cb0)](function(){const _0x5a52d2=_0x14da84;_0xd3b2f5[_0x5a52d2(0x1c25)]['delete']({'id':_0x3484cd[_0x5a52d2(0x1c25)]['id']})['$promise'][_0x5a52d2(0x1cb0)](function(){const _0x199d9b=_0x5a52d2;_0x39641b()[_0x199d9b(0x152a)](_0x3484cd[_0x199d9b(0x1bec)],{'id':_0x3484cd[_0x199d9b(0x1c25)]['id']}),_0xef603a['success']({'title':_0x199d9b(0x1d25),'msg':(_0x3484cd[_0x199d9b(0x1c25)][_0x199d9b(0x16b6)]||_0x199d9b(0x1c25))+_0x199d9b(0x3f5)}),_0x29140f(_0x3484cd[_0x199d9b(0x1c25)]);})[_0x5a52d2(0x1c4)](function(_0x66c5db){const _0x26f0a1=_0x5a52d2;if(_0x66c5db['data']&&_0x66c5db[_0x26f0a1(0x25c)][_0x26f0a1(0x1a7c)]&&_0x66c5db[_0x26f0a1(0x25c)][_0x26f0a1(0x1a7c)][_0x26f0a1(0xfd0)]){_0x3484cd['errors']=_0x66c5db[_0x26f0a1(0x25c)]['errors']||[{'message':_0x66c5db['toString'](),'type':'api.cannedAnswer.delete'}];for(let _0x5d63ca=0x0;_0x5d63ca<_0x66c5db[_0x26f0a1(0x25c)][_0x26f0a1(0x1a7c)][_0x26f0a1(0xfd0)];_0x5d63ca++){_0xef603a['error']({'title':_0x66c5db[_0x26f0a1(0x25c)][_0x26f0a1(0x1a7c)][_0x5d63ca]['type'],'msg':_0x66c5db[_0x26f0a1(0x25c)][_0x26f0a1(0x1a7c)][_0x5d63ca][_0x26f0a1(0x155e)]});}}else _0xef603a['error']({'title':_0x66c5db['status']?_0x26f0a1(0xeb9)+_0x66c5db[_0x26f0a1(0x291)]+_0x26f0a1(0x1657)+_0x66c5db['statusText']:'api.cannedAnswer.delete','msg':_0x66c5db[_0x26f0a1(0x25c)]?JSON['stringify'](_0x66c5db[_0x26f0a1(0x25c)][_0x26f0a1(0x155e)]):_0x66c5db['message']||_0x66c5db['toString']()});});},function(){});}function _0x1fc866(_0xc45b5b){return _0xc45b5b===null?undefined:new Date(_0xc45b5b);}function _0x29140f(_0x4b646f){const _0x3c2349=_0x4ee25b;_0x57fb4a[_0x3c2349(0x1426)](_0x4b646f);}}const _0x11338a=_0x5b70e2;;_0x17f9d3['$inject']=[_0x5537c6(0x1463),'$state','$location',_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),'toasty','customDashboards',_0x5537c6(0x2821),_0x5537c6(0x142b),'Auth',_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x17f9d3(_0x5ae334,_0x2d6205,_0x1f9393,_0xdbaed4,_0x223d15,_0xc8b0df,_0x343652,_0x4067c7,_0x176051,_0x23554e,_0xd129a1,_0xd7752f,_0x4928ca,_0x1e2a6b){const _0x2dc9f9=_0x5537c6,_0x52f88d=this;_0x52f88d[_0x2dc9f9(0xe76)]=_0xd129a1[_0x2dc9f9(0x21e8)](),_0x52f88d['errors']=[],_0x52f88d[_0x2dc9f9(0x9ca)]=_0x4928ca,_0x52f88d[_0x2dc9f9(0x8a5)]=_0xd7752f,_0x52f88d[_0x2dc9f9(0x1b1a)]=_0x1e2a6b,_0x52f88d[_0x2dc9f9(0xf4c)]={},_0x52f88d[_0x2dc9f9(0x1b0c)]=_0x52f88d[_0x2dc9f9(0x9ca)]&&_0x52f88d['setting'][_0x2dc9f9(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x52f88d[_0x2dc9f9(0x1386)]=_0x2dc9f9(0xe97),_0x52f88d['customDashboard']=angular[_0x2dc9f9(0x17fe)](_0x176051),_0x52f88d[_0x2dc9f9(0x461)]=_0x4067c7,_0x52f88d[_0x2dc9f9(0x2493)]=![];!_0x52f88d[_0x2dc9f9(0x2821)]&&(_0x52f88d['customDashboard']={},_0x52f88d['title']=_0x2dc9f9(0x2662),_0x52f88d[_0x2dc9f9(0x2493)]=!![]);_0x52f88d[_0x2dc9f9(0x5d2)]=_0x3777bc,_0x52f88d['saveCustomDashboard']=_0x259764,_0x52f88d['deleteCustomDashboard']=_0x30fb9e,_0x52f88d[_0x2dc9f9(0x2c4)]=_0x5aef25,_0x52f88d[_0x2dc9f9(0xda0)]=_0x4a671e;function _0x3777bc(){const _0x5bcc5d=_0x2dc9f9;_0x52f88d['errors']=[],_0x23554e['dashboard'][_0x5bcc5d(0x1c3f)](_0x52f88d[_0x5bcc5d(0x2821)])[_0x5bcc5d(0x1d77)][_0x5bcc5d(0x1cb0)](function(_0xa215cd){const _0x560093=_0x5bcc5d;_0x52f88d[_0x560093(0x461)][_0x560093(0xf63)](_0xa215cd[_0x560093(0x19b2)]()),_0x343652[_0x560093(0x829)]({'title':'CustomDashboard\x20properly\x20created','msg':_0x52f88d[_0x560093(0x2821)][_0x560093(0x16b6)]?_0x52f88d[_0x560093(0x2821)][_0x560093(0x16b6)]+_0x560093(0x470):''}),_0x4a671e(_0xa215cd);})['catch'](function(_0x36bbd0){const _0x2b748d=_0x5bcc5d;if(_0x36bbd0[_0x2b748d(0x25c)]&&_0x36bbd0[_0x2b748d(0x25c)][_0x2b748d(0x1a7c)]&&_0x36bbd0[_0x2b748d(0x25c)][_0x2b748d(0x1a7c)][_0x2b748d(0xfd0)]){_0x52f88d[_0x2b748d(0x1a7c)]=_0x36bbd0[_0x2b748d(0x25c)]['errors']||[{'message':_0x36bbd0['toString'](),'type':_0x2b748d(0x28d1)}];for(let _0xca3c56=0x0;_0xca3c56<_0x36bbd0['data'][_0x2b748d(0x1a7c)][_0x2b748d(0xfd0)];_0xca3c56+=0x1){_0x343652[_0x2b748d(0x218e)]({'title':_0x36bbd0['data'][_0x2b748d(0x1a7c)][_0xca3c56][_0x2b748d(0x66a)],'msg':_0x36bbd0[_0x2b748d(0x25c)][_0x2b748d(0x1a7c)][_0xca3c56][_0x2b748d(0x155e)]});}}else _0x343652['error']({'title':_0x36bbd0[_0x2b748d(0x291)]?_0x2b748d(0xeb9)+_0x36bbd0['status']+_0x2b748d(0x1657)+_0x36bbd0[_0x2b748d(0xc22)]:'api.dashboard.save','msg':_0x36bbd0[_0x2b748d(0x25c)]?JSON[_0x2b748d(0x2701)](_0x36bbd0[_0x2b748d(0x25c)]['message']):_0x36bbd0['toString']()});});}function _0x259764(){const _0x4e9c8c=_0x2dc9f9;_0x52f88d[_0x4e9c8c(0x1a7c)]=[],_0x23554e['dashboard'][_0x4e9c8c(0x687)]({'id':_0x52f88d[_0x4e9c8c(0x2821)]['id']},_0x52f88d[_0x4e9c8c(0x2821)])[_0x4e9c8c(0x1d77)][_0x4e9c8c(0x1cb0)](function(_0x185387){const _0x29d1cf=_0x4e9c8c,_0x214f38=_0x39641b()[_0x29d1cf(0x13b4)](_0x52f88d[_0x29d1cf(0x461)],{'id':_0x185387['id']});_0x214f38&&_0x39641b()[_0x29d1cf(0x9c1)](_0x214f38,_0x39641b()[_0x29d1cf(0x169b)](_0x185387[_0x29d1cf(0x19b2)](),_0x39641b()['keys'](_0x214f38))),_0x343652[_0x29d1cf(0x829)]({'title':'CustomDashboard\x20properly\x20saved!','msg':_0x52f88d[_0x29d1cf(0x2821)][_0x29d1cf(0x16b6)]?_0x52f88d[_0x29d1cf(0x2821)][_0x29d1cf(0x16b6)]+'\x20has\x20been\x20saved!':''}),_0x4a671e(_0x185387);})['catch'](function(_0x2cb7d2){const _0x1ffb5c=_0x4e9c8c;if(_0x2cb7d2[_0x1ffb5c(0x25c)]&&_0x2cb7d2[_0x1ffb5c(0x25c)][_0x1ffb5c(0x1a7c)]&&_0x2cb7d2[_0x1ffb5c(0x25c)]['errors'][_0x1ffb5c(0xfd0)]){_0x52f88d[_0x1ffb5c(0x1a7c)]=_0x2cb7d2[_0x1ffb5c(0x25c)][_0x1ffb5c(0x1a7c)]||[{'message':_0x2cb7d2[_0x1ffb5c(0x147f)](),'type':'api.dashboard.update'}];for(let _0x373699=0x0;_0x373699<_0x2cb7d2[_0x1ffb5c(0x25c)][_0x1ffb5c(0x1a7c)]['length'];_0x373699++){_0x343652[_0x1ffb5c(0x218e)]({'title':_0x2cb7d2['data'][_0x1ffb5c(0x1a7c)][_0x373699]['type'],'msg':_0x2cb7d2[_0x1ffb5c(0x25c)][_0x1ffb5c(0x1a7c)][_0x373699][_0x1ffb5c(0x155e)]});}}else _0x343652['error']({'title':_0x2cb7d2[_0x1ffb5c(0x291)]?'API:'+_0x2cb7d2[_0x1ffb5c(0x291)]+_0x1ffb5c(0x1657)+_0x2cb7d2[_0x1ffb5c(0xc22)]:_0x1ffb5c(0xdd4),'msg':_0x2cb7d2['data']?JSON[_0x1ffb5c(0x2701)](_0x2cb7d2['data'][_0x1ffb5c(0x155e)]):_0x2cb7d2['toString']()});});}function _0x30fb9e(_0xa133e1){const _0x27b626=_0x2dc9f9;_0x52f88d[_0x27b626(0x1a7c)]=[];const _0x339356=_0xdbaed4['confirm']()[_0x27b626(0x1386)](_0x27b626(0x1a2e))[_0x27b626(0x862)](_0x27b626(0x176e))[_0x27b626(0x15ad)](_0x27b626(0x289a))['ok'](_0x27b626(0x2594))['cancel'](_0x27b626(0xde1))['targetEvent'](_0xa133e1);_0xdbaed4[_0x27b626(0xe27)](_0x339356)[_0x27b626(0x1cb0)](function(){const _0x14cb50=_0x27b626;_0x23554e['dashboard'][_0x14cb50(0x111d)]({'id':_0x52f88d[_0x14cb50(0x2821)]['id']})['$promise']['then'](function(){const _0x334522=_0x14cb50;_0x39641b()[_0x334522(0x152a)](_0x52f88d[_0x334522(0x461)],{'id':_0x52f88d[_0x334522(0x2821)]['id']}),_0x343652[_0x334522(0x829)]({'title':_0x334522(0xb70),'msg':(_0x52f88d[_0x334522(0x2821)][_0x334522(0x16b6)]||_0x334522(0x2821))+'\x20has\x20been\x20deleted!'}),_0x4a671e(_0x52f88d[_0x334522(0x2821)]);})['catch'](function(_0x167c7d){const _0x3cd97d=_0x14cb50;if(_0x167c7d[_0x3cd97d(0x25c)]&&_0x167c7d[_0x3cd97d(0x25c)][_0x3cd97d(0x1a7c)]&&_0x167c7d[_0x3cd97d(0x25c)][_0x3cd97d(0x1a7c)][_0x3cd97d(0xfd0)]){_0x52f88d[_0x3cd97d(0x1a7c)]=_0x167c7d[_0x3cd97d(0x25c)][_0x3cd97d(0x1a7c)]||[{'message':_0x167c7d[_0x3cd97d(0x147f)](),'type':_0x3cd97d(0x1549)}];for(let _0xd5a9dc=0x0;_0xd5a9dc<_0x167c7d[_0x3cd97d(0x25c)]['errors'][_0x3cd97d(0xfd0)];_0xd5a9dc++){_0x343652['error']({'title':_0x167c7d[_0x3cd97d(0x25c)]['errors'][_0xd5a9dc][_0x3cd97d(0x66a)],'msg':_0x167c7d[_0x3cd97d(0x25c)][_0x3cd97d(0x1a7c)][_0xd5a9dc][_0x3cd97d(0x155e)]});}}else _0x343652[_0x3cd97d(0x218e)]({'title':_0x167c7d['status']?_0x3cd97d(0xeb9)+_0x167c7d['status']+_0x3cd97d(0x1657)+_0x167c7d['statusText']:_0x3cd97d(0x1549),'msg':_0x167c7d[_0x3cd97d(0x25c)]?JSON[_0x3cd97d(0x2701)](_0x167c7d['data'][_0x3cd97d(0x155e)]):_0x167c7d[_0x3cd97d(0x155e)]||_0x167c7d['toString']()});});},function(){});}function _0x5aef25(_0x55043c){return _0x55043c===null?undefined:new Date(_0x55043c);}function _0x4a671e(_0x5d15c4){const _0x3a1926=_0x2dc9f9;_0xdbaed4[_0x3a1926(0x1426)](_0x5d15c4);}}const _0x2ac9c0=_0x17f9d3;;const _0x104548=_0x5074a3['p']+_0x5537c6(0x1236);;_0x3f94fe[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$window',_0x5537c6(0x406),'$mdSidenav',_0x5537c6(0xcb9),'$document',_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0x461),'userProfile',_0x5537c6(0x2199),_0x5537c6(0x142b),_0x5537c6(0xde8),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x3f94fe(_0x4e5d05,_0x48669b,_0xed79b3,_0x616cc0,_0x53efbd,_0x486d41,_0x33869a,_0x33ff4a,_0x27cfd7,_0x4807c2,_0x571d68,_0x36b668,_0x1d2ddc,_0x40f051,_0xf41bf2,_0x1b378d,_0x25145d){const _0x5d831c=_0x5537c6,_0x46a389=this;_0x46a389[_0x5d831c(0x8a5)]=_0x1b378d,_0x46a389['setting']=_0x25145d,_0x46a389['currentUser']=_0xf41bf2['getCurrentUser'](),_0x46a389['customDashboards']=_0x27cfd7||{'count':0x0,'rows':[]},_0x46a389[_0x5d831c(0x44a)]=_0x4807c2,_0x46a389[_0x5d831c(0x2199)]=_0x571d68&&_0x571d68[_0x5d831c(0x184d)]==0x1?_0x571d68['rows'][0x0]:null,_0x46a389[_0x5d831c(0x1b1a)]=_0xf41bf2['parseCrudPermissions'](_0x46a389['userProfileSection']?_0x46a389[_0x5d831c(0x2199)][_0x5d831c(0x1b1a)]:null),_0x46a389[_0x5d831c(0xc83)]=_0x5d831c(0x461),_0x46a389['listOrder']='',_0x46a389[_0x5d831c(0x1cdf)]=null,_0x46a389[_0x5d831c(0x191)]=[],_0x46a389[_0x5d831c(0xae2)]={'fields':_0x5d831c(0x12e8),'sort':_0x5d831c(0x282),'limit':0xa,'page':0x1},_0x46a389[_0x5d831c(0x235d)]=_0x5b255f,_0x46a389['gotodashboardgoto']=_0x40e8d7,_0x46a389[_0x5d831c(0x27fe)]=_0x51662c,_0x46a389['success']=_0x55238b,_0x46a389[_0x5d831c(0x23c4)]=_0x547e35,_0x46a389[_0x5d831c(0x496)]=_0x169085,_0x46a389[_0x5d831c(0x671)]=_0x3b1509,_0x46a389[_0x5d831c(0x5d8)]=_0x1ea6ee,_0x46a389[_0x5d831c(0x18ee)]=_0x15dc2a,_0x46a389[_0x5d831c(0x29d3)]=_0x1827fc,_0x46a389[_0x5d831c(0xcb4)]=_0x1e210d;function _0x5b255f(_0x2514bc){const _0x41f827=_0x5d831c;_0xed79b3['go'](_0x41f827(0x1632),{'id':_0x2514bc['id'],'customDashboard':_0x2514bc,'crudPermissions':_0x46a389[_0x41f827(0x1b1a)]});}function _0x40e8d7(_0x1ceac1){const _0x4d07e1=_0x5d831c;_0xed79b3['go'](_0x4d07e1(0x7ae),{'id':_0x1ceac1['id']});}function _0x51662c(_0x55b3b8,_0x25d130){const _0x34dc2f=_0x5d831c,_0x83c033=_0x53efbd[_0x34dc2f(0x1551)]()[_0x34dc2f(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20'+_0x39641b()['startCase']('customDashboard')+'?')['htmlContent'](''+(_0x55b3b8['name']||_0x34dc2f(0x2821))+''+_0x34dc2f(0x1b6))['ariaLabel'](_0x34dc2f(0x3f0))['targetEvent'](_0x25d130)['ok']('OK')['cancel'](_0x34dc2f(0x24ba));_0x53efbd[_0x34dc2f(0xe27)](_0x83c033)[_0x34dc2f(0x1cb0)](function(){_0x3b1509(_0x55b3b8);},function(){const _0x342861=_0x34dc2f;console[_0x342861(0x1b4f)](_0x342861(0x24ba));});}let _0x55b2dd=!![],_0x204f51=0x1;_0x4e5d05[_0x5d831c(0x614)](_0x5d831c(0x957),function(_0x3d7f0b,_0x32ebb5){const _0x4a6496=_0x5d831c;_0x55b2dd?_0x33869a(function(){_0x55b2dd=![];}):(!_0x32ebb5&&(_0x204f51=_0x46a389[_0x4a6496(0xae2)][_0x4a6496(0x1c7b)]),_0x3d7f0b!==_0x32ebb5&&(_0x46a389[_0x4a6496(0xae2)][_0x4a6496(0x1c7b)]=0x1),!_0x3d7f0b&&(_0x46a389[_0x4a6496(0xae2)][_0x4a6496(0x1c7b)]=_0x204f51),_0x46a389['getCustomDashboards']());});function _0x55238b(_0x3fe294){const _0x5f5a1e=_0x5d831c;_0x46a389[_0x5f5a1e(0x461)]=_0x3fe294||{'count':0x0,'rows':[]};}function _0x547e35(){const _0x535b94=_0x5d831c;_0x46a389[_0x535b94(0xae2)][_0x535b94(0x184b)]=(_0x46a389[_0x535b94(0xae2)][_0x535b94(0x1c7b)]-0x1)*_0x46a389['query'][_0x535b94(0x236)],_0xf41bf2[_0x535b94(0x22b6)](_0x535b94(0x1c60))?_0x46a389[_0x535b94(0x2061)]=_0x36b668[_0x535b94(0x1312)]['get'](_0x46a389['query'],_0x55238b)[_0x535b94(0x1d77)]:(_0x46a389[_0x535b94(0xae2)]['id']=_0x46a389['userProfile']['id'],_0x46a389[_0x535b94(0xae2)][_0x535b94(0x1f74)]='CustomDashboards',_0x46a389[_0x535b94(0x2061)]=_0x36b668[_0x535b94(0x44a)][_0x535b94(0x1810)](_0x46a389[_0x535b94(0xae2)],_0x55238b)['$promise']);}function _0x169085(_0x580e0e,_0x57d459){const _0x76cec4=_0x5d831c;_0x53efbd[_0x76cec4(0xe27)]({'controller':_0x76cec4(0x2552),'controllerAs':'vm','templateUrl':_0x104548,'parent':angular[_0x76cec4(0x1853)](_0x486d41[_0x76cec4(0x1ed9)]),'targetEvent':_0x580e0e,'clickOutsideToClose':!![],'locals':{'customDashboard':_0x57d459,'customDashboards':_0x46a389[_0x76cec4(0x461)][_0x76cec4(0x2214)],'license':_0x46a389[_0x76cec4(0x8a5)],'setting':_0x46a389[_0x76cec4(0x9ca)],'crudPermissions':_0x46a389[_0x76cec4(0x1b1a)]}});}function _0x3b1509(_0x28c753){const _0x192766=_0x5d831c;_0x36b668[_0x192766(0x1312)][_0x192766(0x111d)]({'id':_0x28c753['id']})['$promise'][_0x192766(0x1cb0)](function(){const _0x5c0145=_0x192766;_0x39641b()[_0x5c0145(0x152a)](_0x46a389[_0x5c0145(0x461)][_0x5c0145(0x2214)],{'id':_0x28c753['id']}),_0x46a389[_0x5c0145(0x461)][_0x5c0145(0x184d)]-=0x1,!_0x46a389[_0x5c0145(0x461)][_0x5c0145(0x2214)][_0x5c0145(0xfd0)]&&_0x46a389['getCustomDashboards'](),_0x40f051[_0x5c0145(0x829)]({'title':_0x39641b()[_0x5c0145(0xa75)]('CustomDashboard')+'\x20deleted!','msg':_0x28c753[_0x5c0145(0x16b6)]?_0x28c753[_0x5c0145(0x16b6)]+_0x5c0145(0x3f5):''});})['catch'](function(_0x37831b){const _0x35cac1=_0x192766;if(_0x37831b[_0x35cac1(0x25c)]&&_0x37831b[_0x35cac1(0x25c)][_0x35cac1(0x1a7c)]&&_0x37831b[_0x35cac1(0x25c)]['errors'][_0x35cac1(0xfd0)]){_0x46a389[_0x35cac1(0x1a7c)]=_0x37831b[_0x35cac1(0x25c)][_0x35cac1(0x1a7c)]||[{'message':_0x37831b[_0x35cac1(0x147f)](),'type':_0x35cac1(0x240e)}];for(let _0x35f87e=0x0;_0x35f87e<_0x37831b[_0x35cac1(0x25c)][_0x35cac1(0x1a7c)][_0x35cac1(0xfd0)];_0x35f87e++){_0x40f051[_0x35cac1(0x218e)]({'title':_0x37831b[_0x35cac1(0x25c)][_0x35cac1(0x1a7c)][_0x35f87e][_0x35cac1(0x66a)],'msg':_0x37831b[_0x35cac1(0x25c)]['errors'][_0x35f87e]['message']});}}else _0x40f051['error']({'title':_0x37831b[_0x35cac1(0x291)]?'API:'+_0x37831b[_0x35cac1(0x291)]+'\x20-\x20'+_0x37831b[_0x35cac1(0xc22)]:_0x35cac1(0x240e),'msg':_0x37831b[_0x35cac1(0x25c)]?JSON[_0x35cac1(0x2701)](_0x37831b[_0x35cac1(0x25c)]['message']):_0x37831b[_0x35cac1(0x155e)]||_0x37831b[_0x35cac1(0x147f)]()});});}function _0x1ea6ee(){const _0x243f19=_0x5d831c,_0x22a842=angular['copy'](_0x46a389[_0x243f19(0x191)]);return _0x46a389[_0x243f19(0x191)]=[],_0x22a842;}function _0x15dc2a(_0x4e0c0d){const _0x3dbcd9=_0x5d831c,_0x21bf04=_0x53efbd['confirm']()[_0x3dbcd9(0x1386)](_0x3dbcd9(0x1b35))[_0x3dbcd9(0x49e)](''+_0x46a389[_0x3dbcd9(0x191)][_0x3dbcd9(0xfd0)]+_0x3dbcd9(0x1d6c)+_0x3dbcd9(0x1b6))['ariaLabel']('delete\x20CustomDashboards')[_0x3dbcd9(0x728)](_0x4e0c0d)['ok']('OK')['cancel'](_0x3dbcd9(0x24ba));_0x53efbd[_0x3dbcd9(0xe27)](_0x21bf04)[_0x3dbcd9(0x1cb0)](function(){const _0x571367=_0x3dbcd9;_0x46a389[_0x571367(0x191)][_0x571367(0xf90)](function(_0x48641d){_0x3b1509(_0x48641d);}),_0x46a389['selectedCustomDashboards']=[];});}function _0x1827fc(){const _0xadef71=_0x5d831c;_0x46a389[_0xadef71(0x191)]=[];}function _0x1e210d(){const _0x1a24eb=_0x5d831c;_0x46a389['selectedCustomDashboards']=_0x46a389['customDashboards'][_0x1a24eb(0x2214)];}}const _0x1c139f=_0x3f94fe;;_0x171abe[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),_0x5537c6(0x406),_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x2821),_0x5537c6(0x461),'$translate'];function _0x171abe(_0xd80602,_0x4e9494,_0x8a6b08,_0x4a34b3,_0x170e5a,_0x45fb91,_0x5ed658){const _0x5e34c2=_0x5537c6,_0x4fce76=this;_0x4fce76['newCustomDashboard']=!![],_0x4fce76[_0x5e34c2(0x2821)]=angular['copy'](_0x170e5a),_0x4fce76[_0x5e34c2(0x461)]=_0x45fb91,_0x4fce76['title']=_0x5ed658[_0x5e34c2(0x25cc)](_0x5e34c2(0x96d));_0x170e5a&&_0x170e5a[_0x5e34c2(0x16b6)]&&(_0x4fce76['title']+=':\x20'+_0x170e5a[_0x5e34c2(0x16b6)]);_0x4fce76['cloneCustomDashboard']=_0x4dc274,_0x4fce76['closeDialog']=_0xa0aacc;function _0x4dc274(){const _0x243661=_0x5e34c2;return _0x4a34b3['dashboard'][_0x243661(0x11bc)]({'id':_0x170e5a['id'],'includeAll':!![]},_0x4fce76[_0x243661(0x2821)])[_0x243661(0x1d77)][_0x243661(0x1cb0)](function(_0x2b5a08){const _0x53272b=_0x243661;_0x4fce76[_0x53272b(0x461)][_0x53272b(0xf63)](_0x2b5a08[_0x53272b(0x19b2)]()),_0x8a6b08[_0x53272b(0x829)]({'title':_0x53272b(0x13fd),'msg':_0x4fce76[_0x53272b(0x2821)][_0x53272b(0x16b6)]?_0x4fce76[_0x53272b(0x2821)][_0x53272b(0x16b6)]+_0x53272b(0x2204):''}),_0x4e9494['go'](_0x53272b(0x1632),{'id':_0x2b5a08['id']}),_0xa0aacc(_0x2b5a08);})[_0x243661(0x1c4)](function(_0x349469){const _0x252f4f=_0x243661;if(_0x349469['data']&&_0x349469[_0x252f4f(0x25c)][_0x252f4f(0x1a7c)]&&_0x349469[_0x252f4f(0x25c)][_0x252f4f(0x1a7c)][_0x252f4f(0xfd0)]){_0x4fce76['errors']=_0x349469['data'][_0x252f4f(0x1a7c)]||[{'message':_0x349469[_0x252f4f(0x147f)](),'type':'api.dashboard.clone'}];for(let _0x4b54b8=0x0;_0x4b54b8<_0x349469[_0x252f4f(0x25c)][_0x252f4f(0x1a7c)]['length'];_0x4b54b8+=0x1){_0x8a6b08[_0x252f4f(0x218e)]({'title':_0x349469['data'][_0x252f4f(0x1a7c)][_0x4b54b8][_0x252f4f(0x66a)],'msg':_0x349469['data']['errors'][_0x4b54b8][_0x252f4f(0x155e)]});}}else _0x8a6b08[_0x252f4f(0x218e)]({'title':_0x349469['status']?_0x252f4f(0xeb9)+_0x349469[_0x252f4f(0x291)]+_0x252f4f(0x1657)+_0x349469['statusText']:'api.dashboard.clone','msg':_0x349469[_0x252f4f(0x25c)]?JSON[_0x252f4f(0x2701)](_0x349469['data'][_0x252f4f(0x155e)]):_0x349469['toString']()});});}function _0xa0aacc(_0x33fcb3){_0xd80602['hide'](_0x33fcb3);}}const _0x378821=_0x171abe;;const _0x1033a9=_0x5074a3['p']+_0x5537c6(0x15be);;_0x4aec65[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x1986),'toasty',_0x5537c6(0x142b)];function _0x4aec65(_0x4d36c8,_0x17a0d1,_0x2eaac2,_0x1121a8,_0xa3d218){const _0x2c26ca=_0x5537c6,_0x490442=this;_0x490442['customDashboard']={},_0x490442[_0x2c26ca(0x278a)]=_0xa3d218[_0x2c26ca(0x1a00)]['getVoiceQueues']({'fields':'id,name'}),_0x490442[_0x2c26ca(0x1a8e)]=_0x550244,_0x490442[_0x2c26ca(0x3c1)]=_0x1abc41,_0x490442['deleteWidget']=_0x1abc81;function _0x550244(_0x4006af,_0x479569){const _0x99c049=_0x2c26ca;return _0x490442[_0x99c049(0x1b1a)]=typeof _0x479569!==_0x99c049(0x16b5)?_0x479569:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x490442[_0x99c049(0x2821)]=_0x4006af,_0x490442['customDashboard'][_0x99c049(0x2599)]={'enabled':!![],'handle':_0x99c049(0xbf0),'stop':_0x4de053},_0x490442[_0x99c049(0x2821)][_0x99c049(0x486)]={'enabled':!![],'handle':_0x99c049(0x2526),'stop':_0x4de053},_0x490442['customDashboard'][_0x99c049(0x23d2)]=[],_0xa3d218[_0x99c049(0x1312)][_0x99c049(0x1c15)]({'id':_0x4006af['id']})[_0x99c049(0x1d77)]['then'](function(_0xb7cbfa){const _0x2db1ba=_0x99c049;if(_0xb7cbfa&&_0xb7cbfa[_0x2db1ba(0x184d)]&&_0xb7cbfa[_0x2db1ba(0x2214)])for(let _0x3098dd=0x0;_0x3098dd<_0xb7cbfa['rows'][_0x2db1ba(0xfd0)];_0x3098dd+=0x1){_0xb7cbfa['rows'][_0x3098dd][_0x2db1ba(0x278a)]=_0x490442[_0x2db1ba(0x278a)],_0xb7cbfa[_0x2db1ba(0x2214)][_0x3098dd][_0x2db1ba(0x246a)]=_0x39641b()['map'](_0x490442[_0x2db1ba(0x278a)][_0x2db1ba(0x2214)],'id'),_0xb7cbfa[_0x2db1ba(0x2214)][_0x3098dd]['templateUrl']='app/core/directives/ms-widget-engine/ms-widget-engine-'+_0xb7cbfa[_0x2db1ba(0x2214)][_0x3098dd]['type']+_0x2db1ba(0x1d66)+_0xb7cbfa[_0x2db1ba(0x2214)][_0x3098dd][_0x2db1ba(0x66a)]+_0x2db1ba(0x109f),_0x490442[_0x2db1ba(0x2821)][_0x2db1ba(0x23d2)]['push'](_0xb7cbfa[_0x2db1ba(0x2214)][_0x3098dd]);}})[_0x99c049(0x1c4)](function(_0x580549){const _0x972e01=_0x99c049;console[_0x972e01(0x218e)](_0x580549);});}function _0x1abc41(_0x39cfc7){const _0x377125=_0x2c26ca;if(_0x39cfc7&&_0x39cfc7[_0x377125(0x13f4)])for(let _0x53f816=0x0;_0x53f816<_0x39cfc7[_0x377125(0x13f4)][_0x377125(0xfd0)];_0x53f816+=0x1){_0x39cfc7[_0x377125(0x13f4)][_0x53f816][_0x377125(0x16b6)]===_0x377125(0xf4a)&&(_0x39cfc7[_0x377125(0x1ffd)]=_0x39cfc7[_0x377125(0x13f4)][_0x53f816][_0x377125(0x66a)]),_0x39cfc7[_0x39cfc7['attrs'][_0x53f816][_0x377125(0x16b6)]]=_0x39cfc7[_0x377125(0x13f4)][_0x53f816][_0x377125(0x327)];}_0x4d36c8['show']({'controller':_0x377125(0x805),'controllerAs':'vm','templateUrl':_0x1033a9,'parent':angular['element'](_0x17a0d1['body']),'clickOutsideToClose':!![],'locals':{'dashboarditem':_0x39cfc7,'dashboard':_0x490442['customDashboard'][_0x377125(0x23d2)],'license':null,'setting':null,'crudPermissions':_0x490442[_0x377125(0x1b1a)]}})[_0x377125(0x1cb0)](function(_0xc91f67){const _0xc2557e=_0x377125;if(_0xc91f67)for(let _0x472c22=0x0;_0x472c22<_0x490442['customDashboard'][_0xc2557e(0x23d2)][_0xc2557e(0xfd0)];_0x472c22+=0x1){_0x490442['customDashboard']['widgets'][_0x472c22]['id']===_0xc91f67['id']&&(_0x490442['customDashboard']['widgets'][_0x472c22][_0xc2557e(0x13f4)]=_0xc91f67[_0xc2557e(0x13f4)],_0x490442[_0xc2557e(0x2821)]['widgets'][_0x472c22][_0xc2557e(0x278a)]=_0x490442[_0xc2557e(0x278a)],_0x490442[_0xc2557e(0x2821)][_0xc2557e(0x23d2)][_0x472c22][_0xc2557e(0x246a)]=_0x39641b()[_0xc2557e(0x1de2)](_0x490442[_0xc2557e(0x278a)][_0xc2557e(0x2214)],'id'),_0x490442[_0xc2557e(0x2821)][_0xc2557e(0x23d2)][_0x472c22][_0xc2557e(0x1da6)]=_0xc2557e(0x2862)+_0x490442['customDashboard'][_0xc2557e(0x23d2)][_0x472c22]['type']+_0xc2557e(0x1d66)+_0x490442[_0xc2557e(0x2821)][_0xc2557e(0x23d2)][_0x472c22][_0xc2557e(0x66a)]+_0xc2557e(0x109f));}});}function _0x1abc81(_0x55d395){const _0x5939c7=_0x2c26ca,_0x2a0c7b=_0x4d36c8[_0x5939c7(0x1551)]()[_0x5939c7(0x1386)](_0x5939c7(0x11d4)+_0x55d395['title']+'?')[_0x5939c7(0x1152)]('')[_0x5939c7(0x15ad)]('Delete\x20Widget')['ok'](_0x5939c7(0x2439))[_0x5939c7(0x696)]('NO');_0x4d36c8[_0x5939c7(0xe27)](_0x2a0c7b)['then'](function(){const _0xd7161f=_0x5939c7;return _0xa3d218['dashboardItem'][_0xd7161f(0x111d)]({'id':_0x55d395['id']})[_0xd7161f(0x1d77)][_0xd7161f(0x1cb0)](function(){const _0x58c8b3=_0xd7161f;_0x39641b()['remove'](_0x490442[_0x58c8b3(0x2821)][_0x58c8b3(0x23d2)],{'id':_0x55d395['id']}),_0x1121a8['success']({'title':_0x58c8b3(0x2630),'msg':_0x55d395['name']?_0x55d395[_0x58c8b3(0x16b6)]+'\x20has\x20been\x20deleted!':'Widget\x20has\x20been\x20deleted!'});})[_0xd7161f(0x1c4)](function(_0x461936){const _0x3a7af5=_0xd7161f;if(_0x461936[_0x3a7af5(0x25c)]&&_0x461936[_0x3a7af5(0x25c)][_0x3a7af5(0x1a7c)]&&_0x461936['data'][_0x3a7af5(0x1a7c)][_0x3a7af5(0xfd0)]){_0x490442['errors']=_0x461936[_0x3a7af5(0x25c)][_0x3a7af5(0x1a7c)]||[{'message':_0x461936[_0x3a7af5(0x147f)](),'type':_0x3a7af5(0x2459)}];for(let _0xe9303e=0x0;_0xe9303e<_0x461936[_0x3a7af5(0x25c)][_0x3a7af5(0x1a7c)]['length'];_0xe9303e++){_0x1121a8['error']({'title':_0x461936[_0x3a7af5(0x25c)][_0x3a7af5(0x1a7c)][_0xe9303e][_0x3a7af5(0x66a)],'msg':_0x461936[_0x3a7af5(0x25c)]['errors'][_0xe9303e][_0x3a7af5(0x155e)]});}}else _0x1121a8[_0x3a7af5(0x218e)]({'title':_0x461936['status']?_0x3a7af5(0xeb9)+_0x461936[_0x3a7af5(0x291)]+_0x3a7af5(0x1657)+_0x461936[_0x3a7af5(0xc22)]:'SYSTEM:DELETEdashboard','msg':_0x461936[_0x3a7af5(0x25c)]?JSON[_0x3a7af5(0x2701)](_0x461936[_0x3a7af5(0x25c)][_0x3a7af5(0x155e)]):_0x461936[_0x3a7af5(0x155e)]||_0x461936[_0x3a7af5(0x147f)]()});console['error'](_0x461936);});});}function _0x4de053(_0x4328d5,_0x3b667b,_0x5031c6){const _0x3c6bf5=_0x2c26ca;return _0xa3d218[_0x3c6bf5(0x220)][_0x3c6bf5(0x687)](_0x5031c6)[_0x3c6bf5(0x1d77)][_0x3c6bf5(0x1c4)](function(_0x48eab8){const _0x54640e=_0x3c6bf5;console[_0x54640e(0x218e)](_0x48eab8);});}}const _0x4fea17=_0x4aec65;;const _0x3eaf57=_0x5074a3['p']+_0x5537c6(0x13f6);;_0x5bcc93['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),'dashboard',_0x5537c6(0xfc4),'api','Auth',_0x5537c6(0x8a5),'setting','crudPermissions'];function _0x5bcc93(_0x599c82,_0xf240ec,_0x3d4e1f,_0x30d890,_0x574c02,_0xee922c,_0x2b6ca8,_0x1878cb,_0x3d24c6,_0x4dfc45,_0x29b17e,_0x4b5b47,_0x1d2e9e,_0x59116b){const _0x4b6f75=_0x5537c6,_0xa60500=this;_0xa60500[_0x4b6f75(0xe76)]=_0x29b17e['getCurrentUser'](),_0xa60500[_0x4b6f75(0x1a7c)]=[],_0xa60500[_0x4b6f75(0x9ca)]=_0x1d2e9e,_0xa60500[_0x4b6f75(0x8a5)]=_0x4b5b47,_0xa60500[_0x4b6f75(0x1b1a)]=_0x59116b,_0xa60500[_0x4b6f75(0xf4c)]={},_0xa60500[_0x4b6f75(0x1b0c)]=_0xa60500[_0x4b6f75(0x9ca)]&&_0xa60500['setting'][_0x4b6f75(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0xa60500[_0x4b6f75(0x1386)]=_0x4b6f75(0xaa2),_0xa60500[_0x4b6f75(0xfc4)]=angular[_0x4b6f75(0x17fe)](_0x3d24c6),_0xa60500[_0x4b6f75(0x1312)]=_0x1878cb,_0xa60500['newDashboardItem']=![],_0xa60500[_0x4b6f75(0x11b6)]=[],_0xa60500['query']={'fields':_0x4b6f75(0x2308),'limit':0xa,'page':0x1,'sort':_0x4b6f75(0x282)};!_0xa60500[_0x4b6f75(0xfc4)]?(_0xa60500[_0x4b6f75(0xfc4)]={'type':_0x4b6f75(0x5d7),'attrUrl':_0x4b6f75(0x2993),'attrHourFormat':_0x4b6f75(0xec1),'attrTimezone':'0.00','attrMetric':_0x4b6f75(0x181c),'attrSerie1':'total','attrSerie2':_0x4b6f75(0xd38),'attrSerie3':_0x4b6f75(0xd38),'attrRefresh':0xa,'attrFontSize':0x14,'foreground':_0x4b6f75(0x20fc),'background':'#ffffff'},_0xa60500[_0x4b6f75(0x1386)]=_0x4b6f75(0xf26),_0xa60500[_0x4b6f75(0x2846)]=!![]):_0xa60500[_0x4b6f75(0xfc4)][_0x4b6f75(0x66a)]==_0x4b6f75(0x1ab1)&&(_0xa60500['apiName']=_0xa60500[_0x4b6f75(0xfc4)][_0x4b6f75(0x1ffd)]===_0x4b6f75(0x197c)?_0x4b6f75(0x1e32):_0x4b6f75(0x1f0c),_0x4dfc45[_0xa60500[_0x4b6f75(0x217d)]][_0x4b6f75(0xbf7)]({'fields':_0x4b6f75(0x1cfc)})['$promise'][_0x4b6f75(0x1cb0)](function(_0x225a8d){const _0x14a8e6=_0x4b6f75;_0xa60500['currentReport']=_0x39641b()[_0x14a8e6(0x13b4)](_0x225a8d['rows'],{'id':_0xa60500[_0x14a8e6(0xfc4)][_0x14a8e6(0xf4a)]}),_0xa60500[_0x14a8e6(0xfc4)][_0x14a8e6(0x16bf)]=_0xa60500['currentReport'][_0x14a8e6(0x16b6)],_0xa60500[_0x14a8e6(0xae2)][_0x14a8e6(0xbfb)]=_0xa60500['currentReport'][_0x14a8e6(0xbfb)];}));_0xa60500[_0x4b6f75(0x1839)]=_0x2a57bd,_0xa60500['saveDashboardItem']=_0x3e3a3b,_0xa60500['deleteDashboardItem']=_0x2ad70d,_0xa60500[_0x4b6f75(0x2c4)]=_0xd186c4,_0xa60500[_0x4b6f75(0x903)]=_0x4caeca,_0xa60500[_0x4b6f75(0x109e)]=_0x2e5a62,_0xa60500['closeReportDialog']=_0x448464,_0xa60500['searchReport']=_0x4026be,_0xa60500['closeDialog']=_0x37e669,_0x4dfc45['analyticTreeReport'][_0x4b6f75(0xbf7)]({})['$promise']['then'](function(_0x25dd9d){const _0x594c0d=_0x4b6f75;_0xa60500[_0x594c0d(0x1871)]=_0x25dd9d[_0x594c0d(0x2214)]||[];})[_0x4b6f75(0x1c4)](function(_0x96e8f2){const _0x4d6225=_0x4b6f75;_0x2b6ca8[_0x4d6225(0x218e)]({'title':_0x96e8f2[_0x4d6225(0x291)]?_0x4d6225(0xeb9)+_0x96e8f2[_0x4d6225(0x291)]+'\x20-\x20'+_0x96e8f2[_0x4d6225(0xc22)]:_0x4d6225(0x269e),'msg':_0x96e8f2['data']?JSON['stringify'](_0x96e8f2['data']):_0x96e8f2[_0x4d6225(0x147f)]()});});const _0x4d80a1=[{'id':0x191,'type':_0x4b6f75(0x26c0)},{'id':0x5dd,'type':_0x4b6f75(0x895)}];let _0x37e152=null;_0x29b17e[_0x4b6f75(0x22b6)](_0x4b6f75(0x1c60))?_0x4dfc45[_0x4b6f75(0x26b3)][_0x4b6f75(0xbf7)]({'fields':_0x4b6f75(0x1896),'sort':_0x4b6f75(0x16b6),'nolimit':_0x4b6f75(0x44d)})[_0x4b6f75(0x1d77)][_0x4b6f75(0x1cb0)](function(_0x545889){const _0x2e9278=_0x4b6f75;_0xa60500[_0x2e9278(0x278a)]=_0x545889['rows']||[];})[_0x4b6f75(0x1c4)](function(_0x57ce95){const _0x3ef639=_0x4b6f75;_0x2b6ca8[_0x3ef639(0x218e)]({'title':_0x57ce95[_0x3ef639(0x291)]?'API:'+_0x57ce95['status']+_0x3ef639(0x1657)+_0x57ce95[_0x3ef639(0xc22)]:_0x3ef639(0x25f2),'msg':_0x57ce95[_0x3ef639(0x25c)]?JSON[_0x3ef639(0x2701)](_0x57ce95['data']):_0x57ce95['toString']()});}):_0x4dfc45[_0x4b6f75(0x26b3)]['get']({'fields':'id,name,type','sort':_0x4b6f75(0x16b6),'nolimit':'true'})[_0x4b6f75(0x1d77)]['then'](function(_0x264659){const _0x3373e4=_0x4b6f75;_0x37e152=_0x264659[_0x3373e4(0x2214)]||[];})[_0x4b6f75(0x1cb0)](function(){const _0x1a19fa=_0x4b6f75,_0x50a2a2=[];for(let _0x256825=0x0;_0x256825<_0x4d80a1[_0x1a19fa(0xfd0)];_0x256825++){_0x50a2a2['push'](_0x4dfc45['userProfileSection'][_0x1a19fa(0xbf7)]({'userProfileId':_0xa60500[_0x1a19fa(0xe76)][_0x1a19fa(0x13c1)],'sectionId':_0x4d80a1[_0x256825]['id']})[_0x1a19fa(0x1d77)]);}return _0x574c02[_0x1a19fa(0x223b)](_0x50a2a2);})['then'](function(_0x23e663){const _0x29aec7=_0x4b6f75,_0x263b39=_0x39641b()[_0x29aec7(0x1de2)](_0x23e663,function(_0x5f0161){const _0x351397=_0x29aec7;return _0x5f0161&&_0x5f0161[_0x351397(0x2214)]?_0x5f0161['rows'][0x0]:null;}),_0x32b041=[];for(let _0x406171=0x0;_0x406171<_0x4d80a1[_0x29aec7(0xfd0)];_0x406171++){const _0x2ac8c9=_0x39641b()[_0x29aec7(0x13b4)](_0x263b39,[_0x29aec7(0xec6),_0x4d80a1[_0x406171]['id']]);if(_0x2ac8c9){if(!_0x2ac8c9[_0x29aec7(0x12f4)])_0x32b041[_0x29aec7(0x2785)](_0x4dfc45[_0x29aec7(0x1198)]['get']({'sectionId':_0x2ac8c9['id']})['$promise']);else{const _0x4d20fc=[];_0x37e152[_0x29aec7(0xf90)](function(_0x2c388d){const _0x30405c=_0x29aec7;_0x2c388d[_0x30405c(0x66a)]===_0x4d80a1[_0x406171]['type']&&_0x4d20fc['push'](_0x2c388d);}),_0x32b041[_0x29aec7(0x2785)]({'rows':_0x4d20fc,'count':_0x4d20fc[_0x29aec7(0xfd0)]});}}}return _0x574c02[_0x29aec7(0x223b)](_0x32b041);})['then'](function(_0x2ef8f3){const _0x448b96=_0x4b6f75,_0x1b36e5=[];let _0x134839=null;_0xa60500[_0x448b96(0xfc4)]&&(_0x134839=_0x39641b()[_0x448b96(0x13b4)](_0x37e152,{'id':Number(_0xa60500[_0x448b96(0xfc4)]['attrVoiceQueues'])}));for(let _0x519fad=0x0;_0x519fad<_0x2ef8f3[_0x448b96(0xfd0)];_0x519fad++){const _0x1e4fe7=_0x2ef8f3[_0x519fad][_0x448b96(0x2214)]||[];_0x1e4fe7['forEach'](function(_0x5cb621){const _0x50412b=_0x448b96;_0x1b36e5[_0x50412b(0x2785)](_0x5cb621);});}_0x134839&&!_0x39641b()[_0x448b96(0x727)](_0x1b36e5,[_0x448b96(0x2982),_0x134839['id']])&&_0x37e152['forEach'](function(_0x4e8ba0){const _0x13d161=_0x448b96;_0x4e8ba0['id']===_0x134839['id']&&(_0x4e8ba0[_0x13d161(0x15da)]=![],_0x1b36e5[_0x13d161(0x2785)](_0x4e8ba0));}),_0xa60500[_0x448b96(0x278a)]=_0x1b36e5;})['catch'](function(_0x128ddd){const _0xb27118=_0x4b6f75;_0x2b6ca8[_0xb27118(0x218e)]({'title':_0x128ddd[_0xb27118(0x291)]?_0xb27118(0xeb9)+_0x128ddd[_0xb27118(0x291)]+_0xb27118(0x1657)+_0x128ddd[_0xb27118(0xc22)]:_0xb27118(0x2394),'msg':_0x128ddd[_0xb27118(0x25c)]?JSON[_0xb27118(0x2701)](_0x128ddd['data']):_0x128ddd['toString']()});});function _0x2a57bd(){const _0x501243=_0x4b6f75;_0xa60500['errors']=[],_0x4dfc45['dashboard'][_0x501243(0x25ad)]({'id':_0xf240ec[_0x501243(0x1dfe)]['id']},_0xa60500[_0x501243(0xfc4)])[_0x501243(0x1d77)][_0x501243(0x1cb0)](function(_0x3e4fb9){const _0x3d738e=_0x501243;_0xa60500['dashboard'][_0x3d738e(0xf63)](_0x3e4fb9['toJSON']()),_0x2b6ca8['success']({'title':_0x3d738e(0xdc4),'msg':_0xa60500[_0x3d738e(0xfc4)][_0x3d738e(0x16b6)]?_0xa60500[_0x3d738e(0xfc4)][_0x3d738e(0x16b6)]+_0x3d738e(0x470):''}),_0x37e669(_0x3e4fb9);})['catch'](function(_0x1abf3d){const _0x53a887=_0x501243;if(_0x1abf3d['data']&&_0x1abf3d[_0x53a887(0x25c)][_0x53a887(0x1a7c)]&&_0x1abf3d[_0x53a887(0x25c)][_0x53a887(0x1a7c)]['length']){_0xa60500[_0x53a887(0x1a7c)]=_0x1abf3d[_0x53a887(0x25c)][_0x53a887(0x1a7c)]||[{'message':_0x1abf3d[_0x53a887(0x147f)](),'type':'api.dashboardItem.save'}];for(let _0x3595c9=0x0;_0x3595c9<_0x1abf3d[_0x53a887(0x25c)][_0x53a887(0x1a7c)]['length'];_0x3595c9+=0x1){_0x2b6ca8[_0x53a887(0x218e)]({'title':_0x1abf3d[_0x53a887(0x25c)][_0x53a887(0x1a7c)][_0x3595c9]['type'],'msg':_0x1abf3d[_0x53a887(0x25c)][_0x53a887(0x1a7c)][_0x3595c9][_0x53a887(0x155e)]});}}else _0x2b6ca8[_0x53a887(0x218e)]({'title':_0x1abf3d['status']?_0x53a887(0xeb9)+_0x1abf3d[_0x53a887(0x291)]+_0x53a887(0x1657)+_0x1abf3d['statusText']:_0x53a887(0x2142),'msg':_0x1abf3d[_0x53a887(0x25c)]?JSON[_0x53a887(0x2701)](_0x1abf3d[_0x53a887(0x25c)]['message']):_0x1abf3d[_0x53a887(0x147f)]()});});}function _0x3e3a3b(){const _0x4d35fe=_0x4b6f75;_0xa60500[_0x4d35fe(0x1a7c)]=[],_0x4dfc45[_0x4d35fe(0x220)][_0x4d35fe(0x687)]({'id':_0xa60500[_0x4d35fe(0xfc4)]['id']},_0xa60500['dashboarditem'])[_0x4d35fe(0x1d77)][_0x4d35fe(0x1cb0)](function(_0x427214){const _0xab05f7=_0x4d35fe,_0x4856c3=_0x39641b()[_0xab05f7(0x13b4)](_0xa60500['dashboard'],{'id':_0x427214['id']});_0x4856c3&&_0x39641b()[_0xab05f7(0x9c1)](_0x4856c3,_0x39641b()[_0xab05f7(0x169b)](_0x427214[_0xab05f7(0x19b2)](),_0x39641b()[_0xab05f7(0x1be5)](_0x4856c3))),_0x2b6ca8[_0xab05f7(0x829)]({'title':'DashboardItem\x20properly\x20saved!','msg':_0xa60500[_0xab05f7(0xfc4)][_0xab05f7(0x16b6)]?_0xa60500[_0xab05f7(0xfc4)]['name']+_0xab05f7(0xedb):''}),_0x37e669(_0x427214);})[_0x4d35fe(0x1c4)](function(_0x2e1e0e){const _0x50db5e=_0x4d35fe;if(_0x2e1e0e[_0x50db5e(0x25c)]&&_0x2e1e0e[_0x50db5e(0x25c)][_0x50db5e(0x1a7c)]&&_0x2e1e0e['data'][_0x50db5e(0x1a7c)][_0x50db5e(0xfd0)]){_0xa60500[_0x50db5e(0x1a7c)]=_0x2e1e0e[_0x50db5e(0x25c)]['errors']||[{'message':_0x2e1e0e[_0x50db5e(0x147f)](),'type':'api.dashboardItem.update'}];for(let _0x3b0344=0x0;_0x3b0344<_0x2e1e0e[_0x50db5e(0x25c)][_0x50db5e(0x1a7c)][_0x50db5e(0xfd0)];_0x3b0344++){_0x2b6ca8[_0x50db5e(0x218e)]({'title':_0x2e1e0e[_0x50db5e(0x25c)][_0x50db5e(0x1a7c)][_0x3b0344]['type'],'msg':_0x2e1e0e[_0x50db5e(0x25c)][_0x50db5e(0x1a7c)][_0x3b0344][_0x50db5e(0x155e)]});}}else _0x2b6ca8['error']({'title':_0x2e1e0e[_0x50db5e(0x291)]?_0x50db5e(0xeb9)+_0x2e1e0e[_0x50db5e(0x291)]+_0x50db5e(0x1657)+_0x2e1e0e[_0x50db5e(0xc22)]:_0x50db5e(0x248d),'msg':_0x2e1e0e[_0x50db5e(0x25c)]?JSON[_0x50db5e(0x2701)](_0x2e1e0e[_0x50db5e(0x25c)][_0x50db5e(0x155e)]):_0x2e1e0e[_0x50db5e(0x147f)]()});});}function _0x2ad70d(_0x479c20){const _0x34708e=_0x4b6f75;_0xa60500['errors']=[];const _0x3f6c1c=_0x30d890[_0x34708e(0x1551)]()['title'](_0x34708e(0x1a2e))['content'](_0x34708e(0x21d1))[_0x34708e(0x15ad)](_0x34708e(0x1750))['ok'](_0x34708e(0x2594))[_0x34708e(0x696)]('Cancel')[_0x34708e(0x728)](_0x479c20);_0x30d890[_0x34708e(0xe27)](_0x3f6c1c)[_0x34708e(0x1cb0)](function(){const _0x284f9b=_0x34708e;_0x4dfc45[_0x284f9b(0x220)][_0x284f9b(0x111d)]({'id':_0xa60500[_0x284f9b(0xfc4)]['id']})[_0x284f9b(0x1d77)][_0x284f9b(0x1cb0)](function(){const _0x520307=_0x284f9b;_0x39641b()[_0x520307(0x152a)](_0xa60500['dashboard'],{'id':_0xa60500[_0x520307(0xfc4)]['id']}),_0x2b6ca8[_0x520307(0x829)]({'title':_0x520307(0x6a0),'msg':(_0xa60500[_0x520307(0xfc4)][_0x520307(0x16b6)]||_0x520307(0xfc4))+'\x20has\x20been\x20deleted!'}),_0x37e669(_0xa60500[_0x520307(0xfc4)]);})['catch'](function(_0x49f7c8){const _0xc13b5=_0x284f9b;if(_0x49f7c8[_0xc13b5(0x25c)]&&_0x49f7c8[_0xc13b5(0x25c)][_0xc13b5(0x1a7c)]&&_0x49f7c8[_0xc13b5(0x25c)][_0xc13b5(0x1a7c)]['length']){_0xa60500[_0xc13b5(0x1a7c)]=_0x49f7c8['data'][_0xc13b5(0x1a7c)]||[{'message':_0x49f7c8[_0xc13b5(0x147f)](),'type':'api.dashboardItem.delete'}];for(let _0x10a45f=0x0;_0x10a45f<_0x49f7c8[_0xc13b5(0x25c)]['errors']['length'];_0x10a45f++){_0x2b6ca8[_0xc13b5(0x218e)]({'title':_0x49f7c8[_0xc13b5(0x25c)][_0xc13b5(0x1a7c)][_0x10a45f][_0xc13b5(0x66a)],'msg':_0x49f7c8[_0xc13b5(0x25c)][_0xc13b5(0x1a7c)][_0x10a45f][_0xc13b5(0x155e)]});}}else _0x2b6ca8[_0xc13b5(0x218e)]({'title':_0x49f7c8[_0xc13b5(0x291)]?_0xc13b5(0xeb9)+_0x49f7c8[_0xc13b5(0x291)]+_0xc13b5(0x1657)+_0x49f7c8[_0xc13b5(0xc22)]:_0xc13b5(0xd83),'msg':_0x49f7c8[_0xc13b5(0x25c)]?JSON[_0xc13b5(0x2701)](_0x49f7c8[_0xc13b5(0x25c)]['message']):_0x49f7c8[_0xc13b5(0x155e)]||_0x49f7c8[_0xc13b5(0x147f)]()});});},function(){});}function _0x2fa6e1(){const _0x5a3d34=_0x4b6f75,_0x39803b={'core':{'multiple':![],'animation':!![],'error':function(_0x43f797){const _0x441aa6=a0_0x5cbd;console[_0x441aa6(0x218e)]('treeCtrl:\x20error\x20from\x20js\x20tree\x20-\x20'+angular['toJson'](_0x43f797));},'check_callback':!![],'worker':!![]},'version':0x1,'plugins':['wholerow']};return _0xa60500['currentUser'][_0x5a3d34(0x26e6)]!==_0x5a3d34(0x1c60)?_0x4dfc45[_0x5a3d34(0x2199)][_0x5a3d34(0xbf7)]({'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0xa60500[_0x5a3d34(0xe76)][_0x5a3d34(0x13c1)],'sectionId':0x4b3})[_0x5a3d34(0x1d77)][_0x5a3d34(0x1cb0)](function(_0x6684e8){const _0x30d320=_0x5a3d34;return _0xa60500[_0x30d320(0x2199)]=_0x6684e8&&_0x6684e8[_0x30d320(0x184d)]==0x1?_0x6684e8[_0x30d320(0x2214)][0x0]:null,_0x39803b;})[_0x5a3d34(0x1c4)](function(_0x1b5f50){const _0x530bc3=_0x5a3d34;_0x2b6ca8[_0x530bc3(0x218e)]({'title':_0x530bc3(0x2260),'msg':_0x1b5f50[_0x530bc3(0x155e)]});}):_0x39803b;}function _0x4d27cd(_0x20b1cd){return _0x20b1cd?{'loaded':_0x581ef6,'select_node':_0x4ae4d1}:{'loaded':_0x3e3869,'select_node':_0x1ddf06};}function _0x4ae4d1(_0x3d9324,_0x2a136c){const _0x533ab1=_0x4b6f75;_0xa60500['treeDefaultInstance'][_0x533ab1(0x735)](!![])[_0x533ab1(0x3bf)](),_0xa60500['treeDefaultInstance'][_0x533ab1(0x735)](!![])[_0x533ab1(0x1b7)](),_0xa60500[_0x533ab1(0x622)]=_0x2a136c[_0x533ab1(0x2013)],_0xa60500[_0x533ab1(0x622)][_0x533ab1(0x197c)]=!![],_0xa60500[_0x533ab1(0x275a)]=_0xa60500[_0x533ab1(0x20be)][_0x533ab1(0x735)](!![])[_0x533ab1(0x147d)](_0xa60500['currentNode'])[_0x533ab1(0x1f66)]('/\x20'),_0xa60500[_0x533ab1(0x217d)]=_0x533ab1(0x1e32),_0xa60500[_0x533ab1(0xae2)][_0x533ab1(0xbfb)]=_0x2a136c[_0x533ab1(0x2013)]['id'],_0x4caeca();}function _0x1ddf06(_0x1c9971,_0x3801bd){const _0x40759e=_0x4b6f75;_0xa60500['treeCustomInstance'][_0x40759e(0x735)](!![])[_0x40759e(0x3bf)](),_0xa60500[_0x40759e(0x20be)][_0x40759e(0x735)](!![])[_0x40759e(0x1b7)](),_0xa60500['currentNode']=_0x3801bd[_0x40759e(0x2013)],_0xa60500[_0x40759e(0x622)]['custom']=![],_0xa60500[_0x40759e(0x275a)]=_0xa60500[_0x40759e(0xfcd)][_0x40759e(0x735)](!![])[_0x40759e(0x147d)](_0xa60500['currentNode'])[_0x40759e(0x1f66)]('/\x20'),_0xa60500[_0x40759e(0x217d)]=_0x40759e(0x1f0c),_0xa60500[_0x40759e(0xae2)]['parent']=_0x3801bd['node']['id'],_0x4caeca();}function _0x581ef6(){const _0x2182a4=_0x4b6f75;if(!_0xa60500[_0x2182a4(0x2846)]&&_0xa60500['apiName']===_0x2182a4(0x1e32)){const _0x202038=_0xa60500[_0x2182a4(0x20be)]['jstree'](!![])[_0x2182a4(0x6b2)](_0xa60500[_0x2182a4(0xae2)][_0x2182a4(0xbfb)]);_0x4ae4d1(null,{'node':_0x202038,'selected':_0x202038['id']});}}function _0x3e3869(){const _0x582b5e=_0x4b6f75;if(!_0xa60500['newDashboardItem']&&_0xa60500['apiName']===_0x582b5e(0x1f0c)){const _0x444904=_0xa60500[_0x582b5e(0xfcd)][_0x582b5e(0x735)](!![])['get_node'](_0xa60500[_0x582b5e(0xae2)][_0x582b5e(0xbfb)]);_0x1ddf06(null,{'node':_0x444904,'selected':_0x444904['id']});}}function _0x4caeca(){const _0x173d1c=_0x4b6f75;_0xa60500['query'][_0x173d1c(0x184b)]=(_0xa60500[_0x173d1c(0xae2)][_0x173d1c(0x1c7b)]-0x1)*_0xa60500[_0x173d1c(0xae2)]['limit'];if(_0xa60500[_0x173d1c(0x217d)]){if(_0xa60500[_0x173d1c(0xe76)][_0x173d1c(0x26e6)]===_0x173d1c(0x1c60))_0xa60500['promise']=_0x4dfc45[_0xa60500[_0x173d1c(0x217d)]][_0x173d1c(0xbf7)](_0xa60500['query'],_0x2d2d60)['$promise'];else{if(!_0xa60500[_0x173d1c(0x2199)])return _0x2d2d60(null);else{if(_0xa60500[_0x173d1c(0x2199)][_0x173d1c(0x12f4)])_0xa60500['promise']=_0x4dfc45[_0xa60500[_0x173d1c(0x217d)]]['get'](_0xa60500[_0x173d1c(0xae2)],_0x2d2d60)[_0x173d1c(0x1d77)];else{let _0x15b454=[];_0xa60500['promise']=_0x4dfc45[_0xa60500[_0x173d1c(0x217d)]][_0x173d1c(0xbf7)](_0xa60500[_0x173d1c(0xae2)])['$promise'][_0x173d1c(0x1cb0)](function(_0x4dfcf1){const _0x293986=_0x173d1c;return _0x15b454=_0x4dfcf1&&_0x4dfcf1[_0x293986(0x2214)]?_0x4dfcf1[_0x293986(0x2214)]:[],_0x15b454[_0x293986(0xfd0)]>0x0?_0x4dfc45[_0x293986(0x1198)][_0x293986(0xbf7)]({'sectionId':_0xa60500[_0x293986(0x2199)]['id'],'type':_0xa60500['apiName']==='analyticDefaultReport'?_0x293986(0x1f5d):_0x293986(0xe44)})[_0x293986(0x1d77)][_0x293986(0x1cb0)](function(_0x439248){const _0x5dbd60=_0x293986,_0x4aa272=_0x439248&&_0x439248['rows']?_0x439248[_0x5dbd60(0x2214)]:[];if(_0x4aa272[_0x5dbd60(0xfd0)]>0x0){const _0x4f7118=[];return _0x15b454['forEach'](function(_0x3d0ce6){const _0x1ba886=_0x5dbd60,_0xb06319=_0x39641b()['find'](_0x4aa272,[_0x1ba886(0x2982),_0x3d0ce6['id']]);if(_0xb06319)_0x4f7118[_0x1ba886(0x2785)](_0x3d0ce6);}),_0x2d2d60({'count':_0x4f7118['length'],'rows':_0x4f7118});}else return _0x2d2d60(null);}):_0x2d2d60(null);})[_0x173d1c(0x1c4)](function(_0x5506b4){const _0x1404a6=_0x173d1c;_0x2b6ca8[_0x1404a6(0x218e)]({'title':_0x5506b4['status']?_0x1404a6(0xeb9)+_0x5506b4[_0x1404a6(0x291)]+'\x20-\x20'+_0x5506b4['statusText']:_0x1404a6(0x176d),'msg':_0x5506b4[_0x1404a6(0x25c)]?JSON['stringify'](_0x5506b4['data']):_0x5506b4[_0x1404a6(0x147f)]()});});}}}}}function _0x2d2d60(_0x2041ed){const _0x7c66a0=_0x4b6f75;_0xa60500[_0x7c66a0(0x2318)]=_0x2041ed||{'count':0x0,'rows':[]},!_0xa60500['newDashboardItem']&&_0xa60500[_0x7c66a0(0x11b6)]['push'](_0x39641b()['find'](_0xa60500['reports']['rows'],{'id':_0xa60500[_0x7c66a0(0x12df)]['id']}));}function _0x4026be(){const _0x2c54b1=_0x4b6f75;_0xa60500[_0x2c54b1(0xeda)]=_0xa60500[_0x2c54b1(0x1871)][0x0]?angular[_0x2c54b1(0x284c)](_0xa60500[_0x2c54b1(0x1871)][0x0][_0x2c54b1(0x1bd)]):[],_0xa60500['treeCustomData']=_0xa60500[_0x2c54b1(0x1871)][0x1]?angular[_0x2c54b1(0x284c)](_0xa60500[_0x2c54b1(0x1871)][0x1][_0x2c54b1(0x1bd)]):[],_0xa60500['treeConfig']=_0x2fa6e1(),_0xa60500['treeDefaultEvents']=_0x4d27cd(![]),_0xa60500['treeCustomEvents']=_0x4d27cd(!![]),_0x30d890[_0x2c54b1(0xe27)]({'controller':function(){return _0xa60500;},'controllerAs':'vm','templateUrl':_0x3eaf57,'autoWrap':!![],'skipHide':!![]});}function _0x2e5a62(){const _0x39de59=_0x4b6f75;_0xa60500[_0x39de59(0xfc4)][_0x39de59(0x16bf)]=_0xa60500[_0x39de59(0x11b6)][0x0][_0x39de59(0x16b6)],_0xa60500[_0x39de59(0xfc4)]['attrReport']=_0xa60500[_0x39de59(0x11b6)][0x0]['id'],_0xa60500[_0x39de59(0xfc4)]['reportType']=_0xa60500[_0x39de59(0x622)][_0x39de59(0x197c)]?_0x39de59(0x197c):'default';if(!_0xa60500['newDashboardItem']){const _0xfa06f6={'name':'attrReport','type':_0xa60500[_0x39de59(0xfc4)][_0x39de59(0x1ffd)],'value':_0xa60500[_0x39de59(0x11b6)][0x0]['id']};_0xa60500['dashboarditem']['attrs'][_0x39de59(0x159c)](_0x39641b()['findIndex'](_0xa60500['dashboarditem'][_0x39de59(0x13f4)],0x1,_0xfa06f6));}_0x599c82[_0x39de59(0xfe0)][_0x39de59(0x16bf)]['$setDirty'](),_0x30d890[_0x39de59(0x1426)]();}function _0x448464(){const _0x52fc4d=_0x4b6f75;_0x30d890[_0x52fc4d(0x1426)]();}function _0xd186c4(_0x4f5e0b){return _0x4f5e0b===null?undefined:new Date(_0x4f5e0b);}function _0x37e669(_0x158bcf){const _0x6bae7d=_0x4b6f75;_0x30d890[_0x6bae7d(0x1426)](_0x158bcf);}}const _0x6ec87e=_0x5bcc93;;const _0x1f44b0=_0x5074a3['p']+_0x5537c6(0x221d);;_0x12e622[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x1ae),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x2821),'userProfileSection'];function _0x12e622(_0x2fdf31,_0x25cae7,_0x555f84,_0xb3e08f,_0x2f32a5,_0x3fc7e7,_0x3ee3a4,_0x4da60e,_0x59093b,_0x3a480a,_0x45dc26,_0x2a66b7){const _0x41f801=_0x5537c6,_0x576800=this;_0x576800[_0x41f801(0xe76)]=_0x3a480a[_0x41f801(0x21e8)](),_0x576800['license']=_0x3fc7e7,_0x576800[_0x41f801(0x9ca)]=_0x3ee3a4,_0x576800[_0x41f801(0x1b0c)]=_0x576800[_0x41f801(0x9ca)][_0x41f801(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x576800['location']=_0x25cae7[_0x41f801(0x2276)]()+_0x41f801(0x138b)+_0x25cae7['host'](),_0x576800['customDashboard']=_0x45dc26||_0x2fdf31[_0x41f801(0x1dfe)][_0x41f801(0x2821)]||{},_0x576800['userProfileSection']=_0x2a66b7&&_0x2a66b7['count']==0x1?_0x2a66b7[_0x41f801(0x2214)][0x0]:null,_0x576800[_0x41f801(0x1b1a)]=_0x3a480a[_0x41f801(0x14ea)](_0x576800[_0x41f801(0x2199)]?_0x576800[_0x41f801(0x2199)][_0x41f801(0x1b1a)]:null),_0x576800[_0x41f801(0xf4c)]={},_0x576800[_0x41f801(0x8ec)]=_0x2fdf31[_0x41f801(0x1dfe)][_0x41f801(0x291e)]||0x0,_0x576800[_0x41f801(0x388)]=_0x1df882,_0x576800[_0x41f801(0x47d)]=_0x11c2a2,_0x576800['alert']=_0x59093b[_0x41f801(0x28c7)],_0x576800['gotoCustomDashboards']=_0x11f634,_0x576800[_0x41f801(0xb03)]=_0x356c45;function _0x1df882(_0x585c3f,_0x1cb949){const _0xc1ac09=_0x41f801;_0x555f84[_0xc1ac09(0xe27)]({'controller':_0xc1ac09(0x168),'controllerAs':'vm','templateUrl':_0x1f44b0,'parent':angular[_0xc1ac09(0x1853)](_0xb3e08f['body']),'targetEvent':_0x1cb949,'clickOutsideToClose':!![],'locals':{'customDashboard':_0x585c3f,'customDashboards':_0x576800['customDashboards']?_0x576800[_0xc1ac09(0x461)][_0xc1ac09(0x2214)]:[],'crudPermissions':_0x576800[_0xc1ac09(0x1b1a)]}});}function _0x11c2a2(_0x442282){const _0x4ac859=_0x41f801;_0x2fdf31['go'](_0x4ac859(0x7ae),{'id':_0x442282['id']});}function _0x11f634(){const _0x211096=_0x41f801;_0x2fdf31['go'](_0x211096(0x1185),{},{'reload':_0x211096(0x1185)});}function _0x356c45(){const _0x419255=_0x41f801;_0x4da60e[_0x419255(0x1312)][_0x419255(0x687)]({'id':_0x576800[_0x419255(0x2821)]['id']},_0x576800[_0x419255(0x2821)])[_0x419255(0x1d77)]['then'](function(){const _0x1ea347=_0x419255;_0x59093b[_0x1ea347(0x829)]({'title':'CustomDashboard\x20updated!','msg':_0x576800['customDashboard']['name']?_0x576800['customDashboard'][_0x1ea347(0x16b6)]+_0x1ea347(0x1068):''});})['catch'](function(_0x29ffe5){const _0x197057=_0x419255;_0x59093b[_0x197057(0x218e)]({'title':_0x29ffe5['status']?'API:'+_0x29ffe5[_0x197057(0x291)]+_0x197057(0x1657)+_0x29ffe5['statusText']:_0x197057(0x99f),'msg':_0x29ffe5[_0x197057(0x25c)]?JSON['stringify'](_0x29ffe5['data']):_0x29ffe5[_0x197057(0x147f)]()});});}}const _0x4d071f=_0x12e622;;_0x3f98ee['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),'$mdDialog','$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0xb4a),_0x5537c6(0x1ac8),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting',_0x5537c6(0x1b1a)];function _0x3f98ee(_0x3438f8,_0x5bfa21,_0x1637ff,_0x14388d,_0x1496b8,_0x7f5c60,_0x377b35,_0x26ddb6,_0x563676,_0x63e757,_0x38af40,_0x2ca66c,_0x57a8b7,_0x46fec9){const _0x266634=_0x5537c6,_0x516c5c=this;_0x516c5c[_0x266634(0xe76)]=_0x38af40[_0x266634(0x21e8)](),_0x516c5c[_0x266634(0x1a7c)]=[],_0x516c5c[_0x266634(0x9ca)]=_0x57a8b7,_0x516c5c[_0x266634(0x8a5)]=_0x2ca66c,_0x516c5c[_0x266634(0x1b1a)]=_0x46fec9,_0x516c5c[_0x266634(0xf4c)]={},_0x516c5c[_0x266634(0x1b0c)]=_0x516c5c[_0x266634(0x9ca)]&&_0x516c5c[_0x266634(0x9ca)][_0x266634(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x516c5c['title']=_0x266634(0x1571),_0x516c5c[_0x266634(0x1ac8)]=angular[_0x266634(0x17fe)](_0x563676),_0x516c5c[_0x266634(0xb4a)]=_0x26ddb6,_0x516c5c[_0x266634(0x18c1)]=![];!_0x516c5c[_0x266634(0x1ac8)]&&(_0x516c5c[_0x266634(0x1ac8)]={},_0x516c5c[_0x266634(0x1386)]=_0x266634(0x287b),_0x516c5c['newInterval']=!![]);_0x516c5c[_0x266634(0x18b3)]=_0x3d7a97,_0x516c5c[_0x266634(0x1891)]=_0x419c5d,_0x516c5c[_0x266634(0x6ce)]=_0x3276a7,_0x516c5c[_0x266634(0x2c4)]=_0x5b153e,_0x516c5c[_0x266634(0xda0)]=_0x1503e7;function _0x3d7a97(){const _0x4a5728=_0x266634;_0x516c5c['errors']=[],_0x63e757[_0x4a5728(0x1ac8)][_0x4a5728(0x1c3f)](_0x516c5c['interval'])['$promise'][_0x4a5728(0x1cb0)](function(_0x11015c){const _0x31a529=_0x4a5728;_0x516c5c['intervals'][_0x31a529(0xf63)](_0x11015c[_0x31a529(0x19b2)]()),_0x377b35['success']({'title':_0x31a529(0x1d4e),'msg':_0x516c5c['interval'][_0x31a529(0x16b6)]?_0x516c5c['interval'][_0x31a529(0x16b6)]+_0x31a529(0x470):''}),_0x1503e7(_0x11015c);})[_0x4a5728(0x1c4)](function(_0x7dc85c){const _0x1628ff=_0x4a5728;if(_0x7dc85c['data']&&_0x7dc85c['data'][_0x1628ff(0x1a7c)]&&_0x7dc85c[_0x1628ff(0x25c)][_0x1628ff(0x1a7c)]['length']){_0x516c5c[_0x1628ff(0x1a7c)]=_0x7dc85c['data'][_0x1628ff(0x1a7c)]||[{'message':_0x7dc85c[_0x1628ff(0x147f)](),'type':_0x1628ff(0x24f7)}];for(let _0x24aeba=0x0;_0x24aeba<_0x7dc85c['data'][_0x1628ff(0x1a7c)][_0x1628ff(0xfd0)];_0x24aeba+=0x1){_0x377b35[_0x1628ff(0x218e)]({'title':_0x7dc85c[_0x1628ff(0x25c)][_0x1628ff(0x1a7c)][_0x24aeba][_0x1628ff(0x66a)],'msg':_0x7dc85c['data'][_0x1628ff(0x1a7c)][_0x24aeba][_0x1628ff(0x155e)]});}}else _0x377b35['error']({'title':_0x7dc85c['status']?_0x1628ff(0xeb9)+_0x7dc85c[_0x1628ff(0x291)]+'\x20-\x20'+_0x7dc85c['statusText']:'api.interval.save','msg':_0x7dc85c['data']?JSON[_0x1628ff(0x2701)](_0x7dc85c[_0x1628ff(0x25c)][_0x1628ff(0x155e)]):_0x7dc85c[_0x1628ff(0x147f)]()});});}function _0x419c5d(){const _0x3a314b=_0x266634;_0x516c5c['errors']=[],_0x63e757[_0x3a314b(0x1ac8)][_0x3a314b(0x687)]({'id':_0x516c5c['interval']['id']},_0x516c5c['interval'])[_0x3a314b(0x1d77)][_0x3a314b(0x1cb0)](function(_0x145ea3){const _0x5f3cd0=_0x3a314b,_0x1dd3bb=_0x39641b()['find'](_0x516c5c[_0x5f3cd0(0xb4a)],{'id':_0x145ea3['id']});_0x1dd3bb&&_0x39641b()[_0x5f3cd0(0x9c1)](_0x1dd3bb,_0x39641b()['pick'](_0x145ea3[_0x5f3cd0(0x19b2)](),_0x39641b()[_0x5f3cd0(0x1be5)](_0x1dd3bb))),_0x377b35[_0x5f3cd0(0x829)]({'title':_0x5f3cd0(0x1498),'msg':_0x516c5c[_0x5f3cd0(0x1ac8)]['name']?_0x516c5c[_0x5f3cd0(0x1ac8)]['name']+'\x20has\x20been\x20saved!':''}),_0x1503e7(_0x145ea3);})[_0x3a314b(0x1c4)](function(_0x4787bf){const _0x34654a=_0x3a314b;if(_0x4787bf['data']&&_0x4787bf[_0x34654a(0x25c)][_0x34654a(0x1a7c)]&&_0x4787bf[_0x34654a(0x25c)][_0x34654a(0x1a7c)]['length']){_0x516c5c[_0x34654a(0x1a7c)]=_0x4787bf['data'][_0x34654a(0x1a7c)]||[{'message':_0x4787bf['toString'](),'type':'api.interval.update'}];for(let _0x56af4f=0x0;_0x56af4f<_0x4787bf[_0x34654a(0x25c)][_0x34654a(0x1a7c)][_0x34654a(0xfd0)];_0x56af4f++){_0x377b35[_0x34654a(0x218e)]({'title':_0x4787bf['data']['errors'][_0x56af4f][_0x34654a(0x66a)],'msg':_0x4787bf[_0x34654a(0x25c)][_0x34654a(0x1a7c)][_0x56af4f][_0x34654a(0x155e)]});}}else _0x377b35['error']({'title':_0x4787bf[_0x34654a(0x291)]?_0x34654a(0xeb9)+_0x4787bf['status']+'\x20-\x20'+_0x4787bf[_0x34654a(0xc22)]:_0x34654a(0x2505),'msg':_0x4787bf[_0x34654a(0x25c)]?JSON['stringify'](_0x4787bf['data'][_0x34654a(0x155e)]):_0x4787bf[_0x34654a(0x147f)]()});});}function _0x3276a7(_0x5ca232){const _0x233f43=_0x266634;_0x516c5c[_0x233f43(0x1a7c)]=[];const _0x48f49a=_0x14388d[_0x233f43(0x1551)]()[_0x233f43(0x1386)](_0x233f43(0x1a2e))[_0x233f43(0x862)](_0x233f43(0x2729))[_0x233f43(0x15ad)](_0x233f43(0x2805))['ok'](_0x233f43(0x2594))[_0x233f43(0x696)](_0x233f43(0xde1))[_0x233f43(0x728)](_0x5ca232);_0x14388d['show'](_0x48f49a)[_0x233f43(0x1cb0)](function(){const _0x5b8125=_0x233f43;_0x63e757[_0x5b8125(0x1ac8)]['delete']({'id':_0x516c5c['interval']['id']})[_0x5b8125(0x1d77)][_0x5b8125(0x1cb0)](function(){const _0x381326=_0x5b8125;_0x39641b()[_0x381326(0x152a)](_0x516c5c['intervals'],{'id':_0x516c5c['interval']['id']}),_0x377b35['success']({'title':_0x381326(0x2075),'msg':(_0x516c5c['interval'][_0x381326(0x16b6)]||_0x381326(0x1ac8))+'\x20has\x20been\x20deleted!'}),_0x1503e7(_0x516c5c[_0x381326(0x1ac8)]);})['catch'](function(_0x175d59){const _0x4ab57a=_0x5b8125;if(_0x175d59[_0x4ab57a(0x25c)]&&_0x175d59[_0x4ab57a(0x25c)][_0x4ab57a(0x1a7c)]&&_0x175d59[_0x4ab57a(0x25c)][_0x4ab57a(0x1a7c)][_0x4ab57a(0xfd0)]){_0x516c5c[_0x4ab57a(0x1a7c)]=_0x175d59[_0x4ab57a(0x25c)][_0x4ab57a(0x1a7c)]||[{'message':_0x175d59[_0x4ab57a(0x147f)](),'type':'api.interval.delete'}];for(let _0x452c81=0x0;_0x452c81<_0x175d59[_0x4ab57a(0x25c)][_0x4ab57a(0x1a7c)][_0x4ab57a(0xfd0)];_0x452c81++){_0x377b35[_0x4ab57a(0x218e)]({'title':_0x175d59[_0x4ab57a(0x25c)][_0x4ab57a(0x1a7c)][_0x452c81][_0x4ab57a(0x66a)],'msg':_0x175d59[_0x4ab57a(0x25c)]['errors'][_0x452c81][_0x4ab57a(0x155e)]});}}else _0x377b35['error']({'title':_0x175d59[_0x4ab57a(0x291)]?_0x4ab57a(0xeb9)+_0x175d59[_0x4ab57a(0x291)]+_0x4ab57a(0x1657)+_0x175d59[_0x4ab57a(0xc22)]:'api.interval.delete','msg':_0x175d59[_0x4ab57a(0x25c)]?JSON[_0x4ab57a(0x2701)](_0x175d59[_0x4ab57a(0x25c)][_0x4ab57a(0x155e)]):_0x175d59[_0x4ab57a(0x155e)]||_0x175d59[_0x4ab57a(0x147f)]()});});},function(){});}function _0x5b153e(_0x49eac5){return _0x49eac5===null?undefined:new Date(_0x49eac5);}function _0x1503e7(_0x2311f5){const _0x2c06b3=_0x266634;_0x14388d[_0x2c06b3(0x1426)](_0x2311f5);}}const _0x2063e0=_0x3f98ee;;_0x2080a[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),'$mdDialog',_0x5537c6(0x200d),'IndexFactory','interval',_0x5537c6(0xb4a),_0x5537c6(0x9bf),_0x5537c6(0x142b),'Auth',_0x5537c6(0x1b1a)];function _0x2080a(_0x2adaa4,_0x37f73d,_0x6873f8,_0x306c33,_0x17fc67,_0x505706,_0xc7c8bd,_0x56aaec,_0x9d2265,_0x408263){const _0x31c2ef=_0x5537c6,_0x11d3b6=this;_0x11d3b6[_0x31c2ef(0xe76)]=_0x9d2265['getCurrentUser'](),_0x11d3b6[_0x31c2ef(0x1a7c)]=[],_0x11d3b6[_0x31c2ef(0x1386)]=_0x31c2ef(0x1571),_0x11d3b6[_0x31c2ef(0x1ac8)]=angular['copy'](_0x17fc67),_0x11d3b6[_0x31c2ef(0xb4a)]=_0x505706,_0x11d3b6['newInterval']=![],_0x11d3b6[_0x31c2ef(0x2025)]=[_0x31c2ef(0x2017),'custom'],_0x11d3b6['crudPermissions']=_0x408263,_0x11d3b6['daysOfWeek']=_0x306c33[_0x31c2ef(0x1aae)](),_0x11d3b6['monthNumber']=_0x306c33['getMonthNumber'](),_0x11d3b6[_0x31c2ef(0x1534)]=_0x306c33[_0x31c2ef(0x7cc)](),_0x11d3b6[_0x31c2ef(0x202d)]=_0x306c33[_0x31c2ef(0xc1e)]();if(!_0x11d3b6[_0x31c2ef(0x1ac8)])_0x11d3b6['interval']={'interval':_0x31c2ef(0x965)},_0x11d3b6['type']=_0x31c2ef(0x2017),_0x11d3b6[_0x31c2ef(0x1386)]=_0x31c2ef(0x287b),_0x11d3b6[_0x31c2ef(0x18c1)]=!![];else{if(_0x11d3b6[_0x31c2ef(0x1ac8)]['interval']!==_0x31c2ef(0x965)){_0x11d3b6[_0x31c2ef(0x66a)]='custom';const _0x3ee184=_0x11d3b6[_0x31c2ef(0x1ac8)][_0x31c2ef(0x1ac8)]['split'](','),_0x2f9482=_0x3ee184[0x0],_0x388c08=_0x3ee184[0x1],_0x4c0efe=_0x3ee184[0x2],_0x321102=_0x3ee184[0x3];if(_0x2f9482!=='*'){const _0x2cafd4=_0x2f9482[_0x31c2ef(0xbe1)]('-')[0x0],_0x968fdc=_0x2f9482['split']('-')[0x1];let _0x168657;_0x168657=new Date(),_0x168657[_0x31c2ef(0x201b)](Number(_0x2cafd4[_0x31c2ef(0xbe1)](':')[0x0])),_0x168657[_0x31c2ef(0x1622)](Number(_0x2cafd4[_0x31c2ef(0xbe1)](':')[0x1])),_0x11d3b6[_0x31c2ef(0x718)]=_0x168657,_0x168657=new Date(),_0x168657[_0x31c2ef(0x201b)](Number(_0x968fdc[_0x31c2ef(0xbe1)](':')[0x0])),_0x168657[_0x31c2ef(0x1622)](Number(_0x968fdc[_0x31c2ef(0xbe1)](':')[0x1])),_0x11d3b6[_0x31c2ef(0x64a)]=_0x168657;}_0x388c08!=='*'&&(_0x11d3b6['dayOfWeekFrom']=_0x388c08[_0x31c2ef(0xbe1)]('-')[0x0],_0x11d3b6['dayOfWeekTo']=_0x388c08[_0x31c2ef(0xbe1)]('-')[0x1]),_0x4c0efe!=='*'&&(_0x11d3b6[_0x31c2ef(0xd6f)]=_0x4c0efe[_0x31c2ef(0xbe1)]('-')[0x0],_0x11d3b6[_0x31c2ef(0x194d)]=_0x4c0efe[_0x31c2ef(0xbe1)]('-')[0x1]),_0x321102!=='*'&&(_0x11d3b6[_0x31c2ef(0x1756)]=_0x321102[_0x31c2ef(0xbe1)]('-')[0x0],_0x11d3b6[_0x31c2ef(0xa68)]=_0x321102['split']('-')[0x1]);}else _0x11d3b6[_0x31c2ef(0x66a)]='always';}_0x2adaa4['params']['id']&&!_0x11d3b6[_0x31c2ef(0x1ac8)][_0x31c2ef(0x171b)]&&(_0x11d3b6['interval'][_0x31c2ef(0x1a60)]=_0x2adaa4['params']['id']);_0x11d3b6[_0x31c2ef(0x1ac8)]['IntervalId']&&_0x11d3b6['interval'][_0x31c2ef(0x171b)]&&(_0x11d3b6[_0x31c2ef(0x66a)]=_0x31c2ef(0x240));_0x11d3b6['addNewInterval']=_0x3e39a2,_0x11d3b6[_0x31c2ef(0x1891)]=_0x37d115,_0x11d3b6[_0x31c2ef(0xda0)]=_0x56c590;_0x11d3b6[_0x31c2ef(0x1ac8)][_0x31c2ef(0x171b)]&&(_0x9d2265[_0x31c2ef(0x22b6)]('admin')?_0x56aaec[_0x31c2ef(0x1ac8)][_0x31c2ef(0xbf7)]({'fields':_0x31c2ef(0x872),'IntervalId':_0x31c2ef(0xd38),'nolimit':!![]})[_0x31c2ef(0x1d77)][_0x31c2ef(0x1cb0)](function(_0x5b4763){const _0x300ac2=_0x31c2ef;_0x11d3b6[_0x300ac2(0xb4a)]=_0x5b4763['rows']||[];})['catch'](function(_0x315e1c){const _0x3e7b91=_0x31c2ef;_0xc7c8bd[_0x3e7b91(0x218e)]({'title':_0x315e1c[_0x3e7b91(0x291)]?'API:'+_0x315e1c[_0x3e7b91(0x291)]+_0x3e7b91(0x1657)+_0x315e1c[_0x3e7b91(0xc22)]:_0x3e7b91(0xdac),'msg':_0x315e1c[_0x3e7b91(0x25c)]?JSON['stringify'](_0x315e1c[_0x3e7b91(0x25c)]):_0x315e1c[_0x3e7b91(0x147f)]()});}):_0x56aaec[_0x31c2ef(0x1ac8)][_0x31c2ef(0xbf7)]({'fields':_0x31c2ef(0x872),'IntervalId':_0x31c2ef(0xd38),'nolimit':!![]})[_0x31c2ef(0x1d77)][_0x31c2ef(0x1cb0)](function(_0x15591e){const _0x2eec13=_0x31c2ef;_0x11d3b6[_0x2eec13(0xb4a)]=_0x15591e[_0x2eec13(0x2214)]||[];})[_0x31c2ef(0x1cb0)](function(){const _0xaa3963=_0x31c2ef;return _0x56aaec[_0xaa3963(0x2199)]['get']({'userProfileId':_0x11d3b6[_0xaa3963(0xe76)]['userProfileId'],'sectionId':0x3ec})[_0xaa3963(0x1d77)];})[_0x31c2ef(0x1cb0)](function(_0x156299){const _0x16db42=_0x31c2ef,_0x35acdc=_0x156299&&_0x156299['rows']?_0x156299[_0x16db42(0x2214)][0x0]:null;if(!_0x35acdc)_0x11d3b6['intervals']=[];else{if(!_0x35acdc[_0x16db42(0x12f4)])return _0x56aaec[_0x16db42(0x1198)]['get']({'sectionId':_0x35acdc['id']})[_0x16db42(0x1d77)]['then'](function(_0x4c80db){const _0xc93058=_0x16db42,_0x22a33b=_0x4c80db&&_0x4c80db[_0xc93058(0x2214)]?_0x4c80db['rows']:[],_0x5c6f1f=[];let _0x2ff994=null;_0x11d3b6[_0xc93058(0x1ac8)]&&(_0x2ff994=_0x39641b()['find'](_0x11d3b6[_0xc93058(0xb4a)],{'name':_0x11d3b6['interval'][_0xc93058(0x1a60)]})),_0x2ff994&&!_0x39641b()[_0xc93058(0x727)](_0x22a33b,[_0xc93058(0x2982),_0x2ff994['id']])&&_0x11d3b6[_0xc93058(0xb4a)]['forEach'](function(_0x1f0cef){const _0x39dea3=_0xc93058;_0x1f0cef['id']===_0x2ff994['id']&&(_0x1f0cef[_0x39dea3(0x15da)]=![],_0x5c6f1f[_0x39dea3(0x2785)](_0x1f0cef));}),_0x11d3b6[_0xc93058(0xb4a)]=_0x5c6f1f;});}})[_0x31c2ef(0x1c4)](function(_0x390c74){const _0x531174=_0x31c2ef;_0xc7c8bd[_0x531174(0x218e)]({'title':_0x390c74['status']?_0x531174(0xeb9)+_0x390c74[_0x531174(0x291)]+_0x531174(0x1657)+_0x390c74[_0x531174(0xc22)]:_0x531174(0xdac),'msg':_0x390c74[_0x531174(0x25c)]?JSON['stringify'](_0x390c74['data']):_0x390c74[_0x531174(0x147f)]()});}));function _0xe28ba1(){const _0x5f5055=_0x31c2ef;switch(_0x11d3b6['type']){case _0x5f5055(0x2017):case _0x5f5055(0x240):return _0x5f5055(0x965);case _0x5f5055(0x197c):{const _0x253b20=[];if(_0x11d3b6[_0x5f5055(0x718)]!=='*'&&_0x11d3b6[_0x5f5055(0x718)]&&_0x11d3b6['timeRangeTo']){const _0x30e573=(_0x11d3b6['timeRangeFrom'][_0x5f5055(0x1169)]()<0xa?'0':'')+_0x11d3b6[_0x5f5055(0x718)][_0x5f5055(0x1169)]()+':'+((_0x11d3b6[_0x5f5055(0x718)][_0x5f5055(0x851)]()<0xa?'0':'')+_0x11d3b6['timeRangeFrom'][_0x5f5055(0x851)]()),_0xa44dfe=(_0x11d3b6[_0x5f5055(0x64a)]['getHours']()<0xa?'0':'')+_0x11d3b6[_0x5f5055(0x64a)][_0x5f5055(0x1169)]()+':'+((_0x11d3b6['timeRangeTo']['getMinutes']()<0xa?'0':'')+_0x11d3b6[_0x5f5055(0x64a)]['getMinutes']());_0x253b20[_0x5f5055(0x2785)](_0x30e573+'-'+_0xa44dfe);}else _0x253b20[_0x5f5055(0x2785)]('*');return _0x11d3b6[_0x5f5055(0x28a6)]?_0x11d3b6[_0x5f5055(0x28db)]?_0x253b20[_0x5f5055(0x2785)](_0x11d3b6[_0x5f5055(0x28a6)]+'-'+_0x11d3b6[_0x5f5055(0x28db)]):_0x253b20[_0x5f5055(0x2785)](_0x11d3b6[_0x5f5055(0x28a6)]):_0x253b20[_0x5f5055(0x2785)]('*'),_0x11d3b6[_0x5f5055(0xd6f)]?_0x11d3b6[_0x5f5055(0x194d)]?_0x253b20[_0x5f5055(0x2785)](_0x11d3b6[_0x5f5055(0xd6f)]+'-'+_0x11d3b6[_0x5f5055(0x194d)]):_0x253b20[_0x5f5055(0x2785)](_0x11d3b6[_0x5f5055(0xd6f)]):_0x253b20[_0x5f5055(0x2785)]('*'),_0x11d3b6[_0x5f5055(0x1756)]?_0x11d3b6[_0x5f5055(0xa68)]?_0x253b20[_0x5f5055(0x2785)](_0x11d3b6[_0x5f5055(0x1756)]+'-'+_0x11d3b6[_0x5f5055(0xa68)]):_0x253b20[_0x5f5055(0x2785)](_0x11d3b6['monthFrom']):_0x253b20['push']('*'),_0x253b20[_0x5f5055(0x1f66)]();}}}function _0x3e39a2(){const _0xdea929=_0x31c2ef;_0x11d3b6[_0xdea929(0x1a7c)]=[],_0x11d3b6['interval'][_0xdea929(0x1ac8)]=_0xe28ba1(),_0x56aaec[_0xdea929(0x1ac8)]['save'](_0x11d3b6[_0xdea929(0x1ac8)])['$promise'][_0xdea929(0x1cb0)](function(_0x29f192){const _0xe87265=_0xdea929;_0x11d3b6['intervals'][_0xe87265(0x2785)](_0x29f192),_0xc7c8bd[_0xe87265(0x829)]({'title':_0xe87265(0x1d4e),'msg':_0x11d3b6[_0xe87265(0x1ac8)]['name']?_0x11d3b6[_0xe87265(0x1ac8)][_0xe87265(0x16b6)]+'\x20has\x20been\x20created!':''}),_0x56c590();})[_0xdea929(0x1c4)](function(_0x5bdb38){const _0x46fd85=_0xdea929;console['error'](_0x5bdb38),_0x11d3b6[_0x46fd85(0x1a7c)]=_0x5bdb38['data'][_0x46fd85(0x1a7c)]||[{'message':_0x5bdb38[_0x46fd85(0x147f)](),'type':_0x46fd85(0x24f7)}];});}function _0x37d115(){const _0x447ed4=_0x31c2ef;_0x11d3b6[_0x447ed4(0x1a7c)]=[],_0x11d3b6[_0x447ed4(0x1ac8)]['interval']=_0xe28ba1(),_0x11d3b6[_0x447ed4(0x1ac8)][_0x447ed4(0x171b)]?(_0x11d3b6[_0x447ed4(0x66a)]!==_0x447ed4(0x240)&&(_0x11d3b6[_0x447ed4(0x1ac8)][_0x447ed4(0x1a60)]=null),_0x56c590(_0x11d3b6[_0x447ed4(0x1ac8)])):_0x56aaec[_0x447ed4(0x1ac8)][_0x447ed4(0x687)]({'id':_0x11d3b6[_0x447ed4(0x1ac8)]['id']},_0x11d3b6['interval'])[_0x447ed4(0x1d77)][_0x447ed4(0x1cb0)](function(_0x3ab59b){const _0x1fab2f=_0x447ed4,_0x502c8b=_0x39641b()[_0x1fab2f(0x13b4)](_0x11d3b6[_0x1fab2f(0xb4a)],{'id':_0x3ab59b['id']});_0x502c8b&&_0x39641b()[_0x1fab2f(0x9c1)](_0x502c8b,_0x3ab59b),_0xc7c8bd[_0x1fab2f(0x829)]({'title':_0x1fab2f(0x1498),'msg':_0x1fab2f(0x2994)}),_0x56c590();})[_0x447ed4(0x1c4)](function(_0x3909c4){const _0x56d2a7=_0x447ed4;console['error'](_0x3909c4),_0x11d3b6[_0x56d2a7(0x1a7c)]=_0x3909c4[_0x56d2a7(0x25c)][_0x56d2a7(0x1a7c)]||[{'message':_0x3909c4[_0x56d2a7(0x147f)](),'type':_0x56d2a7(0x2505)}];});}function _0x56c590(_0x321e49){const _0x26ef4d=_0x31c2ef;_0x37f73d[_0x26ef4d(0x1426)](_0x321e49);}}const _0x1f4cbd=_0x2080a;;const _0x1b764a=_0x5074a3['p']+_0x5537c6(0x1dbe);;_0x3f5f32['$inject']=[_0x5537c6(0x173),'$scope',_0x5537c6(0x406),'$q',_0x5537c6(0x1ae),'$timeout','$mdDialog',_0x5537c6(0x22bf),'toasty','api',_0x5537c6(0x1774)];function _0x3f5f32(_0xc45650,_0xf71f5f,_0x40ce64,_0x3d39a1,_0x3471ca,_0x481b94,_0x31ed7c,_0xf1e0ef,_0x2879a5,_0x41edb3,_0x373b12){const _0x3540a9=_0x5537c6,_0xda8a6f=this;_0xda8a6f[_0x3540a9(0xe76)]=_0x373b12['getCurrentUser'](),_0xda8a6f[_0x3540a9(0x1ac8)]={},_0xda8a6f[_0x3540a9(0x1233)]={'count':0x0,'rows':[]},_0xda8a6f[_0x3540a9(0xa37)]=[],_0xda8a6f[_0x3540a9(0x1b1a)],_0xda8a6f[_0x3540a9(0x831)]={'animation':0x64,'onSort':function(_0x1480b3){const _0x31a126=_0x3540a9;_0x41edb3[_0x31a126(0x1ac8)]['addIntervals']({'id':_0xda8a6f[_0x31a126(0x1ac8)]['id']},_0x1480b3[_0x31a126(0x1171)])[_0x31a126(0x1d77)][_0x31a126(0x1cb0)](function(_0x13aae0){const _0x201b8c=_0x31a126;_0xda8a6f[_0x201b8c(0x1233)][_0x201b8c(0x2214)]=_0x13aae0;})[_0x31a126(0x1c4)](function(_0x5f386f){const _0x4c46d0=_0x31a126;_0x2879a5[_0x4c46d0(0x218e)]({'title':_0x5f386f[_0x4c46d0(0x291)]?_0x4c46d0(0xeb9)+_0x5f386f[_0x4c46d0(0x291)]+'\x20-\x20'+_0x5f386f[_0x4c46d0(0xc22)]:_0x4c46d0(0xd99),'msg':_0x5f386f['data']?JSON[_0x4c46d0(0x2701)](_0x5f386f[_0x4c46d0(0x25c)]):_0x5f386f['toString']()});});}},_0xda8a6f[_0x3540a9(0xae2)]={'fields':_0x3540a9(0x2048),'nolimit':'true','limit':0xa,'page':0x1},_0xda8a6f[_0x3540a9(0x1a8e)]=_0x346425,_0xda8a6f[_0x3540a9(0xb25)]=_0x5d7c7d,_0xda8a6f['success']=_0x5c1a3c,_0xda8a6f[_0x3540a9(0xc1a)]=_0x1e2fb4,_0xda8a6f[_0x3540a9(0x104a)]=_0x5ae064,_0xda8a6f['exportSelectedIntervalIntervals']=_0x1a49af,_0xda8a6f[_0x3540a9(0x26b1)]=_0x28a62b,_0xda8a6f['deleteSelectedIntervalIntervals']=_0x310621;function _0x346425(_0x36d2d7,_0x509d45){const _0x1b610f=_0x3540a9;_0xda8a6f[_0x1b610f(0x1ac8)]=_0x36d2d7,_0xda8a6f[_0x1b610f(0x1b1a)]=typeof _0x509d45!==_0x1b610f(0x16b5)?_0x509d45:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0xda8a6f['sortableTable'][_0x1b610f(0x379)]=!_0xda8a6f[_0x1b610f(0x1b1a)][_0x1b610f(0xb3d)]?!![]:![],_0xda8a6f[_0x1b610f(0xae2)]['id']=_0xda8a6f[_0x1b610f(0x1ac8)]['id'],_0x1e2fb4();}function _0x5d7c7d(_0x341c3a,_0x47635f){const _0xe47517=_0x3540a9,_0xb1771a=_0x31ed7c['confirm']()['title'](_0xe47517(0x1e5b))[_0xe47517(0x49e)](_0xe47517(0x204d)+(_0x341c3a[_0xe47517(0x16b6)]||_0x341c3a['id']&&_0x39641b()[_0xe47517(0x277)](_0xe47517(0x49a))+_0x341c3a['id']||_0xe47517(0x1ac8))+_0xe47517(0x1200)+'\x20will\x20be\x20deleted.')[_0xe47517(0x15ad)](_0xe47517(0x239))[_0xe47517(0x728)](_0x47635f)['ok']('OK')[_0xe47517(0x696)]('CANCEL');_0x31ed7c[_0xe47517(0xe27)](_0xb1771a)['then'](function(){_0x28a62b(_0x341c3a);},function(){const _0x50af54=_0xe47517;console[_0x50af54(0x1b4f)](_0x50af54(0x24ba));});}function _0x5c1a3c(_0x1a25b1){const _0x14ce0e=_0x3540a9;_0xda8a6f[_0x14ce0e(0x1233)]=_0x1a25b1||{'count':0x0,'rows':[]};}function _0x1e2fb4(){const _0x24824b=_0x3540a9;_0xda8a6f[_0x24824b(0xae2)]['offset']=(_0xda8a6f[_0x24824b(0xae2)][_0x24824b(0x1c7b)]-0x1)*_0xda8a6f['query'][_0x24824b(0x236)],_0xda8a6f['promise']=_0x41edb3['interval'][_0x24824b(0x22fc)](_0xda8a6f[_0x24824b(0xae2)],_0x5c1a3c)[_0x24824b(0x1d77)];}function _0x5ae064(_0x4ae698,_0x15d096){const _0x400275=_0x3540a9;_0x31ed7c[_0x400275(0xe27)]({'controller':'EditAppintervalDialogController','controllerAs':'vm','templateUrl':_0x1b764a,'parent':angular[_0x400275(0x1853)](_0xf1e0ef['body']),'targetEvent':_0x4ae698,'clickOutsideToClose':!![],'locals':{'interval':_0x15d096,'intervals':_0xda8a6f[_0x400275(0x1233)][_0x400275(0x2214)],'license':null,'setting':null,'crudPermissions':_0xda8a6f[_0x400275(0x1b1a)]}});}function _0x28a62b(_0x2201f7){const _0x222533=_0x3540a9;_0x41edb3[_0x222533(0x1ac8)][_0x222533(0x111d)]({'id':_0x2201f7['id']})['$promise'][_0x222533(0x1cb0)](function(){const _0x14f861=_0x222533;_0x39641b()[_0x14f861(0x152a)](_0xda8a6f[_0x14f861(0x1233)][_0x14f861(0x2214)],{'id':_0x2201f7['id']}),_0xda8a6f[_0x14f861(0x1233)][_0x14f861(0x184d)]-=0x1,!_0xda8a6f['intervalIntervals'][_0x14f861(0x2214)][_0x14f861(0xfd0)]&&_0x1e2fb4(),_0x2879a5[_0x14f861(0x829)]({'title':_0x14f861(0x15b1),'msg':_0x2201f7['name']?_0x2201f7[_0x14f861(0x16b6)]+_0x14f861(0x3f5):''});})[_0x222533(0x1c4)](function(_0x2f525){const _0x354808=_0x222533;if(_0x2f525[_0x354808(0x25c)]&&_0x2f525[_0x354808(0x25c)]['errors']&&_0x2f525[_0x354808(0x25c)][_0x354808(0x1a7c)]['length']){_0xda8a6f['errors']=_0x2f525[_0x354808(0x25c)][_0x354808(0x1a7c)]||[{'message':_0x2f525[_0x354808(0x147f)](),'type':_0x354808(0x1b58)}];for(let _0x2f6152=0x0;_0x2f6152<_0x2f525[_0x354808(0x25c)]['errors'][_0x354808(0xfd0)];_0x2f6152++){_0x2879a5[_0x354808(0x218e)]({'title':_0x2f525['data'][_0x354808(0x1a7c)][_0x2f6152]['type'],'msg':_0x2f525[_0x354808(0x25c)][_0x354808(0x1a7c)][_0x2f6152][_0x354808(0x155e)]});}}else _0x2879a5[_0x354808(0x218e)]({'title':_0x2f525['status']?'API:'+_0x2f525['status']+_0x354808(0x1657)+_0x2f525[_0x354808(0xc22)]:_0x354808(0x1b58),'msg':_0x2f525[_0x354808(0x25c)]?JSON[_0x354808(0x2701)](_0x2f525[_0x354808(0x25c)]['message']):_0x2f525[_0x354808(0x155e)]||_0x2f525[_0x354808(0x147f)]()});});}function _0x1a49af(){const _0x4d5467=_0x3540a9,_0x13f17c=angular[_0x4d5467(0x17fe)](_0xda8a6f[_0x4d5467(0xa37)]);return _0xda8a6f[_0x4d5467(0xa37)]=[],_0x13f17c;}function _0x310621(_0x1c7be2){const _0xe4461e=_0x3540a9,_0x50f1c3=_0x31ed7c[_0xe4461e(0x1551)]()['title'](_0xe4461e(0xc38))[_0xe4461e(0x49e)](_0xe4461e(0x204d)+_0xda8a6f[_0xe4461e(0xa37)][_0xe4461e(0xfd0)]+_0xe4461e(0x1d6c)+'\x20will\x20be\x20deleted.')['ariaLabel'](_0xe4461e(0x6d9))[_0xe4461e(0x728)](_0x1c7be2)['ok']('OK')[_0xe4461e(0x696)](_0xe4461e(0x24ba));_0x31ed7c['show'](_0x50f1c3)[_0xe4461e(0x1cb0)](function(){const _0x40d572=_0xe4461e;_0xda8a6f[_0x40d572(0xa37)][_0x40d572(0xf90)](function(_0x4c7905){_0x28a62b(_0x4c7905);}),_0xda8a6f[_0x40d572(0xa37)]=[];});}let _0x406796=!![],_0x1a3173=0x1;_0xf71f5f[_0x3540a9(0x614)]('vm_dc.query.filter',function(_0x19dc32,_0x1dd920){const _0x11ffea=_0x3540a9;_0x406796?_0x481b94(function(){_0x406796=![];}):(!_0x1dd920&&(_0x1a3173=_0xda8a6f[_0x11ffea(0xae2)]['page']),_0x19dc32!==_0x1dd920&&(_0xda8a6f[_0x11ffea(0xae2)][_0x11ffea(0x1c7b)]=0x1),!_0x19dc32&&(_0xda8a6f[_0x11ffea(0xae2)][_0x11ffea(0x1c7b)]=_0x1a3173),_0x1e2fb4());});}const _0x1e79a4=_0x3f5f32;;_0x4ca277[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$document',_0x5537c6(0x1ae),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x142b),_0x5537c6(0x9bf),'Auth',_0x5537c6(0x1ac8),_0x5537c6(0x2199)];function _0x4ca277(_0x5a8c7f,_0x3d0964,_0x2c92ed,_0x336490,_0x2ffe2f,_0x2314d8,_0x3f37b2,_0x19601f,_0x25e57e,_0x44da3f,_0x351db9,_0x423cf4){const _0x46c4de=_0x5537c6,_0x3a8462=this;_0x3a8462[_0x46c4de(0xe76)]=_0x44da3f['getCurrentUser'](),_0x3a8462[_0x46c4de(0x8a5)]=_0x2314d8,_0x3a8462[_0x46c4de(0x9ca)]=_0x3f37b2,_0x3a8462[_0x46c4de(0x1b0c)]=_0x3a8462[_0x46c4de(0x9ca)][_0x46c4de(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x3a8462[_0x46c4de(0x2404)]=_0x3d0964['protocol']()+_0x46c4de(0x138b)+_0x3d0964[_0x46c4de(0x17d8)](),_0x3a8462[_0x46c4de(0x1ac8)]=_0x351db9||_0x5a8c7f[_0x46c4de(0x1dfe)]['interval']||{},_0x3a8462[_0x46c4de(0x2199)]=_0x423cf4&&_0x423cf4[_0x46c4de(0x184d)]==0x1?_0x423cf4[_0x46c4de(0x2214)][0x0]:null,_0x3a8462[_0x46c4de(0x1b1a)]=_0x44da3f[_0x46c4de(0x14ea)](_0x3a8462[_0x46c4de(0x2199)]?_0x3a8462['userProfileSection'][_0x46c4de(0x1b1a)]:null),_0x3a8462[_0x46c4de(0xf4c)]={},_0x3a8462[_0x46c4de(0x8ec)]=_0x5a8c7f[_0x46c4de(0x1dfe)][_0x46c4de(0x291e)]||0x0,_0x3a8462[_0x46c4de(0x494)]=_0x25e57e[_0x46c4de(0x28c7)],_0x3a8462[_0x46c4de(0x184f)]=_0x12824e,_0x3a8462[_0x46c4de(0x1891)]=_0xcd0253;function _0x12824e(){const _0x122fa3=_0x46c4de;_0x5a8c7f['go'](_0x122fa3(0x115e),{},{'reload':_0x122fa3(0x115e)});}function _0xcd0253(){const _0x47fdab=_0x46c4de;_0x19601f[_0x47fdab(0x1ac8)][_0x47fdab(0x687)]({'id':_0x3a8462[_0x47fdab(0x1ac8)]['id']},_0x3a8462[_0x47fdab(0x1ac8)])[_0x47fdab(0x1d77)][_0x47fdab(0x1cb0)](function(){const _0x5d7bd1=_0x47fdab;_0x25e57e[_0x5d7bd1(0x829)]({'title':_0x5d7bd1(0x2758),'msg':_0x3a8462[_0x5d7bd1(0x1ac8)][_0x5d7bd1(0x16b6)]?_0x3a8462[_0x5d7bd1(0x1ac8)][_0x5d7bd1(0x16b6)]+'\x20has\x20been\x20updated!':''});})['catch'](function(_0xa376b2){const _0x1e01b1=_0x47fdab;_0x25e57e['error']({'title':_0xa376b2[_0x1e01b1(0x291)]?_0x1e01b1(0xeb9)+_0xa376b2[_0x1e01b1(0x291)]+_0x1e01b1(0x1657)+_0xa376b2['statusText']:_0x1e01b1(0x1b58),'msg':_0xa376b2[_0x1e01b1(0x25c)]?JSON['stringify'](_0xa376b2[_0x1e01b1(0x25c)]):_0xa376b2['toString']()});});}}const _0x2d1b1e=_0x4ca277;;const _0x294674=_0x5074a3['p']+_0x5537c6(0x840);;_0x473745[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$window',_0x5537c6(0x406),'$mdSidenav',_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x2168),'$translate',_0x5537c6(0xb4a),'userProfile','userProfileSection',_0x5537c6(0x142b),_0x5537c6(0xde8),'toasty',_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x473745(_0x170cb4,_0x5fa886,_0x552391,_0x25c8f3,_0x3a4365,_0x32c5f5,_0xac1f6a,_0x5bfd08,_0xe554d0,_0x46b537,_0x198032,_0x181b97,_0x50586e,_0x825aea,_0x3dcefa,_0x5a152e,_0x58b977){const _0x3cee6f=_0x5537c6,_0x34348d=this;_0x34348d['license']=_0x5a152e,_0x34348d[_0x3cee6f(0x9ca)]=_0x58b977,_0x34348d[_0x3cee6f(0xe76)]=_0x3dcefa[_0x3cee6f(0x21e8)](),_0x34348d['intervals']=_0xe554d0||{'count':0x0,'rows':[]},_0x34348d[_0x3cee6f(0x44a)]=_0x46b537,_0x34348d[_0x3cee6f(0x2199)]=_0x198032&&_0x198032[_0x3cee6f(0x184d)]==0x1?_0x198032[_0x3cee6f(0x2214)][0x0]:null,_0x34348d[_0x3cee6f(0x1b1a)]=_0x3dcefa['parseCrudPermissions'](_0x34348d[_0x3cee6f(0x2199)]?_0x34348d['userProfileSection'][_0x3cee6f(0x1b1a)]:null),_0x34348d['table']=_0x3cee6f(0xb4a),_0x34348d['listOrder']='',_0x34348d[_0x3cee6f(0x1cdf)]=null,_0x34348d[_0x3cee6f(0x2723)]=[],_0x34348d[_0x3cee6f(0xae2)]={'fields':_0x3cee6f(0x12e8),'sort':_0x3cee6f(0x282),'IntervalId':_0x3cee6f(0xd38),'limit':0xa,'page':0x1},_0x34348d['editstate']=_0x53b44a,_0x34348d[_0x3cee6f(0x27fe)]=_0x534a51,_0x34348d['success']=_0x28b7ed,_0x34348d[_0x3cee6f(0x22fc)]=_0x4b26c9,_0x34348d[_0x3cee6f(0x19e6)]=_0x607038,_0x34348d[_0x3cee6f(0x6ce)]=_0x1b80aa,_0x34348d[_0x3cee6f(0x1787)]=_0x13dc53,_0x34348d[_0x3cee6f(0xcfd)]=_0x50077a,_0x34348d[_0x3cee6f(0x5f2)]=_0x177863,_0x34348d[_0x3cee6f(0x20ef)]=_0x150849;function _0x53b44a(_0x206991){const _0xfc6713=_0x3cee6f;_0x552391['go'](_0xfc6713(0x1538),{'id':_0x206991['id'],'interval':_0x206991,'crudPermissions':_0x34348d[_0xfc6713(0x1b1a)]});}function _0x534a51(_0x189b72,_0xeec752){const _0xf879dd=_0x3cee6f,_0x3aa3fb=_0x3a4365[_0xf879dd(0x1551)]()[_0xf879dd(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20'+_0x39641b()[_0xf879dd(0xa75)]('interval')+'?')[_0xf879dd(0x49e)](''+(_0x189b72[_0xf879dd(0x16b6)]||'interval')+_0xf879dd(0x1200)+_0xf879dd(0x1b6))[_0xf879dd(0x15ad)]('delete\x20interval')[_0xf879dd(0x728)](_0xeec752)['ok']('OK')[_0xf879dd(0x696)](_0xf879dd(0x24ba));_0x3a4365[_0xf879dd(0xe27)](_0x3aa3fb)['then'](function(){_0x1b80aa(_0x189b72);},function(){const _0x14c36f=_0xf879dd;console[_0x14c36f(0x1b4f)](_0x14c36f(0x24ba));});}let _0x1a8a5f=!![],_0x40cdf3=0x1;_0x170cb4[_0x3cee6f(0x614)](_0x3cee6f(0x957),function(_0x3a7cf8,_0x5b56de){const _0x1d0c7c=_0x3cee6f;_0x1a8a5f?_0xac1f6a(function(){_0x1a8a5f=![];}):(!_0x5b56de&&(_0x40cdf3=_0x34348d['query'][_0x1d0c7c(0x1c7b)]),_0x3a7cf8!==_0x5b56de&&(_0x34348d[_0x1d0c7c(0xae2)][_0x1d0c7c(0x1c7b)]=0x1),!_0x3a7cf8&&(_0x34348d[_0x1d0c7c(0xae2)]['page']=_0x40cdf3),_0x34348d[_0x1d0c7c(0x22fc)]());});function _0x28b7ed(_0x5b2fd7){const _0x51c4a1=_0x3cee6f;_0x34348d[_0x51c4a1(0xb4a)]=_0x5b2fd7||{'count':0x0,'rows':[]};}function _0x4b26c9(){const _0x399ea6=_0x3cee6f;_0x34348d['query']['offset']=(_0x34348d[_0x399ea6(0xae2)]['page']-0x1)*_0x34348d[_0x399ea6(0xae2)][_0x399ea6(0x236)],_0x3dcefa[_0x399ea6(0x22b6)](_0x399ea6(0x1c60))?_0x34348d[_0x399ea6(0x2061)]=_0x181b97[_0x399ea6(0x1ac8)][_0x399ea6(0xbf7)](_0x34348d[_0x399ea6(0xae2)],_0x28b7ed)[_0x399ea6(0x1d77)]:(_0x34348d[_0x399ea6(0xae2)]['id']=_0x34348d[_0x399ea6(0x44a)]['id'],_0x34348d['query'][_0x399ea6(0x1f74)]=_0x399ea6(0xc69),_0x34348d[_0x399ea6(0x2061)]=_0x181b97['userProfile'][_0x399ea6(0x1810)](_0x34348d[_0x399ea6(0xae2)],_0x28b7ed)[_0x399ea6(0x1d77)]);}function _0x607038(_0x22686e,_0x22fb85){const _0x25a10f=_0x3cee6f;_0x3a4365['show']({'controller':_0x25a10f(0x1ff9),'controllerAs':'vm','templateUrl':_0x294674,'parent':angular[_0x25a10f(0x1853)](_0x32c5f5[_0x25a10f(0x1ed9)]),'targetEvent':_0x22686e,'clickOutsideToClose':!![],'locals':{'interval':_0x22fb85,'intervals':_0x34348d['intervals'][_0x25a10f(0x2214)],'license':_0x34348d['license'],'setting':_0x34348d['setting'],'crudPermissions':_0x34348d['crudPermissions']}});}function _0x1b80aa(_0x51b642){const _0x56e5b6=_0x3cee6f;_0x181b97[_0x56e5b6(0x1ac8)][_0x56e5b6(0x111d)]({'id':_0x51b642['id']})[_0x56e5b6(0x1d77)][_0x56e5b6(0x1cb0)](function(){const _0x21f994=_0x56e5b6;_0x39641b()[_0x21f994(0x152a)](_0x34348d[_0x21f994(0xb4a)][_0x21f994(0x2214)],{'id':_0x51b642['id']}),_0x34348d['intervals'][_0x21f994(0x184d)]-=0x1,!_0x34348d[_0x21f994(0xb4a)][_0x21f994(0x2214)]['length']&&_0x34348d['getIntervals'](),_0x825aea[_0x21f994(0x829)]({'title':_0x39641b()[_0x21f994(0xa75)](_0x21f994(0x1d81))+'\x20deleted!','msg':_0x51b642['name']?_0x51b642[_0x21f994(0x16b6)]+_0x21f994(0x3f5):''});})[_0x56e5b6(0x1c4)](function(_0x2c99c8){const _0x1d4453=_0x56e5b6;if(_0x2c99c8[_0x1d4453(0x25c)]&&_0x2c99c8[_0x1d4453(0x25c)][_0x1d4453(0x1a7c)]&&_0x2c99c8[_0x1d4453(0x25c)][_0x1d4453(0x1a7c)]['length']){_0x34348d['errors']=_0x2c99c8['data']['errors']||[{'message':_0x2c99c8[_0x1d4453(0x147f)](),'type':'SYSTEM:DELETEinterval'}];for(let _0x445ac8=0x0;_0x445ac8<_0x2c99c8[_0x1d4453(0x25c)][_0x1d4453(0x1a7c)][_0x1d4453(0xfd0)];_0x445ac8++){_0x825aea[_0x1d4453(0x218e)]({'title':_0x2c99c8[_0x1d4453(0x25c)][_0x1d4453(0x1a7c)][_0x445ac8][_0x1d4453(0x66a)],'msg':_0x2c99c8[_0x1d4453(0x25c)]['errors'][_0x445ac8][_0x1d4453(0x155e)]});}}else _0x825aea[_0x1d4453(0x218e)]({'title':_0x2c99c8[_0x1d4453(0x291)]?_0x1d4453(0xeb9)+_0x2c99c8['status']+_0x1d4453(0x1657)+_0x2c99c8['statusText']:_0x1d4453(0xe7a),'msg':_0x2c99c8['data']?JSON[_0x1d4453(0x2701)](_0x2c99c8['data'][_0x1d4453(0x155e)]):_0x2c99c8[_0x1d4453(0x155e)]||_0x2c99c8['toString']()});});}function _0x13dc53(){const _0x8b888c=_0x3cee6f,_0x3b786a=angular[_0x8b888c(0x17fe)](_0x34348d[_0x8b888c(0x2723)]);return _0x34348d['selectedIntervals']=[],_0x3b786a;}function _0x50077a(_0x547b99){const _0x1eb304=_0x3cee6f,_0x562f5d=_0x3a4365[_0x1eb304(0x1551)]()[_0x1eb304(0x1386)](_0x1eb304(0xc38))[_0x1eb304(0x49e)](_0x1eb304(0x204d)+_0x34348d[_0x1eb304(0x2723)]['length']+'\x20selected'+_0x1eb304(0x1b6))[_0x1eb304(0x15ad)](_0x1eb304(0x1aea))[_0x1eb304(0x728)](_0x547b99)['ok']('OK')[_0x1eb304(0x696)](_0x1eb304(0x24ba));_0x3a4365[_0x1eb304(0xe27)](_0x562f5d)[_0x1eb304(0x1cb0)](function(){const _0x22598d=_0x1eb304;_0x34348d[_0x22598d(0x2723)][_0x22598d(0xf90)](function(_0x36f168){_0x1b80aa(_0x36f168);}),_0x34348d[_0x22598d(0x2723)]=[];});}function _0x177863(){const _0x2d51e4=_0x3cee6f;_0x34348d[_0x2d51e4(0x2723)]=[];}function _0x150849(){const _0x41ee4b=_0x3cee6f;_0x34348d[_0x41ee4b(0x2723)]=_0x34348d[_0x41ee4b(0xb4a)][_0x41ee4b(0x2214)];}}const _0x3620ef=_0x473745;;_0x3cfd65[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$state',_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x8de),_0x5537c6(0x785),_0x5537c6(0x142b),'Auth',_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x3cfd65(_0xad0022,_0x468b9e,_0x2ed713,_0x1cdb2f,_0x2b2866,_0x428fd2,_0x1d02f0,_0x47504e,_0x33e511,_0xae56f4,_0x28cbf6,_0x165423,_0x43ac86,_0x430757){const _0x314d9c=_0x5537c6,_0x722c84=this;_0x722c84['currentUser']=_0x28cbf6['getCurrentUser'](),_0x722c84[_0x314d9c(0x1a7c)]=[],_0x722c84[_0x314d9c(0x9ca)]=_0x43ac86,_0x722c84[_0x314d9c(0x8a5)]=_0x165423,_0x722c84['crudPermissions']=_0x430757,_0x722c84[_0x314d9c(0xf4c)]={},_0x722c84[_0x314d9c(0x1b0c)]=_0x722c84['setting']&&_0x722c84[_0x314d9c(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x722c84[_0x314d9c(0x1386)]=_0x314d9c(0x247f),_0x722c84[_0x314d9c(0x785)]=angular[_0x314d9c(0x17fe)](_0x33e511),_0x722c84['pauses']=_0x47504e,_0x722c84[_0x314d9c(0x18a)]=![];!_0x722c84[_0x314d9c(0x785)]&&(_0x722c84[_0x314d9c(0x785)]={},_0x722c84['title']=_0x314d9c(0xf99),_0x722c84['newPause']=!![]);_0x722c84[_0x314d9c(0x11cb)]=_0x280dac,_0x722c84[_0x314d9c(0xfc5)]=_0x4eba28,_0x722c84[_0x314d9c(0x17cd)]=_0x5ea8b1,_0x722c84['getDateFromString']=_0xb19feb,_0x722c84[_0x314d9c(0xda0)]=_0x1a1894;function _0x280dac(){const _0x17cd42=_0x314d9c;_0x722c84[_0x17cd42(0x1a7c)]=[],_0xae56f4['pause']['save'](_0x722c84[_0x17cd42(0x785)])[_0x17cd42(0x1d77)][_0x17cd42(0x1cb0)](function(_0x287208){const _0x14ccde=_0x17cd42;_0x722c84[_0x14ccde(0x8de)][_0x14ccde(0xf63)](_0x287208[_0x14ccde(0x19b2)]()),_0x1d02f0[_0x14ccde(0x829)]({'title':_0x14ccde(0x2419),'msg':_0x722c84[_0x14ccde(0x785)][_0x14ccde(0x16b6)]?_0x722c84['pause'][_0x14ccde(0x16b6)]+_0x14ccde(0x470):''}),_0x1a1894(_0x287208);})[_0x17cd42(0x1c4)](function(_0x26c116){const _0x3c2247=_0x17cd42;if(_0x26c116[_0x3c2247(0x25c)]&&_0x26c116[_0x3c2247(0x25c)][_0x3c2247(0x1a7c)]&&_0x26c116[_0x3c2247(0x25c)][_0x3c2247(0x1a7c)][_0x3c2247(0xfd0)]){_0x722c84[_0x3c2247(0x1a7c)]=_0x26c116['data'][_0x3c2247(0x1a7c)]||[{'message':_0x26c116[_0x3c2247(0x147f)](),'type':_0x3c2247(0x1963)}];for(let _0x5c8ee5=0x0;_0x5c8ee5<_0x26c116[_0x3c2247(0x25c)][_0x3c2247(0x1a7c)][_0x3c2247(0xfd0)];_0x5c8ee5+=0x1){_0x1d02f0[_0x3c2247(0x218e)]({'title':_0x26c116[_0x3c2247(0x25c)][_0x3c2247(0x1a7c)][_0x5c8ee5][_0x3c2247(0x66a)],'msg':_0x26c116[_0x3c2247(0x25c)][_0x3c2247(0x1a7c)][_0x5c8ee5][_0x3c2247(0x155e)]});}}else _0x1d02f0[_0x3c2247(0x218e)]({'title':_0x26c116[_0x3c2247(0x291)]?_0x3c2247(0xeb9)+_0x26c116[_0x3c2247(0x291)]+_0x3c2247(0x1657)+_0x26c116[_0x3c2247(0xc22)]:_0x3c2247(0x1963),'msg':_0x26c116[_0x3c2247(0x25c)]?JSON['stringify'](_0x26c116[_0x3c2247(0x25c)][_0x3c2247(0x155e)]):_0x26c116[_0x3c2247(0x147f)]()});});}function _0x4eba28(){const _0x1329fd=_0x314d9c;_0x722c84[_0x1329fd(0x1a7c)]=[],_0xae56f4[_0x1329fd(0x785)][_0x1329fd(0x687)]({'id':_0x722c84[_0x1329fd(0x785)]['id']},_0x722c84['pause'])[_0x1329fd(0x1d77)][_0x1329fd(0x1cb0)](function(_0x514c34){const _0x50b5bd=_0x1329fd,_0x540879=_0x39641b()[_0x50b5bd(0x13b4)](_0x722c84['pauses'],{'id':_0x514c34['id']});_0x540879&&_0x39641b()['merge'](_0x540879,_0x39641b()[_0x50b5bd(0x169b)](_0x514c34['toJSON'](),_0x39641b()['keys'](_0x540879))),_0x1d02f0[_0x50b5bd(0x829)]({'title':_0x50b5bd(0x16f9),'msg':_0x722c84[_0x50b5bd(0x785)][_0x50b5bd(0x16b6)]?_0x722c84[_0x50b5bd(0x785)][_0x50b5bd(0x16b6)]+_0x50b5bd(0xedb):''}),_0x1a1894(_0x514c34);})['catch'](function(_0x293d00){const _0x18b4ff=_0x1329fd;if(_0x293d00[_0x18b4ff(0x25c)]&&_0x293d00['data'][_0x18b4ff(0x1a7c)]&&_0x293d00[_0x18b4ff(0x25c)][_0x18b4ff(0x1a7c)][_0x18b4ff(0xfd0)]){_0x722c84[_0x18b4ff(0x1a7c)]=_0x293d00[_0x18b4ff(0x25c)]['errors']||[{'message':_0x293d00[_0x18b4ff(0x147f)](),'type':_0x18b4ff(0x2879)}];for(let _0x14f72c=0x0;_0x14f72c<_0x293d00['data']['errors']['length'];_0x14f72c++){_0x1d02f0[_0x18b4ff(0x218e)]({'title':_0x293d00[_0x18b4ff(0x25c)][_0x18b4ff(0x1a7c)][_0x14f72c][_0x18b4ff(0x66a)],'msg':_0x293d00[_0x18b4ff(0x25c)][_0x18b4ff(0x1a7c)][_0x14f72c][_0x18b4ff(0x155e)]});}}else _0x1d02f0[_0x18b4ff(0x218e)]({'title':_0x293d00[_0x18b4ff(0x291)]?_0x18b4ff(0xeb9)+_0x293d00[_0x18b4ff(0x291)]+'\x20-\x20'+_0x293d00['statusText']:_0x18b4ff(0x2879),'msg':_0x293d00['data']?JSON['stringify'](_0x293d00[_0x18b4ff(0x25c)][_0x18b4ff(0x155e)]):_0x293d00[_0x18b4ff(0x147f)]()});});}function _0x5ea8b1(_0x2b71a9){const _0x22f26e=_0x314d9c;_0x722c84[_0x22f26e(0x1a7c)]=[];const _0xc210e6=_0x1cdb2f[_0x22f26e(0x1551)]()['title']('Are\x20you\x20sure?')[_0x22f26e(0x862)](_0x22f26e(0x16f1))[_0x22f26e(0x15ad)](_0x22f26e(0x18c2))['ok'](_0x22f26e(0x2594))['cancel'](_0x22f26e(0xde1))[_0x22f26e(0x728)](_0x2b71a9);_0x1cdb2f[_0x22f26e(0xe27)](_0xc210e6)[_0x22f26e(0x1cb0)](function(){const _0x35f773=_0x22f26e;_0xae56f4[_0x35f773(0x785)][_0x35f773(0x111d)]({'id':_0x722c84[_0x35f773(0x785)]['id']})[_0x35f773(0x1d77)][_0x35f773(0x1cb0)](function(){const _0x5a3dcf=_0x35f773;_0x39641b()[_0x5a3dcf(0x152a)](_0x722c84[_0x5a3dcf(0x8de)],{'id':_0x722c84['pause']['id']}),_0x1d02f0['success']({'title':_0x5a3dcf(0x11ed),'msg':(_0x722c84[_0x5a3dcf(0x785)][_0x5a3dcf(0x16b6)]||_0x5a3dcf(0x785))+_0x5a3dcf(0x3f5)}),_0x1a1894(_0x722c84[_0x5a3dcf(0x785)]);})[_0x35f773(0x1c4)](function(_0x55b23b){const _0x55db71=_0x35f773;if(_0x55b23b[_0x55db71(0x25c)]&&_0x55b23b[_0x55db71(0x25c)]['errors']&&_0x55b23b['data']['errors'][_0x55db71(0xfd0)]){_0x722c84['errors']=_0x55b23b[_0x55db71(0x25c)][_0x55db71(0x1a7c)]||[{'message':_0x55b23b[_0x55db71(0x147f)](),'type':_0x55db71(0x18d1)}];for(let _0x42e622=0x0;_0x42e622<_0x55b23b[_0x55db71(0x25c)][_0x55db71(0x1a7c)]['length'];_0x42e622++){_0x1d02f0[_0x55db71(0x218e)]({'title':_0x55b23b[_0x55db71(0x25c)][_0x55db71(0x1a7c)][_0x42e622][_0x55db71(0x66a)],'msg':_0x55b23b[_0x55db71(0x25c)][_0x55db71(0x1a7c)][_0x42e622][_0x55db71(0x155e)]});}}else _0x1d02f0[_0x55db71(0x218e)]({'title':_0x55b23b[_0x55db71(0x291)]?_0x55db71(0xeb9)+_0x55b23b[_0x55db71(0x291)]+_0x55db71(0x1657)+_0x55b23b[_0x55db71(0xc22)]:_0x55db71(0x18d1),'msg':_0x55b23b[_0x55db71(0x25c)]?JSON[_0x55db71(0x2701)](_0x55b23b[_0x55db71(0x25c)]['message']):_0x55b23b[_0x55db71(0x155e)]||_0x55b23b[_0x55db71(0x147f)]()});});},function(){});}function _0xb19feb(_0x1873e6){return _0x1873e6===null?undefined:new Date(_0x1873e6);}function _0x1a1894(_0x134650){_0x1cdb2f['hide'](_0x134650);}}const _0x9fd33f=_0x3cfd65;;const _0x5efada=_0x5074a3['p']+_0x5537c6(0x1641);;_0x2ac0dd[_0x5537c6(0x15b6)]=['$scope','$window','$state',_0x5537c6(0x417),'$mdDialog',_0x5537c6(0x22bf),'$timeout','$translate','pauses','userProfile',_0x5537c6(0x2199),_0x5537c6(0x142b),_0x5537c6(0xde8),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x2ac0dd(_0x156086,_0x23d675,_0x534791,_0x9c20b7,_0x1384fd,_0x3f8893,_0x34e7cd,_0x52299d,_0x274866,_0x189aba,_0xc1569c,_0x17c838,_0x36ff49,_0x1e57e4,_0x55939d,_0x2ffe57,_0xf49dd7){const _0x48526e=_0x5537c6,_0x357d79=this;_0x357d79[_0x48526e(0x8a5)]=_0x2ffe57,_0x357d79[_0x48526e(0x9ca)]=_0xf49dd7,_0x357d79['currentUser']=_0x55939d[_0x48526e(0x21e8)](),_0x357d79[_0x48526e(0x8de)]=_0x274866||{'count':0x0,'rows':[]},_0x357d79[_0x48526e(0x44a)]=_0x189aba,_0x357d79['userProfileSection']=_0xc1569c&&_0xc1569c['count']==0x1?_0xc1569c[_0x48526e(0x2214)][0x0]:null,_0x357d79[_0x48526e(0x1b1a)]=_0x55939d['parseCrudPermissions'](_0x357d79[_0x48526e(0x2199)]?_0x357d79[_0x48526e(0x2199)][_0x48526e(0x1b1a)]:null),_0x357d79[_0x48526e(0xc83)]=_0x48526e(0x8de),_0x357d79[_0x48526e(0x1d20)]='',_0x357d79[_0x48526e(0x1cdf)]=null,_0x357d79[_0x48526e(0x1b07)]=[],_0x357d79[_0x48526e(0xae2)]={'fields':'createdAt,updatedAt,id,name,description','sort':_0x48526e(0x282),'limit':0xa,'page':0x1},_0x357d79[_0x48526e(0xc93)]=_0x4f720e,_0x357d79['deleteconfirm']=_0x3820e5,_0x357d79[_0x48526e(0x829)]=_0x407c75,_0x357d79[_0x48526e(0xf4b)]=_0x25cceb,_0x357d79['createOrEditPause']=_0x315f70,_0x357d79[_0x48526e(0x17cd)]=_0x6bf133,_0x357d79['exportSelectedPauses']=_0x4a0bea,_0x357d79['deleteSelectedPauses']=_0x32f286,_0x357d79[_0x48526e(0xdb0)]=_0x3465a1,_0x357d79[_0x48526e(0x111c)]=_0x2e4604;function _0x4f720e(_0x2e03e7,_0x3dc977){const _0x17a394=_0x48526e;_0x1384fd[_0x17a394(0xe27)]({'controller':'CreateOrEditPauseDialogController','controllerAs':'vm','templateUrl':_0x5efada,'parent':angular[_0x17a394(0x1853)](_0x3f8893[_0x17a394(0x1ed9)]),'targetEvent':_0x3dc977,'clickOutsideToClose':!![],'locals':{'pause':_0x2e03e7,'pauses':_0x357d79[_0x17a394(0x8de)][_0x17a394(0x2214)],'license':_0x357d79[_0x17a394(0x8a5)],'setting':null,'crudPermissions':_0x357d79[_0x17a394(0x1b1a)]}});}function _0x3820e5(_0x32be58,_0x2b6a23){const _0x1cf243=_0x48526e,_0x20e95b=_0x1384fd[_0x1cf243(0x1551)]()['title'](_0x1cf243(0x140b)+_0x39641b()[_0x1cf243(0xa75)]('pause')+'?')[_0x1cf243(0x49e)](''+(_0x32be58[_0x1cf243(0x16b6)]||_0x1cf243(0x785))+_0x1cf243(0x1200)+_0x1cf243(0x1b6))['ariaLabel'](_0x1cf243(0x2088))['targetEvent'](_0x2b6a23)['ok']('OK')[_0x1cf243(0x696)]('CANCEL');_0x1384fd['show'](_0x20e95b)[_0x1cf243(0x1cb0)](function(){_0x6bf133(_0x32be58);},function(){const _0x15a212=_0x1cf243;console[_0x15a212(0x1b4f)](_0x15a212(0x24ba));});}let _0x14c81b=!![],_0x4e200f=0x1;_0x156086['$watch'](_0x48526e(0x957),function(_0x135f01,_0xb7352a){const _0x55d359=_0x48526e;_0x14c81b?_0x34e7cd(function(){_0x14c81b=![];}):(!_0xb7352a&&(_0x4e200f=_0x357d79[_0x55d359(0xae2)]['page']),_0x135f01!==_0xb7352a&&(_0x357d79[_0x55d359(0xae2)][_0x55d359(0x1c7b)]=0x1),!_0x135f01&&(_0x357d79[_0x55d359(0xae2)][_0x55d359(0x1c7b)]=_0x4e200f),_0x357d79['getPauses']());});function _0x407c75(_0x5de8c3){const _0x28e298=_0x48526e;_0x357d79[_0x28e298(0x8de)]=_0x5de8c3||{'count':0x0,'rows':[]};}function _0x25cceb(){const _0x3fcc4a=_0x48526e;_0x357d79[_0x3fcc4a(0xae2)][_0x3fcc4a(0x184b)]=(_0x357d79[_0x3fcc4a(0xae2)][_0x3fcc4a(0x1c7b)]-0x1)*_0x357d79[_0x3fcc4a(0xae2)][_0x3fcc4a(0x236)],_0x55939d[_0x3fcc4a(0x22b6)]('admin')?_0x357d79[_0x3fcc4a(0x2061)]=_0x17c838[_0x3fcc4a(0x785)][_0x3fcc4a(0xbf7)](_0x357d79['query'],_0x407c75)[_0x3fcc4a(0x1d77)]:(_0x357d79[_0x3fcc4a(0xae2)]['id']=_0x357d79[_0x3fcc4a(0x44a)]['id'],_0x357d79[_0x3fcc4a(0xae2)][_0x3fcc4a(0x1f74)]='Pauses',_0x357d79[_0x3fcc4a(0x2061)]=_0x17c838[_0x3fcc4a(0x44a)][_0x3fcc4a(0x1810)](_0x357d79[_0x3fcc4a(0xae2)],_0x407c75)['$promise']);}function _0x315f70(_0x34a3a6,_0x1353fb){const _0xb2553e=_0x48526e;_0x1384fd[_0xb2553e(0xe27)]({'controller':_0xb2553e(0x1249),'controllerAs':'vm','templateUrl':_0x5efada,'parent':angular[_0xb2553e(0x1853)](_0x3f8893[_0xb2553e(0x1ed9)]),'targetEvent':_0x34a3a6,'clickOutsideToClose':!![],'locals':{'pause':_0x1353fb,'pauses':_0x357d79['pauses'][_0xb2553e(0x2214)],'license':_0x357d79[_0xb2553e(0x8a5)],'setting':_0x357d79[_0xb2553e(0x9ca)],'crudPermissions':_0x357d79['crudPermissions']}});}function _0x6bf133(_0x5376d7){const _0x509f67=_0x48526e;_0x17c838[_0x509f67(0x785)][_0x509f67(0x111d)]({'id':_0x5376d7['id']})[_0x509f67(0x1d77)][_0x509f67(0x1cb0)](function(){const _0x178793=_0x509f67;_0x39641b()['remove'](_0x357d79[_0x178793(0x8de)][_0x178793(0x2214)],{'id':_0x5376d7['id']}),_0x357d79[_0x178793(0x8de)]['count']-=0x1,!_0x357d79[_0x178793(0x8de)][_0x178793(0x2214)][_0x178793(0xfd0)]&&_0x357d79[_0x178793(0xf4b)](),_0x1e57e4[_0x178793(0x829)]({'title':_0x39641b()[_0x178793(0xa75)]('Pause')+_0x178793(0x2663),'msg':_0x5376d7[_0x178793(0x16b6)]?_0x5376d7[_0x178793(0x16b6)]+_0x178793(0x3f5):''});})[_0x509f67(0x1c4)](function(_0x34a226){const _0x404153=_0x509f67;if(_0x34a226['data']&&_0x34a226[_0x404153(0x25c)][_0x404153(0x1a7c)]&&_0x34a226[_0x404153(0x25c)][_0x404153(0x1a7c)][_0x404153(0xfd0)]){_0x357d79[_0x404153(0x1a7c)]=_0x34a226[_0x404153(0x25c)][_0x404153(0x1a7c)]||[{'message':_0x34a226[_0x404153(0x147f)](),'type':_0x404153(0x724)}];for(let _0xf1b276=0x0;_0xf1b276<_0x34a226['data'][_0x404153(0x1a7c)][_0x404153(0xfd0)];_0xf1b276++){_0x1e57e4[_0x404153(0x218e)]({'title':_0x34a226[_0x404153(0x25c)]['errors'][_0xf1b276][_0x404153(0x66a)],'msg':_0x34a226[_0x404153(0x25c)][_0x404153(0x1a7c)][_0xf1b276][_0x404153(0x155e)]});}}else _0x1e57e4['error']({'title':_0x34a226[_0x404153(0x291)]?_0x404153(0xeb9)+_0x34a226[_0x404153(0x291)]+'\x20-\x20'+_0x34a226[_0x404153(0xc22)]:_0x404153(0x724),'msg':_0x34a226[_0x404153(0x25c)]?JSON['stringify'](_0x34a226['data'][_0x404153(0x155e)]):_0x34a226[_0x404153(0x155e)]||_0x34a226['toString']()});});}function _0x4a0bea(){const _0x33863c=_0x48526e,_0x552aaf=angular['copy'](_0x357d79['selectedPauses']);return _0x357d79[_0x33863c(0x1b07)]=[],_0x552aaf;}function _0x32f286(_0x256645){const _0x53652a=_0x48526e,_0x1389f5=_0x1384fd['confirm']()['title'](_0x53652a(0x2853))[_0x53652a(0x49e)](''+_0x357d79[_0x53652a(0x1b07)]['length']+_0x53652a(0x1d6c)+_0x53652a(0x1b6))['ariaLabel'](_0x53652a(0x11c7))[_0x53652a(0x728)](_0x256645)['ok']('OK')['cancel']('CANCEL');_0x1384fd[_0x53652a(0xe27)](_0x1389f5)['then'](function(){const _0x1eaeba=_0x53652a;_0x357d79[_0x1eaeba(0x1b07)][_0x1eaeba(0xf90)](function(_0x2368d7){_0x6bf133(_0x2368d7);}),_0x357d79['selectedPauses']=[];});}function _0x3465a1(){const _0x1e716a=_0x48526e;_0x357d79[_0x1e716a(0x1b07)]=[];}function _0x2e4604(){const _0x4d80f2=_0x48526e;_0x357d79['selectedPauses']=_0x357d79[_0x4d80f2(0x8de)][_0x4d80f2(0x2214)];}}const _0x1af04b=_0x2ac0dd;;const _0x547e02=_0x5074a3['p']+_0x5537c6(0x314);;_0x6a9fe6['$inject']=['$scope',_0x5537c6(0x406),'$location',_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x1a22),_0x5537c6(0x263c),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting',_0x5537c6(0x1b1a)];function _0x6a9fe6(_0x31cd6d,_0x5b5bce,_0x262941,_0x1754b1,_0x28a1e4,_0x4c372b,_0x43c966,_0x948617,_0x5da345,_0x5c31f7,_0x57a2d8,_0x3e6bd7,_0xb131fb,_0x3613f8){const _0x272c46=_0x5537c6,_0x2069cc=this;_0x2069cc[_0x272c46(0xe76)]=_0x57a2d8[_0x272c46(0x21e8)](),_0x2069cc[_0x272c46(0x1a7c)]=[],_0x2069cc[_0x272c46(0x9ca)]=_0xb131fb,_0x2069cc[_0x272c46(0x8a5)]=_0x3e6bd7,_0x2069cc['crudPermissions']=_0x3613f8,_0x2069cc[_0x272c46(0xf4c)]={},_0x2069cc[_0x272c46(0x1b0c)]=_0x2069cc[_0x272c46(0x9ca)]&&_0x2069cc[_0x272c46(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x2069cc[_0x272c46(0x1386)]='TOOLS.EDIT_SCHEDULE',_0x2069cc[_0x272c46(0x263c)]=angular[_0x272c46(0x17fe)](_0x5da345),_0x2069cc[_0x272c46(0x1a22)]=_0x948617,_0x2069cc[_0x272c46(0xd14)]=![],_0x2069cc[_0x272c46(0x11b6)]=[],_0x2069cc['query']={'fields':'createdAt,updatedAt,id,name,description,table,parent,conditions,joins','limit':0xa,'page':0x1,'sort':'-updatedAt'};if(!_0x2069cc[_0x272c46(0x263c)])_0x2069cc['schedule']={'output':_0x272c46(0x189d),'active':!![],'startAt':new Date(),'endAt':new Date(Date['now']()+0x1e*0x18*0x3c*0x3c*0x3e8),'cron':_0x272c46(0x1d19),'subtractNumber':0x1,'subtractUnit':_0x272c46(0x23b3),'sendMail':![],'sendIfEmpty':!![]},_0x2069cc['title']=_0x272c46(0x9d2),_0x2069cc['newSchedule']=!![];else{_0x2069cc[_0x272c46(0x217d)]=_0x2069cc['schedule'][_0x272c46(0x22a0)]?_0x272c46(0x1f0c):_0x272c46(0x1e32);const _0x2b319b=_0x2069cc[_0x272c46(0x263c)][_0x272c46(0x22a0)]?_0x2069cc[_0x272c46(0x263c)][_0x272c46(0x22a0)]:_0x2069cc[_0x272c46(0x263c)]['CustomReportId'];_0x5c31f7[_0x2069cc['apiName']][_0x272c46(0xbf7)]({'fields':_0x272c46(0x1cfc)})[_0x272c46(0x1d77)]['then'](function(_0x3e66e5){const _0x3652f8=_0x272c46;_0x2069cc[_0x3652f8(0x12df)]=_0x39641b()[_0x3652f8(0x13b4)](_0x3e66e5[_0x3652f8(0x2214)],{'id':_0x2b319b}),_0x2069cc['schedule'][_0x3652f8(0x16bf)]=_0x2069cc[_0x3652f8(0x12df)][_0x3652f8(0x16b6)],_0x2069cc[_0x3652f8(0xae2)]['parent']=_0x2069cc[_0x3652f8(0x12df)][_0x3652f8(0xbfb)];});}_0x2069cc[_0x272c46(0x1468)]=_0x2aef32,_0x2069cc[_0x272c46(0x2657)]=_0x545ab6,_0x2069cc[_0x272c46(0xb48)]=_0x53bc57,_0x2069cc[_0x272c46(0x2c4)]=_0x37026b,_0x2069cc[_0x272c46(0x903)]=_0xf27ffa,_0x2069cc[_0x272c46(0x109e)]=_0x323fa3,_0x2069cc[_0x272c46(0x1137)]=_0x2fee2b,_0x2069cc[_0x272c46(0x21ac)]=_0x5aac31,_0x2069cc[_0x272c46(0xda0)]=_0xc31373,_0x5c31f7['analyticTreeReport'][_0x272c46(0xbf7)]({})['$promise'][_0x272c46(0x1cb0)](function(_0xa792ec){const _0x5d003b=_0x272c46;_0x2069cc[_0x5d003b(0x1871)]=_0xa792ec['rows']||[];})[_0x272c46(0x1c4)](function(_0x16f88a){const _0x3d61c2=_0x272c46;_0x43c966[_0x3d61c2(0x218e)]({'title':_0x16f88a[_0x3d61c2(0x291)]?_0x3d61c2(0xeb9)+_0x16f88a[_0x3d61c2(0x291)]+_0x3d61c2(0x1657)+_0x16f88a[_0x3d61c2(0xc22)]:_0x3d61c2(0x269e),'msg':_0x16f88a[_0x3d61c2(0x25c)]?JSON[_0x3d61c2(0x2701)](_0x16f88a[_0x3d61c2(0x25c)]):_0x16f88a[_0x3d61c2(0x147f)]()});}),_0x57a2d8[_0x272c46(0x22b6)]('admin')?_0x5c31f7['mailAccount'][_0x272c46(0xbf7)]({'fields':_0x272c46(0x43c),'sort':_0x272c46(0x16b6)})[_0x272c46(0x1d77)]['then'](function(_0xbea9f2){const _0x26a5ec=_0x272c46;_0x2069cc[_0x26a5ec(0x228e)]=_0xbea9f2['rows']||[];})[_0x272c46(0x1c4)](function(_0x5cc8dd){const _0x15bd65=_0x272c46;_0x43c966[_0x15bd65(0x218e)]({'title':_0x5cc8dd[_0x15bd65(0x291)]?'API:'+_0x5cc8dd[_0x15bd65(0x291)]+'\x20-\x20'+_0x5cc8dd[_0x15bd65(0xc22)]:_0x15bd65(0x3d7),'msg':_0x5cc8dd['data']?JSON['stringify'](_0x5cc8dd[_0x15bd65(0x25c)]):_0x5cc8dd['toString']()});}):_0x5c31f7[_0x272c46(0x131c)][_0x272c46(0xbf7)]({'fields':'id,name','sort':_0x272c46(0x16b6)})[_0x272c46(0x1d77)]['then'](function(_0x5ac954){const _0x3435a1=_0x272c46;_0x2069cc['mailAccounts']=_0x5ac954[_0x3435a1(0x2214)]||[];})[_0x272c46(0x1cb0)](function(){const _0x5b0ffb=_0x272c46;return _0x5c31f7[_0x5b0ffb(0x2199)][_0x5b0ffb(0xbf7)]({'userProfileId':_0x2069cc['currentUser'][_0x5b0ffb(0x13c1)],'sectionId':0x25a})['$promise'];})[_0x272c46(0x1cb0)](function(_0x428966){const _0x419b5b=_0x272c46,_0x56d909=_0x428966&&_0x428966[_0x419b5b(0x2214)]?_0x428966['rows'][0x0]:null;if(!_0x56d909){const _0x5dc1b2=[];let _0x55cf63=null;_0x2069cc[_0x419b5b(0x263c)]&&(_0x55cf63=_0x39641b()[_0x419b5b(0x13b4)](_0x2069cc[_0x419b5b(0x228e)],{'id':Number(_0x2069cc[_0x419b5b(0x263c)][_0x419b5b(0x1285)])}));for(let _0x2b9edd=0x0;_0x2b9edd<_0x2069cc['mailAccounts']['length'];_0x2b9edd++){_0x55cf63&&_0x2069cc['mailAccounts'][_0x2b9edd]['id']===_0x55cf63['id']&&(_0x2069cc[_0x419b5b(0x228e)][_0x2b9edd][_0x419b5b(0x15da)]=![],_0x5dc1b2[_0x419b5b(0x2785)](_0x2069cc[_0x419b5b(0x228e)][_0x2b9edd]));}_0x2069cc[_0x419b5b(0x228e)]=_0x5dc1b2;}else{if(!_0x56d909[_0x419b5b(0x12f4)])return _0x5c31f7[_0x419b5b(0x1198)][_0x419b5b(0xbf7)]({'sectionId':_0x56d909['id']})['$promise']['then'](function(_0x2e6e2d){const _0x852433=_0x419b5b,_0x41952c=_0x39641b()[_0x852433(0x1de2)](_0x2e6e2d['rows'],function(_0x381214){const _0x4d0bb0=_0x852433;return _0x39641b()['find'](_0x2069cc[_0x4d0bb0(0x228e)],{'id':_0x381214[_0x4d0bb0(0x2982)]});});let _0x1ac653=null;_0x2069cc[_0x852433(0x263c)]&&(_0x1ac653=_0x39641b()[_0x852433(0x13b4)](_0x2069cc['mailAccounts'],{'id':Number(_0x2069cc[_0x852433(0x263c)][_0x852433(0x1285)])}));if(_0x1ac653&&!_0x39641b()[_0x852433(0x727)](_0x41952c,['id',_0x1ac653['id']])){const _0x5789a8=_0x39641b()['find'](_0x2069cc[_0x852433(0x228e)],{'id':_0x1ac653['id']});_0x5789a8['canSelect']=![],_0x41952c[_0x852433(0x2785)](_0x5789a8);}_0x2069cc[_0x852433(0x228e)]=_0x41952c;});}})[_0x272c46(0x1c4)](function(_0x4ab705){const _0x104a8e=_0x272c46;_0x43c966['error']({'title':_0x4ab705[_0x104a8e(0x291)]?_0x104a8e(0xeb9)+_0x4ab705['status']+_0x104a8e(0x1657)+_0x4ab705['statusText']:'SYSTEM:GETmailAccounts','msg':_0x4ab705[_0x104a8e(0x25c)]?JSON[_0x104a8e(0x2701)](_0x4ab705[_0x104a8e(0x25c)]):_0x4ab705[_0x104a8e(0x147f)]()});});function _0x2aef32(){const _0x162d79=_0x272c46;_0x2069cc[_0x162d79(0x1a7c)]=[],_0x5c31f7[_0x162d79(0x263c)]['save'](_0x2069cc[_0x162d79(0x263c)])[_0x162d79(0x1d77)][_0x162d79(0x1cb0)](function(_0x1b4264){const _0x1a2afc=_0x162d79;_0x2069cc[_0x1a2afc(0x1a22)][_0x1a2afc(0xf63)](_0x1b4264[_0x1a2afc(0x19b2)]()),_0x43c966['success']({'title':_0x1a2afc(0x24c),'msg':_0x2069cc[_0x1a2afc(0x263c)][_0x1a2afc(0x16b6)]?_0x2069cc[_0x1a2afc(0x263c)][_0x1a2afc(0x16b6)]+'\x20has\x20been\x20created!':''}),_0xc31373(_0x1b4264);})[_0x162d79(0x1c4)](function(_0x4af391){const _0x227870=_0x162d79;if(_0x4af391[_0x227870(0x25c)]&&_0x4af391['data'][_0x227870(0x1a7c)]&&_0x4af391['data'][_0x227870(0x1a7c)][_0x227870(0xfd0)]){_0x2069cc[_0x227870(0x1a7c)]=_0x4af391[_0x227870(0x25c)][_0x227870(0x1a7c)]||[{'message':_0x4af391[_0x227870(0x147f)](),'type':_0x227870(0x345)}];for(let _0x8fe786=0x0;_0x8fe786<_0x4af391[_0x227870(0x25c)][_0x227870(0x1a7c)][_0x227870(0xfd0)];_0x8fe786+=0x1){_0x43c966[_0x227870(0x218e)]({'title':_0x4af391[_0x227870(0x25c)]['errors'][_0x8fe786][_0x227870(0x66a)],'msg':_0x4af391['data'][_0x227870(0x1a7c)][_0x8fe786][_0x227870(0x155e)]});}}else _0x43c966['error']({'title':_0x4af391[_0x227870(0x291)]?_0x227870(0xeb9)+_0x4af391[_0x227870(0x291)]+_0x227870(0x1657)+_0x4af391['statusText']:_0x227870(0x345),'msg':_0x4af391['data']?JSON[_0x227870(0x2701)](_0x4af391[_0x227870(0x25c)]['message']):_0x4af391[_0x227870(0x147f)]()});});}function _0x545ab6(){const _0x4493cb=_0x272c46;_0x2069cc[_0x4493cb(0x1a7c)]=[],_0x5c31f7[_0x4493cb(0x263c)]['update']({'id':_0x2069cc[_0x4493cb(0x263c)]['id']},_0x2069cc[_0x4493cb(0x263c)])['$promise'][_0x4493cb(0x1cb0)](function(_0x147f37){const _0x120c6c=_0x4493cb,_0x55d8c2=_0x39641b()[_0x120c6c(0x13b4)](_0x2069cc['schedules'],{'id':_0x147f37['id']});_0x55d8c2&&_0x39641b()[_0x120c6c(0x9c1)](_0x55d8c2,_0x39641b()[_0x120c6c(0x169b)](_0x147f37[_0x120c6c(0x19b2)](),_0x39641b()[_0x120c6c(0x1be5)](_0x55d8c2))),_0x43c966['success']({'title':_0x120c6c(0x1d0),'msg':_0x2069cc[_0x120c6c(0x263c)][_0x120c6c(0x16b6)]?_0x2069cc[_0x120c6c(0x263c)][_0x120c6c(0x16b6)]+_0x120c6c(0xedb):''}),_0xc31373(_0x147f37);})[_0x4493cb(0x1c4)](function(_0x4d4f66){const _0x19ba1d=_0x4493cb;if(_0x4d4f66[_0x19ba1d(0x25c)]&&_0x4d4f66[_0x19ba1d(0x25c)][_0x19ba1d(0x1a7c)]&&_0x4d4f66[_0x19ba1d(0x25c)]['errors'][_0x19ba1d(0xfd0)]){_0x2069cc[_0x19ba1d(0x1a7c)]=_0x4d4f66[_0x19ba1d(0x25c)][_0x19ba1d(0x1a7c)]||[{'message':_0x4d4f66[_0x19ba1d(0x147f)](),'type':_0x19ba1d(0x1777)}];for(let _0x46e317=0x0;_0x46e317<_0x4d4f66[_0x19ba1d(0x25c)][_0x19ba1d(0x1a7c)][_0x19ba1d(0xfd0)];_0x46e317++){_0x43c966[_0x19ba1d(0x218e)]({'title':_0x4d4f66[_0x19ba1d(0x25c)]['errors'][_0x46e317][_0x19ba1d(0x66a)],'msg':_0x4d4f66[_0x19ba1d(0x25c)][_0x19ba1d(0x1a7c)][_0x46e317]['message']});}}else _0x43c966['error']({'title':_0x4d4f66['status']?'API:'+_0x4d4f66[_0x19ba1d(0x291)]+_0x19ba1d(0x1657)+_0x4d4f66[_0x19ba1d(0xc22)]:_0x19ba1d(0x1777),'msg':_0x4d4f66[_0x19ba1d(0x25c)]?JSON['stringify'](_0x4d4f66[_0x19ba1d(0x25c)][_0x19ba1d(0x155e)]):_0x4d4f66[_0x19ba1d(0x147f)]()});});}function _0x53bc57(_0x2d0e3d){const _0x3d460e=_0x272c46;_0x2069cc[_0x3d460e(0x1a7c)]=[];const _0x50629e=_0x1754b1['confirm']()[_0x3d460e(0x1386)]('Are\x20you\x20sure?')[_0x3d460e(0x862)]('The\x20schedule\x20will\x20be\x20deleted.')[_0x3d460e(0x15ad)]('Delete\x20Schedule')['ok'](_0x3d460e(0x2594))[_0x3d460e(0x696)](_0x3d460e(0xde1))[_0x3d460e(0x728)](_0x2d0e3d);_0x1754b1['show'](_0x50629e)['then'](function(){const _0x1dd2d7=_0x3d460e;_0x5c31f7['schedule'][_0x1dd2d7(0x111d)]({'id':_0x2069cc[_0x1dd2d7(0x263c)]['id']})['$promise'][_0x1dd2d7(0x1cb0)](function(){const _0x1787ec=_0x1dd2d7;_0x39641b()['remove'](_0x2069cc[_0x1787ec(0x1a22)],{'id':_0x2069cc['schedule']['id']}),_0x43c966[_0x1787ec(0x829)]({'title':_0x1787ec(0x1932),'msg':(_0x2069cc[_0x1787ec(0x263c)]['name']||_0x1787ec(0x263c))+'\x20has\x20been\x20deleted!'}),_0xc31373(_0x2069cc['schedule']);})[_0x1dd2d7(0x1c4)](function(_0x3c6a56){const _0x521020=_0x1dd2d7;if(_0x3c6a56[_0x521020(0x25c)]&&_0x3c6a56['data'][_0x521020(0x1a7c)]&&_0x3c6a56['data'][_0x521020(0x1a7c)][_0x521020(0xfd0)]){_0x2069cc['errors']=_0x3c6a56[_0x521020(0x25c)][_0x521020(0x1a7c)]||[{'message':_0x3c6a56['toString'](),'type':_0x521020(0x1509)}];for(let _0x14ddd6=0x0;_0x14ddd6<_0x3c6a56[_0x521020(0x25c)][_0x521020(0x1a7c)][_0x521020(0xfd0)];_0x14ddd6++){_0x43c966[_0x521020(0x218e)]({'title':_0x3c6a56[_0x521020(0x25c)][_0x521020(0x1a7c)][_0x14ddd6][_0x521020(0x66a)],'msg':_0x3c6a56[_0x521020(0x25c)][_0x521020(0x1a7c)][_0x14ddd6][_0x521020(0x155e)]});}}else _0x43c966[_0x521020(0x218e)]({'title':_0x3c6a56[_0x521020(0x291)]?'API:'+_0x3c6a56['status']+_0x521020(0x1657)+_0x3c6a56[_0x521020(0xc22)]:_0x521020(0x1509),'msg':_0x3c6a56[_0x521020(0x25c)]?JSON[_0x521020(0x2701)](_0x3c6a56['data'][_0x521020(0x155e)]):_0x3c6a56[_0x521020(0x155e)]||_0x3c6a56['toString']()});});},function(){});}function _0x229af5(){const _0xe83a1=_0x272c46,_0x407e6d={'core':{'multiple':![],'animation':!![],'error':function(_0x418f43){const _0x1ae245=a0_0x5cbd;console[_0x1ae245(0x218e)](_0x1ae245(0x2859)+angular[_0x1ae245(0x393)](_0x418f43));},'check_callback':!![],'worker':!![]},'version':0x1,'plugins':[_0xe83a1(0x522)]};return _0x2069cc[_0xe83a1(0xe76)][_0xe83a1(0x26e6)]!==_0xe83a1(0x1c60)?_0x5c31f7[_0xe83a1(0x2199)][_0xe83a1(0xbf7)]({'fields':_0xe83a1(0x1f5f),'userProfileId':_0x2069cc[_0xe83a1(0xe76)][_0xe83a1(0x13c1)],'sectionId':0x4b3})['$promise'][_0xe83a1(0x1cb0)](function(_0x343839){const _0x45e408=_0xe83a1;return _0x2069cc['userProfileSection']=_0x343839&&_0x343839[_0x45e408(0x184d)]==0x1?_0x343839[_0x45e408(0x2214)][0x0]:null,_0x407e6d;})[_0xe83a1(0x1c4)](function(_0x1bf750){const _0x57af63=_0xe83a1;_0x43c966[_0x57af63(0x218e)]({'title':'ERROR','msg':_0x1bf750[_0x57af63(0x155e)]});}):_0x407e6d;}function _0x24caf5(_0x355a88){return _0x355a88?{'loaded':_0x3f84cb,'select_node':_0x53279b}:{'loaded':_0x38b0cb,'select_node':_0xdedb57};}function _0x53279b(_0x21cc40,_0x367f97){const _0x535335=_0x272c46;_0x2069cc[_0x535335(0xfcd)]['jstree'](!![])[_0x535335(0x3bf)](),_0x2069cc[_0x535335(0xfcd)]['jstree'](!![])[_0x535335(0x1b7)](),_0x2069cc['currentNode']=_0x367f97[_0x535335(0x2013)],_0x2069cc[_0x535335(0x622)][_0x535335(0x197c)]=!![],_0x2069cc[_0x535335(0x275a)]=_0x2069cc[_0x535335(0x20be)][_0x535335(0x735)](!![])[_0x535335(0x147d)](_0x2069cc[_0x535335(0x622)])[_0x535335(0x1f66)]('/\x20'),_0x2069cc[_0x535335(0x217d)]='analyticCustomReport',_0x2069cc['query'][_0x535335(0xbfb)]=_0x367f97[_0x535335(0x2013)]['id'],_0xf27ffa();}function _0xdedb57(_0xbaa906,_0x428374){const _0x396242=_0x272c46;_0x2069cc['treeCustomInstance'][_0x396242(0x735)](!![])[_0x396242(0x3bf)](),_0x2069cc[_0x396242(0x20be)][_0x396242(0x735)](!![])['close_all'](),_0x2069cc['currentNode']=_0x428374[_0x396242(0x2013)],_0x2069cc['currentNode']['custom']=![],_0x2069cc[_0x396242(0x275a)]=_0x2069cc['treeDefaultInstance'][_0x396242(0x735)](!![])[_0x396242(0x147d)](_0x2069cc['currentNode'])[_0x396242(0x1f66)]('/\x20'),_0x2069cc[_0x396242(0x217d)]='analyticDefaultReport',_0x2069cc[_0x396242(0xae2)]['parent']=_0x428374['node']['id'],_0xf27ffa();}function _0x3f84cb(){const _0x39509d=_0x272c46;if(!_0x2069cc[_0x39509d(0xd14)]&&_0x2069cc[_0x39509d(0x217d)]===_0x39509d(0x1e32)){const _0xe9f95=_0x2069cc['treeCustomInstance'][_0x39509d(0x735)](!![])[_0x39509d(0x6b2)](_0x2069cc[_0x39509d(0xae2)]['parent']);_0x53279b(null,{'node':_0xe9f95,'selected':_0xe9f95['id']});}}function _0x38b0cb(){const _0x24734c=_0x272c46;if(!_0x2069cc[_0x24734c(0xd14)]&&_0x2069cc['apiName']===_0x24734c(0x1f0c)){const _0x474484=_0x2069cc[_0x24734c(0xfcd)][_0x24734c(0x735)](!![])[_0x24734c(0x6b2)](_0x2069cc[_0x24734c(0xae2)][_0x24734c(0xbfb)]);_0xdedb57(null,{'node':_0x474484,'selected':_0x474484['id']});}}function _0xf27ffa(){const _0x44a63e=_0x272c46;_0x2069cc['query'][_0x44a63e(0x184b)]=(_0x2069cc[_0x44a63e(0xae2)][_0x44a63e(0x1c7b)]-0x1)*_0x2069cc['query'][_0x44a63e(0x236)];if(_0x2069cc['apiName']){if(_0x2069cc[_0x44a63e(0xe76)][_0x44a63e(0x26e6)]===_0x44a63e(0x1c60))_0x2069cc[_0x44a63e(0x2061)]=_0x5c31f7[_0x2069cc['apiName']][_0x44a63e(0xbf7)](_0x2069cc[_0x44a63e(0xae2)],_0x45aeec)[_0x44a63e(0x1d77)];else{if(!_0x2069cc[_0x44a63e(0x2199)])return _0x45aeec(null);else{if(_0x2069cc[_0x44a63e(0x2199)][_0x44a63e(0x12f4)])_0x2069cc['promise']=_0x5c31f7[_0x2069cc[_0x44a63e(0x217d)]][_0x44a63e(0xbf7)](_0x2069cc['query'],_0x45aeec)[_0x44a63e(0x1d77)];else{let _0x5ac84d=[];_0x2069cc[_0x44a63e(0x2061)]=_0x5c31f7[_0x2069cc[_0x44a63e(0x217d)]][_0x44a63e(0xbf7)](_0x2069cc['query'])[_0x44a63e(0x1d77)]['then'](function(_0x4dddf8){const _0xe948fe=_0x44a63e;return _0x5ac84d=_0x4dddf8&&_0x4dddf8[_0xe948fe(0x2214)]?_0x4dddf8[_0xe948fe(0x2214)]:[],_0x5ac84d[_0xe948fe(0xfd0)]>0x0?_0x5c31f7['userProfileResource'][_0xe948fe(0xbf7)]({'sectionId':_0x2069cc[_0xe948fe(0x2199)]['id'],'type':_0x2069cc[_0xe948fe(0x217d)]===_0xe948fe(0x1f0c)?_0xe948fe(0x1f5d):_0xe948fe(0xe44)})[_0xe948fe(0x1d77)][_0xe948fe(0x1cb0)](function(_0x2ccb6c){const _0x5e9467=_0xe948fe,_0x4ec2e9=_0x2ccb6c&&_0x2ccb6c[_0x5e9467(0x2214)]?_0x2ccb6c[_0x5e9467(0x2214)]:[];if(_0x4ec2e9[_0x5e9467(0xfd0)]>0x0){const _0x46ead8=[];return _0x5ac84d[_0x5e9467(0xf90)](function(_0x4eac0f){const _0x15b867=_0x5e9467,_0x2ce79c=_0x39641b()[_0x15b867(0x13b4)](_0x4ec2e9,[_0x15b867(0x2982),_0x4eac0f['id']]);if(_0x2ce79c)_0x46ead8[_0x15b867(0x2785)](_0x4eac0f);}),_0x45aeec({'count':_0x46ead8['length'],'rows':_0x46ead8});}else return _0x45aeec(null);}):_0x45aeec(null);})['catch'](function(_0x30fe27){const _0x398521=_0x44a63e;_0x43c966[_0x398521(0x218e)]({'title':_0x30fe27[_0x398521(0x291)]?_0x398521(0xeb9)+_0x30fe27['status']+_0x398521(0x1657)+_0x30fe27[_0x398521(0xc22)]:'SYSTEM:GET_REPORTS','msg':_0x30fe27['data']?JSON[_0x398521(0x2701)](_0x30fe27[_0x398521(0x25c)]):_0x30fe27[_0x398521(0x147f)]()});});}}}}}function _0x45aeec(_0xae92f9){const _0x58c98f=_0x272c46;_0x2069cc[_0x58c98f(0x2318)]=_0xae92f9||{'count':0x0,'rows':[]},!_0x2069cc[_0x58c98f(0xd14)]&&_0x2069cc[_0x58c98f(0x11b6)][_0x58c98f(0x2785)](_0x39641b()[_0x58c98f(0x13b4)](_0x2069cc['reports'][_0x58c98f(0x2214)],{'id':_0x2069cc['currentReport']['id']}));}function _0x5aac31(){const _0x30f839=_0x272c46;_0x2069cc[_0x30f839(0xeda)]=_0x2069cc[_0x30f839(0x1871)][0x0]?angular[_0x30f839(0x284c)](_0x2069cc[_0x30f839(0x1871)][0x0]['tree']):[],_0x2069cc[_0x30f839(0xc92)]=_0x2069cc[_0x30f839(0x1871)][0x1]?angular[_0x30f839(0x284c)](_0x2069cc[_0x30f839(0x1871)][0x1][_0x30f839(0x1bd)]):[],_0x2069cc[_0x30f839(0x1a1d)]=_0x229af5(),_0x2069cc[_0x30f839(0x1058)]=_0x24caf5(![]),_0x2069cc['treeCustomEvents']=_0x24caf5(!![]),_0x1754b1['show']({'controller':function(){return _0x2069cc;},'controllerAs':'vm','templateUrl':_0x547e02,'autoWrap':!![],'skipHide':!![]});}function _0x323fa3(){const _0x549e40=_0x272c46;_0x2069cc['schedule'][_0x549e40(0x16bf)]=_0x2069cc['selectedReport'][0x0][_0x549e40(0x16b6)],_0x2069cc[_0x549e40(0x622)][_0x549e40(0x197c)]?(_0x2069cc[_0x549e40(0x263c)]['CustomReportId']=_0x2069cc[_0x549e40(0x11b6)][0x0]['id'],delete _0x2069cc['schedule'][_0x549e40(0x22a0)]):(_0x2069cc['schedule'][_0x549e40(0x22a0)]=_0x2069cc[_0x549e40(0x11b6)][0x0]['id'],delete _0x2069cc[_0x549e40(0x263c)][_0x549e40(0x251a)]),_0x31cd6d[_0x549e40(0xc97)][_0x549e40(0x16bf)]['$setDirty'](),_0x1754b1[_0x549e40(0x1426)]();}function _0x2fee2b(){const _0x14cbb1=_0x272c46;_0x1754b1[_0x14cbb1(0x1426)]();}function _0x37026b(_0x2d2003){return _0x2d2003===null?undefined:new Date(_0x2d2003);}function _0xc31373(_0x5a030c){const _0x267310=_0x272c46;_0x1754b1[_0x267310(0x1426)](_0x5a030c);}}const _0x2b908f=_0x6a9fe6;;const _0x1bc9fc=_0x5074a3['p']+_0x5537c6(0xcc1);;_0x218f74[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0x1a22),_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x142b),_0x5537c6(0xde8),_0x5537c6(0x9bf),'Auth','license',_0x5537c6(0x9ca)];function _0x218f74(_0x19f117,_0x515645,_0x92457c,_0x5c658e,_0x243dc3,_0x4f4caa,_0x135dc7,_0x1fcafe,_0x3b9011,_0x4c3bab,_0x5dd948,_0x25d94d,_0x4e3c26,_0x5ebc15,_0xd38cbd,_0x5ec4a0,_0x1d2a82){const _0x3b33bd=_0x5537c6,_0x593d39=this;_0x593d39[_0x3b33bd(0x8a5)]=_0x5ec4a0,_0x593d39['setting']=_0x1d2a82,_0x593d39[_0x3b33bd(0xe76)]=_0xd38cbd[_0x3b33bd(0x21e8)](),_0x593d39['schedules']=_0x3b9011||{'count':0x0,'rows':[]},_0x593d39[_0x3b33bd(0x44a)]=_0x4c3bab,_0x593d39[_0x3b33bd(0x2199)]=_0x5dd948&&_0x5dd948[_0x3b33bd(0x184d)]==0x1?_0x5dd948[_0x3b33bd(0x2214)][0x0]:null,_0x593d39[_0x3b33bd(0x1b1a)]=_0xd38cbd[_0x3b33bd(0x14ea)](_0x593d39[_0x3b33bd(0x2199)]?_0x593d39[_0x3b33bd(0x2199)][_0x3b33bd(0x1b1a)]:null),_0x593d39[_0x3b33bd(0xc83)]=_0x3b33bd(0x1a22),_0x593d39[_0x3b33bd(0x1d20)]='',_0x593d39['listOrderAsc']=null,_0x593d39['selectedScheduler']=[],_0x593d39['query']={'fields':_0x3b33bd(0x9d3),'sort':_0x3b33bd(0x282),'limit':0xa,'page':0x1},_0x593d39[_0x3b33bd(0x40e)]=_0x39641b()[_0x3b33bd(0x2631)]([{'option':_0x3b33bd(0xc59),'value':_0x3b33bd(0x28c3)},{'option':_0x3b33bd(0x194c),'value':'\x27pdf\x27'},{'option':_0x3b33bd(0x1897),'value':_0x3b33bd(0xa4f)}],function(_0x486182){const _0x23cfe1=_0x3b33bd;return _0x39641b()[_0x23cfe1(0x288f)](_0x486182[_0x23cfe1(0x327)],new RegExp('\x27','g'),'');}),_0x593d39[_0x3b33bd(0x1b0)]=_0x39641b()[_0x3b33bd(0x2631)]([{'option':_0x3b33bd(0x271),'value':_0x3b33bd(0x475)},{'option':_0x3b33bd(0xd1b),'value':_0x3b33bd(0x18bc)},{'option':_0x3b33bd(0x2215),'value':_0x3b33bd(0x249e)},{'option':'Weeks','value':_0x3b33bd(0x1c52)},{'option':_0x3b33bd(0x23f3),'value':_0x3b33bd(0xebb)},{'option':_0x3b33bd(0x1316),'value':'\x27quarters\x27'},{'option':_0x3b33bd(0x15a3),'value':_0x3b33bd(0x2392)}],function(_0x35c99f){const _0x9605fc=_0x3b33bd;return _0x39641b()[_0x9605fc(0x288f)](_0x35c99f[_0x9605fc(0x327)],new RegExp('\x27','g'),'');}),_0x593d39['editdialog']=_0x245a7c,_0x593d39[_0x3b33bd(0x17ef)]=_0x4d6811,_0x593d39[_0x3b33bd(0x27fe)]=_0x5e2dcb,_0x593d39[_0x3b33bd(0x829)]=_0x23bbd0,_0x593d39['getScheduler']=_0x5beb95,_0x593d39[_0x3b33bd(0x2156)]=_0x4f56d1,_0x593d39[_0x3b33bd(0xb48)]=_0x8a2092,_0x593d39[_0x3b33bd(0x41f)]=_0x111827,_0x593d39['deleteSelectedScheduler']=_0x2c1a2e,_0x593d39[_0x3b33bd(0xd2a)]=_0x3ab718,_0x593d39[_0x3b33bd(0x1b00)]=_0x58452a,_0x25d94d[_0x3b33bd(0x1df2)]['get']({})[_0x3b33bd(0x1d77)]['then'](function(_0x1fadb2){const _0x26e0d6=_0x3b33bd;_0x593d39['treeReports']=_0x1fadb2[_0x26e0d6(0x2214)]||[];})['catch'](function(_0xce8123){const _0x3d0dd4=_0x3b33bd;_0x5ebc15[_0x3d0dd4(0x218e)]({'title':_0xce8123[_0x3d0dd4(0x291)]?_0x3d0dd4(0xeb9)+_0xce8123[_0x3d0dd4(0x291)]+_0x3d0dd4(0x1657)+_0xce8123[_0x3d0dd4(0xc22)]:'SYSTEM:GET_TREEREPORTS','msg':_0xce8123[_0x3d0dd4(0x25c)]?JSON[_0x3d0dd4(0x2701)](_0xce8123['data']):_0xce8123['toString']()});}),_0xd38cbd[_0x3b33bd(0x22b6)]('admin')?_0x25d94d[_0x3b33bd(0x131c)][_0x3b33bd(0xbf7)]({'fields':_0x3b33bd(0x43c),'sort':_0x3b33bd(0x16b6)})[_0x3b33bd(0x1d77)][_0x3b33bd(0x1cb0)](function(_0x469b1e){const _0x3946e6=_0x3b33bd;_0x593d39[_0x3946e6(0x228e)]=_0x469b1e[_0x3946e6(0x2214)]||[];})['catch'](function(_0x4a14cb){const _0x32cb70=_0x3b33bd;_0x5ebc15[_0x32cb70(0x218e)]({'title':_0x4a14cb[_0x32cb70(0x291)]?_0x32cb70(0xeb9)+_0x4a14cb['status']+_0x32cb70(0x1657)+_0x4a14cb[_0x32cb70(0xc22)]:_0x32cb70(0x3d7),'msg':_0x4a14cb[_0x32cb70(0x25c)]?JSON[_0x32cb70(0x2701)](_0x4a14cb[_0x32cb70(0x25c)]):_0x4a14cb['toString']()});}):_0x25d94d[_0x3b33bd(0x131c)]['get']({'fields':_0x3b33bd(0x43c),'sort':'name'})[_0x3b33bd(0x1d77)]['then'](function(_0x2e30f6){const _0x554c7d=_0x3b33bd;_0x593d39['mailAccounts']=_0x2e30f6[_0x554c7d(0x2214)]||[];})[_0x3b33bd(0x1cb0)](function(){const _0x4075a4=_0x3b33bd;return _0x25d94d[_0x4075a4(0x2199)][_0x4075a4(0xbf7)]({'userProfileId':_0x593d39[_0x4075a4(0xe76)][_0x4075a4(0x13c1)],'sectionId':0x25a})[_0x4075a4(0x1d77)];})[_0x3b33bd(0x1cb0)](function(_0x35870c){const _0x32443a=_0x3b33bd,_0x4f4412=_0x35870c&&_0x35870c[_0x32443a(0x2214)]?_0x35870c[_0x32443a(0x2214)][0x0]:null;if(!_0x4f4412){const _0x8dffb0=[];let _0x3ee955=null;_0x593d39['schedule']&&(_0x3ee955=_0x39641b()[_0x32443a(0x13b4)](_0x593d39[_0x32443a(0x228e)],{'id':Number(_0x593d39[_0x32443a(0x263c)][_0x32443a(0x1285)])}));for(let _0x27e223=0x0;_0x27e223<_0x593d39[_0x32443a(0x228e)][_0x32443a(0xfd0)];_0x27e223++){_0x3ee955&&_0x593d39[_0x32443a(0x228e)][_0x27e223]['id']===_0x3ee955['id']&&(_0x593d39[_0x32443a(0x228e)][_0x27e223]['canSelect']=![],_0x8dffb0[_0x32443a(0x2785)](_0x593d39['mailAccounts'][_0x27e223]));}_0x593d39['mailAccounts']=_0x8dffb0;}else{if(!_0x4f4412['autoAssociation'])return _0x25d94d[_0x32443a(0x1198)][_0x32443a(0xbf7)]({'sectionId':_0x4f4412['id']})[_0x32443a(0x1d77)][_0x32443a(0x1cb0)](function(_0x149520){const _0x42dc3f=_0x32443a,_0x42c78d=_0x39641b()[_0x42dc3f(0x1de2)](_0x149520[_0x42dc3f(0x2214)],function(_0x342141){const _0x4c2f92=_0x42dc3f;return _0x39641b()['find'](_0x593d39[_0x4c2f92(0x228e)],{'id':_0x342141[_0x4c2f92(0x2982)]});});let _0xa22341=null;_0x593d39[_0x42dc3f(0x263c)]&&(_0xa22341=_0x39641b()[_0x42dc3f(0x13b4)](_0x593d39[_0x42dc3f(0x228e)],{'id':Number(_0x593d39['schedule'][_0x42dc3f(0x1285)])}));if(_0xa22341&&!_0x39641b()[_0x42dc3f(0x727)](_0x42c78d,['id',_0xa22341['id']])){const _0x2c20c3=_0x39641b()['find'](_0x593d39['mailAccounts'],{'id':_0xa22341['id']});_0x2c20c3[_0x42dc3f(0x15da)]=![],_0x42c78d['push'](_0x2c20c3);}_0x593d39[_0x42dc3f(0x228e)]=_0x42c78d;});}})[_0x3b33bd(0x1c4)](function(_0x4c6be8){const _0x5c0a29=_0x3b33bd;_0x5ebc15[_0x5c0a29(0x218e)]({'title':_0x4c6be8[_0x5c0a29(0x291)]?'API:'+_0x4c6be8[_0x5c0a29(0x291)]+'\x20-\x20'+_0x4c6be8['statusText']:_0x5c0a29(0x20f2),'msg':_0x4c6be8['data']?JSON[_0x5c0a29(0x2701)](_0x4c6be8[_0x5c0a29(0x25c)]):_0x4c6be8[_0x5c0a29(0x147f)]()});});function _0x245a7c(_0x8df9d9,_0x2ae6ae){const _0x20c56c=_0x3b33bd;_0x243dc3[_0x20c56c(0xe27)]({'controller':_0x20c56c(0x24cf),'controllerAs':'vm','templateUrl':_0x1bc9fc,'parent':angular[_0x20c56c(0x1853)](_0x4f4caa[_0x20c56c(0x1ed9)]),'targetEvent':_0x2ae6ae,'clickOutsideToClose':!![],'locals':{'schedule':_0x8df9d9,'schedules':_0x593d39[_0x20c56c(0x1a22)][_0x20c56c(0x2214)],'license':_0x593d39[_0x20c56c(0x8a5)],'setting':null,'crudPermissions':_0x593d39[_0x20c56c(0x1b1a)]}});}function _0x4d6811(_0x22464e,_0x4747be){const _0x58e104=_0x3b33bd,_0x517228=_0x243dc3[_0x58e104(0x1551)]()[_0x58e104(0x1386)](_0x58e104(0x1a31))[_0x58e104(0x49e)](_0x58e104(0x204d)+(_0x22464e[_0x58e104(0x16b6)]||_0x58e104(0x263c))+_0x58e104(0x1200)+'\x20will\x20be\x20run.')[_0x58e104(0x15ad)](_0x58e104(0x1c44))['targetEvent'](_0x4747be)['ok']('OK')[_0x58e104(0x696)](_0x58e104(0x24ba));_0x243dc3[_0x58e104(0xe27)](_0x517228)[_0x58e104(0x1cb0)](function(){const _0x497476=_0x58e104;return _0x25d94d[_0x497476(0x263c)]['run']({'id':_0x22464e['id']})[_0x497476(0x1d77)];},function(){console['log']('CANCEL');});}function _0x5e2dcb(_0x83cfb,_0x25a8ed){const _0x3b81ad=_0x3b33bd,_0x16b1ff=_0x243dc3[_0x3b81ad(0x1551)]()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20'+_0x39641b()['startCase'](_0x3b81ad(0x263c))+'?')['htmlContent'](_0x3b81ad(0x204d)+(_0x83cfb[_0x3b81ad(0x16b6)]||_0x3b81ad(0x263c))+_0x3b81ad(0x1200)+_0x3b81ad(0x1b6))['ariaLabel'](_0x3b81ad(0x1c44))[_0x3b81ad(0x728)](_0x25a8ed)['ok']('OK')[_0x3b81ad(0x696)]('CANCEL');_0x243dc3[_0x3b81ad(0xe27)](_0x16b1ff)[_0x3b81ad(0x1cb0)](function(){_0x8a2092(_0x83cfb);},function(){const _0x594190=_0x3b81ad;console['log'](_0x594190(0x24ba));});}let _0x1aa5b1=!![],_0x220f2a=0x1;_0x19f117[_0x3b33bd(0x614)](_0x3b33bd(0x957),function(_0x3c0cc4,_0x151634){const _0x2120db=_0x3b33bd;_0x1aa5b1?_0x135dc7(function(){_0x1aa5b1=![];}):(!_0x151634&&(_0x220f2a=_0x593d39[_0x2120db(0xae2)][_0x2120db(0x1c7b)]),_0x3c0cc4!==_0x151634&&(_0x593d39[_0x2120db(0xae2)]['page']=0x1),!_0x3c0cc4&&(_0x593d39['query']['page']=_0x220f2a),_0x593d39[_0x2120db(0xf3e)]());});function _0x23bbd0(_0x5ad1b1){const _0x336299=_0x3b33bd;_0x593d39[_0x336299(0x1a22)]=_0x5ad1b1||{'count':0x0,'rows':[]};}function _0x5beb95(){const _0x1d19f2=_0x3b33bd;_0x593d39['query'][_0x1d19f2(0x184b)]=(_0x593d39[_0x1d19f2(0xae2)][_0x1d19f2(0x1c7b)]-0x1)*_0x593d39[_0x1d19f2(0xae2)][_0x1d19f2(0x236)],_0xd38cbd[_0x1d19f2(0x22b6)]('admin')?_0x593d39['promise']=_0x25d94d[_0x1d19f2(0x263c)][_0x1d19f2(0xbf7)](_0x593d39[_0x1d19f2(0xae2)],_0x23bbd0)[_0x1d19f2(0x1d77)]:(_0x593d39[_0x1d19f2(0xae2)]['id']=_0x593d39[_0x1d19f2(0x44a)]['id'],_0x593d39[_0x1d19f2(0xae2)][_0x1d19f2(0x1f74)]='Scheduler',_0x593d39[_0x1d19f2(0x2061)]=_0x25d94d['userProfile'][_0x1d19f2(0x1810)](_0x593d39[_0x1d19f2(0xae2)],_0x23bbd0)[_0x1d19f2(0x1d77)]);}function _0x4f56d1(_0x2c34e4,_0x48138d){const _0x5c78c0=_0x3b33bd;_0x243dc3[_0x5c78c0(0xe27)]({'controller':_0x5c78c0(0x24cf),'controllerAs':'vm','templateUrl':_0x1bc9fc,'parent':angular[_0x5c78c0(0x1853)](_0x4f4caa['body']),'targetEvent':_0x2c34e4,'clickOutsideToClose':!![],'locals':{'schedule':_0x48138d,'schedules':_0x593d39[_0x5c78c0(0x1a22)]['rows'],'license':_0x593d39[_0x5c78c0(0x8a5)],'setting':_0x593d39['setting'],'crudPermissions':_0x593d39['crudPermissions']}});}function _0x8a2092(_0x2f3555){const _0x37f53c=_0x3b33bd;_0x25d94d[_0x37f53c(0x263c)][_0x37f53c(0x111d)]({'id':_0x2f3555['id']})['$promise'][_0x37f53c(0x1cb0)](function(){const _0x24b7df=_0x37f53c;_0x39641b()[_0x24b7df(0x152a)](_0x593d39[_0x24b7df(0x1a22)][_0x24b7df(0x2214)],{'id':_0x2f3555['id']}),_0x593d39[_0x24b7df(0x1a22)]['count']-=0x1,!_0x593d39[_0x24b7df(0x1a22)]['rows']['length']&&_0x593d39[_0x24b7df(0xf3e)](),_0x5ebc15['success']({'title':_0x39641b()['startCase'](_0x24b7df(0x97e))+_0x24b7df(0x2663),'msg':_0x2f3555[_0x24b7df(0x16b6)]?_0x2f3555[_0x24b7df(0x16b6)]+'\x20has\x20been\x20deleted!':''});})['catch'](function(_0x14cfd3){const _0x3241cd=_0x37f53c;if(_0x14cfd3[_0x3241cd(0x25c)]&&_0x14cfd3[_0x3241cd(0x25c)][_0x3241cd(0x1a7c)]&&_0x14cfd3['data'][_0x3241cd(0x1a7c)][_0x3241cd(0xfd0)]){_0x593d39[_0x3241cd(0x1a7c)]=_0x14cfd3['data']['errors']||[{'message':_0x14cfd3['toString'](),'type':_0x3241cd(0x1bb1)}];for(let _0x35a58b=0x0;_0x35a58b<_0x14cfd3['data'][_0x3241cd(0x1a7c)]['length'];_0x35a58b++){_0x5ebc15[_0x3241cd(0x218e)]({'title':_0x14cfd3['data']['errors'][_0x35a58b]['type'],'msg':_0x14cfd3[_0x3241cd(0x25c)][_0x3241cd(0x1a7c)][_0x35a58b]['message']});}}else _0x5ebc15[_0x3241cd(0x218e)]({'title':_0x14cfd3[_0x3241cd(0x291)]?_0x3241cd(0xeb9)+_0x14cfd3[_0x3241cd(0x291)]+'\x20-\x20'+_0x14cfd3['statusText']:_0x3241cd(0x1bb1),'msg':_0x14cfd3['data']?JSON[_0x3241cd(0x2701)](_0x14cfd3[_0x3241cd(0x25c)][_0x3241cd(0x155e)]):_0x14cfd3[_0x3241cd(0x155e)]||_0x14cfd3[_0x3241cd(0x147f)]()});});}function _0x111827(){const _0x196fb9=_0x3b33bd,_0x365e37=angular[_0x196fb9(0x17fe)](_0x593d39['selectedScheduler']);return _0x593d39[_0x196fb9(0x18f)]=[],_0x365e37;}function _0x2c1a2e(_0xf2c561){const _0x3b1f6c=_0x3b33bd,_0x128f1d=_0x243dc3[_0x3b1f6c(0x1551)]()[_0x3b1f6c(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20schedules?')['htmlContent'](_0x3b1f6c(0x204d)+_0x593d39['selectedScheduler']['length']+_0x3b1f6c(0x1d6c)+'\x20will\x20be\x20deleted.')[_0x3b1f6c(0x15ad)](_0x3b1f6c(0x788))[_0x3b1f6c(0x728)](_0xf2c561)['ok']('OK')['cancel'](_0x3b1f6c(0x24ba));_0x243dc3[_0x3b1f6c(0xe27)](_0x128f1d)[_0x3b1f6c(0x1cb0)](function(){const _0x15176c=_0x3b1f6c;_0x593d39['selectedScheduler']['forEach'](function(_0x9b274f){_0x8a2092(_0x9b274f);}),_0x593d39[_0x15176c(0x18f)]=[];});}function _0x3ab718(){const _0x24073d=_0x3b33bd;_0x593d39[_0x24073d(0x18f)]=[];}function _0x58452a(){const _0x58f4b4=_0x3b33bd;_0x593d39['selectedScheduler']=_0x593d39[_0x58f4b4(0x1a22)][_0x58f4b4(0x2214)];}}const _0x48b684=_0x218f74;;_0x3c9d6d[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),'$location','$mdDialog','$q','$translate',_0x5537c6(0x9bf),_0x5537c6(0xe28),_0x5537c6(0x1e9),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting',_0x5537c6(0x1b1a)];function _0x3c9d6d(_0x3f5f46,_0x2d097f,_0x33c814,_0x4225aa,_0x2a9df9,_0x33c5c8,_0x1ec99d,_0x5e3d3f,_0xdceb69,_0x4c26cd,_0x410d7b,_0x445a71,_0x4d2906,_0x3594a8){const _0x4bfed6=_0x5537c6,_0x2c63a2=this;_0x2c63a2['currentUser']=_0x410d7b[_0x4bfed6(0x21e8)](),_0x2c63a2[_0x4bfed6(0x1a7c)]=[],_0x2c63a2[_0x4bfed6(0x9ca)]=_0x4d2906,_0x2c63a2[_0x4bfed6(0x8a5)]=_0x445a71,_0x2c63a2[_0x4bfed6(0x1b1a)]=_0x3594a8,_0x2c63a2['hasModulePermissions']={},_0x2c63a2['passwordPattern']=_0x2c63a2[_0x4bfed6(0x9ca)]&&_0x2c63a2[_0x4bfed6(0x9ca)][_0x4bfed6(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x2c63a2[_0x4bfed6(0x1386)]=_0x4bfed6(0x239d),_0x2c63a2[_0x4bfed6(0x1e9)]=angular['copy'](_0xdceb69),_0x2c63a2['sounds']=_0x5e3d3f,_0x2c63a2['newSound']=![];!_0x2c63a2[_0x4bfed6(0x1e9)]&&(_0x2c63a2['sound']={},_0x2c63a2[_0x4bfed6(0x1386)]=_0x4bfed6(0xb39),_0x2c63a2[_0x4bfed6(0x17bd)]=!![]);_0x2c63a2['addNewSound']=_0x54d7e1,_0x2c63a2[_0x4bfed6(0xf25)]=_0x463168,_0x2c63a2['deleteSound']=_0x40f9a4,_0x2c63a2[_0x4bfed6(0x2c4)]=_0x22ff0b,_0x2c63a2[_0x4bfed6(0xda0)]=_0x449644;function _0x54d7e1(){const _0xc5db0c=_0x4bfed6;_0x2c63a2[_0xc5db0c(0x1a7c)]=[],_0x4c26cd[_0xc5db0c(0x1e9)]['save'](_0x2c63a2[_0xc5db0c(0x1e9)])[_0xc5db0c(0x1d77)]['then'](function(_0x55fd25){const _0x4a1e70=_0xc5db0c;_0x2c63a2['sounds'][_0x4a1e70(0xf63)](_0x55fd25[_0x4a1e70(0x19b2)]()),_0x1ec99d['success']({'title':'Sound\x20properly\x20created','msg':_0x2c63a2[_0x4a1e70(0x1e9)][_0x4a1e70(0x16b6)]?_0x2c63a2[_0x4a1e70(0x1e9)][_0x4a1e70(0x16b6)]+_0x4a1e70(0x470):''}),_0x449644(_0x55fd25);})[_0xc5db0c(0x1c4)](function(_0x2e1bac){const _0x8cb37a=_0xc5db0c;if(_0x2e1bac[_0x8cb37a(0x25c)]&&_0x2e1bac[_0x8cb37a(0x25c)][_0x8cb37a(0x1a7c)]&&_0x2e1bac['data'][_0x8cb37a(0x1a7c)][_0x8cb37a(0xfd0)]){_0x2c63a2[_0x8cb37a(0x1a7c)]=_0x2e1bac[_0x8cb37a(0x25c)][_0x8cb37a(0x1a7c)]||[{'message':_0x2e1bac['toString'](),'type':_0x8cb37a(0x130e)}];for(let _0x1c73da=0x0;_0x1c73da<_0x2e1bac['data'][_0x8cb37a(0x1a7c)][_0x8cb37a(0xfd0)];_0x1c73da+=0x1){_0x1ec99d[_0x8cb37a(0x218e)]({'title':_0x2e1bac[_0x8cb37a(0x25c)]['errors'][_0x1c73da][_0x8cb37a(0x66a)],'msg':_0x2e1bac[_0x8cb37a(0x25c)][_0x8cb37a(0x1a7c)][_0x1c73da][_0x8cb37a(0x155e)]});}}else _0x1ec99d['error']({'title':_0x2e1bac['status']?'API:'+_0x2e1bac[_0x8cb37a(0x291)]+'\x20-\x20'+_0x2e1bac[_0x8cb37a(0xc22)]:_0x8cb37a(0x130e),'msg':_0x2e1bac[_0x8cb37a(0x25c)]?JSON[_0x8cb37a(0x2701)](_0x2e1bac[_0x8cb37a(0x25c)]['message']):_0x2e1bac['toString']()});});}function _0x463168(){const _0x558456=_0x4bfed6;_0x2c63a2[_0x558456(0x1a7c)]=[],_0x4c26cd[_0x558456(0x1e9)][_0x558456(0x687)]({'id':_0x2c63a2[_0x558456(0x1e9)]['id']},_0x2c63a2[_0x558456(0x1e9)])['$promise'][_0x558456(0x1cb0)](function(_0x42d5d2){const _0x55444b=_0x558456,_0x19d5b3=_0x39641b()['find'](_0x2c63a2[_0x55444b(0xe28)],{'id':_0x42d5d2['id']});_0x19d5b3&&_0x39641b()[_0x55444b(0x9c1)](_0x19d5b3,_0x39641b()[_0x55444b(0x169b)](_0x42d5d2['toJSON'](),_0x39641b()[_0x55444b(0x1be5)](_0x19d5b3))),_0x1ec99d[_0x55444b(0x829)]({'title':'Sound\x20properly\x20saved!','msg':_0x2c63a2['sound'][_0x55444b(0x16b6)]?_0x2c63a2[_0x55444b(0x1e9)][_0x55444b(0x16b6)]+_0x55444b(0xedb):''}),_0x449644(_0x42d5d2);})[_0x558456(0x1c4)](function(_0x14e70a){const _0x54c9d8=_0x558456;if(_0x14e70a[_0x54c9d8(0x25c)]&&_0x14e70a[_0x54c9d8(0x25c)][_0x54c9d8(0x1a7c)]&&_0x14e70a[_0x54c9d8(0x25c)][_0x54c9d8(0x1a7c)]['length']){_0x2c63a2[_0x54c9d8(0x1a7c)]=_0x14e70a[_0x54c9d8(0x25c)][_0x54c9d8(0x1a7c)]||[{'message':_0x14e70a['toString'](),'type':_0x54c9d8(0xd4a)}];for(let _0x23219b=0x0;_0x23219b<_0x14e70a[_0x54c9d8(0x25c)][_0x54c9d8(0x1a7c)]['length'];_0x23219b++){_0x1ec99d[_0x54c9d8(0x218e)]({'title':_0x14e70a[_0x54c9d8(0x25c)]['errors'][_0x23219b]['type'],'msg':_0x14e70a['data']['errors'][_0x23219b]['message']});}}else _0x1ec99d[_0x54c9d8(0x218e)]({'title':_0x14e70a['status']?_0x54c9d8(0xeb9)+_0x14e70a['status']+_0x54c9d8(0x1657)+_0x14e70a['statusText']:'api.sound.update','msg':_0x14e70a[_0x54c9d8(0x25c)]?JSON[_0x54c9d8(0x2701)](_0x14e70a[_0x54c9d8(0x25c)][_0x54c9d8(0x155e)]):_0x14e70a[_0x54c9d8(0x147f)]()});});}function _0x40f9a4(_0x5829ed){const _0x567d85=_0x4bfed6;_0x2c63a2[_0x567d85(0x1a7c)]=[];const _0x579ae7=_0x4225aa['confirm']()[_0x567d85(0x1386)](_0x567d85(0x1a2e))[_0x567d85(0x862)]('The\x20sound\x20will\x20be\x20deleted.')[_0x567d85(0x15ad)]('Delete\x20Sound')['ok']('Delete')['cancel']('Cancel')['targetEvent'](_0x5829ed);_0x4225aa[_0x567d85(0xe27)](_0x579ae7)[_0x567d85(0x1cb0)](function(){const _0x53c98f=_0x567d85;_0x4c26cd[_0x53c98f(0x1e9)]['delete']({'id':_0x2c63a2[_0x53c98f(0x1e9)]['id']})['$promise'][_0x53c98f(0x1cb0)](function(){const _0x372dc9=_0x53c98f;_0x39641b()[_0x372dc9(0x152a)](_0x2c63a2[_0x372dc9(0xe28)],{'id':_0x2c63a2[_0x372dc9(0x1e9)]['id']}),_0x1ec99d[_0x372dc9(0x829)]({'title':_0x372dc9(0x64c),'msg':(_0x2c63a2[_0x372dc9(0x1e9)]['name']||_0x372dc9(0x1e9))+_0x372dc9(0x3f5)}),_0x449644(_0x2c63a2[_0x372dc9(0x1e9)]);})['catch'](function(_0x32cc3a){const _0x4f6f3b=_0x53c98f;if(_0x32cc3a[_0x4f6f3b(0x25c)]&&_0x32cc3a[_0x4f6f3b(0x25c)][_0x4f6f3b(0x1a7c)]&&_0x32cc3a[_0x4f6f3b(0x25c)][_0x4f6f3b(0x1a7c)][_0x4f6f3b(0xfd0)]){_0x2c63a2['errors']=_0x32cc3a[_0x4f6f3b(0x25c)][_0x4f6f3b(0x1a7c)]||[{'message':_0x32cc3a[_0x4f6f3b(0x147f)](),'type':_0x4f6f3b(0x262a)}];for(let _0x4a4749=0x0;_0x4a4749<_0x32cc3a[_0x4f6f3b(0x25c)][_0x4f6f3b(0x1a7c)]['length'];_0x4a4749++){_0x1ec99d[_0x4f6f3b(0x218e)]({'title':_0x32cc3a['data'][_0x4f6f3b(0x1a7c)][_0x4a4749]['type'],'msg':_0x32cc3a[_0x4f6f3b(0x25c)]['errors'][_0x4a4749][_0x4f6f3b(0x155e)]});}}else _0x1ec99d['error']({'title':_0x32cc3a[_0x4f6f3b(0x291)]?'API:'+_0x32cc3a[_0x4f6f3b(0x291)]+'\x20-\x20'+_0x32cc3a[_0x4f6f3b(0xc22)]:_0x4f6f3b(0x262a),'msg':_0x32cc3a[_0x4f6f3b(0x25c)]?JSON['stringify'](_0x32cc3a[_0x4f6f3b(0x25c)][_0x4f6f3b(0x155e)]):_0x32cc3a[_0x4f6f3b(0x155e)]||_0x32cc3a[_0x4f6f3b(0x147f)]()});});},function(){});}function _0x22ff0b(_0x42eed6){return _0x42eed6===null?undefined:new Date(_0x42eed6);}function _0x449644(_0x21cb96){const _0x145a2b=_0x4bfed6;_0x4225aa[_0x145a2b(0x1426)](_0x21cb96);}}const _0x3eaede=_0x3c9d6d;;const _0x37f68f=_0x5074a3['p']+_0x5537c6(0x1cff);;const _0x5296d5=_0x5074a3['p']+'src/js/modules/main/apps/tools/views/sounds/upload/dialog.html/dialog.html';;_0x4983e7['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0xe28),_0x5537c6(0x44a),_0x5537c6(0x2199),'api','msUtils',_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting'];function _0x4983e7(_0x43acf1,_0x193be9,_0x1049da,_0xe0245e,_0x10c96e,_0x67cd88,_0x1604c3,_0x353f60,_0x4d59e8,_0x2072b2,_0x573bcc,_0x36f410,_0x170f5b,_0xf15272,_0x33e2be,_0x5ae3fc,_0x50cf0e){const _0x36f7d1=_0x5537c6,_0xaa7208=this;_0xaa7208['license']=_0x5ae3fc,_0xaa7208[_0x36f7d1(0x9ca)]=_0x50cf0e,_0xaa7208['currentUser']=_0x33e2be[_0x36f7d1(0x21e8)](),_0xaa7208[_0x36f7d1(0xe28)]=_0x4d59e8||{'count':0x0,'rows':[]},_0xaa7208[_0x36f7d1(0x44a)]=_0x2072b2,_0xaa7208[_0x36f7d1(0x2199)]=_0x573bcc&&_0x573bcc[_0x36f7d1(0x184d)]==0x1?_0x573bcc['rows'][0x0]:null,_0xaa7208[_0x36f7d1(0x1b1a)]=_0x33e2be[_0x36f7d1(0x14ea)](_0xaa7208[_0x36f7d1(0x2199)]?_0xaa7208[_0x36f7d1(0x2199)][_0x36f7d1(0x1b1a)]:null),_0xaa7208[_0x36f7d1(0xc83)]=_0x36f7d1(0xe28),_0xaa7208[_0x36f7d1(0x1d20)]='',_0xaa7208['listOrderAsc']=null,_0xaa7208[_0x36f7d1(0x1082)]=[],_0xaa7208[_0x36f7d1(0xae2)]={'fields':_0x36f7d1(0x2877),'sort':'-updatedAt','limit':0xa,'page':0x1},_0xaa7208[_0x36f7d1(0xc93)]=_0x2f56bb,_0xaa7208['downloadfile2']=_0x377061,_0xaa7208[_0x36f7d1(0x27fe)]=_0x42b4ea,_0xaa7208['success']=_0x20f77b,_0xaa7208[_0x36f7d1(0x86c)]=_0x1dee97,_0xaa7208[_0x36f7d1(0x1e31)]=_0x3b3064,_0xaa7208[_0x36f7d1(0x547)]=_0x5050d9,_0xaa7208['exportSelectedSounds']=_0x2a067c,_0xaa7208[_0x36f7d1(0x1b33)]=_0x476d27,_0xaa7208[_0x36f7d1(0x8da)]=_0x1c6584,_0xaa7208[_0x36f7d1(0x22c5)]=_0x33ece5,_0xaa7208[_0x36f7d1(0x164)]=_0x28a7e4;function _0x2f56bb(_0xacb2a,_0x45d816){const _0x3862ad=_0x36f7d1;_0x10c96e[_0x3862ad(0xe27)]({'controller':'CreateOrEditSoundDialogController','controllerAs':'vm','templateUrl':_0x37f68f,'parent':angular[_0x3862ad(0x1853)](_0x67cd88[_0x3862ad(0x1ed9)]),'targetEvent':_0x45d816,'clickOutsideToClose':!![],'locals':{'sound':_0xacb2a,'sounds':_0xaa7208[_0x3862ad(0xe28)][_0x3862ad(0x2214)],'license':_0xaa7208[_0x3862ad(0x8a5)],'setting':null,'crudPermissions':_0xaa7208[_0x3862ad(0x1b1a)]}});}function _0x377061(_0x162aee){const _0x285cb3=_0x36f7d1;return _0x36f410[_0x285cb3(0x1e9)][_0x285cb3(0x26ec)]({'id':_0x162aee['id']})[_0x285cb3(0x1d77)][_0x285cb3(0x1cb0)](function(_0x1e8e04){const _0x49caab=_0x285cb3,_0x6136a4=[_0x1e8e04[_0x49caab(0xef0)]];let _0x3b1687=_0x49caab(0x26ec);const _0x2cf149=new Blob(_0x6136a4,{'type':_0x1e8e04[_0x49caab(0x66a)]});_0x3b1687=_0x162aee[_0x49caab(0xae0)]?_0x162aee['save_name']+'.'+_0x162aee[_0x49caab(0xae0)]:_0x162aee[_0x49caab(0x144c)];const _0x4fd77a=window[_0x49caab(0x1db8)][_0x49caab(0x8c6)]('a');_0x4fd77a[_0x49caab(0x23b9)](_0x49caab(0x105b),URL[_0x49caab(0x2247)](_0x2cf149)),_0x4fd77a['setAttribute'](_0x49caab(0x26ec),_0x3b1687),document[_0x49caab(0x1ed9)][_0x49caab(0x23de)](_0x4fd77a),_0x4fd77a[_0x49caab(0x20b8)]();})['catch'](function(_0x39a626){const _0x330406=_0x285cb3;if(_0x39a626[_0x330406(0x25c)]&&_0x39a626['data'][_0x330406(0x1a7c)]&&_0x39a626[_0x330406(0x25c)]['errors'][_0x330406(0xfd0)])for(let _0x44749a=0x0;_0x44749a<_0x39a626[_0x330406(0x25c)][_0x330406(0x1a7c)][_0x330406(0xfd0)];_0x44749a++){_0xf15272[_0x330406(0x218e)]({'title':_0x39a626[_0x330406(0x25c)][_0x330406(0x1a7c)][_0x44749a][_0x330406(0x66a)],'msg':_0x39a626[_0x330406(0x25c)][_0x330406(0x1a7c)][_0x44749a]['message']});}else _0xf15272[_0x330406(0x218e)]({'title':_0x39a626['status']?_0x330406(0xeb9)+_0x39a626[_0x330406(0x291)]+_0x330406(0x1657)+_0x39a626[_0x330406(0xc22)]:_0x330406(0x130e),'msg':_0x39a626[_0x330406(0x25c)]?JSON[_0x330406(0x2701)](_0x39a626[_0x330406(0x25c)]['message']):_0x39a626[_0x330406(0x147f)]()});});}function _0x42b4ea(_0x4627bc,_0x559a82){const _0x5d0261=_0x36f7d1,_0x4acb9c=_0x10c96e[_0x5d0261(0x1551)]()[_0x5d0261(0x1386)](_0x5d0261(0x140b)+_0x39641b()['startCase']('sound')+'?')[_0x5d0261(0x49e)](_0x5d0261(0x204d)+(_0x4627bc['name']||_0x5d0261(0x1e9))+''+'\x20will\x20be\x20deleted.')[_0x5d0261(0x15ad)](_0x5d0261(0xffb))[_0x5d0261(0x728)](_0x559a82)['ok']('OK')['cancel']('CANCEL');_0x10c96e['show'](_0x4acb9c)[_0x5d0261(0x1cb0)](function(){_0x5050d9(_0x4627bc);},function(){const _0x2b3793=_0x5d0261;console[_0x2b3793(0x1b4f)](_0x2b3793(0x24ba));});}let _0x5d81b5=!![],_0x10c110=0x1;_0x43acf1[_0x36f7d1(0x614)](_0x36f7d1(0x957),function(_0x377df9,_0xa5179){const _0x3b7ef4=_0x36f7d1;_0x5d81b5?_0x1604c3(function(){_0x5d81b5=![];}):(!_0xa5179&&(_0x10c110=_0xaa7208[_0x3b7ef4(0xae2)][_0x3b7ef4(0x1c7b)]),_0x377df9!==_0xa5179&&(_0xaa7208[_0x3b7ef4(0xae2)][_0x3b7ef4(0x1c7b)]=0x1),!_0x377df9&&(_0xaa7208[_0x3b7ef4(0xae2)]['page']=_0x10c110),_0xaa7208[_0x3b7ef4(0x86c)]());});function _0x20f77b(_0x351dee){const _0x1f041c=_0x36f7d1;_0xaa7208[_0x1f041c(0xe28)]=_0x351dee||{'count':0x0,'rows':[]};}function _0x1dee97(){const _0x5b9f41=_0x36f7d1;_0xaa7208[_0x5b9f41(0xae2)][_0x5b9f41(0x184b)]=(_0xaa7208[_0x5b9f41(0xae2)][_0x5b9f41(0x1c7b)]-0x1)*_0xaa7208[_0x5b9f41(0xae2)][_0x5b9f41(0x236)],_0x33e2be[_0x5b9f41(0x22b6)](_0x5b9f41(0x1c60))?_0xaa7208[_0x5b9f41(0x2061)]=_0x36f410[_0x5b9f41(0x1e9)]['get'](_0xaa7208['query'],_0x20f77b)[_0x5b9f41(0x1d77)]:(_0xaa7208[_0x5b9f41(0xae2)]['id']=_0xaa7208[_0x5b9f41(0x44a)]['id'],_0xaa7208[_0x5b9f41(0xae2)]['section']='Sounds',_0xaa7208[_0x5b9f41(0x2061)]=_0x36f410[_0x5b9f41(0x44a)][_0x5b9f41(0x1810)](_0xaa7208[_0x5b9f41(0xae2)],_0x20f77b)['$promise']);}function _0x3b3064(_0x1c0717,_0x320331){const _0x495ee8=_0x36f7d1;_0x10c96e['show']({'controller':_0x495ee8(0x1eac),'controllerAs':'vm','templateUrl':_0x37f68f,'parent':angular[_0x495ee8(0x1853)](_0x67cd88[_0x495ee8(0x1ed9)]),'targetEvent':_0x1c0717,'clickOutsideToClose':!![],'locals':{'sound':_0x320331,'sounds':_0xaa7208[_0x495ee8(0xe28)]['rows'],'license':_0xaa7208[_0x495ee8(0x8a5)],'setting':_0xaa7208['setting'],'crudPermissions':_0xaa7208[_0x495ee8(0x1b1a)]}});}function _0x5050d9(_0x201bdd){const _0x59ae88=_0x36f7d1;_0x36f410[_0x59ae88(0x1e9)][_0x59ae88(0x111d)]({'id':_0x201bdd['id']})[_0x59ae88(0x1d77)]['then'](function(){const _0x3a4e05=_0x59ae88;_0x39641b()[_0x3a4e05(0x152a)](_0xaa7208['sounds'][_0x3a4e05(0x2214)],{'id':_0x201bdd['id']}),_0xaa7208[_0x3a4e05(0xe28)][_0x3a4e05(0x184d)]-=0x1,!_0xaa7208[_0x3a4e05(0xe28)][_0x3a4e05(0x2214)]['length']&&_0xaa7208['getSounds'](),_0xf15272[_0x3a4e05(0x829)]({'title':_0x39641b()['startCase']('Sound')+_0x3a4e05(0x2663),'msg':_0x201bdd['name']?_0x201bdd[_0x3a4e05(0x16b6)]+_0x3a4e05(0x3f5):''});})['catch'](function(_0x4a5684){const _0x15417f=_0x59ae88;if(_0x4a5684[_0x15417f(0x25c)]&&_0x4a5684[_0x15417f(0x25c)][_0x15417f(0x1a7c)]&&_0x4a5684['data']['errors']['length']){_0xaa7208[_0x15417f(0x1a7c)]=_0x4a5684[_0x15417f(0x25c)][_0x15417f(0x1a7c)]||[{'message':_0x4a5684['toString'](),'type':_0x15417f(0x1762)}];for(let _0x26a3d7=0x0;_0x26a3d7<_0x4a5684[_0x15417f(0x25c)][_0x15417f(0x1a7c)][_0x15417f(0xfd0)];_0x26a3d7++){_0xf15272[_0x15417f(0x218e)]({'title':_0x4a5684['data'][_0x15417f(0x1a7c)][_0x26a3d7]['type'],'msg':_0x4a5684[_0x15417f(0x25c)][_0x15417f(0x1a7c)][_0x26a3d7][_0x15417f(0x155e)]});}}else _0xf15272[_0x15417f(0x218e)]({'title':_0x4a5684[_0x15417f(0x291)]?_0x15417f(0xeb9)+_0x4a5684[_0x15417f(0x291)]+'\x20-\x20'+_0x4a5684[_0x15417f(0xc22)]:_0x15417f(0x1762),'msg':_0x4a5684[_0x15417f(0x25c)]?JSON['stringify'](_0x4a5684[_0x15417f(0x25c)][_0x15417f(0x155e)]):_0x4a5684[_0x15417f(0x155e)]||_0x4a5684[_0x15417f(0x147f)]()});});}function _0x2a067c(){const _0xf74e05=_0x36f7d1,_0x1261d0=angular['copy'](_0xaa7208[_0xf74e05(0x1082)]);return _0xaa7208['selectedSounds']=[],_0x1261d0;}function _0x476d27(_0x2bc256){const _0x1f2c72=_0x36f7d1,_0x1b8065=_0x10c96e[_0x1f2c72(0x1551)]()[_0x1f2c72(0x1386)](_0x1f2c72(0xe00))[_0x1f2c72(0x49e)](_0x1f2c72(0x204d)+_0xaa7208[_0x1f2c72(0x1082)][_0x1f2c72(0xfd0)]+_0x1f2c72(0x1d6c)+_0x1f2c72(0x1b6))['ariaLabel'](_0x1f2c72(0x266f))['targetEvent'](_0x2bc256)['ok']('OK')[_0x1f2c72(0x696)](_0x1f2c72(0x24ba));_0x10c96e[_0x1f2c72(0xe27)](_0x1b8065)[_0x1f2c72(0x1cb0)](function(){const _0x47e400=_0x1f2c72;_0xaa7208[_0x47e400(0x1082)]['forEach'](function(_0x25fdf8){_0x5050d9(_0x25fdf8);}),_0xaa7208['selectedSounds']=[];});}function _0x1c6584(){const _0x1b7f92=_0x36f7d1;_0xaa7208[_0x1b7f92(0x1082)]=[];}function _0x33ece5(){const _0x24e482=_0x36f7d1;_0xaa7208[_0x24e482(0x1082)]=_0xaa7208['sounds'][_0x24e482(0x2214)];}function _0x28a7e4(_0x37f7cb){const _0x1912c2=_0x36f7d1;_0x10c96e[_0x1912c2(0xe27)]({'controller':_0x1912c2(0x117d),'controllerAs':'vm','templateUrl':_0x5296d5,'parent':angular[_0x1912c2(0x1853)](_0x67cd88[_0x1912c2(0x1ed9)]),'targetEvent':_0x37f7cb,'clickOutsideToClose':!![],'locals':{'sounds':_0xaa7208[_0x1912c2(0xe28)][_0x1912c2(0x2214)]}});}}const _0x55f64d=_0x4983e7;;_0x332465['$inject']=['$cookies','$mdDialog','toasty','sounds','api',_0x5537c6(0x1774)];function _0x332465(_0x6923dc,_0x44d30b,_0x3e2919,_0x202303,_0x36bc60,_0x3a5c34){const _0x3d3370=_0x5537c6,_0x1c7f97=this,_0x38815d=0xf,_0x18dbfa=_0x38815d*0x400*0x400;_0x1c7f97[_0x3d3370(0xe76)]=_0x3a5c34[_0x3d3370(0x21e8)](),_0x1c7f97[_0x3d3370(0x1a7c)]=[],_0x1c7f97[_0x3d3370(0x1386)]=_0x3d3370(0x2429),_0x1c7f97[_0x3d3370(0xe28)]=_0x202303,_0x1c7f97[_0x3d3370(0x14a7)]=[],_0x1c7f97['uploadState']='none',_0x1c7f97[_0x3d3370(0x1e9)]={},_0x1c7f97['ngFlowOptions']={'maxChunkRetries':0x1,'chunkSize':_0x18dbfa,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![],'target':_0x3d3370(0x47e)},_0x1c7f97[_0x3d3370(0x125a)]={'flow':{}},_0x1c7f97['dropping']=![],_0x1c7f97['fileAdded']=_0x5a410a,_0x1c7f97[_0x3d3370(0x1c97)]=_0x2e6ef3,_0x1c7f97['fileSuccess']=_0xbe8540,_0x1c7f97['uploadError']=_0x6f616c,_0x1c7f97[_0x3d3370(0xccd)]=_0x20b20d,_0x1c7f97['closeDialog']=_0x309311;function _0x5a410a(_0x5b6066){const _0x22b8fe=_0x3d3370,_0x5bb3b1=[_0x22b8fe(0xcab),'mp3','gsm'];if(!_0x39641b()[_0x22b8fe(0x250a)](_0x5bb3b1,_0x5b6066[_0x22b8fe(0x1cb1)]()))return _0x3e2919[_0x22b8fe(0x218e)]({'title':_0x22b8fe(0x14d4)+_0x5b6066['getExtension'](),'msg':_0x22b8fe(0x4d4)+_0x5bb3b1[_0x22b8fe(0x1f66)]()}),![];if(_0x5b6066[_0x22b8fe(0x1813)]>_0x18dbfa)return _0x3e2919[_0x22b8fe(0x218e)]({'title':_0x22b8fe(0x2749),'msg':_0x22b8fe(0xe61)+_0x38815d+'MB'}),![];return _0x1c7f97[_0x22b8fe(0x1e9)]={'id':_0x5b6066['uniqueIdentifier'],'file':_0x5b6066},_0x1c7f97[_0x22b8fe(0x14a7)][_0x22b8fe(0xf63)](_0x1c7f97[_0x22b8fe(0x1e9)]),!![];}function _0x2e6ef3(){const _0x3bd34d=_0x3d3370;_0x1c7f97[_0x3bd34d(0xcc9)]=_0x3bd34d(0x2989),_0x1c7f97[_0x3bd34d(0x125a)][_0x3bd34d(0xacf)][_0x3bd34d(0xd56)][_0x3bd34d(0x5e7)]={'X-Requested-With':_0x3bd34d(0x2711),'Authorization':_0x3bd34d(0x1923)+_0x6923dc[_0x3bd34d(0xbf7)](_0x3bd34d(0x1b26))},_0x1c7f97['ngFlow']['flow']['opts'][_0x3bd34d(0xae2)]={'role':_0x1c7f97[_0x3bd34d(0xe76)][_0x3bd34d(0x26e6)],'userProfileId':_0x1c7f97[_0x3bd34d(0xe76)]['userProfileId']},_0x1c7f97[_0x3bd34d(0x125a)]['flow'][_0x3bd34d(0x1c97)]();}function _0xbe8540(_0x5f1aec,_0x2c152e){const _0x338a92=_0x3d3370;_0x1c7f97[_0x338a92(0xe28)]['unshift'](JSON[_0x338a92(0xefe)](_0x2c152e)),angular['forEach'](_0x1c7f97[_0x338a92(0x14a7)],function(_0x1fc44a){const _0x212ffc=_0x338a92;if(_0x1fc44a['id']===_0x5f1aec[_0x212ffc(0x27c2)]){const _0x41cfda=new FileReader();_0x41cfda['readAsDataURL'](_0x1fc44a[_0x212ffc(0x1ac4)]['file']),_0x41cfda['onload']=function(_0x3183ad){const _0x48b0d0=_0x212ffc;_0x1fc44a['url']=_0x3183ad[_0x48b0d0(0x251d)][_0x48b0d0(0x1205)];};}});}function _0x6f616c(){const _0x2ce0ce=_0x3d3370;_0x1c7f97[_0x2ce0ce(0x125a)][_0x2ce0ce(0xacf)]['cancel'](),_0x3e2919['error']({'title':'Upload\x20error','msg':_0x2ce0ce(0x224d)}),_0x1c7f97['uploadState']=_0x2ce0ce(0x1460);}function _0x20b20d(){const _0x314a4e=_0x3d3370;_0x1c7f97[_0x314a4e(0xcc9)]!==_0x314a4e(0x1460)&&(_0x1c7f97['uploadState']=_0x314a4e(0x1274),_0x3e2919[_0x314a4e(0x829)]({'title':_0x314a4e(0x1a51),'msg':_0x1c7f97[_0x314a4e(0x1e9)]['file'][_0x314a4e(0x16b6)]+_0x314a4e(0xdeb)}));}function _0x309311(){const _0xc14c04=_0x3d3370;_0x44d30b[_0xc14c04(0x1426)]();}}const _0x433ded=_0x332465;;_0x1864af[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x406),'$location',_0x5537c6(0xcb9),'$q','$translate',_0x5537c6(0x9bf),_0x5537c6(0xfbf),_0x5537c6(0x22b1),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting',_0x5537c6(0x1b1a)];function _0x1864af(_0x2aab83,_0x2688b7,_0x37850f,_0x2d7d87,_0x5a3f76,_0x28deed,_0x1a495d,_0x17f5ff,_0x11b124,_0x3ad47d,_0x40f7c3,_0x445b72,_0x473d70,_0x555e78){const _0x9263ff=_0x5537c6,_0x160b53=this;_0x160b53[_0x9263ff(0xe76)]=_0x40f7c3[_0x9263ff(0x21e8)](),_0x160b53[_0x9263ff(0x1a7c)]=[],_0x160b53[_0x9263ff(0x9ca)]=_0x473d70,_0x160b53[_0x9263ff(0x8a5)]=_0x445b72,_0x160b53[_0x9263ff(0x1b1a)]=_0x555e78,_0x160b53[_0x9263ff(0xf4c)]={},_0x160b53['passwordPattern']=_0x160b53[_0x9263ff(0x9ca)]&&_0x160b53['setting']['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x160b53[_0x9263ff(0x1386)]=_0x9263ff(0x18ef),_0x160b53[_0x9263ff(0x22b1)]=angular[_0x9263ff(0x17fe)](_0x11b124),_0x160b53[_0x9263ff(0xfbf)]=_0x17f5ff,_0x160b53[_0x9263ff(0x11f9)]=![];!_0x160b53[_0x9263ff(0x22b1)]&&(_0x160b53[_0x9263ff(0x22b1)]={},_0x160b53[_0x9263ff(0x1386)]='TOOLS.NEW_TAG',_0x160b53[_0x9263ff(0x11f9)]=!![]);_0x160b53['addNewTag']=_0x3af084,_0x160b53[_0x9263ff(0xea4)]=_0x3fdf61,_0x160b53['deleteTag']=_0x5a6c8a,_0x160b53['getDateFromString']=_0x4d51c2,_0x160b53['closeDialog']=_0x1d19e3;function _0x3af084(){const _0x4775e6=_0x9263ff;_0x160b53[_0x4775e6(0x1a7c)]=[],_0x3ad47d['tag'][_0x4775e6(0x1c3f)](_0x160b53[_0x4775e6(0x22b1)])[_0x4775e6(0x1d77)][_0x4775e6(0x1cb0)](function(_0x4cfbe7){const _0x408962=_0x4775e6;_0x160b53['tags']['unshift'](_0x4cfbe7[_0x408962(0x19b2)]()),_0x1a495d['success']({'title':_0x408962(0x1aad),'msg':_0x160b53['tag'][_0x408962(0x16b6)]?_0x160b53['tag'][_0x408962(0x16b6)]+_0x408962(0x470):''}),_0x1d19e3(_0x4cfbe7);})['catch'](function(_0x52c588){const _0x3d68ea=_0x4775e6;if(_0x52c588[_0x3d68ea(0x25c)]&&_0x52c588[_0x3d68ea(0x25c)]['errors']&&_0x52c588[_0x3d68ea(0x25c)][_0x3d68ea(0x1a7c)][_0x3d68ea(0xfd0)]){_0x160b53[_0x3d68ea(0x1a7c)]=_0x52c588['data'][_0x3d68ea(0x1a7c)]||[{'message':_0x52c588[_0x3d68ea(0x147f)](),'type':_0x3d68ea(0x1216)}];for(let _0x164574=0x0;_0x164574<_0x52c588[_0x3d68ea(0x25c)][_0x3d68ea(0x1a7c)][_0x3d68ea(0xfd0)];_0x164574+=0x1){_0x1a495d[_0x3d68ea(0x218e)]({'title':_0x52c588[_0x3d68ea(0x25c)][_0x3d68ea(0x1a7c)][_0x164574][_0x3d68ea(0x66a)],'msg':_0x52c588[_0x3d68ea(0x25c)][_0x3d68ea(0x1a7c)][_0x164574][_0x3d68ea(0x155e)]});}}else _0x1a495d['error']({'title':_0x52c588[_0x3d68ea(0x291)]?'API:'+_0x52c588[_0x3d68ea(0x291)]+_0x3d68ea(0x1657)+_0x52c588[_0x3d68ea(0xc22)]:_0x3d68ea(0x1216),'msg':_0x52c588[_0x3d68ea(0x25c)]?JSON[_0x3d68ea(0x2701)](_0x52c588[_0x3d68ea(0x25c)][_0x3d68ea(0x155e)]):_0x52c588[_0x3d68ea(0x147f)]()});});}function _0x3fdf61(){const _0x51f0e1=_0x9263ff;_0x160b53['errors']=[],_0x3ad47d[_0x51f0e1(0x22b1)]['update']({'id':_0x160b53['tag']['id']},_0x160b53[_0x51f0e1(0x22b1)])[_0x51f0e1(0x1d77)]['then'](function(_0xbec784){const _0x10f7d=_0x51f0e1,_0x5ac6ba=_0x39641b()['find'](_0x160b53[_0x10f7d(0xfbf)],{'id':_0xbec784['id']});_0x5ac6ba&&_0x39641b()[_0x10f7d(0x9c1)](_0x5ac6ba,_0x39641b()['pick'](_0xbec784['toJSON'](),_0x39641b()[_0x10f7d(0x1be5)](_0x5ac6ba))),_0x1a495d['success']({'title':_0x10f7d(0x60b),'msg':_0x160b53[_0x10f7d(0x22b1)][_0x10f7d(0x16b6)]?_0x160b53[_0x10f7d(0x22b1)][_0x10f7d(0x16b6)]+'\x20has\x20been\x20saved!':''}),_0x1d19e3(_0xbec784);})[_0x51f0e1(0x1c4)](function(_0x452fe3){const _0x35b55f=_0x51f0e1;if(_0x452fe3[_0x35b55f(0x25c)]&&_0x452fe3['data'][_0x35b55f(0x1a7c)]&&_0x452fe3[_0x35b55f(0x25c)][_0x35b55f(0x1a7c)][_0x35b55f(0xfd0)]){_0x160b53[_0x35b55f(0x1a7c)]=_0x452fe3[_0x35b55f(0x25c)][_0x35b55f(0x1a7c)]||[{'message':_0x452fe3['toString'](),'type':_0x35b55f(0x1631)}];for(let _0x1c9298=0x0;_0x1c9298<_0x452fe3[_0x35b55f(0x25c)][_0x35b55f(0x1a7c)][_0x35b55f(0xfd0)];_0x1c9298++){_0x1a495d['error']({'title':_0x452fe3['data'][_0x35b55f(0x1a7c)][_0x1c9298][_0x35b55f(0x66a)],'msg':_0x452fe3[_0x35b55f(0x25c)][_0x35b55f(0x1a7c)][_0x1c9298][_0x35b55f(0x155e)]});}}else _0x1a495d['error']({'title':_0x452fe3[_0x35b55f(0x291)]?_0x35b55f(0xeb9)+_0x452fe3[_0x35b55f(0x291)]+_0x35b55f(0x1657)+_0x452fe3[_0x35b55f(0xc22)]:'api.tag.update','msg':_0x452fe3[_0x35b55f(0x25c)]?JSON[_0x35b55f(0x2701)](_0x452fe3[_0x35b55f(0x25c)][_0x35b55f(0x155e)]):_0x452fe3[_0x35b55f(0x147f)]()});});}function _0x5a6c8a(_0x56a4b3){const _0x3e556d=_0x9263ff;_0x160b53[_0x3e556d(0x1a7c)]=[];const _0x43974b=_0x2d7d87[_0x3e556d(0x1551)]()['title'](_0x3e556d(0x1a2e))['content'](_0x3e556d(0x117f))[_0x3e556d(0x15ad)](_0x3e556d(0xa64))['ok'](_0x3e556d(0x2594))[_0x3e556d(0x696)](_0x3e556d(0xde1))[_0x3e556d(0x728)](_0x56a4b3);_0x2d7d87[_0x3e556d(0xe27)](_0x43974b)[_0x3e556d(0x1cb0)](function(){const _0x12c232=_0x3e556d;_0x3ad47d['tag'][_0x12c232(0x111d)]({'id':_0x160b53[_0x12c232(0x22b1)]['id']})[_0x12c232(0x1d77)][_0x12c232(0x1cb0)](function(){const _0x294b31=_0x12c232;_0x39641b()[_0x294b31(0x152a)](_0x160b53[_0x294b31(0xfbf)],{'id':_0x160b53[_0x294b31(0x22b1)]['id']}),_0x1a495d[_0x294b31(0x829)]({'title':'Tag\x20properly\x20deleted!','msg':(_0x160b53[_0x294b31(0x22b1)][_0x294b31(0x16b6)]||_0x294b31(0x22b1))+_0x294b31(0x3f5)}),_0x1d19e3(_0x160b53['tag']);})[_0x12c232(0x1c4)](function(_0x403c3b){const _0x79ab91=_0x12c232;if(_0x403c3b[_0x79ab91(0x25c)]&&_0x403c3b[_0x79ab91(0x25c)]['errors']&&_0x403c3b[_0x79ab91(0x25c)][_0x79ab91(0x1a7c)][_0x79ab91(0xfd0)]){_0x160b53['errors']=_0x403c3b['data'][_0x79ab91(0x1a7c)]||[{'message':_0x403c3b[_0x79ab91(0x147f)](),'type':'api.tag.delete'}];for(let _0x5d3b8c=0x0;_0x5d3b8c<_0x403c3b[_0x79ab91(0x25c)][_0x79ab91(0x1a7c)]['length'];_0x5d3b8c++){_0x1a495d['error']({'title':_0x403c3b[_0x79ab91(0x25c)][_0x79ab91(0x1a7c)][_0x5d3b8c][_0x79ab91(0x66a)],'msg':_0x403c3b[_0x79ab91(0x25c)][_0x79ab91(0x1a7c)][_0x5d3b8c][_0x79ab91(0x155e)]});}}else _0x1a495d[_0x79ab91(0x218e)]({'title':_0x403c3b[_0x79ab91(0x291)]?'API:'+_0x403c3b[_0x79ab91(0x291)]+'\x20-\x20'+_0x403c3b[_0x79ab91(0xc22)]:'api.tag.delete','msg':_0x403c3b[_0x79ab91(0x25c)]?JSON['stringify'](_0x403c3b[_0x79ab91(0x25c)][_0x79ab91(0x155e)]):_0x403c3b[_0x79ab91(0x155e)]||_0x403c3b[_0x79ab91(0x147f)]()});});},function(){});}function _0x4d51c2(_0x25c0bd){return _0x25c0bd===null?undefined:new Date(_0x25c0bd);}function _0x1d19e3(_0x2ac31e){const _0x5d1e4d=_0x9263ff;_0x2d7d87[_0x5d1e4d(0x1426)](_0x2ac31e);}}const _0x48c557=_0x1864af;;const _0x24f29c=_0x5074a3['p']+_0x5537c6(0x5c9);;_0x5126a2[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),'$document',_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0xfbf),_0x5537c6(0x44a),_0x5537c6(0x2199),'api',_0x5537c6(0xde8),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x5126a2(_0x20cd9c,_0x406de0,_0x256b5f,_0x2c59dc,_0x431713,_0x47530a,_0x479335,_0x58461e,_0x5c86de,_0xf43c88,_0x145b6e,_0x546ee5,_0x1935d5,_0x492184,_0x43903b,_0xfea57a,_0x47348c){const _0x3571e7=_0x5537c6,_0x33e43b=this;_0x33e43b[_0x3571e7(0x8a5)]=_0xfea57a,_0x33e43b[_0x3571e7(0x9ca)]=_0x47348c,_0x33e43b[_0x3571e7(0xe76)]=_0x43903b[_0x3571e7(0x21e8)](),_0x33e43b[_0x3571e7(0xfbf)]=_0x5c86de||{'count':0x0,'rows':[]},_0x33e43b[_0x3571e7(0x44a)]=_0xf43c88,_0x33e43b['userProfileSection']=_0x145b6e&&_0x145b6e[_0x3571e7(0x184d)]==0x1?_0x145b6e[_0x3571e7(0x2214)][0x0]:null,_0x33e43b[_0x3571e7(0x1b1a)]=_0x43903b[_0x3571e7(0x14ea)](_0x33e43b[_0x3571e7(0x2199)]?_0x33e43b[_0x3571e7(0x2199)]['crudPermissions']:null),_0x33e43b[_0x3571e7(0xc83)]='tags',_0x33e43b[_0x3571e7(0x1d20)]='',_0x33e43b[_0x3571e7(0x1cdf)]=null,_0x33e43b[_0x3571e7(0xfae)]=[],_0x33e43b['query']={'fields':_0x3571e7(0x1cc0),'sort':_0x3571e7(0x282),'limit':0xa,'page':0x1},_0x33e43b[_0x3571e7(0xc93)]=_0x553c5d,_0x33e43b[_0x3571e7(0x27fe)]=_0x1e934b,_0x33e43b[_0x3571e7(0x829)]=_0x89f911,_0x33e43b[_0x3571e7(0x128b)]=_0x237d8b,_0x33e43b['createOrEditTag']=_0x360f98,_0x33e43b['deleteTag']=_0x33d08d,_0x33e43b[_0x3571e7(0x1c80)]=_0x14ebbb,_0x33e43b['deleteSelectedTags']=_0x826673,_0x33e43b['deselectTags']=_0x1a5d5e,_0x33e43b[_0x3571e7(0x8a7)]=_0x40a02b;function _0x553c5d(_0x29a982,_0x16593f){const _0x551a00=_0x3571e7;_0x431713[_0x551a00(0xe27)]({'controller':_0x551a00(0x155a),'controllerAs':'vm','templateUrl':_0x24f29c,'parent':angular[_0x551a00(0x1853)](_0x47530a[_0x551a00(0x1ed9)]),'targetEvent':_0x16593f,'clickOutsideToClose':!![],'locals':{'tag':_0x29a982,'tags':_0x33e43b['tags'][_0x551a00(0x2214)],'license':_0x33e43b[_0x551a00(0x8a5)],'setting':null,'crudPermissions':_0x33e43b[_0x551a00(0x1b1a)]}});}function _0x1e934b(_0x2ae68a,_0x1f9431){const _0xeb2cad=_0x3571e7,_0x388e18=_0x431713[_0xeb2cad(0x1551)]()['title'](_0xeb2cad(0x140b)+_0x39641b()[_0xeb2cad(0xa75)](_0xeb2cad(0x22b1))+'?')['htmlContent'](_0xeb2cad(0x204d)+(_0x2ae68a[_0xeb2cad(0x16b6)]||_0xeb2cad(0x22b1))+_0xeb2cad(0x1200)+'\x20will\x20be\x20deleted.')[_0xeb2cad(0x15ad)]('delete\x20tag')[_0xeb2cad(0x728)](_0x1f9431)['ok']('OK')[_0xeb2cad(0x696)](_0xeb2cad(0x24ba));_0x431713[_0xeb2cad(0xe27)](_0x388e18)[_0xeb2cad(0x1cb0)](function(){_0x33d08d(_0x2ae68a);},function(){console['log']('CANCEL');});}let _0x34e185=!![],_0x3f1ba8=0x1;_0x20cd9c['$watch'](_0x3571e7(0x957),function(_0x3d3138,_0x582f42){const _0x25311c=_0x3571e7;_0x34e185?_0x479335(function(){_0x34e185=![];}):(!_0x582f42&&(_0x3f1ba8=_0x33e43b['query'][_0x25311c(0x1c7b)]),_0x3d3138!==_0x582f42&&(_0x33e43b[_0x25311c(0xae2)][_0x25311c(0x1c7b)]=0x1),!_0x3d3138&&(_0x33e43b[_0x25311c(0xae2)]['page']=_0x3f1ba8),_0x33e43b[_0x25311c(0x128b)]());});function _0x89f911(_0x2d438e){const _0x194fb6=_0x3571e7;_0x33e43b[_0x194fb6(0xfbf)]=_0x2d438e||{'count':0x0,'rows':[]};}function _0x237d8b(){const _0x45ed6b=_0x3571e7;_0x33e43b[_0x45ed6b(0xae2)][_0x45ed6b(0x184b)]=(_0x33e43b[_0x45ed6b(0xae2)]['page']-0x1)*_0x33e43b['query'][_0x45ed6b(0x236)],_0x43903b[_0x45ed6b(0x22b6)](_0x45ed6b(0x1c60))?_0x33e43b['promise']=_0x546ee5[_0x45ed6b(0x22b1)]['get'](_0x33e43b['query'],_0x89f911)[_0x45ed6b(0x1d77)]:(_0x33e43b[_0x45ed6b(0xae2)]['id']=_0x33e43b[_0x45ed6b(0x44a)]['id'],_0x33e43b[_0x45ed6b(0xae2)][_0x45ed6b(0x1f74)]='Tags',_0x33e43b[_0x45ed6b(0x2061)]=_0x546ee5[_0x45ed6b(0x44a)][_0x45ed6b(0x1810)](_0x33e43b[_0x45ed6b(0xae2)],_0x89f911)[_0x45ed6b(0x1d77)]);}function _0x360f98(_0x2502d2,_0x2faf75){const _0x329b38=_0x3571e7;_0x431713[_0x329b38(0xe27)]({'controller':_0x329b38(0x155a),'controllerAs':'vm','templateUrl':_0x24f29c,'parent':angular['element'](_0x47530a[_0x329b38(0x1ed9)]),'targetEvent':_0x2502d2,'clickOutsideToClose':!![],'locals':{'tag':_0x2faf75,'tags':_0x33e43b[_0x329b38(0xfbf)][_0x329b38(0x2214)],'license':_0x33e43b[_0x329b38(0x8a5)],'setting':_0x33e43b['setting'],'crudPermissions':_0x33e43b[_0x329b38(0x1b1a)]}});}function _0x33d08d(_0x4c1782){const _0x276296=_0x3571e7;_0x546ee5[_0x276296(0x22b1)]['delete']({'id':_0x4c1782['id']})[_0x276296(0x1d77)][_0x276296(0x1cb0)](function(){const _0x15c7fd=_0x276296;_0x39641b()[_0x15c7fd(0x152a)](_0x33e43b[_0x15c7fd(0xfbf)]['rows'],{'id':_0x4c1782['id']}),_0x33e43b['tags']['count']-=0x1,!_0x33e43b[_0x15c7fd(0xfbf)][_0x15c7fd(0x2214)][_0x15c7fd(0xfd0)]&&_0x33e43b[_0x15c7fd(0x128b)](),_0x492184[_0x15c7fd(0x829)]({'title':_0x39641b()[_0x15c7fd(0xa75)](_0x15c7fd(0xf2d))+'\x20deleted!','msg':_0x4c1782[_0x15c7fd(0x16b6)]?_0x4c1782[_0x15c7fd(0x16b6)]+'\x20has\x20been\x20deleted!':''});})[_0x276296(0x1c4)](function(_0x514f03){const _0x2b1c1f=_0x276296;if(_0x514f03['data']&&_0x514f03[_0x2b1c1f(0x25c)][_0x2b1c1f(0x1a7c)]&&_0x514f03['data']['errors']['length']){_0x33e43b[_0x2b1c1f(0x1a7c)]=_0x514f03[_0x2b1c1f(0x25c)][_0x2b1c1f(0x1a7c)]||[{'message':_0x514f03[_0x2b1c1f(0x147f)](),'type':_0x2b1c1f(0x19de)}];for(let _0xb71b7b=0x0;_0xb71b7b<_0x514f03['data']['errors'][_0x2b1c1f(0xfd0)];_0xb71b7b++){_0x492184[_0x2b1c1f(0x218e)]({'title':_0x514f03[_0x2b1c1f(0x25c)][_0x2b1c1f(0x1a7c)][_0xb71b7b]['type'],'msg':_0x514f03[_0x2b1c1f(0x25c)][_0x2b1c1f(0x1a7c)][_0xb71b7b][_0x2b1c1f(0x155e)]});}}else _0x492184['error']({'title':_0x514f03['status']?_0x2b1c1f(0xeb9)+_0x514f03[_0x2b1c1f(0x291)]+_0x2b1c1f(0x1657)+_0x514f03[_0x2b1c1f(0xc22)]:_0x2b1c1f(0x19de),'msg':_0x514f03[_0x2b1c1f(0x25c)]?JSON[_0x2b1c1f(0x2701)](_0x514f03[_0x2b1c1f(0x25c)][_0x2b1c1f(0x155e)]):_0x514f03[_0x2b1c1f(0x155e)]||_0x514f03[_0x2b1c1f(0x147f)]()});});}function _0x14ebbb(){const _0x253a58=_0x3571e7,_0x4237d9=angular[_0x253a58(0x17fe)](_0x33e43b[_0x253a58(0xfae)]);return _0x33e43b['selectedTags']=[],_0x4237d9;}function _0x826673(_0x339235){const _0x3f802c=_0x3571e7,_0x226e5a=_0x431713['confirm']()['title'](_0x3f802c(0x1d45))[_0x3f802c(0x49e)](''+_0x33e43b[_0x3f802c(0xfae)][_0x3f802c(0xfd0)]+'\x20selected'+_0x3f802c(0x1b6))[_0x3f802c(0x15ad)]('delete\x20Tags')[_0x3f802c(0x728)](_0x339235)['ok']('OK')[_0x3f802c(0x696)]('CANCEL');_0x431713[_0x3f802c(0xe27)](_0x226e5a)[_0x3f802c(0x1cb0)](function(){const _0x3f5618=_0x3f802c;_0x33e43b[_0x3f5618(0xfae)][_0x3f5618(0xf90)](function(_0x5d8090){_0x33d08d(_0x5d8090);}),_0x33e43b['selectedTags']=[];});}function _0x1a5d5e(){const _0x30bd1b=_0x3571e7;_0x33e43b[_0x30bd1b(0xfae)]=[];}function _0x40a02b(){const _0x32ac7f=_0x3571e7;_0x33e43b[_0x32ac7f(0xfae)]=_0x33e43b[_0x32ac7f(0xfbf)][_0x32ac7f(0x2214)];}}const _0x2c9b78=_0x5126a2;;_0x21b550[_0x5537c6(0x15b6)]=['$scope','$state',_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x9b4),'template',_0x5537c6(0x142b),_0x5537c6(0x1774),'license','setting',_0x5537c6(0x1b1a)];function _0x21b550(_0x462fbf,_0x2e1aba,_0x32b84c,_0x525e1b,_0x4906ae,_0x351de8,_0x3ea2d5,_0x305afb,_0x26e56d,_0xd951ca,_0x2bff0b,_0x3d2a7c,_0x1da8a0,_0x372eb3){const _0x22b823=_0x5537c6,_0x2d9549=this;_0x2d9549[_0x22b823(0xe76)]=_0x2bff0b['getCurrentUser'](),_0x2d9549['errors']=[],_0x2d9549[_0x22b823(0x9ca)]=_0x1da8a0,_0x2d9549['license']=_0x3d2a7c,_0x2d9549[_0x22b823(0x1b1a)]=_0x372eb3,_0x2d9549['hasModulePermissions']={},_0x2d9549[_0x22b823(0x1b0c)]=_0x2d9549[_0x22b823(0x9ca)]&&_0x2d9549['setting'][_0x22b823(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x2d9549['title']=_0x22b823(0x2836),_0x2d9549[_0x22b823(0x2330)]=angular[_0x22b823(0x17fe)](_0x26e56d),_0x2d9549[_0x22b823(0x9b4)]=_0x305afb,_0x2d9549['newTemplate']=![];!_0x2d9549[_0x22b823(0x2330)]&&(_0x2d9549[_0x22b823(0x2330)]={},_0x2d9549['title']='TOOLS.NEW_TEMPLATE',_0x2d9549['newTemplate']=!![]);_0x2d9549[_0x22b823(0x1688)]=_0x2096b3,_0x2d9549[_0x22b823(0xc64)]=_0x3b926d,_0x2d9549[_0x22b823(0x14e2)]=_0xfad3e7,_0x2d9549[_0x22b823(0x2c4)]=_0x1c8104,_0x2d9549[_0x22b823(0xda0)]=_0x16ca40;function _0x2096b3(){const _0x50f6b8=_0x22b823;_0x2d9549['errors']=[],_0xd951ca[_0x50f6b8(0x2330)][_0x50f6b8(0x1c3f)](_0x2d9549[_0x50f6b8(0x2330)])[_0x50f6b8(0x1d77)][_0x50f6b8(0x1cb0)](function(_0x6eb578){const _0x1f6ecd=_0x50f6b8;_0x2d9549[_0x1f6ecd(0x9b4)][_0x1f6ecd(0xf63)](_0x6eb578[_0x1f6ecd(0x19b2)]()),_0x3ea2d5[_0x1f6ecd(0x829)]({'title':'Template\x20properly\x20created','msg':_0x2d9549[_0x1f6ecd(0x2330)][_0x1f6ecd(0x16b6)]?_0x2d9549['template'][_0x1f6ecd(0x16b6)]+_0x1f6ecd(0x470):''}),_0x16ca40(_0x6eb578);})[_0x50f6b8(0x1c4)](function(_0x261a4c){const _0x346236=_0x50f6b8;if(_0x261a4c[_0x346236(0x25c)]&&_0x261a4c[_0x346236(0x25c)][_0x346236(0x1a7c)]&&_0x261a4c[_0x346236(0x25c)][_0x346236(0x1a7c)][_0x346236(0xfd0)]){_0x2d9549[_0x346236(0x1a7c)]=_0x261a4c['data']['errors']||[{'message':_0x261a4c[_0x346236(0x147f)](),'type':_0x346236(0x87f)}];for(let _0x42c808=0x0;_0x42c808<_0x261a4c[_0x346236(0x25c)][_0x346236(0x1a7c)][_0x346236(0xfd0)];_0x42c808+=0x1){_0x3ea2d5[_0x346236(0x218e)]({'title':_0x261a4c[_0x346236(0x25c)][_0x346236(0x1a7c)][_0x42c808]['type'],'msg':_0x261a4c[_0x346236(0x25c)]['errors'][_0x42c808][_0x346236(0x155e)]});}}else _0x3ea2d5[_0x346236(0x218e)]({'title':_0x261a4c[_0x346236(0x291)]?_0x346236(0xeb9)+_0x261a4c[_0x346236(0x291)]+_0x346236(0x1657)+_0x261a4c['statusText']:_0x346236(0x87f),'msg':_0x261a4c['data']?JSON[_0x346236(0x2701)](_0x261a4c['data'][_0x346236(0x155e)]):_0x261a4c[_0x346236(0x147f)]()});});}function _0x3b926d(){const _0x371217=_0x22b823;_0x2d9549[_0x371217(0x1a7c)]=[],_0xd951ca['template'][_0x371217(0x687)]({'id':_0x2d9549[_0x371217(0x2330)]['id']},_0x2d9549[_0x371217(0x2330)])['$promise'][_0x371217(0x1cb0)](function(_0x2eae66){const _0x132176=_0x371217,_0x3a724d=_0x39641b()[_0x132176(0x13b4)](_0x2d9549[_0x132176(0x9b4)],{'id':_0x2eae66['id']});_0x3a724d&&_0x39641b()[_0x132176(0x9c1)](_0x3a724d,_0x39641b()[_0x132176(0x169b)](_0x2eae66[_0x132176(0x19b2)](),_0x39641b()[_0x132176(0x1be5)](_0x3a724d))),_0x3ea2d5['success']({'title':_0x132176(0x1e96),'msg':_0x2d9549['template'][_0x132176(0x16b6)]?_0x2d9549[_0x132176(0x2330)]['name']+_0x132176(0xedb):''}),_0x16ca40(_0x2eae66);})[_0x371217(0x1c4)](function(_0x30d53c){const _0x5a9877=_0x371217;if(_0x30d53c['data']&&_0x30d53c[_0x5a9877(0x25c)]['errors']&&_0x30d53c[_0x5a9877(0x25c)][_0x5a9877(0x1a7c)][_0x5a9877(0xfd0)]){_0x2d9549['errors']=_0x30d53c['data'][_0x5a9877(0x1a7c)]||[{'message':_0x30d53c[_0x5a9877(0x147f)](),'type':'api.template.update'}];for(let _0x294e76=0x0;_0x294e76<_0x30d53c[_0x5a9877(0x25c)][_0x5a9877(0x1a7c)]['length'];_0x294e76++){_0x3ea2d5['error']({'title':_0x30d53c['data'][_0x5a9877(0x1a7c)][_0x294e76]['type'],'msg':_0x30d53c['data'][_0x5a9877(0x1a7c)][_0x294e76]['message']});}}else _0x3ea2d5[_0x5a9877(0x218e)]({'title':_0x30d53c[_0x5a9877(0x291)]?_0x5a9877(0xeb9)+_0x30d53c[_0x5a9877(0x291)]+_0x5a9877(0x1657)+_0x30d53c[_0x5a9877(0xc22)]:_0x5a9877(0x1f37),'msg':_0x30d53c[_0x5a9877(0x25c)]?JSON[_0x5a9877(0x2701)](_0x30d53c['data'][_0x5a9877(0x155e)]):_0x30d53c[_0x5a9877(0x147f)]()});});}function _0xfad3e7(_0x27e0be){const _0x32c697=_0x22b823;_0x2d9549[_0x32c697(0x1a7c)]=[];const _0x3233bb=_0x525e1b[_0x32c697(0x1551)]()[_0x32c697(0x1386)]('Are\x20you\x20sure?')['content']('The\x20template\x20will\x20be\x20deleted.')[_0x32c697(0x15ad)](_0x32c697(0x2418))['ok'](_0x32c697(0x2594))[_0x32c697(0x696)](_0x32c697(0xde1))[_0x32c697(0x728)](_0x27e0be);_0x525e1b[_0x32c697(0xe27)](_0x3233bb)[_0x32c697(0x1cb0)](function(){const _0x4e4671=_0x32c697;_0xd951ca[_0x4e4671(0x2330)][_0x4e4671(0x111d)]({'id':_0x2d9549[_0x4e4671(0x2330)]['id']})[_0x4e4671(0x1d77)][_0x4e4671(0x1cb0)](function(){const _0x56ecae=_0x4e4671;_0x39641b()[_0x56ecae(0x152a)](_0x2d9549[_0x56ecae(0x9b4)],{'id':_0x2d9549[_0x56ecae(0x2330)]['id']}),_0x3ea2d5[_0x56ecae(0x829)]({'title':_0x56ecae(0x1a77),'msg':(_0x2d9549['template'][_0x56ecae(0x16b6)]||'template')+_0x56ecae(0x3f5)}),_0x16ca40(_0x2d9549[_0x56ecae(0x2330)]);})[_0x4e4671(0x1c4)](function(_0x4d9c0c){const _0x143e75=_0x4e4671;if(_0x4d9c0c[_0x143e75(0x25c)]&&_0x4d9c0c[_0x143e75(0x25c)]['errors']&&_0x4d9c0c['data'][_0x143e75(0x1a7c)]['length']){_0x2d9549[_0x143e75(0x1a7c)]=_0x4d9c0c[_0x143e75(0x25c)][_0x143e75(0x1a7c)]||[{'message':_0x4d9c0c[_0x143e75(0x147f)](),'type':_0x143e75(0x2361)}];for(let _0x21d485=0x0;_0x21d485<_0x4d9c0c['data']['errors'][_0x143e75(0xfd0)];_0x21d485++){_0x3ea2d5[_0x143e75(0x218e)]({'title':_0x4d9c0c[_0x143e75(0x25c)][_0x143e75(0x1a7c)][_0x21d485][_0x143e75(0x66a)],'msg':_0x4d9c0c['data']['errors'][_0x21d485][_0x143e75(0x155e)]});}}else _0x3ea2d5[_0x143e75(0x218e)]({'title':_0x4d9c0c[_0x143e75(0x291)]?_0x143e75(0xeb9)+_0x4d9c0c['status']+'\x20-\x20'+_0x4d9c0c[_0x143e75(0xc22)]:_0x143e75(0x2361),'msg':_0x4d9c0c[_0x143e75(0x25c)]?JSON[_0x143e75(0x2701)](_0x4d9c0c['data']['message']):_0x4d9c0c[_0x143e75(0x155e)]||_0x4d9c0c[_0x143e75(0x147f)]()});});},function(){});}function _0x1c8104(_0x109b80){return _0x109b80===null?undefined:new Date(_0x109b80);}function _0x16ca40(_0x3367d5){const _0x941f5a=_0x22b823;_0x525e1b[_0x941f5a(0x1426)](_0x3367d5);}}const _0x3c966d=_0x21b550;;const _0x249260=_0x5074a3['p']+'src/js/modules/main/apps/tools/views/templates/create/dialog.html/dialog.html';;_0x1133e7[_0x5537c6(0x15b6)]=['$scope','$window',_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),'$document',_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0x9b4),'userProfile',_0x5537c6(0x2199),_0x5537c6(0x142b),'msUtils',_0x5537c6(0x9bf),'Auth','license',_0x5537c6(0x9ca)];function _0x1133e7(_0x3c28e8,_0x509757,_0x3fa191,_0x10a0ea,_0x2913f2,_0x31e7da,_0xc3fc9f,_0x364ddc,_0x5f4e19,_0x291f81,_0x15c3a2,_0x2a53f7,_0xd4d02b,_0x2506e9,_0x5ef4c8,_0x514197,_0x12f6ff){const _0xc8bc7a=_0x5537c6,_0x348b0d=this;_0x348b0d[_0xc8bc7a(0x8a5)]=_0x514197,_0x348b0d[_0xc8bc7a(0x9ca)]=_0x12f6ff,_0x348b0d[_0xc8bc7a(0xe76)]=_0x5ef4c8[_0xc8bc7a(0x21e8)](),_0x348b0d[_0xc8bc7a(0x9b4)]=_0x5f4e19||{'count':0x0,'rows':[]},_0x348b0d['userProfile']=_0x291f81,_0x348b0d['userProfileSection']=_0x15c3a2&&_0x15c3a2[_0xc8bc7a(0x184d)]==0x1?_0x15c3a2[_0xc8bc7a(0x2214)][0x0]:null,_0x348b0d[_0xc8bc7a(0x1b1a)]=_0x5ef4c8[_0xc8bc7a(0x14ea)](_0x348b0d[_0xc8bc7a(0x2199)]?_0x348b0d['userProfileSection'][_0xc8bc7a(0x1b1a)]:null),_0x348b0d[_0xc8bc7a(0xc83)]='templates',_0x348b0d[_0xc8bc7a(0x1d20)]='',_0x348b0d['listOrderAsc']=null,_0x348b0d[_0xc8bc7a(0x2752)]=[],_0x348b0d[_0xc8bc7a(0xae2)]={'fields':_0xc8bc7a(0x26e5),'sort':_0xc8bc7a(0x282),'limit':0xa,'page':0x1},_0x348b0d[_0xc8bc7a(0xc93)]=_0x506b6f,_0x348b0d[_0xc8bc7a(0x27fe)]=_0x5e21b6,_0x348b0d[_0xc8bc7a(0x829)]=_0x25b2be,_0x348b0d[_0xc8bc7a(0x260)]=_0xcf5b5e,_0x348b0d['createOrEditTemplate']=_0x1c4249,_0x348b0d[_0xc8bc7a(0x14e2)]=_0x35815f,_0x348b0d['exportSelectedTemplates']=_0x1f1837,_0x348b0d[_0xc8bc7a(0x1528)]=_0x5d5cf8,_0x348b0d[_0xc8bc7a(0x2678)]=_0x51027c,_0x348b0d[_0xc8bc7a(0x703)]=_0x14fa15;function _0x506b6f(_0x3b560d,_0x266092){const _0x7a414d=_0xc8bc7a;_0x2913f2['show']({'controller':_0x7a414d(0xd73),'controllerAs':'vm','templateUrl':_0x249260,'parent':angular[_0x7a414d(0x1853)](_0x31e7da[_0x7a414d(0x1ed9)]),'targetEvent':_0x266092,'clickOutsideToClose':!![],'locals':{'template':_0x3b560d,'templates':_0x348b0d[_0x7a414d(0x9b4)][_0x7a414d(0x2214)],'license':_0x348b0d[_0x7a414d(0x8a5)],'setting':null,'crudPermissions':_0x348b0d[_0x7a414d(0x1b1a)]}});}function _0x5e21b6(_0x1ac9ef,_0x5ed09f){const _0x22cc71=_0xc8bc7a,_0x3e30cd=_0x2913f2['confirm']()[_0x22cc71(0x1386)](_0x22cc71(0x140b)+_0x39641b()[_0x22cc71(0xa75)](_0x22cc71(0x2330))+'?')[_0x22cc71(0x49e)](''+(_0x1ac9ef[_0x22cc71(0x16b6)]||'template')+_0x22cc71(0x1200)+_0x22cc71(0x1b6))[_0x22cc71(0x15ad)](_0x22cc71(0x2731))['targetEvent'](_0x5ed09f)['ok']('OK')['cancel'](_0x22cc71(0x24ba));_0x2913f2['show'](_0x3e30cd)['then'](function(){_0x35815f(_0x1ac9ef);},function(){const _0x32f1eb=_0x22cc71;console['log'](_0x32f1eb(0x24ba));});}let _0x5bdb71=!![],_0x4e2c48=0x1;_0x3c28e8[_0xc8bc7a(0x614)]('vm.query.filter',function(_0x2ce663,_0x59577e){const _0x1ccbe8=_0xc8bc7a;_0x5bdb71?_0xc3fc9f(function(){_0x5bdb71=![];}):(!_0x59577e&&(_0x4e2c48=_0x348b0d['query'][_0x1ccbe8(0x1c7b)]),_0x2ce663!==_0x59577e&&(_0x348b0d[_0x1ccbe8(0xae2)][_0x1ccbe8(0x1c7b)]=0x1),!_0x2ce663&&(_0x348b0d[_0x1ccbe8(0xae2)][_0x1ccbe8(0x1c7b)]=_0x4e2c48),_0x348b0d[_0x1ccbe8(0x260)]());});function _0x25b2be(_0x190527){const _0x4d6e58=_0xc8bc7a;_0x348b0d[_0x4d6e58(0x9b4)]=_0x190527||{'count':0x0,'rows':[]};}function _0xcf5b5e(){const _0x4b8009=_0xc8bc7a;_0x348b0d[_0x4b8009(0xae2)][_0x4b8009(0x184b)]=(_0x348b0d[_0x4b8009(0xae2)][_0x4b8009(0x1c7b)]-0x1)*_0x348b0d[_0x4b8009(0xae2)][_0x4b8009(0x236)],_0x5ef4c8['hasRole'](_0x4b8009(0x1c60))?_0x348b0d['promise']=_0x2a53f7[_0x4b8009(0x2330)][_0x4b8009(0xbf7)](_0x348b0d[_0x4b8009(0xae2)],_0x25b2be)[_0x4b8009(0x1d77)]:(_0x348b0d['query']['id']=_0x348b0d['userProfile']['id'],_0x348b0d[_0x4b8009(0xae2)][_0x4b8009(0x1f74)]=_0x4b8009(0x2195),_0x348b0d[_0x4b8009(0x2061)]=_0x2a53f7['userProfile'][_0x4b8009(0x1810)](_0x348b0d['query'],_0x25b2be)[_0x4b8009(0x1d77)]);}function _0x1c4249(_0x4bb6f5,_0x4be5ea){const _0x431b5b=_0xc8bc7a;_0x2913f2[_0x431b5b(0xe27)]({'controller':'CreateOrEditTemplateDialogController','controllerAs':'vm','templateUrl':_0x249260,'parent':angular[_0x431b5b(0x1853)](_0x31e7da[_0x431b5b(0x1ed9)]),'targetEvent':_0x4bb6f5,'clickOutsideToClose':!![],'locals':{'template':_0x4be5ea,'templates':_0x348b0d[_0x431b5b(0x9b4)][_0x431b5b(0x2214)],'license':_0x348b0d[_0x431b5b(0x8a5)],'setting':_0x348b0d[_0x431b5b(0x9ca)],'crudPermissions':_0x348b0d[_0x431b5b(0x1b1a)]}});}function _0x35815f(_0x2107a8){const _0x42e0ad=_0xc8bc7a;_0x2a53f7[_0x42e0ad(0x2330)][_0x42e0ad(0x111d)]({'id':_0x2107a8['id']})[_0x42e0ad(0x1d77)]['then'](function(){const _0xc14b57=_0x42e0ad;_0x39641b()[_0xc14b57(0x152a)](_0x348b0d[_0xc14b57(0x9b4)]['rows'],{'id':_0x2107a8['id']}),_0x348b0d[_0xc14b57(0x9b4)][_0xc14b57(0x184d)]-=0x1,!_0x348b0d[_0xc14b57(0x9b4)][_0xc14b57(0x2214)][_0xc14b57(0xfd0)]&&_0x348b0d[_0xc14b57(0x260)](),_0x2506e9[_0xc14b57(0x829)]({'title':_0x39641b()[_0xc14b57(0xa75)](_0xc14b57(0x1f93))+_0xc14b57(0x2663),'msg':_0x2107a8[_0xc14b57(0x16b6)]?_0x2107a8['name']+_0xc14b57(0x3f5):''});})[_0x42e0ad(0x1c4)](function(_0x35098b){const _0x52aeed=_0x42e0ad;if(_0x35098b[_0x52aeed(0x25c)]&&_0x35098b[_0x52aeed(0x25c)]['errors']&&_0x35098b[_0x52aeed(0x25c)][_0x52aeed(0x1a7c)][_0x52aeed(0xfd0)]){_0x348b0d['errors']=_0x35098b[_0x52aeed(0x25c)][_0x52aeed(0x1a7c)]||[{'message':_0x35098b[_0x52aeed(0x147f)](),'type':_0x52aeed(0x884)}];for(let _0xe01429=0x0;_0xe01429<_0x35098b[_0x52aeed(0x25c)]['errors'][_0x52aeed(0xfd0)];_0xe01429++){_0x2506e9[_0x52aeed(0x218e)]({'title':_0x35098b[_0x52aeed(0x25c)][_0x52aeed(0x1a7c)][_0xe01429][_0x52aeed(0x66a)],'msg':_0x35098b[_0x52aeed(0x25c)][_0x52aeed(0x1a7c)][_0xe01429][_0x52aeed(0x155e)]});}}else _0x2506e9[_0x52aeed(0x218e)]({'title':_0x35098b[_0x52aeed(0x291)]?_0x52aeed(0xeb9)+_0x35098b[_0x52aeed(0x291)]+'\x20-\x20'+_0x35098b[_0x52aeed(0xc22)]:'SYSTEM:DELETEtemplate','msg':_0x35098b['data']?JSON[_0x52aeed(0x2701)](_0x35098b[_0x52aeed(0x25c)][_0x52aeed(0x155e)]):_0x35098b[_0x52aeed(0x155e)]||_0x35098b['toString']()});});}function _0x1f1837(){const _0x31a94f=_0xc8bc7a,_0xeeda03=angular['copy'](_0x348b0d[_0x31a94f(0x2752)]);return _0x348b0d[_0x31a94f(0x2752)]=[],_0xeeda03;}function _0x5d5cf8(_0x3e945d){const _0x5c71b1=_0xc8bc7a,_0x301182=_0x2913f2['confirm']()[_0x5c71b1(0x1386)](_0x5c71b1(0xa16))['htmlContent'](_0x5c71b1(0x204d)+_0x348b0d[_0x5c71b1(0x2752)][_0x5c71b1(0xfd0)]+_0x5c71b1(0x1d6c)+_0x5c71b1(0x1b6))[_0x5c71b1(0x15ad)](_0x5c71b1(0x1fcb))[_0x5c71b1(0x728)](_0x3e945d)['ok']('OK')[_0x5c71b1(0x696)](_0x5c71b1(0x24ba));_0x2913f2[_0x5c71b1(0xe27)](_0x301182)['then'](function(){const _0x63a6dd=_0x5c71b1;_0x348b0d[_0x63a6dd(0x2752)][_0x63a6dd(0xf90)](function(_0x3f627a){_0x35815f(_0x3f627a);}),_0x348b0d['selectedTemplates']=[];});}function _0x51027c(){const _0x5cf952=_0xc8bc7a;_0x348b0d[_0x5cf952(0x2752)]=[];}function _0x14fa15(){const _0xebb85c=_0xc8bc7a;_0x348b0d[_0xebb85c(0x2752)]=_0x348b0d[_0xebb85c(0x9b4)][_0xebb85c(0x2214)];}}const _0x1eb611=_0x1133e7;;_0x334499['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q','$translate','toasty',_0x5537c6(0x1363),_0x5537c6(0x1ae0),_0x5537c6(0x142b),_0x5537c6(0x1774),'license',_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x334499(_0x445f41,_0x1b3bd6,_0x50001d,_0x562894,_0x49e8c0,_0x2a48f4,_0x39e1ac,_0x41a771,_0x1fb046,_0x5ec8df,_0x114324,_0x2f80bf,_0x1e95d3,_0x39d99c){const _0x6967ca=_0x5537c6,_0x45ac13=this;_0x45ac13[_0x6967ca(0xe76)]=_0x114324[_0x6967ca(0x21e8)](),_0x45ac13['errors']=[],_0x45ac13['setting']=_0x1e95d3,_0x45ac13[_0x6967ca(0x8a5)]=_0x2f80bf,_0x45ac13[_0x6967ca(0x1b1a)]=_0x39d99c,_0x45ac13['hasModulePermissions']={},_0x45ac13[_0x6967ca(0x1b0c)]=_0x45ac13[_0x6967ca(0x9ca)]&&_0x45ac13[_0x6967ca(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x45ac13[_0x6967ca(0x1386)]=_0x6967ca(0x2902),_0x45ac13[_0x6967ca(0x1ae0)]=angular['copy'](_0x1fb046),_0x45ac13[_0x6967ca(0x1363)]=_0x41a771,_0x45ac13[_0x6967ca(0x1811)]=![];!_0x45ac13['trunk']&&(_0x45ac13['trunk']={'active':!![],'type':'friend','dtmfmode':_0x6967ca(0x1d94),'qualify':'yes','t38pt_udptl':'no'},_0x45ac13['title']='TOOLS.NEW_TRUNK',_0x45ac13['newTrunk']=!![]);_0x45ac13['addNewTrunk']=_0x5a735f,_0x45ac13[_0x6967ca(0x56f)]=_0x384339,_0x45ac13['deleteTrunk']=_0x2bb8dc,_0x45ac13['getDateFromString']=_0x40bdf7,_0x45ac13[_0x6967ca(0xda0)]=_0x3905ed;function _0x5a735f(){const _0x414eec=_0x6967ca;_0x45ac13[_0x414eec(0x1a7c)]=[],_0x5ec8df[_0x414eec(0x1ae0)][_0x414eec(0x1c3f)](_0x45ac13[_0x414eec(0x1ae0)])[_0x414eec(0x1d77)][_0x414eec(0x1cb0)](function(_0x221036){const _0x3ea1a8=_0x414eec;_0x45ac13[_0x3ea1a8(0x1363)][_0x3ea1a8(0xf63)](_0x221036[_0x3ea1a8(0x19b2)]()),_0x39e1ac[_0x3ea1a8(0x829)]({'title':'Trunk\x20properly\x20created','msg':_0x45ac13[_0x3ea1a8(0x1ae0)][_0x3ea1a8(0x16b6)]?_0x45ac13[_0x3ea1a8(0x1ae0)][_0x3ea1a8(0x16b6)]+_0x3ea1a8(0x470):''}),_0x3905ed(_0x221036);})['catch'](function(_0x1c18e7){const _0xf1e1a8=_0x414eec;if(_0x1c18e7[_0xf1e1a8(0x25c)]&&_0x1c18e7[_0xf1e1a8(0x25c)]['errors']&&_0x1c18e7[_0xf1e1a8(0x25c)][_0xf1e1a8(0x1a7c)][_0xf1e1a8(0xfd0)]){_0x45ac13[_0xf1e1a8(0x1a7c)]=_0x1c18e7[_0xf1e1a8(0x25c)][_0xf1e1a8(0x1a7c)]||[{'message':_0x1c18e7[_0xf1e1a8(0x147f)](),'type':_0xf1e1a8(0x2474)}];for(let _0x334681=0x0;_0x334681<_0x1c18e7[_0xf1e1a8(0x25c)][_0xf1e1a8(0x1a7c)]['length'];_0x334681+=0x1){_0x39e1ac[_0xf1e1a8(0x218e)]({'title':_0x1c18e7[_0xf1e1a8(0x25c)][_0xf1e1a8(0x1a7c)][_0x334681]['type'],'msg':_0x1c18e7[_0xf1e1a8(0x25c)]['errors'][_0x334681][_0xf1e1a8(0x155e)]});}}else _0x39e1ac[_0xf1e1a8(0x218e)]({'title':_0x1c18e7[_0xf1e1a8(0x291)]?_0xf1e1a8(0xeb9)+_0x1c18e7[_0xf1e1a8(0x291)]+_0xf1e1a8(0x1657)+_0x1c18e7[_0xf1e1a8(0xc22)]:_0xf1e1a8(0x2474),'msg':_0x1c18e7[_0xf1e1a8(0x25c)]?JSON[_0xf1e1a8(0x2701)](_0x1c18e7[_0xf1e1a8(0x25c)][_0xf1e1a8(0x155e)]):_0x1c18e7['toString']()});});}function _0x384339(){const _0x435295=_0x6967ca;_0x45ac13[_0x435295(0x1a7c)]=[],_0x5ec8df['trunk'][_0x435295(0x687)]({'id':_0x45ac13[_0x435295(0x1ae0)]['id']},_0x45ac13[_0x435295(0x1ae0)])[_0x435295(0x1d77)][_0x435295(0x1cb0)](function(_0x68fd26){const _0x9dab38=_0x435295,_0x5be841=_0x39641b()[_0x9dab38(0x13b4)](_0x45ac13[_0x9dab38(0x1363)],{'id':_0x68fd26['id']});_0x5be841&&_0x39641b()['merge'](_0x5be841,_0x39641b()[_0x9dab38(0x169b)](_0x68fd26['toJSON'](),_0x39641b()[_0x9dab38(0x1be5)](_0x5be841))),_0x39e1ac[_0x9dab38(0x829)]({'title':_0x9dab38(0x215),'msg':_0x45ac13[_0x9dab38(0x1ae0)][_0x9dab38(0x16b6)]?_0x45ac13[_0x9dab38(0x1ae0)][_0x9dab38(0x16b6)]+_0x9dab38(0xedb):''}),_0x3905ed(_0x68fd26);})[_0x435295(0x1c4)](function(_0x56471b){const _0x51f5c7=_0x435295;if(_0x56471b[_0x51f5c7(0x25c)]&&_0x56471b[_0x51f5c7(0x25c)][_0x51f5c7(0x1a7c)]&&_0x56471b[_0x51f5c7(0x25c)]['errors'][_0x51f5c7(0xfd0)]){_0x45ac13[_0x51f5c7(0x1a7c)]=_0x56471b['data'][_0x51f5c7(0x1a7c)]||[{'message':_0x56471b[_0x51f5c7(0x147f)](),'type':_0x51f5c7(0x719)}];for(let _0xc86ab0=0x0;_0xc86ab0<_0x56471b['data']['errors'][_0x51f5c7(0xfd0)];_0xc86ab0++){_0x39e1ac[_0x51f5c7(0x218e)]({'title':_0x56471b[_0x51f5c7(0x25c)][_0x51f5c7(0x1a7c)][_0xc86ab0][_0x51f5c7(0x66a)],'msg':_0x56471b[_0x51f5c7(0x25c)][_0x51f5c7(0x1a7c)][_0xc86ab0][_0x51f5c7(0x155e)]});}}else _0x39e1ac['error']({'title':_0x56471b[_0x51f5c7(0x291)]?'API:'+_0x56471b[_0x51f5c7(0x291)]+'\x20-\x20'+_0x56471b[_0x51f5c7(0xc22)]:_0x51f5c7(0x719),'msg':_0x56471b[_0x51f5c7(0x25c)]?JSON['stringify'](_0x56471b[_0x51f5c7(0x25c)][_0x51f5c7(0x155e)]):_0x56471b[_0x51f5c7(0x147f)]()});});}function _0x2bb8dc(_0x51edb8){const _0x2b8abf=_0x6967ca;_0x45ac13[_0x2b8abf(0x1a7c)]=[];const _0x7e48e2=_0x562894['confirm']()['title']('Are\x20you\x20sure?')[_0x2b8abf(0x862)](_0x2b8abf(0x2582))[_0x2b8abf(0x15ad)](_0x2b8abf(0x258a))['ok'](_0x2b8abf(0x2594))['cancel'](_0x2b8abf(0xde1))[_0x2b8abf(0x728)](_0x51edb8);_0x562894[_0x2b8abf(0xe27)](_0x7e48e2)[_0x2b8abf(0x1cb0)](function(){const _0x54adf9=_0x2b8abf;_0x5ec8df[_0x54adf9(0x1ae0)][_0x54adf9(0x111d)]({'id':_0x45ac13[_0x54adf9(0x1ae0)]['id']})[_0x54adf9(0x1d77)][_0x54adf9(0x1cb0)](function(){const _0x4cfe61=_0x54adf9;_0x39641b()[_0x4cfe61(0x152a)](_0x45ac13[_0x4cfe61(0x1363)],{'id':_0x45ac13[_0x4cfe61(0x1ae0)]['id']}),_0x39e1ac['success']({'title':_0x4cfe61(0x2069),'msg':(_0x45ac13[_0x4cfe61(0x1ae0)][_0x4cfe61(0x16b6)]||_0x4cfe61(0x1ae0))+_0x4cfe61(0x3f5)}),_0x3905ed(_0x45ac13[_0x4cfe61(0x1ae0)]);})[_0x54adf9(0x1c4)](function(_0x116968){const _0x35d914=_0x54adf9;if(_0x116968[_0x35d914(0x25c)]&&_0x116968[_0x35d914(0x25c)][_0x35d914(0x1a7c)]&&_0x116968[_0x35d914(0x25c)][_0x35d914(0x1a7c)][_0x35d914(0xfd0)]){_0x45ac13[_0x35d914(0x1a7c)]=_0x116968[_0x35d914(0x25c)][_0x35d914(0x1a7c)]||[{'message':_0x116968[_0x35d914(0x147f)](),'type':_0x35d914(0x20e3)}];for(let _0x819cc9=0x0;_0x819cc9<_0x116968[_0x35d914(0x25c)][_0x35d914(0x1a7c)][_0x35d914(0xfd0)];_0x819cc9++){_0x39e1ac['error']({'title':_0x116968[_0x35d914(0x25c)][_0x35d914(0x1a7c)][_0x819cc9][_0x35d914(0x66a)],'msg':_0x116968[_0x35d914(0x25c)][_0x35d914(0x1a7c)][_0x819cc9]['message']});}}else _0x39e1ac[_0x35d914(0x218e)]({'title':_0x116968['status']?_0x35d914(0xeb9)+_0x116968[_0x35d914(0x291)]+'\x20-\x20'+_0x116968[_0x35d914(0xc22)]:_0x35d914(0x20e3),'msg':_0x116968['data']?JSON[_0x35d914(0x2701)](_0x116968[_0x35d914(0x25c)]['message']):_0x116968[_0x35d914(0x155e)]||_0x116968[_0x35d914(0x147f)]()});});},function(){});}function _0x40bdf7(_0x5148eb){return _0x5148eb===null?undefined:new Date(_0x5148eb);}function _0x3905ed(_0x3bf2c7){const _0x45c031=_0x6967ca;_0x562894[_0x45c031(0x1426)](_0x3bf2c7);}}const _0x54633e=_0x334499;;_0x683642[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),_0x5537c6(0x406),_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x1ae0),_0x5537c6(0x1363),_0x5537c6(0x1ae)];function _0x683642(_0x4d6316,_0x51f405,_0x40a928,_0x24f50e,_0x3dd582,_0x2a8aa,_0x2b524c){const _0xcfc213=_0x5537c6,_0x1ee743=this;_0x1ee743[_0xcfc213(0x1811)]=!![],_0x1ee743['trunk']=angular['copy'](_0x3dd582),_0x1ee743[_0xcfc213(0x1363)]=_0x2a8aa,_0x1ee743[_0xcfc213(0x1386)]=_0x2b524c[_0xcfc213(0x25cc)](_0xcfc213(0x1c48));_0x3dd582&&_0x3dd582[_0xcfc213(0x16b6)]&&(_0x1ee743[_0xcfc213(0x1386)]+=':\x20'+_0x3dd582[_0xcfc213(0x16b6)]);_0x1ee743[_0xcfc213(0x2849)]=_0x280968,_0x1ee743[_0xcfc213(0xda0)]=_0x15c328;function _0x280968(){const _0x488433=_0xcfc213;return _0x24f50e[_0x488433(0x1ae0)][_0x488433(0x11bc)]({'id':_0x3dd582['id']},_0x1ee743[_0x488433(0x1ae0)])['$promise']['then'](function(_0x29a745){const _0x6ad427=_0x488433;_0x1ee743[_0x6ad427(0x1363)][_0x6ad427(0xf63)](_0x29a745['toJSON']()),_0x40a928[_0x6ad427(0x829)]({'title':_0x6ad427(0x10ec),'msg':_0x1ee743[_0x6ad427(0x1ae0)][_0x6ad427(0x16b6)]?_0x1ee743[_0x6ad427(0x1ae0)][_0x6ad427(0x16b6)]+_0x6ad427(0x2204):''}),_0x51f405['go'](_0x6ad427(0xbe6),{'id':_0x29a745['id']}),_0x15c328(_0x29a745);})[_0x488433(0x1c4)](function(_0x35d450){const _0x2f3e0f=_0x488433;if(_0x35d450[_0x2f3e0f(0x25c)]&&_0x35d450[_0x2f3e0f(0x25c)][_0x2f3e0f(0x1a7c)]&&_0x35d450['data'][_0x2f3e0f(0x1a7c)][_0x2f3e0f(0xfd0)]){_0x1ee743[_0x2f3e0f(0x1a7c)]=_0x35d450[_0x2f3e0f(0x25c)]['errors']||[{'message':_0x35d450[_0x2f3e0f(0x147f)](),'type':_0x2f3e0f(0x2830)}];for(let _0x1bd3ea=0x0;_0x1bd3ea<_0x35d450[_0x2f3e0f(0x25c)][_0x2f3e0f(0x1a7c)][_0x2f3e0f(0xfd0)];_0x1bd3ea+=0x1){_0x40a928['error']({'title':_0x35d450[_0x2f3e0f(0x25c)][_0x2f3e0f(0x1a7c)][_0x1bd3ea][_0x2f3e0f(0x66a)],'msg':_0x35d450['data'][_0x2f3e0f(0x1a7c)][_0x1bd3ea][_0x2f3e0f(0x155e)]});}}else _0x40a928['error']({'title':_0x35d450[_0x2f3e0f(0x291)]?_0x2f3e0f(0xeb9)+_0x35d450[_0x2f3e0f(0x291)]+_0x2f3e0f(0x1657)+_0x35d450['statusText']:_0x2f3e0f(0x2830),'msg':_0x35d450[_0x2f3e0f(0x25c)]?JSON[_0x2f3e0f(0x2701)](_0x35d450[_0x2f3e0f(0x25c)][_0x2f3e0f(0x155e)]):_0x35d450[_0x2f3e0f(0x147f)]()});});}function _0x15c328(_0x9f7e7e){const _0x343c7e=_0xcfc213;_0x4d6316[_0x343c7e(0x1426)](_0x9f7e7e);}}const _0x5cd9b0=_0x683642;;const _0x373406=_0x5074a3['p']+_0x5537c6(0x28e7);;_0x3225c8[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),_0x5537c6(0x21c8),'$mdDialog',_0x5537c6(0x22bf),_0x5537c6(0x1ae),'license',_0x5537c6(0x9ca),_0x5537c6(0x142b),_0x5537c6(0x9bf),'Auth',_0x5537c6(0x1ae0),_0x5537c6(0x2199)];function _0x3225c8(_0x333665,_0xe3c0c3,_0x7c1d77,_0x49e15c,_0x352c1e,_0x54ed0f,_0x442dfe,_0x4675dc,_0x4d3578,_0x4e718f,_0x2abd86,_0x5df03d){const _0x4ca06d=_0x5537c6,_0x32b884=this;_0x32b884[_0x4ca06d(0xe76)]=_0x4e718f[_0x4ca06d(0x21e8)](),_0x32b884[_0x4ca06d(0x8a5)]=_0x54ed0f,_0x32b884['setting']=_0x442dfe,_0x32b884[_0x4ca06d(0x1b0c)]=_0x32b884['setting'][_0x4ca06d(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x32b884['location']=_0xe3c0c3['protocol']()+_0x4ca06d(0x138b)+_0xe3c0c3[_0x4ca06d(0x17d8)](),_0x32b884[_0x4ca06d(0x1ae0)]=_0x2abd86||_0x333665[_0x4ca06d(0x1dfe)][_0x4ca06d(0x1ae0)]||{},_0x32b884[_0x4ca06d(0x2199)]=_0x5df03d&&_0x5df03d[_0x4ca06d(0x184d)]==0x1?_0x5df03d[_0x4ca06d(0x2214)][0x0]:null,_0x32b884[_0x4ca06d(0x1b1a)]=_0x4e718f[_0x4ca06d(0x14ea)](_0x32b884[_0x4ca06d(0x2199)]?_0x32b884['userProfileSection']['crudPermissions']:null),_0x32b884[_0x4ca06d(0xf4c)]={},_0x32b884[_0x4ca06d(0x8ec)]=_0x333665[_0x4ca06d(0x1dfe)][_0x4ca06d(0x291e)]||0x0,_0x32b884[_0x4ca06d(0x47d)]=_0xb0b17e,_0x32b884['clonedialog']=_0x93c5b9,_0x32b884[_0x4ca06d(0x494)]=_0x4d3578[_0x4ca06d(0x28c7)],_0x32b884[_0x4ca06d(0x185b)]=_0x22a64b,_0x32b884[_0x4ca06d(0x56f)]=_0x2af471,_0x4e718f['hasRole'](_0x4ca06d(0x1c60))?_0x4675dc[_0x4ca06d(0x1da5)][_0x4ca06d(0xbf7)]({'fields':_0x4ca06d(0x43c),'sort':_0x4ca06d(0x16b6)})[_0x4ca06d(0x1d77)][_0x4ca06d(0x1cb0)](function(_0x4c371d){const _0x4cd350=_0x4ca06d;_0x32b884[_0x4cd350(0x14f6)]=_0x4c371d[_0x4cd350(0x2214)]||[];})['catch'](function(_0x55379c){const _0x47a5b4=_0x4ca06d;_0x4d3578[_0x47a5b4(0x218e)]({'title':_0x55379c[_0x47a5b4(0x291)]?'API:'+_0x55379c['status']+'\x20-\x20'+_0x55379c[_0x47a5b4(0xc22)]:_0x47a5b4(0x2072),'msg':_0x55379c[_0x47a5b4(0x25c)]?JSON[_0x47a5b4(0x2701)](_0x55379c['data']):_0x55379c[_0x47a5b4(0x147f)]()});}):_0x4675dc['voiceContext'][_0x4ca06d(0xbf7)]({'fields':_0x4ca06d(0x43c),'sort':_0x4ca06d(0x16b6)})[_0x4ca06d(0x1d77)][_0x4ca06d(0x1cb0)](function(_0x245988){const _0x292f71=_0x4ca06d;_0x32b884[_0x292f71(0x14f6)]=_0x245988['rows']||[];})[_0x4ca06d(0x1cb0)](function(){const _0x39f53b=_0x4ca06d;return _0x4675dc[_0x39f53b(0x2199)][_0x39f53b(0xbf7)]({'userProfileId':_0x32b884['currentUser'][_0x39f53b(0x13c1)],'sectionId':0x195})[_0x39f53b(0x1d77)];})[_0x4ca06d(0x1cb0)](function(_0x13a10f){const _0x2d28d3=_0x4ca06d,_0x3ff62b=_0x13a10f&&_0x13a10f[_0x2d28d3(0x2214)]?_0x13a10f[_0x2d28d3(0x2214)][0x0]:null;if(!_0x3ff62b)return _0x4675dc[_0x2d28d3(0x1da5)][_0x2d28d3(0xbf7)]({'fields':_0x2d28d3(0x43c),'sort':_0x2d28d3(0x16b6),'defaultEntry':0x1})[_0x2d28d3(0x1d77)][_0x2d28d3(0x1cb0)](function(_0x18f890){const _0x1db137=_0x2d28d3;_0x32b884[_0x1db137(0x14f6)]=_0x18f890['rows']||[];});else{if(!_0x3ff62b[_0x2d28d3(0x12f4)])return _0x4675dc[_0x2d28d3(0x1198)][_0x2d28d3(0xbf7)]({'sectionId':_0x3ff62b['id']})[_0x2d28d3(0x1d77)]['then'](function(_0x55834c){const _0x3c7c92=_0x2d28d3,_0x3d7c0b=_0x39641b()[_0x3c7c92(0x1de2)](_0x55834c['rows'],function(_0x45e8dc){const _0x1be6cc=_0x3c7c92;return _0x39641b()['find'](_0x32b884[_0x1be6cc(0x14f6)],{'id':_0x45e8dc[_0x1be6cc(0x2982)]});});let _0x381fe3=null;_0x32b884[_0x3c7c92(0x1ae0)]&&(_0x381fe3=_0x39641b()[_0x3c7c92(0x13b4)](_0x32b884[_0x3c7c92(0x14f6)],{'name':_0x32b884[_0x3c7c92(0x1ae0)]['context']}));if(_0x381fe3&&!_0x39641b()[_0x3c7c92(0x727)](_0x3d7c0b,['id',_0x381fe3['id']])){const _0x25aced=_0x39641b()[_0x3c7c92(0x13b4)](_0x32b884[_0x3c7c92(0x14f6)],{'id':_0x381fe3['id']});_0x25aced[_0x3c7c92(0x15da)]=![],_0x3d7c0b[_0x3c7c92(0x2785)](_0x25aced);}_0x32b884[_0x3c7c92(0x14f6)]=_0x3d7c0b;});}})[_0x4ca06d(0x1c4)](function(_0x15e3b0){const _0x17c839=_0x4ca06d;_0x4d3578['error']({'title':_0x15e3b0[_0x17c839(0x291)]?_0x17c839(0xeb9)+_0x15e3b0[_0x17c839(0x291)]+_0x17c839(0x1657)+_0x15e3b0[_0x17c839(0xc22)]:_0x17c839(0x5ac),'msg':_0x15e3b0[_0x17c839(0x25c)]?JSON[_0x17c839(0x2701)](_0x15e3b0[_0x17c839(0x25c)]):_0x15e3b0['toString']()});});function _0xb0b17e(){const _0x2d189d=_0x4ca06d;if(_0x4e718f[_0x2d189d(0x22b6)](_0x2d189d(0x1c60)))_0x333665['go'](_0x2d189d(0x1bb2),{});else return _0x4675dc[_0x2d189d(0x2199)][_0x2d189d(0xbf7)]({'userProfileId':_0x4e718f[_0x2d189d(0x21e8)]()['userProfileId'],'sectionId':0x19b})[_0x2d189d(0x1d77)][_0x2d189d(0x1cb0)](function(_0x288dd8){const _0x1199e7=_0x2d189d,_0x3ed205=_0x288dd8&&_0x288dd8[_0x1199e7(0x2214)]?_0x288dd8[_0x1199e7(0x2214)][0x0]:null;_0x3ed205&&_0x3ed205['enabled']?_0x333665['go'](_0x1199e7(0x1bb2),{}):_0x4d3578[_0x1199e7(0x28c7)]({'title':_0x352c1e[_0x1199e7(0x25cc)](_0x1199e7(0x370)),'msg':_0x352c1e[_0x1199e7(0x25cc)]('STAFF.PERMISSIONS_UNAUTHORIZED_REDIRECT_MESSAGE')});})[_0x2d189d(0x1c4)](function(_0x35a3d3){const _0x10c32b=_0x2d189d;_0x4d3578[_0x10c32b(0x218e)]({'title':_0x35a3d3[_0x10c32b(0x291)]?'API:'+_0x35a3d3[_0x10c32b(0x291)]+_0x10c32b(0x1657)+_0x35a3d3[_0x10c32b(0xc22)]:_0x10c32b(0x17ba),'msg':_0x35a3d3[_0x10c32b(0x291)]?JSON[_0x10c32b(0x2701)](_0x35a3d3[_0x10c32b(0x25c)]):_0x35a3d3[_0x10c32b(0x147f)]()});});}function _0x93c5b9(_0x342ef3,_0x52394c){const _0x4c173c=_0x4ca06d;_0x7c1d77[_0x4c173c(0xe27)]({'controller':_0x4c173c(0x143b),'controllerAs':'vm','templateUrl':_0x373406,'parent':angular[_0x4c173c(0x1853)](_0x49e15c['body']),'targetEvent':_0x52394c,'clickOutsideToClose':!![],'locals':{'trunk':_0x342ef3,'trunks':_0x32b884['trunks']?_0x32b884['trunks']['rows']:[],'crudPermissions':_0x32b884[_0x4c173c(0x1b1a)]}});}function _0x22a64b(){const _0x45aff4=_0x4ca06d;_0x333665['go'](_0x45aff4(0x2211),{},{'reload':_0x45aff4(0x2211)});}function _0x2af471(){const _0x35637d=_0x4ca06d;_0x4675dc[_0x35637d(0x1ae0)][_0x35637d(0x687)]({'id':_0x32b884[_0x35637d(0x1ae0)]['id']},_0x32b884[_0x35637d(0x1ae0)])[_0x35637d(0x1d77)][_0x35637d(0x1cb0)](function(){const _0x34341a=_0x35637d;_0x4d3578[_0x34341a(0x829)]({'title':_0x34341a(0x3b5),'msg':_0x32b884[_0x34341a(0x1ae0)]['name']?_0x32b884[_0x34341a(0x1ae0)]['name']+_0x34341a(0x1068):''});})[_0x35637d(0x1c4)](function(_0x30bd6a){const _0x3ef7a0=_0x35637d;_0x4d3578[_0x3ef7a0(0x218e)]({'title':_0x30bd6a[_0x3ef7a0(0x291)]?_0x3ef7a0(0xeb9)+_0x30bd6a['status']+_0x3ef7a0(0x1657)+_0x30bd6a[_0x3ef7a0(0xc22)]:_0x3ef7a0(0x25dd),'msg':_0x30bd6a[_0x3ef7a0(0x25c)]?JSON[_0x3ef7a0(0x2701)](_0x30bd6a[_0x3ef7a0(0x25c)]):_0x30bd6a[_0x3ef7a0(0x147f)]()});});}}const _0x1798ad=_0x3225c8;;const _0x5c162c=_0x5074a3['p']+_0x5537c6(0x73a);;_0x2c5cdc['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),'$mdDialog',_0x5537c6(0x22bf),_0x5537c6(0x2168),'$translate',_0x5537c6(0x1363),_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x142b),'msUtils','toasty',_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x2c5cdc(_0x1dd408,_0x1a23f5,_0x24151a,_0xdedd33,_0x4439a1,_0x323f36,_0x538e93,_0x4ab768,_0x28e9fc,_0x5510ab,_0x5c0114,_0xfcfa73,_0x4df9d8,_0x8820bc,_0x428e87,_0x49b5e3,_0x28f3fa){const _0x3ebd2f=_0x5537c6,_0x56057a=this;_0x56057a['license']=_0x49b5e3,_0x56057a['setting']=_0x28f3fa,_0x56057a['currentUser']=_0x428e87[_0x3ebd2f(0x21e8)](),_0x56057a['trunks']=_0x28e9fc||{'count':0x0,'rows':[]},_0x56057a[_0x3ebd2f(0x44a)]=_0x5510ab,_0x56057a[_0x3ebd2f(0x2199)]=_0x5c0114&&_0x5c0114[_0x3ebd2f(0x184d)]==0x1?_0x5c0114[_0x3ebd2f(0x2214)][0x0]:null,_0x56057a[_0x3ebd2f(0x1b1a)]=_0x428e87['parseCrudPermissions'](_0x56057a['userProfileSection']?_0x56057a[_0x3ebd2f(0x2199)][_0x3ebd2f(0x1b1a)]:null),_0x56057a['table']='trunks',_0x56057a[_0x3ebd2f(0x1d20)]='',_0x56057a[_0x3ebd2f(0x1cdf)]=null,_0x56057a['selectedTrunks']=[],_0x56057a[_0x3ebd2f(0xae2)]={'fields':_0x3ebd2f(0x26ed),'sort':_0x3ebd2f(0x282),'limit':0xa,'page':0x1},_0x56057a[_0x3ebd2f(0x101d)]=_0x39641b()[_0x3ebd2f(0x2631)]([{'option':_0x3ebd2f(0x1c36),'value':'\x27friend\x27'},{'option':'User','value':_0x3ebd2f(0xe2f)},{'option':'Peer','value':_0x3ebd2f(0x83c)}],function(_0x8eab0d){const _0x347a56=_0x3ebd2f;return _0x39641b()[_0x347a56(0x288f)](_0x8eab0d[_0x347a56(0x327)],new RegExp('\x27','g'),'');}),_0x56057a[_0x3ebd2f(0x1ca6)]=_0x39641b()[_0x3ebd2f(0x2631)]([{'option':'rfc2833','value':_0x3ebd2f(0x524)},{'option':_0x3ebd2f(0x28c7),'value':_0x3ebd2f(0x2118)},{'option':_0x3ebd2f(0xe3b),'value':_0x3ebd2f(0x822)},{'option':_0x3ebd2f(0x21cb),'value':_0x3ebd2f(0x1f55)},{'option':_0x3ebd2f(0x968),'value':'\x27auto\x27'}],function(_0x481409){const _0xc4df5a=_0x3ebd2f;return _0x39641b()[_0xc4df5a(0x288f)](_0x481409[_0xc4df5a(0x327)],new RegExp('\x27','g'),'');}),_0x56057a[_0x3ebd2f(0x571)]=_0x39641b()[_0x3ebd2f(0x2631)]([{'option':'force_rport','value':_0x3ebd2f(0x1f64)},{'option':_0x3ebd2f(0x18c7),'value':'\x27comedia\x27'},{'option':_0x3ebd2f(0x150d),'value':_0x3ebd2f(0x27e4)},{'option':'no','value':_0x3ebd2f(0x15b9)},{'option':_0x3ebd2f(0x382),'value':_0x3ebd2f(0x1d2c)},{'option':'route','value':_0x3ebd2f(0x1fcc)}],function(_0x113ab4){const _0x4e6243=_0x3ebd2f;return _0x39641b()[_0x4e6243(0x288f)](_0x113ab4[_0x4e6243(0x327)],new RegExp('\x27','g'),'');}),_0x56057a['arrayqualify']=_0x39641b()[_0x3ebd2f(0x2631)]([{'option':_0x3ebd2f(0x150d),'value':'\x27yes\x27'},{'option':'no','value':'\x27no\x27'}],function(_0x38f9fc){const _0x2b5c2b=_0x3ebd2f;return _0x39641b()[_0x2b5c2b(0x288f)](_0x38f9fc[_0x2b5c2b(0x327)],new RegExp('\x27','g'),'');}),_0x56057a[_0x3ebd2f(0x1462)]=_0x39641b()[_0x3ebd2f(0x2631)]([{'option':_0x3ebd2f(0xaba),'value':_0x3ebd2f(0x2079)},{'option':_0x3ebd2f(0x128d),'value':_0x3ebd2f(0x217a)},{'option':'gsm','value':_0x3ebd2f(0x24ce)},{'option':_0x3ebd2f(0x4a4),'value':'\x27g723\x27'},{'option':'g726','value':'\x27g726\x27'},{'option':'g722','value':'\x27g722\x27'},{'option':'g729','value':_0x3ebd2f(0x1b75)},{'option':_0x3ebd2f(0xff2),'value':_0x3ebd2f(0xfac)},{'option':_0x3ebd2f(0x14cc),'value':_0x3ebd2f(0x102f)},{'option':_0x3ebd2f(0x278c),'value':_0x3ebd2f(0x1ca5)}],function(_0x483e53){const _0x25bdde=_0x3ebd2f;return _0x39641b()[_0x25bdde(0x288f)](_0x483e53[_0x25bdde(0x327)],new RegExp('\x27','g'),'');}),_0x56057a[_0x3ebd2f(0x17e3)]=_0x39641b()[_0x3ebd2f(0x2631)]([{'option':_0x3ebd2f(0x477),'value':_0x3ebd2f(0x1f78)},{'option':_0x3ebd2f(0x9e9),'value':_0x3ebd2f(0x2443)},{'option':'very','value':_0x3ebd2f(0x28f7)}],function(_0xedd58b){return _0x39641b()['replace'](_0xedd58b['value'],new RegExp('\x27','g'),'');}),_0x56057a['arraydirectmedia']=_0x39641b()[_0x3ebd2f(0x2631)]([{'option':'yes','value':_0x3ebd2f(0x27e4)},{'option':'no','value':'\x27no\x27'},{'option':'nonat','value':_0x3ebd2f(0x28c0)},{'option':_0x3ebd2f(0x687),'value':_0x3ebd2f(0x2620)}],function(_0x4da06c){const _0x20dcc5=_0x3ebd2f;return _0x39641b()[_0x20dcc5(0x288f)](_0x4da06c[_0x20dcc5(0x327)],new RegExp('\x27','g'),'');}),_0x56057a[_0x3ebd2f(0x6e8)]=_0x39641b()[_0x3ebd2f(0x2631)]([{'option':_0x3ebd2f(0x150d),'value':_0x3ebd2f(0x27e4)},{'option':'no','value':_0x3ebd2f(0x15b9)}],function(_0x318249){const _0x59c5e4=_0x3ebd2f;return _0x39641b()[_0x59c5e4(0x288f)](_0x318249[_0x59c5e4(0x327)],new RegExp('\x27','g'),'');}),_0x56057a[_0x3ebd2f(0x2147)]=_0x39641b()[_0x3ebd2f(0x2631)]([{'option':'yes','value':_0x3ebd2f(0x27e4)},{'option':'no','value':'\x27no\x27'}],function(_0x910f79){const _0x54c31=_0x3ebd2f;return _0x39641b()[_0x54c31(0x288f)](_0x910f79[_0x54c31(0x327)],new RegExp('\x27','g'),'');}),_0x56057a[_0x3ebd2f(0x2c9)]=_0x39641b()[_0x3ebd2f(0x2631)]([{'option':_0x3ebd2f(0x150d),'value':'\x27yes\x27'},{'option':'no','value':_0x3ebd2f(0x15b9)}],function(_0xf1e00a){const _0x5c6885=_0x3ebd2f;return _0x39641b()[_0x5c6885(0x288f)](_0xf1e00a[_0x5c6885(0x327)],new RegExp('\x27','g'),'');}),_0x56057a['arraysendrpid']=_0x39641b()['keyBy']([{'option':_0x3ebd2f(0x150d),'value':'\x27yes\x27'},{'option':'no','value':'\x27no\x27'},{'option':_0x3ebd2f(0x1dbc),'value':_0x3ebd2f(0x2527)}],function(_0xfdf4b6){const _0x62ada7=_0x3ebd2f;return _0x39641b()['replace'](_0xfdf4b6[_0x62ada7(0x327)],new RegExp('\x27','g'),'');}),_0x56057a[_0x3ebd2f(0xa08)]=_0x39641b()['keyBy']([{'option':'yes','value':'\x27yes\x27'},{'option':'no','value':_0x3ebd2f(0x15b9)}],function(_0x7d0a9d){const _0x3e6ff7=_0x3ebd2f;return _0x39641b()[_0x3e6ff7(0x288f)](_0x7d0a9d[_0x3e6ff7(0x327)],new RegExp('\x27','g'),'');}),_0x56057a['arraytransport']=_0x39641b()['keyBy']([{'option':_0x3ebd2f(0xa9d),'value':'\x27udp\x27'},{'option':_0x3ebd2f(0x2828),'value':_0x3ebd2f(0xd76)},{'option':'ws','value':'\x27ws\x27'},{'option':_0x3ebd2f(0x1e14),'value':_0x3ebd2f(0x49d)},{'option':_0x3ebd2f(0x132c),'value':_0x3ebd2f(0x1393)}],function(_0x2715b2){return _0x39641b()['replace'](_0x2715b2['value'],new RegExp('\x27','g'),'');}),_0x56057a[_0x3ebd2f(0x1b79)]=_0x39641b()[_0x3ebd2f(0x2631)]([{'option':_0x3ebd2f(0x1ab8),'value':'\x27yes\x27'},{'option':'No','value':_0x3ebd2f(0x15b9)},{'option':'Always','value':_0x3ebd2f(0x2a2)}],function(_0x2ed063){const _0x280c7f=_0x3ebd2f;return _0x39641b()[_0x280c7f(0x288f)](_0x2ed063[_0x280c7f(0x327)],new RegExp('\x27','g'),'');}),_0x56057a[_0x3ebd2f(0x235d)]=_0x5e9adb,_0x56057a[_0x3ebd2f(0x388)]=_0x400876,_0x56057a[_0x3ebd2f(0x649)]=_0x51f6aa,_0x56057a[_0x3ebd2f(0x27fe)]=_0x201818,_0x56057a['success']=_0x30ca43,_0x56057a['getTrunks']=_0x31589b,_0x56057a[_0x3ebd2f(0x2865)]=_0x532679,_0x56057a[_0x3ebd2f(0x6e1)]=_0x411369,_0x56057a[_0x3ebd2f(0x1b2d)]=_0x5d1281,_0x56057a['deleteSelectedTrunks']=_0x2dffe6,_0x56057a[_0x3ebd2f(0x2565)]=_0x291278,_0x56057a[_0x3ebd2f(0xc30)]=_0x5a301b;function _0x5e9adb(_0x3b8637){_0x24151a['go']('app.tools.trunks.edit',{'id':_0x3b8637['id'],'trunk':_0x3b8637,'crudPermissions':_0x56057a['crudPermissions']});}function _0x400876(_0x2f4ada,_0xd47f87){const _0x2885b7=_0x3ebd2f;_0x4439a1[_0x2885b7(0xe27)]({'controller':_0x2885b7(0x143b),'controllerAs':'vm','templateUrl':_0x373406,'parent':angular[_0x2885b7(0x1853)](_0x323f36['body']),'targetEvent':_0xd47f87,'clickOutsideToClose':!![],'locals':{'trunk':_0x2f4ada,'trunks':_0x56057a[_0x2885b7(0x1363)]?_0x56057a['trunks'][_0x2885b7(0x2214)]:[],'crudPermissions':_0x56057a['crudPermissions']}});}function _0x51f6aa(){const _0x3b4a95=_0x3ebd2f;if(_0x428e87[_0x3b4a95(0x22b6)]('admin'))_0x24151a['go'](_0x3b4a95(0x1bb2),{});else return _0xfcfa73['userProfileSection'][_0x3b4a95(0xbf7)]({'userProfileId':_0x428e87[_0x3b4a95(0x21e8)]()[_0x3b4a95(0x13c1)],'sectionId':0x19b})['$promise'][_0x3b4a95(0x1cb0)](function(_0x4f3fa5){const _0x3d1df9=_0x3b4a95,_0x17d881=_0x4f3fa5&&_0x4f3fa5[_0x3d1df9(0x2214)]?_0x4f3fa5['rows'][0x0]:null;_0x17d881&&_0x17d881[_0x3d1df9(0x281c)]?_0x24151a['go']('app.voice.realtime.trunks',{}):_0x8820bc[_0x3d1df9(0x28c7)]({'title':_0x4ab768['instant'](_0x3d1df9(0x370)),'msg':_0x4ab768['instant'](_0x3d1df9(0x33a))});})[_0x3b4a95(0x1c4)](function(_0x25bea2){const _0x1394d0=_0x3b4a95;_0x8820bc[_0x1394d0(0x218e)]({'title':_0x25bea2[_0x1394d0(0x291)]?'API:'+_0x25bea2[_0x1394d0(0x291)]+_0x1394d0(0x1657)+_0x25bea2[_0x1394d0(0xc22)]:_0x1394d0(0x17ba),'msg':_0x25bea2[_0x1394d0(0x291)]?JSON[_0x1394d0(0x2701)](_0x25bea2[_0x1394d0(0x25c)]):_0x25bea2[_0x1394d0(0x147f)]()});});}function _0x201818(_0x3b90b7,_0x526300){const _0xc42b86=_0x3ebd2f,_0x2f2fe5=_0x4439a1[_0xc42b86(0x1551)]()[_0xc42b86(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20'+_0x39641b()[_0xc42b86(0xa75)](_0xc42b86(0x1ae0))+'?')[_0xc42b86(0x49e)](_0xc42b86(0x204d)+(_0x3b90b7[_0xc42b86(0x16b6)]||'trunk')+_0xc42b86(0x1200)+_0xc42b86(0x1b6))[_0xc42b86(0x15ad)](_0xc42b86(0x1126))['targetEvent'](_0x526300)['ok']('OK')['cancel'](_0xc42b86(0x24ba));_0x4439a1[_0xc42b86(0xe27)](_0x2f2fe5)['then'](function(){_0x411369(_0x3b90b7);},function(){const _0x174333=_0xc42b86;console[_0x174333(0x1b4f)](_0x174333(0x24ba));});}let _0x348ca8=!![],_0x5c9db5=0x1;_0x1dd408['$watch'](_0x3ebd2f(0x957),function(_0x8cf6fa,_0x2a84e4){const _0x548708=_0x3ebd2f;_0x348ca8?_0x538e93(function(){_0x348ca8=![];}):(!_0x2a84e4&&(_0x5c9db5=_0x56057a[_0x548708(0xae2)][_0x548708(0x1c7b)]),_0x8cf6fa!==_0x2a84e4&&(_0x56057a[_0x548708(0xae2)][_0x548708(0x1c7b)]=0x1),!_0x8cf6fa&&(_0x56057a[_0x548708(0xae2)]['page']=_0x5c9db5),_0x56057a['getTrunks']());});function _0x30ca43(_0x14e6a4){_0x56057a['trunks']=_0x14e6a4||{'count':0x0,'rows':[]};}function _0x31589b(){const _0xe9c709=_0x3ebd2f;_0x56057a[_0xe9c709(0xae2)][_0xe9c709(0x184b)]=(_0x56057a[_0xe9c709(0xae2)][_0xe9c709(0x1c7b)]-0x1)*_0x56057a[_0xe9c709(0xae2)]['limit'],_0x428e87[_0xe9c709(0x22b6)](_0xe9c709(0x1c60))?_0x56057a[_0xe9c709(0x2061)]=_0xfcfa73[_0xe9c709(0x1ae0)][_0xe9c709(0xbf7)](_0x56057a[_0xe9c709(0xae2)],_0x30ca43)[_0xe9c709(0x1d77)]:(_0x56057a[_0xe9c709(0xae2)]['id']=_0x56057a[_0xe9c709(0x44a)]['id'],_0x56057a['query'][_0xe9c709(0x1f74)]=_0xe9c709(0x272b),_0x56057a['promise']=_0xfcfa73[_0xe9c709(0x44a)][_0xe9c709(0x1810)](_0x56057a['query'],_0x30ca43)[_0xe9c709(0x1d77)]);}function _0x532679(_0xaee0a6,_0x218fda){const _0x145dd8=_0x3ebd2f;_0x4439a1[_0x145dd8(0xe27)]({'controller':'CreateOrEditTrunkDialogController','controllerAs':'vm','templateUrl':_0x5c162c,'parent':angular[_0x145dd8(0x1853)](_0x323f36[_0x145dd8(0x1ed9)]),'targetEvent':_0xaee0a6,'clickOutsideToClose':!![],'locals':{'trunk':_0x218fda,'trunks':_0x56057a['trunks']['rows'],'license':_0x56057a[_0x145dd8(0x8a5)],'setting':_0x56057a[_0x145dd8(0x9ca)],'crudPermissions':_0x56057a[_0x145dd8(0x1b1a)]}});}function _0x411369(_0x1eac74){const _0x320308=_0x3ebd2f;_0xfcfa73[_0x320308(0x1ae0)][_0x320308(0x111d)]({'id':_0x1eac74['id']})[_0x320308(0x1d77)][_0x320308(0x1cb0)](function(){const _0x418f38=_0x320308;_0x39641b()[_0x418f38(0x152a)](_0x56057a[_0x418f38(0x1363)][_0x418f38(0x2214)],{'id':_0x1eac74['id']}),_0x56057a['trunks'][_0x418f38(0x184d)]-=0x1,!_0x56057a[_0x418f38(0x1363)][_0x418f38(0x2214)][_0x418f38(0xfd0)]&&_0x56057a[_0x418f38(0xe77)](),_0x8820bc[_0x418f38(0x829)]({'title':_0x39641b()['startCase'](_0x418f38(0xf67))+_0x418f38(0x2663),'msg':_0x1eac74[_0x418f38(0x16b6)]?_0x1eac74[_0x418f38(0x16b6)]+'\x20has\x20been\x20deleted!':''});})[_0x320308(0x1c4)](function(_0x4c9c6f){const _0xb77132=_0x320308;if(_0x4c9c6f[_0xb77132(0x25c)]&&_0x4c9c6f[_0xb77132(0x25c)][_0xb77132(0x1a7c)]&&_0x4c9c6f['data'][_0xb77132(0x1a7c)][_0xb77132(0xfd0)]){_0x56057a[_0xb77132(0x1a7c)]=_0x4c9c6f[_0xb77132(0x25c)][_0xb77132(0x1a7c)]||[{'message':_0x4c9c6f[_0xb77132(0x147f)](),'type':_0xb77132(0x17ff)}];for(let _0x2fa388=0x0;_0x2fa388<_0x4c9c6f[_0xb77132(0x25c)][_0xb77132(0x1a7c)]['length'];_0x2fa388++){_0x8820bc[_0xb77132(0x218e)]({'title':_0x4c9c6f[_0xb77132(0x25c)]['errors'][_0x2fa388][_0xb77132(0x66a)],'msg':_0x4c9c6f['data'][_0xb77132(0x1a7c)][_0x2fa388]['message']});}}else _0x8820bc['error']({'title':_0x4c9c6f[_0xb77132(0x291)]?_0xb77132(0xeb9)+_0x4c9c6f['status']+_0xb77132(0x1657)+_0x4c9c6f[_0xb77132(0xc22)]:_0xb77132(0x17ff),'msg':_0x4c9c6f[_0xb77132(0x25c)]?JSON[_0xb77132(0x2701)](_0x4c9c6f[_0xb77132(0x25c)][_0xb77132(0x155e)]):_0x4c9c6f[_0xb77132(0x155e)]||_0x4c9c6f['toString']()});});}function _0x5d1281(){const _0x53a459=_0x3ebd2f,_0x2d3854=angular[_0x53a459(0x17fe)](_0x56057a[_0x53a459(0x1cd6)]);return _0x56057a[_0x53a459(0x1cd6)]=[],_0x2d3854;}function _0x2dffe6(_0x11db8f){const _0x511ce4=_0x3ebd2f,_0x2d1464=_0x4439a1[_0x511ce4(0x1551)]()[_0x511ce4(0x1386)](_0x511ce4(0x168a))[_0x511ce4(0x49e)](_0x511ce4(0x204d)+_0x56057a[_0x511ce4(0x1cd6)]['length']+_0x511ce4(0x1d6c)+_0x511ce4(0x1b6))['ariaLabel']('delete\x20Trunks')['targetEvent'](_0x11db8f)['ok']('OK')[_0x511ce4(0x696)](_0x511ce4(0x24ba));_0x4439a1['show'](_0x2d1464)[_0x511ce4(0x1cb0)](function(){const _0x5868f4=_0x511ce4;_0x56057a['selectedTrunks'][_0x5868f4(0xf90)](function(_0x4763f5){_0x411369(_0x4763f5);}),_0x56057a[_0x5868f4(0x1cd6)]=[];});}function _0x291278(){const _0x3ff39d=_0x3ebd2f;_0x56057a[_0x3ff39d(0x1cd6)]=[];}function _0x5a301b(){const _0x1751c6=_0x3ebd2f;_0x56057a[_0x1751c6(0x1cd6)]=_0x56057a[_0x1751c6(0x1363)][_0x1751c6(0x2214)];}}const _0xe25dde=_0x2c5cdc;;_0x2c5b07['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x406),'$location','$mdDialog','$q','$translate',_0x5537c6(0x9bf),_0x5537c6(0x9a9),_0x5537c6(0x1822),_0x5537c6(0x142b),_0x5537c6(0x1774),'license',_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x2c5b07(_0x28acde,_0x4ce9e5,_0x15764f,_0x9bcae2,_0x13c90d,_0x166904,_0x135aef,_0x485859,_0x1cd2a8,_0x1736f2,_0x5d69f3,_0x95a2b0,_0x4ff46e,_0x9d6d2b){const _0x49a89a=_0x5537c6,_0x3fb5de=this;_0x3fb5de[_0x49a89a(0xe76)]=_0x5d69f3['getCurrentUser'](),_0x3fb5de[_0x49a89a(0x1a7c)]=[],_0x3fb5de[_0x49a89a(0x9ca)]=_0x4ff46e,_0x3fb5de['license']=_0x95a2b0,_0x3fb5de[_0x49a89a(0x1b1a)]=_0x9d6d2b,_0x3fb5de['hasModulePermissions']={},_0x3fb5de[_0x49a89a(0x1b0c)]=_0x3fb5de[_0x49a89a(0x9ca)]&&_0x3fb5de['setting']['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x3fb5de[_0x49a89a(0x1386)]=_0x49a89a(0x26bf),_0x3fb5de[_0x49a89a(0x1822)]=angular[_0x49a89a(0x17fe)](_0x1cd2a8),_0x3fb5de['variables']=_0x485859,_0x3fb5de[_0x49a89a(0x257a)]=![];!_0x3fb5de[_0x49a89a(0x1822)]&&(_0x3fb5de[_0x49a89a(0x1822)]={},_0x3fb5de[_0x49a89a(0x1386)]='TOOLS.NEW_VARIABLE',_0x3fb5de[_0x49a89a(0x257a)]=!![]);_0x3fb5de[_0x49a89a(0xbca)]=_0x34f2cc,_0x3fb5de[_0x49a89a(0x2070)]=_0x12f82b,_0x3fb5de[_0x49a89a(0x1dd4)]=_0xeb60b4,_0x3fb5de[_0x49a89a(0x2c4)]=_0x56012f,_0x3fb5de[_0x49a89a(0xda0)]=_0x6a661e;function _0x34f2cc(){const _0xce703a=_0x49a89a;_0x3fb5de['errors']=[],_0x1736f2[_0xce703a(0x1822)]['save'](_0x3fb5de[_0xce703a(0x1822)])[_0xce703a(0x1d77)][_0xce703a(0x1cb0)](function(_0xda0a04){const _0x5b0c77=_0xce703a;_0x3fb5de[_0x5b0c77(0x9a9)]['unshift'](_0xda0a04['toJSON']()),_0x135aef[_0x5b0c77(0x829)]({'title':_0x5b0c77(0x25b3),'msg':_0x3fb5de[_0x5b0c77(0x1822)][_0x5b0c77(0x16b6)]?_0x3fb5de[_0x5b0c77(0x1822)]['name']+_0x5b0c77(0x470):''}),_0x6a661e(_0xda0a04);})[_0xce703a(0x1c4)](function(_0x3fe49a){const _0x4e7761=_0xce703a;if(_0x3fe49a[_0x4e7761(0x25c)]&&_0x3fe49a['data'][_0x4e7761(0x1a7c)]&&_0x3fe49a[_0x4e7761(0x25c)]['errors'][_0x4e7761(0xfd0)]){_0x3fb5de[_0x4e7761(0x1a7c)]=_0x3fe49a[_0x4e7761(0x25c)]['errors']||[{'message':_0x3fe49a[_0x4e7761(0x147f)](),'type':_0x4e7761(0x190d)}];for(let _0x4f3f90=0x0;_0x4f3f90<_0x3fe49a[_0x4e7761(0x25c)][_0x4e7761(0x1a7c)][_0x4e7761(0xfd0)];_0x4f3f90+=0x1){_0x135aef[_0x4e7761(0x218e)]({'title':_0x3fe49a[_0x4e7761(0x25c)]['errors'][_0x4f3f90]['type'],'msg':_0x3fe49a['data']['errors'][_0x4f3f90]['message']});}}else _0x135aef[_0x4e7761(0x218e)]({'title':_0x3fe49a[_0x4e7761(0x291)]?'API:'+_0x3fe49a['status']+_0x4e7761(0x1657)+_0x3fe49a[_0x4e7761(0xc22)]:_0x4e7761(0x190d),'msg':_0x3fe49a[_0x4e7761(0x25c)]?JSON[_0x4e7761(0x2701)](_0x3fe49a[_0x4e7761(0x25c)][_0x4e7761(0x155e)]):_0x3fe49a['toString']()});});}function _0x12f82b(){const _0x1d508c=_0x49a89a;_0x3fb5de[_0x1d508c(0x1a7c)]=[],_0x1736f2[_0x1d508c(0x1822)][_0x1d508c(0x687)]({'id':_0x3fb5de['variable']['id']},_0x3fb5de[_0x1d508c(0x1822)])[_0x1d508c(0x1d77)][_0x1d508c(0x1cb0)](function(_0x4305c5){const _0x1b8ad3=_0x1d508c,_0x3143a6=_0x39641b()[_0x1b8ad3(0x13b4)](_0x3fb5de['variables'],{'id':_0x4305c5['id']});_0x3143a6&&_0x39641b()[_0x1b8ad3(0x9c1)](_0x3143a6,_0x39641b()[_0x1b8ad3(0x169b)](_0x4305c5[_0x1b8ad3(0x19b2)](),_0x39641b()[_0x1b8ad3(0x1be5)](_0x3143a6))),_0x135aef[_0x1b8ad3(0x829)]({'title':_0x1b8ad3(0x1118),'msg':_0x3fb5de[_0x1b8ad3(0x1822)][_0x1b8ad3(0x16b6)]?_0x3fb5de[_0x1b8ad3(0x1822)]['name']+_0x1b8ad3(0xedb):''}),_0x6a661e(_0x4305c5);})['catch'](function(_0x4cedd2){const _0x5cf1bc=_0x1d508c;if(_0x4cedd2[_0x5cf1bc(0x25c)]&&_0x4cedd2[_0x5cf1bc(0x25c)]['errors']&&_0x4cedd2[_0x5cf1bc(0x25c)][_0x5cf1bc(0x1a7c)][_0x5cf1bc(0xfd0)]){_0x3fb5de[_0x5cf1bc(0x1a7c)]=_0x4cedd2[_0x5cf1bc(0x25c)][_0x5cf1bc(0x1a7c)]||[{'message':_0x4cedd2['toString'](),'type':_0x5cf1bc(0xd23)}];for(let _0x4ca467=0x0;_0x4ca467<_0x4cedd2['data'][_0x5cf1bc(0x1a7c)]['length'];_0x4ca467++){_0x135aef[_0x5cf1bc(0x218e)]({'title':_0x4cedd2[_0x5cf1bc(0x25c)][_0x5cf1bc(0x1a7c)][_0x4ca467]['type'],'msg':_0x4cedd2[_0x5cf1bc(0x25c)]['errors'][_0x4ca467][_0x5cf1bc(0x155e)]});}}else _0x135aef[_0x5cf1bc(0x218e)]({'title':_0x4cedd2[_0x5cf1bc(0x291)]?_0x5cf1bc(0xeb9)+_0x4cedd2[_0x5cf1bc(0x291)]+_0x5cf1bc(0x1657)+_0x4cedd2[_0x5cf1bc(0xc22)]:_0x5cf1bc(0xd23),'msg':_0x4cedd2['data']?JSON[_0x5cf1bc(0x2701)](_0x4cedd2[_0x5cf1bc(0x25c)][_0x5cf1bc(0x155e)]):_0x4cedd2[_0x5cf1bc(0x147f)]()});});}function _0xeb60b4(_0x1f5a36){const _0x308bd5=_0x49a89a;_0x3fb5de[_0x308bd5(0x1a7c)]=[];const _0x459c6c=_0x9bcae2[_0x308bd5(0x1551)]()[_0x308bd5(0x1386)](_0x308bd5(0x1a2e))[_0x308bd5(0x862)]('The\x20variable\x20will\x20be\x20deleted.')[_0x308bd5(0x15ad)](_0x308bd5(0x1d6e))['ok'](_0x308bd5(0x2594))['cancel']('Cancel')[_0x308bd5(0x728)](_0x1f5a36);_0x9bcae2[_0x308bd5(0xe27)](_0x459c6c)[_0x308bd5(0x1cb0)](function(){const _0x3450b2=_0x308bd5;_0x1736f2[_0x3450b2(0x1822)][_0x3450b2(0x111d)]({'id':_0x3fb5de[_0x3450b2(0x1822)]['id']})[_0x3450b2(0x1d77)][_0x3450b2(0x1cb0)](function(){const _0x29c238=_0x3450b2;_0x39641b()[_0x29c238(0x152a)](_0x3fb5de[_0x29c238(0x9a9)],{'id':_0x3fb5de[_0x29c238(0x1822)]['id']}),_0x135aef[_0x29c238(0x829)]({'title':_0x29c238(0x162e),'msg':(_0x3fb5de[_0x29c238(0x1822)][_0x29c238(0x16b6)]||_0x29c238(0x1822))+'\x20has\x20been\x20deleted!'}),_0x6a661e(_0x3fb5de[_0x29c238(0x1822)]);})['catch'](function(_0x6c5ea3){const _0x505a34=_0x3450b2;if(_0x6c5ea3['data']&&_0x6c5ea3[_0x505a34(0x25c)]['errors']&&_0x6c5ea3['data']['errors'][_0x505a34(0xfd0)]){_0x3fb5de[_0x505a34(0x1a7c)]=_0x6c5ea3[_0x505a34(0x25c)][_0x505a34(0x1a7c)]||[{'message':_0x6c5ea3['toString'](),'type':_0x505a34(0x1e91)}];for(let _0x29af56=0x0;_0x29af56<_0x6c5ea3['data'][_0x505a34(0x1a7c)]['length'];_0x29af56++){_0x135aef[_0x505a34(0x218e)]({'title':_0x6c5ea3['data'][_0x505a34(0x1a7c)][_0x29af56][_0x505a34(0x66a)],'msg':_0x6c5ea3[_0x505a34(0x25c)][_0x505a34(0x1a7c)][_0x29af56][_0x505a34(0x155e)]});}}else _0x135aef['error']({'title':_0x6c5ea3['status']?'API:'+_0x6c5ea3[_0x505a34(0x291)]+'\x20-\x20'+_0x6c5ea3['statusText']:_0x505a34(0x1e91),'msg':_0x6c5ea3[_0x505a34(0x25c)]?JSON[_0x505a34(0x2701)](_0x6c5ea3[_0x505a34(0x25c)][_0x505a34(0x155e)]):_0x6c5ea3[_0x505a34(0x155e)]||_0x6c5ea3[_0x505a34(0x147f)]()});});},function(){});}function _0x56012f(_0x1c2e09){return _0x1c2e09===null?undefined:new Date(_0x1c2e09);}function _0x6a661e(_0x3ac5d4){const _0x39ca6e=_0x49a89a;_0x9bcae2[_0x39ca6e(0x1426)](_0x3ac5d4);}}const _0x20fa3e=_0x2c5b07;;const _0x4f7708=_0x5074a3['p']+_0x5537c6(0x10e3);;_0x4af319[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0x9a9),'userProfile',_0x5537c6(0x2199),'api',_0x5537c6(0xde8),'toasty',_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x4af319(_0x978ddb,_0x1cae21,_0x1a2991,_0x1d8dd8,_0x308f1b,_0x4cce38,_0x317fd5,_0x170984,_0x1144d6,_0x2c19d8,_0x35e23c,_0x4778ba,_0x210798,_0x2317c7,_0x5fce59,_0x2b421d,_0x25aa72){const _0x118d49=_0x5537c6,_0x1b9461=this;_0x1b9461[_0x118d49(0x8a5)]=_0x2b421d,_0x1b9461[_0x118d49(0x9ca)]=_0x25aa72,_0x1b9461[_0x118d49(0xe76)]=_0x5fce59[_0x118d49(0x21e8)](),_0x1b9461['variables']=_0x1144d6||{'count':0x0,'rows':[]},_0x1b9461['userProfile']=_0x2c19d8,_0x1b9461[_0x118d49(0x2199)]=_0x35e23c&&_0x35e23c['count']==0x1?_0x35e23c[_0x118d49(0x2214)][0x0]:null,_0x1b9461[_0x118d49(0x1b1a)]=_0x5fce59[_0x118d49(0x14ea)](_0x1b9461[_0x118d49(0x2199)]?_0x1b9461[_0x118d49(0x2199)][_0x118d49(0x1b1a)]:null),_0x1b9461[_0x118d49(0xc83)]=_0x118d49(0x9a9),_0x1b9461[_0x118d49(0x1d20)]='',_0x1b9461[_0x118d49(0x1cdf)]=null,_0x1b9461[_0x118d49(0x2754)]=[],_0x1b9461[_0x118d49(0xae2)]={'fields':_0x118d49(0x12e8),'sort':_0x118d49(0x282),'limit':0xa,'page':0x1},_0x1b9461[_0x118d49(0xc93)]=_0x5e320d,_0x1b9461[_0x118d49(0x27fe)]=_0xe74d2f,_0x1b9461['success']=_0x2e3428,_0x1b9461[_0x118d49(0x8a2)]=_0x193ce7,_0x1b9461[_0x118d49(0x894)]=_0x231306,_0x1b9461['deleteVariable']=_0x598b92,_0x1b9461[_0x118d49(0x1fc8)]=_0x1c2bfc,_0x1b9461[_0x118d49(0x20c9)]=_0x42dcb5,_0x1b9461['deselectVariables']=_0x5602e6,_0x1b9461[_0x118d49(0x501)]=_0x80a886;function _0x5e320d(_0x178bf9,_0x1e4fd1){const _0x31e56f=_0x118d49;_0x308f1b[_0x31e56f(0xe27)]({'controller':_0x31e56f(0x15e2),'controllerAs':'vm','templateUrl':_0x4f7708,'parent':angular[_0x31e56f(0x1853)](_0x4cce38[_0x31e56f(0x1ed9)]),'targetEvent':_0x1e4fd1,'clickOutsideToClose':!![],'locals':{'variable':_0x178bf9,'variables':_0x1b9461[_0x31e56f(0x9a9)]['rows'],'license':_0x1b9461[_0x31e56f(0x8a5)],'setting':null,'crudPermissions':_0x1b9461[_0x31e56f(0x1b1a)]}});}function _0xe74d2f(_0x127ce0,_0x35223b){const _0x3c94c9=_0x118d49,_0x3cfef4=_0x308f1b[_0x3c94c9(0x1551)]()[_0x3c94c9(0x1386)](_0x3c94c9(0x140b)+_0x39641b()['startCase'](_0x3c94c9(0x1822))+'?')[_0x3c94c9(0x49e)](_0x3c94c9(0x204d)+(_0x127ce0[_0x3c94c9(0x16b6)]||_0x3c94c9(0x1822))+_0x3c94c9(0x1200)+_0x3c94c9(0x1b6))['ariaLabel'](_0x3c94c9(0x28fa))[_0x3c94c9(0x728)](_0x35223b)['ok']('OK')[_0x3c94c9(0x696)](_0x3c94c9(0x24ba));_0x308f1b['show'](_0x3cfef4)['then'](function(){_0x598b92(_0x127ce0);},function(){const _0xf85b18=_0x3c94c9;console[_0xf85b18(0x1b4f)](_0xf85b18(0x24ba));});}let _0x5d3a65=!![],_0x5cd85a=0x1;_0x978ddb[_0x118d49(0x614)](_0x118d49(0x957),function(_0x1d4567,_0x4d679f){const _0x50faff=_0x118d49;_0x5d3a65?_0x317fd5(function(){_0x5d3a65=![];}):(!_0x4d679f&&(_0x5cd85a=_0x1b9461[_0x50faff(0xae2)][_0x50faff(0x1c7b)]),_0x1d4567!==_0x4d679f&&(_0x1b9461[_0x50faff(0xae2)][_0x50faff(0x1c7b)]=0x1),!_0x1d4567&&(_0x1b9461[_0x50faff(0xae2)][_0x50faff(0x1c7b)]=_0x5cd85a),_0x1b9461[_0x50faff(0x8a2)]());});function _0x2e3428(_0x4e3b01){const _0x1f2d5f=_0x118d49;_0x1b9461[_0x1f2d5f(0x9a9)]=_0x4e3b01||{'count':0x0,'rows':[]};}function _0x193ce7(){const _0x26ce2b=_0x118d49;_0x1b9461[_0x26ce2b(0xae2)][_0x26ce2b(0x184b)]=(_0x1b9461[_0x26ce2b(0xae2)][_0x26ce2b(0x1c7b)]-0x1)*_0x1b9461[_0x26ce2b(0xae2)][_0x26ce2b(0x236)],_0x5fce59[_0x26ce2b(0x22b6)](_0x26ce2b(0x1c60))?_0x1b9461[_0x26ce2b(0x2061)]=_0x4778ba['variable'][_0x26ce2b(0xbf7)](_0x1b9461['query'],_0x2e3428)[_0x26ce2b(0x1d77)]:(_0x1b9461[_0x26ce2b(0xae2)]['id']=_0x1b9461['userProfile']['id'],_0x1b9461[_0x26ce2b(0xae2)]['section']=_0x26ce2b(0x1afe),_0x1b9461[_0x26ce2b(0x2061)]=_0x4778ba[_0x26ce2b(0x44a)][_0x26ce2b(0x1810)](_0x1b9461[_0x26ce2b(0xae2)],_0x2e3428)[_0x26ce2b(0x1d77)]);}function _0x231306(_0x213675,_0x3083c1){const _0x3af201=_0x118d49;_0x308f1b['show']({'controller':_0x3af201(0x15e2),'controllerAs':'vm','templateUrl':_0x4f7708,'parent':angular[_0x3af201(0x1853)](_0x4cce38[_0x3af201(0x1ed9)]),'targetEvent':_0x213675,'clickOutsideToClose':!![],'locals':{'variable':_0x3083c1,'variables':_0x1b9461[_0x3af201(0x9a9)]['rows'],'license':_0x1b9461[_0x3af201(0x8a5)],'setting':_0x1b9461['setting'],'crudPermissions':_0x1b9461['crudPermissions']}});}function _0x598b92(_0x2c058f){const _0x2fb883=_0x118d49;_0x4778ba[_0x2fb883(0x1822)][_0x2fb883(0x111d)]({'id':_0x2c058f['id']})[_0x2fb883(0x1d77)][_0x2fb883(0x1cb0)](function(){const _0x2cb237=_0x2fb883;_0x39641b()[_0x2cb237(0x152a)](_0x1b9461[_0x2cb237(0x9a9)]['rows'],{'id':_0x2c058f['id']}),_0x1b9461[_0x2cb237(0x9a9)][_0x2cb237(0x184d)]-=0x1,!_0x1b9461['variables'][_0x2cb237(0x2214)][_0x2cb237(0xfd0)]&&_0x1b9461[_0x2cb237(0x8a2)](),_0x2317c7['success']({'title':_0x39641b()[_0x2cb237(0xa75)](_0x2cb237(0x1b02))+_0x2cb237(0x2663),'msg':_0x2c058f[_0x2cb237(0x16b6)]?_0x2c058f[_0x2cb237(0x16b6)]+'\x20has\x20been\x20deleted!':''});})[_0x2fb883(0x1c4)](function(_0x287b54){const _0x301c9f=_0x2fb883;if(_0x287b54[_0x301c9f(0x25c)]&&_0x287b54[_0x301c9f(0x25c)]['errors']&&_0x287b54['data'][_0x301c9f(0x1a7c)]['length']){_0x1b9461[_0x301c9f(0x1a7c)]=_0x287b54[_0x301c9f(0x25c)][_0x301c9f(0x1a7c)]||[{'message':_0x287b54[_0x301c9f(0x147f)](),'type':_0x301c9f(0xbde)}];for(let _0x1e4b04=0x0;_0x1e4b04<_0x287b54['data'][_0x301c9f(0x1a7c)][_0x301c9f(0xfd0)];_0x1e4b04++){_0x2317c7[_0x301c9f(0x218e)]({'title':_0x287b54['data']['errors'][_0x1e4b04][_0x301c9f(0x66a)],'msg':_0x287b54[_0x301c9f(0x25c)][_0x301c9f(0x1a7c)][_0x1e4b04][_0x301c9f(0x155e)]});}}else _0x2317c7[_0x301c9f(0x218e)]({'title':_0x287b54[_0x301c9f(0x291)]?_0x301c9f(0xeb9)+_0x287b54[_0x301c9f(0x291)]+'\x20-\x20'+_0x287b54[_0x301c9f(0xc22)]:_0x301c9f(0xbde),'msg':_0x287b54[_0x301c9f(0x25c)]?JSON[_0x301c9f(0x2701)](_0x287b54[_0x301c9f(0x25c)]['message']):_0x287b54['message']||_0x287b54[_0x301c9f(0x147f)]()});});}function _0x1c2bfc(){const _0x540ad0=_0x118d49,_0x2fb9fa=angular[_0x540ad0(0x17fe)](_0x1b9461['selectedVariables']);return _0x1b9461[_0x540ad0(0x2754)]=[],_0x2fb9fa;}function _0x42dcb5(_0x17c6dc){const _0x1dfc51=_0x118d49,_0x1c0623=_0x308f1b[_0x1dfc51(0x1551)]()[_0x1dfc51(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20variables?')[_0x1dfc51(0x49e)](_0x1dfc51(0x204d)+_0x1b9461[_0x1dfc51(0x2754)][_0x1dfc51(0xfd0)]+'\x20selected'+'\x20will\x20be\x20deleted.')[_0x1dfc51(0x15ad)](_0x1dfc51(0x1c76))['targetEvent'](_0x17c6dc)['ok']('OK')[_0x1dfc51(0x696)]('CANCEL');_0x308f1b['show'](_0x1c0623)[_0x1dfc51(0x1cb0)](function(){const _0x511e18=_0x1dfc51;_0x1b9461[_0x511e18(0x2754)]['forEach'](function(_0x529d89){_0x598b92(_0x529d89);}),_0x1b9461[_0x511e18(0x2754)]=[];});}function _0x5602e6(){const _0x3eb4ed=_0x118d49;_0x1b9461[_0x3eb4ed(0x2754)]=[];}function _0x80a886(){const _0x4f8964=_0x118d49;_0x1b9461[_0x4f8964(0x2754)]=_0x1b9461[_0x4f8964(0x9a9)][_0x4f8964(0x2214)];}}const _0x29eed3=_0x4af319;;_0x2f3c64[_0x5537c6(0x15b6)]=['$stateProvider','$translatePartialLoaderProvider'];function _0x2f3c64(_0x3dd7bc,_0x473aff){const _0x115649=_0x5537c6;_0x3dd7bc[_0x115649(0x27e0)](_0x115649(0x26a9),{'abstract':!![],'url':_0x115649(0x16a7)})[_0x115649(0x27e0)]('app.tools.cannedAnswers',{'url':_0x115649(0x10b1),'views':{'content@app':{'templateUrl':_0x4fa67c,'controller':_0x115649(0xf92)}},'resolve':{'cannedAnswers':[_0x115649(0x1e0b),_0x115649(0x1774),function(_0x4ed6f4,_0x1fefff){const _0x5e5d48=_0x115649;return _0x1fefff[_0x5e5d48(0x22b6)](_0x5e5d48(0x1c60))?_0x4ed6f4[_0x5e5d48(0x19a3)](_0x5e5d48(0x12b6),{'fields':_0x5e5d48(0x1d68),'sort':_0x5e5d48(0x282),'MailAccountId':_0x5e5d48(0xd38),'FaxAccountId':'null','SmsAccountId':_0x5e5d48(0xd38),'OpenchannelAccountId':_0x5e5d48(0xd38),'ChatWebsiteId':'null','limit':0xa,'offset':0x0}):_0x4ed6f4[_0x5e5d48(0x19a3)]('userProfile@getResources',{'id':_0x1fefff['getCurrentUser']()[_0x5e5d48(0x13c1)],'section':'CannedAnswers','fields':'createdAt,updatedAt,id,key,value,description','sort':_0x5e5d48(0x282),'MailAccountId':'null','FaxAccountId':_0x5e5d48(0xd38),'SmsAccountId':_0x5e5d48(0xd38),'OpenchannelAccountId':'null','ChatWebsiteId':'null','limit':0xa,'offset':0x0});}],'userProfile':[_0x115649(0x1e0b),_0x115649(0x1774),function(_0x41828b,_0x5e68c9){const _0x149851=_0x115649;return _0x5e68c9['hasRole']('admin')?null:_0x41828b[_0x149851(0x19a3)](_0x149851(0x9ae),{'fields':'id,name,crudPermissions','id':_0x5e68c9[_0x149851(0x21e8)]()[_0x149851(0x13c1)]});}],'userProfileSection':[_0x115649(0x1e0b),'Auth',function(_0x1de712,_0x38f237){const _0x524fa0=_0x115649;return _0x38f237['hasRole'](_0x524fa0(0x1c60))?null:_0x1de712['resolve'](_0x524fa0(0x2182),{'fields':_0x524fa0(0x1f5f),'userProfileId':_0x38f237[_0x524fa0(0x21e8)]()[_0x524fa0(0x13c1)],'sectionId':0x3e9});}]},'authenticate':!![],'permissionId':0x3e9,'bodyClass':_0x115649(0x10f6)})[_0x115649(0x27e0)]('app.tools.customDashboards',{'url':_0x115649(0x1c79),'views':{'content@app':{'templateUrl':_0x2b1ec4,'controller':_0x115649(0x1820)}},'resolve':{'customDashboards':['apiResolver','Auth',function(_0x5d7628,_0x1d183d){const _0x423588=_0x115649;return _0x1d183d[_0x423588(0x22b6)](_0x423588(0x1c60))?_0x5d7628[_0x423588(0x19a3)](_0x423588(0x61d),{'fields':_0x423588(0x12e8),'sort':'-updatedAt','limit':0xa,'offset':0x0}):_0x5d7628['resolve'](_0x423588(0x12da),{'id':_0x1d183d[_0x423588(0x21e8)]()['userProfileId'],'section':_0x423588(0x17f4),'fields':_0x423588(0x12e8),'sort':_0x423588(0x282),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver',_0x115649(0x1774),function(_0x340a6a,_0x3b603c){const _0x154199=_0x115649;return _0x3b603c[_0x154199(0x22b6)](_0x154199(0x1c60))?null:_0x340a6a[_0x154199(0x19a3)]('userProfile@get',{'fields':_0x154199(0x279),'id':_0x3b603c['getCurrentUser']()[_0x154199(0x13c1)]});}],'userProfileSection':[_0x115649(0x1e0b),'Auth',function(_0x566bc0,_0x4d3d78){const _0x1178bb=_0x115649;return _0x4d3d78['hasRole'](_0x1178bb(0x1c60))?null:_0x566bc0['resolve'](_0x1178bb(0x2182),{'fields':_0x1178bb(0x1f5f),'userProfileId':_0x4d3d78[_0x1178bb(0x21e8)]()['userProfileId'],'sectionId':0x3eb});}]},'authenticate':!![],'permissionId':0x3eb,'bodyClass':_0x115649(0x10f6)})['state'](_0x115649(0x1632),{'url':_0x115649(0x1bf2),'params':{'customDashboard':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x38a5cf,'controller':_0x115649(0x27fa)}},'resolve':{'customDashboard':[_0x115649(0x1e0b),_0x115649(0x225c),function(_0x5c750b,_0x5aabc8){const _0x26620f=_0x115649;return _0x5c750b['resolve'](_0x26620f(0x61d),{'fields':_0x26620f(0x12e8),'id':_0x5aabc8['id']});}],'userProfileSection':[_0x115649(0x1e0b),_0x115649(0x1774),function(_0x9d412,_0xeae2fe){const _0x1cb393=_0x115649;return _0x9d412[_0x1cb393(0x19a3)](_0x1cb393(0x2182),{'fields':_0x1cb393(0x1f5f),'userProfileId':_0xeae2fe['getCurrentUser']()[_0x1cb393(0x13c1)],'sectionId':0x3eb});}]},'authenticate':!![],'permissionId':0x3eb,'bodyClass':_0x115649(0x10f6)})[_0x115649(0x27e0)](_0x115649(0x115e),{'url':'/intervals','views':{'content@app':{'templateUrl':_0x13841a,'controller':_0x115649(0xb8d)}},'resolve':{'intervals':[_0x115649(0x1e0b),_0x115649(0x1774),function(_0x39742b,_0x3827c6){const _0x17e455=_0x115649;return _0x3827c6['hasRole'](_0x17e455(0x1c60))?_0x39742b['resolve']('interval@get',{'fields':_0x17e455(0x12e8),'sort':_0x17e455(0x282),'IntervalId':'null','limit':0xa,'offset':0x0}):_0x39742b['resolve']('userProfile@getResources',{'id':_0x3827c6[_0x17e455(0x21e8)]()['userProfileId'],'section':_0x17e455(0xc69),'fields':_0x17e455(0x12e8),'sort':_0x17e455(0x282),'IntervalId':_0x17e455(0xd38),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver','Auth',function(_0x4bf2da,_0x34e665){const _0x3f310c=_0x115649;return _0x34e665[_0x3f310c(0x22b6)](_0x3f310c(0x1c60))?null:_0x4bf2da['resolve'](_0x3f310c(0x9ae),{'fields':'id,name,crudPermissions','id':_0x34e665['getCurrentUser']()['userProfileId']});}],'userProfileSection':[_0x115649(0x1e0b),'Auth',function(_0x5c3236,_0x4940ab){const _0x2554b1=_0x115649;return _0x4940ab['hasRole']('admin')?null:_0x5c3236[_0x2554b1(0x19a3)](_0x2554b1(0x2182),{'fields':_0x2554b1(0x1f5f),'userProfileId':_0x4940ab[_0x2554b1(0x21e8)]()[_0x2554b1(0x13c1)],'sectionId':0x3ec});}]},'authenticate':!![],'permissionId':0x3ec,'bodyClass':_0x115649(0x10f6)})['state'](_0x115649(0x1538),{'url':_0x115649(0x1bf2),'params':{'interval':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x1cf171,'controller':'IntervalController\x20as\x20vm'}},'resolve':{'interval':[_0x115649(0x1e0b),_0x115649(0x225c),function(_0x5004aa,_0x2424cd){const _0x204f79=_0x115649;return _0x5004aa[_0x204f79(0x19a3)]('interval@get',{'fields':'createdAt,updatedAt,id,name,description','id':_0x2424cd['id']});}],'userProfileSection':[_0x115649(0x1e0b),'Auth',function(_0x4f5639,_0x15dd48){const _0x1a8780=_0x115649;return _0x4f5639['resolve'](_0x1a8780(0x2182),{'fields':_0x1a8780(0x1f5f),'userProfileId':_0x15dd48[_0x1a8780(0x21e8)]()[_0x1a8780(0x13c1)],'sectionId':0x3ec});}]},'authenticate':!![],'permissionId':0x3ec,'bodyClass':_0x115649(0x10f6)})[_0x115649(0x27e0)](_0x115649(0x3e0),{'url':_0x115649(0x55a),'views':{'content@app':{'templateUrl':_0x573919,'controller':_0x115649(0x2417)}},'resolve':{'pauses':[_0x115649(0x1e0b),_0x115649(0x1774),function(_0x5874c8,_0x1fccf5){const _0xae93=_0x115649;return _0x1fccf5[_0xae93(0x22b6)](_0xae93(0x1c60))?_0x5874c8[_0xae93(0x19a3)](_0xae93(0xeeb),{'fields':_0xae93(0x12e8),'sort':_0xae93(0x282),'limit':0xa,'offset':0x0}):_0x5874c8[_0xae93(0x19a3)](_0xae93(0x12da),{'id':_0x1fccf5['getCurrentUser']()[_0xae93(0x13c1)],'section':'Pauses','fields':_0xae93(0x12e8),'sort':'-updatedAt','limit':0xa,'offset':0x0});}],'userProfile':['apiResolver','Auth',function(_0x5d86f7,_0x18e29c){const _0x1ad3df=_0x115649;return _0x18e29c[_0x1ad3df(0x22b6)](_0x1ad3df(0x1c60))?null:_0x5d86f7[_0x1ad3df(0x19a3)](_0x1ad3df(0x9ae),{'fields':_0x1ad3df(0x279),'id':_0x18e29c[_0x1ad3df(0x21e8)]()[_0x1ad3df(0x13c1)]});}],'userProfileSection':[_0x115649(0x1e0b),_0x115649(0x1774),function(_0x54200c,_0x39ddb0){const _0x5f4c44=_0x115649;return _0x39ddb0[_0x5f4c44(0x22b6)]('admin')?null:_0x54200c[_0x5f4c44(0x19a3)]('userProfileSection@get',{'fields':_0x5f4c44(0x1f5f),'userProfileId':_0x39ddb0[_0x5f4c44(0x21e8)]()[_0x5f4c44(0x13c1)],'sectionId':0x3ed});}]},'authenticate':!![],'permissionId':0x3ed,'bodyClass':_0x115649(0x10f6)})[_0x115649(0x27e0)](_0x115649(0x1893),{'url':_0x115649(0x24bb),'views':{'content@app':{'templateUrl':_0x14a7d3,'controller':_0x115649(0x1bb9)}},'resolve':{'schedules':[_0x115649(0x1e0b),'Auth',function(_0xb57823,_0x301081){const _0xb161ed=_0x115649;return _0x301081[_0xb161ed(0x22b6)]('admin')?_0xb57823['resolve']('schedule@get',{'fields':'createdAt,updatedAt,id,name,CustomReportId,DefaultReportId,output,active,startAt,endAt,cron,subtractNumber,subtractUnit,sendMail,MailAccountId,email,cc,bcc,sendIfEmpty,description','sort':_0xb161ed(0x282),'limit':0xa,'offset':0x0}):_0xb57823[_0xb161ed(0x19a3)]('userProfile@getResources',{'id':_0x301081[_0xb161ed(0x21e8)]()[_0xb161ed(0x13c1)],'section':_0xb161ed(0x1441),'fields':_0xb161ed(0x9d3),'sort':_0xb161ed(0x282),'limit':0xa,'offset':0x0});}],'userProfile':[_0x115649(0x1e0b),_0x115649(0x1774),function(_0x2cd05f,_0x49751a){const _0x3e1afd=_0x115649;return _0x49751a[_0x3e1afd(0x22b6)](_0x3e1afd(0x1c60))?null:_0x2cd05f['resolve'](_0x3e1afd(0x9ae),{'fields':_0x3e1afd(0x279),'id':_0x49751a[_0x3e1afd(0x21e8)]()[_0x3e1afd(0x13c1)]});}],'userProfileSection':[_0x115649(0x1e0b),'Auth',function(_0x2f003a,_0x372384){const _0x3a85cd=_0x115649;return _0x372384[_0x3a85cd(0x22b6)](_0x3a85cd(0x1c60))?null:_0x2f003a[_0x3a85cd(0x19a3)](_0x3a85cd(0x2182),{'fields':_0x3a85cd(0x1f5f),'userProfileId':_0x372384[_0x3a85cd(0x21e8)]()[_0x3a85cd(0x13c1)],'sectionId':0x3ee});}]},'authenticate':!![],'permissionId':0x3ee,'bodyClass':_0x115649(0x10f6)})[_0x115649(0x27e0)](_0x115649(0x29df),{'url':'/sounds','views':{'content@app':{'templateUrl':_0x5a08cd,'controller':'SoundsController\x20as\x20vm'}},'resolve':{'sounds':[_0x115649(0x1e0b),_0x115649(0x1774),function(_0x57876b,_0x1fb033){const _0x49a06c=_0x115649;return _0x1fb033['hasRole'](_0x49a06c(0x1c60))?_0x57876b[_0x49a06c(0x19a3)](_0x49a06c(0x1de3),{'fields':_0x49a06c(0x2877),'sort':_0x49a06c(0x282),'limit':0xa,'offset':0x0}):_0x57876b[_0x49a06c(0x19a3)](_0x49a06c(0x12da),{'id':_0x1fb033[_0x49a06c(0x21e8)]()[_0x49a06c(0x13c1)],'section':_0x49a06c(0x19f5),'fields':_0x49a06c(0x2877),'sort':_0x49a06c(0x282),'limit':0xa,'offset':0x0});}],'userProfile':[_0x115649(0x1e0b),_0x115649(0x1774),function(_0x1ad415,_0x47f87b){const _0x463f1c=_0x115649;return _0x47f87b[_0x463f1c(0x22b6)](_0x463f1c(0x1c60))?null:_0x1ad415[_0x463f1c(0x19a3)](_0x463f1c(0x9ae),{'fields':'id,name,crudPermissions','id':_0x47f87b[_0x463f1c(0x21e8)]()[_0x463f1c(0x13c1)]});}],'userProfileSection':[_0x115649(0x1e0b),_0x115649(0x1774),function(_0x461a4b,_0x493aca){const _0xd1b522=_0x115649;return _0x493aca['hasRole'](_0xd1b522(0x1c60))?null:_0x461a4b[_0xd1b522(0x19a3)](_0xd1b522(0x2182),{'fields':_0xd1b522(0x1f5f),'userProfileId':_0x493aca[_0xd1b522(0x21e8)]()[_0xd1b522(0x13c1)],'sectionId':0x3ef});}]},'authenticate':!![],'permissionId':0x3ef,'bodyClass':'tools'})['state']('app.tools.tags',{'url':_0x115649(0xcef),'views':{'content@app':{'templateUrl':_0x538b44,'controller':_0x115649(0x1d5)}},'resolve':{'tags':[_0x115649(0x1e0b),'Auth',function(_0x4121de,_0x35d348){const _0x5d3913=_0x115649;return _0x35d348[_0x5d3913(0x22b6)](_0x5d3913(0x1c60))?_0x4121de['resolve'](_0x5d3913(0x1f1),{'fields':_0x5d3913(0x1cc0),'sort':_0x5d3913(0x282),'limit':0xa,'offset':0x0}):_0x4121de[_0x5d3913(0x19a3)](_0x5d3913(0x12da),{'id':_0x35d348['getCurrentUser']()[_0x5d3913(0x13c1)],'section':_0x5d3913(0x190),'fields':_0x5d3913(0x1cc0),'sort':_0x5d3913(0x282),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver',_0x115649(0x1774),function(_0x52d355,_0x582ea2){const _0x5c2015=_0x115649;return _0x582ea2['hasRole'](_0x5c2015(0x1c60))?null:_0x52d355[_0x5c2015(0x19a3)](_0x5c2015(0x9ae),{'fields':_0x5c2015(0x279),'id':_0x582ea2[_0x5c2015(0x21e8)]()[_0x5c2015(0x13c1)]});}],'userProfileSection':[_0x115649(0x1e0b),_0x115649(0x1774),function(_0x30759e,_0x3be2e2){const _0x4360dc=_0x115649;return _0x3be2e2[_0x4360dc(0x22b6)](_0x4360dc(0x1c60))?null:_0x30759e[_0x4360dc(0x19a3)](_0x4360dc(0x2182),{'fields':_0x4360dc(0x1f5f),'userProfileId':_0x3be2e2['getCurrentUser']()[_0x4360dc(0x13c1)],'sectionId':0x3f0});}]},'authenticate':!![],'permissionId':0x3f0,'bodyClass':_0x115649(0x10f6)})['state'](_0x115649(0x589),{'url':_0x115649(0x171d),'views':{'content@app':{'templateUrl':_0x47c543,'controller':_0x115649(0x16be)}},'resolve':{'templates':[_0x115649(0x1e0b),'Auth',function(_0x1015fd,_0x3805ad){const _0x2ee7f8=_0x115649;return _0x3805ad[_0x2ee7f8(0x22b6)](_0x2ee7f8(0x1c60))?_0x1015fd[_0x2ee7f8(0x19a3)]('template@get',{'fields':'createdAt,updatedAt,id,name,html,description','sort':_0x2ee7f8(0x282),'limit':0xa,'offset':0x0}):_0x1015fd[_0x2ee7f8(0x19a3)]('userProfile@getResources',{'id':_0x3805ad[_0x2ee7f8(0x21e8)]()[_0x2ee7f8(0x13c1)],'section':_0x2ee7f8(0x2195),'fields':_0x2ee7f8(0x26e5),'sort':'-updatedAt','limit':0xa,'offset':0x0});}],'userProfile':[_0x115649(0x1e0b),_0x115649(0x1774),function(_0x4abcf5,_0x56af00){const _0x5bd173=_0x115649;return _0x56af00[_0x5bd173(0x22b6)](_0x5bd173(0x1c60))?null:_0x4abcf5[_0x5bd173(0x19a3)]('userProfile@get',{'fields':'id,name,crudPermissions','id':_0x56af00[_0x5bd173(0x21e8)]()[_0x5bd173(0x13c1)]});}],'userProfileSection':[_0x115649(0x1e0b),_0x115649(0x1774),function(_0x4eae0e,_0xa48f1d){const _0x519b71=_0x115649;return _0xa48f1d['hasRole']('admin')?null:_0x4eae0e[_0x519b71(0x19a3)](_0x519b71(0x2182),{'fields':_0x519b71(0x1f5f),'userProfileId':_0xa48f1d[_0x519b71(0x21e8)]()['userProfileId'],'sectionId':0x3f1});}]},'authenticate':!![],'permissionId':0x3f1,'bodyClass':_0x115649(0x10f6)})[_0x115649(0x27e0)](_0x115649(0x2211),{'url':_0x115649(0x1c1a),'views':{'content@app':{'templateUrl':_0x436b77,'controller':_0x115649(0x13ea)}},'resolve':{'trunks':[_0x115649(0x1e0b),_0x115649(0x1774),function(_0x1882af,_0x546830){const _0x1ab810=_0x115649;return _0x546830[_0x1ab810(0x22b6)](_0x1ab810(0x1c60))?_0x1882af[_0x1ab810(0x19a3)]('trunk@get',{'fields':_0x1ab810(0x26ed),'sort':_0x1ab810(0x282),'limit':0xa,'offset':0x0}):_0x1882af[_0x1ab810(0x19a3)]('userProfile@getResources',{'id':_0x546830[_0x1ab810(0x21e8)]()['userProfileId'],'section':'Trunks','fields':_0x1ab810(0x26ed),'sort':_0x1ab810(0x282),'limit':0xa,'offset':0x0});}],'userProfile':[_0x115649(0x1e0b),_0x115649(0x1774),function(_0xe0036,_0x3b601d){const _0x47b0ae=_0x115649;return _0x3b601d[_0x47b0ae(0x22b6)](_0x47b0ae(0x1c60))?null:_0xe0036[_0x47b0ae(0x19a3)]('userProfile@get',{'fields':_0x47b0ae(0x279),'id':_0x3b601d['getCurrentUser']()[_0x47b0ae(0x13c1)]});}],'userProfileSection':[_0x115649(0x1e0b),'Auth',function(_0x324c6a,_0x39cdd7){const _0x312336=_0x115649;return _0x39cdd7[_0x312336(0x22b6)]('admin')?null:_0x324c6a[_0x312336(0x19a3)](_0x312336(0x2182),{'fields':_0x312336(0x1f5f),'userProfileId':_0x39cdd7[_0x312336(0x21e8)]()['userProfileId'],'sectionId':0x3f3});}]},'authenticate':!![],'permissionId':0x3f3,'bodyClass':_0x115649(0x10f6)})['state'](_0x115649(0xbe6),{'url':_0x115649(0x1bf2),'params':{'trunk':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x4d44a4,'controller':'TrunkController\x20as\x20vm'}},'resolve':{'trunk':[_0x115649(0x1e0b),_0x115649(0x225c),function(_0x58c652,_0xd2c4f2){const _0x14f340=_0x115649;return _0x58c652[_0x14f340(0x19a3)](_0x14f340(0x99d),{'fields':_0x14f340(0x26ed),'id':_0xd2c4f2['id']});}],'userProfileSection':['apiResolver',_0x115649(0x1774),function(_0x3b9b54,_0x2afc35){const _0x30d894=_0x115649;return _0x3b9b54['resolve'](_0x30d894(0x2182),{'fields':_0x30d894(0x1f5f),'userProfileId':_0x2afc35[_0x30d894(0x21e8)]()['userProfileId'],'sectionId':0x3f3});}]},'authenticate':!![],'permissionId':0x3f3,'bodyClass':_0x115649(0x10f6)})[_0x115649(0x27e0)]('app.tools.variables',{'url':_0x115649(0x25b6),'views':{'content@app':{'templateUrl':_0x5a2703,'controller':_0x115649(0xe0f)}},'resolve':{'variables':[_0x115649(0x1e0b),'Auth',function(_0x2d0077,_0x8c2ef6){const _0x33dffd=_0x115649;return _0x8c2ef6['hasRole'](_0x33dffd(0x1c60))?_0x2d0077[_0x33dffd(0x19a3)](_0x33dffd(0x653),{'fields':_0x33dffd(0x12e8),'sort':_0x33dffd(0x282),'limit':0xa,'offset':0x0}):_0x2d0077[_0x33dffd(0x19a3)](_0x33dffd(0x12da),{'id':_0x8c2ef6['getCurrentUser']()['userProfileId'],'section':'Variables','fields':_0x33dffd(0x12e8),'sort':'-updatedAt','limit':0xa,'offset':0x0});}],'userProfile':[_0x115649(0x1e0b),_0x115649(0x1774),function(_0x382b8f,_0x2c17da){const _0x26baa7=_0x115649;return _0x2c17da[_0x26baa7(0x22b6)](_0x26baa7(0x1c60))?null:_0x382b8f['resolve']('userProfile@get',{'fields':_0x26baa7(0x279),'id':_0x2c17da[_0x26baa7(0x21e8)]()['userProfileId']});}],'userProfileSection':[_0x115649(0x1e0b),_0x115649(0x1774),function(_0x52e73a,_0x5686c0){const _0x3e2d1c=_0x115649;return _0x5686c0[_0x3e2d1c(0x22b6)]('admin')?null:_0x52e73a['resolve'](_0x3e2d1c(0x2182),{'fields':_0x3e2d1c(0x1f5f),'userProfileId':_0x5686c0[_0x3e2d1c(0x21e8)]()[_0x3e2d1c(0x13c1)],'sectionId':0x3f4});}]},'authenticate':!![],'permissionId':0x3f4,'bodyClass':_0x115649(0x10f6)}),_0x473aff[_0x115649(0x4e7)](_0x115649(0x290d));}angular[_0x5537c6(0x9ab)](_0x5537c6(0x26a9),['ngCsv',_0x5537c6(0x2135),'md.data.table',_0x5537c6(0xacf),_0x5537c6(0x18f6),'ckeditor',_0x5537c6(0x44c),_0x5537c6(0x2ec),'ngAnimate',_0x5537c6(0x167d),_0x5537c6(0x208f),_0x5537c6(0x1b65),_0x5537c6(0x2166),'ngclipboard',_0x5537c6(0x7c9),_0x5537c6(0xeb5),_0x5537c6(0x27af),_0x5537c6(0x13b6),_0x5537c6(0x1cbd),_0x5537c6(0xd01),_0x5537c6(0x8b4),_0x5537c6(0xf53)])[_0x5537c6(0xa60)](_0x2f3c64)[_0x5537c6(0x6e5)](_0x5537c6(0x58c),_0x48bcbc)[_0x5537c6(0x6e5)](_0x5537c6(0x295a),_0x11338a)[_0x5537c6(0x6e5)](_0x5537c6(0x2552),_0x2ac9c0)[_0x5537c6(0x6e5)](_0x5537c6(0xd65),_0x1c139f)['controller'](_0x5537c6(0x168),_0x378821)['controller']('CustomDashboardItemsController',_0x4fea17)[_0x5537c6(0x6e5)]('CreateOrEditDashboardItemDialogController',_0x6ec87e)[_0x5537c6(0x6e5)](_0x5537c6(0x974),_0x4d071f)[_0x5537c6(0x6e5)](_0x5537c6(0x1ff9),_0x2063e0)[_0x5537c6(0x6e5)]('EditAppintervalDialogController',_0x1f4cbd)['controller'](_0x5537c6(0x134b),_0x1e79a4)[_0x5537c6(0x6e5)]('IntervalController',_0x2d1b1e)[_0x5537c6(0x6e5)]('IntervalsController',_0x3620ef)[_0x5537c6(0x6e5)](_0x5537c6(0x1249),_0x9fd33f)[_0x5537c6(0x6e5)](_0x5537c6(0x2570),_0x1af04b)['controller'](_0x5537c6(0x24cf),_0x2b908f)[_0x5537c6(0x6e5)](_0x5537c6(0x10a5),_0x48b684)[_0x5537c6(0x6e5)](_0x5537c6(0x1eac),_0x3eaede)[_0x5537c6(0x6e5)]('SoundsController',_0x55f64d)[_0x5537c6(0x6e5)](_0x5537c6(0x117d),_0x433ded)[_0x5537c6(0x6e5)](_0x5537c6(0x155a),_0x48c557)[_0x5537c6(0x6e5)](_0x5537c6(0x11be),_0x2c9b78)[_0x5537c6(0x6e5)]('CreateOrEditTemplateDialogController',_0x3c966d)[_0x5537c6(0x6e5)](_0x5537c6(0x1693),_0x1eb611)[_0x5537c6(0x6e5)](_0x5537c6(0x1b24),_0x54633e)[_0x5537c6(0x6e5)](_0x5537c6(0x143b),_0x5cd9b0)[_0x5537c6(0x6e5)](_0x5537c6(0xd08),_0x1798ad)[_0x5537c6(0x6e5)]('TrunksController',_0xe25dde)[_0x5537c6(0x6e5)]('CreateOrEditVariableDialogController',_0x20fa3e)['controller'](_0x5537c6(0x27b4),_0x29eed3);;const _0x33673d=_0x5074a3['p']+_0x5537c6(0x1385);;const _0x1c69e4=_0x5074a3['p']+_0x5537c6(0x1de1);;_0x201865['$inject']=[_0x5537c6(0xcb9),_0x5537c6(0x1f10),_0x5537c6(0x406),'$q',_0x5537c6(0x1774),_0x5537c6(0x9bf),'projects','project',_0x5537c6(0x142b),'openFromEditor','crudPermissions'];function _0x201865(_0x571a1e,_0xe9c9cc,_0x1ecd94,_0x3945d2,_0x1e0ae3,_0x27bbb4,_0x5926cf,_0x42f7b0,_0x325010,_0x13e6c8,_0x36a123){const _0xc4ad9a=_0x5537c6,_0x495ce2=this;_0x495ce2[_0xc4ad9a(0x1a7c)]=[],_0x495ce2['title']=_0xc4ad9a(0x241f),_0x495ce2[_0xc4ad9a(0x1b1a)]=_0x36a123,_0x495ce2[_0xc4ad9a(0x12a7)]=angular[_0xc4ad9a(0x17fe)](_0x42f7b0),_0x495ce2[_0xc4ad9a(0x2900)]=!![],_0x495ce2['projects']=_0x5926cf,_0x495ce2[_0xc4ad9a(0xdc9)]=![],_0x495ce2[_0xc4ad9a(0x274a)]=_0x13e6c8;!_0x495ce2[_0xc4ad9a(0x12a7)]&&(_0x495ce2[_0xc4ad9a(0x12a7)]={'name':'','description':''},_0x495ce2['title']=_0xc4ad9a(0x2571),_0x495ce2[_0xc4ad9a(0xdc9)]=!![]);_0x495ce2[_0xc4ad9a(0xd7c)]=_0x5f3380,_0x495ce2[_0xc4ad9a(0xeb3)]=_0x527c83,_0x495ce2['deleteProject']=_0x3b6d54,_0x495ce2[_0xc4ad9a(0xda0)]=_0x29d755;function _0x5f3380(){const _0x1ab176=_0xc4ad9a;_0x495ce2[_0x1ab176(0x1a7c)]=[],_0x325010[_0x1ab176(0xf61)][_0x1ab176(0x1c3f)](_0x495ce2['project'])[_0x1ab176(0x1d77)][_0x1ab176(0x1cb0)](function(_0x1275cf){const _0x13541e=_0x1ab176;return _0x325010[_0x13541e(0xebe)][_0x13541e(0xc46)]({'id':_0x1e0ae3[_0x13541e(0x21e8)]()['id'],'ids':[_0x1275cf['id']]})[_0x13541e(0x1d77)],_0x1275cf;})[_0x1ab176(0x1cb0)](function(_0x331213){const _0x1cb386=_0x1ab176;_0x495ce2[_0x1cb386(0x2981)][_0x1cb386(0xf63)](_0x331213),_0x27bbb4['success']({'title':_0x1cb386(0xc28),'msg':_0x495ce2[_0x1cb386(0x12a7)]['name']?_0x495ce2[_0x1cb386(0x12a7)][_0x1cb386(0x16b6)]+'\x20has\x20been\x20created!':''}),_0x29d755(_0x331213),_0x495ce2[_0x1cb386(0x274a)]&&(_0x495ce2[_0x1cb386(0x2900)]?_0xe9c9cc['open'](_0x1cb386(0x2cb)+_0x331213['id'],_0x1cb386(0x22ac)):_0x1ecd94['go'](_0x1cb386(0x291d),{'id':_0x331213['id']}));})[_0x1ab176(0x1c4)](function(_0x1b1f8c){const _0x53ef39=_0x1ab176;if(_0x1b1f8c['data']&&_0x1b1f8c[_0x53ef39(0x25c)]['errors']&&_0x1b1f8c['data'][_0x53ef39(0x1a7c)][_0x53ef39(0xfd0)]){_0x495ce2[_0x53ef39(0x1a7c)]=_0x1b1f8c['data']['errors']||[{'message':_0x1b1f8c[_0x53ef39(0x147f)](),'type':'api.squareProject.save'}];for(let _0x21a881=0x0;_0x21a881<_0x1b1f8c[_0x53ef39(0x25c)][_0x53ef39(0x1a7c)][_0x53ef39(0xfd0)];_0x21a881++){_0x27bbb4['error']({'title':_0x1b1f8c['data'][_0x53ef39(0x1a7c)][_0x21a881][_0x53ef39(0x66a)],'msg':_0x1b1f8c[_0x53ef39(0x25c)][_0x53ef39(0x1a7c)][_0x21a881][_0x53ef39(0x155e)]});}}else _0x27bbb4[_0x53ef39(0x218e)]({'title':_0x1b1f8c[_0x53ef39(0x291)]?_0x53ef39(0xeb9)+_0x1b1f8c[_0x53ef39(0x291)]+_0x53ef39(0x1657)+_0x1b1f8c['statusText']:_0x53ef39(0xfaa),'msg':_0x1b1f8c[_0x53ef39(0x25c)]?JSON['stringify'](_0x1b1f8c['data']['message']):_0x1b1f8c[_0x53ef39(0x147f)]()});});}function _0x527c83(){const _0x7b7724=_0xc4ad9a;_0x495ce2[_0x7b7724(0x1a7c)]=[],_0x325010[_0x7b7724(0xf61)][_0x7b7724(0x687)]({'id':_0x495ce2[_0x7b7724(0x12a7)]['id']},_0x495ce2[_0x7b7724(0x12a7)])['$promise'][_0x7b7724(0x1cb0)](function(_0x2a3e83){const _0x4687c9=_0x7b7724,_0x11031e=_0x39641b()[_0x4687c9(0x13b4)](_0x495ce2[_0x4687c9(0x2981)],{'id':_0x2a3e83['id']});_0x11031e&&_0x39641b()[_0x4687c9(0x9c1)](_0x11031e,_0x2a3e83),_0x27bbb4[_0x4687c9(0x829)]({'title':_0x4687c9(0xf2c),'msg':_0x495ce2[_0x4687c9(0x12a7)]['name']?_0x495ce2[_0x4687c9(0x12a7)][_0x4687c9(0x16b6)]+_0x4687c9(0xedb):''}),_0x29d755(_0x2a3e83);})['catch'](function(_0x3e3452){const _0x2ffa95=_0x7b7724;if(_0x3e3452[_0x2ffa95(0x25c)]&&_0x3e3452[_0x2ffa95(0x25c)]['errors']&&_0x3e3452[_0x2ffa95(0x25c)][_0x2ffa95(0x1a7c)][_0x2ffa95(0xfd0)]){_0x495ce2['errors']=_0x3e3452[_0x2ffa95(0x25c)][_0x2ffa95(0x1a7c)]||[{'message':_0x3e3452['toString'](),'type':'api.squareProject.update'}];for(let _0x34ebff=0x0;_0x34ebff<_0x3e3452[_0x2ffa95(0x25c)][_0x2ffa95(0x1a7c)][_0x2ffa95(0xfd0)];_0x34ebff++){_0x27bbb4[_0x2ffa95(0x218e)]({'title':_0x3e3452[_0x2ffa95(0x25c)][_0x2ffa95(0x1a7c)][_0x34ebff][_0x2ffa95(0x66a)],'msg':_0x3e3452[_0x2ffa95(0x25c)]['errors'][_0x34ebff]['message']});}}else _0x27bbb4[_0x2ffa95(0x218e)]({'title':_0x3e3452[_0x2ffa95(0x291)]?_0x2ffa95(0xeb9)+_0x3e3452['status']+_0x2ffa95(0x1657)+_0x3e3452[_0x2ffa95(0xc22)]:'api.squareProject.update','msg':_0x3e3452[_0x2ffa95(0x25c)]?JSON[_0x2ffa95(0x2701)](_0x3e3452['data'][_0x2ffa95(0x155e)]):_0x3e3452[_0x2ffa95(0x147f)]()});});}function _0x3b6d54(){const _0x13f02d=_0xc4ad9a;_0x495ce2[_0x13f02d(0x1a7c)]=[];const _0x59616e=_0x571a1e['confirm']()['title']('Are\x20you\x20sure?')[_0x13f02d(0x862)](_0x13f02d(0x12e0))[_0x13f02d(0x15ad)](_0x13f02d(0x1c03))['ok'](_0x13f02d(0x2594))['cancel'](_0x13f02d(0xde1))[_0x13f02d(0x728)](event);_0x571a1e[_0x13f02d(0xe27)](_0x59616e)[_0x13f02d(0x1cb0)](function(){const _0x31089e=_0x13f02d;_0x325010['squareProject']['delete']({'id':_0x495ce2[_0x31089e(0x12a7)]['id']})[_0x31089e(0x1d77)][_0x31089e(0x1cb0)](function(){const _0x57bcd0=_0x31089e;return _0x325010[_0x57bcd0(0xf61)][_0x57bcd0(0x8e7)]({'id':_0x495ce2[_0x57bcd0(0x12a7)]['id']})[_0x57bcd0(0x1d77)];})[_0x31089e(0x1cb0)](function(_0x20780b){const _0x1e15ee=_0x31089e,_0x5235fe=[],_0x33c533=_0x20780b[_0x1e15ee(0x2214)];for(let _0x20cea3=0x0;_0x20cea3<_0x33c533[_0x1e15ee(0xfd0)];_0x20cea3++){_0x5235fe['push'](_0x325010[_0x1e15ee(0xebe)][_0x1e15ee(0xb8c)]({'id':_0x1e0ae3[_0x1e15ee(0x21e8)]()['id'],'ids':[_0x495ce2[_0x1e15ee(0x12a7)]['id']]})['$promise']);}return _0x3945d2[_0x1e15ee(0x223b)](_0x5235fe);})[_0x31089e(0x1cb0)](function(){const _0x3d0180=_0x31089e;_0x39641b()['remove'](_0x495ce2[_0x3d0180(0x2981)],{'id':_0x495ce2[_0x3d0180(0x12a7)]['id']}),_0x27bbb4[_0x3d0180(0x829)]({'title':_0x3d0180(0x1300),'msg':_0x495ce2[_0x3d0180(0x12a7)]['name']?_0x495ce2['project']['name']+'\x20has\x20been\x20deleted!':''}),_0x29d755(_0x495ce2[_0x3d0180(0x12a7)]);})[_0x31089e(0x1c4)](function(_0x3b2728){const _0x3903f1=_0x31089e;console['error'](_0x3b2728),_0x495ce2[_0x3903f1(0x1a7c)]=_0x3b2728[_0x3903f1(0x25c)][_0x3903f1(0x1a7c)]||[{'message':_0x3b2728['toString'](),'type':_0x3903f1(0x1e45)}];});},function(){});}function _0x29d755(_0x2d7c8a){const _0x590a1f=_0xc4ad9a;_0x571a1e[_0x590a1f(0x1426)](_0x2d7c8a);}}const _0x3986c1=_0x201865;;_0x2ae533[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'api','toasty',_0x5537c6(0x144f),_0x5537c6(0x212f),_0x5537c6(0x1d4),'ispeechTtsLanguage',_0x5537c6(0x20bf),'googleTtsLanguage',_0x5537c6(0x1e30),'trunks',_0x5537c6(0x971),_0x5537c6(0xe28),_0x5537c6(0x9a9),_0x5537c6(0x1f42),_0x5537c6(0x2981),_0x5537c6(0x14f6),_0x5537c6(0xb4a),'mailAccounts',_0x5537c6(0x9b4),_0x5537c6(0x1046),_0x5537c6(0x1d1),'saveCallback',_0x5537c6(0x2508),'awsPollyRegion',_0x5537c6(0x1ac),'pauses',_0x5537c6(0x1829),_0x5537c6(0x13c8),'awsLexRegion',_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x2ae533(_0x23af9b,_0x33cdb9,_0x242d43,_0x4ac92f,_0x31cbc9,_0x269d96,_0x3d9c50,_0x426198,_0x4aac1a,_0x205dc5,_0x523b98,_0x1cc66a,_0x5f4923,_0x1c4b15,_0x2cc210,_0xbe9160,_0x3c611c,_0x5d8a8b,_0x14622c,_0x4aad13,_0x5e0898,_0xcc292d,_0xaf3466,_0xd0b13,_0x48b65b,_0x49e576,_0x266da5,_0x5ef853,_0x3f9b17,_0x54e7c6,_0x608449,_0x4cef19){const _0x5d8c46=_0x5537c6,_0x1cd75c=this,_0x25745b={'playback':[_0x5d8c46(0xb14)],'answer':[_0x5d8c46(0x1719)],'menu':[_0x5d8c46(0xb14),_0x5d8c46(0xa56),_0x5d8c46(0x45d),_0x5d8c46(0x22e6)],'getdigits':['file_id','variable_id',_0x5d8c46(0x520),_0x5d8c46(0x1e37),'retry'],'getsecretdigits':[_0x5d8c46(0xb14),_0x5d8c46(0x101e),_0x5d8c46(0x520),_0x5d8c46(0x1e37),_0x5d8c46(0x2829),'retry'],'vswitch':['variable_id'],'database':[_0x5d8c46(0x31a),_0x5d8c46(0xae2)],'ext_dial':['trunk_name',_0x5d8c46(0x1340),'timeout'],'set':[_0x5d8c46(0x101e)],'math':['variable_id'],'gotoc':[_0x5d8c46(0x2056),_0x5d8c46(0xd52),_0x5d8c46(0x521)],'system':[_0x5d8c46(0xd0d)],'agi':[_0x5d8c46(0xd0d)],'gotoif':[_0x5d8c46(0x166c)],'saydigits':['digits'],'saynumber':[_0x5d8c46(0x83d)],'sayphonetic':['text'],'record':[_0x5d8c46(0x1ac4),_0x5d8c46(0x1719)],'goal':[_0x5d8c46(0x1392)],'dial':[_0x5d8c46(0x22e3),_0x5d8c46(0x1719)],'queue':[_0x5d8c46(0x2303),_0x5d8c46(0x1719)],'callback':[_0x5d8c46(0x1cf8),_0x5d8c46(0x16b6),_0x5d8c46(0x1340),'delay'],'gotoiftime':[_0x5d8c46(0xc76)],'voicemail':['mailbox'],'subproject':[_0x5d8c46(0xc47)],'sendSMS':[_0x5d8c46(0x1df1),'to',_0x5d8c46(0x1577)],'sendMail':[_0x5d8c46(0x2328),'to'],'sendFax':[_0x5d8c46(0x2328),'to',_0x5d8c46(0x1e56)],'google_cloud_tts':[_0x5d8c46(0x17d7),_0x5d8c46(0x2248),_0x5d8c46(0x19d3)],'tts':[_0x5d8c46(0x19d3)],'ispeechtts':[_0x5d8c46(0x19d3),_0x5d8c46(0xee8),'speed'],'ispeechasr':[_0x5d8c46(0xee8),'timeout'],'custom_app':[_0x5d8c46(0x171b)],'googleasr':['key',_0x5d8c46(0x1719)],'awspolly':['aws_access_key_id',_0x5d8c46(0x1237),_0x5d8c46(0x19d3),_0x5d8c46(0x262c)],'lumenvoxtts':[_0x5d8c46(0x19d3)],'sestektts':['text'],'lumenvoxasr':['grammar'],'sestekasr':[_0x5d8c46(0x1859)],'tildeasr':[_0x5d8c46(0xa53),_0x5d8c46(0x1b45),_0x5d8c46(0xa0b)],'login':[_0x5d8c46(0xfb6)],'pause':[_0x5d8c46(0xfb6),_0x5d8c46(0x82f)],'unpause':['findBy'],'logout':['findBy'],'dialogflow':[_0x5d8c46(0xee8),_0x5d8c46(0x19d3),_0x5d8c46(0x25ba)],'awslex':[_0x5d8c46(0xb66),_0x5d8c46(0x1237),'aws_lex_region','aws_bot_name',_0x5d8c46(0x19d3)],'sesteknda':[_0x5d8c46(0x19d3),_0x5d8c46(0x2067),_0x5d8c46(0x1ba7),_0x5d8c46(0x381),_0x5d8c46(0x11d6)],'restapi':[_0x5d8c46(0x135b),_0x5d8c46(0x656)],'mrcpsynth':['text'],'mrcprecog':[_0x5d8c46(0x1859)],'dialogflowV2':[_0x5d8c46(0x2390),'client_email',_0x5d8c46(0x1998),_0x5d8c46(0x20cc),_0x5d8c46(0x19d3)]},_0x331ecd={'queue':[_0x5d8c46(0xb14)],'database':[_0x5d8c46(0x101e)],'pause':[_0x5d8c46(0x82f)]},_0x4d1498={'sendMail':['to','cc',_0x5d8c46(0xd11)],'voicemail':[_0x5d8c46(0x1b7a)]};_0x1cd75c[_0x5d8c46(0x91b)]=_0xcc292d[_0x5d8c46(0x1954)],_0x1cd75c[_0x5d8c46(0x2504)]=_0x39641b()['map'](_0xcc292d[_0x5d8c46(0x2504)],function(_0x204f7b){const _0x293c01=_0x5d8c46;return{'name':_0x204f7b[_0x293c01(0x16b6)],'value':_0x204f7b[_0x293c01(0x327)],'defaultValue':_0x331ecd[_0x1cd75c[_0x293c01(0x91b)]]&&_0x39641b()[_0x293c01(0x250a)](_0x331ecd[_0x1cd75c[_0x293c01(0x91b)]],_0x204f7b['name']),'required':_0x25745b[_0x1cd75c['cellName']]&&_0x39641b()[_0x293c01(0x250a)](_0x25745b[_0x1cd75c[_0x293c01(0x91b)]],_0x204f7b[_0x293c01(0x16b6)]),'help':_0x4d1498[_0x1cd75c[_0x293c01(0x91b)]]&&_0x39641b()[_0x293c01(0x250a)](_0x4d1498[_0x1cd75c[_0x293c01(0x91b)]],_0x204f7b[_0x293c01(0x16b6)])};}),_0x1cd75c[_0x5d8c46(0x27aa)]={'authMethod':[{'value':_0x5d8c46(0x27f2),'option':_0x5d8c46(0x23b4)},{'value':_0x5d8c46(0x1235),'option':_0x5d8c46(0x2253)}],'answer':[{'value':_0x5d8c46(0x150d),'option':_0x5d8c46(0x1ab8)},{'value':'no','option':'No'}],'ndagenerate_audio':[{'value':0x1,'option':_0x5d8c46(0x1ab8)},{'value':0x0,'option':'No'}],'ndaactivity_type':[{'value':_0x5d8c46(0x155e),'option':_0x5d8c46(0x27df)},{'value':_0x5d8c46(0x164d),'option':_0x5d8c46(0x156e)},{'value':_0x5d8c46(0x5e5),'option':'Contact\x20Relation\x20Update'},{'value':_0x5d8c46(0xae7),'option':'End\x20of\x20Conversation'}],'hiddendigitspos':[{'value':_0x5d8c46(0xf07),'option':_0x5d8c46(0x133c)},{'value':_0x5d8c46(0x1f9e),'option':_0x5d8c46(0x1c10)}],'recordingFormat':[{'value':'wav','option':'Wav'},{'value':_0x5d8c46(0x2331),'option':'Gsm'}],'intkey':[{'value':'#','option':'#'},{'value':'*','option':'*'}],'beep':[{'value':'BEEP','option':'Yes'},{'value':_0x5d8c46(0x2349),'option':'No'}],'model':_0x4ac92f,'ispeech_asr_language':_0x31cbc9,'ispeech_tts_language':_0x3d9c50,'languageCode':_0x426198,'ssmlGender':[{'value':_0x5d8c46(0x660),'option':_0x5d8c46(0xe8b)},{'value':_0x5d8c46(0x17c5),'option':'Male'}],'google_tts_language':_0x4aac1a,'google_asr_language':_0x269d96,'google_cloud_tts_text_type':[{'value':_0x5d8c46(0x19d3),'option':_0x5d8c46(0x620)},{'value':_0x5d8c46(0x1c82),'option':_0x5d8c46(0x10b0)}],'aws_polly_region':_0x48b65b,'aws_polly_voice':_0xd0b13,'aws_text_type':[{'value':'text','option':_0x5d8c46(0x620)},{'value':_0x5d8c46(0x1c82),'option':_0x5d8c46(0x10b0)}],'callback_priority':[{'option':'Highest','value':0x4},{'option':'High','value':0x3},{'option':_0x5d8c46(0x274b),'value':0x2},{'option':_0x5d8c46(0x1ec),'value':0x1},{'option':_0x5d8c46(0x1d1c),'value':0x0}],'findBy':[{'value':_0x5d8c46(0xdbd),'option':'Internal'},{'value':'phone','option':_0x5d8c46(0x722)},{'value':_0x5d8c46(0x1ce6),'option':_0x5d8c46(0x16a4)}],'dialogflow_language':_0x5ef853,'dialogflowV2_language':_0x3f9b17,'aws_lex_region':_0x54e7c6,'method':[{'value':_0x5d8c46(0x163a),'option':_0x5d8c46(0x163a)},{'value':_0x5d8c46(0x126c),'option':_0x5d8c46(0x126c)},{'value':_0x5d8c46(0xf66),'option':'PUT'},{'value':'DELETE','option':_0x5d8c46(0x9c7)}]},_0x1cd75c['crudPermissions']=_0x4cef19,_0x1cd75c['onInit']=_0x312e09,_0x1cd75c[_0x5d8c46(0xda0)]=_0x59cade,_0x1cd75c['getMap']=_0x42d438;function _0x312e09(){const _0x28dc8d=_0x5d8c46;_0x608449[_0x28dc8d(0x22b6)](_0x28dc8d(0x1c60))?_0x4a3fca():_0xcd207f();}function _0x42d438(_0x570dfa,_0x594762,_0x4a88b2,_0x1f2542){const _0x4f057e=_0x5d8c46;return _0x39641b()[_0x4f057e(0x1de2)](_0x570dfa,function(_0x25507b){const _0x4b5ad3=_0x4f057e;return{'value':_0x25507b[_0x594762],'option':_0x25507b[_0x4a88b2],'group':_0x1f2542?_0x25507b[_0x1f2542]:undefined,'canSelect':typeof _0x25507b[_0x4b5ad3(0x15da)]!=='undefined'?_0x25507b[_0x4b5ad3(0x15da)]:!![]};});}function _0x16acb0(_0x4c2e94,_0x4e7cd2,_0x278a38,_0x49b27b){const _0x20c9a6=_0x39641b()['filter'](_0x4c2e94,function(_0x1705e6){return _0x1705e6[_0x49b27b]===null;}),_0x3faeac=[];return _0x39641b()['forEach'](_0x20c9a6,function(_0x41e24b){const _0x85b200=a0_0x5cbd;_0x3faeac[_0x85b200(0x2785)]({'value':_0x41e24b[_0x4e7cd2],'option':_0x41e24b[_0x278a38]});const _0x789f65=_0x39641b()[_0x85b200(0x1c99)](_0x4c2e94,function(_0x569698){return _0x569698[_0x49b27b]===_0x41e24b['id'];});_0x39641b()['forEach'](_0x789f65,function(_0x3ed066){const _0xc7fccf=_0x85b200;_0x3faeac[_0xc7fccf(0x2785)]({'value':_0x3ed066[_0x4e7cd2],'option':_0xc7fccf(0x1657)+_0x3ed066[_0x278a38]});});}),_0x3faeac;}function _0x4a3fca(){const _0x4b1f3d=_0x5d8c46,_0x3473e8={'sip_id':_0x42d438(_0x205dc5,'id',_0x4b1f3d(0x1d14),_0x4b1f3d(0x26e6)),'trunk_name':_0x42d438(_0x523b98,_0x4b1f3d(0x16b6),_0x4b1f3d(0x16b6)),'trunk_id':_0x42d438(_0x523b98,'id',_0x4b1f3d(0x16b6)),'queue_id':_0x42d438(_0x1cc66a,'id',_0x4b1f3d(0x16b6)),'file_id':_0x42d438(_0x5f4923,'id',_0x4b1f3d(0x16b6)),'variable_id':_0x42d438(_0x1c4b15,'id',_0x4b1f3d(0x16b6)),'odbc_id':_0x42d438(_0x2cc210,'id','name'),'project_id':_0x42d438(_0xbe9160,'id','name'),'context':_0x42d438(_0x3c611c,'id',_0x4b1f3d(0x16b6)),'interval_id':_0x16acb0(_0x5d8a8b,'id','name',_0x4b1f3d(0x1a60)),'account_id':_0x42d438(_0x14622c,'id','name'),'template_id':_0x42d438(_0x4aad13,'id','name'),'list_id':_0x42d438(_0x5e0898,'id','name'),'sms_account_id':_0x42d438(_0x49e576,'id',_0x4b1f3d(0x16b6)),'pause_id':_0x42d438(_0x266da5,'id',_0x4b1f3d(0x16b6))},_0x3f6f07=_0x39641b()['merge'](_0x1cd75c[_0x4b1f3d(0x27aa)],_0x3473e8);_0x1cd75c['values']=_0x3f6f07;}function _0xcd207f(){const _0x3dbb46=_0x5d8c46,_0x16583f=['sip_id',_0x3dbb46(0x1a81),_0x3dbb46(0x23c5),_0x3dbb46(0x2303),_0x3dbb46(0xb14),_0x3dbb46(0x101e),_0x3dbb46(0x31a),_0x3dbb46(0xc47),'context',_0x3dbb46(0xc76),_0x3dbb46(0x2328),_0x3dbb46(0x2720),_0x3dbb46(0x1cf8),_0x3dbb46(0x1df1),_0x3dbb46(0x82f)];for(let _0x27d047=0x0;_0x27d047<_0x1cd75c[_0x3dbb46(0x2504)][_0x3dbb46(0xfd0)];_0x27d047++){if(_0x39641b()[_0x3dbb46(0x250a)](_0x16583f,_0x1cd75c[_0x3dbb46(0x2504)][_0x27d047][_0x3dbb46(0x16b6)]))switch(_0x1cd75c[_0x3dbb46(0x2504)][_0x27d047][_0x3dbb46(0x16b6)]){case _0x3dbb46(0x22e3):_0x4ef063();break;case _0x3dbb46(0x1a81):_0x55f391();break;case _0x3dbb46(0x23c5):_0x5560a7();break;case _0x3dbb46(0x2303):_0x4b7f23();break;case _0x3dbb46(0xb14):_0x4e8d7f();break;case'variable_id':_0x283b77();break;case _0x3dbb46(0x31a):_0x412e63();break;case _0x3dbb46(0xc47):_0x20683f();break;case _0x3dbb46(0x2056):_0x2cbdf2();break;case _0x3dbb46(0xc76):_0x235af4();break;case _0x3dbb46(0x2328):_0x3c590b();break;case _0x3dbb46(0x2720):_0x4cf45d();break;case _0x3dbb46(0x1cf8):_0x2ba44e();break;case _0x3dbb46(0x1df1):_0x235675();break;case _0x3dbb46(0x82f):_0x4e81bd();break;}}}function _0x4ef063(){const _0x1c95db=_0x5d8c46,_0x187927=Number(_0x39641b()[_0x1c95db(0x13b4)](_0x1cd75c[_0x1c95db(0x2504)],{'name':_0x1c95db(0x22e3)})[_0x1c95db(0x327)]),_0x762915=_0x39641b()[_0x1c95db(0x727)](_0x205dc5,{'id':_0x187927});if(!_0x762915&&_0x187927>0x0)return _0x33cdb9['user'][_0x1c95db(0xbf7)]({'id':_0x187927,'fields':_0x1c95db(0x1db1)})[_0x1c95db(0x1d77)][_0x1c95db(0x1cb0)](function(_0x5a95c7){const _0x46af79=_0x1c95db,_0x2d3e7b=_0x5a95c7[_0x46af79(0x19b2)]();_0x2d3e7b['canSelect']=![],_0x205dc5[_0x46af79(0x2785)](_0x2d3e7b),_0x1cd75c[_0x46af79(0x27aa)][_0x46af79(0x22e3)]=_0x42d438(_0x205dc5,'id',_0x46af79(0x1d14),_0x46af79(0x26e6));})[_0x1c95db(0x1c4)](function(_0x4ac000){const _0x59ad65=_0x1c95db;_0x242d43[_0x59ad65(0x218e)]({'title':_0x4ac000[_0x59ad65(0x291)]?_0x59ad65(0xeb9)+_0x4ac000[_0x59ad65(0x291)]+_0x59ad65(0x1657)+_0x4ac000[_0x59ad65(0xc22)]:'GET_USER','msg':_0x4ac000[_0x59ad65(0x25c)]?JSON[_0x59ad65(0x2701)](_0x4ac000[_0x59ad65(0x25c)]['message']):_0x4ac000['toString']()});});else _0x1cd75c[_0x1c95db(0x27aa)]['sip_id']=_0x42d438(_0x205dc5,'id',_0x1c95db(0x1d14),_0x1c95db(0x26e6));}function _0x2ba44e(){const _0x180c56=_0x5d8c46,_0x48c2c1=Number(_0x39641b()[_0x180c56(0x13b4)](_0x1cd75c[_0x180c56(0x2504)],{'name':_0x180c56(0x1cf8)})[_0x180c56(0x327)]),_0x539955=_0x39641b()[_0x180c56(0x727)](_0x5e0898,{'id':_0x48c2c1});if(!_0x539955&&_0x48c2c1>0x0)return _0x33cdb9[_0x180c56(0x22f2)]['get']({'id':_0x48c2c1,'fields':_0x180c56(0x43c)})[_0x180c56(0x1d77)][_0x180c56(0x1cb0)](function(_0x42bc41){const _0x2c1d87=_0x180c56,_0x56f7f7=_0x42bc41[_0x2c1d87(0x19b2)]();_0x56f7f7['canSelect']=![],_0x5e0898[_0x2c1d87(0x2785)](_0x56f7f7),_0x1cd75c[_0x2c1d87(0x27aa)][_0x2c1d87(0x1cf8)]=_0x42d438(_0x5e0898,'id',_0x2c1d87(0x16b6));})[_0x180c56(0x1c4)](function(_0x462fa1){const _0x29989a=_0x180c56;_0x242d43[_0x29989a(0x218e)]({'title':_0x462fa1['status']?_0x29989a(0xeb9)+_0x462fa1['status']+_0x29989a(0x1657)+_0x462fa1[_0x29989a(0xc22)]:_0x29989a(0x20ae),'msg':_0x462fa1[_0x29989a(0x25c)]?JSON[_0x29989a(0x2701)](_0x462fa1[_0x29989a(0x25c)][_0x29989a(0x155e)]):_0x462fa1[_0x29989a(0x147f)]()});});else _0x1cd75c['values'][_0x180c56(0x1cf8)]=_0x42d438(_0x5e0898,'id','name');}function _0x5560a7(){const _0x322fef=_0x5d8c46,_0x79860a=Number(_0x39641b()['find'](_0x1cd75c[_0x322fef(0x2504)],{'name':_0x322fef(0x23c5)})[_0x322fef(0x327)]),_0x121da6=_0x39641b()[_0x322fef(0x727)](_0x523b98,{'id':_0x79860a});if(!_0x121da6&&_0x79860a>0x0)return _0x33cdb9['trunk'][_0x322fef(0xbf7)]({'id':_0x79860a,'fields':_0x322fef(0x43c)})[_0x322fef(0x1d77)][_0x322fef(0x1cb0)](function(_0x1f6ee8){const _0xa1c350=_0x322fef,_0x4aae91=_0x1f6ee8[_0xa1c350(0x19b2)]();_0x4aae91['canSelect']=![],_0x523b98[_0xa1c350(0x2785)](_0x4aae91),_0x1cd75c['values'][_0xa1c350(0x23c5)]=_0x42d438(_0x523b98,'id',_0xa1c350(0x16b6));})[_0x322fef(0x1c4)](function(_0x35af11){const _0x491c4d=_0x322fef;_0x242d43[_0x491c4d(0x218e)]({'title':_0x35af11['status']?'API:'+_0x35af11[_0x491c4d(0x291)]+'\x20-\x20'+_0x35af11[_0x491c4d(0xc22)]:_0x491c4d(0x222f),'msg':_0x35af11['data']?JSON[_0x491c4d(0x2701)](_0x35af11['data'][_0x491c4d(0x155e)]):_0x35af11['toString']()});});else _0x1cd75c[_0x322fef(0x27aa)][_0x322fef(0x23c5)]=_0x42d438(_0x523b98,'id',_0x322fef(0x16b6));}function _0x55f391(){const _0xb591a1=_0x5d8c46,_0x105d91=_0x39641b()[_0xb591a1(0x13b4)](_0x1cd75c[_0xb591a1(0x2504)],{'name':_0xb591a1(0x1a81)})[_0xb591a1(0x327)],_0x3c0a8b=_0x39641b()[_0xb591a1(0x727)](_0x523b98,{'name':_0x105d91});if(!_0x3c0a8b&&_0x105d91)return _0x33cdb9['trunk'][_0xb591a1(0xbf7)]({'name':_0x105d91,'fields':_0xb591a1(0x43c)})['$promise'][_0xb591a1(0x1cb0)](function(_0x59a01f){const _0x4178ea=_0xb591a1;if(_0x59a01f[_0x4178ea(0x184d)]>0x0&&_0x59a01f[_0x4178ea(0x2214)][_0x4178ea(0xfd0)]>0x0){const _0x26b8cb=_0x59a01f[_0x4178ea(0x2214)][0x0];_0x26b8cb[_0x4178ea(0x15da)]=![],_0x523b98['push'](_0x26b8cb),_0x1cd75c[_0x4178ea(0x27aa)][_0x4178ea(0x1a81)]=_0x42d438(_0x523b98,_0x4178ea(0x16b6),_0x4178ea(0x16b6));}})['catch'](function(_0x2f13d4){const _0x1a4e6c=_0xb591a1;_0x242d43[_0x1a4e6c(0x218e)]({'title':_0x2f13d4[_0x1a4e6c(0x291)]?_0x1a4e6c(0xeb9)+_0x2f13d4['status']+_0x1a4e6c(0x1657)+_0x2f13d4[_0x1a4e6c(0xc22)]:_0x1a4e6c(0x222f),'msg':_0x2f13d4['data']?JSON[_0x1a4e6c(0x2701)](_0x2f13d4[_0x1a4e6c(0x25c)][_0x1a4e6c(0x155e)]):_0x2f13d4[_0x1a4e6c(0x147f)]()});});else _0x1cd75c['values'][_0xb591a1(0x1a81)]=_0x42d438(_0x523b98,_0xb591a1(0x16b6),_0xb591a1(0x16b6));}function _0x4b7f23(){const _0x19393c=_0x5d8c46,_0x25b577=Number(_0x39641b()[_0x19393c(0x13b4)](_0x1cd75c['attributes'],{'name':_0x19393c(0x2303)})[_0x19393c(0x327)]),_0x9825c9=_0x39641b()[_0x19393c(0x727)](_0x1cc66a,{'id':_0x25b577});if(!_0x9825c9&&_0x25b577>0x0)return _0x33cdb9['voiceQueue']['get']({'id':_0x25b577,'fields':_0x19393c(0x43c)})['$promise'][_0x19393c(0x1cb0)](function(_0x222283){const _0x824fd2=_0x19393c,_0x3a910f=_0x222283[_0x824fd2(0x19b2)]();_0x3a910f[_0x824fd2(0x15da)]=![],_0x1cc66a[_0x824fd2(0x2785)](_0x3a910f),_0x1cd75c[_0x824fd2(0x27aa)][_0x824fd2(0x2303)]=_0x42d438(_0x1cc66a,'id',_0x824fd2(0x16b6));})[_0x19393c(0x1c4)](function(_0x2c6530){const _0x4d716d=_0x19393c;_0x242d43[_0x4d716d(0x218e)]({'title':_0x2c6530['status']?_0x4d716d(0xeb9)+_0x2c6530[_0x4d716d(0x291)]+_0x4d716d(0x1657)+_0x2c6530['statusText']:_0x4d716d(0x1d61),'msg':_0x2c6530[_0x4d716d(0x25c)]?JSON['stringify'](_0x2c6530['data'][_0x4d716d(0x155e)]):_0x2c6530['toString']()});});else _0x1cd75c['values'][_0x19393c(0x2303)]=_0x42d438(_0x1cc66a,'id','name');}function _0x4e8d7f(){const _0x10f68e=_0x5d8c46,_0x5d1763=Number(_0x39641b()[_0x10f68e(0x13b4)](_0x1cd75c['attributes'],{'name':_0x10f68e(0xb14)})[_0x10f68e(0x327)]),_0x37afb9=_0x39641b()[_0x10f68e(0x727)](_0x5f4923,{'id':_0x5d1763});if(!_0x37afb9&&_0x5d1763>0x0)return _0x33cdb9[_0x10f68e(0x1e9)]['get']({'id':_0x5d1763,'fields':_0x10f68e(0x43c)})[_0x10f68e(0x1d77)][_0x10f68e(0x1cb0)](function(_0x294a2f){const _0x5a6127=_0x10f68e,_0x1a2c26=_0x294a2f[_0x5a6127(0x19b2)]();_0x1a2c26['canSelect']=![],_0x5f4923[_0x5a6127(0x2785)](_0x1a2c26),_0x1cd75c[_0x5a6127(0x27aa)][_0x5a6127(0xb14)]=_0x42d438(_0x5f4923,'id',_0x5a6127(0x16b6));})[_0x10f68e(0x1c4)](function(_0x3cf5c9){const _0x24b5ae=_0x10f68e;_0x242d43[_0x24b5ae(0x218e)]({'title':_0x3cf5c9[_0x24b5ae(0x291)]?'API:'+_0x3cf5c9[_0x24b5ae(0x291)]+'\x20-\x20'+_0x3cf5c9[_0x24b5ae(0xc22)]:_0x24b5ae(0x255c),'msg':_0x3cf5c9[_0x24b5ae(0x25c)]?JSON[_0x24b5ae(0x2701)](_0x3cf5c9['data'][_0x24b5ae(0x155e)]):_0x3cf5c9[_0x24b5ae(0x147f)]()});});else _0x1cd75c['values'][_0x10f68e(0xb14)]=_0x42d438(_0x5f4923,'id',_0x10f68e(0x16b6));}function _0x283b77(){const _0x1afe63=_0x5d8c46,_0x4e8f59=Number(_0x39641b()['find'](_0x1cd75c['attributes'],{'name':'variable_id'})[_0x1afe63(0x327)]),_0x357610=_0x39641b()['some'](_0x1c4b15,{'id':_0x4e8f59});if(!_0x357610&&_0x4e8f59>0x0)return _0x33cdb9[_0x1afe63(0x1822)]['get']({'id':_0x4e8f59,'fields':_0x1afe63(0x43c)})[_0x1afe63(0x1d77)][_0x1afe63(0x1cb0)](function(_0x15991e){const _0x146581=_0x1afe63,_0x479837=_0x15991e['toJSON']();_0x479837[_0x146581(0x15da)]=![],_0x1c4b15[_0x146581(0x2785)](_0x479837),_0x1cd75c[_0x146581(0x27aa)][_0x146581(0x101e)]=_0x42d438(_0x1c4b15,'id',_0x146581(0x16b6));})['catch'](function(_0x4c7b33){const _0x2283ad=_0x1afe63;_0x242d43['error']({'title':_0x4c7b33[_0x2283ad(0x291)]?'API:'+_0x4c7b33['status']+_0x2283ad(0x1657)+_0x4c7b33['statusText']:_0x2283ad(0x18bb),'msg':_0x4c7b33[_0x2283ad(0x25c)]?JSON[_0x2283ad(0x2701)](_0x4c7b33[_0x2283ad(0x25c)]['message']):_0x4c7b33['toString']()});});else _0x1cd75c[_0x1afe63(0x27aa)]['variable_id']=_0x42d438(_0x1c4b15,'id','name');}function _0x412e63(){const _0x22c858=_0x5d8c46,_0x4cf804=Number(_0x39641b()['find'](_0x1cd75c['attributes'],{'name':_0x22c858(0x31a)})[_0x22c858(0x327)]),_0x48eb33=_0x39641b()[_0x22c858(0x727)](_0x2cc210,{'id':_0x4cf804});if(!_0x48eb33&&_0x4cf804>0x0)return _0x33cdb9[_0x22c858(0xd7f)]['get']({'id':_0x4cf804,'fields':'id,name'})['$promise']['then'](function(_0x3da7fc){const _0x1b2ea6=_0x22c858,_0x24fb38=_0x3da7fc[_0x1b2ea6(0x19b2)]();_0x24fb38[_0x1b2ea6(0x15da)]=![],_0x2cc210[_0x1b2ea6(0x2785)](_0x24fb38),_0x1cd75c[_0x1b2ea6(0x27aa)][_0x1b2ea6(0x31a)]=_0x42d438(_0x2cc210,'id',_0x1b2ea6(0x16b6));})['catch'](function(_0x271bd9){const _0x35c472=_0x22c858;_0x242d43['error']({'title':_0x271bd9['status']?_0x35c472(0xeb9)+_0x271bd9[_0x35c472(0x291)]+'\x20-\x20'+_0x271bd9[_0x35c472(0xc22)]:'GET_ODBC','msg':_0x271bd9['data']?JSON['stringify'](_0x271bd9[_0x35c472(0x25c)][_0x35c472(0x155e)]):_0x271bd9['toString']()});});else _0x1cd75c['values'][_0x22c858(0x31a)]=_0x42d438(_0x2cc210,'id','name');}function _0x20683f(){const _0x18da5f=_0x5d8c46,_0x5b2cf4=Number(_0x39641b()['find'](_0x1cd75c[_0x18da5f(0x2504)],{'name':_0x18da5f(0xc47)})[_0x18da5f(0x327)]),_0x57cad5=_0x39641b()[_0x18da5f(0x727)](_0xbe9160,{'id':_0x5b2cf4});if(!_0x57cad5&&_0x5b2cf4>0x0)return _0x33cdb9['squareProject'][_0x18da5f(0xbf7)]({'id':_0x5b2cf4,'fields':_0x18da5f(0x43c)})['$promise'][_0x18da5f(0x1cb0)](function(_0x55de2e){const _0x321c06=_0x18da5f,_0x23c4ef=_0x55de2e[_0x321c06(0x19b2)]();_0x23c4ef[_0x321c06(0x15da)]=![],_0xbe9160[_0x321c06(0x2785)](_0x23c4ef),_0x1cd75c[_0x321c06(0x27aa)]['project_id']=_0x42d438(_0xbe9160,'id',_0x321c06(0x16b6));})['catch'](function(_0x199efd){const _0x3e4d94=_0x18da5f;_0x242d43[_0x3e4d94(0x218e)]({'title':_0x199efd[_0x3e4d94(0x291)]?_0x3e4d94(0xeb9)+_0x199efd['status']+'\x20-\x20'+_0x199efd[_0x3e4d94(0xc22)]:_0x3e4d94(0x5a6),'msg':_0x199efd['data']?JSON[_0x3e4d94(0x2701)](_0x199efd[_0x3e4d94(0x25c)]['message']):_0x199efd[_0x3e4d94(0x147f)]()});});else _0x1cd75c[_0x18da5f(0x27aa)]['project_id']=_0x42d438(_0xbe9160,'id',_0x18da5f(0x16b6));}function _0x2cbdf2(){const _0x5260e3=_0x5d8c46,_0x25d6bd=Number(_0x39641b()['find'](_0x1cd75c[_0x5260e3(0x2504)],{'name':_0x5260e3(0x2056)})[_0x5260e3(0x327)]),_0x4e83b1=_0x39641b()[_0x5260e3(0x727)](_0x3c611c,{'id':_0x25d6bd});if(!_0x4e83b1&&_0x25d6bd>0x0)return _0x33cdb9[_0x5260e3(0x1da5)]['get']({'id':_0x25d6bd,'fields':'id,name'})[_0x5260e3(0x1d77)]['then'](function(_0xc7d2aa){const _0x2cb861=_0x5260e3,_0x364b26=_0xc7d2aa['toJSON']();_0x364b26['canSelect']=![],_0x3c611c['push'](_0x364b26),_0x1cd75c[_0x2cb861(0x27aa)]['context']=_0x42d438(_0x3c611c,'id',_0x2cb861(0x16b6));})['catch'](function(_0x4c0c21){const _0x5840ad=_0x5260e3;_0x242d43[_0x5840ad(0x218e)]({'title':_0x4c0c21['status']?_0x5840ad(0xeb9)+_0x4c0c21[_0x5840ad(0x291)]+'\x20-\x20'+_0x4c0c21[_0x5840ad(0xc22)]:'GET_CONTEXT','msg':_0x4c0c21[_0x5840ad(0x25c)]?JSON[_0x5840ad(0x2701)](_0x4c0c21['data']['message']):_0x4c0c21[_0x5840ad(0x147f)]()});});else _0x1cd75c[_0x5260e3(0x27aa)][_0x5260e3(0x2056)]=_0x42d438(_0x3c611c,'id',_0x5260e3(0x16b6));}function _0x235af4(){const _0x410020=_0x5d8c46,_0x27048b=Number(_0x39641b()['find'](_0x1cd75c['attributes'],{'name':_0x410020(0xc76)})[_0x410020(0x327)]),_0x30366a=_0x39641b()['some'](_0x5d8a8b,{'id':_0x27048b});if(!_0x30366a&&_0x27048b>0x0)return _0x33cdb9[_0x410020(0x1ac8)][_0x410020(0xbf7)]({'id':_0x27048b,'fields':_0x410020(0x2904)})[_0x410020(0x1d77)][_0x410020(0x1cb0)](function(_0x3ac1e8){const _0x39ca81=_0x410020,_0x2bbcc4=_0x3ac1e8[_0x39ca81(0x19b2)]();_0x2bbcc4['canSelect']=![],_0x5d8a8b[_0x39ca81(0x2785)](_0x2bbcc4),_0x1cd75c[_0x39ca81(0x27aa)]['interval_id']=_0x42d438(_0x5d8a8b,'id',_0x39ca81(0x16b6),_0x39ca81(0x1a60));})[_0x410020(0x1c4)](function(_0x3f768b){const _0x31d940=_0x410020;_0x242d43['error']({'title':_0x3f768b[_0x31d940(0x291)]?'API:'+_0x3f768b[_0x31d940(0x291)]+'\x20-\x20'+_0x3f768b['statusText']:'GET_INTERVAL','msg':_0x3f768b[_0x31d940(0x25c)]?JSON[_0x31d940(0x2701)](_0x3f768b[_0x31d940(0x25c)]['message']):_0x3f768b[_0x31d940(0x147f)]()});});else _0x1cd75c[_0x410020(0x27aa)]['interval_id']=_0x42d438(_0x5d8a8b,'id',_0x410020(0x16b6),_0x410020(0x1a60));}function _0x3c590b(){const _0x4562b3=_0x5d8c46,_0x17e022=Number(_0x39641b()[_0x4562b3(0x13b4)](_0x1cd75c[_0x4562b3(0x2504)],{'name':'account_id'})[_0x4562b3(0x327)]),_0x2ebbcd=_0x39641b()[_0x4562b3(0x727)](_0x14622c,{'id':_0x17e022});if(!_0x2ebbcd&&_0x17e022>0x0)return _0x33cdb9[_0x4562b3(0x131c)][_0x4562b3(0xbf7)]({'id':_0x17e022,'fields':_0x4562b3(0x43c)})[_0x4562b3(0x1d77)][_0x4562b3(0x1cb0)](function(_0x3dd6ef){const _0xa97168=_0x4562b3,_0x71f9b9=_0x3dd6ef[_0xa97168(0x19b2)]();_0x71f9b9['canSelect']=![],_0x14622c[_0xa97168(0x2785)](_0x71f9b9),_0x1cd75c[_0xa97168(0x27aa)][_0xa97168(0x2328)]=_0x42d438(_0x14622c,'id',_0xa97168(0x16b6));})[_0x4562b3(0x1c4)](function(_0x1bc183){const _0x5d4ecc=_0x4562b3;_0x242d43[_0x5d4ecc(0x218e)]({'title':_0x1bc183['status']?_0x5d4ecc(0xeb9)+_0x1bc183[_0x5d4ecc(0x291)]+_0x5d4ecc(0x1657)+_0x1bc183[_0x5d4ecc(0xc22)]:'GET_MAIL_ACCOUNT','msg':_0x1bc183['data']?JSON[_0x5d4ecc(0x2701)](_0x1bc183[_0x5d4ecc(0x25c)][_0x5d4ecc(0x155e)]):_0x1bc183[_0x5d4ecc(0x147f)]()});});else _0x1cd75c[_0x4562b3(0x27aa)][_0x4562b3(0x2328)]=_0x42d438(_0x14622c,'id',_0x4562b3(0x16b6));}function _0x235675(){const _0xb0c5cb=_0x5d8c46,_0x1f9e48=Number(_0x39641b()[_0xb0c5cb(0x13b4)](_0x1cd75c[_0xb0c5cb(0x2504)],{'name':'sms_account_id'})['value']),_0x4bef6c=_0x39641b()[_0xb0c5cb(0x727)](_0x49e576,{'id':_0x1f9e48});if(!_0x4bef6c&&_0x1f9e48>0x0)return _0x33cdb9[_0xb0c5cb(0x658)][_0xb0c5cb(0xbf7)]({'id':_0x1f9e48,'fields':'id,name'})[_0xb0c5cb(0x1d77)][_0xb0c5cb(0x1cb0)](function(_0x2adddd){const _0x52b8d6=_0xb0c5cb,_0x78339d=_0x2adddd['toJSON']();_0x78339d['canSelect']=![],_0x49e576[_0x52b8d6(0x2785)](_0x78339d),_0x1cd75c[_0x52b8d6(0x27aa)][_0x52b8d6(0x1df1)]=_0x42d438(_0x49e576,'id',_0x52b8d6(0x16b6));})[_0xb0c5cb(0x1c4)](function(_0x4f54ba){const _0x341ed5=_0xb0c5cb;_0x242d43[_0x341ed5(0x218e)]({'title':_0x4f54ba[_0x341ed5(0x291)]?_0x341ed5(0xeb9)+_0x4f54ba[_0x341ed5(0x291)]+'\x20-\x20'+_0x4f54ba[_0x341ed5(0xc22)]:'GET_SMS_ACCOUNT','msg':_0x4f54ba['data']?JSON[_0x341ed5(0x2701)](_0x4f54ba['data']['message']):_0x4f54ba[_0x341ed5(0x147f)]()});});else _0x1cd75c['values'][_0xb0c5cb(0x1df1)]=_0x42d438(_0x49e576,'id',_0xb0c5cb(0x16b6));}function _0x4cf45d(){const _0x3170b3=_0x5d8c46,_0x4d96fe=Number(_0x39641b()[_0x3170b3(0x13b4)](_0x1cd75c['attributes'],{'name':_0x3170b3(0x2720)})[_0x3170b3(0x327)]),_0x254d1b=_0x39641b()[_0x3170b3(0x727)](_0x4aad13,{'id':_0x4d96fe});if(!_0x254d1b&&_0x4d96fe>0x0)return _0x33cdb9[_0x3170b3(0x2330)][_0x3170b3(0xbf7)]({'id':_0x4d96fe,'fields':_0x3170b3(0x43c)})[_0x3170b3(0x1d77)][_0x3170b3(0x1cb0)](function(_0x20c861){const _0x4e1d78=_0x3170b3,_0x3381ed=_0x20c861[_0x4e1d78(0x19b2)]();_0x3381ed[_0x4e1d78(0x15da)]=![],_0x4aad13[_0x4e1d78(0x2785)](_0x3381ed),_0x1cd75c[_0x4e1d78(0x27aa)][_0x4e1d78(0x2720)]=_0x42d438(_0x4aad13,'id',_0x4e1d78(0x16b6));})[_0x3170b3(0x1c4)](function(_0x3f1cb2){const _0x1ee69e=_0x3170b3;_0x242d43[_0x1ee69e(0x218e)]({'title':_0x3f1cb2['status']?_0x1ee69e(0xeb9)+_0x3f1cb2['status']+_0x1ee69e(0x1657)+_0x3f1cb2[_0x1ee69e(0xc22)]:_0x1ee69e(0x164b),'msg':_0x3f1cb2[_0x1ee69e(0x25c)]?JSON[_0x1ee69e(0x2701)](_0x3f1cb2[_0x1ee69e(0x25c)][_0x1ee69e(0x155e)]):_0x3f1cb2['toString']()});});else _0x1cd75c[_0x3170b3(0x27aa)][_0x3170b3(0x2720)]=_0x42d438(_0x4aad13,'id','name');}function _0x4e81bd(){const _0x79eefd=_0x5d8c46,_0x1f6371=Number(_0x39641b()['find'](_0x1cd75c[_0x79eefd(0x2504)],{'name':_0x79eefd(0x82f)})[_0x79eefd(0x327)]),_0x302692=_0x39641b()[_0x79eefd(0x727)](_0x266da5,{'id':_0x1f6371});if(!_0x302692&&_0x1f6371>0x0)return _0x33cdb9[_0x79eefd(0x785)]['get']({'id':_0x1f6371,'fields':_0x79eefd(0x43c)})[_0x79eefd(0x1d77)]['then'](function(_0x327986){const _0x11d02b=_0x79eefd,_0x249c86=_0x327986[_0x11d02b(0x19b2)]();_0x249c86[_0x11d02b(0x15da)]=![],_0x266da5[_0x11d02b(0x2785)](_0x249c86),_0x1cd75c[_0x11d02b(0x27aa)][_0x11d02b(0x82f)]=_0x42d438(_0x266da5,'id','name');})['catch'](function(_0x121042){const _0x35834d=_0x79eefd;_0x242d43['error']({'title':_0x121042[_0x35834d(0x291)]?_0x35834d(0xeb9)+_0x121042['status']+'\x20-\x20'+_0x121042['statusText']:_0x35834d(0x387),'msg':_0x121042[_0x35834d(0x25c)]?JSON['stringify'](_0x121042[_0x35834d(0x25c)][_0x35834d(0x155e)]):_0x121042[_0x35834d(0x147f)]()});});else _0x1cd75c[_0x79eefd(0x27aa)][_0x79eefd(0x82f)]=_0x42d438(_0x266da5,'id',_0x79eefd(0x16b6));}function _0x59cade(_0x595fbe){const _0x7268bb=_0x5d8c46;_0x595fbe&&_0xaf3466(_0x1cd75c[_0x7268bb(0x2504)]),_0x23af9b[_0x7268bb(0x1426)]();}}const _0x4fd633=_0x2ae533;;function _0x8e91f7(){const _0x3aaf24=_0x5537c6;return{'require':_0x3aaf24(0x26c1),'link':function(_0x24f8cb,_0x4a5ee5,_0x1ebe84,_0x577b5d){const _0x5a0aee=_0x3aaf24;_0x577b5d[_0x5a0aee(0x21e1)]['push'](function(_0x1ce266){return''+_0x1ce266;}),_0x577b5d[_0x5a0aee(0x14fd)][_0x5a0aee(0x2785)](function(_0x5cd9ca){return parseFloat(_0x5cd9ca);});}};}const _0x10ac0d=_0x8e91f7;;function _0x6e3880(){const _0x4a671f=_0x5537c6;return[{'value':'da','option':_0x4a671f(0x1f7)},{'value':'en','option':_0x4a671f(0xc8b)},{'value':'de','option':_0x4a671f(0x41b)},{'value':'it','option':_0x4a671f(0x2257)},{'value':'es','option':_0x4a671f(0x2672)},{'value':'fr','option':'French'},{'value':'id','option':_0x4a671f(0x600)},{'value':'ja','option':_0x4a671f(0x243f)},{'value':'ko','option':_0x4a671f(0x2773)},{'value':'nl','option':_0x4a671f(0x2027)},{'value':'no','option':_0x4a671f(0x12a8)},{'value':'pt','option':_0x4a671f(0xf02)},{'value':_0x4a671f(0x1b70),'option':_0x4a671f(0x1a45)},{'value':'ru','option':_0x4a671f(0x1dec)},{'value':'sv','option':_0x4a671f(0x15fe)},{'value':'th','option':_0x4a671f(0x20c)},{'value':'uk','option':_0x4a671f(0x526)},{'value':_0x4a671f(0x1261),'option':_0x4a671f(0xb4e)},{'value':_0x4a671f(0x219e),'option':_0x4a671f(0xf5c)},{'value':_0x4a671f(0x517),'option':_0x4a671f(0x1784)}];}function _0x49ef41(){const _0x1d4db8=_0x5537c6;return[{'value':'zh-HK','option':'Chinese\x20(Cantonese)'},{'value':_0x1d4db8(0x1261),'option':'Chinese\x20(Simplified)'},{'value':'zh-TW','option':'Chinese\x20(Traditional)'},{'value':'da','option':_0x1d4db8(0x1f7)},{'value':'nl','option':_0x1d4db8(0x2027)},{'value':'en','option':_0x1d4db8(0xc8b)},{'value':_0x1d4db8(0x23f6),'option':_0x1d4db8(0x4a2)},{'value':_0x1d4db8(0x453),'option':_0x1d4db8(0x1edf)},{'value':_0x1d4db8(0xe7d),'option':_0x1d4db8(0x28eb)},{'value':_0x1d4db8(0x1d09),'option':_0x1d4db8(0x57b)},{'value':_0x1d4db8(0x21fb),'option':'English\x20(US)'},{'value':'fr','option':_0x1d4db8(0x19a)},{'value':'fr-CA','option':_0x1d4db8(0x2207)},{'value':_0x1d4db8(0x1005),'option':_0x1d4db8(0x1ff2)},{'value':'de','option':_0x1d4db8(0x41b)},{'value':'hi','option':_0x1d4db8(0x1265)},{'value':'id','option':'Indonesian'},{'value':'it','option':_0x1d4db8(0x2257)},{'value':'ja','option':_0x1d4db8(0x243f)},{'value':'ko','option':_0x1d4db8(0x14f3)},{'value':'no','option':'Norwegian'},{'value':'pl','option':_0x1d4db8(0x2960)},{'value':_0x1d4db8(0x183f),'option':_0x1d4db8(0xa0f)},{'value':_0x1d4db8(0x272f),'option':_0x1d4db8(0x967)},{'value':'ru','option':'Russian'},{'value':'es','option':_0x1d4db8(0x2672)},{'value':_0x1d4db8(0x20bd),'option':_0x1d4db8(0x1051)},{'value':_0x1d4db8(0xf85),'option':_0x1d4db8(0x24bd)},{'value':'sw','option':_0x1d4db8(0x15fe)},{'value':'th','option':_0x1d4db8(0x20c)},{'value':'tr','option':_0x1d4db8(0x1543)},{'value':'uk','option':_0x1d4db8(0x526)}];}function _0x179347(){const _0x538e51=_0x5537c6;return[{'value':_0x538e51(0x180b),'option':_0x538e51(0x18ad)},{'value':_0x538e51(0x22d5),'option':_0x538e51(0x1257)},{'value':_0x538e51(0x9f4),'option':'Danish\x20(Denmark)'},{'value':_0x538e51(0x1a5e),'option':_0x538e51(0x10bb)},{'value':_0x538e51(0x138e),'option':_0x538e51(0x1f15)},{'value':_0x538e51(0x23f6),'option':'English\x20(Australian)'},{'value':_0x538e51(0x1d09),'option':_0x538e51(0x57b)},{'value':'en-GB','option':_0x538e51(0x212)},{'value':_0x538e51(0x21fb),'option':_0x538e51(0x146b)},{'value':'fi-FI','option':_0x538e51(0x2314)},{'value':_0x538e51(0x1a73),'option':_0x538e51(0xb08)},{'value':_0x538e51(0xd8d),'option':_0x538e51(0x2207)},{'value':_0x538e51(0x1005),'option':_0x538e51(0x4ee)},{'value':'hi-IN','option':_0x538e51(0x1e20)},{'value':_0x538e51(0x1fee),'option':'Hungarian\x20(Hungary)'},{'value':_0x538e51(0xdb8),'option':_0x538e51(0x481)},{'value':_0x538e51(0x1ec3),'option':_0x538e51(0x4ec)},{'value':_0x538e51(0x2496),'option':'Japanese\x20(Japan)'},{'value':'ko-KR','option':_0x538e51(0x2773)},{'value':'cmn-CN','option':'Mandarin\x20Chinese'},{'value':_0x538e51(0x1dfd),'option':_0x538e51(0x16fd)},{'value':_0x538e51(0x249),'option':_0x538e51(0x1816)},{'value':'pt-BR','option':_0x538e51(0xa0f)},{'value':'pt-PT','option':_0x538e51(0x967)},{'value':'ru-RU','option':_0x538e51(0xa89)},{'value':_0x538e51(0x1080),'option':_0x538e51(0x4ea)},{'value':_0x538e51(0xf85),'option':_0x538e51(0x24bd)},{'value':_0x538e51(0x4f1),'option':'Swedish\x20(Sweden)'},{'value':_0x538e51(0x183d),'option':_0x538e51(0x18d2)},{'value':_0x538e51(0x10e7),'option':'Ukrainian\x20(Ukraine)'},{'value':_0x538e51(0x296f),'option':_0x538e51(0x1d83)}];}function _0x522ebf(){const _0x3e0901=_0x5537c6;return[{'value':'af','option':_0x3e0901(0x19dd)},{'value':'sq','option':_0x3e0901(0x17fa)},{'value':'am','option':'Amharic'},{'value':'ar','option':'Arabic'},{'value':'hy','option':_0x3e0901(0x1d62)},{'value':'az','option':_0x3e0901(0x261)},{'value':'eu','option':'Basque'},{'value':'be','option':'Belarusian'},{'value':'bn','option':'Bengali'},{'value':'bh','option':_0x3e0901(0x1c4b)},{'value':'bs','option':_0x3e0901(0x1796)},{'value':'br','option':_0x3e0901(0x18a2)},{'value':'bg','option':_0x3e0901(0x119b)},{'value':'km','option':_0x3e0901(0x1687)},{'value':'ca','option':'Catalan'},{'value':'zh-CN','option':_0x3e0901(0xb4e)},{'value':'zh-TW','option':_0x3e0901(0x1784)},{'value':'co','option':_0x3e0901(0xd1f)},{'value':'hr','option':_0x3e0901(0x57f)},{'value':'cs','option':_0x3e0901(0xec7)},{'value':'da','option':_0x3e0901(0x1f7)},{'value':'nl','option':'Dutch'},{'value':'en','option':_0x3e0901(0xc8b)},{'value':'eo','option':_0x3e0901(0x136a)},{'value':'et','option':'Estonian'},{'value':'fo','option':_0x3e0901(0x7c0)},{'value':'tl','option':_0x3e0901(0xca5)},{'value':'fi','option':_0x3e0901(0x1c65)},{'value':'fr','option':_0x3e0901(0x19a)},{'value':'fy','option':'Frisian'},{'value':'gl','option':_0x3e0901(0x1296)},{'value':'ka','option':_0x3e0901(0xe2d)},{'value':'de','option':_0x3e0901(0x41b)},{'value':'el','option':'Greek'},{'value':'gn','option':_0x3e0901(0xf6e)},{'value':'gu','option':_0x3e0901(0x28bd)},{'value':'xx-hacker','option':_0x3e0901(0x900)},{'value':'ha','option':_0x3e0901(0x5c6)},{'value':'iw','option':_0x3e0901(0x1dc2)},{'value':'hi','option':_0x3e0901(0x1265)},{'value':'hu','option':_0x3e0901(0x376)},{'value':'is','option':'Icelandic'},{'value':'id','option':_0x3e0901(0x600)},{'value':'ia','option':_0x3e0901(0x181e)},{'value':'ga','option':_0x3e0901(0x25a1)},{'value':'it','option':_0x3e0901(0x2257)},{'value':'jw','option':_0x3e0901(0x25e3)},{'value':'ja','option':_0x3e0901(0x243f)},{'value':'kn','option':_0x3e0901(0x6e0)},{'value':'kk','option':'Kazakh'},{'value':'rw','option':'Kinyarwanda'},{'value':'rn','option':'Kirundi'},{'value':'xx-klingon','option':_0x3e0901(0x99b)},{'value':'ku','option':'Kurdish'},{'value':'ko','option':_0x3e0901(0x14f3)},{'value':'ky','option':_0x3e0901(0xe7b)},{'value':'lo','option':_0x3e0901(0x1dc6)},{'value':'la','option':_0x3e0901(0x1c34)},{'value':'lv','option':_0x3e0901(0x19c0)},{'value':'ln','option':_0x3e0901(0x148e)},{'value':'lt','option':'Lithuanian'},{'value':'mk','option':'Macedonian'},{'value':'mg','option':'Malagasy'},{'value':'ml','option':_0x3e0901(0x1b6d)},{'value':'mt','option':_0x3e0901(0x16af)},{'value':'mi','option':_0x3e0901(0x1623)},{'value':'mr','option':_0x3e0901(0x1bee)},{'value':'mo','option':_0x3e0901(0x19c7)},{'value':'mn','option':_0x3e0901(0x1f7c)},{'value':_0x3e0901(0x178c),'option':'Montenegrin'},{'value':'ne','option':_0x3e0901(0x19c8)},{'value':'no','option':_0x3e0901(0x12a8)},{'value':'nn','option':_0x3e0901(0x174b)},{'value':'oc','option':_0x3e0901(0x1d2)},{'value':'or','option':_0x3e0901(0x57a)},{'value':'om','option':'Oromo'},{'value':'ps','option':'Pashto'},{'value':'fa','option':_0x3e0901(0x2825)},{'value':_0x3e0901(0x1c42),'option':_0x3e0901(0xb93)},{'value':'pl','option':_0x3e0901(0x2960)},{'value':'pt-BR','option':'Portuguese\x20(Brazil)'},{'value':_0x3e0901(0x272f),'option':_0x3e0901(0x967)},{'value':'pa','option':_0x3e0901(0x1671)},{'value':'qu','option':_0x3e0901(0x1e63)},{'value':'ro','option':_0x3e0901(0x2178)},{'value':'rm','option':_0x3e0901(0x580)},{'value':'ru','option':_0x3e0901(0x1dec)},{'value':'gd','option':'Scots\x20Gaelic'},{'value':'sr','option':_0x3e0901(0x16b4)},{'value':'sh','option':_0x3e0901(0x260c)},{'value':'st','option':_0x3e0901(0x14ef)},{'value':'sn','option':'Shona'},{'value':'sd','option':_0x3e0901(0x1fab)},{'value':'si','option':_0x3e0901(0x12dc)},{'value':'sk','option':_0x3e0901(0x5d0)},{'value':'sl','option':_0x3e0901(0x125d)},{'value':'so','option':_0x3e0901(0x2193)},{'value':'es','option':'Spanish'},{'value':'su','option':_0x3e0901(0x1dc)},{'value':'sw','option':_0x3e0901(0x2763)},{'value':'sv','option':_0x3e0901(0x15fe)},{'value':'tg','option':_0x3e0901(0x1fd9)},{'value':'ta','option':_0x3e0901(0x1e83)},{'value':'tt','option':_0x3e0901(0x11cc)},{'value':'te','option':'Telugu'},{'value':'th','option':_0x3e0901(0x20c)},{'value':'ti','option':'Tigrinya'},{'value':'to','option':_0x3e0901(0x1ee5)},{'value':'tr','option':_0x3e0901(0x1543)},{'value':'tk','option':_0x3e0901(0x1674)},{'value':'tw','option':_0x3e0901(0x27fb)},{'value':'ug','option':_0x3e0901(0x29d1)},{'value':'uk','option':_0x3e0901(0x526)},{'value':'ur','option':_0x3e0901(0x2183)},{'value':'uz','option':_0x3e0901(0x179b)},{'value':'vi','option':_0x3e0901(0x23d9)},{'value':'cy','option':'Welsh'},{'value':'xh','option':_0x3e0901(0x2961)},{'value':'yi','option':_0x3e0901(0x830)},{'value':'yo','option':_0x3e0901(0x19ec)},{'value':'zu','option':_0x3e0901(0x11c9)}];}function _0x2138e7(){const _0x2a7667=_0x5537c6;return[{'value':_0x2a7667(0x295f),'option':_0x2a7667(0x9ef)},{'value':_0x2a7667(0x2395),'option':'Amharic\x20(Ethiopia)'},{'value':_0x2a7667(0x2034),'option':_0x2a7667(0xf7c)},{'value':_0x2a7667(0xcee),'option':_0x2a7667(0x1c71)},{'value':_0x2a7667(0xdb8),'option':_0x2a7667(0x481)},{'value':_0x2a7667(0xf06),'option':_0x2a7667(0x27c4)},{'value':_0x2a7667(0x26d9),'option':_0x2a7667(0x1fa5)},{'value':_0x2a7667(0x6c0),'option':_0x2a7667(0x28fd)},{'value':'ca-ES','option':_0x2a7667(0x116c)},{'value':_0x2a7667(0x22d5),'option':'Czech\x20(Czech\x20Republic)'},{'value':_0x2a7667(0x9f4),'option':_0x2a7667(0x966)},{'value':_0x2a7667(0x1a5e),'option':'German\x20(Germany)'},{'value':_0x2a7667(0x23f6),'option':_0x2a7667(0x4a2)},{'value':'en-CA','option':_0x2a7667(0x1edf)},{'value':'en-GH','option':_0x2a7667(0x1305)},{'value':_0x2a7667(0xe7d),'option':_0x2a7667(0x16a6)},{'value':_0x2a7667(0x1d09),'option':_0x2a7667(0x57b)},{'value':'en-IE','option':'English\x20(Ireland)'},{'value':_0x2a7667(0x964),'option':_0x2a7667(0x1824)},{'value':_0x2a7667(0xaa7),'option':_0x2a7667(0x17e2)},{'value':_0x2a7667(0x1742),'option':_0x2a7667(0x2448)},{'value':_0x2a7667(0x2454),'option':_0x2a7667(0xf0b)},{'value':'en-ZA','option':_0x2a7667(0x443)},{'value':_0x2a7667(0x1289),'option':_0x2a7667(0x7e0)},{'value':_0x2a7667(0x21fb),'option':_0x2a7667(0x14a1)},{'value':'es-AR','option':_0x2a7667(0xa2c)},{'value':_0x2a7667(0x28ef),'option':_0x2a7667(0x10b5)},{'value':_0x2a7667(0xb12),'option':_0x2a7667(0x429)},{'value':_0x2a7667(0x2733),'option':_0x2a7667(0xf6b)},{'value':'es-CR','option':_0x2a7667(0x981)},{'value':'es-EC','option':_0x2a7667(0x2533)},{'value':'es-SV','option':_0x2a7667(0x591)},{'value':_0x2a7667(0xf85),'option':_0x2a7667(0x24bd)},{'value':_0x2a7667(0x14f4),'option':_0x2a7667(0x129f)},{'value':_0x2a7667(0x1c31),'option':_0x2a7667(0x1306)},{'value':'es-HN','option':_0x2a7667(0x231a)},{'value':_0x2a7667(0x22e7),'option':'Spanish\x20(Mexico)'},{'value':_0x2a7667(0xe79),'option':_0x2a7667(0x259d)},{'value':_0x2a7667(0x2924),'option':'Spanish\x20(Panama)'},{'value':_0x2a7667(0x171e),'option':'Spanish\x20(Paraguay)'},{'value':_0x2a7667(0xf47),'option':_0x2a7667(0x1331)},{'value':_0x2a7667(0x1f70),'option':_0x2a7667(0x20e)},{'value':_0x2a7667(0xa91),'option':_0x2a7667(0x120f)},{'value':_0x2a7667(0x2920),'option':_0x2a7667(0x216a)},{'value':'es-VE','option':'Spanish\x20(Venezuela)'},{'value':_0x2a7667(0xb82),'option':_0x2a7667(0x1b67)},{'value':'fil-PH','option':_0x2a7667(0xb08)},{'value':'fr-CA','option':_0x2a7667(0x2207)},{'value':'fr-FR','option':_0x2a7667(0x4ee)},{'value':'gl-ES','option':_0x2a7667(0x368)},{'value':'ka-GE','option':_0x2a7667(0x31d)},{'value':_0x2a7667(0x845),'option':'Gujarati\x20(India)'},{'value':'hr-HR','option':'Croatian\x20(Croatia)'},{'value':_0x2a7667(0x18e6),'option':_0x2a7667(0x1d88)},{'value':_0x2a7667(0x1028),'option':_0x2a7667(0x29be)},{'value':'it-IT','option':_0x2a7667(0x4ec)},{'value':_0x2a7667(0x209a),'option':'Javanese\x20(Indonesia)'},{'value':'kn-IN','option':_0x2a7667(0x244e)},{'value':_0x2a7667(0x15d1),'option':'Khmer\x20(Cambodia)'},{'value':_0x2a7667(0x40d),'option':'Lao\x20(Laos)'},{'value':_0x2a7667(0x458),'option':_0x2a7667(0x2452)},{'value':'lt-LT','option':_0x2a7667(0x2555)},{'value':_0x2a7667(0x1fee),'option':_0x2a7667(0x1315)},{'value':_0x2a7667(0x2585),'option':_0x2a7667(0x19f1)},{'value':_0x2a7667(0x782),'option':_0x2a7667(0x24ef)},{'value':_0x2a7667(0x1660),'option':_0x2a7667(0x10bb)},{'value':_0x2a7667(0x240c),'option':_0x2a7667(0x440)},{'value':_0x2a7667(0x1dfd),'option':_0x2a7667(0x2117)},{'value':_0x2a7667(0x249),'option':'Polish\x20(Poland)'},{'value':_0x2a7667(0x183f),'option':_0x2a7667(0xa0f)},{'value':_0x2a7667(0x272f),'option':_0x2a7667(0x967)},{'value':_0x2a7667(0x670),'option':_0x2a7667(0x11bd)},{'value':_0x2a7667(0x804),'option':'Sinhala\x20(Srilanka)'},{'value':_0x2a7667(0x1080),'option':_0x2a7667(0x4ea)},{'value':_0x2a7667(0xc70),'option':_0x2a7667(0x1ade)},{'value':'su-ID','option':_0x2a7667(0xb7a)},{'value':'sw-TZ','option':_0x2a7667(0x2189)},{'value':_0x2a7667(0xd09),'option':_0x2a7667(0x74e)},{'value':_0x2a7667(0x1141),'option':_0x2a7667(0x2314)},{'value':_0x2a7667(0x4f1),'option':'Swedish\x20(Sweden)'},{'value':'ta-IN','option':'Tamil\x20(India)'},{'value':_0x2a7667(0x1994),'option':_0x2a7667(0x29a8)},{'value':'ta-LK','option':_0x2a7667(0xdc3)},{'value':_0x2a7667(0x2123),'option':_0x2a7667(0x17f6)},{'value':'te-IN','option':_0x2a7667(0x172e)},{'value':_0x2a7667(0x296f),'option':'Vietnamese\x20(Vietnam)'},{'value':_0x2a7667(0x183d),'option':_0x2a7667(0x18d2)},{'value':_0x2a7667(0x268f),'option':_0x2a7667(0xb2f)},{'value':_0x2a7667(0x25d4),'option':_0x2a7667(0xda4)},{'value':_0x2a7667(0x138e),'option':'Greek\x20(Greece)'},{'value':_0x2a7667(0x2264),'option':_0x2a7667(0x238a)},{'value':'ru-RU','option':_0x2a7667(0xa89)},{'value':'sr-RS','option':_0x2a7667(0x28d8)},{'value':_0x2a7667(0x10e7),'option':'Ukrainian\x20(Ukraine)'},{'value':_0x2a7667(0x3a6),'option':_0x2a7667(0x855)},{'value':_0x2a7667(0x7ac),'option':_0x2a7667(0x1ac0)},{'value':_0x2a7667(0x16e),'option':_0x2a7667(0x28b3)},{'value':_0x2a7667(0x299f),'option':'Arabic\x20(United\x20Arab\x20Emirates)'},{'value':_0x2a7667(0x1d0b),'option':_0x2a7667(0x1918)},{'value':_0x2a7667(0x210f),'option':_0x2a7667(0x1644)},{'value':_0x2a7667(0x162a),'option':_0x2a7667(0x1761)},{'value':_0x2a7667(0x21cf),'option':_0x2a7667(0x283e)},{'value':_0x2a7667(0x1d52),'option':'Arabic\x20(Kuwait)'},{'value':_0x2a7667(0x24c3),'option':_0x2a7667(0x296e)},{'value':_0x2a7667(0x1585),'option':'Arabic\x20(Tunisia)'},{'value':'ar-OM','option':_0x2a7667(0x1035)},{'value':_0x2a7667(0x13ed),'option':'Arabic\x20(State\x20of\x20Palestine)'},{'value':_0x2a7667(0x18fc),'option':'Arabic\x20(Qatar)'},{'value':_0x2a7667(0x1a3e),'option':_0x2a7667(0x2463)},{'value':'ar-EG','option':_0x2a7667(0x2354)},{'value':_0x2a7667(0xc71),'option':_0x2a7667(0x15b7)},{'value':_0x2a7667(0x2dd),'option':_0x2a7667(0x1e20)},{'value':'th-TH','option':_0x2a7667(0x17e)},{'value':_0x2a7667(0xc44),'option':'Korean\x20(South\x20Korea)'},{'value':'cmn-Hant-TW','option':'Chinese,\x20Mandarin\x20(Traditional,\x20Taiwan)'},{'value':'yue-Hant-HK','option':_0x2a7667(0x293)},{'value':_0x2a7667(0x2496),'option':_0x2a7667(0x1b15)},{'value':_0x2a7667(0xd6e),'option':_0x2a7667(0x1a7d)},{'value':_0x2a7667(0x2184),'option':_0x2a7667(0x25f8)}];}function _0x2f7eb7(){const _0x58507e=_0x5537c6;return[{'value':_0x58507e(0xdfa),'option':_0x58507e(0x166e)},{'value':_0x58507e(0x1984),'option':_0x58507e(0x16a0)},{'value':_0x58507e(0x1342),'option':'UK\x20English\x20Female'},{'value':'ukenglishmale','option':'UK\x20English\x20Male'},{'value':'auenglishfemale','option':_0x58507e(0x256e)},{'value':_0x58507e(0x838),'option':_0x58507e(0x858)},{'value':'usspanishmale','option':_0x58507e(0x99c)},{'value':'chchinesefemale','option':_0x58507e(0x1645)},{'value':_0x58507e(0x1676),'option':_0x58507e(0x1df8)},{'value':_0x58507e(0x2549),'option':_0x58507e(0x2191)},{'value':_0x58507e(0x188a),'option':'Taiwan\x20Chinese\x20Female'},{'value':'jpjapanesefemale','option':'Japanese\x20Female'},{'value':_0x58507e(0x12fd),'option':_0x58507e(0x194b)},{'value':_0x58507e(0x1b2a),'option':_0x58507e(0x7e5)},{'value':'krkoreanmale','option':'Korean\x20Male'},{'value':_0x58507e(0xaa5),'option':_0x58507e(0x24e6)},{'value':'huhungarianfemale','option':_0x58507e(0x4c0)},{'value':_0x58507e(0x1f0),'option':_0x58507e(0x8ea)},{'value':'eurportuguesefemale','option':_0x58507e(0xb21)},{'value':_0x58507e(0xa4a),'option':_0x58507e(0x5a0)},{'value':_0x58507e(0x1408),'option':_0x58507e(0x216c)},{'value':'eurspanishmale','option':'European\x20Spanish\x20Male'},{'value':_0x58507e(0x2102),'option':'European\x20Catalan\x20Female'},{'value':'eurczechfemale','option':'European\x20Czech\x20Female'},{'value':_0x58507e(0x1a9c),'option':_0x58507e(0xef4)},{'value':'eurfinnishfemale','option':'European\x20Finnish\x20Female'},{'value':'eurfrenchfemale','option':_0x58507e(0x2632)},{'value':_0x58507e(0x1956),'option':_0x58507e(0x1d4c)},{'value':_0x58507e(0x21e9),'option':_0x58507e(0x204e)},{'value':'eurdutchfemale','option':_0x58507e(0x22ea)},{'value':'eurpolishfemale','option':'European\x20Polish\x20Female'},{'value':'euritalianfemale','option':_0x58507e(0x12f0)},{'value':'euritalianmale','option':'European\x20Italian\x20Male'},{'value':_0x58507e(0xd96),'option':_0x58507e(0x1889)},{'value':_0x58507e(0x1a07),'option':_0x58507e(0x17c)},{'value':_0x58507e(0x1e1d),'option':_0x58507e(0x2522)},{'value':_0x58507e(0x25fa),'option':'European\x20German\x20Male'},{'value':_0x58507e(0x6eb),'option':_0x58507e(0x11da)},{'value':_0x58507e(0x1378),'option':'Russian\x20Male'},{'value':'swswedishfemale','option':_0x58507e(0x721)},{'value':_0x58507e(0xcbd),'option':_0x58507e(0x1b40)},{'value':'cafrenchmale','option':_0x58507e(0x49c)}];}function _0x172106(){const _0x46c4ee=_0x5537c6;return[{'value':_0x46c4ee(0x21fb),'option':_0x46c4ee(0x146b)},{'value':'en-CA','option':_0x46c4ee(0x1edf)},{'value':'en-GB','option':_0x46c4ee(0x212)},{'value':_0x46c4ee(0x23f6),'option':_0x46c4ee(0x4a2)},{'value':_0x46c4ee(0xf85),'option':_0x46c4ee(0x24bd)},{'value':_0x46c4ee(0x22e7),'option':_0x46c4ee(0x1354)},{'value':_0x46c4ee(0x1ec3),'option':_0x46c4ee(0x203)},{'value':_0x46c4ee(0x1005),'option':'German\x20(Germany)'},{'value':_0x46c4ee(0xd8d),'option':_0x46c4ee(0x2207)},{'value':_0x46c4ee(0x249),'option':_0x46c4ee(0x1816)},{'value':_0x46c4ee(0x272f),'option':_0x46c4ee(0x967)},{'value':_0x46c4ee(0x2149),'option':_0x46c4ee(0x52d)},{'value':_0x46c4ee(0x517),'option':_0x46c4ee(0x1ec2)},{'value':_0x46c4ee(0x9f4),'option':_0x46c4ee(0x966)},{'value':_0x46c4ee(0x2496),'option':'Japanese\x20(Japan)'},{'value':'ko-KR','option':_0x46c4ee(0x1011)},{'value':_0x46c4ee(0x1660),'option':_0x46c4ee(0x10bb)},{'value':_0x46c4ee(0x1dfd),'option':_0x46c4ee(0x16fd)},{'value':_0x46c4ee(0x183f),'option':_0x46c4ee(0xa0f)},{'value':_0x46c4ee(0xd39),'option':_0x46c4ee(0xa89)},{'value':_0x46c4ee(0x4f1),'option':_0x46c4ee(0x58e)},{'value':_0x46c4ee(0x1261),'option':_0x46c4ee(0x266b)},{'value':'zh-HK','option':'Chinese\x20(Hong\x20Kong)'}];}function _0x12b8ee(){const _0x3726dd=_0x5537c6;return[{'value':'0','option':_0x3726dd(0x2986)},{'value':_0x3726dd(0x18ec),'option':_0x3726dd(0xc0d)},{'value':_0x3726dd(0x468),'option':_0x3726dd(0x456)},{'value':_0x3726dd(0x28a3),'option':_0x3726dd(0x277e)},{'value':_0x3726dd(0x1e8d),'option':_0x3726dd(0x2635)},{'value':_0x3726dd(0x20ad),'option':'Numbers\x20to\x20999'},{'value':_0x3726dd(0x161a),'option':'Time'},{'value':_0x3726dd(0x2550),'option':_0x3726dd(0x142e)},{'value':'streets','option':_0x3726dd(0x2447)},{'value':_0x3726dd(0x7d5),'option':'US\x20City/States'}];}function _0x4f9f25(){const _0x474c74=_0x5537c6;return[{'value':_0x474c74(0x56b),'option':_0x474c74(0x342)},{'value':_0x474c74(0x281),'option':_0x474c74(0x954)},{'value':_0x474c74(0x1dc4),'option':_0x474c74(0x270e)},{'value':_0x474c74(0x26a0),'option':_0x474c74(0x20f3)},{'value':_0x474c74(0x1a25),'option':_0x474c74(0xa9a)},{'value':_0x474c74(0xda9),'option':'Celine\x20(French,\x20Female)'},{'value':_0x474c74(0x192b),'option':_0x474c74(0x569)},{'value':_0x474c74(0x29bc),'option':'Conchita\x20(Spanish,\x20Castilian,\x20Female)'},{'value':'Cristiano','option':_0x474c74(0x606)},{'value':_0x474c74(0x107c),'option':_0x474c74(0x182e)},{'value':_0x474c74(0x131e),'option':_0x474c74(0x462)},{'value':_0x474c74(0x1bef),'option':_0x474c74(0x2119)},{'value':'Ewa','option':_0x474c74(0x1da)},{'value':_0x474c74(0x2627),'option':'Filiz\x20(Turkish,\x20Female)'},{'value':'Geraint','option':_0x474c74(0x751)},{'value':_0x474c74(0x28dc),'option':_0x474c74(0x1151)},{'value':_0x474c74(0x28ff),'option':_0x474c74(0x16c4)},{'value':_0x474c74(0x23d8),'option':_0x474c74(0x843)},{'value':_0x474c74(0xcb5),'option':_0x474c74(0x294a)},{'value':_0x474c74(0x48e),'option':_0x474c74(0x219)},{'value':_0x474c74(0x1fc4),'option':_0x474c74(0x47b)},{'value':_0x474c74(0x10a9),'option':'Jan\x20(Polish,\x20Male)'},{'value':_0x474c74(0x912),'option':'Joanna\x20(English,\x20US,\x20Female)'},{'value':_0x474c74(0x175b),'option':_0x474c74(0x1cf1)},{'value':_0x474c74(0x14ab),'option':_0x474c74(0x79c)},{'value':_0x474c74(0x2918),'option':_0x474c74(0x2751)},{'value':_0x474c74(0x1ca2),'option':_0x474c74(0x36d)},{'value':_0x474c74(0x21f6),'option':'Kimberly\x20(English,\x20US,\x20Female)'},{'value':_0x474c74(0x27e8),'option':_0x474c74(0xba8)},{'value':_0x474c74(0x8f8),'option':'Lotte\x20(Dutch,\x20Female)'},{'value':'Mads','option':_0x474c74(0x185c)},{'value':_0x474c74(0x101f),'option':_0x474c74(0x528)},{'value':_0x474c74(0xf38),'option':'Marlene\x20(German,\x20Female)'},{'value':_0x474c74(0x187),'option':_0x474c74(0x22cc)},{'value':'Maxim','option':_0x474c74(0x28ea)},{'value':_0x474c74(0xbab),'option':_0x474c74(0x2609)},{'value':_0x474c74(0x1602),'option':'Mizuki\x20(Japanese,\x20Female)'},{'value':_0x474c74(0x1355),'option':_0x474c74(0x2342)},{'value':_0x474c74(0x235),'option':'Nicole\x20(English,\x20Austrialian,\x20Female)'},{'value':_0x474c74(0x2801),'option':_0x474c74(0x360)},{'value':_0x474c74(0x1a6f),'option':_0x474c74(0x1421)},{'value':'Ricardo','option':_0x474c74(0x79a)},{'value':_0x474c74(0x160c),'option':'Ruben\x20(Dutch,\x20Male)'},{'value':'Russell','option':_0x474c74(0x15eb)},{'value':_0x474c74(0x245f),'option':_0x474c74(0x2914)},{'value':'Tatyana','option':_0x474c74(0x2cc)},{'value':_0x474c74(0x6d6),'option':_0x474c74(0x1803)}];}function _0x325649(){const _0x513636=_0x5537c6;return[{'value':'us-east-1','option':_0x513636(0xe59)},{'value':_0x513636(0x11d8),'option':'US\x20East\x20(Ohio)'},{'value':_0x513636(0x29db),'option':_0x513636(0x146a)},{'value':'us-west-2','option':_0x513636(0x13fc)},{'value':_0x513636(0x1d05),'option':_0x513636(0x252d)},{'value':_0x513636(0x886),'option':'EU\x20(Ireland)'},{'value':_0x513636(0xf16),'option':_0x513636(0x1bcf)},{'value':_0x513636(0x26b4),'option':_0x513636(0x27e3)},{'value':_0x513636(0x1b9f),'option':_0x513636(0x1f4e)},{'value':_0x513636(0x1cae),'option':_0x513636(0x22e0)},{'value':_0x513636(0xb31),'option':'Asia\x20Pacific\x20(Sydney)'},{'value':_0x513636(0x18e2),'option':_0x513636(0x247d)},{'value':_0x513636(0x509),'option':_0x513636(0x13c4)},{'value':_0x513636(0xa7c),'option':_0x513636(0x13ae)},{'value':_0x513636(0x2032),'option':_0x513636(0x20e2)}];}function _0x23e106(){const _0x1a16bc=_0x5537c6;return[{'value':'us-east-1','option':_0x1a16bc(0xe59)},{'value':'us-west-2','option':_0x1a16bc(0x13fc)},{'value':_0x1a16bc(0x886),'option':_0x1a16bc(0x14ca)},{'value':_0x1a16bc(0xb31),'option':'Asia\x20Pacific\x20(Sydney)'}];};_0x191578['$inject']=['$mdDialog','toasty',_0x5537c6(0x23da),_0x5537c6(0x1fb3)];function _0x191578(_0x432ebb,_0x3ef9bd,_0x2c2b51,_0x193706){const _0x118606=_0x5537c6,_0x3948d2=this;_0x3948d2['project']={'preproduction':_0x2c2b51};function _0x488126(_0x18aa63){const _0x26299c=a0_0x5cbd;_0x18aa63?(_0x193706(_0x3948d2[_0x26299c(0x12a7)][_0x26299c(0x2082)]),_0x432ebb[_0x26299c(0x1426)](_0x3948d2[_0x26299c(0x12a7)]['preproduction'])):_0x432ebb['hide']();}_0x3948d2[_0x118606(0xda0)]=_0x488126;}const _0x2cad9d=_0x191578;;_0x40366c[_0x5537c6(0x15b6)]=['$mdDialog','saveCallback'];function _0x40366c(_0x4a98f1,_0x451af4){const _0x44756b=_0x5537c6,_0x279924=this,_0x206feb=0x5,_0x6129e2=_0x206feb*0x400*0x400;_0x279924['errors']=[],_0x279924[_0x44756b(0x15e8)]={'singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0x6129e2,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x279924[_0x44756b(0x125a)]={'flow':{}},_0x279924['dropping']=![],_0x279924['closeDialog']=_0x3afb42,_0x279924[_0x44756b(0x15c2)]=_0x40f348;function _0x40f348(_0x12c7a2){const _0x37a76a=_0x44756b;_0x279924[_0x37a76a(0x1a7c)]=[];const _0x184d1f=['xml'];!_0x39641b()[_0x37a76a(0x250a)](_0x184d1f,_0x12c7a2[_0x37a76a(0x1cb1)]())&&_0x279924[_0x37a76a(0x1a7c)][_0x37a76a(0x2785)]({'message':_0x37a76a(0x10ce)+_0x12c7a2[_0x37a76a(0x1cb1)]()+'\x20is\x20not\x20supported!'});_0x12c7a2[_0x37a76a(0x1813)]>_0x6129e2&&_0x279924[_0x37a76a(0x1a7c)][_0x37a76a(0x2785)]({'message':_0x37a76a(0x166b)+_0x206feb+'MB'});if(!_0x279924['errors'][_0x37a76a(0xfd0)]){const _0x4e6899=new FileReader();_0x4e6899['onload']=function(_0x582207){const _0x3c3fc3=_0x37a76a;_0x3afb42(_0x582207[_0x3c3fc3(0x251d)][_0x3c3fc3(0x1205)]);},_0x4e6899[_0x37a76a(0x1cfe)](_0x12c7a2[_0x37a76a(0x1ac4)]);}}function _0x3afb42(_0x31e975){_0x31e975?(_0x451af4(_0x31e975),_0x4a98f1['hide'](_0x31e975)):_0x4a98f1['hide']();}}const _0xb268c0=_0x40366c;;_0x1c5d55[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'toasty',_0x5537c6(0x12a7),_0x5537c6(0x142b)];function _0x1c5d55(_0x42c87e,_0x27d905,_0x29aac9,_0x381f65){const _0x3caf67=_0x5537c6,_0x59ed87=this;_0x59ed87[_0x3caf67(0x12a7)]=_0x39641b()[_0x3caf67(0x11bc)](_0x29aac9);function _0xbc7d29(){const _0x7ccd3e=_0x3caf67;_0x59ed87[_0x7ccd3e(0x1a7c)]=[],_0x381f65['squareProject']['update']({'id':_0x59ed87['project']['id']},{'notes':_0x59ed87[_0x7ccd3e(0x12a7)][_0x7ccd3e(0x386)],'description':_0x59ed87[_0x7ccd3e(0x12a7)][_0x7ccd3e(0x2c1)]})[_0x7ccd3e(0x1d77)][_0x7ccd3e(0x1cb0)](function(_0x482938){const _0x296744=_0x7ccd3e;_0x27d905[_0x296744(0x829)]({'title':_0x296744(0xc29),'msg':_0x59ed87['project']['name']?_0x59ed87['project'][_0x296744(0x16b6)]+'\x20has\x20been\x20updated!':''}),_0x42c87e['hide'](_0x482938);})[_0x7ccd3e(0x1c4)](function(_0x576400){const _0x19d6a2=_0x7ccd3e;console['error'](_0x576400),_0x59ed87[_0x19d6a2(0x1a7c)]=_0x576400[_0x19d6a2(0x25c)][_0x19d6a2(0x1a7c)]||[{'message':_0x576400[_0x19d6a2(0x147f)](),'type':_0x19d6a2(0x1a61)}];});}function _0x37c94b(){const _0x345669=_0x3caf67;_0x42c87e[_0x345669(0x1426)]();}_0x59ed87[_0x3caf67(0xda0)]=_0x37c94b,_0x59ed87['save']=_0xbc7d29;}const _0x28f5b2=_0x1c5d55;;_0x5916fd[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),_0x5537c6(0x9bf),'projects',_0x5537c6(0x1f10),_0x5537c6(0x406)];function _0x5916fd(_0x451f5a,_0x573f0f,_0x377f84,_0x16a7b2,_0x2a0598){const _0x5a6b18=_0x5537c6,_0x522254=this;_0x522254[_0x5a6b18(0x2981)]=_0x377f84,_0x522254['id']='',_0x522254[_0x5a6b18(0x2900)]=!![];function _0x4d692d(_0x2baec3){const _0x3687b0=_0x5a6b18;_0x522254[_0x3687b0(0x1a7c)]=[],_0x2baec3?(_0x451f5a[_0x3687b0(0x1426)](),_0x522254[_0x3687b0(0x2900)]?_0x16a7b2['open']('/callysquare/projects/'+_0x522254['id'],_0x3687b0(0x22ac)):_0x2a0598['go']('app.callysquare.projects.edit',{'id':_0x522254['id']})):_0x451f5a['hide']();}_0x522254[_0x5a6b18(0xda0)]=_0x4d692d;}const _0x4caa58=_0x5916fd;;_0x35338a[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'toasty',_0x5537c6(0x142b),_0x5537c6(0x12a7),_0x5537c6(0x2981),_0x5537c6(0x1ae)];function _0x35338a(_0x1ab67c,_0x387af8,_0x4618d8,_0x447865,_0x498e79,_0x2bf5c4){const _0x2f688e=_0x5537c6,_0x4017fe=this;_0x4017fe['project']=_0x447865,_0x4017fe[_0x2f688e(0x1cbc)]=0x0,_0x4017fe[_0x2f688e(0x122f)]=[],_0x4017fe['selectedItems']=[],_0x4017fe['closeDialog']=_0x390910,_0x4017fe[_0x2f688e(0x18c0)]={'readOnly':![],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x2f688e(0x16b6),'line1':_0x2f688e(0x1d14),'line2':[_0x2f688e(0x16b6),'internal'],'line3':_0x2f688e(0x1cbc),'labelAll':_0x2bf5c4[_0x2f688e(0x25cc)](_0x2f688e(0x1ef3)),'labelSelected':_0x2bf5c4['instant'](_0x2f688e(0x37d)),'transferCallback':function(_0x220abf,_0x27e7a2){const _0x343d62=_0x2f688e;_0x4618d8[_0x343d62(0xf61)][_0x27e7a2?_0x343d62(0x2284):'addUsers']({'id':_0x4017fe[_0x343d62(0x12a7)]['id'],'ids':_0x39641b()[_0x343d62(0x1de2)](_0x220abf,'id'),'penalty':_0x4017fe[_0x343d62(0x1cbc)]||0x0})[_0x343d62(0x1d77)][_0x343d62(0x1cb0)](function(){const _0x3cb1d2=_0x343d62;_0x387af8[_0x3cb1d2(0x829)]({'title':_0x3cb1d2(0x1ea4)+(_0x27e7a2?'removed':_0x3cb1d2(0x1624)),'msg':_0x3cb1d2(0x20cb)+(_0x27e7a2?_0x3cb1d2(0x503):_0x3cb1d2(0x1624))+'!'}),!_0x27e7a2&&_0x17b4dc();})[_0x343d62(0x1c4)](function(_0x484a25){const _0x1cd481=_0x343d62;_0x387af8[_0x1cd481(0x218e)]({'title':_0x484a25[_0x1cd481(0x291)]?_0x1cd481(0xeb9)+_0x484a25[_0x1cd481(0x291)]+_0x1cd481(0x1657)+_0x484a25[_0x1cd481(0xc22)]:_0x1cd481(0x239c),'msg':_0x484a25[_0x1cd481(0x291)]?JSON[_0x1cd481(0x2701)](_0x484a25[_0x1cd481(0x25c)]):_0x484a25['toString']()});});}};function _0x17b4dc(){const _0x522c67=_0x2f688e;return _0x4618d8[_0x522c67(0xf61)][_0x522c67(0x8e7)]({'id':_0x4017fe['project']['id'],'fields':_0x522c67(0x26e3),'nolimit':!![],'role':'user'})[_0x522c67(0x1d77)]['then'](function(_0x1ea354){const _0x29c3dd=_0x522c67;return _0x4017fe['selectedItems']=_0x1ea354[_0x29c3dd(0x2214)]?_0x39641b()['map'](_0x1ea354['rows'],function(_0x152d93){return _0x152d93;}):[],_0x4017fe['dualMultiselectOptions'][_0x29c3dd(0x20bb)]=_0x4017fe[_0x29c3dd(0x20bb)],_0x4618d8['user'][_0x29c3dd(0xbf7)]({'fields':_0x29c3dd(0x1eda),'role':_0x29c3dd(0xebe),'nolimit':!![]})['$promise'];})['then'](function(_0xc08786){const _0x1d44b9=_0x522c67;_0x4017fe[_0x1d44b9(0x122f)]=_0xc08786[_0x1d44b9(0x2214)]?_0x39641b()[_0x1d44b9(0x1de2)](_0xc08786['rows'],function(_0x1df310){return _0x1df310;}):[],_0x4017fe['dualMultiselectOptions'][_0x1d44b9(0x122f)]=_0x39641b()[_0x1d44b9(0x2128)](_0x4017fe[_0x1d44b9(0x122f)],_0x4017fe[_0x1d44b9(0x20bb)],'id');})[_0x522c67(0x1c4)](function(_0x2677ec){const _0x37d7d5=_0x522c67;_0x387af8[_0x37d7d5(0x218e)]({'title':_0x2677ec[_0x37d7d5(0x291)]?_0x37d7d5(0xeb9)+_0x2677ec['status']+_0x37d7d5(0x1657)+_0x2677ec[_0x37d7d5(0xc22)]:_0x37d7d5(0xa17),'msg':_0x2677ec['status']?JSON[_0x37d7d5(0x2701)](_0x2677ec['data']):_0x2677ec[_0x37d7d5(0x147f)]()});});}function _0x390910(){const _0x482a1b=_0x2f688e;_0x1ab67c[_0x482a1b(0x1426)]();}_0x17b4dc();}const _0x5ea63d=_0x35338a;;_0x25d002[_0x5537c6(0x15b6)]=['$mdDialog',_0x5537c6(0x9bf),_0x5537c6(0x12a7),_0x5537c6(0x23da),_0x5537c6(0x142b),_0x5537c6(0x1f10),_0x5537c6(0x406)];function _0x25d002(_0x50e9f4,_0x1d71c5,_0x30ae11,_0x43d387,_0x5cd4c9,_0x1e6bde,_0x454d66){const _0x567887=_0x5537c6,_0x4533a9=this;_0x4533a9[_0x567887(0x12a7)]=angular[_0x567887(0x17fe)](_0x30ae11),_0x4533a9[_0x567887(0x12a7)]['name']+=_0x567887(0x1b47),_0x4533a9[_0x567887(0x12a7)][_0x567887(0x2082)]=_0x43d387,_0x4533a9[_0x567887(0x2900)]=!![];function _0x4968c1(){const _0x1e63ec=_0x567887;delete _0x4533a9[_0x1e63ec(0x12a7)]['id'],_0x5cd4c9['squareProject']['save'](_0x4533a9['project'])[_0x1e63ec(0x1d77)][_0x1e63ec(0x1cb0)](function(_0x36102e){const _0x21ac53=_0x1e63ec;_0x1d71c5[_0x21ac53(0x829)]({'title':_0x21ac53(0xc28),'msg':_0x4533a9['project'][_0x21ac53(0x16b6)]?_0x4533a9[_0x21ac53(0x12a7)][_0x21ac53(0x16b6)]+_0x21ac53(0x470):''}),_0x50e9f4[_0x21ac53(0x1426)](_0x36102e),_0x4533a9[_0x21ac53(0x2900)]?_0x1e6bde[_0x21ac53(0x536)]('/callysquare/projects/'+_0x36102e['id'],'_blank'):_0x454d66['go']('app.callysquare.projects.edit',{'id':_0x36102e['id']});})[_0x1e63ec(0x1c4)](function(_0x134170){const _0x5f333a=_0x1e63ec;console[_0x5f333a(0x218e)](_0x134170),_0x4533a9[_0x5f333a(0x1a7c)]=_0x134170['data'][_0x5f333a(0x1a7c)]||[{'message':_0x134170[_0x5f333a(0x147f)](),'type':'api.squareProject.save'}];});}function _0x38611c(){const _0x5563a5=_0x567887;_0x50e9f4[_0x5563a5(0x1426)]();}_0x4533a9[_0x567887(0xda0)]=_0x38611c,_0x4533a9[_0x567887(0x1c3f)]=_0x4968c1;}const _0x4d9a39=_0x25d002;;const _0x1c3317=_0x5074a3['p']+_0x5537c6(0x1194);;const _0x2383aa=_0x5074a3['p']+_0x5537c6(0x16ed);;const _0x5a6437=_0x5074a3['p']+_0x5537c6(0x1330);;const _0x1c80a1=_0x5074a3['p']+_0x5537c6(0x1d30);;const _0x3ab0a8=_0x5074a3['p']+'src/js/modules/main/apps/callysquare/views/projects/edit/info/info.html/info.html';;const _0x3c9211=_0x5074a3['p']+_0x5537c6(0x1b03);;const _0x954bbe=_0x5074a3['p']+_0x5537c6(0x24a6);;_0x41e7fd[_0x5537c6(0x15b6)]=['$state',_0x5537c6(0x22bf),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),'toasty',_0x5537c6(0x142b),_0x5537c6(0x12a7),'users',_0x5537c6(0x1363),'queues',_0x5537c6(0xe28),'variables',_0x5537c6(0x1f42),_0x5537c6(0x14f6),_0x5537c6(0x2981),'intervals',_0x5537c6(0x228e),_0x5537c6(0x9b4),'lists',_0x5537c6(0x1ac),_0x5537c6(0x8de),_0x5537c6(0x2199),_0x5537c6(0x1774)];const _0x2c9e52=window[_0x5537c6(0x26e)],_0x1978a9=window['Editor'];function _0x41e7fd(_0xf42491,_0x45f5f8,_0x60f696,_0xd7daf6,_0x4c21a7,_0x530fd1,_0x18dfaa,_0x5b3697,_0x5766fb,_0x3c2ec5,_0x1668a0,_0x9f6ae4,_0x175eb0,_0x59a020,_0x3e2222,_0x476ef8,_0x389e50,_0x418409,_0x30605c,_0x144ea7,_0x5824da,_0x19acd1,_0x249c51,_0x2bdebb){const _0x5cecd5=_0x5537c6,_0x545f0f=this;_0x545f0f['currentUser']=_0x2bdebb['getCurrentUser'](),_0x545f0f[_0x5cecd5(0x12a7)]=_0x5b3697||{},_0x545f0f[_0x5cecd5(0x2199)]=_0x249c51&&_0x249c51[_0x5cecd5(0x184d)]==0x1?_0x249c51[_0x5cecd5(0x2214)][0x0]:null,_0x545f0f[_0x5cecd5(0x1b1a)]=_0x2bdebb[_0x5cecd5(0x14ea)](_0x545f0f[_0x5cecd5(0x2199)]?_0x545f0f[_0x5cecd5(0x2199)][_0x5cecd5(0x1b1a)]:null),_0x545f0f[_0x5cecd5(0x1a34)]=_0x16dcba,_0x545f0f['saveOrPublishProject']=_0x5e6d6d,_0x545f0f[_0x5cecd5(0x1219)]=_0x2bb18a,_0x545f0f[_0x5cecd5(0xdc9)]=_0x3bee66,_0x545f0f[_0x5cecd5(0x259f)]=_0x193670,_0x545f0f[_0x5cecd5(0xb22)]=_0x5e1509,_0x545f0f['newVariable']=_0x378238,_0x545f0f[_0x5cecd5(0x1ed8)]=_0x263b4a,_0x545f0f[_0x5cecd5(0x27ec)]=_0x4df8dc,_0x545f0f[_0x5cecd5(0x1c39)]=_0x4e589d,_0x545f0f['gotoProjects']=_0x154e83;function _0x16dcba(){const _0x31b22e=_0x5cecd5,_0x394224=new _0x2c9e52(_0x545f0f,new _0x1978a9(),document[_0x31b22e(0x26ee)](_0x31b22e(0x2601)));_0x394224['openString'](_0x545f0f[_0x31b22e(0x12a7)][_0x31b22e(0x2082)],_0x545f0f['project'][_0x31b22e(0x16b6)],_0x545f0f['project']);}function _0x2bb18a(_0x2cc533,_0x3a916b){const _0xdbdea5=_0x5cecd5;_0x60f696[_0xdbdea5(0xe27)]({'controller':'MxGraphDialogController','controllerAs':'vm','templateUrl':_0x1c3317,'parent':angular[_0xdbdea5(0x1853)](_0x45f5f8[_0xdbdea5(0x1ed9)]),'clickOutsideToClose':!![],'locals':{'users':_0x5766fb[_0xdbdea5(0x2214)]||[],'trunks':_0x3c2ec5[_0xdbdea5(0x2214)]||[],'queues':_0x1668a0[_0xdbdea5(0x2214)]||[],'sounds':_0x9f6ae4[_0xdbdea5(0x2214)]||[],'variables':_0x175eb0[_0xdbdea5(0x2214)]||[],'databases':_0x59a020['rows']||[],'contexts':_0x3e2222[_0xdbdea5(0x2214)]||[],'projects':_0x476ef8[_0xdbdea5(0x2214)]||[],'intervals':_0x389e50[_0xdbdea5(0x2214)]||[],'mailAccounts':_0x418409['rows']||[],'smsAccounts':_0x5824da[_0xdbdea5(0x2214)]||[],'pauses':_0x19acd1['rows']||[],'templates':_0x30605c['rows']||[],'lists':_0x144ea7[_0xdbdea5(0x2214)]||[],'cell':_0x2cc533,'saveCallback':_0x3a916b,'crudPermissions':_0x545f0f['crudPermissions']}});}function _0x3bee66(_0x3b681c){const _0x1d6952=_0x5cecd5;_0x60f696[_0x1d6952(0xe27)]({'controller':_0x1d6952(0xa9e),'controllerAs':'vm','templateUrl':_0x2383aa,'parent':angular[_0x1d6952(0x1853)](_0x45f5f8[_0x1d6952(0x1ed9)]),'clickOutsideToClose':!![],'locals':{'project':_0x3b681c,'projects':_0x476ef8['rows'],'openFromEditor':!![],'license':null,'setting':null,'crudPermissions':_0x545f0f[_0x1d6952(0x1b1a)]}});}function _0x193670(){const _0x1fa127=_0x5cecd5;_0x60f696[_0x1fa127(0xe27)]({'controller':_0x1fa127(0x15cc),'controllerAs':'vm','templateUrl':_0x5a6437,'parent':angular[_0x1fa127(0x1853)](_0x45f5f8[_0x1fa127(0x1ed9)]),'clickOutsideToClose':!![],'locals':{'projects':_0x476ef8[_0x1fa127(0x2214)]||[]}});}function _0x5e1509(_0x205d95){const _0x1ca106=_0x5cecd5;_0x60f696[_0x1ca106(0xe27)]({'controller':_0x1ca106(0x4b5),'controllerAs':'vm','templateUrl':_0x1c80a1,'parent':angular[_0x1ca106(0x1853)](_0x45f5f8[_0x1ca106(0x1ed9)]),'clickOutsideToClose':!![],'locals':{'project':_0x545f0f[_0x1ca106(0x12a7)],'currentXML':_0x205d95}})[_0x1ca106(0x1cb0)](function(_0x373210){_0x373210&&_0x476ef8['rows']['push'](_0x373210);});}function _0x4dcd0a(){return _0xd7daf6(function(_0x48059d,_0x59593f){const _0x23ee44=a0_0x5cbd;return _0x18dfaa[_0x23ee44(0x2199)][_0x23ee44(0xbf7)]({'userProfileId':_0x545f0f[_0x23ee44(0xe76)][_0x23ee44(0x13c1)],'sectionId':0x3f4})[_0x23ee44(0x1d77)][_0x23ee44(0x1cb0)](function(_0x3f89af){const _0x1d35c5=_0x23ee44,_0x157ceb=_0x3f89af[_0x1d35c5(0x2214)]?_0x3f89af[_0x1d35c5(0x2214)][0x0]:null,_0x592ad9=_0x2bdebb[_0x1d35c5(0x14ea)](_0x157ceb?_0x157ceb[_0x1d35c5(0x1b1a)]:null);_0x48059d(_0x592ad9);})[_0x23ee44(0x1c4)](function(_0x51a6fd){_0x59593f(_0x51a6fd);});});}function _0x378238(_0x12cb14){const _0xb07e1c=_0x5cecd5;return _0x4dcd0a()['then'](function(_0x8872d9){const _0xb28382=a0_0x5cbd;_0x8872d9[_0xb28382(0xb3d)]?_0x60f696[_0xb28382(0xe27)]({'controller':_0xb28382(0x15e2),'controllerAs':'vm','templateUrl':_0x4f7708,'parent':angular[_0xb28382(0x1853)](_0x45f5f8[_0xb28382(0x1ed9)]),'clickOutsideToClose':!![],'locals':{'variable':_0x12cb14,'variables':_0x175eb0[_0xb28382(0x2214)],'license':null,'setting':null,'crudPermissions':_0x8872d9}}):_0x530fd1[_0xb28382(0x28c7)]({'title':_0x4c21a7[_0xb28382(0x25cc)](_0xb28382(0x370)),'msg':_0x4c21a7[_0xb28382(0x25cc)](_0xb28382(0x247e))});})[_0xb07e1c(0x1c4)](function(_0x1374b7){const _0x660040=_0xb07e1c;_0x530fd1['error']({'title':_0x1374b7[_0x660040(0x291)]?_0x660040(0xeb9)+_0x1374b7[_0x660040(0x291)]+'\x20-\x20'+_0x1374b7['statusText']:_0x660040(0x1dea),'msg':_0x1374b7[_0x660040(0x25c)]?JSON[_0x660040(0x2701)](_0x1374b7[_0x660040(0x25c)]):_0x1374b7[_0x660040(0x147f)]()});});}function _0x263b4a(_0x2857fe){_0x60f696['show']({'controller':'MxGraphUpdateInfoController','controllerAs':'vm','templateUrl':_0x3ab0a8,'parent':angular['element'](_0x45f5f8['body']),'targetEvent':_0x2857fe,'clickOutsideToClose':!![],'locals':{'project':_0x545f0f['project']}})['then'](function(_0x84c934){const _0x5a6945=a0_0x5cbd;_0x84c934&&_0x39641b()[_0x5a6945(0x9c1)](_0x545f0f[_0x5a6945(0x12a7)],_0x84c934);});}function _0x4df8dc(_0x29cc92,_0x551333){const _0x527141=_0x5cecd5;_0x60f696[_0x527141(0xe27)]({'controller':'MxGraphEditXMLController','controllerAs':'vm','templateUrl':_0x3c9211,'parent':angular[_0x527141(0x1853)](_0x45f5f8[_0x527141(0x1ed9)]),'clickOutsideToClose':!![],'locals':{'currentXML':_0x29cc92,'saveCallback':_0x551333}})['then'](function(_0x1d87a7){const _0x1c3f6c=_0x527141;_0x1d87a7&&(_0x545f0f[_0x1c3f6c(0x12a7)][_0x1c3f6c(0x2082)]=_0x1d87a7);});}function _0x4e589d(_0x47f499){const _0x4141ab=_0x5cecd5;_0x60f696['show']({'controller':_0x4141ab(0x13b5),'controllerAs':'vm','templateUrl':_0x954bbe,'parent':angular['element'](_0x45f5f8[_0x4141ab(0x1ed9)]),'clickOutsideToClose':!![],'locals':{'saveCallback':_0x47f499}})[_0x4141ab(0x1cb0)](function(_0x48cbd8){const _0x5958a3=_0x4141ab;_0x48cbd8&&(_0x545f0f[_0x5958a3(0x12a7)][_0x5958a3(0x2082)]=_0x48cbd8);});}function _0x5e6d6d(_0x45ee4a,_0x11371d){const _0x19fe5a=_0x5cecd5,_0xc994f3={'preproduction':_0x45ee4a};_0x11371d&&(_0xc994f3[_0x19fe5a(0x10d4)]=_0x45ee4a),_0x18dfaa[_0x19fe5a(0xf61)]['update']({'id':_0x545f0f[_0x19fe5a(0x12a7)]['id']},_0xc994f3)[_0x19fe5a(0x1d77)]['then'](function(_0xe579c9){const _0x440cbd=_0x19fe5a;_0x530fd1['success']({'title':'Project\x20'+(_0x11371d?_0x440cbd(0x37a):_0x440cbd(0x167f))+'!','msg':_0x545f0f['project'][_0x440cbd(0x16b6)]?_0x545f0f['project'][_0x440cbd(0x16b6)]+_0x440cbd(0x195c)+(_0x11371d?'published':_0x440cbd(0x167f))+'!':''}),_0x545f0f['project']['preproduction']=_0xe579c9['preproduction'];})[_0x19fe5a(0x1c4)](function(_0x4bfaec){const _0x342496=_0x19fe5a;_0x530fd1['error']({'title':_0x4bfaec['status']?_0x342496(0xeb9)+_0x4bfaec[_0x342496(0x291)]+_0x342496(0x1657)+_0x4bfaec[_0x342496(0xc22)]:_0x342496(0x1490),'msg':_0x4bfaec['data']?JSON[_0x342496(0x2701)](_0x4bfaec[_0x342496(0x25c)]):_0x4bfaec[_0x342496(0x147f)]()});});}function _0x154e83(){const _0x36bfab=_0x5cecd5;_0xf42491['go'](_0x36bfab(0x74f),{},{'reload':'app.callysquare.projects'});}}const _0x1ebd45=_0x41e7fd;;_0x22533b['$inject']=[_0x5537c6(0x1463),'$state',_0x5537c6(0x417),'projects',_0x5537c6(0x44a),'userProfileSection','api',_0x5537c6(0xde8),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x2168),'toasty','Auth'];function _0x22533b(_0x28d7b2,_0x182fa3,_0x361d49,_0x36d6c5,_0x985380,_0xdab03f,_0x1ccf62,_0x39fb03,_0x1f89bd,_0x12d119,_0x37a94a,_0x4e0db9,_0x698625){const _0x8fdf26=_0x5537c6,_0x4cd9cc=this;_0x4cd9cc[_0x8fdf26(0xe76)]=_0x698625['getCurrentUser'](),_0x4cd9cc['projects']=_0x36d6c5||{'count':0x0,'rows':[]},_0x4cd9cc['userProfile']=_0x985380,_0x4cd9cc[_0x8fdf26(0x2199)]=_0xdab03f&&_0xdab03f['count']==0x1?_0xdab03f[_0x8fdf26(0x2214)][0x0]:null,_0x4cd9cc[_0x8fdf26(0x1b1a)]=_0x698625[_0x8fdf26(0x14ea)](_0x4cd9cc[_0x8fdf26(0x2199)]?_0x4cd9cc[_0x8fdf26(0x2199)][_0x8fdf26(0x1b1a)]:null),_0x4cd9cc[_0x8fdf26(0xc83)]=_0x8fdf26(0x2981),_0x4cd9cc[_0x8fdf26(0x1d20)]='',_0x4cd9cc[_0x8fdf26(0x1cdf)]=null,_0x4cd9cc[_0x8fdf26(0x166a)]=[],_0x4cd9cc[_0x8fdf26(0xae2)]={'fields':_0x8fdf26(0x563),'sort':'-updatedAt','limit':0xa,'page':0x1},_0x4cd9cc[_0x8fdf26(0x235d)]=_0x208f81,_0x4cd9cc['deleteconfirm']=_0x3629fa,_0x4cd9cc[_0x8fdf26(0x829)]=_0x31b679,_0x4cd9cc[_0x8fdf26(0x1aff)]=_0xa5a73f,_0x4cd9cc[_0x8fdf26(0x1559)]=_0x459297,_0x4cd9cc[_0x8fdf26(0x1e66)]=_0x4c5488,_0x4cd9cc[_0x8fdf26(0x1a18)]=_0x42d858,_0x4cd9cc['deleteSelectedProjects']=_0x8a06a9,_0x4cd9cc[_0x8fdf26(0x706)]=_0x3f9aa1,_0x4cd9cc[_0x8fdf26(0x19cd)]=_0x1ab11f;function _0x208f81(_0x346b9c){const _0x313951=_0x8fdf26;_0x182fa3['go'](_0x313951(0x291d),{'id':_0x346b9c['id'],'crudPermissions':_0x4cd9cc[_0x313951(0x1b1a)]});}function _0x3629fa(_0x537315,_0x2362f3){const _0x2354bf=_0x8fdf26,_0x42fef8=_0x1f89bd[_0x2354bf(0x1551)]()[_0x2354bf(0x1386)](_0x2354bf(0x14ff))[_0x2354bf(0x49e)](_0x2354bf(0x204d)+_0x537315['name']+_0x2354bf(0x1200)+_0x2354bf(0x1b6))[_0x2354bf(0x15ad)](_0x2354bf(0x19d))['targetEvent'](_0x2362f3)['ok']('OK')[_0x2354bf(0x696)](_0x2354bf(0x24ba));_0x1f89bd[_0x2354bf(0xe27)](_0x42fef8)[_0x2354bf(0x1cb0)](function(){_0x4c5488(_0x537315);},function(){const _0x4c815e=_0x2354bf;console[_0x4c815e(0x1b4f)](_0x4c815e(0x24ba));});}let _0x3683a5=!![],_0x4c6d3b=0x1;_0x28d7b2[_0x8fdf26(0x614)]('vm.query.filter',function(_0x102fb7,_0x283fcc){const _0x81812e=_0x8fdf26;_0x3683a5?_0x37a94a(function(){_0x3683a5=![];}):(!_0x283fcc&&(_0x4c6d3b=_0x4cd9cc[_0x81812e(0xae2)][_0x81812e(0x1c7b)]),_0x102fb7!==_0x283fcc&&(_0x4cd9cc[_0x81812e(0xae2)][_0x81812e(0x1c7b)]=0x1),!_0x102fb7&&(_0x4cd9cc['query'][_0x81812e(0x1c7b)]=_0x4c6d3b),_0x4cd9cc[_0x81812e(0x1aff)]());});function _0x31b679(_0x24d382){_0x4cd9cc['projects']=_0x24d382||{'count':0x0,'rows':[]};}function _0xa5a73f(){const _0x49b868=_0x8fdf26;_0x4cd9cc[_0x49b868(0xae2)][_0x49b868(0x184b)]=(_0x4cd9cc[_0x49b868(0xae2)][_0x49b868(0x1c7b)]-0x1)*_0x4cd9cc[_0x49b868(0xae2)][_0x49b868(0x236)],_0x698625['hasRole'](_0x49b868(0x1c60))?_0x4cd9cc[_0x49b868(0x2061)]=_0x1ccf62[_0x49b868(0xf61)]['get'](_0x4cd9cc['query'],_0x31b679)[_0x49b868(0x1d77)]:(_0x4cd9cc[_0x49b868(0xae2)]['id']=_0x4cd9cc[_0x49b868(0x44a)]['id'],_0x4cd9cc[_0x49b868(0xae2)][_0x49b868(0x1f74)]=_0x49b868(0x1165),_0x4cd9cc[_0x49b868(0x2061)]=_0x1ccf62[_0x49b868(0x44a)]['getResources'](_0x4cd9cc[_0x49b868(0xae2)],_0x31b679)[_0x49b868(0x1d77)]);}function _0x459297(_0x1f9012,_0xac0498){const _0x348585=_0x8fdf26;_0x1f89bd[_0x348585(0xe27)]({'controller':'CreateOrEditSquareProjectDialogController','controllerAs':'vm','templateUrl':_0x2383aa,'parent':angular[_0x348585(0x1853)](_0x12d119[_0x348585(0x1ed9)]),'targetEvent':_0x1f9012,'clickOutsideToClose':!![],'locals':{'project':_0xac0498,'projects':_0x4cd9cc[_0x348585(0x2981)]['rows'],'openFromEditor':null,'setting':null,'crudPermissions':_0x4cd9cc[_0x348585(0x1b1a)]}});}function _0x4c5488(_0x2c1357){const _0x4d306a=_0x8fdf26;_0x1ccf62['squareProject'][_0x4d306a(0x111d)]({'id':_0x2c1357['id']})[_0x4d306a(0x1d77)][_0x4d306a(0x1cb0)](function(){const _0x108a7e=_0x4d306a;_0x39641b()[_0x108a7e(0x152a)](_0x4cd9cc[_0x108a7e(0x2981)]['rows'],{'id':_0x2c1357['id']}),_0x4cd9cc[_0x108a7e(0x2981)][_0x108a7e(0x184d)]-=0x1,!_0x4cd9cc[_0x108a7e(0x2981)][_0x108a7e(0x2214)][_0x108a7e(0xfd0)]&&_0x4cd9cc[_0x108a7e(0x1aff)](),_0x4e0db9['success']({'title':_0x108a7e(0x414),'msg':_0x2c1357[_0x108a7e(0x16b6)]?_0x2c1357['name']+'\x20has\x20been\x20deleted!':''});})['catch'](function(_0x315cb3){const _0x37ef94=_0x4d306a;if(_0x315cb3['data']&&_0x315cb3[_0x37ef94(0x25c)][_0x37ef94(0x1a7c)]&&_0x315cb3[_0x37ef94(0x25c)][_0x37ef94(0x1a7c)]['length']){_0x4cd9cc[_0x37ef94(0x1a7c)]=_0x315cb3[_0x37ef94(0x25c)][_0x37ef94(0x1a7c)]||[{'message':_0x315cb3['toString'](),'type':_0x37ef94(0x8cc)}];for(let _0x365590=0x0;_0x365590<_0x315cb3[_0x37ef94(0x25c)][_0x37ef94(0x1a7c)][_0x37ef94(0xfd0)];_0x365590++){_0x4e0db9[_0x37ef94(0x218e)]({'title':_0x315cb3[_0x37ef94(0x25c)][_0x37ef94(0x1a7c)][_0x365590]['type'],'msg':_0x315cb3[_0x37ef94(0x25c)][_0x37ef94(0x1a7c)][_0x365590][_0x37ef94(0x155e)]});}}else _0x4e0db9[_0x37ef94(0x218e)]({'title':_0x315cb3[_0x37ef94(0x291)]?_0x37ef94(0xeb9)+_0x315cb3[_0x37ef94(0x291)]+'\x20-\x20'+_0x315cb3['statusText']:_0x37ef94(0x8cc),'msg':_0x315cb3[_0x37ef94(0x25c)]?JSON['stringify'](_0x315cb3['data']['message']):_0x315cb3[_0x37ef94(0x155e)]||_0x315cb3[_0x37ef94(0x147f)]()});});}function _0x42d858(){const _0x6daad3=_0x8fdf26,_0x2d6e9d=angular[_0x6daad3(0x17fe)](_0x4cd9cc[_0x6daad3(0x166a)]);return _0x4cd9cc[_0x6daad3(0x166a)]=[],_0x2d6e9d;}function _0x8a06a9(_0x15609f){const _0x49efa3=_0x8fdf26,_0x20bef8=_0x1f89bd[_0x49efa3(0x1551)]()[_0x49efa3(0x1386)](_0x49efa3(0xc2d))[_0x49efa3(0x49e)](_0x49efa3(0x204d)+_0x4cd9cc['selectedProjects'][_0x49efa3(0xfd0)]+_0x49efa3(0x1d6c)+'\x20will\x20be\x20deleted.')[_0x49efa3(0x15ad)](_0x49efa3(0x2399))['targetEvent'](_0x15609f)['ok']('OK')[_0x49efa3(0x696)]('CANCEL');_0x1f89bd[_0x49efa3(0xe27)](_0x20bef8)['then'](function(){const _0x17a7b1=_0x49efa3;_0x4cd9cc['selectedProjects'][_0x17a7b1(0xf90)](function(_0x1d831e){_0x4c5488(_0x1d831e);}),_0x4cd9cc['selectedProjects']=[];});}function _0x3f9aa1(){_0x4cd9cc['selectedProjects']=[];}function _0x1ab11f(){const _0x3a000b=_0x8fdf26;_0x4cd9cc[_0x3a000b(0x166a)]=_0x4cd9cc[_0x3a000b(0x2981)][_0x3a000b(0x2214)];}}const _0x4787e2=_0x22533b;;_0x49aeea[_0x5537c6(0x15b6)]=[_0x5537c6(0xbd6)];function _0x49aeea(_0x5c286d){const _0x10f872=_0x5537c6;_0x5c286d[_0x10f872(0x27e0)]('app.callysquare.projects',{'url':'/projects','views':{'content@app':{'templateUrl':_0x33673d,'controller':'ProjectsController\x20as\x20vm'}},'resolve':{'projects':[_0x10f872(0x1e0b),_0x10f872(0x1774),function(_0x353fa4,_0x176986){const _0x9891c3=_0x10f872;return _0x176986[_0x9891c3(0x22b6)](_0x9891c3(0x1c60))?_0x353fa4[_0x9891c3(0x19a3)](_0x9891c3(0x395),{'fields':_0x9891c3(0x563),'sort':_0x9891c3(0x16b6),'limit':0xa,'offset':0x0}):_0x353fa4[_0x9891c3(0x19a3)](_0x9891c3(0x12da),{'id':_0x176986[_0x9891c3(0x21e8)]()[_0x9891c3(0x13c1)],'section':_0x9891c3(0x1165),'fields':_0x9891c3(0x563),'sort':_0x9891c3(0x16b6),'limit':0xa,'offset':0x0});}],'userProfile':[_0x10f872(0x1e0b),_0x10f872(0x1774),function(_0x3aacb4,_0x47ce30){const _0x1f01f1=_0x10f872;return _0x3aacb4['resolve'](_0x1f01f1(0x9ae),{'fields':_0x1f01f1(0x279),'id':_0x47ce30[_0x1f01f1(0x21e8)]()['userProfileId']});}],'userProfileSection':['apiResolver',_0x10f872(0x1774),function(_0x5fa669,_0x24855c){const _0x37630d=_0x10f872;return _0x5fa669[_0x37630d(0x19a3)](_0x37630d(0x2182),{'fields':_0x37630d(0x1f5f),'userProfileId':_0x24855c[_0x37630d(0x21e8)]()[_0x37630d(0x13c1)],'sectionId':0x44f});}]},'authenticate':!![],'permissionId':0x44f,'bodyClass':'callysquare'})['state'](_0x10f872(0x291d),{'url':_0x10f872(0x1906),'views':{'content@app':{'templateUrl':_0x1c69e4,'controller':'ProjectController\x20as\x20vm'}},'params':{'crudPermissions':undefined},'resolve':{'project':[_0x10f872(0x1e0b),_0x10f872(0x225c),function(_0x22247b,_0x157e76){const _0x351a5d=_0x10f872;return _0x22247b[_0x351a5d(0x19a3)]('squareProject@get',{'id':_0x157e76['id']});}],'users':[_0x10f872(0x1e0b),'Auth',function(_0x2e26f4,_0x41b5b0){const _0x462408=_0x10f872;return _0x41b5b0['hasRole'](_0x462408(0x1c60))?_0x2e26f4[_0x462408(0x19a3)]('user@get',{'fields':_0x462408(0x1db1),'sort':'name','nolimit':!![]}):_0x2e26f4['resolve']('userProfile@getResources',{'id':_0x41b5b0[_0x462408(0x21e8)]()[_0x462408(0x13c1)],'section':_0x462408(0x2653),'mergeResources':!![],'fields':_0x462408(0x1db1),'sort':_0x462408(0x16b6),'nolimit':!![]});}],'trunks':['apiResolver',_0x10f872(0x1774),function(_0x273768,_0x28f3e3){const _0x2dfcf3=_0x10f872;return _0x28f3e3[_0x2dfcf3(0x22b6)](_0x2dfcf3(0x1c60))?_0x273768['resolve'](_0x2dfcf3(0x99d),{'fields':_0x2dfcf3(0x43c),'sort':_0x2dfcf3(0x16b6),'nolimit':!![]}):_0x273768[_0x2dfcf3(0x19a3)]('userProfile@getResources',{'id':_0x28f3e3[_0x2dfcf3(0x21e8)]()[_0x2dfcf3(0x13c1)],'section':'Trunks','fields':_0x2dfcf3(0x43c),'sort':_0x2dfcf3(0x16b6),'nolimit':!![]});}],'queues':['apiResolver',_0x10f872(0x1774),function(_0x514081,_0x346290){const _0x40c521=_0x10f872;return _0x346290[_0x40c521(0x22b6)]('admin')?_0x514081[_0x40c521(0x19a3)](_0x40c521(0x1c4d),{'type':'inbound','fields':_0x40c521(0x43c),'sort':'name','nolimit':!![]}):_0x514081[_0x40c521(0x19a3)]('userProfile@getResources',{'id':_0x346290[_0x40c521(0x21e8)]()['userProfileId'],'section':_0x40c521(0x2382),'type':_0x40c521(0x26c0),'fields':_0x40c521(0x43c),'sort':_0x40c521(0x16b6),'nolimit':!![]});}],'sounds':[_0x10f872(0x1e0b),_0x10f872(0x1774),function(_0x2163db,_0x430cdf){const _0x3f573b=_0x10f872;return _0x430cdf[_0x3f573b(0x22b6)]('admin')?_0x2163db[_0x3f573b(0x19a3)](_0x3f573b(0x1de3),{'fields':_0x3f573b(0x43c),'sort':_0x3f573b(0x16b6),'nolimit':!![]}):_0x2163db[_0x3f573b(0x19a3)](_0x3f573b(0x12da),{'id':_0x430cdf['getCurrentUser']()[_0x3f573b(0x13c1)],'section':_0x3f573b(0x19f5),'fields':_0x3f573b(0x43c),'sort':_0x3f573b(0x16b6),'nolimit':!![]});}],'variables':[_0x10f872(0x1e0b),_0x10f872(0x1774),function(_0x952b8e,_0x13a881){const _0x3ff8fc=_0x10f872;return _0x13a881[_0x3ff8fc(0x22b6)](_0x3ff8fc(0x1c60))?_0x952b8e[_0x3ff8fc(0x19a3)]('variable@get',{'fields':_0x3ff8fc(0x43c),'sort':_0x3ff8fc(0x16b6),'nolimit':!![]}):_0x952b8e[_0x3ff8fc(0x19a3)](_0x3ff8fc(0x12da),{'id':_0x13a881['getCurrentUser']()['userProfileId'],'section':_0x3ff8fc(0x1afe),'fields':_0x3ff8fc(0x43c),'sort':'name','nolimit':!![]});}],'databases':[_0x10f872(0x1e0b),_0x10f872(0x1774),function(_0x264b3c,_0x513eca){const _0x1b3620=_0x10f872;return _0x513eca[_0x1b3620(0x22b6)](_0x1b3620(0x1c60))?_0x264b3c[_0x1b3620(0x19a3)](_0x1b3620(0x206a),{'fields':_0x1b3620(0x43c),'sort':'name','nolimit':!![]}):_0x264b3c[_0x1b3620(0x19a3)]('userProfile@getResources',{'id':_0x513eca[_0x1b3620(0x21e8)]()[_0x1b3620(0x13c1)],'section':_0x1b3620(0x2fa),'fields':_0x1b3620(0x43c),'sort':_0x1b3620(0x16b6),'nolimit':!![]});}],'projects':[_0x10f872(0x1e0b),'Auth',function(_0x5a26e4,_0x45d2a3){const _0x1af3a8=_0x10f872;return _0x45d2a3[_0x1af3a8(0x22b6)](_0x1af3a8(0x1c60))?_0x5a26e4['resolve']('squareProject@get',{'fields':_0x1af3a8(0x43c),'sort':'name','nolimit':!![]}):_0x5a26e4[_0x1af3a8(0x19a3)](_0x1af3a8(0x12da),{'id':_0x45d2a3[_0x1af3a8(0x21e8)]()[_0x1af3a8(0x13c1)],'section':_0x1af3a8(0x1165),'fields':_0x1af3a8(0x43c),'sort':_0x1af3a8(0x16b6),'nolimit':!![]});}],'contexts':['apiResolver',_0x10f872(0x1774),function(_0x3278d5,_0x32fb2a){const _0x2803a6=_0x10f872;return _0x32fb2a[_0x2803a6(0x22b6)](_0x2803a6(0x1c60))?_0x3278d5[_0x2803a6(0x19a3)]('voiceContext@get',{'fields':_0x2803a6(0x43c),'sort':'name','nolimit':!![]}):_0x3278d5[_0x2803a6(0x19a3)](_0x2803a6(0x12da),{'id':_0x32fb2a[_0x2803a6(0x21e8)]()['userProfileId'],'section':'Contexts','fields':_0x2803a6(0x43c),'sort':_0x2803a6(0x16b6),'nolimit':!![]});}],'intervals':[_0x10f872(0x1e0b),'Auth',function(_0x4bfa23,_0x2e0914){const _0x47dded=_0x10f872;return _0x2e0914['hasRole'](_0x47dded(0x1c60))?_0x4bfa23[_0x47dded(0x19a3)]('interval@get',{'fields':_0x47dded(0x2904),'sort':_0x47dded(0x16b6),'nolimit':!![]}):_0x4bfa23[_0x47dded(0x19a3)](_0x47dded(0x12da),{'id':_0x2e0914[_0x47dded(0x21e8)]()[_0x47dded(0x13c1)],'section':_0x47dded(0xc69),'fields':_0x47dded(0x2904),'sort':_0x47dded(0x16b6),'nolimit':!![]});}],'mailAccounts':[_0x10f872(0x1e0b),_0x10f872(0x1774),function(_0x24808c,_0x2d358a){const _0x18346c=_0x10f872;return _0x2d358a['hasRole'](_0x18346c(0x1c60))?_0x24808c['resolve']('mailAccount@get',{'fields':_0x18346c(0x43c),'sort':_0x18346c(0x16b6),'nolimit':!![]}):_0x24808c[_0x18346c(0x19a3)](_0x18346c(0x12da),{'id':_0x2d358a[_0x18346c(0x21e8)]()[_0x18346c(0x13c1)],'section':'MailAccounts','fields':'id,name','sort':_0x18346c(0x16b6),'nolimit':!![]});}],'templates':[_0x10f872(0x1e0b),'Auth',function(_0x47e12f,_0x5a28ec){const _0x13f5a6=_0x10f872;return _0x5a28ec['hasRole'](_0x13f5a6(0x1c60))?_0x47e12f[_0x13f5a6(0x19a3)](_0x13f5a6(0x215f),{'fields':'id,name','sort':_0x13f5a6(0x16b6),'nolimit':!![]}):_0x47e12f[_0x13f5a6(0x19a3)](_0x13f5a6(0x12da),{'id':_0x5a28ec[_0x13f5a6(0x21e8)]()[_0x13f5a6(0x13c1)],'section':_0x13f5a6(0x2195),'fields':_0x13f5a6(0x43c),'sort':'name','nolimit':!![]});}],'lists':[_0x10f872(0x1e0b),_0x10f872(0x1774),function(_0x445a5e,_0x503f03){const _0x4fcebf=_0x10f872;return _0x503f03[_0x4fcebf(0x22b6)](_0x4fcebf(0x1c60))?_0x445a5e[_0x4fcebf(0x19a3)](_0x4fcebf(0x1b1f),{'fields':_0x4fcebf(0x43c),'sort':'name','nolimit':!![]}):_0x445a5e['resolve'](_0x4fcebf(0x12da),{'id':_0x503f03[_0x4fcebf(0x21e8)]()[_0x4fcebf(0x13c1)],'section':_0x4fcebf(0x191f),'fields':'id,name','sort':_0x4fcebf(0x16b6),'nolimit':!![]});}],'smsAccounts':[_0x10f872(0x1e0b),_0x10f872(0x1774),function(_0x19a712,_0x8452a4){const _0x3ee6b3=_0x10f872;return _0x8452a4[_0x3ee6b3(0x22b6)](_0x3ee6b3(0x1c60))?_0x19a712[_0x3ee6b3(0x19a3)]('smsAccount@get',{'fields':_0x3ee6b3(0x43c),'sort':_0x3ee6b3(0x16b6),'nolimit':!![]}):_0x19a712[_0x3ee6b3(0x19a3)](_0x3ee6b3(0x12da),{'id':_0x8452a4[_0x3ee6b3(0x21e8)]()[_0x3ee6b3(0x13c1)],'section':_0x3ee6b3(0x1b3f),'fields':_0x3ee6b3(0x43c),'sort':_0x3ee6b3(0x16b6),'nolimit':!![]});}],'pauses':['apiResolver',_0x10f872(0x1774),function(_0x27d029,_0x243c1b){const _0x14be9e=_0x10f872;return _0x243c1b[_0x14be9e(0x22b6)](_0x14be9e(0x1c60))?_0x27d029[_0x14be9e(0x19a3)]('pause@get',{'fields':'id,name','sort':'name','nolimit':!![]}):_0x27d029[_0x14be9e(0x19a3)]('userProfile@getResources',{'id':_0x243c1b[_0x14be9e(0x21e8)]()[_0x14be9e(0x13c1)],'section':_0x14be9e(0x15f1),'fields':'id,name','sort':_0x14be9e(0x16b6),'nolimit':!![]});}],'userProfileSection':[_0x10f872(0x1e0b),_0x10f872(0x1774),function(_0x25e6fc,_0x492e4b){const _0x516666=_0x10f872;return _0x25e6fc['resolve'](_0x516666(0x2182),{'fields':_0x516666(0x1f5f),'userProfileId':_0x492e4b['getCurrentUser']()[_0x516666(0x13c1)],'sectionId':0x44f});}]},'authenticate':!![],'permissionId':0x44f,'bodyClass':_0x10f872(0xe18)});}angular[_0x5537c6(0x9ab)]('app.callysquare.projects',[])[_0x5537c6(0xa60)](_0x49aeea)['controller'](_0x5537c6(0xa9e),_0x3986c1)['controller']('MxGraphDialogController',_0x4fd633)[_0x5537c6(0x2838)](_0x5537c6(0x1ba5),_0x10ac0d)['factory'](_0x5537c6(0x144f),_0x12b8ee)[_0x5537c6(0x234a)](_0x5537c6(0x212f),_0x172106)['factory'](_0x5537c6(0x11c8),_0x2f7eb7)[_0x5537c6(0x234a)](_0x5537c6(0x20bf),_0x179347)['factory'](_0x5537c6(0x18a4),_0x522ebf)['factory'](_0x5537c6(0x1d4),_0x2138e7)[_0x5537c6(0x234a)](_0x5537c6(0x2508),_0x4f9f25)['factory'](_0x5537c6(0x282d),_0x325649)[_0x5537c6(0x234a)]('awsLexRegion',_0x23e106)[_0x5537c6(0x234a)](_0x5537c6(0x1829),_0x6e3880)[_0x5537c6(0x234a)](_0x5537c6(0x13c8),_0x49ef41)[_0x5537c6(0x6e5)]('MxGraphEditXMLController',_0x2cad9d)[_0x5537c6(0x6e5)](_0x5537c6(0x13b5),_0xb268c0)['controller'](_0x5537c6(0x187f),_0x28f5b2)[_0x5537c6(0x6e5)](_0x5537c6(0x15cc),_0x4caa58)['controller'](_0x5537c6(0x1e0c),_0x5ea63d)[_0x5537c6(0x6e5)](_0x5537c6(0x4b5),_0x4d9a39)[_0x5537c6(0x6e5)]('ProjectController',_0x1ebd45)['controller'](_0x5537c6(0x8fb),_0x4787e2);;const _0x667349=_0x5074a3['p']+_0x5537c6(0x1a57);;const _0x2b10fd=_0x5074a3['p']+'src/js/modules/main/apps/callysquare/views/squareRecordings/squareRecordings.html/squareRecordings.html';;_0x8f7ceb[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$state',_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),'toasty',_0x5537c6(0x97b),_0x5537c6(0x1bde),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x8f7ceb(_0x21c5d0,_0x1b912a,_0x50c6b4,_0xf7e3d,_0x38055b,_0x5ec039,_0x5efc8e,_0x98b337,_0x31ea27,_0x115cb9,_0x388252,_0x173327,_0x5aa608,_0x5d5d73){const _0x12554a=_0x5537c6,_0x418ab8=this;_0x418ab8[_0x12554a(0xe76)]=_0x388252['getCurrentUser'](),_0x418ab8[_0x12554a(0x1a7c)]=[],_0x418ab8[_0x12554a(0x9ca)]=_0x5aa608,_0x418ab8[_0x12554a(0x8a5)]=_0x173327,_0x418ab8[_0x12554a(0x1b1a)]=_0x5d5d73,_0x418ab8[_0x12554a(0xf4c)]={},_0x418ab8[_0x12554a(0x1b0c)]=_0x418ab8[_0x12554a(0x9ca)]&&_0x418ab8['setting'][_0x12554a(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x418ab8['title']='CALLYSQUARE.EDIT_ODBC',_0x418ab8['odbc']=angular['copy'](_0x31ea27),_0x418ab8['odbcs']=_0x98b337,_0x418ab8['newOdbc']=![];!_0x418ab8['odbc']&&(_0x418ab8[_0x12554a(0x1bde)]={},_0x418ab8[_0x12554a(0x1386)]='CALLYSQUARE.NEW_ODBC',_0x418ab8[_0x12554a(0x1e0a)]=!![]);_0x418ab8[_0x12554a(0x336)]=_0x9d90f4,_0x418ab8[_0x12554a(0x23c8)]=_0x33e40e,_0x418ab8[_0x12554a(0x1cd1)]=_0x3b1a0d,_0x418ab8[_0x12554a(0x2c4)]=_0x5152a6,_0x418ab8[_0x12554a(0xda0)]=_0x368ad2;function _0x9d90f4(){const _0xe2759f=_0x12554a;_0x418ab8[_0xe2759f(0x1a7c)]=[],_0x115cb9[_0xe2759f(0xd7f)][_0xe2759f(0x1c3f)](_0x418ab8['odbc'])[_0xe2759f(0x1d77)][_0xe2759f(0x1cb0)](function(_0x345944){const _0x1a1d7f=_0xe2759f;_0x418ab8[_0x1a1d7f(0x97b)]['unshift'](_0x345944['toJSON']()),_0x5efc8e[_0x1a1d7f(0x829)]({'title':_0x1a1d7f(0x110a),'msg':_0x418ab8[_0x1a1d7f(0x1bde)][_0x1a1d7f(0x16b6)]?_0x418ab8['odbc']['name']+_0x1a1d7f(0x470):''}),_0x368ad2(_0x345944);})[_0xe2759f(0x1c4)](function(_0x3642fd){const _0x1d0423=_0xe2759f;if(_0x3642fd[_0x1d0423(0x25c)]&&_0x3642fd[_0x1d0423(0x25c)]['errors']&&_0x3642fd['data'][_0x1d0423(0x1a7c)][_0x1d0423(0xfd0)]){_0x418ab8[_0x1d0423(0x1a7c)]=_0x3642fd[_0x1d0423(0x25c)]['errors']||[{'message':_0x3642fd[_0x1d0423(0x147f)](),'type':_0x1d0423(0x249c)}];for(let _0x2d80a2=0x0;_0x2d80a2<_0x3642fd['data'][_0x1d0423(0x1a7c)][_0x1d0423(0xfd0)];_0x2d80a2+=0x1){_0x5efc8e[_0x1d0423(0x218e)]({'title':_0x3642fd[_0x1d0423(0x25c)]['errors'][_0x2d80a2]['type'],'msg':_0x3642fd[_0x1d0423(0x25c)][_0x1d0423(0x1a7c)][_0x2d80a2][_0x1d0423(0x155e)]});}}else _0x5efc8e[_0x1d0423(0x218e)]({'title':_0x3642fd[_0x1d0423(0x291)]?_0x1d0423(0xeb9)+_0x3642fd[_0x1d0423(0x291)]+_0x1d0423(0x1657)+_0x3642fd[_0x1d0423(0xc22)]:'api.squareOdbc.save','msg':_0x3642fd[_0x1d0423(0x25c)]?JSON['stringify'](_0x3642fd[_0x1d0423(0x25c)][_0x1d0423(0x155e)]):_0x3642fd[_0x1d0423(0x147f)]()});});}function _0x33e40e(){const _0x5120b6=_0x12554a;_0x418ab8[_0x5120b6(0x1a7c)]=[],_0x115cb9[_0x5120b6(0xd7f)]['update']({'id':_0x418ab8['odbc']['id']},_0x418ab8[_0x5120b6(0x1bde)])[_0x5120b6(0x1d77)][_0x5120b6(0x1cb0)](function(_0x2e0606){const _0x25c7a7=_0x5120b6,_0x1be994=_0x39641b()[_0x25c7a7(0x13b4)](_0x418ab8[_0x25c7a7(0x97b)],{'id':_0x2e0606['id']});_0x1be994&&_0x39641b()['merge'](_0x1be994,_0x39641b()[_0x25c7a7(0x169b)](_0x2e0606[_0x25c7a7(0x19b2)](),_0x39641b()[_0x25c7a7(0x1be5)](_0x1be994))),_0x5efc8e[_0x25c7a7(0x829)]({'title':_0x25c7a7(0x21c),'msg':_0x418ab8[_0x25c7a7(0x1bde)]['name']?_0x418ab8[_0x25c7a7(0x1bde)][_0x25c7a7(0x16b6)]+_0x25c7a7(0xedb):''}),_0x368ad2(_0x2e0606);})[_0x5120b6(0x1c4)](function(_0x38c40a){const _0x79ea14=_0x5120b6;if(_0x38c40a['data']&&_0x38c40a['data'][_0x79ea14(0x1a7c)]&&_0x38c40a[_0x79ea14(0x25c)][_0x79ea14(0x1a7c)]['length']){_0x418ab8[_0x79ea14(0x1a7c)]=_0x38c40a[_0x79ea14(0x25c)][_0x79ea14(0x1a7c)]||[{'message':_0x38c40a['toString'](),'type':_0x79ea14(0x1282)}];for(let _0x266503=0x0;_0x266503<_0x38c40a[_0x79ea14(0x25c)][_0x79ea14(0x1a7c)][_0x79ea14(0xfd0)];_0x266503++){_0x5efc8e['error']({'title':_0x38c40a['data'][_0x79ea14(0x1a7c)][_0x266503][_0x79ea14(0x66a)],'msg':_0x38c40a[_0x79ea14(0x25c)]['errors'][_0x266503]['message']});}}else _0x5efc8e[_0x79ea14(0x218e)]({'title':_0x38c40a[_0x79ea14(0x291)]?_0x79ea14(0xeb9)+_0x38c40a[_0x79ea14(0x291)]+'\x20-\x20'+_0x38c40a['statusText']:_0x79ea14(0x1282),'msg':_0x38c40a['data']?JSON[_0x79ea14(0x2701)](_0x38c40a[_0x79ea14(0x25c)][_0x79ea14(0x155e)]):_0x38c40a[_0x79ea14(0x147f)]()});});}function _0x3b1a0d(_0x3a2495){const _0x27c47a=_0x12554a;_0x418ab8[_0x27c47a(0x1a7c)]=[];const _0x37593d=_0xf7e3d[_0x27c47a(0x1551)]()[_0x27c47a(0x1386)](_0x27c47a(0x1a2e))['content'](_0x27c47a(0x1789))['ariaLabel'](_0x27c47a(0x120d))['ok'](_0x27c47a(0x2594))['cancel'](_0x27c47a(0xde1))['targetEvent'](_0x3a2495);_0xf7e3d[_0x27c47a(0xe27)](_0x37593d)['then'](function(){const _0x4fd55a=_0x27c47a;_0x115cb9['squareOdbc'][_0x4fd55a(0x111d)]({'id':_0x418ab8[_0x4fd55a(0x1bde)]['id']})[_0x4fd55a(0x1d77)]['then'](function(){const _0x30bd78=_0x4fd55a;_0x39641b()[_0x30bd78(0x152a)](_0x418ab8[_0x30bd78(0x97b)],{'id':_0x418ab8['odbc']['id']}),_0x5efc8e['success']({'title':_0x30bd78(0x1e61),'msg':(_0x418ab8[_0x30bd78(0x1bde)][_0x30bd78(0x16b6)]||'odbc')+_0x30bd78(0x3f5)}),_0x368ad2(_0x418ab8['odbc']);})[_0x4fd55a(0x1c4)](function(_0x37190a){const _0x144f34=_0x4fd55a;if(_0x37190a[_0x144f34(0x25c)]&&_0x37190a[_0x144f34(0x25c)][_0x144f34(0x1a7c)]&&_0x37190a[_0x144f34(0x25c)][_0x144f34(0x1a7c)][_0x144f34(0xfd0)]){_0x418ab8[_0x144f34(0x1a7c)]=_0x37190a[_0x144f34(0x25c)][_0x144f34(0x1a7c)]||[{'message':_0x37190a['toString'](),'type':_0x144f34(0x7f3)}];for(let _0x361ede=0x0;_0x361ede<_0x37190a['data']['errors']['length'];_0x361ede++){_0x5efc8e[_0x144f34(0x218e)]({'title':_0x37190a[_0x144f34(0x25c)][_0x144f34(0x1a7c)][_0x361ede][_0x144f34(0x66a)],'msg':_0x37190a['data']['errors'][_0x361ede][_0x144f34(0x155e)]});}}else _0x5efc8e[_0x144f34(0x218e)]({'title':_0x37190a[_0x144f34(0x291)]?_0x144f34(0xeb9)+_0x37190a[_0x144f34(0x291)]+_0x144f34(0x1657)+_0x37190a[_0x144f34(0xc22)]:_0x144f34(0x7f3),'msg':_0x37190a[_0x144f34(0x25c)]?JSON[_0x144f34(0x2701)](_0x37190a['data'][_0x144f34(0x155e)]):_0x37190a[_0x144f34(0x155e)]||_0x37190a['toString']()});});},function(){});}function _0x5152a6(_0x1dba9d){return _0x1dba9d===null?undefined:new Date(_0x1dba9d);}function _0x368ad2(_0x1b931d){const _0x24f1f7=_0x12554a;_0xf7e3d[_0x24f1f7(0x1426)](_0x1b931d);}}const _0x11104a=_0x8f7ceb;;const _0x265ce4=_0x5074a3['p']+'src/js/modules/main/apps/callysquare/views/odbcs/create/dialog.html/dialog.html';;_0x3aa650[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),'$document','$timeout',_0x5537c6(0x1ae),'odbcs',_0x5537c6(0x44a),'userProfileSection',_0x5537c6(0x142b),_0x5537c6(0xde8),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x3aa650(_0x5dfe83,_0x31584f,_0x5c80c0,_0x30aea5,_0x364812,_0x2cfc9a,_0xef692a,_0x6f966f,_0x1f2d85,_0x4fe683,_0x5e18ab,_0x9ddc1d,_0x1a239a,_0x3d10b0,_0x37a3f8,_0x38d0b8,_0xe46ea4){const _0x14654d=_0x5537c6,_0x44d787=this;_0x44d787[_0x14654d(0x8a5)]=_0x38d0b8,_0x44d787[_0x14654d(0x9ca)]=_0xe46ea4,_0x44d787[_0x14654d(0xe76)]=_0x37a3f8[_0x14654d(0x21e8)](),_0x44d787[_0x14654d(0x97b)]=_0x1f2d85||{'count':0x0,'rows':[]},_0x44d787[_0x14654d(0x44a)]=_0x4fe683,_0x44d787[_0x14654d(0x2199)]=_0x5e18ab&&_0x5e18ab[_0x14654d(0x184d)]==0x1?_0x5e18ab[_0x14654d(0x2214)][0x0]:null,_0x44d787[_0x14654d(0x1b1a)]=_0x37a3f8[_0x14654d(0x14ea)](_0x44d787['userProfileSection']?_0x44d787[_0x14654d(0x2199)]['crudPermissions']:null),_0x44d787[_0x14654d(0xc83)]=_0x14654d(0x97b),_0x44d787[_0x14654d(0x1d20)]='',_0x44d787[_0x14654d(0x1cdf)]=null,_0x44d787[_0x14654d(0x1703)]=[],_0x44d787[_0x14654d(0xae2)]={'fields':_0x14654d(0x427),'sort':_0x14654d(0x282),'limit':0xa,'page':0x1},_0x44d787[_0x14654d(0xc93)]=_0x535c25,_0x44d787[_0x14654d(0x86f)]=_0x632c14,_0x44d787[_0x14654d(0x27fe)]=_0x3e0871,_0x44d787[_0x14654d(0x829)]=_0x168df8,_0x44d787['getODBC']=_0x45a704,_0x44d787['createOrEditOdbc']=_0x2978ef,_0x44d787[_0x14654d(0x1cd1)]=_0x47c29b,_0x44d787[_0x14654d(0x5bd)]=_0x2e10d7,_0x44d787[_0x14654d(0x1f4c)]=_0x8e2c18,_0x44d787['deselectODBC']=_0x31962f,_0x44d787['selectAllODBC']=_0x189cd6;function _0x535c25(_0xbc6227,_0x58cfae){const _0x3a57bc=_0x14654d;_0x364812[_0x3a57bc(0xe27)]({'controller':_0x3a57bc(0x2e1),'controllerAs':'vm','templateUrl':_0x265ce4,'parent':angular['element'](_0x2cfc9a['body']),'targetEvent':_0x58cfae,'clickOutsideToClose':!![],'locals':{'odbc':_0xbc6227,'odbcs':_0x44d787[_0x3a57bc(0x97b)]['rows'],'license':_0x44d787[_0x3a57bc(0x8a5)],'setting':null,'crudPermissions':_0x44d787[_0x3a57bc(0x1b1a)]}});}function _0x632c14(_0x3eb296){const _0x45e28c=_0x14654d;return _0x9ddc1d[_0x45e28c(0xd7f)][_0x45e28c(0x26a1)]({'id':_0x3eb296['id']})['$promise'][_0x45e28c(0x1cb0)](function(){const _0x227415=_0x45e28c;_0x3d10b0[_0x227415(0x829)]({'title':_0x227415(0x281f),'msg':_0x227415(0x1bca)});})[_0x45e28c(0x1c4)](function(_0x7c2d49){const _0x5e1bbd=_0x45e28c;_0x3d10b0['error']({'title':_0x5e1bbd(0x281f),'msg':_0x7c2d49[_0x5e1bbd(0x25c)]?JSON[_0x5e1bbd(0x2701)](_0x7c2d49[_0x5e1bbd(0x25c)]):_0x7c2d49[_0x5e1bbd(0x147f)]()});});}function _0x3e0871(_0x1a1395,_0x4ffbd0){const _0x50bae5=_0x14654d,_0x370632=_0x364812[_0x50bae5(0x1551)]()[_0x50bae5(0x1386)](_0x50bae5(0x140b)+_0x39641b()[_0x50bae5(0xa75)](_0x50bae5(0x1bde))+'?')[_0x50bae5(0x49e)](_0x50bae5(0x204d)+(_0x1a1395['name']||_0x50bae5(0x1bde))+''+_0x50bae5(0x1b6))['ariaLabel'](_0x50bae5(0xc16))['targetEvent'](_0x4ffbd0)['ok']('OK')['cancel'](_0x50bae5(0x24ba));_0x364812[_0x50bae5(0xe27)](_0x370632)['then'](function(){_0x47c29b(_0x1a1395);},function(){const _0xa390d4=_0x50bae5;console[_0xa390d4(0x1b4f)]('CANCEL');});}let _0x5c87f1=!![],_0x27ba98=0x1;_0x5dfe83[_0x14654d(0x614)](_0x14654d(0x957),function(_0x23b84e,_0x4d49a1){const _0x47b09b=_0x14654d;_0x5c87f1?_0xef692a(function(){_0x5c87f1=![];}):(!_0x4d49a1&&(_0x27ba98=_0x44d787['query'][_0x47b09b(0x1c7b)]),_0x23b84e!==_0x4d49a1&&(_0x44d787[_0x47b09b(0xae2)][_0x47b09b(0x1c7b)]=0x1),!_0x23b84e&&(_0x44d787[_0x47b09b(0xae2)]['page']=_0x27ba98),_0x44d787[_0x47b09b(0x1397)]());});function _0x168df8(_0x364a86){_0x44d787['odbcs']=_0x364a86||{'count':0x0,'rows':[]};}function _0x45a704(){const _0x400e10=_0x14654d;_0x44d787[_0x400e10(0xae2)]['offset']=(_0x44d787['query']['page']-0x1)*_0x44d787['query']['limit'],_0x37a3f8[_0x400e10(0x22b6)](_0x400e10(0x1c60))?_0x44d787['promise']=_0x9ddc1d[_0x400e10(0xd7f)]['get'](_0x44d787[_0x400e10(0xae2)],_0x168df8)['$promise']:(_0x44d787['query']['id']=_0x44d787[_0x400e10(0x44a)]['id'],_0x44d787[_0x400e10(0xae2)][_0x400e10(0x1f74)]=_0x400e10(0x2fa),_0x44d787[_0x400e10(0x2061)]=_0x9ddc1d[_0x400e10(0x44a)][_0x400e10(0x1810)](_0x44d787[_0x400e10(0xae2)],_0x168df8)[_0x400e10(0x1d77)]);}function _0x2978ef(_0x29f13a,_0x9fe01e){const _0xafb256=_0x14654d;_0x364812[_0xafb256(0xe27)]({'controller':_0xafb256(0x2e1),'controllerAs':'vm','templateUrl':_0x265ce4,'parent':angular[_0xafb256(0x1853)](_0x2cfc9a[_0xafb256(0x1ed9)]),'targetEvent':_0x29f13a,'clickOutsideToClose':!![],'locals':{'odbc':_0x9fe01e,'odbcs':_0x44d787['odbcs'][_0xafb256(0x2214)],'license':_0x44d787[_0xafb256(0x8a5)],'setting':_0x44d787[_0xafb256(0x9ca)],'crudPermissions':_0x44d787[_0xafb256(0x1b1a)]}});}function _0x47c29b(_0x2a5944){const _0x40be72=_0x14654d;_0x9ddc1d[_0x40be72(0xd7f)][_0x40be72(0x111d)]({'id':_0x2a5944['id']})[_0x40be72(0x1d77)]['then'](function(){const _0x8d5f75=_0x40be72;_0x39641b()[_0x8d5f75(0x152a)](_0x44d787['odbcs']['rows'],{'id':_0x2a5944['id']}),_0x44d787[_0x8d5f75(0x97b)][_0x8d5f75(0x184d)]-=0x1,!_0x44d787['odbcs'][_0x8d5f75(0x2214)][_0x8d5f75(0xfd0)]&&_0x44d787[_0x8d5f75(0x1397)](),_0x3d10b0[_0x8d5f75(0x829)]({'title':_0x39641b()[_0x8d5f75(0xa75)](_0x8d5f75(0x1776))+_0x8d5f75(0x2663),'msg':_0x2a5944[_0x8d5f75(0x16b6)]?_0x2a5944[_0x8d5f75(0x16b6)]+_0x8d5f75(0x3f5):''});})['catch'](function(_0x4f402f){const _0x478164=_0x40be72;if(_0x4f402f[_0x478164(0x25c)]&&_0x4f402f[_0x478164(0x25c)]['errors']&&_0x4f402f['data']['errors'][_0x478164(0xfd0)]){_0x44d787[_0x478164(0x1a7c)]=_0x4f402f[_0x478164(0x25c)][_0x478164(0x1a7c)]||[{'message':_0x4f402f['toString'](),'type':_0x478164(0xf5b)}];for(let _0x5adf88=0x0;_0x5adf88<_0x4f402f[_0x478164(0x25c)]['errors']['length'];_0x5adf88++){_0x3d10b0[_0x478164(0x218e)]({'title':_0x4f402f[_0x478164(0x25c)][_0x478164(0x1a7c)][_0x5adf88][_0x478164(0x66a)],'msg':_0x4f402f[_0x478164(0x25c)][_0x478164(0x1a7c)][_0x5adf88]['message']});}}else _0x3d10b0['error']({'title':_0x4f402f[_0x478164(0x291)]?_0x478164(0xeb9)+_0x4f402f[_0x478164(0x291)]+_0x478164(0x1657)+_0x4f402f[_0x478164(0xc22)]:_0x478164(0xf5b),'msg':_0x4f402f[_0x478164(0x25c)]?JSON[_0x478164(0x2701)](_0x4f402f[_0x478164(0x25c)][_0x478164(0x155e)]):_0x4f402f[_0x478164(0x155e)]||_0x4f402f[_0x478164(0x147f)]()});});}function _0x2e10d7(){const _0xe8ec80=_0x14654d,_0x5b3f01=angular[_0xe8ec80(0x17fe)](_0x44d787[_0xe8ec80(0x1703)]);return _0x44d787[_0xe8ec80(0x1703)]=[],_0x5b3f01;}function _0x8e2c18(_0x3bf97b){const _0x434a67=_0x14654d,_0x51136b=_0x364812[_0x434a67(0x1551)]()[_0x434a67(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20odbcs?')[_0x434a67(0x49e)](''+_0x44d787[_0x434a67(0x1703)][_0x434a67(0xfd0)]+_0x434a67(0x1d6c)+_0x434a67(0x1b6))['ariaLabel'](_0x434a67(0x1de))[_0x434a67(0x728)](_0x3bf97b)['ok']('OK')['cancel']('CANCEL');_0x364812['show'](_0x51136b)[_0x434a67(0x1cb0)](function(){const _0x2405e1=_0x434a67;_0x44d787[_0x2405e1(0x1703)][_0x2405e1(0xf90)](function(_0x431ec4){_0x47c29b(_0x431ec4);}),_0x44d787[_0x2405e1(0x1703)]=[];});}function _0x31962f(){const _0x720b26=_0x14654d;_0x44d787[_0x720b26(0x1703)]=[];}function _0x189cd6(){const _0x55d894=_0x14654d;_0x44d787['selectedODBC']=_0x44d787[_0x55d894(0x97b)][_0x55d894(0x2214)];}}const _0x1abad5=_0x3aa650;;_0x296bf1[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0xc66),_0x5537c6(0x562),'api',_0x5537c6(0x1774),'license',_0x5537c6(0x9ca),'crudPermissions'];function _0x296bf1(_0x409770,_0x43162c,_0x311906,_0x4988fd,_0x65b66b,_0x5e0693,_0x36bcb6,_0x86cade,_0x2670ba,_0x3f2413,_0x78f0f2,_0x3a80f7,_0x3e3644,_0x1f329b){const _0x24fb21=_0x5537c6,_0x2eeabb=this;_0x2eeabb[_0x24fb21(0xe76)]=_0x78f0f2['getCurrentUser'](),_0x2eeabb[_0x24fb21(0x1a7c)]=[],_0x2eeabb[_0x24fb21(0x9ca)]=_0x3e3644,_0x2eeabb[_0x24fb21(0x8a5)]=_0x3a80f7,_0x2eeabb[_0x24fb21(0x1b1a)]=_0x1f329b,_0x2eeabb[_0x24fb21(0xf4c)]={},_0x2eeabb['passwordPattern']=_0x2eeabb[_0x24fb21(0x9ca)]&&_0x2eeabb['setting'][_0x24fb21(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x2eeabb[_0x24fb21(0x1386)]=_0x24fb21(0x1ee2),_0x2eeabb['squareRecording']=angular[_0x24fb21(0x17fe)](_0x2670ba),_0x2eeabb['squareRecordings']=_0x86cade,_0x2eeabb[_0x24fb21(0x1cda)]=![];!_0x2eeabb[_0x24fb21(0x562)]&&(_0x2eeabb['squareRecording']={},_0x2eeabb[_0x24fb21(0x1386)]=_0x24fb21(0x450),_0x2eeabb[_0x24fb21(0x1cda)]=!![]);_0x2eeabb[_0x24fb21(0xb17)]=_0x4ca18c,_0x2eeabb[_0x24fb21(0xa6d)]=_0x32a9cd,_0x2eeabb[_0x24fb21(0xbd8)]=_0x44121f,_0x2eeabb[_0x24fb21(0x2c4)]=_0x44276d,_0x2eeabb['closeDialog']=_0x2f8fa2;function _0x4ca18c(){const _0xfb5f69=_0x24fb21;_0x2eeabb[_0xfb5f69(0x1a7c)]=[],_0x3f2413[_0xfb5f69(0x562)][_0xfb5f69(0x1c3f)](_0x2eeabb[_0xfb5f69(0x562)])['$promise'][_0xfb5f69(0x1cb0)](function(_0x376ba1){const _0x26f232=_0xfb5f69;_0x2eeabb[_0x26f232(0xc66)][_0x26f232(0xf63)](_0x376ba1[_0x26f232(0x19b2)]()),_0x36bcb6[_0x26f232(0x829)]({'title':_0x26f232(0x1b18),'msg':_0x2eeabb['squareRecording'][_0x26f232(0x16b6)]?_0x2eeabb[_0x26f232(0x562)][_0x26f232(0x16b6)]+'\x20has\x20been\x20created!':''}),_0x2f8fa2(_0x376ba1);})[_0xfb5f69(0x1c4)](function(_0x58b313){const _0x54b725=_0xfb5f69;if(_0x58b313[_0x54b725(0x25c)]&&_0x58b313['data']['errors']&&_0x58b313[_0x54b725(0x25c)][_0x54b725(0x1a7c)]['length']){_0x2eeabb[_0x54b725(0x1a7c)]=_0x58b313[_0x54b725(0x25c)]['errors']||[{'message':_0x58b313[_0x54b725(0x147f)](),'type':'api.squareRecording.save'}];for(let _0x447a80=0x0;_0x447a80<_0x58b313[_0x54b725(0x25c)]['errors'][_0x54b725(0xfd0)];_0x447a80+=0x1){_0x36bcb6[_0x54b725(0x218e)]({'title':_0x58b313[_0x54b725(0x25c)][_0x54b725(0x1a7c)][_0x447a80][_0x54b725(0x66a)],'msg':_0x58b313[_0x54b725(0x25c)][_0x54b725(0x1a7c)][_0x447a80][_0x54b725(0x155e)]});}}else _0x36bcb6[_0x54b725(0x218e)]({'title':_0x58b313[_0x54b725(0x291)]?_0x54b725(0xeb9)+_0x58b313[_0x54b725(0x291)]+'\x20-\x20'+_0x58b313[_0x54b725(0xc22)]:_0x54b725(0x970),'msg':_0x58b313['data']?JSON['stringify'](_0x58b313[_0x54b725(0x25c)]['message']):_0x58b313[_0x54b725(0x147f)]()});});}function _0x32a9cd(){const _0x3e4bfd=_0x24fb21;_0x2eeabb[_0x3e4bfd(0x1a7c)]=[],_0x3f2413['squareRecording'][_0x3e4bfd(0x687)]({'id':_0x2eeabb[_0x3e4bfd(0x562)]['id']},_0x2eeabb[_0x3e4bfd(0x562)])[_0x3e4bfd(0x1d77)][_0x3e4bfd(0x1cb0)](function(_0x5882b1){const _0x36a649=_0x3e4bfd,_0x5ee1af=_0x39641b()['find'](_0x2eeabb[_0x36a649(0xc66)],{'id':_0x5882b1['id']});_0x5ee1af&&_0x39641b()['merge'](_0x5ee1af,_0x39641b()['pick'](_0x5882b1[_0x36a649(0x19b2)](),_0x39641b()[_0x36a649(0x1be5)](_0x5ee1af))),_0x36bcb6[_0x36a649(0x829)]({'title':_0x36a649(0x293e),'msg':_0x2eeabb['squareRecording'][_0x36a649(0x16b6)]?_0x2eeabb['squareRecording'][_0x36a649(0x16b6)]+'\x20has\x20been\x20saved!':''}),_0x2f8fa2(_0x5882b1);})['catch'](function(_0x18da8d){const _0x10ea1b=_0x3e4bfd;if(_0x18da8d['data']&&_0x18da8d[_0x10ea1b(0x25c)][_0x10ea1b(0x1a7c)]&&_0x18da8d[_0x10ea1b(0x25c)][_0x10ea1b(0x1a7c)][_0x10ea1b(0xfd0)]){_0x2eeabb[_0x10ea1b(0x1a7c)]=_0x18da8d[_0x10ea1b(0x25c)][_0x10ea1b(0x1a7c)]||[{'message':_0x18da8d['toString'](),'type':_0x10ea1b(0x15f6)}];for(let _0x200ed1=0x0;_0x200ed1<_0x18da8d[_0x10ea1b(0x25c)]['errors'][_0x10ea1b(0xfd0)];_0x200ed1++){_0x36bcb6[_0x10ea1b(0x218e)]({'title':_0x18da8d[_0x10ea1b(0x25c)][_0x10ea1b(0x1a7c)][_0x200ed1][_0x10ea1b(0x66a)],'msg':_0x18da8d['data'][_0x10ea1b(0x1a7c)][_0x200ed1]['message']});}}else _0x36bcb6[_0x10ea1b(0x218e)]({'title':_0x18da8d['status']?_0x10ea1b(0xeb9)+_0x18da8d[_0x10ea1b(0x291)]+_0x10ea1b(0x1657)+_0x18da8d['statusText']:_0x10ea1b(0x15f6),'msg':_0x18da8d[_0x10ea1b(0x25c)]?JSON[_0x10ea1b(0x2701)](_0x18da8d[_0x10ea1b(0x25c)][_0x10ea1b(0x155e)]):_0x18da8d[_0x10ea1b(0x147f)]()});});}function _0x44121f(_0x505ec1){const _0x3c08bd=_0x24fb21;_0x2eeabb[_0x3c08bd(0x1a7c)]=[];const _0x5d9bd6=_0x4988fd[_0x3c08bd(0x1551)]()[_0x3c08bd(0x1386)]('Are\x20you\x20sure?')[_0x3c08bd(0x862)](_0x3c08bd(0x168e))[_0x3c08bd(0x15ad)](_0x3c08bd(0x10ba))['ok'](_0x3c08bd(0x2594))[_0x3c08bd(0x696)](_0x3c08bd(0xde1))['targetEvent'](_0x505ec1);_0x4988fd['show'](_0x5d9bd6)[_0x3c08bd(0x1cb0)](function(){const _0x4a1532=_0x3c08bd;_0x3f2413[_0x4a1532(0x562)][_0x4a1532(0x111d)]({'id':_0x2eeabb[_0x4a1532(0x562)]['id']})['$promise'][_0x4a1532(0x1cb0)](function(){const _0x117a32=_0x4a1532;_0x39641b()['remove'](_0x2eeabb[_0x117a32(0xc66)],{'id':_0x2eeabb[_0x117a32(0x562)]['id']}),_0x36bcb6['success']({'title':'SquareRecording\x20properly\x20deleted!','msg':(_0x2eeabb['squareRecording'][_0x117a32(0x16b6)]||'squareRecording')+_0x117a32(0x3f5)}),_0x2f8fa2(_0x2eeabb['squareRecording']);})['catch'](function(_0x9f31fb){const _0x54376e=_0x4a1532;if(_0x9f31fb[_0x54376e(0x25c)]&&_0x9f31fb['data'][_0x54376e(0x1a7c)]&&_0x9f31fb[_0x54376e(0x25c)][_0x54376e(0x1a7c)][_0x54376e(0xfd0)]){_0x2eeabb[_0x54376e(0x1a7c)]=_0x9f31fb[_0x54376e(0x25c)][_0x54376e(0x1a7c)]||[{'message':_0x9f31fb['toString'](),'type':_0x54376e(0x2bc)}];for(let _0x3b4fcc=0x0;_0x3b4fcc<_0x9f31fb[_0x54376e(0x25c)][_0x54376e(0x1a7c)][_0x54376e(0xfd0)];_0x3b4fcc++){_0x36bcb6[_0x54376e(0x218e)]({'title':_0x9f31fb['data']['errors'][_0x3b4fcc]['type'],'msg':_0x9f31fb[_0x54376e(0x25c)][_0x54376e(0x1a7c)][_0x3b4fcc][_0x54376e(0x155e)]});}}else _0x36bcb6[_0x54376e(0x218e)]({'title':_0x9f31fb['status']?'API:'+_0x9f31fb[_0x54376e(0x291)]+_0x54376e(0x1657)+_0x9f31fb[_0x54376e(0xc22)]:_0x54376e(0x2bc),'msg':_0x9f31fb[_0x54376e(0x25c)]?JSON['stringify'](_0x9f31fb[_0x54376e(0x25c)][_0x54376e(0x155e)]):_0x9f31fb['message']||_0x9f31fb[_0x54376e(0x147f)]()});});},function(){});}function _0x44276d(_0x3b26bf){return _0x3b26bf===null?undefined:new Date(_0x3b26bf);}function _0x2f8fa2(_0x168968){const _0x3a665d=_0x24fb21;_0x4988fd[_0x3a665d(0x1426)](_0x168968);}}const _0x425d6d=_0x296bf1;;const _0x185e9f=_0x5074a3['p']+_0x5537c6(0x1174);;_0x481efb[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$window',_0x5537c6(0x406),'$mdSidenav','$mdDialog','$document','$timeout',_0x5537c6(0x1ae),_0x5537c6(0xc66),_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x142b),'msUtils',_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x481efb(_0x1e8bed,_0x224fba,_0x407509,_0x14570c,_0x5e2ecd,_0x124e9a,_0xb760a2,_0x56d23d,_0x49b42c,_0x1e2a58,_0x1b51d6,_0x59091f,_0x332563,_0x18e3b6,_0x5c112,_0x1cf343,_0x3f0df2){const _0x3e5e3e=_0x5537c6,_0x2e1319=this;_0x2e1319[_0x3e5e3e(0x8a5)]=_0x1cf343,_0x2e1319[_0x3e5e3e(0x9ca)]=_0x3f0df2,_0x2e1319[_0x3e5e3e(0xe76)]=_0x5c112['getCurrentUser'](),_0x2e1319[_0x3e5e3e(0xc66)]=_0x49b42c||{'count':0x0,'rows':[]},_0x2e1319[_0x3e5e3e(0x44a)]=_0x1e2a58,_0x2e1319[_0x3e5e3e(0x2199)]=_0x1b51d6&&_0x1b51d6[_0x3e5e3e(0x184d)]==0x1?_0x1b51d6[_0x3e5e3e(0x2214)][0x0]:null,_0x2e1319['crudPermissions']=_0x5c112[_0x3e5e3e(0x14ea)](_0x2e1319[_0x3e5e3e(0x2199)]?_0x2e1319[_0x3e5e3e(0x2199)][_0x3e5e3e(0x1b1a)]:null),_0x2e1319['table']=_0x3e5e3e(0xc66),_0x2e1319['listOrder']='',_0x2e1319[_0x3e5e3e(0x1cdf)]=null,_0x2e1319[_0x3e5e3e(0x26ab)]=[],_0x2e1319[_0x3e5e3e(0xae2)]={'fields':_0x3e5e3e(0x1d7b),'sort':'-updatedAt','limit':0xa,'page':0x1},_0x2e1319[_0x3e5e3e(0x1610)]=_0x5afefe,_0x2e1319[_0x3e5e3e(0x27fe)]=_0x314a6e,_0x2e1319[_0x3e5e3e(0x829)]=_0x1c71e6,_0x2e1319[_0x3e5e3e(0x28d7)]=_0x1b000b,_0x2e1319[_0x3e5e3e(0x1868)]=_0x339686,_0x2e1319[_0x3e5e3e(0xbd8)]=_0x2d9527,_0x2e1319['exportSelectedSquareRecordings']=_0x58ce9c,_0x2e1319[_0x3e5e3e(0x827)]=_0xe45702,_0x2e1319['deselectSquareRecordings']=_0x531903,_0x2e1319[_0x3e5e3e(0x1855)]=_0x2669d2;function _0x5afefe(_0x41eeba){const _0x472ed4=_0x3e5e3e;return _0x59091f[_0x472ed4(0x562)][_0x472ed4(0x26ec)]({'id':_0x41eeba['id']})[_0x472ed4(0x1d77)]['then'](function(_0x3b7d5d){const _0x122f9e=_0x472ed4,_0x5dceca=[_0x3b7d5d[_0x122f9e(0xef0)]];let _0x314dfa=_0x122f9e(0x26ec);const _0xcbb78b=new Blob(_0x5dceca,{'type':_0x3b7d5d[_0x122f9e(0x66a)]});_0x314dfa=_0x41eeba['uniqueid']+_0x41eeba[_0x122f9e(0x1f31)];const _0x70de62=window[_0x122f9e(0x1db8)][_0x122f9e(0x8c6)]('a');_0x70de62[_0x122f9e(0x23b9)](_0x122f9e(0x105b),URL['createObjectURL'](_0xcbb78b)),_0x70de62[_0x122f9e(0x23b9)](_0x122f9e(0x26ec),_0x314dfa),document['body'][_0x122f9e(0x23de)](_0x70de62),_0x70de62['click']();})[_0x472ed4(0x1c4)](function(_0x3d6383){const _0x32dabe=_0x472ed4;if(_0x3d6383[_0x32dabe(0x25c)]&&_0x3d6383[_0x32dabe(0x25c)][_0x32dabe(0x1a7c)]&&_0x3d6383[_0x32dabe(0x25c)][_0x32dabe(0x1a7c)]['length'])for(let _0x4ca511=0x0;_0x4ca511<_0x3d6383[_0x32dabe(0x25c)][_0x32dabe(0x1a7c)][_0x32dabe(0xfd0)];_0x4ca511++){_0x18e3b6[_0x32dabe(0x218e)]({'title':_0x3d6383['data'][_0x32dabe(0x1a7c)][_0x4ca511][_0x32dabe(0x66a)],'msg':_0x3d6383[_0x32dabe(0x25c)]['errors'][_0x4ca511][_0x32dabe(0x155e)]});}else _0x18e3b6[_0x32dabe(0x218e)]({'title':_0x3d6383[_0x32dabe(0x291)]?_0x32dabe(0xeb9)+_0x3d6383[_0x32dabe(0x291)]+'\x20-\x20'+_0x3d6383[_0x32dabe(0xc22)]:_0x32dabe(0x970),'msg':_0x3d6383[_0x32dabe(0x25c)]?JSON[_0x32dabe(0x2701)](_0x3d6383['data']['message']):_0x3d6383['toString']()});});}function _0x314a6e(_0x494937,_0x42fa10){const _0x2c2d7b=_0x3e5e3e,_0x30949b=_0x5e2ecd[_0x2c2d7b(0x1551)]()[_0x2c2d7b(0x1386)](_0x2c2d7b(0x140b)+_0x39641b()[_0x2c2d7b(0xa75)](_0x2c2d7b(0x562))+'?')[_0x2c2d7b(0x49e)](''+(_0x494937['name']||'squareRecording')+_0x2c2d7b(0x1200)+_0x2c2d7b(0x1b6))[_0x2c2d7b(0x15ad)](_0x2c2d7b(0x750))['targetEvent'](_0x42fa10)['ok']('OK')['cancel'](_0x2c2d7b(0x24ba));_0x5e2ecd[_0x2c2d7b(0xe27)](_0x30949b)[_0x2c2d7b(0x1cb0)](function(){_0x2d9527(_0x494937);},function(){const _0xa8bfe1=_0x2c2d7b;console[_0xa8bfe1(0x1b4f)]('CANCEL');});}let _0x3db03c=!![],_0x14e758=0x1;_0x1e8bed[_0x3e5e3e(0x614)](_0x3e5e3e(0x957),function(_0x3bf5b1,_0x562c14){const _0x31c5d9=_0x3e5e3e;_0x3db03c?_0xb760a2(function(){_0x3db03c=![];}):(!_0x562c14&&(_0x14e758=_0x2e1319[_0x31c5d9(0xae2)][_0x31c5d9(0x1c7b)]),_0x3bf5b1!==_0x562c14&&(_0x2e1319[_0x31c5d9(0xae2)][_0x31c5d9(0x1c7b)]=0x1),!_0x3bf5b1&&(_0x2e1319['query'][_0x31c5d9(0x1c7b)]=_0x14e758),_0x2e1319['getSquareRecordings']());});function _0x1c71e6(_0x43e281){const _0x425c31=_0x3e5e3e;_0x2e1319[_0x425c31(0xc66)]=_0x43e281||{'count':0x0,'rows':[]};}function _0x1b000b(){const _0x59fa75=_0x3e5e3e;_0x2e1319[_0x59fa75(0xae2)][_0x59fa75(0x184b)]=(_0x2e1319[_0x59fa75(0xae2)][_0x59fa75(0x1c7b)]-0x1)*_0x2e1319[_0x59fa75(0xae2)][_0x59fa75(0x236)],_0x5c112[_0x59fa75(0x22b6)](_0x59fa75(0x1c60))?_0x2e1319[_0x59fa75(0x2061)]=_0x59091f[_0x59fa75(0x562)]['get'](_0x2e1319[_0x59fa75(0xae2)],_0x1c71e6)[_0x59fa75(0x1d77)]:(_0x2e1319[_0x59fa75(0xae2)]['id']=_0x2e1319[_0x59fa75(0x44a)]['id'],_0x2e1319[_0x59fa75(0xae2)][_0x59fa75(0x1f74)]=_0x59fa75(0x1376),_0x2e1319[_0x59fa75(0x2061)]=_0x59091f[_0x59fa75(0x44a)][_0x59fa75(0x1810)](_0x2e1319[_0x59fa75(0xae2)],_0x1c71e6)['$promise']);}function _0x339686(_0x572b80,_0x371243){const _0x185245=_0x3e5e3e;_0x5e2ecd['show']({'controller':'CreateOrEditSquareRecordingDialogController','controllerAs':'vm','templateUrl':_0x185e9f,'parent':angular[_0x185245(0x1853)](_0x124e9a[_0x185245(0x1ed9)]),'targetEvent':_0x572b80,'clickOutsideToClose':!![],'locals':{'squareRecording':_0x371243,'squareRecordings':_0x2e1319[_0x185245(0xc66)][_0x185245(0x2214)],'license':_0x2e1319['license'],'setting':_0x2e1319['setting'],'crudPermissions':_0x2e1319[_0x185245(0x1b1a)]}});}function _0x2d9527(_0x4c409f){const _0x4ddecf=_0x3e5e3e;_0x59091f['squareRecording'][_0x4ddecf(0x111d)]({'id':_0x4c409f['id']})['$promise']['then'](function(){const _0xfff894=_0x4ddecf;_0x39641b()[_0xfff894(0x152a)](_0x2e1319[_0xfff894(0xc66)][_0xfff894(0x2214)],{'id':_0x4c409f['id']}),_0x2e1319[_0xfff894(0xc66)][_0xfff894(0x184d)]-=0x1,!_0x2e1319[_0xfff894(0xc66)][_0xfff894(0x2214)][_0xfff894(0xfd0)]&&_0x2e1319[_0xfff894(0x28d7)](),_0x18e3b6[_0xfff894(0x829)]({'title':_0x39641b()[_0xfff894(0xa75)](_0xfff894(0x955))+_0xfff894(0x2663),'msg':_0x4c409f['name']?_0x4c409f['name']+'\x20has\x20been\x20deleted!':''});})[_0x4ddecf(0x1c4)](function(_0x34e01f){const _0x125d1c=_0x4ddecf;if(_0x34e01f[_0x125d1c(0x25c)]&&_0x34e01f[_0x125d1c(0x25c)][_0x125d1c(0x1a7c)]&&_0x34e01f[_0x125d1c(0x25c)][_0x125d1c(0x1a7c)][_0x125d1c(0xfd0)]){_0x2e1319[_0x125d1c(0x1a7c)]=_0x34e01f[_0x125d1c(0x25c)][_0x125d1c(0x1a7c)]||[{'message':_0x34e01f[_0x125d1c(0x147f)](),'type':'SYSTEM:DELETEsquareRecording'}];for(let _0xc3d918=0x0;_0xc3d918<_0x34e01f[_0x125d1c(0x25c)][_0x125d1c(0x1a7c)][_0x125d1c(0xfd0)];_0xc3d918++){_0x18e3b6[_0x125d1c(0x218e)]({'title':_0x34e01f[_0x125d1c(0x25c)][_0x125d1c(0x1a7c)][_0xc3d918][_0x125d1c(0x66a)],'msg':_0x34e01f[_0x125d1c(0x25c)][_0x125d1c(0x1a7c)][_0xc3d918]['message']});}}else _0x18e3b6[_0x125d1c(0x218e)]({'title':_0x34e01f['status']?'API:'+_0x34e01f[_0x125d1c(0x291)]+_0x125d1c(0x1657)+_0x34e01f['statusText']:_0x125d1c(0x7fb),'msg':_0x34e01f[_0x125d1c(0x25c)]?JSON[_0x125d1c(0x2701)](_0x34e01f[_0x125d1c(0x25c)][_0x125d1c(0x155e)]):_0x34e01f[_0x125d1c(0x155e)]||_0x34e01f['toString']()});});}function _0x58ce9c(){const _0x5107b4=_0x3e5e3e,_0x367489=angular[_0x5107b4(0x17fe)](_0x2e1319[_0x5107b4(0x26ab)]);return _0x2e1319[_0x5107b4(0x26ab)]=[],_0x367489;}function _0xe45702(_0x4a213e){const _0x40cfcb=_0x3e5e3e,_0x77cf60=_0x5e2ecd['confirm']()['title'](_0x40cfcb(0x269d))[_0x40cfcb(0x49e)](''+_0x2e1319[_0x40cfcb(0x26ab)][_0x40cfcb(0xfd0)]+_0x40cfcb(0x1d6c)+_0x40cfcb(0x1b6))[_0x40cfcb(0x15ad)](_0x40cfcb(0xf13))['targetEvent'](_0x4a213e)['ok']('OK')[_0x40cfcb(0x696)]('CANCEL');_0x5e2ecd[_0x40cfcb(0xe27)](_0x77cf60)[_0x40cfcb(0x1cb0)](function(){const _0x11015b=_0x40cfcb;_0x2e1319[_0x11015b(0x26ab)][_0x11015b(0xf90)](function(_0x51d627){_0x2d9527(_0x51d627);}),_0x2e1319[_0x11015b(0x26ab)]=[];});}function _0x531903(){const _0x1659db=_0x3e5e3e;_0x2e1319[_0x1659db(0x26ab)]=[];}function _0x2669d2(){const _0x7a197d=_0x3e5e3e;_0x2e1319[_0x7a197d(0x26ab)]=_0x2e1319[_0x7a197d(0xc66)][_0x7a197d(0x2214)];}}const _0x5cf4f7=_0x481efb;;_0x543c40[_0x5537c6(0x15b6)]=[_0x5537c6(0xbd6),_0x5537c6(0x1f2a)];function _0x543c40(_0xd3d76b,_0x27f4d2){const _0x5ceddf=_0x5537c6;_0xd3d76b[_0x5ceddf(0x27e0)](_0x5ceddf(0xec4),{'abstract':!![],'url':_0x5ceddf(0x12f7)})[_0x5ceddf(0x27e0)]('app.callysquare.odbcs',{'url':_0x5ceddf(0x34d),'views':{'content@app':{'templateUrl':_0x667349,'controller':_0x5ceddf(0x1652)}},'resolve':{'odbcs':['apiResolver',_0x5ceddf(0x1774),function(_0x433e7f,_0x28daad){const _0x321373=_0x5ceddf;return _0x28daad['hasRole']('admin')?_0x433e7f[_0x321373(0x19a3)](_0x321373(0x206a),{'fields':_0x321373(0x427),'sort':'-updatedAt','limit':0xa,'offset':0x0}):_0x433e7f[_0x321373(0x19a3)](_0x321373(0x12da),{'id':_0x28daad[_0x321373(0x21e8)]()[_0x321373(0x13c1)],'section':'ODBC','fields':_0x321373(0x427),'sort':_0x321373(0x282),'limit':0xa,'offset':0x0});}],'userProfile':[_0x5ceddf(0x1e0b),_0x5ceddf(0x1774),function(_0x137df2,_0x512764){const _0x1482c0=_0x5ceddf;return _0x512764[_0x1482c0(0x22b6)](_0x1482c0(0x1c60))?null:_0x137df2[_0x1482c0(0x19a3)](_0x1482c0(0x9ae),{'fields':'id,name,crudPermissions','id':_0x512764[_0x1482c0(0x21e8)]()['userProfileId']});}],'userProfileSection':[_0x5ceddf(0x1e0b),_0x5ceddf(0x1774),function(_0x551281,_0x3eea6c){const _0x12e44e=_0x5ceddf;return _0x3eea6c['hasRole']('admin')?null:_0x551281['resolve'](_0x12e44e(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x3eea6c[_0x12e44e(0x21e8)]()[_0x12e44e(0x13c1)],'sectionId':0x44d});}]},'authenticate':!![],'permissionId':0x44d,'bodyClass':_0x5ceddf(0xe18)})[_0x5ceddf(0x27e0)](_0x5ceddf(0x29a5),{'url':_0x5ceddf(0x876),'views':{'content@app':{'templateUrl':_0x2b10fd,'controller':_0x5ceddf(0x1bf4)}},'resolve':{'squareRecordings':['apiResolver','Auth',function(_0x5a11d5,_0x454684){const _0x16194c=_0x5ceddf;return _0x454684[_0x16194c(0x22b6)]('admin')?_0x5a11d5[_0x16194c(0x19a3)]('squareRecording@get',{'fields':'createdAt,updatedAt,id,filename,projectName,uniqueid,callerid,extension,audio,savePath,format','sort':_0x16194c(0x282),'limit':0xa,'offset':0x0}):_0x5a11d5[_0x16194c(0x19a3)](_0x16194c(0x12da),{'id':_0x454684[_0x16194c(0x21e8)]()[_0x16194c(0x13c1)],'section':'SquareRecordings','fields':_0x16194c(0x1d7b),'sort':_0x16194c(0x282),'limit':0xa,'offset':0x0});}],'userProfile':[_0x5ceddf(0x1e0b),'Auth',function(_0x199d32,_0x4f2416){const _0x5959f6=_0x5ceddf;return _0x4f2416[_0x5959f6(0x22b6)](_0x5959f6(0x1c60))?null:_0x199d32[_0x5959f6(0x19a3)]('userProfile@get',{'fields':_0x5959f6(0x279),'id':_0x4f2416[_0x5959f6(0x21e8)]()[_0x5959f6(0x13c1)]});}],'userProfileSection':[_0x5ceddf(0x1e0b),'Auth',function(_0x5551fa,_0x39ba1){const _0x17fe76=_0x5ceddf;return _0x39ba1[_0x17fe76(0x22b6)](_0x17fe76(0x1c60))?null:_0x5551fa[_0x17fe76(0x19a3)]('userProfileSection@get',{'fields':_0x17fe76(0x1f5f),'userProfileId':_0x39ba1[_0x17fe76(0x21e8)]()[_0x17fe76(0x13c1)],'sectionId':0x44e});}]},'authenticate':!![],'permissionId':0x44e,'bodyClass':'callysquare'}),_0x27f4d2['addPart']('app/main/apps/callysquare');}angular['module'](_0x5537c6(0xec4),[_0x5537c6(0x2770),_0x5537c6(0x2135),'md.data.table',_0x5537c6(0xacf),_0x5537c6(0x18f6),_0x5537c6(0xd19),'ng-sortable',_0x5537c6(0x2ec),_0x5537c6(0x1890),_0x5537c6(0x167d),'mwFormBuilder',_0x5537c6(0x1b65),'mwFormUtils',_0x5537c6(0x3b9),_0x5537c6(0x7c9),_0x5537c6(0xeb5),_0x5537c6(0x27af),_0x5537c6(0x13b6),_0x5537c6(0x1cbd),'angular.filter',_0x5537c6(0x74f)])['config'](_0x543c40)['controller']('CreateOrEditOdbcDialogController',_0x11104a)[_0x5537c6(0x6e5)](_0x5537c6(0x1d7e),_0x1abad5)[_0x5537c6(0x6e5)](_0x5537c6(0x1779),_0x425d6d)[_0x5537c6(0x6e5)]('SquareRecordingsController',_0x5cf4f7);;const _0x2fce49=_0x5074a3['p']+_0x5537c6(0x28e8);;const _0x4222e5=_0x5074a3['p']+'src/js/modules/main/apps/analytics/views/extractedReports/extractedReports.html/extractedReports.html';;const _0x377423=_0x5074a3['p']+_0x5537c6(0x1869);;const _0x595ee7=_0x5074a3['p']+_0x5537c6(0x124d);var _0x4a3dc7=_0x5074a3(0xd5a);;_0x3ede8d[_0x5537c6(0x15b6)]=[_0x5537c6(0x21c8),'$mdDialog','toasty','report',_0x5537c6(0x142b),_0x5537c6(0x217d),'treeCustomData'];function _0x3ede8d(_0x2479a7,_0x2597d8,_0x2c2a09,_0x4305f3,_0x280d12,_0x28cb49,_0x39fa20){const _0x41a7e5=_0x5537c6,_0x3575e7=this;_0x3575e7['errors']=[],_0x3575e7[_0x41a7e5(0x16bf)]=angular[_0x41a7e5(0x17fe)](_0x4305f3),_0x3575e7[_0x41a7e5(0x16bf)][_0x41a7e5(0x16b6)]+=_0x41a7e5(0x26aa),_0x3575e7[_0x41a7e5(0xc92)]=_0x39fa20,_0x3575e7['treeCustomConfig']={'core':{'multiple':![],'animation':!![],'error':function(_0x4fef44){const _0x524917=_0x41a7e5;console[_0x524917(0x218e)]('treeCtrl:\x20error\x20from\x20js\x20tree\x20-\x20'+angular['toJson'](_0x4fef44));},'check_callback':!![],'worker':!![]},'version':0x1,'plugins':[_0x41a7e5(0x522)]},_0x3575e7[_0x41a7e5(0x1333)]={'select_node':_0x2695ce},_0x3575e7[_0x41a7e5(0x221b)]=_0x403d2c,_0x3575e7['closeDialog']=_0x21bd31;function _0x403d2c(){const _0xfa6747=_0x41a7e5;_0x3575e7[_0xfa6747(0x1a7c)]=[];let _0x2a7344;_0x280d12[_0xfa6747(0x1e32)][_0xfa6747(0x1c3f)]({'name':_0x3575e7[_0xfa6747(0x16bf)][_0xfa6747(0x16b6)],'description':_0x3575e7[_0xfa6747(0x16bf)][_0xfa6747(0x2c1)],'table':_0x3575e7[_0xfa6747(0x16bf)][_0xfa6747(0xc83)],'conditions':_0x3575e7[_0xfa6747(0x16bf)][_0xfa6747(0x14dc)],'joins':_0x3575e7[_0xfa6747(0x16bf)][_0xfa6747(0x1c18)],'parent':_0x3575e7[_0xfa6747(0x622)]['id']})[_0xfa6747(0x1d77)][_0xfa6747(0x1cb0)](function(_0xffc3db){const _0x310339=_0xfa6747;_0x2a7344=_0xffc3db,_0x2c2a09[_0x310339(0x829)]({'title':'Report\x20properly\x20copied!','msg':_0x3575e7[_0x310339(0x16bf)][_0x310339(0x16b6)]?_0x3575e7[_0x310339(0x16bf)]['name']+'\x20has\x20been\x20copied!':''});const _0x16d600={'fields':_0x310339(0x298b),'nolimit':!![]};return _0x16d600[_0x28cb49==='analyticCustomReport'?_0x310339(0x251a):'DefaultReportId']=_0x3575e7[_0x310339(0x16bf)]['id'],_0x280d12[_0x310339(0x14c8)]['get'](_0x16d600)[_0x310339(0x1d77)];})[_0xfa6747(0x1cb0)](function(_0x496472){const _0x1aed15=_0xfa6747,_0x68d0c8=_0x39641b()[_0x1aed15(0x1de2)](_0x496472[_0x1aed15(0x2214)],function(_0x3417b6){return _0x39641b()['extend']({},_0x3417b6,{'CustomReportId':_0x2a7344['id']});});return _0x280d12[_0x1aed15(0x14c8)][_0x1aed15(0xb2a)](_0x68d0c8)[_0x1aed15(0x1d77)];})['then'](function(){const _0xe4d02d=_0xfa6747;_0x2c2a09[_0xe4d02d(0x829)]({'title':_0xe4d02d(0x24b4),'msg':_0xe4d02d(0x90d)}),_0x21bd31();})[_0xfa6747(0x1c4)](function(_0xc186b9){const _0x35c00d=_0xfa6747;_0x2c2a09[_0x35c00d(0x218e)]({'title':_0xc186b9[_0x35c00d(0x291)]?_0x35c00d(0xeb9)+_0xc186b9[_0x35c00d(0x291)]+_0x35c00d(0x1657)+_0xc186b9[_0x35c00d(0xc22)]:'SYSTEM:COPYREPORT','msg':_0xc186b9['data']?JSON[_0x35c00d(0x2701)](_0xc186b9['data'][_0x35c00d(0x155e)]):_0xc186b9[_0x35c00d(0x147f)]()});});}function _0x2695ce(_0x25065d,_0x3c1794){const _0x59b197=_0x41a7e5;_0x3575e7['currentNode']=_0x3c1794[_0x59b197(0x2013)];}function _0x21bd31(){const _0x224bb3=_0x41a7e5;_0x2597d8[_0x224bb3(0x1426)]();}}const _0x11e00b=_0x3ede8d;;_0x5998d7[_0x5537c6(0x15b6)]=['$location',_0x5537c6(0xcb9),_0x5537c6(0x9bf),_0x5537c6(0x2318),_0x5537c6(0x16bf),_0x5537c6(0x142b),'apiName','currentNode',_0x5537c6(0xfc2),_0x5537c6(0x1b1a)];function _0x5998d7(_0x59f979,_0x3182f1,_0x2c20a7,_0x1dc65e,_0x180f80,_0x2e658a,_0x50ffca,_0x4a1ffe,_0x1120f0,_0x46fa37){const _0x3ad621=_0x5537c6,_0x124853=this;_0x124853[_0x3ad621(0x1a7c)]=[],_0x124853['joinTables']=[],_0x124853[_0x3ad621(0x1386)]=_0x3ad621(0xfa0),_0x124853[_0x3ad621(0x1b1a)]=_0x46fa37,_0x124853['report']=angular[_0x3ad621(0x17fe)](_0x180f80),_0x124853[_0x3ad621(0x2318)]=_0x1dc65e,_0x124853[_0x3ad621(0x12c1)]=![],_0x124853[_0x3ad621(0x225a)]=_0x1120f0[_0x3ad621(0xc80)](),_0x124853[_0x3ad621(0x28e6)]=[{'key':_0x3ad621(0x1f66),'value':_0x3ad621(0x4f6)},{'key':_0x3ad621(0x1f88),'value':_0x3ad621(0xe9c)},{'key':'right_join','value':_0x3ad621(0xaf5)},{'key':_0x3ad621(0x1a56),'value':_0x3ad621(0x13f1)}];!_0x124853['report']&&(_0x124853['report']={'name':'','table':_0x3ad621(0x112a),'description':'','parent':_0x4a1ffe['id']},_0x124853[_0x3ad621(0x1386)]=_0x3ad621(0x16d5),_0x124853[_0x3ad621(0x12c1)]=!![]);_0x124853[_0x3ad621(0x18d6)]=_0x2fefa0,_0x124853[_0x3ad621(0x2502)]=_0x180425,_0x124853['deleteReport']=_0x472521,_0x124853[_0x3ad621(0xda0)]=_0x5f1eda,_0x124853[_0x3ad621(0x564)]=_0x4faa72,_0x124853['removeJoin']=_0x570acd,_0x124853[_0x3ad621(0xc84)]=_0x5ce75b;function _0x570acd(_0x358b7a){const _0x3db934=_0x3ad621;_0x124853[_0x3db934(0x1f0d)][_0x3db934(0x159c)](_0x358b7a,0x1);}function _0x5ce75b(_0x301f14,_0x587250,_0x3b3d8f){const _0x20ecc2=_0x3ad621,_0x28a553=_0x39641b()[_0x20ecc2(0x13b4)](_0x124853[_0x20ecc2(0x225a)],{'name':_0x3b3d8f});_0x28a553&&_0x28a553['api']&&_0x2e658a[_0x28a553[_0x20ecc2(0x142b)]][_0x20ecc2(0x1e57)]()[_0x20ecc2(0x1d77)]['then'](function(_0x1a49b0){const _0x4254f1=_0x20ecc2;_0x301f14[_0x587250]=_0x39641b()[_0x4254f1(0x91f)](_0x39641b()[_0x4254f1(0x1be5)](_0x1a49b0),['$promise',_0x4254f1(0x248e)]);})['catch'](function(_0x58ad3d){const _0xc38523=_0x20ecc2;console[_0xc38523(0x218e)](_0x58ad3d);});}function _0x4faa72(_0x563854){const _0x269103=_0x3ad621;_0x563854&&(delete _0x124853[_0x269103(0x5fa)],_0x124853[_0x269103(0x1f0d)][_0x269103(0x2785)](_0x563854));}function _0x2fefa0(){const _0x531997=_0x3ad621;_0x124853[_0x531997(0x1a7c)]=[],_0x124853['report']['joins']=_0x39641b()['map'](_0x124853[_0x531997(0x1f0d)]||[],function(_0x2fd8c2){const _0x5956cb=_0x531997;return{'parentKey':_0x2fd8c2[_0x5956cb(0x550)],'foreignTable':_0x2fd8c2[_0x5956cb(0x16b6)],'foreignKey':_0x2fd8c2[_0x5956cb(0x2294)],'type':_0x2fd8c2[_0x5956cb(0x66a)][_0x5956cb(0x1680)]()};}),_0x124853['report'][_0x531997(0x1c18)]=JSON['stringify'](_0x124853[_0x531997(0x16bf)]['joins']),_0x2e658a[_0x50ffca][_0x531997(0x1c3f)](_0x124853[_0x531997(0x16bf)])[_0x531997(0x1d77)][_0x531997(0x1cb0)](function(_0x49ccfc){const _0x35e61=_0x531997;_0x124853['reports'][_0x35e61(0xf63)](_0x49ccfc),_0x2c20a7[_0x35e61(0x829)]({'title':_0x35e61(0x1d47),'msg':_0x124853[_0x35e61(0x16bf)][_0x35e61(0x16b6)]?_0x124853[_0x35e61(0x16bf)][_0x35e61(0x16b6)]+_0x35e61(0x470):''}),_0x5f1eda();})[_0x531997(0x1c4)](function(_0xc99a09){const _0x1612d4=_0x531997;if(_0xc99a09['data']&&_0xc99a09[_0x1612d4(0x25c)]['errors']&&_0xc99a09[_0x1612d4(0x25c)][_0x1612d4(0x1a7c)][_0x1612d4(0xfd0)]){_0x124853[_0x1612d4(0x1a7c)]=_0xc99a09[_0x1612d4(0x25c)]['errors']||[{'message':_0xc99a09['toString'](),'type':_0x1612d4(0x1731)}];for(let _0x23049b=0x0;_0x23049b<_0xc99a09['data'][_0x1612d4(0x1a7c)][_0x1612d4(0xfd0)];_0x23049b++){_0x2c20a7[_0x1612d4(0x218e)]({'title':_0xc99a09[_0x1612d4(0x25c)]['errors'][_0x23049b][_0x1612d4(0x66a)],'msg':_0xc99a09[_0x1612d4(0x25c)][_0x1612d4(0x1a7c)][_0x23049b][_0x1612d4(0x155e)]});}}else _0x2c20a7['error']({'title':_0xc99a09[_0x1612d4(0x291)]?'API:'+_0xc99a09[_0x1612d4(0x291)]+'\x20-\x20'+_0xc99a09[_0x1612d4(0xc22)]:_0x1612d4(0x1731),'msg':_0xc99a09[_0x1612d4(0x25c)]?JSON[_0x1612d4(0x2701)](_0xc99a09[_0x1612d4(0x25c)][_0x1612d4(0x155e)]):_0xc99a09[_0x1612d4(0x147f)]()});});}function _0x180425(){const _0x1196b1=_0x3ad621;_0x124853[_0x1196b1(0x1a7c)]=[],_0x2e658a[_0x50ffca]['update']({'id':_0x124853[_0x1196b1(0x16bf)]['id']},_0x124853[_0x1196b1(0x16bf)])[_0x1196b1(0x1d77)]['then'](function(_0x1036f0){const _0x3adbd1=_0x1196b1,_0x35255c=_0x39641b()['find'](_0x124853[_0x3adbd1(0x2318)],{'id':_0x1036f0['id']});_0x35255c&&_0x39641b()[_0x3adbd1(0x9c1)](_0x35255c,_0x1036f0),_0x2c20a7[_0x3adbd1(0x829)]({'title':'Report\x20properly\x20saved!','msg':_0x124853[_0x3adbd1(0x16bf)]['name']?_0x124853[_0x3adbd1(0x16bf)]['name']+_0x3adbd1(0xedb):''}),_0x5f1eda();})[_0x1196b1(0x1c4)](function(_0x2b2fb2){const _0xc8446e=_0x1196b1;if(_0x2b2fb2['data']&&_0x2b2fb2['data'][_0xc8446e(0x1a7c)]&&_0x2b2fb2[_0xc8446e(0x25c)]['errors']['length']){_0x124853['errors']=_0x2b2fb2[_0xc8446e(0x25c)]['errors']||[{'message':_0x2b2fb2[_0xc8446e(0x147f)](),'type':_0xc8446e(0x1648)}];for(let _0x360432=0x0;_0x360432<_0x2b2fb2['data'][_0xc8446e(0x1a7c)][_0xc8446e(0xfd0)];_0x360432++){_0x2c20a7[_0xc8446e(0x218e)]({'title':_0x2b2fb2[_0xc8446e(0x25c)][_0xc8446e(0x1a7c)][_0x360432][_0xc8446e(0x66a)],'msg':_0x2b2fb2[_0xc8446e(0x25c)][_0xc8446e(0x1a7c)][_0x360432][_0xc8446e(0x155e)]});}}else _0x2c20a7[_0xc8446e(0x218e)]({'title':_0x2b2fb2['status']?_0xc8446e(0xeb9)+_0x2b2fb2[_0xc8446e(0x291)]+_0xc8446e(0x1657)+_0x2b2fb2[_0xc8446e(0xc22)]:_0xc8446e(0x1648),'msg':_0x2b2fb2[_0xc8446e(0x25c)]?JSON[_0xc8446e(0x2701)](_0x2b2fb2[_0xc8446e(0x25c)][_0xc8446e(0x155e)]):_0x2b2fb2[_0xc8446e(0x147f)]()});});}function _0x472521(){const _0x59ca52=_0x3ad621;_0x124853['errors']=[];const _0xf30668=_0x3182f1['confirm']()[_0x59ca52(0x1386)](_0x59ca52(0x1a2e))[_0x59ca52(0x862)](_0x59ca52(0x5a7))['ariaLabel'](_0x59ca52(0x7fa))['ok']('Delete')['cancel'](_0x59ca52(0xde1))[_0x59ca52(0x728)](event);_0x3182f1[_0x59ca52(0xe27)](_0xf30668)[_0x59ca52(0x1cb0)](function(){const _0x265d02=_0x59ca52;_0x2e658a[_0x50ffca][_0x265d02(0x111d)]({'id':_0x124853[_0x265d02(0x16bf)]['id']})[_0x265d02(0x1d77)][_0x265d02(0x1cb0)](function(){const _0x57d96a=_0x265d02;_0x39641b()[_0x57d96a(0x152a)](_0x124853[_0x57d96a(0x2318)],{'id':_0x124853[_0x57d96a(0x16bf)]['id']}),_0x2c20a7['success']({'title':_0x57d96a(0x16f3),'msg':_0x124853[_0x57d96a(0x16bf)][_0x57d96a(0x16b6)]?_0x124853[_0x57d96a(0x16bf)][_0x57d96a(0x16b6)]+_0x57d96a(0x3f5):''}),_0x5f1eda();})['catch'](function(_0x5bb255){const _0x425f14=_0x265d02;_0x124853['errors']=_0x5bb255['data']['errors']||[{'message':_0x5bb255[_0x425f14(0x147f)](),'type':_0x425f14(0x271c)}];});},function(){});}function _0x5f1eda(){const _0x2f46cb=_0x3ad621;_0x3182f1[_0x2f46cb(0x1426)]();}}const _0x4cbdc8=_0x5998d7;;_0x1295f9[_0x5537c6(0x15b6)]=['$mdDialog','describeTable','toasty',_0x5537c6(0xf6d)];function _0x1295f9(_0x514eee,_0x45c367,_0x22ee70,_0x2e64cc){const _0x367bde=_0x5537c6,_0x236c5a=this;_0x236c5a[_0x367bde(0x16bf)]={},_0x236c5a[_0x367bde(0x14dc)]=_0x2e64cc[_0x367bde(0x1c35)],_0x236c5a['init']=_0x5153ba,_0x236c5a[_0x367bde(0x1dc7)]=_0x4e3ecb,_0x236c5a[_0x367bde(0x28e3)]=_0x3a99da,_0x236c5a['deleteConfirm']=_0x46bd28,_0x236c5a[_0x367bde(0x1164)]=_0x213451;function _0x5153ba(_0x7bc4cb,_0x36ccc0){const _0x3c834a=_0x367bde;_0x236c5a['report']=_0x7bc4cb,_0x236c5a[_0x3c834a(0x16bf)][_0x3c834a(0x166c)]=angular['fromJson'](_0x7bc4cb['conditions'])||{'group':{'operator':'AND','rules':[]}},_0x236c5a[_0x3c834a(0x1b1a)]=typeof _0x36ccc0!==_0x3c834a(0x16b5)?_0x36ccc0:{'readOnly':!![],'canEdit':![],'canDelete':![]};for(let _0x1948fd=0x0;_0x1948fd<_0x236c5a[_0x3c834a(0x16bf)][_0x3c834a(0x166c)][_0x3c834a(0x716)]['rules'][_0x3c834a(0xfd0)];_0x1948fd++){if(_0x236c5a[_0x3c834a(0x16bf)][_0x3c834a(0x166c)][_0x3c834a(0x716)][_0x3c834a(0x1d60)][_0x1948fd][_0x3c834a(0x2198)]){const _0x51d269=_0x236c5a[_0x3c834a(0x16bf)][_0x3c834a(0x166c)][_0x3c834a(0x716)][_0x3c834a(0x1d60)][_0x1948fd][_0x3c834a(0x2198)][_0x3c834a(0xbe1)]('.');_0x51d269[_0x3c834a(0xfd0)]===0x1&&(_0x236c5a['report']['condition'][_0x3c834a(0x716)][_0x3c834a(0x1d60)][_0x1948fd][_0x3c834a(0x2198)]=_0x236c5a[_0x3c834a(0x16bf)][_0x3c834a(0xc83)]+'.'+_0x236c5a[_0x3c834a(0x16bf)][_0x3c834a(0x166c)][_0x3c834a(0x716)][_0x3c834a(0x1d60)][_0x1948fd][_0x3c834a(0x2198)]);}}_0x45c367[_0x3c834a(0x27b0)](_0x236c5a[_0x3c834a(0x16bf)][_0x3c834a(0xc83)])[_0x3c834a(0x1cb0)](function(_0x273c9a){const _0x4bf183=_0x3c834a;_0x236c5a[_0x4bf183(0x1b1)]=_0x39641b()[_0x4bf183(0x91f)](_0x39641b()[_0x4bf183(0x1be5)](_0x273c9a),[_0x4bf183(0x1d77),'$resolved',_0x4bf183(0x24cb),'updatedAt']);})[_0x3c834a(0x1c4)](function(_0x198c40){const _0x2e1f68=_0x3c834a;_0x22ee70[_0x2e1f68(0x218e)]({'title':_0x198c40[_0x2e1f68(0x291)]?'API:'+_0x198c40[_0x2e1f68(0x291)]+_0x2e1f68(0x1657)+_0x198c40[_0x2e1f68(0xc22)]:_0x2e1f68(0x1048),'msg':_0x198c40['data']?JSON['stringify'](_0x198c40[_0x2e1f68(0x25c)]):_0x198c40[_0x2e1f68(0x147f)]()});});}function _0x4e3ecb(_0x5e07d0){_0x5e07d0['unshift']({'condition':'=','field':'','value':''});}function _0x3a99da(_0x4820f8){const _0x59771d=_0x367bde;_0x4820f8[_0x59771d(0x2785)]({'group':{'operator':_0x59771d(0x909),'rules':[]}});}function _0x46bd28(_0x45761f,_0x3b80ef,_0x5136ec){const _0xd1edf2=_0x367bde,_0x18293d=_0x514eee[_0xd1edf2(0x1551)]()[_0xd1edf2(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20item?')[_0xd1edf2(0x49e)](_0xd1edf2(0x1630))[_0xd1edf2(0x15ad)]('delete\x20item')[_0xd1edf2(0x728)](_0x5136ec)['ok']('OK')[_0xd1edf2(0x696)](_0xd1edf2(0x24ba));_0x514eee[_0xd1edf2(0xe27)](_0x18293d)['then'](function(){const _0x282dbd=_0xd1edf2;_0x45761f[_0x282dbd(0x159c)](_0x3b80ef,0x1);},function(){const _0x54a6e4=_0xd1edf2;console['log'](_0x54a6e4(0x24ba));});}function _0x213451(_0x156f0c){const _0x149f37=_0x367bde;return!_0x39641b()[_0x149f37(0x250a)](['IS\x20NULL',_0x149f37(0x2d0),_0x149f37(0x1e9f),'IS\x20NOT\x20EMPTY'],_0x156f0c);}}const _0x4b05f2=_0x1295f9;;_0x2409b9['$inject']=[_0x5537c6(0x142b)];function _0x2409b9(_0x42f242){const _0x30eaed=_0x5537c6,_0x2ccefd={'cm_companies':_0x30eaed(0x107a),'cm_contacts':_0x30eaed(0xbe7),'cm_hopper':'cmHopper','cm_hopper_final':_0x30eaed(0x145f),'cm_hopper_history':_0x30eaed(0x1e15),'cm_lists':'cmList','chat_websites':_0x30eaed(0x226c),'chat_queues':_0x30eaed(0x1e86),'chat_interactions':_0x30eaed(0x115b),'chat_messages':_0x30eaed(0x5a4),'chat_offline_messages':'chatOfflineMessage','report_chat_queue':_0x30eaed(0x2046),'report_chat_transfer':_0x30eaed(0xa2e),'mail_accounts':_0x30eaed(0x131c),'mail_queues':_0x30eaed(0x16e1),'mail_interactions':'mailInteraction','mail_messages':_0x30eaed(0x17aa),'report_mail_queue':_0x30eaed(0x1c12),'report_mail_transfer':_0x30eaed(0x1c06),'openchannel_accounts':_0x30eaed(0x80e),'openchannel_queues':_0x30eaed(0x3a9),'openchannel_interactions':_0x30eaed(0x1f5b),'openchannel_messages':'openchannelMessage','report_openchannel_queue':'openchannelQueueReport','report_openchannel_transfer':_0x30eaed(0x19ef),'sms_accounts':'smsAccount','sms_queues':_0x30eaed(0x62e),'sms_interactions':_0x30eaed(0xa71),'sms_messages':_0x30eaed(0x130f),'report_sms_queue':_0x30eaed(0x1533),'report_sms_transfer':_0x30eaed(0x2420),'fax_accounts':_0x30eaed(0xb91),'fax_queues':_0x30eaed(0x54d),'fax_interactions':'faxInteraction','fax_messages':'faxMessage','report_fax_transfer':_0x30eaed(0x9e7),'report_fax_queue':'faxQueueReport','report_call':_0x30eaed(0x11f2),'report_agent':_0x30eaed(0x247a),'report_square':_0x30eaed(0x12d9),'report_member':_0x30eaed(0x2534),'report_queue':'voiceQueueReport','report_square_details':_0x30eaed(0x950),'report_dial':_0x30eaed(0x593),'report_jscripty_sessions':_0x30eaed(0x425),'report_jscripty_questions':_0x30eaed(0x10ad),'report_jscripty_answers':_0x30eaed(0x1d59),'users':_0x30eaed(0xebe),'voice_recordings':_0x30eaed(0x23d1),'cdr':_0x30eaed(0x8ff),'whatsapp_accounts':'whatsappAccount','whatsapp_queues':_0x30eaed(0xea8),'whatsapp_interactions':_0x30eaed(0x197a),'whatsapp_messages':_0x30eaed(0x162c),'report_whatsapp_queue':_0x30eaed(0xf64),'report_whatsapp_transfer':_0x30eaed(0x108b)};return{'getMap':function(){const _0x44e411=_0x30eaed,_0x3a6c48=[];return _0x39641b()[_0x44e411(0x1ebd)](_0x2ccefd,function(_0x477d1d,_0xfcf3fb){const _0x379c4f=_0x44e411;_0x3a6c48[_0x379c4f(0x2785)]({'name':_0xfcf3fb,'api':_0x477d1d});}),_0x3a6c48;},'getColumns':function(_0x2af008){const _0x149ebd=_0x30eaed,_0x4a57e1=_0x2ccefd[_0x2af008];return _0x42f242[_0x4a57e1][_0x149ebd(0x1e57)]()[_0x149ebd(0x1d77)];}};}const _0x4f0b6d=_0x2409b9;;_0x1ad31f['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x9bf),_0x5537c6(0x16bf),'reportFields','reportField',_0x5537c6(0x27b1),_0x5537c6(0x1b1),_0x5537c6(0xf6d),_0x5537c6(0x142b),_0x5537c6(0x1b1a)];function _0x1ad31f(_0x1ac825,_0x5b0f4b,_0x194a11,_0x3396e8,_0xaa0164,_0x13bea6,_0x334703,_0x579b6c,_0x14fdb2,_0x38f938,_0x396f9c,_0x49659f){const _0x331e63=_0x5537c6,_0x43528b=this;_0x43528b['errors']=[],_0x43528b['title']=_0x331e63(0x1d80),_0x43528b[_0x331e63(0x16bf)]=_0xaa0164,_0x43528b[_0x331e63(0x233f)]=angular[_0x331e63(0x17fe)](_0x334703),_0x43528b[_0x331e63(0x11a0)]=_0x13bea6,_0x43528b['metrics']=_0x579b6c,_0x43528b['columns']=_0x14fdb2,_0x43528b[_0x331e63(0xf6d)]=_0x38f938,_0x43528b[_0x331e63(0x13a7)]=![],_0x43528b[_0x331e63(0x1b1a)]=_0x49659f;if(!_0x43528b[_0x331e63(0x233f)])_0x43528b['reportField']={'type':_0x331e63(0xa9c),'function':null,'format':null,'groupBy':![],'orderBy':null},_0x43528b[_0x331e63(0x1386)]=_0x331e63(0x19d8),_0x43528b[_0x331e63(0x13a7)]=!![];else{_0x43528b['reportField'][_0x331e63(0x66a)]=_0x43528b[_0x331e63(0x233f)][_0x331e63(0x197c)]?_0x331e63(0x197c):_0x43528b['reportField'][_0x331e63(0x1d9a)]?_0x331e63(0x195f):_0x331e63(0xa9c);if(_0x43528b[_0x331e63(0x233f)][_0x331e63(0x2198)]&&!_0x43528b['reportField']['custom']&&_0x39641b()['isNil'](_0x43528b[_0x331e63(0x233f)][_0x331e63(0x1d9a)])){const _0x30e261=_0x43528b[_0x331e63(0x233f)]['field'][_0x331e63(0xbe1)]('.');_0x30e261[_0x331e63(0xfd0)]===0x1&&(_0x43528b[_0x331e63(0x233f)]['field']=_0x43528b[_0x331e63(0x16bf)][_0x331e63(0xc83)]+'.'+_0x43528b[_0x331e63(0x233f)][_0x331e63(0x2198)]);}}_0x43528b[_0x331e63(0x2319)]=_0x13213c,_0x43528b['saveReportField']=_0x4cf29c,_0x43528b[_0x331e63(0x28da)]=_0x436bcf,_0x43528b[_0x331e63(0xda0)]=_0x5b3d83;function _0x13213c(){const _0x560b40=_0x331e63;_0x43528b[_0x560b40(0x1a7c)]=[],_0x43528b[_0x560b40(0x233f)][_0x560b40(0x197c)]=_0x43528b[_0x560b40(0x233f)][_0x560b40(0x66a)]===_0x560b40(0x197c),_0x43528b[_0x560b40(0x233f)]['MetricId']=_0x43528b['reportField'][_0x560b40(0x66a)]==='metric'?_0x43528b[_0x560b40(0x233f)][_0x560b40(0x1d9a)]:null,_0x43528b[_0x560b40(0x233f)][_0x560b40(0x251a)]=_0x43528b['report']['id'],_0x396f9c[_0x560b40(0x14c8)][_0x560b40(0x1c3f)](_0x43528b['reportField'])[_0x560b40(0x1d77)]['then'](function(_0x2b6621){const _0x5de4ae=_0x560b40;_0x43528b[_0x5de4ae(0x11a0)][_0x5de4ae(0x2785)](_0x2b6621),_0x3396e8[_0x5de4ae(0x829)]({'title':'Report\x20field\x20properly\x20created','msg':_0x43528b[_0x5de4ae(0x233f)][_0x5de4ae(0x2198)]?_0x43528b['reportField'][_0x5de4ae(0x2198)]+_0x5de4ae(0x470):_0x43528b[_0x5de4ae(0x233f)][_0x5de4ae(0x66a)]+_0x5de4ae(0x470)}),_0x5b3d83();})[_0x560b40(0x1c4)](function(_0x86bfda){const _0x4aadb1=_0x560b40;_0x43528b['errors']=_0x86bfda['data']['errors']||[{'message':_0x86bfda[_0x4aadb1(0x147f)](),'type':'api.analyticFieldReport.save'}];});}function _0x4cf29c(){const _0x4ac7ec=_0x331e63;_0x43528b['errors']=[],_0x43528b[_0x4ac7ec(0x233f)][_0x4ac7ec(0x197c)]=_0x43528b[_0x4ac7ec(0x233f)][_0x4ac7ec(0x66a)]===_0x4ac7ec(0x197c),_0x43528b[_0x4ac7ec(0x233f)][_0x4ac7ec(0x1d9a)]=_0x43528b[_0x4ac7ec(0x233f)]['type']===_0x4ac7ec(0x195f)?_0x43528b[_0x4ac7ec(0x233f)][_0x4ac7ec(0x1d9a)]:null,_0x396f9c[_0x4ac7ec(0x14c8)][_0x4ac7ec(0x687)]({'id':_0x43528b[_0x4ac7ec(0x233f)]['id']},_0x43528b[_0x4ac7ec(0x233f)])['$promise'][_0x4ac7ec(0x1cb0)](function(_0x2bedb1){const _0x5a1930=_0x4ac7ec,_0x13e196=_0x39641b()[_0x5a1930(0x13b4)](_0x43528b[_0x5a1930(0x11a0)],{'id':_0x2bedb1['id']});_0x13e196&&_0x39641b()['merge'](_0x13e196,_0x2bedb1),_0x3396e8[_0x5a1930(0x829)]({'title':'Report\x20Field\x20properly\x20saved!','msg':_0x43528b[_0x5a1930(0x233f)]['field']?_0x43528b[_0x5a1930(0x233f)]['field']+'\x20has\x20been\x20saved!':''}),_0x5b3d83();})[_0x4ac7ec(0x1c4)](function(_0x343032){const _0x241c73=_0x4ac7ec;_0x43528b[_0x241c73(0x1a7c)]=_0x343032['data'][_0x241c73(0x1a7c)]||[{'message':_0x343032['toString'](),'type':_0x241c73(0x16c)}];});}function _0x436bcf(_0x47fffb){const _0x210978=_0x331e63;_0x43528b[_0x210978(0x1a7c)]=[];const _0x147c17=_0x194a11[_0x210978(0x1551)]()[_0x210978(0x1386)](_0x210978(0x1a2e))[_0x210978(0x862)](_0x210978(0x19b9))[_0x210978(0x15ad)](_0x210978(0x7fa))['ok'](_0x210978(0x2594))[_0x210978(0x696)](_0x210978(0xde1))[_0x210978(0x728)](_0x47fffb);_0x194a11[_0x210978(0xe27)](_0x147c17)[_0x210978(0x1cb0)](function(){const _0x525631=_0x210978;_0x396f9c[_0x525631(0x14c8)][_0x525631(0x111d)]({'id':_0x43528b[_0x525631(0x233f)]['id']})[_0x525631(0x1d77)][_0x525631(0x1cb0)](function(){const _0x2f8851=_0x525631;_0x39641b()[_0x2f8851(0x152a)](_0x43528b[_0x2f8851(0x11a0)],{'id':_0x43528b[_0x2f8851(0x233f)]['id']}),_0x3396e8['success']({'title':_0x2f8851(0x257f),'msg':_0x43528b[_0x2f8851(0x233f)][_0x2f8851(0x2198)]?_0x43528b[_0x2f8851(0x233f)][_0x2f8851(0x2198)]+_0x2f8851(0x3f5):''}),_0x5b3d83();})[_0x525631(0x1c4)](function(_0x59eddf){const _0x5f36a9=_0x525631;_0x43528b[_0x5f36a9(0x1a7c)]=_0x59eddf[_0x5f36a9(0x25c)]['errors']||[{'message':_0x59eddf[_0x5f36a9(0x147f)](),'type':_0x5f36a9(0x1c14)}];});},function(){});}function _0x5b3d83(){const _0x2142a1=_0x331e63;_0x194a11[_0x2142a1(0x1426)]();}}const _0x5a3501=_0x1ad31f;;const _0x4dfe34=_0x5074a3['p']+_0x5537c6(0x19ea);;_0xf9c69e['$inject']=[_0x5537c6(0x173),_0x5537c6(0x1463),_0x5537c6(0x2168),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0xfc2),_0x5537c6(0xf6d),_0x5537c6(0x1ae)];function _0xf9c69e(_0x41c5cd,_0xd7e234,_0x30e65e,_0x234ec2,_0x307c19,_0x4cbbc,_0x318fba,_0x2542fe,_0x35d145,_0x4acb3f){const _0x7eab68=_0x5537c6,_0x23c1b4=this;_0x23c1b4[_0x7eab68(0x16bf)]={},_0x23c1b4['reportFields']={'count':0x0,'rows':[]},_0x23c1b4[_0x7eab68(0xbf3)]=_0x39641b()[_0x7eab68(0x2631)](_0x35d145[_0x7eab68(0x2155)],_0x7eab68(0x327)),_0x23c1b4[_0x7eab68(0x2233)]=_0x39641b()[_0x7eab68(0x2631)](_0x35d145[_0x7eab68(0x169f)],_0x7eab68(0x327)),_0x23c1b4[_0x7eab68(0x1374)]=_0x39641b()[_0x7eab68(0x2631)](_0x35d145[_0x7eab68(0x107d)],_0x7eab68(0x327)),_0x23c1b4[_0x7eab68(0x17ca)]=_0x39641b()[_0x7eab68(0x2631)](_0x35d145[_0x7eab68(0x1251)],_0x7eab68(0x327)),_0x23c1b4['selectedReportFields']=[],_0x23c1b4[_0x7eab68(0xae2)]={'fields':'createdAt,updatedAt,id,field,alias,function,format,groupBy,orderBy,custom,MetricId','nolimit':!![]},_0x23c1b4[_0x7eab68(0x831)]={'animation':0x64,'onSort':function(_0xb30a0a){const _0x14028f=_0x7eab68;_0x318fba[_0x14028f(0x14c8)]['addFields']({'id':_0x23c1b4['report']['id']},_0xb30a0a[_0x14028f(0x1171)])[_0x14028f(0x1d77)][_0x14028f(0x1cb0)](function(_0x332361){const _0x482714=_0x14028f;_0x23c1b4[_0x482714(0x11a0)]['rows']=_0x332361;})[_0x14028f(0x1c4)](function(_0x23adb3){const _0xcbccea=_0x14028f;_0x4cbbc[_0xcbccea(0x218e)]({'title':_0x23adb3[_0xcbccea(0x291)]?_0xcbccea(0xeb9)+_0x23adb3[_0xcbccea(0x291)]+_0xcbccea(0x1657)+_0x23adb3['statusText']:_0xcbccea(0xbd4)});});}},_0x23c1b4[_0x7eab68(0x1a8e)]=_0x2053ec,_0x23c1b4['deleteConfirm']=_0x24d44c,_0x23c1b4[_0x7eab68(0x829)]=_0x4d5bf3,_0x23c1b4[_0x7eab68(0x35f)]=_0x4c5e7d,_0x23c1b4['createOrEditReportField']=_0x403d32,_0x23c1b4[_0x7eab68(0x28da)]=_0x1b1ed2,_0x23c1b4['deleteSelectedReportFields']=_0x42fd17,_0x23c1b4[_0x7eab68(0x22b0)]=_0x1ffd2d,_0x23c1b4[_0x7eab68(0x170)]=_0x2ad682;function _0x2053ec(_0x289ac6,_0x4b60d2){const _0x4b5fc0=_0x7eab68;_0x23c1b4[_0x4b5fc0(0x16bf)]=_0x289ac6,_0x23c1b4[_0x4b5fc0(0xae2)][_0x4b5fc0(0x251a)]=_0x23c1b4['report']['id'],_0x23c1b4[_0x4b5fc0(0x1b1a)]=typeof _0x4b60d2!==_0x4b5fc0(0x16b5)?_0x4b60d2:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x23c1b4['sortableTable'][_0x4b5fc0(0x379)]=!_0x23c1b4[_0x4b5fc0(0x1b1a)][_0x4b5fc0(0xb3d)]?!![]:![],_0x2542fe[_0x4b5fc0(0x27b0)](_0x23c1b4['report']['table'])[_0x4b5fc0(0x1cb0)](function(_0x272036){const _0x17b6eb=_0x4b5fc0;return _0x23c1b4[_0x17b6eb(0x1b1)]=_0x39641b()[_0x17b6eb(0x91f)](_0x39641b()['keys'](_0x272036),[_0x17b6eb(0x1d77),_0x17b6eb(0x248e)]),_0x318fba[_0x17b6eb(0xe71)][_0x17b6eb(0xbf7)]({'fields':_0x17b6eb(0x275c),'nolimit':!![]})['$promise'];})[_0x4b5fc0(0x1cb0)](function(_0x3bf1b6){const _0x3020b8=_0x4b5fc0;_0x23c1b4[_0x3020b8(0x27b1)]=_0x3bf1b6[_0x3020b8(0x2214)];})[_0x4b5fc0(0x1c4)](function(_0x2c135d){const _0x34f684=_0x4b5fc0;_0x4cbbc[_0x34f684(0x218e)]({'title':_0x2c135d[_0x34f684(0x291)]?_0x34f684(0xeb9)+_0x2c135d[_0x34f684(0x291)]+_0x34f684(0x1657)+_0x2c135d[_0x34f684(0xc22)]:_0x34f684(0x1048),'msg':_0x2c135d[_0x34f684(0x25c)]?JSON['stringify'](_0x2c135d[_0x34f684(0x25c)]):_0x2c135d[_0x34f684(0x147f)]()});}),_0x23c1b4[_0x4b5fc0(0x35f)]();}function _0x24d44c(_0x237b0a,_0x525c33){const _0x205556=_0x7eab68,_0x5dba50=_0x234ec2['confirm']()[_0x205556(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20field?')[_0x205556(0x49e)](_0x205556(0x204d)+_0x237b0a[_0x205556(0x2198)]+_0x205556(0x1200)+_0x205556(0x1b6))[_0x205556(0x15ad)](_0x205556(0x21ee))['targetEvent'](_0x525c33)['ok']('OK')[_0x205556(0x696)](_0x205556(0x24ba));_0x234ec2[_0x205556(0xe27)](_0x5dba50)['then'](function(){_0x1b1ed2(_0x237b0a);},function(){const _0x54cf0c=_0x205556;console[_0x54cf0c(0x1b4f)](_0x54cf0c(0x24ba));});}let _0x56bedd=!![];_0xd7e234[_0x7eab68(0x614)](_0x7eab68(0x101b),function(){_0x56bedd?_0x30e65e(function(){_0x56bedd=![];}):_0x23c1b4['getReportFields']();});function _0x4d5bf3(_0xd64b9){const _0x4e6f6f=_0x7eab68;_0x23c1b4[_0x4e6f6f(0x11a0)]=_0xd64b9||{'count':0x0,'rows':[]};}function _0x4c5e7d(){const _0x1463cd=_0x7eab68;_0x23c1b4[_0x1463cd(0x2061)]=_0x318fba[_0x1463cd(0x14c8)][_0x1463cd(0xbf7)](_0x23c1b4[_0x1463cd(0xae2)],_0x4d5bf3)['$promise'];}function _0x403d32(_0x212976,_0x3eab33){const _0x4ca0fd=_0x7eab68;_0x234ec2[_0x4ca0fd(0xe27)]({'controller':_0x4ca0fd(0x1a59),'controllerAs':'vm','templateUrl':_0x4dfe34,'parent':angular['element'](_0x307c19[_0x4ca0fd(0x1ed9)]),'targetEvent':_0x212976,'clickOutsideToClose':!![],'locals':{'report':_0x23c1b4['report'],'reportField':_0x3eab33,'reportFields':_0x23c1b4[_0x4ca0fd(0x11a0)][_0x4ca0fd(0x2214)],'metrics':_0x23c1b4[_0x4ca0fd(0x27b1)],'columns':_0x23c1b4[_0x4ca0fd(0x1b1)],'setting':null,'crudPermissions':_0x23c1b4[_0x4ca0fd(0x1b1a)]}});}function _0x1b1ed2(_0x4257a0){const _0x124333=_0x7eab68;_0x318fba[_0x124333(0x14c8)][_0x124333(0x111d)]({'id':_0x4257a0['id']})[_0x124333(0x1d77)][_0x124333(0x1cb0)](function(){const _0x5312b4=_0x124333;_0x23c1b4[_0x5312b4(0x121d)]=[],_0x39641b()[_0x5312b4(0x152a)](_0x23c1b4['reportFields']['rows'],{'id':_0x4257a0['id']}),_0x23c1b4[_0x5312b4(0x11a0)]['count']-=0x1,!_0x23c1b4['reportFields']['rows'][_0x5312b4(0xfd0)]&&_0x23c1b4[_0x5312b4(0x35f)](),_0x4cbbc[_0x5312b4(0x829)]({'title':'Field\x20deleted!','msg':_0x4257a0[_0x5312b4(0x2198)]?_0x4257a0[_0x5312b4(0x2198)]+_0x5312b4(0x3f5):''});})[_0x124333(0x1c4)](function(_0x4f8e6e){const _0x5162b5=_0x124333;_0x4cbbc['error']({'title':_0x4f8e6e[_0x5162b5(0x291)]?_0x5162b5(0xeb9)+_0x4f8e6e[_0x5162b5(0x291)]+_0x5162b5(0x1657)+_0x4f8e6e[_0x5162b5(0xc22)]:_0x5162b5(0xf1c),'msg':_0x4f8e6e[_0x5162b5(0x25c)]?JSON[_0x5162b5(0x2701)](_0x4f8e6e['data']):_0x4f8e6e[_0x5162b5(0x147f)]()});});}function _0x42fd17(_0x8a9b9c){const _0x42453b=_0x7eab68,_0x18605c=_0x234ec2[_0x42453b(0x1551)]()[_0x42453b(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20fields?')[_0x42453b(0x49e)](_0x42453b(0x204d)+_0x23c1b4[_0x42453b(0x121d)][_0x42453b(0xfd0)]+'\x20selected'+_0x42453b(0x1b6))[_0x42453b(0x15ad)]('delete\x20fields')[_0x42453b(0x728)](_0x8a9b9c)['ok']('OK')['cancel']('CANCEL');_0x234ec2[_0x42453b(0xe27)](_0x18605c)[_0x42453b(0x1cb0)](function(){const _0x2f14c3=_0x42453b;_0x23c1b4[_0x2f14c3(0x121d)]['forEach'](function(_0x31f0d1){_0x1b1ed2(_0x31f0d1);}),_0x23c1b4['selectedReportFields']=[];});}function _0x1ffd2d(_0x4725a7){const _0x348c9d=_0x7eab68,_0x2a4743=_0x39641b()['find'](_0x23c1b4[_0x348c9d(0x27b1)],{'id':_0x4725a7});return _0x2a4743?_0x2a4743[_0x348c9d(0x16b6)]:_0x4acb3f[_0x348c9d(0x25cc)](_0x348c9d(0x2619));}function _0x2ad682(_0x1f7f80){const _0x302606=_0x7eab68,_0x42361f=_0x39641b()[_0x302606(0x13b4)](_0x23c1b4['metrics'],{'id':_0x1f7f80});return _0x42361f?_0x42361f['metric']:_0x4acb3f[_0x302606(0x25cc)]('ANALYTICS.NO_METRIC_FOUND');}}const _0x1404b6=_0xf9c69e;;function _0x542b94(){const _0x4af4b2=_0x5537c6,_0x516c0b=[{'value':'SUM','option':function(_0x2b7971){const _0x4649fe=a0_0x5cbd;return _0x4649fe(0x168d)+_0x2b7971+')';}},{'value':_0x4af4b2(0x283c),'option':function(_0x44c248){const _0x2aec6f=_0x4af4b2;return _0x2aec6f(0x120e)+_0x44c248+')';}},{'value':_0x4af4b2(0x18ed),'option':function(_0x59ff99){const _0x22b84a=_0x4af4b2;return _0x22b84a(0x176f)+_0x59ff99+')';}},{'value':_0x4af4b2(0x1f1b),'option':function(_0x32377f){const _0x343884=_0x4af4b2;return _0x343884(0x14b8)+_0x32377f+')';}},{'value':_0x4af4b2(0x23e3),'option':function(_0x41d917){const _0x3b6686=_0x4af4b2;return _0x3b6686(0x774)+_0x41d917+')';}},{'value':_0x4af4b2(0x39c),'option':function(_0x23caee){const _0x51030f=_0x4af4b2;return _0x51030f(0x1c33)+_0x23caee+')';}},{'value':_0x4af4b2(0x21a1),'option':function(_0x21f323){const _0xf8116e=_0x4af4b2;return _0xf8116e(0x768)+_0x21f323+')';}},{'value':_0x4af4b2(0xe1e),'option':function(_0x3fac97){const _0xe53c13=_0x4af4b2;return'GROUP_CONCAT\x20('+_0x3fac97+_0xe53c13(0x2486)+_0x3fac97+_0xe53c13(0x1d21);}},{'value':'GROUP_CONCAT\x20DESC','option':function(_0x16a50f){const _0xed5748=_0x4af4b2;return _0xed5748(0x768)+_0x16a50f+_0xed5748(0x2486)+_0x16a50f+_0xed5748(0xbaa);}}],_0x209b77=[{'value':_0x4af4b2(0x206d),'option':function(_0x5b5708){const _0x3e3635=_0x4af4b2;return _0x3e3635(0x906)+_0x5b5708+')';}},{'value':_0x4af4b2(0x6c1),'option':function(_0x17476e){const _0x3cf583=_0x4af4b2;return _0x3cf583(0x102c)+_0x17476e+')';}},{'value':_0x4af4b2(0x632),'option':function(_0x399233){return'HOUR\x20('+_0x399233+')';}},{'value':'ROUND','option':function(_0x3eff65){const _0x4ef984=_0x4af4b2;return _0x4ef984(0x25f1)+_0x3eff65+')';}},{'value':_0x4af4b2(0x11c0),'option':function(_0x2eb93c){const _0x1d2bc8=_0x4af4b2;return _0x1d2bc8(0xa07)+_0x2eb93c+')';}}],_0x3919c4=[{'value':![],'option':function(){return'No';}},{'value':!![],'option':function(_0x815950){const _0x21c351=_0x4af4b2;return _0x21c351(0x1230)+_0x815950;}}],_0x16050c=[{'value':'ASC','option':function(_0x365391){const _0x15b2a8=_0x4af4b2;return'ORDER\x20BY\x20'+_0x365391+_0x15b2a8(0x12ae);}},{'value':_0x4af4b2(0x16b),'option':function(_0x33e4f8){const _0x2fa11c=_0x4af4b2;return'ORDER\x20BY\x20'+_0x33e4f8+_0x2fa11c(0x20f5);}}],_0x5af178=['=','!=','<','<=','>','>=','LIKE',_0x4af4b2(0x167b),_0x4af4b2(0x182),'IS\x20NOT\x20NULL','IS\x20EMPTY',_0x4af4b2(0x23b7)];return{'getFunctions':_0x516c0b,'getFormats':_0x209b77,'getGroupBy':_0x3919c4,'getOrderBy':_0x16050c,'getConditions':_0x5af178};}const _0x269c73=_0x542b94;;_0x44ba00['$inject']=[_0x5537c6(0x406),_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x16bf),_0x5537c6(0x1774),_0x5537c6(0x2199)];function _0x44ba00(_0x2d4176,_0x13f191,_0x1b5c97,_0x2669fc,_0x400d63,_0x48225a){const _0x3a3a70=_0x5537c6,_0x3c4dad=this;_0x3c4dad[_0x3a3a70(0x16bf)]=_0x2669fc||{},_0x3c4dad[_0x3a3a70(0x2199)]=_0x48225a&&_0x48225a[_0x3a3a70(0x184d)]==0x1?_0x48225a[_0x3a3a70(0x2214)][0x0]:null,_0x3c4dad[_0x3a3a70(0x1b1a)]=_0x400d63[_0x3a3a70(0x14ea)](_0x3c4dad[_0x3a3a70(0x2199)]?_0x3c4dad['userProfileSection'][_0x3a3a70(0x1b1a)]:null),_0x3c4dad[_0x3a3a70(0x8ec)]=_0x2d4176[_0x3a3a70(0x1dfe)][_0x3a3a70(0x291e)]||0x0,_0x3c4dad[_0x3a3a70(0x2096)]=_0x315f3d,_0x3c4dad[_0x3a3a70(0x2502)]=_0x4235b1,_0x3c4dad[_0x3a3a70(0x1114)]=_0x5723e3,_0x3c4dad[_0x3a3a70(0x8d0)]=_0x12b41f,_0x3c4dad['mapArray']=_0x10ed7f,_0x3c4dad[_0x3a3a70(0x216b)]=_0x424152,_0x3c4dad[_0x3a3a70(0xf39)]=_0x5d3b9a;function _0x315f3d(){const _0x557400=_0x3a3a70;_0x2d4176['go'](_0x557400(0x1a53),{},{'reload':_0x557400(0x1a53)});}function _0x4235b1(){const _0x143d87=_0x3a3a70;_0x3c4dad['report']['conditions']=angular[_0x143d87(0x393)](_0x3c4dad[_0x143d87(0x16bf)]['condition']),_0x1b5c97[_0x143d87(0x1e32)]['update']({'id':_0x3c4dad['report']['id']},_0x39641b()[_0x143d87(0x1bd8)](_0x3c4dad['report'],_0x143d87(0x1c18)))[_0x143d87(0x1d77)][_0x143d87(0x1cb0)](function(){const _0x1aa977=_0x143d87;_0x13f191[_0x1aa977(0x829)]({'title':'Report\x20updated!','msg':_0x3c4dad['report'][_0x1aa977(0x16b6)]?_0x3c4dad[_0x1aa977(0x16bf)][_0x1aa977(0x16b6)]+_0x1aa977(0x1068):''});})[_0x143d87(0x1c4)](function(_0x660b8){const _0x18b3f3=_0x143d87;_0x13f191[_0x18b3f3(0x218e)]({'title':_0x660b8[_0x18b3f3(0x291)]?_0x18b3f3(0xeb9)+_0x660b8['status']+_0x18b3f3(0x1657)+_0x660b8[_0x18b3f3(0xc22)]:_0x18b3f3(0x55c),'msg':_0x660b8['data']?JSON[_0x18b3f3(0x2701)](_0x660b8[_0x18b3f3(0x25c)]):_0x660b8[_0x18b3f3(0x147f)]()});});}function _0x5723e3(){const _0x2efb11=_0x3a3a70;_0x3c4dad['columns']=[],_0x3c4dad[_0x2efb11(0x2214)]=[],_0x3c4dad[_0x2efb11(0x218e)]=![],_0x1b5c97[_0x2efb11(0x14c8)][_0x2efb11(0xbf7)]({'fields':_0x2efb11(0x1831),'nolimit':!![],'CustomReportId':_0x3c4dad[_0x2efb11(0x16bf)]['id']})[_0x2efb11(0x1d77)]['then'](function(_0x4d12ac){const _0x481130=_0x2efb11;return _0x3c4dad[_0x481130(0x1b1)]=_0x4d12ac?_0x4d12ac['rows']:[],_0x1b5c97[_0x481130(0x1e32)][_0x481130(0x2772)]({'id':_0x3c4dad[_0x481130(0x16bf)]['id']})['$promise'];})['then'](function(_0x4dce39){const _0x1b66ed=_0x2efb11;_0x3c4dad[_0x1b66ed(0x2214)]=_0x4dce39;})[_0x2efb11(0x1c4)](function(_0x44aa16){const _0x244cd0=_0x2efb11;console[_0x244cd0(0x1b4f)](_0x44aa16),_0x3c4dad[_0x244cd0(0x218e)]={'title':_0x44aa16[_0x244cd0(0x291)]?'API:'+_0x44aa16[_0x244cd0(0x291)]+_0x244cd0(0x1657)+_0x44aa16['statusText']:'SYSTEM:analyticFieldReport','msg':_0x44aa16[_0x244cd0(0x25c)]?_0x44aa16[_0x244cd0(0x25c)][_0x244cd0(0x155e)]:_0x44aa16[_0x244cd0(0x147f)](),'sql':_0x44aa16[_0x244cd0(0x25c)]?_0x44aa16[_0x244cd0(0x25c)][_0x244cd0(0xbfb)]?_0x44aa16['data'][_0x244cd0(0xbfb)]['sql']:_0x244cd0(0x28ee):_0x244cd0(0x28ee)},_0x13f191[_0x244cd0(0x218e)](_0x3c4dad[_0x244cd0(0x218e)]);});}function _0x5d3b9a(_0x53aa70,_0x4abfd1){const _0x46d6ac=_0x3a3a70;return _0x543b5a()(_0x4abfd1,_0x46d6ac(0x2963),!![])[_0x46d6ac(0x1a4f)]()&&(_0x4abfd1=_0x543b5a()(_0x4abfd1,'')[_0x46d6ac(0x1f31)](_0x46d6ac(0x2e8))),_0x4abfd1;}function _0x12b41f(){const _0x4937ca=_0x3a3a70;return _0x3c4dad['queryResult']=_0x4937ca(0x1ecb),_0x1b5c97[_0x4937ca(0x1e32)][_0x4937ca(0xae2)]({'id':_0x3c4dad['report']['id']})[_0x4937ca(0x1d77)][_0x4937ca(0x1cb0)](function(_0x47e64e){const _0x8f927=_0x4937ca;_0x3c4dad['queryResult']=_0x47e64e[_0x8f927(0x2205)];})[_0x4937ca(0x1c4)](function(_0x5f26a3){const _0x20e8b2=_0x4937ca;_0x3c4dad['queryResult']='',console['log'](_0x5f26a3),_0x3c4dad['error']={'title':_0x5f26a3[_0x20e8b2(0x291)]?_0x20e8b2(0xeb9)+_0x5f26a3[_0x20e8b2(0x291)]+_0x20e8b2(0x1657)+_0x5f26a3[_0x20e8b2(0xc22)]:'SYSTEM:analyticFieldReport','msg':_0x5f26a3['data']?_0x5f26a3[_0x20e8b2(0x25c)]['message']:_0x5f26a3[_0x20e8b2(0x147f)](),'sql':_0x5f26a3[_0x20e8b2(0x25c)]?_0x5f26a3[_0x20e8b2(0x25c)][_0x20e8b2(0xbfb)]?_0x5f26a3['data'][_0x20e8b2(0xbfb)][_0x20e8b2(0x2205)]:_0x20e8b2(0x28ee):_0x20e8b2(0x28ee)},_0x13f191[_0x20e8b2(0x218e)](_0x3c4dad[_0x20e8b2(0x218e)]);});}function _0x10ed7f(_0x237d9e,_0x596f6a){const _0x2cfc85=_0x3a3a70;if(_0x424152(_0x237d9e))return _0x39641b()[_0x2cfc85(0x1de2)](_0x237d9e,_0x596f6a)[_0x2cfc85(0x1f66)](',');return'';}function _0x424152(_0x3bf5c5){const _0x9a85f=_0x3a3a70;return _0x39641b()[_0x9a85f(0x216b)](_0x3bf5c5);}}const _0x3754bd=_0x44ba00;;_0x498e4a['$inject']=[_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x9bf),_0x5537c6(0x16bf),'api',_0x5537c6(0x217d)];function _0x498e4a(_0x585283,_0x431aa4,_0x4bcd90,_0x36d31e,_0x491031,_0x2b771c){const _0x24906d=_0x5537c6,_0x34050c=this;_0x34050c[_0x24906d(0x1a7c)]=[],_0x34050c[_0x24906d(0x16bf)]=angular['copy'](_0x36d31e),_0x34050c[_0x24906d(0xf39)]=_0x5efc89,_0x34050c[_0x24906d(0xda0)]=_0x2627c9,_0x56210f();function _0x56210f(){const _0xb6fa1a=_0x24906d;_0x34050c[_0xb6fa1a(0x1b1)]=[],_0x34050c[_0xb6fa1a(0x2214)]=[],_0x34050c[_0xb6fa1a(0x218e)]=![];const _0x498c39={'fields':_0xb6fa1a(0x1831),'nolimit':!![]};_0x498c39[_0x2b771c===_0xb6fa1a(0x1e32)?_0xb6fa1a(0x251a):_0xb6fa1a(0x22a0)]=_0x34050c[_0xb6fa1a(0x16bf)]['id'],_0x34050c[_0xb6fa1a(0x2061)]=_0x491031['analyticFieldReport']['get'](_0x498c39)[_0xb6fa1a(0x1d77)][_0xb6fa1a(0x1cb0)](function(_0x56771e){const _0x1048f7=_0xb6fa1a;return _0x34050c[_0x1048f7(0x1b1)]=_0x56771e?_0x56771e[_0x1048f7(0x2214)]:[],_0x491031[_0x2b771c][_0x1048f7(0x2772)]({'id':_0x34050c[_0x1048f7(0x16bf)]['id']})[_0x1048f7(0x1d77)];})[_0xb6fa1a(0x1cb0)](function(_0x4753e9){const _0x3c5d45=_0xb6fa1a;_0x34050c[_0x3c5d45(0x2214)]=_0x4753e9;})[_0xb6fa1a(0x1c4)](function(_0x31e964){const _0x2f69b9=_0xb6fa1a;console[_0x2f69b9(0x1b4f)](_0x31e964),_0x34050c[_0x2f69b9(0x218e)]={'title':_0x31e964['status']?_0x2f69b9(0xeb9)+_0x31e964[_0x2f69b9(0x291)]+_0x2f69b9(0x1657)+_0x31e964[_0x2f69b9(0xc22)]:_0x2f69b9(0xd5d),'msg':_0x31e964[_0x2f69b9(0x25c)]?_0x31e964[_0x2f69b9(0x25c)][_0x2f69b9(0x155e)]:_0x31e964['toString'](),'sql':_0x31e964[_0x2f69b9(0x25c)]?_0x31e964[_0x2f69b9(0x25c)][_0x2f69b9(0xbfb)]?_0x31e964[_0x2f69b9(0x25c)][_0x2f69b9(0xbfb)]['sql']:_0x2f69b9(0x28ee):_0x2f69b9(0x28ee)},_0x4bcd90['error'](_0x34050c['error']);});}function _0x5efc89(_0x27fe7b,_0x523869){const _0x26802d=_0x24906d;return _0x543b5a()(_0x523869,'YYYY-MM-DDTHH:mm:ssZ',!![])[_0x26802d(0x1a4f)]()&&(_0x523869=_0x543b5a()(_0x523869,'')[_0x26802d(0x1f31)](_0x26802d(0x2e8))),_0x523869;}function _0x2627c9(){const _0x51b65e=_0x24906d;_0x431aa4[_0x51b65e(0x1426)]();}}const _0x40e364=_0x498e4a;;const _0x58127e=_0x5074a3['p']+_0x5537c6(0x11b4);;const _0x32ab48=_0x5074a3['p']+_0x5537c6(0x52f);;const _0x329ca4=_0x5074a3['p']+_0x5537c6(0xf00);;const _0x50a506=_0x5074a3['p']+'src/js/modules/main/apps/analytics/views/reports/create/dialog.html/dialog.html';;_0x1212e0[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x2168),_0x5537c6(0x22bf),_0x5537c6(0xcb9),_0x5537c6(0x406),_0x5537c6(0x1f10),'$translate',_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x142b),_0x5537c6(0x1871),_0x5537c6(0x9bf),_0x5537c6(0x1774)];function _0x1212e0(_0xfd04fd,_0x566f97,_0x44ed42,_0x128fa5,_0x23cdda,_0x3adb2e,_0x3e56d6,_0x3daa61,_0x9db0a5,_0x4c9382,_0x57dc4e,_0xcb4bee,_0x36ad64){const _0x53a58e=_0x5537c6,_0x8e4cc5=this;_0x8e4cc5[_0x53a58e(0xe76)]=_0x36ad64['getCurrentUser'](),_0x8e4cc5['reports']={'count':0x0,'rows':[]},_0x8e4cc5[_0x53a58e(0x44a)]=_0x3daa61,_0x8e4cc5[_0x53a58e(0x2199)]=_0x9db0a5&&_0x9db0a5[_0x53a58e(0x184d)]==0x1?_0x9db0a5['rows'][0x0]:null,_0x8e4cc5[_0x53a58e(0x1b1a)]=_0x36ad64[_0x53a58e(0x14ea)](_0x8e4cc5[_0x53a58e(0x2199)]?_0x8e4cc5[_0x53a58e(0x2199)]['crudPermissions']:null),_0x8e4cc5[_0x53a58e(0x916)]=[],_0x8e4cc5['query']={'fields':_0x53a58e(0x2308),'limit':0xa,'page':0x1,'sort':_0x53a58e(0x282)},_0x8e4cc5['apiName']=null,_0x8e4cc5[_0x53a58e(0x275a)]='',_0x8e4cc5[_0x53a58e(0x1163)]=!![],_0x8e4cc5[_0x53a58e(0x235d)]=_0x5051d4,_0x8e4cc5['copydialog']=_0x3b1e84,_0x8e4cc5[_0x53a58e(0x1c81)]=_0x2d1c68,_0x8e4cc5[_0x53a58e(0x2610)]=_0x5be1de,_0x8e4cc5['downloadfile']=_0x12c69e,_0x8e4cc5['deleteconfirm']=_0x1fe851,_0x8e4cc5['success']=_0x10c7f7,_0x8e4cc5[_0x53a58e(0x903)]=_0x33b1a3,_0x8e4cc5[_0x53a58e(0x170c)]=_0x24298a,_0x8e4cc5[_0x53a58e(0x22f1)]=_0x288e73,_0x8e4cc5[_0x53a58e(0xfc6)]=_0xf95520,_0x8e4cc5[_0x53a58e(0x11ef)]=_0x6da12c,_0x8e4cc5['deleteSelectedReports']=_0x31a028,_0x8e4cc5['deselectReports']=_0x658f40,_0x8e4cc5[_0x53a58e(0x1cf6)]=_0x1bc762,_0x8e4cc5['treeDefaultData']=_0x57dc4e[_0x53a58e(0x2214)][0x0]?angular[_0x53a58e(0x284c)](_0x57dc4e['rows'][0x0][_0x53a58e(0x1bd)]):[],_0x8e4cc5[_0x53a58e(0xc92)]=_0x57dc4e[_0x53a58e(0x2214)][0x1]?angular[_0x53a58e(0x284c)](_0x57dc4e['rows'][0x1][_0x53a58e(0x1bd)]):[],_0x8e4cc5[_0x53a58e(0x116d)]=_0x3de4a6(![]),_0x8e4cc5[_0x53a58e(0x1225)]=_0x3de4a6(!![]),_0x8e4cc5[_0x53a58e(0x1058)]=_0x15d30a(![]),_0x8e4cc5[_0x53a58e(0x1333)]=_0x15d30a(!![]);function _0x5051d4(_0x42da1d){const _0x306e47=_0x53a58e;_0x23cdda['go'](_0x306e47(0xc2c),{'id':_0x42da1d['id'],'crudPermissions':_0x8e4cc5[_0x306e47(0x1b1a)]});}function _0x3b1e84(_0x231984,_0x4ad5c6){const _0x188986=_0x53a58e;_0x128fa5[_0x188986(0xe27)]({'controller':_0x188986(0xc9b),'controllerAs':'vm','templateUrl':_0x58127e,'parent':angular[_0x188986(0x1853)](_0x44ed42[_0x188986(0x1ed9)]),'targetEvent':_0x4ad5c6,'clickOutsideToClose':!![],'locals':{'report':_0x231984,'apiName':_0x8e4cc5['apiName'],'treeCustomData':_0x8e4cc5[_0x188986(0x20be)][_0x188986(0x735)](!![])['get_json']('#')}})[_0x188986(0x2e0)](function(){});}function _0x2d1c68(_0x27051b,_0x2d7c88){const _0x19be7e=_0x53a58e;_0x128fa5[_0x19be7e(0xe27)]({'controller':'PreviewReportDialogController','controllerAs':'vm','templateUrl':_0x32ab48,'parent':angular[_0x19be7e(0x1853)](_0x44ed42['body']),'targetEvent':_0x2d7c88,'clickOutsideToClose':!![],'locals':{'report':_0x27051b,'apiName':_0x8e4cc5['apiName']}});}function _0x5be1de(_0x216fc4,_0x1e8c6c){const _0x22ae9e=_0x53a58e;_0x128fa5['show']({'controller':_0x22ae9e(0x1aa8),'controllerAs':'vm','templateUrl':_0x329ca4,'parent':angular[_0x22ae9e(0x1853)](_0x44ed42[_0x22ae9e(0x1ed9)]),'targetEvent':_0x1e8c6c,'clickOutsideToClose':!![],'locals':{'report':_0x216fc4,'apiName':_0x8e4cc5[_0x22ae9e(0x217d)],'currentPath':_0x8e4cc5[_0x22ae9e(0x275a)]}});}function _0x12c69e(_0x5ba0f6){const _0x2e770b=_0x53a58e;let _0x28bed9;_0x4c9382[_0x2e770b(0xe71)][_0x2e770b(0xbf7)]({'fields':'id,name,metric,table','nolimit':!![]})[_0x2e770b(0x1d77)][_0x2e770b(0x1cb0)](function(_0x220a3e){const _0x3806f9=_0x2e770b;_0x28bed9=_0x39641b()[_0x3806f9(0x2631)](_0x220a3e[_0x3806f9(0x2214)],'id');const _0x45e3bb={'fields':'field,alias,function,format,groupBy,orderBy,custom,MetricId','nolimit':!![]};return _0x45e3bb[_0x8e4cc5['apiName']==='analyticCustomReport'?_0x3806f9(0x251a):_0x3806f9(0x22a0)]=_0x5ba0f6['id'],_0x4c9382[_0x3806f9(0x14c8)][_0x3806f9(0xbf7)](_0x45e3bb)[_0x3806f9(0x1d77)];})['then'](function(_0x455921){const _0x2209b3=_0x2e770b;for(let _0x3b1ac1=0x0;_0x3b1ac1<_0x455921['rows'][_0x2209b3(0xfd0)];_0x3b1ac1+=0x1){_0x455921['rows'][_0x3b1ac1][_0x2209b3(0x1d9a)]&&(_0x455921['rows'][_0x3b1ac1][_0x2209b3(0x2198)]=_0x28bed9[_0x455921[_0x2209b3(0x2214)][_0x3b1ac1]['MetricId']][_0x2209b3(0x195f)],_0x455921[_0x2209b3(0x2214)][_0x3b1ac1][_0x2209b3(0x197c)]=!![]),delete _0x455921[_0x2209b3(0x2214)][_0x3b1ac1][_0x2209b3(0x1d9a)];}const _0x2991b4=_0x3adb2e[_0x2209b3(0x1db8)][_0x2209b3(0x8c6)]('a');_0x2991b4[_0x2209b3(0x105b)]=_0x2209b3(0xd13)+_0x3adb2e[_0x2209b3(0x4af)](angular[_0x2209b3(0x393)]({'name':_0x5ba0f6['name'],'description':_0x5ba0f6[_0x2209b3(0x2c1)],'table':_0x5ba0f6[_0x2209b3(0xc83)],'conditions':_0x5ba0f6['conditions'],'joins':_0x5ba0f6[_0x2209b3(0x1c18)],'fields':_0x455921['rows']})),_0x2991b4[_0x2209b3(0x26ec)]=_0x5ba0f6[_0x2209b3(0x16b6)]+_0x2209b3(0x156c),_0x2991b4[_0x2209b3(0x251d)]=_0x2209b3(0x1d9f),_0x2991b4[_0x2209b3(0x20b8)](),_0xcb4bee[_0x2209b3(0x829)]({'title':_0x2209b3(0x5b3),'msg':_0x5ba0f6[_0x2209b3(0x16b6)]?_0x5ba0f6[_0x2209b3(0x16b6)]+'\x20has\x20been\x20downloaded!':''});})[_0x2e770b(0x1c4)](function(_0x390fb2){const _0x3b8ac2=_0x2e770b;_0xcb4bee[_0x3b8ac2(0x218e)]({'title':_0x390fb2[_0x3b8ac2(0x291)]?'API:'+_0x390fb2[_0x3b8ac2(0x291)]+'\x20-\x20'+_0x390fb2[_0x3b8ac2(0xc22)]:_0x3b8ac2(0x1c1f),'msg':_0x390fb2[_0x3b8ac2(0x25c)]?JSON['stringify'](_0x390fb2[_0x3b8ac2(0x25c)]):_0x390fb2[_0x3b8ac2(0x147f)]()});});}function _0x1fe851(_0x2d8eaa,_0x3fa109){const _0x4a0f1c=_0x53a58e,_0x429ea2=_0x128fa5['confirm']()['title'](_0x4a0f1c(0x1fde))[_0x4a0f1c(0x49e)](''+_0x2d8eaa['name']+''+'\x20will\x20be\x20deleted.')[_0x4a0f1c(0x15ad)]('delete\x20report')['targetEvent'](_0x3fa109)['ok']('OK')[_0x4a0f1c(0x696)](_0x4a0f1c(0x24ba));_0x128fa5[_0x4a0f1c(0xe27)](_0x429ea2)[_0x4a0f1c(0x1cb0)](function(){_0xf95520(_0x2d8eaa);},function(){const _0x3f2909=_0x4a0f1c;console[_0x3f2909(0x1b4f)](_0x3f2909(0x24ba));});}let _0x13b244=!![],_0x1e794c=0x1;_0xfd04fd[_0x53a58e(0x614)](_0x53a58e(0x957),function(_0x42b7f5,_0x1ebb77){const _0x5b7eea=_0x53a58e;_0x13b244?_0x566f97(function(){_0x13b244=![];}):(!_0x1ebb77&&(_0x1e794c=_0x8e4cc5[_0x5b7eea(0xae2)][_0x5b7eea(0x1c7b)]),_0x42b7f5!==_0x1ebb77&&(_0x8e4cc5[_0x5b7eea(0xae2)][_0x5b7eea(0x1c7b)]=0x1),!_0x42b7f5&&(_0x8e4cc5['query'][_0x5b7eea(0x1c7b)]=_0x1e794c),_0x8e4cc5[_0x5b7eea(0x903)]());}),_0xfd04fd[_0x53a58e(0x614)](_0x53a58e(0x1180),function(_0x3b4e99){const _0x2e16eb=_0x53a58e;_0x8e4cc5[_0x2e16eb(0xfcd)]&&_0x8e4cc5[_0x2e16eb(0xfcd)][_0x2e16eb(0x735)](!![])[_0x2e16eb(0x24be)](_0x3b4e99),_0x8e4cc5['treeCustomInstance']&&_0x8e4cc5['treeCustomInstance'][_0x2e16eb(0x735)](!![])[_0x2e16eb(0x24be)](_0x3b4e99);});function _0x10c7f7(_0x4ec32a){const _0xfe5bb9=_0x53a58e;_0x8e4cc5[_0xfe5bb9(0x2318)]=_0x4ec32a||{'count':0x0,'rows':[]};}function _0x33b1a3(){const _0x322d4e=_0x53a58e;_0x8e4cc5[_0x322d4e(0xae2)]['offset']=(_0x8e4cc5[_0x322d4e(0xae2)][_0x322d4e(0x1c7b)]-0x1)*_0x8e4cc5['query'][_0x322d4e(0x236)];if(_0x8e4cc5[_0x322d4e(0x217d)]){if(_0x8e4cc5[_0x322d4e(0xe76)][_0x322d4e(0x26e6)]===_0x322d4e(0x1c60)||_0x8e4cc5[_0x322d4e(0x2199)][_0x322d4e(0x12f4)])_0x8e4cc5[_0x322d4e(0x2061)]=_0x4c9382[_0x8e4cc5[_0x322d4e(0x217d)]][_0x322d4e(0xbf7)](_0x8e4cc5[_0x322d4e(0xae2)],_0x10c7f7)[_0x322d4e(0x1d77)];else{let _0x4b99fb=[];_0x8e4cc5[_0x322d4e(0x2061)]=_0x4c9382[_0x8e4cc5[_0x322d4e(0x217d)]][_0x322d4e(0xbf7)](_0x8e4cc5[_0x322d4e(0xae2)])[_0x322d4e(0x1d77)]['then'](function(_0x3f3dac){const _0x3534c2=_0x322d4e;return _0x4b99fb=_0x3f3dac&&_0x3f3dac['rows']?_0x3f3dac[_0x3534c2(0x2214)]:[],_0x4b99fb[_0x3534c2(0xfd0)]>0x0?_0x4c9382[_0x3534c2(0x1198)][_0x3534c2(0xbf7)]({'sectionId':_0x8e4cc5['userProfileSection']['id'],'type':_0x8e4cc5[_0x3534c2(0x217d)]===_0x3534c2(0x1f0c)?'DefaultReports':'CustomReports'})[_0x3534c2(0x1d77)]['then'](function(_0x1cad55){const _0x346ce2=_0x3534c2,_0x3dac5a=_0x1cad55&&_0x1cad55[_0x346ce2(0x2214)]?_0x1cad55[_0x346ce2(0x2214)]:[];if(_0x3dac5a[_0x346ce2(0xfd0)]>0x0){const _0x56fba2=[];return _0x4b99fb[_0x346ce2(0xf90)](function(_0x5d1d85){const _0x2f660f=_0x346ce2,_0x49d02b=_0x39641b()[_0x2f660f(0x13b4)](_0x3dac5a,[_0x2f660f(0x2982),_0x5d1d85['id']]);if(_0x49d02b)_0x56fba2[_0x2f660f(0x2785)](_0x5d1d85);}),_0x10c7f7({'count':_0x56fba2[_0x346ce2(0xfd0)],'rows':_0x56fba2});}else return _0x10c7f7(null);}):_0x10c7f7(null);});}}}function _0x24298a(_0x19a853,_0x14b0d9){const _0x2c4a1e=_0x53a58e;_0x128fa5[_0x2c4a1e(0xe27)]({'controller':_0x2c4a1e(0x2485),'controllerAs':'vm','templateUrl':_0x50a506,'parent':angular[_0x2c4a1e(0x1853)](_0x44ed42[_0x2c4a1e(0x1ed9)]),'targetEvent':_0x19a853,'clickOutsideToClose':!![],'locals':{'report':_0x14b0d9,'reports':_0x8e4cc5[_0x2c4a1e(0x2318)][_0x2c4a1e(0x2214)],'apiName':_0x8e4cc5[_0x2c4a1e(0x217d)],'currentNode':_0x8e4cc5[_0x2c4a1e(0x622)],'setting':null,'crudPermissions':_0x8e4cc5['crudPermissions']}});}function _0x288e73(_0x363776){const _0x11f880=_0x53a58e;if(_0x363776[_0x11f880(0x1ac4)][_0x11f880(0x66a)]===_0x11f880(0x3d1)){const _0x4e0d53=new FileReader();_0x4e0d53['onload']=function(_0x729f0a){const _0x1d3d16=_0x11f880;console[_0x1d3d16(0x1b4f)](_0x729f0a[_0x1d3d16(0x251d)][_0x1d3d16(0x1205)]);try{let _0x18bd95=atob(_0x729f0a[_0x1d3d16(0x251d)][_0x1d3d16(0x1205)][_0x1d3d16(0xbe1)](',')[0x1]);_0x18bd95=angular[_0x1d3d16(0x284c)](_0x18bd95),_0x4c9382[_0x1d3d16(0x1e32)][_0x1d3d16(0x1c3f)]({'name':_0x18bd95[_0x1d3d16(0x16b6)],'description':_0x18bd95[_0x1d3d16(0x2c1)],'table':_0x18bd95['table'],'conditions':_0x18bd95[_0x1d3d16(0x14dc)],'joins':_0x18bd95[_0x1d3d16(0x1c18)],'parent':_0x8e4cc5[_0x1d3d16(0x622)]['id']})[_0x1d3d16(0x1d77)][_0x1d3d16(0x1cb0)](function(_0x9a701a){const _0x2615d6=_0x1d3d16;_0x8e4cc5[_0x2615d6(0x2318)]['rows'][_0x2615d6(0xf63)](_0x9a701a),_0xcb4bee[_0x2615d6(0x829)]({'title':_0x2615d6(0x65d),'msg':_0x9a701a[_0x2615d6(0x16b6)]?_0x9a701a['name']+'\x20has\x20been\x20saved!':''});const _0x52e857=_0x39641b()['map'](_0x18bd95[_0x2615d6(0x355)],function(_0x50b9d4){const _0x4e10d1=_0x2615d6;return _0x39641b()[_0x4e10d1(0x2765)]({},_0x50b9d4,{'CustomReportId':_0x9a701a['id']});});return _0x4c9382[_0x2615d6(0x14c8)][_0x2615d6(0xb2a)](_0x52e857)[_0x2615d6(0x1d77)];})[_0x1d3d16(0x1cb0)](function(){const _0x429796=_0x1d3d16;_0xcb4bee[_0x429796(0x829)]({'title':_0x429796(0x2659),'msg':_0x429796(0x229)});})[_0x1d3d16(0x1c4)](function(_0x40a3ae){const _0x256119=_0x1d3d16;_0xcb4bee[_0x256119(0x218e)]({'title':_0x40a3ae[_0x256119(0x291)]?_0x256119(0xeb9)+_0x40a3ae['status']+_0x256119(0x1657)+_0x40a3ae[_0x256119(0xc22)]:'SYSTEM:GETanalyticReport','msg':_0x40a3ae[_0x256119(0x25c)]?JSON['stringify'](_0x40a3ae[_0x256119(0x25c)]):_0x40a3ae['toString']()});});}catch(_0x40cd63){console[_0x1d3d16(0x218e)](_0x40cd63),_0xcb4bee[_0x1d3d16(0x218e)]({'title':_0x1d3d16(0x1be2),'msg':_0x40cd63['toString']()});}},_0x4e0d53['readAsDataURL'](_0x363776[_0x11f880(0x1ac4)]);}else _0xcb4bee[_0x11f880(0x218e)]({'title':'Format\x20Error','msg':_0x11f880(0x877)});}function _0xf95520(_0x5144d7){const _0xf2579d=_0x53a58e;_0x8e4cc5['apiName']&&_0x4c9382[_0x8e4cc5[_0xf2579d(0x217d)]][_0xf2579d(0x111d)]({'id':_0x5144d7['id']})[_0xf2579d(0x1d77)][_0xf2579d(0x1cb0)](function(){const _0x4a1214=_0xf2579d;_0x39641b()['remove'](_0x8e4cc5[_0x4a1214(0x2318)][_0x4a1214(0x2214)],{'id':_0x5144d7['id']}),_0x8e4cc5[_0x4a1214(0x2318)][_0x4a1214(0x184d)]-=0x1,!_0x8e4cc5['reports'][_0x4a1214(0x2214)]['length']&&_0x8e4cc5[_0x4a1214(0x903)](),_0xcb4bee[_0x4a1214(0x829)]({'title':_0x4a1214(0x1607),'msg':_0x5144d7[_0x4a1214(0x16b6)]?_0x5144d7[_0x4a1214(0x16b6)]+_0x4a1214(0x3f5):''});})['catch'](function(_0x31262f){const _0x193d6f=_0xf2579d;if(_0x31262f['data']&&_0x31262f[_0x193d6f(0x25c)][_0x193d6f(0x1a7c)]&&_0x31262f[_0x193d6f(0x25c)][_0x193d6f(0x1a7c)]['length']){_0x8e4cc5[_0x193d6f(0x1a7c)]=_0x31262f[_0x193d6f(0x25c)][_0x193d6f(0x1a7c)]||[{'message':_0x31262f['toString'](),'type':'api.analyticreport.delete'}];for(let _0x1b3815=0x0;_0x1b3815<_0x31262f['data'][_0x193d6f(0x1a7c)]['length'];_0x1b3815++){_0xcb4bee[_0x193d6f(0x218e)]({'title':_0x31262f[_0x193d6f(0x25c)][_0x193d6f(0x1a7c)][_0x1b3815][_0x193d6f(0x66a)],'msg':_0x31262f[_0x193d6f(0x25c)][_0x193d6f(0x1a7c)][_0x1b3815][_0x193d6f(0x155e)]});}}else _0xcb4bee[_0x193d6f(0x218e)]({'title':_0x31262f['status']?_0x193d6f(0xeb9)+_0x31262f[_0x193d6f(0x291)]+_0x193d6f(0x1657)+_0x31262f['statusText']:_0x193d6f(0x15a6),'msg':_0x31262f['data']?JSON[_0x193d6f(0x2701)](_0x31262f[_0x193d6f(0x25c)][_0x193d6f(0x155e)]):_0x31262f['message']||_0x31262f['toString']()});});}function _0x6da12c(){const _0x13356a=_0x53a58e,_0x1ee518=angular[_0x13356a(0x17fe)](_0x8e4cc5[_0x13356a(0x916)]);return _0x8e4cc5[_0x13356a(0x916)]=[],_0x1ee518;}function _0x31a028(_0x1fe5cb){const _0x9f184e=_0x53a58e,_0x4deb9c=_0x128fa5[_0x9f184e(0x1551)]()[_0x9f184e(0x1386)](_0x9f184e(0x16ab))['htmlContent'](_0x9f184e(0x204d)+_0x8e4cc5[_0x9f184e(0x916)]['length']+_0x9f184e(0x1d6c)+_0x9f184e(0x1b6))[_0x9f184e(0x15ad)]('delete\x20Reports')['targetEvent'](_0x1fe5cb)['ok']('OK')[_0x9f184e(0x696)]('CANCEL');_0x128fa5['show'](_0x4deb9c)[_0x9f184e(0x1cb0)](function(){const _0x1ef115=_0x9f184e;_0x8e4cc5[_0x1ef115(0x916)]['forEach'](function(_0x2d5a5b){_0xf95520(_0x2d5a5b);}),_0x8e4cc5[_0x1ef115(0x916)]=[];});}function _0x658f40(){const _0x43f152=_0x53a58e;_0x8e4cc5[_0x43f152(0x916)]=[];}function _0x1bc762(){const _0x2806c0=_0x53a58e;_0x8e4cc5['selectedReports']=_0x8e4cc5[_0x2806c0(0x2318)]['rows'];}function _0x1e5220(_0x49cb64,_0x5a3dd6){const _0xd14f55=_0x53a58e;_0x8e4cc5[_0xd14f55(0xfcd)][_0xd14f55(0x735)](!![])['deselect_all'](),_0x8e4cc5[_0xd14f55(0xfcd)][_0xd14f55(0x735)](!![])[_0xd14f55(0x1b7)](),_0x8e4cc5['currentNode']=_0x5a3dd6[_0xd14f55(0x2013)],_0x8e4cc5[_0xd14f55(0x622)]['custom']=!![],_0x8e4cc5[_0xd14f55(0x275a)]=_0x8e4cc5['treeCustomInstance'][_0xd14f55(0x735)](!![])[_0xd14f55(0x147d)](_0x8e4cc5['currentNode'])[_0xd14f55(0x1f66)]('/\x20'),_0x8e4cc5[_0xd14f55(0x217d)]=_0xd14f55(0x1e32),_0x8e4cc5[_0xd14f55(0xae2)][_0xd14f55(0xbfb)]=_0x5a3dd6[_0xd14f55(0x2013)]['id'],_0x33b1a3();}function _0x201f5d(_0x21c4c8,_0xe3235f){const _0x4c8d89=_0x53a58e;_0x8e4cc5['treeCustomInstance'][_0x4c8d89(0x735)](!![])[_0x4c8d89(0x3bf)](),_0x8e4cc5['treeCustomInstance'][_0x4c8d89(0x735)](!![])[_0x4c8d89(0x1b7)](),_0x8e4cc5[_0x4c8d89(0x622)]=_0xe3235f['node'],_0x8e4cc5['currentNode'][_0x4c8d89(0x197c)]=![],_0x8e4cc5[_0x4c8d89(0x275a)]=_0x8e4cc5[_0x4c8d89(0xfcd)][_0x4c8d89(0x735)](!![])[_0x4c8d89(0x147d)](_0x8e4cc5[_0x4c8d89(0x622)])[_0x4c8d89(0x1f66)]('/\x20'),_0x8e4cc5['apiName']=_0x4c8d89(0x1f0c),_0x8e4cc5[_0x4c8d89(0xae2)]['parent']=_0xe3235f[_0x4c8d89(0x2013)]['id'],_0x33b1a3();}function _0x59e1ba(_0x336f5a,_0x550905){const _0x15647d=_0x53a58e,_0x36fbc4=angular[_0x15647d(0x393)](_0x8e4cc5['treeCustomInstance'][_0x15647d(0x735)](!![])['get_json']('#'));_0x4c9382[_0x15647d(0x1df2)]['update']({'id':0x2,'tree':_0x36fbc4})[_0x15647d(0x1d77)][_0x15647d(0x1cb0)](function(){const _0x14c987=_0x15647d;_0x57dc4e['rows'][0x1]&&(_0x57dc4e[_0x14c987(0x2214)][0x1][_0x14c987(0x1bd)]=_0x36fbc4),_0xcb4bee[_0x14c987(0x829)]({'title':_0x14c987(0x1a15),'msg':_0x550905[_0x14c987(0x2013)][_0x14c987(0x19d3)]?_0x550905['node'][_0x14c987(0x19d3)]+'\x20has\x20been\x20updated!':_0x14c987(0x175f)});})[_0x15647d(0x1c4)](function(_0x29ea6e){const _0x4fbb00=_0x15647d;_0xcb4bee[_0x4fbb00(0x218e)]({'title':_0x29ea6e[_0x4fbb00(0x291)]?_0x4fbb00(0xeb9)+_0x29ea6e[_0x4fbb00(0x291)]+_0x4fbb00(0x1657)+_0x29ea6e[_0x4fbb00(0xc22)]:_0x4fbb00(0x67d),'msg':_0x29ea6e['data']?JSON['stringify'](_0x29ea6e['data']):_0x29ea6e[_0x4fbb00(0x147f)]()});});}function _0x3de4a6(_0x185d74){const _0x2a36c0=_0x53a58e;return{'core':{'multiple':![],'animation':!![],'error':function(_0x106a25){const _0x10ffcd=a0_0x5cbd;console[_0x10ffcd(0x218e)](_0x10ffcd(0x2859)+angular[_0x10ffcd(0x393)](_0x106a25));},'check_callback':!![],'worker':!![]},'version':0x1,'plugins':_0x185d74?[_0x2a36c0(0x2053),'dnd',_0x2a36c0(0x24be),'state',_0x2a36c0(0x522)]:[_0x2a36c0(0x24be),'state','wholerow'],'contextmenu':{'items':function(_0x465810){const _0x457ed9=_0x2a36c0,_0x3231b8={};return _0x8e4cc5[_0x457ed9(0x1b1a)][_0x457ed9(0xb3d)]&&_0x39641b()[_0x457ed9(0xfad)](_0x3231b8,{'create':{'label':_0x3e56d6[_0x457ed9(0x25cc)]('ANALYTICS.CREATE'),'action':function(){const _0xc073c6=_0x457ed9;_0x465810=_0x8e4cc5[_0xc073c6(0x20be)][_0xc073c6(0x735)](!![])[_0xc073c6(0x295d)](_0x465810),_0x8e4cc5['treeCustomInstance'][_0xc073c6(0x735)](!![])[_0xc073c6(0xe09)](_0x465810);}},'rename':{'_disabled':_0x465810[_0x457ed9(0xbfb)]==='#','label':_0x3e56d6['instant'](_0x457ed9(0x1adb)),'action':function(){const _0x43e941=_0x457ed9;_0x8e4cc5[_0x43e941(0x20be)][_0x43e941(0x735)](!![])[_0x43e941(0xe09)](_0x465810);}}}),_0x8e4cc5[_0x457ed9(0x1b1a)][_0x457ed9(0x1570)]&&_0x39641b()[_0x457ed9(0xfad)](_0x3231b8,{'remove':{'_disabled':_0x465810['parent']==='#','label':_0x3e56d6['instant'](_0x457ed9(0x2b5)),'action':function(){const _0x435313=_0x457ed9,_0x216e6a=_0x128fa5[_0x435313(0x1551)]()[_0x435313(0x1386)](_0x435313(0x26c))[_0x435313(0x49e)](_0x435313(0x204d)+(_0x465810['text']?_0x465810[_0x435313(0x19d3)]:_0x435313(0x77d))+_0x435313(0x1200)+_0x435313(0x11f3))['ariaLabel'](_0x435313(0x1558))['ok']('OK')[_0x435313(0x696)]('CANCEL');_0x128fa5[_0x435313(0xe27)](_0x216e6a)['then'](function(){const _0x29402f=_0x435313;let _0x3bd9ab=_0x8e4cc5['treeCustomInstance'][_0x29402f(0x735)](!![])[_0x29402f(0x156b)](_0x465810);_0x3bd9ab=_0x8e4cc5['treeCustomInstance'][_0x29402f(0x735)](!![])[_0x29402f(0x6b2)](_0x3bd9ab),_0x8e4cc5[_0x29402f(0x20be)]['jstree'](!![])[_0x29402f(0x1e39)](_0x465810),_0x47432b([_0x465810['id']][_0x29402f(0x298a)](_0x465810['children_d']||[])),_0x8e4cc5['treeCustomInstance'][_0x29402f(0x735)](!![])[_0x29402f(0x210e)](_0x3bd9ab);});}}}),_0x3231b8;}}};}function _0x47432b(_0x1f9f3f){const _0x50fc8e=_0x53a58e;return _0x4c9382[_0x50fc8e(0x1e32)]['get']({'parent':_0x1f9f3f['join'](','),'fields':_0x50fc8e(0x43c)})[_0x50fc8e(0x1d77)][_0x50fc8e(0x1cb0)](function(_0x17763d){const _0x26481a=_0x50fc8e;_0x17763d&&_0x17763d['rows']&&_0x17763d['rows'][_0x26481a(0xf90)](function(_0xac558a){_0xf95520(_0xac558a);});})[_0x50fc8e(0x1c4)](function(_0x32137f){const _0xf53941=_0x50fc8e;_0xcb4bee['error']({'title':_0x32137f[_0xf53941(0x291)]?_0xf53941(0xeb9)+_0x32137f[_0xf53941(0x291)]+_0xf53941(0x1657)+_0x32137f['statusText']:'SYSTEM:DELETEreportsByParents','msg':_0x32137f[_0xf53941(0x25c)]?JSON[_0xf53941(0x2701)](_0x32137f[_0xf53941(0x25c)]):_0x32137f['toString']()});});}function _0x15d30a(_0xfcea8){return _0xfcea8?{'create_node':_0x59e1ba,'rename_node':_0x59e1ba,'move_node':_0x59e1ba,'delete_node':_0x59e1ba,'select_node':_0x1e5220}:{'select_node':_0x201f5d};}}const _0x4d0f9d=_0x1212e0;;const _0x1af08e=_0x5074a3['p']+_0x5537c6(0x259b);;_0x139791[_0x5537c6(0x15b6)]=[_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x9bf),_0x5537c6(0x16bf),'api','apiName',_0x5537c6(0x22bf),_0x5537c6(0x275a)];function _0x139791(_0x319e9b,_0x2c8de4,_0x2322c7,_0x1348dc,_0x148019,_0x2bf310,_0x591142,_0x536486){const _0x476589=_0x5537c6,_0x494b28=this;_0x494b28[_0x476589(0x1a7c)]=[],_0x494b28[_0x476589(0x16bf)]=angular[_0x476589(0x17fe)](_0x1348dc),_0x494b28[_0x476589(0x12b7)]={},_0x494b28[_0x476589(0x61a)]=_0x34ca10,_0x494b28[_0x476589(0xda0)]=_0x2003aa,_0x494b28[_0x476589(0x2445)]=_0x3e9eac,_0x3e9eac();function _0x3e9eac(){const _0x914d02=_0x476589;_0x494b28[_0x914d02(0x12b7)]={'id':_0x494b28[_0x914d02(0x16bf)]['id'],'name':_0x494b28['report'][_0x914d02(0x16b6)],'startDate':new Date(_0x543b5a()()[_0x914d02(0x1be0)](_0x914d02(0x1a5))),'endDate':new Date(_0x543b5a()()[_0x914d02(0x1b4)](_0x914d02(0x1a5))),'output':_0x914d02(0x189d),'fullPath':_0x536486?_0x536486+'/'+_0x494b28[_0x914d02(0x16bf)]['name']:_0x494b28['report'][_0x914d02(0x16b6)]};}function _0x34ca10(_0x459487){const _0x298792=_0x476589;_0x494b28[_0x298792(0x1a7c)]=[],_0x494b28[_0x298792(0x12c7)]=_0x39641b()[_0x298792(0xfad)]({},_0x494b28[_0x298792(0x12b7)],{'startDate':_0x543b5a()(_0x494b28['export'][_0x298792(0x3e3)])['format'](_0x298792(0x2e8)),'endDate':_0x543b5a()(_0x494b28[_0x298792(0x12b7)][_0x298792(0x193a)])[_0x298792(0x1f31)](_0x298792(0x2e8))}),_0x148019[_0x2bf310][_0x298792(0x19fd)](_0x494b28[_0x298792(0x12c7)])[_0x298792(0x1d77)][_0x298792(0x1cb0)](function(_0x8c9fd5){const _0x5be960=_0x298792;_0x494b28[_0x5be960(0x12b7)]['output']===_0x5be960(0x152f)?_0x2c8de4[_0x5be960(0xe27)]({'controller':_0x5be960(0x158d),'controllerAs':'vm','templateUrl':_0x1af08e,'parent':angular['element'](_0x591142['body']),'targetEvent':_0x459487,'skipHide':!![],'locals':{'apiName':_0x2bf310,'exportDate':_0x494b28[_0x5be960(0x12c7)],'results':_0x8c9fd5},'resolve':{'columns':[_0x5be960(0x1e0b),function(_0x5f31b1){const _0x26c871=_0x5be960,_0x160761={'fields':'field,alias','nolimit':!![]};return _0x160761[_0x2bf310===_0x26c871(0x1e32)?_0x26c871(0x251a):_0x26c871(0x22a0)]=_0x494b28[_0x26c871(0x16bf)]['id'],_0x5f31b1[_0x26c871(0x19a3)]('analyticFieldReport@get',_0x160761);}]}}):(_0x2322c7[_0x5be960(0x829)]({'title':_0x5be960(0x1d5e),'msg':_0x494b28[_0x5be960(0x16bf)][_0x5be960(0x16b6)]?_0x494b28['report'][_0x5be960(0x16b6)]+'\x20has\x20been\x20run!':''}),_0x2003aa());})[_0x298792(0x1c4)](function(_0x581c5c){const _0x2322dc=_0x298792;_0x2322c7['error']({'title':_0x581c5c[_0x2322dc(0x291)]?_0x2322dc(0xeb9)+_0x581c5c[_0x2322dc(0x291)]+'\x20-\x20'+_0x581c5c[_0x2322dc(0xc22)]:'SYSTEM:DESCRIBE','msg':_0x581c5c[_0x2322dc(0x25c)]?JSON[_0x2322dc(0x2701)](_0x581c5c[_0x2322dc(0x25c)][_0x2322dc(0x155e)]):_0x581c5c[_0x2322dc(0x147f)]()});});}function _0x2003aa(){const _0x3a44a1=_0x476589;_0x2c8de4[_0x3a44a1(0x1426)]();}}const _0x31d6ea=_0x139791;;_0xf378e8[_0x5537c6(0x15b6)]=['$mdDialog',_0x5537c6(0x9bf),'api',_0x5537c6(0x1b1),_0x5537c6(0x2872),_0x5537c6(0x217d),_0x5537c6(0x12c7)];function _0xf378e8(_0x2de521,_0x1249c3,_0xc8b731,_0x2b769c,_0x36e97b,_0x30a5b2,_0x1ec4e6){const _0x1e3774=_0x5537c6,_0x5e9bc1=this;_0x5e9bc1[_0x1e3774(0x1a7c)]=[],_0x5e9bc1[_0x1e3774(0x1b1)]=_0x2b769c?_0x2b769c['rows']:[],_0x5e9bc1[_0x1e3774(0x2872)]=_0x36e97b||{'rows':[],'count':0x0},_0x5e9bc1[_0x1e3774(0xae2)]={'limit':0xa,'page':0x1},_0x5e9bc1['closeDialog']=_0x9e350d,_0x5e9bc1[_0x1e3774(0x2041)]=_0x52a52d,_0x5e9bc1[_0x1e3774(0xf39)]=_0x1a7c2b;function _0x52a52d(){const _0x37d524=_0x1e3774;_0x1ec4e6[_0x37d524(0x184b)]=(_0x5e9bc1[_0x37d524(0xae2)][_0x37d524(0x1c7b)]-0x1)*_0x5e9bc1[_0x37d524(0xae2)][_0x37d524(0x236)],_0x1ec4e6[_0x37d524(0x236)]=_0x5e9bc1[_0x37d524(0xae2)]['limit'],_0x5e9bc1[_0x37d524(0x2061)]=_0xc8b731[_0x30a5b2][_0x37d524(0x19fd)](_0x1ec4e6,_0x46ee69)['$promise'];}function _0x1a7c2b(_0x82c16b,_0x3b3d12){const _0x5c79ae=_0x1e3774;return _0x543b5a()(_0x3b3d12,'YYYY-MM-DDTHH:mm:ssZ',!![])['isValid']()&&(_0x3b3d12=_0x543b5a()(_0x3b3d12,'')[_0x5c79ae(0x1f31)]('YYYY-MM-DD\x20HH:mm:ss')),_0x3b3d12;}function _0x46ee69(_0x4d0856){const _0x3ef9ec=_0x1e3774;_0x5e9bc1[_0x3ef9ec(0x2872)]=_0x4d0856||{'count':0x0,'rows':[]};}function _0x9e350d(){const _0x5f552f=_0x1e3774;_0x2de521[_0x5f552f(0x1426)]();}}const _0xcab20=_0xf378e8;;_0x56b327['$inject']=[_0x5537c6(0xbd6)];function _0x56b327(_0x19177a){const _0x1dc067=_0x5537c6;_0x19177a['state'](_0x1dc067(0x1a53),{'url':'/reports','views':{'content@app':{'templateUrl':_0x377423,'controller':_0x1dc067(0xe9a)}},'resolve':{'treeReports':[_0x1dc067(0x1e0b),function(_0x56101d){const _0x56a65f=_0x1dc067;return _0x56101d['resolve'](_0x56a65f(0x21da),{'fields':'id,tree','limit':0xa,'offset':0x0});}],'userProfile':[_0x1dc067(0x1e0b),_0x1dc067(0x1774),function(_0x309964,_0x3f9d01){const _0x4e3502=_0x1dc067;return _0x309964[_0x4e3502(0x19a3)](_0x4e3502(0x9ae),{'fields':_0x4e3502(0x279),'id':_0x3f9d01[_0x4e3502(0x21e8)]()[_0x4e3502(0x13c1)]});}],'userProfileSection':[_0x1dc067(0x1e0b),_0x1dc067(0x1774),function(_0x3709ce,_0x245434){const _0x443e41=_0x1dc067;return _0x3709ce['resolve'](_0x443e41(0x2182),{'fields':_0x443e41(0x1f5f),'userProfileId':_0x245434[_0x443e41(0x21e8)]()[_0x443e41(0x13c1)],'sectionId':0x4b3});}]},'authenticate':!![],'permissionId':0x4b3,'bodyClass':_0x1dc067(0x2084)})[_0x1dc067(0x27e0)]('app.analytics.reports.edit',{'url':_0x1dc067(0x1bf2),'views':{'content@app':{'templateUrl':_0x595ee7,'controller':'ReportController\x20as\x20vm'}},'resolve':{'report':['apiResolver',_0x1dc067(0x225c),'$q','describeTable',function(_0x48edbf,_0x1fe2bd,_0x15660a,_0x3ec12f){const _0x48549d=_0x1dc067;let _0x1edf7a;return _0x48edbf[_0x48549d(0x19a3)](_0x48549d(0x1637),{'fields':'createdAt,updatedAt,id,name,description,table,conditions,joins','id':_0x1fe2bd['id']})[_0x48549d(0x1cb0)](function(_0x25d2d5){const _0x29b9e8=_0x48549d,_0x4027a7=[];_0x1edf7a=_0x25d2d5;if(_0x1edf7a[_0x29b9e8(0x1c18)])try{_0x1edf7a[_0x29b9e8(0x1c18)]=JSON[_0x29b9e8(0xefe)](_0x1edf7a[_0x29b9e8(0x1c18)]);for(let _0x4158da=0x0;_0x4158da<_0x1edf7a['joins']['length'];_0x4158da+=0x1){_0x4027a7['push'](_0x3ec12f[_0x29b9e8(0x27b0)](_0x1edf7a['joins'][_0x4158da]['foreignTable']));}}catch(_0x5ce206){console[_0x29b9e8(0x1b4f)](_0x5ce206);}return _0x15660a['all'](_0x4027a7);})['then'](function(_0x18d623){const _0xa0966c=_0x48549d;for(let _0x186436=0x0;_0x186436<_0x18d623[_0xa0966c(0xfd0)];_0x186436+=0x1){_0x1edf7a[_0xa0966c(0x1c18)][_0x186436][_0xa0966c(0x1899)]=_0x39641b()[_0xa0966c(0x91f)](_0x39641b()[_0xa0966c(0x1be5)](_0x18d623[_0x186436]),[_0xa0966c(0x1d77),_0xa0966c(0x248e)]);}return _0x1edf7a;});}],'userProfileSection':[_0x1dc067(0x1e0b),_0x1dc067(0x1774),function(_0x2a4860,_0x5b7b01){const _0xaa2c2f=_0x1dc067;return _0x2a4860['resolve'](_0xaa2c2f(0x2182),{'fields':_0xaa2c2f(0x1f5f),'userProfileId':_0x5b7b01[_0xaa2c2f(0x21e8)]()[_0xaa2c2f(0x13c1)],'sectionId':0x4b3});}]},'authenticate':!![],'permissionId':0x4b3,'bodyClass':'analytics'});}angular[_0x5537c6(0x9ab)](_0x5537c6(0x1a53),[_0x5537c6(0x23a)])[_0x5537c6(0xa60)](_0x56b327)[_0x5537c6(0x6e5)](_0x5537c6(0xc9b),_0x11e00b)[_0x5537c6(0x6e5)]('CreateOrEditReportDialogController',_0x4cbdc8)[_0x5537c6(0x6e5)](_0x5537c6(0x1444),_0x4b05f2)[_0x5537c6(0x234a)]('describeTable',_0x4f0b6d)[_0x5537c6(0x6e5)](_0x5537c6(0x1a59),_0x5a3501)[_0x5537c6(0x6e5)]('ReportFieldsController',_0x1404b6)[_0x5537c6(0x234a)]('sqlUtil',_0x269c73)[_0x5537c6(0x6e5)](_0x5537c6(0x267b),_0x3754bd)[_0x5537c6(0x6e5)](_0x5537c6(0x558),_0x40e364)[_0x5537c6(0x6e5)](_0x5537c6(0x665),_0x4d0f9d)[_0x5537c6(0x6e5)](_0x5537c6(0x1aa8),_0x31d6ea)[_0x5537c6(0x6e5)](_0x5537c6(0x158d),_0xcab20);;_0x182654['$inject']=['$scope',_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x1598),'extractedReport',_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting','crudPermissions'];function _0x182654(_0x2fa8f7,_0x5508ef,_0x39a44f,_0x1d2904,_0x5bf5f8,_0x5eca03,_0x5d4d83,_0x4892ad,_0x2ae551,_0x48f7d3,_0xef892,_0x4dd6a8,_0x13ddb2,_0x1632f4){const _0x49959d=_0x5537c6,_0x2ef6af=this;_0x2ef6af[_0x49959d(0xe76)]=_0xef892[_0x49959d(0x21e8)](),_0x2ef6af['errors']=[],_0x2ef6af[_0x49959d(0x9ca)]=_0x13ddb2,_0x2ef6af[_0x49959d(0x8a5)]=_0x4dd6a8,_0x2ef6af[_0x49959d(0x1b1a)]=_0x1632f4,_0x2ef6af[_0x49959d(0xf4c)]={},_0x2ef6af[_0x49959d(0x1b0c)]=_0x2ef6af[_0x49959d(0x9ca)]&&_0x2ef6af[_0x49959d(0x9ca)][_0x49959d(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x2ef6af[_0x49959d(0x1386)]=_0x49959d(0x335),_0x2ef6af[_0x49959d(0x3ce)]=angular[_0x49959d(0x17fe)](_0x2ae551),_0x2ef6af[_0x49959d(0x1598)]=_0x4892ad,_0x2ef6af['newExtractedReport']=![];!_0x2ef6af[_0x49959d(0x3ce)]&&(_0x2ef6af[_0x49959d(0x3ce)]={},_0x2ef6af[_0x49959d(0x1386)]=_0x49959d(0x1563),_0x2ef6af[_0x49959d(0x2540)]=!![]);_0x2ef6af[_0x49959d(0xa20)]=_0x2a2b3c,_0x2ef6af['saveExtractedReport']=_0x308708,_0x2ef6af[_0x49959d(0x250)]=_0x3ec8c7,_0x2ef6af[_0x49959d(0x2c4)]=_0x211b2d,_0x2ef6af[_0x49959d(0xda0)]=_0x26e811;function _0x2a2b3c(){const _0x553d5a=_0x49959d;_0x2ef6af[_0x553d5a(0x1a7c)]=[],_0x48f7d3[_0x553d5a(0x1f09)][_0x553d5a(0x1c3f)](_0x2ef6af[_0x553d5a(0x3ce)])[_0x553d5a(0x1d77)][_0x553d5a(0x1cb0)](function(_0x3f6a28){const _0x24fa6c=_0x553d5a;_0x2ef6af[_0x24fa6c(0x1598)][_0x24fa6c(0xf63)](_0x3f6a28[_0x24fa6c(0x19b2)]()),_0x5d4d83['success']({'title':_0x24fa6c(0x1ae7),'msg':_0x2ef6af[_0x24fa6c(0x3ce)][_0x24fa6c(0x16b6)]?_0x2ef6af['extractedReport'][_0x24fa6c(0x16b6)]+_0x24fa6c(0x470):''}),_0x26e811(_0x3f6a28);})[_0x553d5a(0x1c4)](function(_0x3eb78f){const _0x48cd15=_0x553d5a;if(_0x3eb78f[_0x48cd15(0x25c)]&&_0x3eb78f[_0x48cd15(0x25c)]['errors']&&_0x3eb78f[_0x48cd15(0x25c)][_0x48cd15(0x1a7c)][_0x48cd15(0xfd0)]){_0x2ef6af[_0x48cd15(0x1a7c)]=_0x3eb78f[_0x48cd15(0x25c)]['errors']||[{'message':_0x3eb78f[_0x48cd15(0x147f)](),'type':_0x48cd15(0x1f9d)}];for(let _0x452dc0=0x0;_0x452dc0<_0x3eb78f[_0x48cd15(0x25c)][_0x48cd15(0x1a7c)][_0x48cd15(0xfd0)];_0x452dc0+=0x1){_0x5d4d83['error']({'title':_0x3eb78f[_0x48cd15(0x25c)][_0x48cd15(0x1a7c)][_0x452dc0]['type'],'msg':_0x3eb78f[_0x48cd15(0x25c)][_0x48cd15(0x1a7c)][_0x452dc0][_0x48cd15(0x155e)]});}}else _0x5d4d83['error']({'title':_0x3eb78f[_0x48cd15(0x291)]?_0x48cd15(0xeb9)+_0x3eb78f['status']+_0x48cd15(0x1657)+_0x3eb78f[_0x48cd15(0xc22)]:_0x48cd15(0x1f9d),'msg':_0x3eb78f[_0x48cd15(0x25c)]?JSON[_0x48cd15(0x2701)](_0x3eb78f[_0x48cd15(0x25c)][_0x48cd15(0x155e)]):_0x3eb78f[_0x48cd15(0x147f)]()});});}function _0x308708(){const _0x334f47=_0x49959d;_0x2ef6af[_0x334f47(0x1a7c)]=[],_0x48f7d3[_0x334f47(0x1f09)][_0x334f47(0x687)]({'id':_0x2ef6af['extractedReport']['id']},_0x2ef6af[_0x334f47(0x3ce)])['$promise'][_0x334f47(0x1cb0)](function(_0x3828d1){const _0x56b453=_0x334f47,_0x1368ff=_0x39641b()[_0x56b453(0x13b4)](_0x2ef6af[_0x56b453(0x1598)],{'id':_0x3828d1['id']});_0x1368ff&&_0x39641b()[_0x56b453(0x9c1)](_0x1368ff,_0x39641b()[_0x56b453(0x169b)](_0x3828d1[_0x56b453(0x19b2)](),_0x39641b()['keys'](_0x1368ff))),_0x5d4d83[_0x56b453(0x829)]({'title':_0x56b453(0x3c8),'msg':_0x2ef6af['extractedReport']['name']?_0x2ef6af[_0x56b453(0x3ce)]['name']+'\x20has\x20been\x20saved!':''}),_0x26e811(_0x3828d1);})[_0x334f47(0x1c4)](function(_0x3f0766){const _0xc480dd=_0x334f47;if(_0x3f0766[_0xc480dd(0x25c)]&&_0x3f0766[_0xc480dd(0x25c)][_0xc480dd(0x1a7c)]&&_0x3f0766[_0xc480dd(0x25c)][_0xc480dd(0x1a7c)][_0xc480dd(0xfd0)]){_0x2ef6af[_0xc480dd(0x1a7c)]=_0x3f0766['data'][_0xc480dd(0x1a7c)]||[{'message':_0x3f0766[_0xc480dd(0x147f)](),'type':_0xc480dd(0x1fc6)}];for(let _0x322837=0x0;_0x322837<_0x3f0766[_0xc480dd(0x25c)][_0xc480dd(0x1a7c)][_0xc480dd(0xfd0)];_0x322837++){_0x5d4d83[_0xc480dd(0x218e)]({'title':_0x3f0766['data'][_0xc480dd(0x1a7c)][_0x322837][_0xc480dd(0x66a)],'msg':_0x3f0766[_0xc480dd(0x25c)]['errors'][_0x322837][_0xc480dd(0x155e)]});}}else _0x5d4d83[_0xc480dd(0x218e)]({'title':_0x3f0766['status']?_0xc480dd(0xeb9)+_0x3f0766[_0xc480dd(0x291)]+'\x20-\x20'+_0x3f0766[_0xc480dd(0xc22)]:_0xc480dd(0x1fc6),'msg':_0x3f0766[_0xc480dd(0x25c)]?JSON['stringify'](_0x3f0766[_0xc480dd(0x25c)][_0xc480dd(0x155e)]):_0x3f0766[_0xc480dd(0x147f)]()});});}function _0x3ec8c7(_0x2743fe){const _0x3d36b3=_0x49959d;_0x2ef6af[_0x3d36b3(0x1a7c)]=[];const _0x4a2d79=_0x1d2904[_0x3d36b3(0x1551)]()['title'](_0x3d36b3(0x1a2e))['content']('The\x20extractedReport\x20will\x20be\x20deleted.')[_0x3d36b3(0x15ad)]('Delete\x20ExtractedReport')['ok']('Delete')[_0x3d36b3(0x696)](_0x3d36b3(0xde1))[_0x3d36b3(0x728)](_0x2743fe);_0x1d2904[_0x3d36b3(0xe27)](_0x4a2d79)[_0x3d36b3(0x1cb0)](function(){const _0x4cd079=_0x3d36b3;_0x48f7d3[_0x4cd079(0x1f09)]['delete']({'id':_0x2ef6af['extractedReport']['id']})[_0x4cd079(0x1d77)][_0x4cd079(0x1cb0)](function(){const _0x14d135=_0x4cd079;_0x39641b()[_0x14d135(0x152a)](_0x2ef6af[_0x14d135(0x1598)],{'id':_0x2ef6af[_0x14d135(0x3ce)]['id']}),_0x5d4d83['success']({'title':_0x14d135(0xf73),'msg':(_0x2ef6af[_0x14d135(0x3ce)][_0x14d135(0x16b6)]||'extractedReport')+_0x14d135(0x3f5)}),_0x26e811(_0x2ef6af['extractedReport']);})[_0x4cd079(0x1c4)](function(_0xf7195b){const _0x15c680=_0x4cd079;if(_0xf7195b[_0x15c680(0x25c)]&&_0xf7195b[_0x15c680(0x25c)][_0x15c680(0x1a7c)]&&_0xf7195b[_0x15c680(0x25c)][_0x15c680(0x1a7c)][_0x15c680(0xfd0)]){_0x2ef6af[_0x15c680(0x1a7c)]=_0xf7195b[_0x15c680(0x25c)][_0x15c680(0x1a7c)]||[{'message':_0xf7195b['toString'](),'type':_0x15c680(0x62a)}];for(let _0x58e19a=0x0;_0x58e19a<_0xf7195b[_0x15c680(0x25c)][_0x15c680(0x1a7c)][_0x15c680(0xfd0)];_0x58e19a++){_0x5d4d83['error']({'title':_0xf7195b[_0x15c680(0x25c)][_0x15c680(0x1a7c)][_0x58e19a][_0x15c680(0x66a)],'msg':_0xf7195b[_0x15c680(0x25c)][_0x15c680(0x1a7c)][_0x58e19a][_0x15c680(0x155e)]});}}else _0x5d4d83['error']({'title':_0xf7195b[_0x15c680(0x291)]?_0x15c680(0xeb9)+_0xf7195b[_0x15c680(0x291)]+_0x15c680(0x1657)+_0xf7195b[_0x15c680(0xc22)]:_0x15c680(0x62a),'msg':_0xf7195b[_0x15c680(0x25c)]?JSON['stringify'](_0xf7195b[_0x15c680(0x25c)][_0x15c680(0x155e)]):_0xf7195b[_0x15c680(0x155e)]||_0xf7195b[_0x15c680(0x147f)]()});});},function(){});}function _0x211b2d(_0x11ebb7){return _0x11ebb7===null?undefined:new Date(_0x11ebb7);}function _0x26e811(_0x4e600c){const _0x50ee66=_0x49959d;_0x1d2904[_0x50ee66(0x1426)](_0x4e600c);}}const _0x4466d7=_0x182654;;const _0x5b871a=_0x5074a3['p']+_0x5537c6(0xf3d);;_0x5c9a23[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),'$document',_0x5537c6(0x2168),_0x5537c6(0x1ae),'extractedReports',_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x142b),_0x5537c6(0xde8),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x5c9a23(_0x17fbfb,_0xe91f94,_0x19caed,_0x1eb640,_0x107b6a,_0x489abf,_0x3cb793,_0xf571a8,_0x3e7298,_0x4258ec,_0x366cd9,_0x357a91,_0x5d0daa,_0x28ffcb,_0x4c32ef,_0x589390,_0x6b0f11){const _0x2fa884=_0x5537c6,_0x488c59=this;_0x488c59[_0x2fa884(0x8a5)]=_0x589390,_0x488c59['setting']=_0x6b0f11,_0x488c59['currentUser']=_0x4c32ef[_0x2fa884(0x21e8)](),_0x488c59['extractedReports']=_0x3e7298||{'count':0x0,'rows':[]},_0x488c59[_0x2fa884(0x44a)]=_0x4258ec,_0x488c59[_0x2fa884(0x2199)]=_0x366cd9&&_0x366cd9['count']==0x1?_0x366cd9[_0x2fa884(0x2214)][0x0]:null,_0x488c59[_0x2fa884(0x1b1a)]=_0x4c32ef[_0x2fa884(0x14ea)](_0x488c59['userProfileSection']?_0x488c59[_0x2fa884(0x2199)]['crudPermissions']:null),_0x488c59['table']=_0x2fa884(0x1598),_0x488c59[_0x2fa884(0x1d20)]='',_0x488c59[_0x2fa884(0x1cdf)]=null,_0x488c59[_0x2fa884(0x2686)]=[],_0x488c59['query']={'fields':_0x2fa884(0xae5),'sort':_0x2fa884(0x282),'limit':0xa,'page':0x1},_0x488c59[_0x2fa884(0xc93)]=_0x4cb4e8,_0x488c59[_0x2fa884(0x1610)]=_0x4052e7,_0x488c59[_0x2fa884(0x27fe)]=_0x931b60,_0x488c59[_0x2fa884(0x829)]=_0x1e2ab7,_0x488c59[_0x2fa884(0x678)]=_0x285c71,_0x488c59['createOrEditExtractedReport']=_0x2a178b,_0x488c59[_0x2fa884(0x250)]=_0x12f1a7,_0x488c59[_0x2fa884(0x1078)]=_0x2e4ebe,_0x488c59[_0x2fa884(0x1e52)]=_0x3b73cf,_0x488c59[_0x2fa884(0xc43)]=_0x2b6f54,_0x488c59[_0x2fa884(0x1bb8)]=_0x1956b3;function _0x4cb4e8(_0x4a863b,_0xfe6d15){const _0x16ac27=_0x2fa884;_0x107b6a[_0x16ac27(0xe27)]({'controller':_0x16ac27(0xb6b),'controllerAs':'vm','templateUrl':_0x5b871a,'parent':angular[_0x16ac27(0x1853)](_0x489abf[_0x16ac27(0x1ed9)]),'targetEvent':_0xfe6d15,'clickOutsideToClose':!![],'locals':{'extractedReport':_0x4a863b,'extractedReports':_0x488c59[_0x16ac27(0x1598)][_0x16ac27(0x2214)],'license':_0x488c59[_0x16ac27(0x8a5)],'setting':null,'crudPermissions':_0x488c59['crudPermissions']}});}function _0x4052e7(_0x408d41){const _0x32fd28=_0x2fa884;return _0x357a91[_0x32fd28(0x1f09)][_0x32fd28(0x26ec)]({'id':_0x408d41['id']})[_0x32fd28(0x1d77)][_0x32fd28(0x1cb0)](function(_0x4d4c6b){const _0x1454b1=_0x32fd28,_0x4c536f=[_0x4d4c6b[_0x1454b1(0xef0)]];let _0x1eff56=_0x1454b1(0x26ec);const _0xb665cc=new Blob(_0x4c536f,{'type':_0x4d4c6b[_0x1454b1(0x66a)]});_0x1eff56=_0x408d41[_0x1454b1(0x1f86)];const _0x26d760=window[_0x1454b1(0x1db8)]['createElement']('a');_0x26d760[_0x1454b1(0x23b9)]('href',URL['createObjectURL'](_0xb665cc)),_0x26d760[_0x1454b1(0x23b9)]('download',_0x1eff56),document[_0x1454b1(0x1ed9)]['appendChild'](_0x26d760),_0x26d760[_0x1454b1(0x20b8)]();})['catch'](function(_0x550866){const _0x2acc9e=_0x32fd28;if(_0x550866[_0x2acc9e(0x25c)]&&_0x550866[_0x2acc9e(0x25c)][_0x2acc9e(0x1a7c)]&&_0x550866[_0x2acc9e(0x25c)][_0x2acc9e(0x1a7c)]['length'])for(let _0x88fac9=0x0;_0x88fac9<_0x550866[_0x2acc9e(0x25c)][_0x2acc9e(0x1a7c)]['length'];_0x88fac9++){_0x28ffcb[_0x2acc9e(0x218e)]({'title':_0x550866[_0x2acc9e(0x25c)]['errors'][_0x88fac9][_0x2acc9e(0x66a)],'msg':_0x550866[_0x2acc9e(0x25c)][_0x2acc9e(0x1a7c)][_0x88fac9][_0x2acc9e(0x155e)]});}else _0x28ffcb[_0x2acc9e(0x218e)]({'title':_0x550866[_0x2acc9e(0x291)]?_0x2acc9e(0xeb9)+_0x550866['status']+_0x2acc9e(0x1657)+_0x550866[_0x2acc9e(0xc22)]:_0x2acc9e(0x1f9d),'msg':_0x550866[_0x2acc9e(0x25c)]?JSON[_0x2acc9e(0x2701)](_0x550866[_0x2acc9e(0x25c)][_0x2acc9e(0x155e)]):_0x550866['toString']()});});}function _0x931b60(_0x2b422a,_0x3d672b){const _0x49fafa=_0x2fa884,_0x5db555=_0x107b6a[_0x49fafa(0x1551)]()[_0x49fafa(0x1386)](_0x49fafa(0x140b)+_0x39641b()[_0x49fafa(0xa75)]('extractedReport')+'?')[_0x49fafa(0x49e)](''+(_0x2b422a[_0x49fafa(0x16b6)]||_0x49fafa(0x3ce))+_0x49fafa(0x1200)+_0x49fafa(0x1b6))[_0x49fafa(0x15ad)]('delete\x20extractedReport')[_0x49fafa(0x728)](_0x3d672b)['ok']('OK')[_0x49fafa(0x696)](_0x49fafa(0x24ba));_0x107b6a[_0x49fafa(0xe27)](_0x5db555)[_0x49fafa(0x1cb0)](function(){_0x12f1a7(_0x2b422a);},function(){const _0x41175a=_0x49fafa;console[_0x41175a(0x1b4f)](_0x41175a(0x24ba));});}let _0x58edbc=!![],_0x294e59=0x1;_0x17fbfb[_0x2fa884(0x614)](_0x2fa884(0x957),function(_0x596244,_0x3b6fbf){const _0x59893a=_0x2fa884;_0x58edbc?_0x3cb793(function(){_0x58edbc=![];}):(!_0x3b6fbf&&(_0x294e59=_0x488c59['query']['page']),_0x596244!==_0x3b6fbf&&(_0x488c59['query']['page']=0x1),!_0x596244&&(_0x488c59[_0x59893a(0xae2)][_0x59893a(0x1c7b)]=_0x294e59),_0x488c59[_0x59893a(0x678)]());});function _0x1e2ab7(_0x11a17d){const _0x130b97=_0x2fa884;_0x488c59[_0x130b97(0x1598)]=_0x11a17d||{'count':0x0,'rows':[]};}function _0x285c71(){const _0x2c3dca=_0x2fa884;_0x488c59[_0x2c3dca(0xae2)][_0x2c3dca(0x184b)]=(_0x488c59[_0x2c3dca(0xae2)][_0x2c3dca(0x1c7b)]-0x1)*_0x488c59['query'][_0x2c3dca(0x236)],_0x4c32ef[_0x2c3dca(0x22b6)](_0x2c3dca(0x1c60))?_0x488c59[_0x2c3dca(0x2061)]=_0x357a91[_0x2c3dca(0x1f09)]['get'](_0x488c59[_0x2c3dca(0xae2)],_0x1e2ab7)[_0x2c3dca(0x1d77)]:(_0x488c59['query']['id']=_0x488c59[_0x2c3dca(0x44a)]['id'],_0x488c59[_0x2c3dca(0xae2)][_0x2c3dca(0x1f74)]='ExtractedReports',_0x488c59[_0x2c3dca(0x2061)]=_0x357a91['userProfile'][_0x2c3dca(0x1810)](_0x488c59['query'],_0x1e2ab7)[_0x2c3dca(0x1d77)]);}function _0x2a178b(_0x1c51cf,_0x1327f2){const _0x140dfd=_0x2fa884;_0x107b6a[_0x140dfd(0xe27)]({'controller':_0x140dfd(0xb6b),'controllerAs':'vm','templateUrl':_0x5b871a,'parent':angular[_0x140dfd(0x1853)](_0x489abf['body']),'targetEvent':_0x1c51cf,'clickOutsideToClose':!![],'locals':{'extractedReport':_0x1327f2,'extractedReports':_0x488c59[_0x140dfd(0x1598)][_0x140dfd(0x2214)],'license':_0x488c59[_0x140dfd(0x8a5)],'setting':_0x488c59['setting'],'crudPermissions':_0x488c59[_0x140dfd(0x1b1a)]}});}function _0x12f1a7(_0x107231){const _0x155fbe=_0x2fa884;_0x357a91[_0x155fbe(0x1f09)]['delete']({'id':_0x107231['id']})[_0x155fbe(0x1d77)][_0x155fbe(0x1cb0)](function(){const _0x758b5d=_0x155fbe;_0x39641b()[_0x758b5d(0x152a)](_0x488c59[_0x758b5d(0x1598)][_0x758b5d(0x2214)],{'id':_0x107231['id']}),_0x488c59[_0x758b5d(0x1598)][_0x758b5d(0x184d)]-=0x1,!_0x488c59[_0x758b5d(0x1598)][_0x758b5d(0x2214)][_0x758b5d(0xfd0)]&&_0x488c59[_0x758b5d(0x678)](),_0x28ffcb[_0x758b5d(0x829)]({'title':_0x39641b()[_0x758b5d(0xa75)](_0x758b5d(0x254e))+'\x20deleted!','msg':_0x107231['name']?_0x107231[_0x758b5d(0x16b6)]+_0x758b5d(0x3f5):''});})[_0x155fbe(0x1c4)](function(_0x9e107c){const _0x2e073d=_0x155fbe;if(_0x9e107c[_0x2e073d(0x25c)]&&_0x9e107c['data'][_0x2e073d(0x1a7c)]&&_0x9e107c[_0x2e073d(0x25c)]['errors']['length']){_0x488c59[_0x2e073d(0x1a7c)]=_0x9e107c[_0x2e073d(0x25c)][_0x2e073d(0x1a7c)]||[{'message':_0x9e107c[_0x2e073d(0x147f)](),'type':'SYSTEM:DELETEanalyticExtractedReport'}];for(let _0x4e88e1=0x0;_0x4e88e1<_0x9e107c[_0x2e073d(0x25c)][_0x2e073d(0x1a7c)][_0x2e073d(0xfd0)];_0x4e88e1++){_0x28ffcb['error']({'title':_0x9e107c[_0x2e073d(0x25c)][_0x2e073d(0x1a7c)][_0x4e88e1][_0x2e073d(0x66a)],'msg':_0x9e107c[_0x2e073d(0x25c)][_0x2e073d(0x1a7c)][_0x4e88e1][_0x2e073d(0x155e)]});}}else _0x28ffcb[_0x2e073d(0x218e)]({'title':_0x9e107c['status']?_0x2e073d(0xeb9)+_0x9e107c['status']+_0x2e073d(0x1657)+_0x9e107c['statusText']:_0x2e073d(0xf5f),'msg':_0x9e107c[_0x2e073d(0x25c)]?JSON[_0x2e073d(0x2701)](_0x9e107c[_0x2e073d(0x25c)][_0x2e073d(0x155e)]):_0x9e107c[_0x2e073d(0x155e)]||_0x9e107c['toString']()});});}function _0x2e4ebe(){const _0x40a072=_0x2fa884,_0x542611=angular['copy'](_0x488c59[_0x40a072(0x2686)]);return _0x488c59[_0x40a072(0x2686)]=[],_0x542611;}function _0x3b73cf(_0x3d73bd){const _0x2e4056=_0x2fa884,_0xca018e=_0x107b6a[_0x2e4056(0x1551)]()['title'](_0x2e4056(0x2441))[_0x2e4056(0x49e)](_0x2e4056(0x204d)+_0x488c59[_0x2e4056(0x2686)][_0x2e4056(0xfd0)]+_0x2e4056(0x1d6c)+_0x2e4056(0x1b6))[_0x2e4056(0x15ad)](_0x2e4056(0x16d7))[_0x2e4056(0x728)](_0x3d73bd)['ok']('OK')['cancel'](_0x2e4056(0x24ba));_0x107b6a['show'](_0xca018e)['then'](function(){const _0x548f9b=_0x2e4056;_0x488c59[_0x548f9b(0x2686)][_0x548f9b(0xf90)](function(_0xb50989){_0x12f1a7(_0xb50989);}),_0x488c59[_0x548f9b(0x2686)]=[];});}function _0x2b6f54(){const _0x111e08=_0x2fa884;_0x488c59[_0x111e08(0x2686)]=[];}function _0x1956b3(){const _0x3ea662=_0x2fa884;_0x488c59[_0x3ea662(0x2686)]=_0x488c59[_0x3ea662(0x1598)][_0x3ea662(0x2214)];}}const _0x206841=_0x5c9a23;;_0x1c9075['$inject']=['$scope',_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),'metrics',_0x5537c6(0x195f),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x1c9075(_0x4b39f9,_0x4bf2c3,_0x4b8e31,_0xa3bb07,_0x11de5c,_0x8ee6f6,_0x1883cb,_0x3a4922,_0x3fb190,_0xf1920f,_0x340f63,_0x559358,_0x1aeb3a,_0xeb610b){const _0x254574=_0x5537c6,_0x16a854=this;_0x16a854['currentUser']=_0x340f63[_0x254574(0x21e8)](),_0x16a854['errors']=[],_0x16a854[_0x254574(0x9ca)]=_0x1aeb3a,_0x16a854[_0x254574(0x8a5)]=_0x559358,_0x16a854['crudPermissions']=_0xeb610b,_0x16a854[_0x254574(0xf4c)]={},_0x16a854[_0x254574(0x1b0c)]=_0x16a854[_0x254574(0x9ca)]&&_0x16a854[_0x254574(0x9ca)][_0x254574(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x16a854[_0x254574(0x1386)]=_0x254574(0x5be),_0x16a854[_0x254574(0x195f)]=angular[_0x254574(0x17fe)](_0x3fb190),_0x16a854['metrics']=_0x3a4922,_0x16a854[_0x254574(0x149d)]=![];!_0x16a854[_0x254574(0x195f)]&&(_0x16a854['metric']={'table':_0x254574(0x112a)},_0x16a854[_0x254574(0x1386)]='ANALYTICS.NEW_METRIC',_0x16a854[_0x254574(0x149d)]=!![]);_0x16a854[_0x254574(0x1210)]=_0x2ad112,_0x16a854['saveMetric']=_0x5ce1d7,_0x16a854[_0x254574(0x2004)]=_0xd4ff39,_0x16a854['getDateFromString']=_0x13e758,_0x16a854['closeDialog']=_0x390dda;function _0x2ad112(){const _0x3933d9=_0x254574;_0x16a854[_0x3933d9(0x1a7c)]=[],_0xf1920f[_0x3933d9(0xe71)][_0x3933d9(0x1c3f)](_0x16a854['metric'])[_0x3933d9(0x1d77)]['then'](function(_0x15c66b){const _0x3825ac=_0x3933d9;_0x16a854['metrics'][_0x3825ac(0xf63)](_0x15c66b[_0x3825ac(0x19b2)]()),_0x1883cb[_0x3825ac(0x829)]({'title':_0x3825ac(0x744),'msg':_0x16a854[_0x3825ac(0x195f)][_0x3825ac(0x16b6)]?_0x16a854[_0x3825ac(0x195f)][_0x3825ac(0x16b6)]+_0x3825ac(0x470):''}),_0x390dda(_0x15c66b);})[_0x3933d9(0x1c4)](function(_0x43b488){const _0xf9c7a2=_0x3933d9;if(_0x43b488[_0xf9c7a2(0x25c)]&&_0x43b488['data'][_0xf9c7a2(0x1a7c)]&&_0x43b488[_0xf9c7a2(0x25c)][_0xf9c7a2(0x1a7c)][_0xf9c7a2(0xfd0)]){_0x16a854['errors']=_0x43b488[_0xf9c7a2(0x25c)]['errors']||[{'message':_0x43b488[_0xf9c7a2(0x147f)](),'type':_0xf9c7a2(0x179d)}];for(let _0x317770=0x0;_0x317770<_0x43b488[_0xf9c7a2(0x25c)][_0xf9c7a2(0x1a7c)]['length'];_0x317770+=0x1){_0x1883cb['error']({'title':_0x43b488['data'][_0xf9c7a2(0x1a7c)][_0x317770]['type'],'msg':_0x43b488['data']['errors'][_0x317770][_0xf9c7a2(0x155e)]});}}else _0x1883cb[_0xf9c7a2(0x218e)]({'title':_0x43b488[_0xf9c7a2(0x291)]?_0xf9c7a2(0xeb9)+_0x43b488['status']+_0xf9c7a2(0x1657)+_0x43b488['statusText']:'api.analyticMetric.save','msg':_0x43b488[_0xf9c7a2(0x25c)]?JSON[_0xf9c7a2(0x2701)](_0x43b488[_0xf9c7a2(0x25c)][_0xf9c7a2(0x155e)]):_0x43b488[_0xf9c7a2(0x147f)]()});});}function _0x5ce1d7(){const _0x359c05=_0x254574;_0x16a854[_0x359c05(0x1a7c)]=[],_0xf1920f[_0x359c05(0xe71)][_0x359c05(0x687)]({'id':_0x16a854['metric']['id']},_0x16a854['metric'])[_0x359c05(0x1d77)]['then'](function(_0x853ac2){const _0x44549b=_0x359c05,_0x9c41ea=_0x39641b()[_0x44549b(0x13b4)](_0x16a854[_0x44549b(0x27b1)],{'id':_0x853ac2['id']});_0x9c41ea&&_0x39641b()[_0x44549b(0x9c1)](_0x9c41ea,_0x39641b()[_0x44549b(0x169b)](_0x853ac2[_0x44549b(0x19b2)](),_0x39641b()[_0x44549b(0x1be5)](_0x9c41ea))),_0x1883cb[_0x44549b(0x829)]({'title':_0x44549b(0x11a3),'msg':_0x16a854['metric'][_0x44549b(0x16b6)]?_0x16a854[_0x44549b(0x195f)][_0x44549b(0x16b6)]+_0x44549b(0xedb):''}),_0x390dda(_0x853ac2);})['catch'](function(_0x34919c){const _0x4fc6aa=_0x359c05;if(_0x34919c['data']&&_0x34919c[_0x4fc6aa(0x25c)][_0x4fc6aa(0x1a7c)]&&_0x34919c[_0x4fc6aa(0x25c)][_0x4fc6aa(0x1a7c)][_0x4fc6aa(0xfd0)]){_0x16a854[_0x4fc6aa(0x1a7c)]=_0x34919c[_0x4fc6aa(0x25c)][_0x4fc6aa(0x1a7c)]||[{'message':_0x34919c['toString'](),'type':_0x4fc6aa(0x1360)}];for(let _0xe042d1=0x0;_0xe042d1<_0x34919c[_0x4fc6aa(0x25c)][_0x4fc6aa(0x1a7c)][_0x4fc6aa(0xfd0)];_0xe042d1++){_0x1883cb[_0x4fc6aa(0x218e)]({'title':_0x34919c[_0x4fc6aa(0x25c)][_0x4fc6aa(0x1a7c)][_0xe042d1]['type'],'msg':_0x34919c['data'][_0x4fc6aa(0x1a7c)][_0xe042d1][_0x4fc6aa(0x155e)]});}}else _0x1883cb[_0x4fc6aa(0x218e)]({'title':_0x34919c[_0x4fc6aa(0x291)]?_0x4fc6aa(0xeb9)+_0x34919c[_0x4fc6aa(0x291)]+'\x20-\x20'+_0x34919c[_0x4fc6aa(0xc22)]:_0x4fc6aa(0x1360),'msg':_0x34919c['data']?JSON['stringify'](_0x34919c[_0x4fc6aa(0x25c)][_0x4fc6aa(0x155e)]):_0x34919c[_0x4fc6aa(0x147f)]()});});}function _0xd4ff39(_0x37d512){const _0x499e4d=_0x254574;_0x16a854[_0x499e4d(0x1a7c)]=[];const _0x12cf4c=_0xa3bb07[_0x499e4d(0x1551)]()[_0x499e4d(0x1386)](_0x499e4d(0x1a2e))[_0x499e4d(0x862)]('The\x20metric\x20will\x20be\x20deleted.')[_0x499e4d(0x15ad)](_0x499e4d(0x9fb))['ok'](_0x499e4d(0x2594))[_0x499e4d(0x696)](_0x499e4d(0xde1))[_0x499e4d(0x728)](_0x37d512);_0xa3bb07['show'](_0x12cf4c)[_0x499e4d(0x1cb0)](function(){const _0x9ca0fa=_0x499e4d;_0xf1920f[_0x9ca0fa(0xe71)]['delete']({'id':_0x16a854[_0x9ca0fa(0x195f)]['id']})['$promise'][_0x9ca0fa(0x1cb0)](function(){const _0x270997=_0x9ca0fa;_0x39641b()[_0x270997(0x152a)](_0x16a854[_0x270997(0x27b1)],{'id':_0x16a854['metric']['id']}),_0x1883cb[_0x270997(0x829)]({'title':_0x270997(0x1c94),'msg':(_0x16a854[_0x270997(0x195f)]['name']||_0x270997(0x195f))+_0x270997(0x3f5)}),_0x390dda(_0x16a854[_0x270997(0x195f)]);})['catch'](function(_0x1437f4){const _0x111490=_0x9ca0fa;if(_0x1437f4[_0x111490(0x25c)]&&_0x1437f4[_0x111490(0x25c)][_0x111490(0x1a7c)]&&_0x1437f4[_0x111490(0x25c)][_0x111490(0x1a7c)][_0x111490(0xfd0)]){_0x16a854['errors']=_0x1437f4[_0x111490(0x25c)][_0x111490(0x1a7c)]||[{'message':_0x1437f4[_0x111490(0x147f)](),'type':_0x111490(0xa05)}];for(let _0x52cfef=0x0;_0x52cfef<_0x1437f4[_0x111490(0x25c)][_0x111490(0x1a7c)]['length'];_0x52cfef++){_0x1883cb['error']({'title':_0x1437f4[_0x111490(0x25c)][_0x111490(0x1a7c)][_0x52cfef][_0x111490(0x66a)],'msg':_0x1437f4['data'][_0x111490(0x1a7c)][_0x52cfef][_0x111490(0x155e)]});}}else _0x1883cb[_0x111490(0x218e)]({'title':_0x1437f4['status']?_0x111490(0xeb9)+_0x1437f4[_0x111490(0x291)]+_0x111490(0x1657)+_0x1437f4[_0x111490(0xc22)]:_0x111490(0xa05),'msg':_0x1437f4['data']?JSON['stringify'](_0x1437f4[_0x111490(0x25c)][_0x111490(0x155e)]):_0x1437f4[_0x111490(0x155e)]||_0x1437f4[_0x111490(0x147f)]()});});},function(){});}function _0x13e758(_0x57c4c9){return _0x57c4c9===null?undefined:new Date(_0x57c4c9);}function _0x390dda(_0x502b9b){_0xa3bb07['hide'](_0x502b9b);}}const _0x4dd6c1=_0x1c9075;;const _0x6389d8=_0x5074a3['p']+'src/js/modules/main/apps/analytics/views/metrics/create/dialog.html/dialog.html';;_0x5d8b05[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),'$state',_0x5537c6(0x417),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0x27b1),_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x142b),_0x5537c6(0xde8),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x5d8b05(_0x3f161f,_0x3b2471,_0x1e7d43,_0x402695,_0x4aaedd,_0x7e3404,_0x2e359d,_0x24e1a5,_0x1f1edb,_0x16ec72,_0x22b553,_0x5d0cf5,_0xd4917a,_0x518102,_0x54e4a0,_0x25b968,_0xc27ecf){const _0x5d49b4=_0x5537c6,_0x4056fc=this;_0x4056fc[_0x5d49b4(0x8a5)]=_0x25b968,_0x4056fc['setting']=_0xc27ecf,_0x4056fc[_0x5d49b4(0xe76)]=_0x54e4a0[_0x5d49b4(0x21e8)](),_0x4056fc[_0x5d49b4(0x27b1)]=_0x1f1edb||{'count':0x0,'rows':[]},_0x4056fc[_0x5d49b4(0x44a)]=_0x16ec72,_0x4056fc[_0x5d49b4(0x2199)]=_0x22b553&&_0x22b553['count']==0x1?_0x22b553[_0x5d49b4(0x2214)][0x0]:null,_0x4056fc['crudPermissions']=_0x54e4a0[_0x5d49b4(0x14ea)](_0x4056fc[_0x5d49b4(0x2199)]?_0x4056fc[_0x5d49b4(0x2199)][_0x5d49b4(0x1b1a)]:null),_0x4056fc['table']=_0x5d49b4(0x27b1),_0x4056fc[_0x5d49b4(0x1d20)]='',_0x4056fc['listOrderAsc']=null,_0x4056fc[_0x5d49b4(0x1203)]=[],_0x4056fc[_0x5d49b4(0xae2)]={'fields':_0x5d49b4(0x1e5c),'sort':_0x5d49b4(0x282),'limit':0xa,'page':0x1},_0x4056fc[_0x5d49b4(0x913)]=_0x39641b()[_0x5d49b4(0x2631)]([{'option':'Agent\x20Report','value':_0x5d49b4(0x975)},{'option':_0x5d49b4(0x5ed),'value':_0x5d49b4(0x1b56)},{'option':_0x5d49b4(0x17c6),'value':'\x27cdr\x27'},{'option':_0x5d49b4(0x11ad),'value':_0x5d49b4(0xa1d)},{'option':_0x5d49b4(0xc49),'value':_0x5d49b4(0xded)},{'option':'Chat\x20Messages','value':'\x27chat_messages\x27'},{'option':_0x5d49b4(0x189),'value':_0x5d49b4(0x26d2)},{'option':_0x5d49b4(0x1f56),'value':_0x5d49b4(0x13b7)},{'option':_0x5d49b4(0x14e5),'value':'\x27report_chat_queue\x27'},{'option':_0x5d49b4(0xecc),'value':'\x27report_chat_transfer\x27'},{'option':_0x5d49b4(0x963),'value':_0x5d49b4(0x1bc3)},{'option':_0x5d49b4(0x20a4),'value':_0x5d49b4(0x1806)},{'option':_0x5d49b4(0x1ab6),'value':_0x5d49b4(0x61c)},{'option':_0x5d49b4(0xe55),'value':_0x5d49b4(0x20d9)},{'option':_0x5d49b4(0x1c7a),'value':_0x5d49b4(0x17b9)},{'option':_0x5d49b4(0x1b61),'value':'\x27cm_hopper\x27'},{'option':_0x5d49b4(0xe45),'value':_0x5d49b4(0xf83)},{'option':_0x5d49b4(0x163b),'value':_0x5d49b4(0x101c)},{'option':_0x5d49b4(0x6da),'value':_0x5d49b4(0x120a)},{'option':_0x5d49b4(0x59f),'value':_0x5d49b4(0x1067)},{'option':_0x5d49b4(0x244d),'value':_0x5d49b4(0x882)},{'option':_0x5d49b4(0x2387),'value':_0x5d49b4(0x188b)},{'option':_0x5d49b4(0x1a35),'value':_0x5d49b4(0xca9)},{'option':_0x5d49b4(0x11ea),'value':_0x5d49b4(0x228)},{'option':_0x5d49b4(0x22f4),'value':_0x5d49b4(0xb90)},{'option':_0x5d49b4(0x1130),'value':'\x27fax_interactions\x27'},{'option':_0x5d49b4(0x214e),'value':_0x5d49b4(0x2f5)},{'option':_0x5d49b4(0xc8e),'value':_0x5d49b4(0xadc)},{'option':_0x5d49b4(0x1213),'value':'\x27report_fax_queue\x27'},{'option':'Fax\x20Report\x20Transfer','value':'\x27report_fax_transfer\x27'},{'option':_0x5d49b4(0x817),'value':_0x5d49b4(0x2616)},{'option':_0x5d49b4(0x127b),'value':'\x27report_jscripty_questions\x27'},{'option':_0x5d49b4(0x1a7f),'value':_0x5d49b4(0xe6b)},{'option':_0x5d49b4(0x191f),'value':_0x5d49b4(0x1e68)},{'option':_0x5d49b4(0x25d9),'value':'\x27report_member\x27'},{'option':_0x5d49b4(0x12a3),'value':'\x27openchannel_accounts\x27'},{'option':_0x5d49b4(0x26cc),'value':_0x5d49b4(0x23cd)},{'option':'Openchannel\x20Messages','value':_0x5d49b4(0xe1d)},{'option':'Openchannel\x20Queues','value':_0x5d49b4(0x3cd)},{'option':_0x5d49b4(0x292c),'value':_0x5d49b4(0x23eb)},{'option':_0x5d49b4(0x2fc),'value':_0x5d49b4(0x278d)},{'option':_0x5d49b4(0x24bf),'value':_0x5d49b4(0x1ae9)},{'option':_0x5d49b4(0xe21),'value':_0x5d49b4(0x925)},{'option':_0x5d49b4(0x11d2),'value':'\x27sms_interactions\x27'},{'option':'SMS\x20Messages','value':_0x5d49b4(0x90b)},{'option':'SMS\x20Queues','value':_0x5d49b4(0x177b)},{'option':_0x5d49b4(0x205c),'value':'\x27report_sms_queue\x27'},{'option':_0x5d49b4(0x26be),'value':'\x27report_sms_transfer\x27'},{'option':'Users','value':'\x27users\x27'},{'option':_0x5d49b4(0xc68),'value':_0x5d49b4(0x27c3)},{'option':_0x5d49b4(0x1d56),'value':_0x5d49b4(0x1227)},{'option':'Whatsapp\x20Interaction','value':_0x5d49b4(0xa5b)},{'option':_0x5d49b4(0x2073),'value':_0x5d49b4(0x2776)},{'option':'Whatsapp\x20Queues','value':_0x5d49b4(0x2045)},{'option':_0x5d49b4(0x46b),'value':_0x5d49b4(0x60e)},{'option':_0x5d49b4(0x1138),'value':'\x27report_whatsapp_transfer\x27'}],function(_0x33387c){const _0x157fd1=_0x5d49b4;return _0x39641b()[_0x157fd1(0x288f)](_0x33387c['value'],new RegExp('\x27','g'),'');}),_0x4056fc[_0x5d49b4(0xc93)]=_0x9fd8e4,_0x4056fc[_0x5d49b4(0x27fe)]=_0x6a6b31,_0x4056fc[_0x5d49b4(0x829)]=_0x5f3ff2,_0x4056fc[_0x5d49b4(0x230a)]=_0x20c1b7,_0x4056fc[_0x5d49b4(0x20bc)]=_0x417948,_0x4056fc[_0x5d49b4(0x2004)]=_0x6743a3,_0x4056fc[_0x5d49b4(0x256a)]=_0x2f442a,_0x4056fc[_0x5d49b4(0xb47)]=_0x268400,_0x4056fc[_0x5d49b4(0x11a1)]=_0x38ff0d,_0x4056fc[_0x5d49b4(0x1452)]=_0x1fcb40;function _0x9fd8e4(_0x538f25,_0x398359){const _0x408611=_0x5d49b4;_0x4aaedd[_0x408611(0xe27)]({'controller':_0x408611(0x2893),'controllerAs':'vm','templateUrl':_0x6389d8,'parent':angular[_0x408611(0x1853)](_0x7e3404[_0x408611(0x1ed9)]),'targetEvent':_0x398359,'clickOutsideToClose':!![],'locals':{'metric':_0x538f25,'metrics':_0x4056fc['metrics'][_0x408611(0x2214)],'license':_0x4056fc[_0x408611(0x8a5)],'setting':null,'crudPermissions':_0x4056fc['crudPermissions']}});}function _0x6a6b31(_0x2c7c5a,_0x380674){const _0x119bfb=_0x5d49b4,_0xce0862=_0x4aaedd[_0x119bfb(0x1551)]()[_0x119bfb(0x1386)](_0x119bfb(0x140b)+_0x39641b()['startCase']('metric')+'?')['htmlContent'](_0x119bfb(0x204d)+(_0x2c7c5a['name']||_0x119bfb(0x195f))+_0x119bfb(0x1200)+_0x119bfb(0x1b6))[_0x119bfb(0x15ad)]('delete\x20metric')[_0x119bfb(0x728)](_0x380674)['ok']('OK')[_0x119bfb(0x696)](_0x119bfb(0x24ba));_0x4aaedd[_0x119bfb(0xe27)](_0xce0862)['then'](function(){_0x6743a3(_0x2c7c5a);},function(){const _0x35cd18=_0x119bfb;console[_0x35cd18(0x1b4f)](_0x35cd18(0x24ba));});}let _0x24a032=!![],_0x1615b9=0x1;_0x3f161f[_0x5d49b4(0x614)](_0x5d49b4(0x957),function(_0x2b3d7f,_0x372e5c){const _0x3f46b7=_0x5d49b4;_0x24a032?_0x2e359d(function(){_0x24a032=![];}):(!_0x372e5c&&(_0x1615b9=_0x4056fc['query']['page']),_0x2b3d7f!==_0x372e5c&&(_0x4056fc[_0x3f46b7(0xae2)][_0x3f46b7(0x1c7b)]=0x1),!_0x2b3d7f&&(_0x4056fc['query']['page']=_0x1615b9),_0x4056fc[_0x3f46b7(0x230a)]());});function _0x5f3ff2(_0x1cc2e0){const _0x68fa8e=_0x5d49b4;_0x4056fc[_0x68fa8e(0x27b1)]=_0x1cc2e0||{'count':0x0,'rows':[]};}function _0x20c1b7(){const _0x363284=_0x5d49b4;_0x4056fc[_0x363284(0xae2)]['offset']=(_0x4056fc[_0x363284(0xae2)][_0x363284(0x1c7b)]-0x1)*_0x4056fc['query'][_0x363284(0x236)],_0x54e4a0[_0x363284(0x22b6)]('admin')?_0x4056fc[_0x363284(0x2061)]=_0x5d0cf5[_0x363284(0xe71)][_0x363284(0xbf7)](_0x4056fc[_0x363284(0xae2)],_0x5f3ff2)[_0x363284(0x1d77)]:(_0x4056fc[_0x363284(0xae2)]['id']=_0x4056fc[_0x363284(0x44a)]['id'],_0x4056fc['query'][_0x363284(0x1f74)]='Metrics',_0x4056fc[_0x363284(0x2061)]=_0x5d0cf5[_0x363284(0x44a)][_0x363284(0x1810)](_0x4056fc[_0x363284(0xae2)],_0x5f3ff2)[_0x363284(0x1d77)]);}function _0x417948(_0x3fc182,_0x5547ac){const _0x5e8f68=_0x5d49b4;_0x4aaedd['show']({'controller':_0x5e8f68(0x2893),'controllerAs':'vm','templateUrl':_0x6389d8,'parent':angular[_0x5e8f68(0x1853)](_0x7e3404[_0x5e8f68(0x1ed9)]),'targetEvent':_0x3fc182,'clickOutsideToClose':!![],'locals':{'metric':_0x5547ac,'metrics':_0x4056fc[_0x5e8f68(0x27b1)][_0x5e8f68(0x2214)],'license':_0x4056fc['license'],'setting':_0x4056fc['setting'],'crudPermissions':_0x4056fc[_0x5e8f68(0x1b1a)]}});}function _0x6743a3(_0x2b705c){const _0x129c58=_0x5d49b4;_0x5d0cf5[_0x129c58(0xe71)][_0x129c58(0x111d)]({'id':_0x2b705c['id']})[_0x129c58(0x1d77)][_0x129c58(0x1cb0)](function(){const _0x20a08d=_0x129c58;_0x39641b()['remove'](_0x4056fc['metrics'][_0x20a08d(0x2214)],{'id':_0x2b705c['id']}),_0x4056fc[_0x20a08d(0x27b1)][_0x20a08d(0x184d)]-=0x1,!_0x4056fc[_0x20a08d(0x27b1)][_0x20a08d(0x2214)][_0x20a08d(0xfd0)]&&_0x4056fc[_0x20a08d(0x230a)](),_0x518102[_0x20a08d(0x829)]({'title':_0x39641b()[_0x20a08d(0xa75)](_0x20a08d(0x18b4))+_0x20a08d(0x2663),'msg':_0x2b705c['name']?_0x2b705c[_0x20a08d(0x16b6)]+'\x20has\x20been\x20deleted!':''});})[_0x129c58(0x1c4)](function(_0x1c0034){const _0x5995c0=_0x129c58;if(_0x1c0034[_0x5995c0(0x25c)]&&_0x1c0034[_0x5995c0(0x25c)]['errors']&&_0x1c0034[_0x5995c0(0x25c)][_0x5995c0(0x1a7c)][_0x5995c0(0xfd0)]){_0x4056fc[_0x5995c0(0x1a7c)]=_0x1c0034[_0x5995c0(0x25c)][_0x5995c0(0x1a7c)]||[{'message':_0x1c0034[_0x5995c0(0x147f)](),'type':_0x5995c0(0x27b6)}];for(let _0x49c94=0x0;_0x49c94<_0x1c0034[_0x5995c0(0x25c)][_0x5995c0(0x1a7c)][_0x5995c0(0xfd0)];_0x49c94++){_0x518102['error']({'title':_0x1c0034[_0x5995c0(0x25c)]['errors'][_0x49c94][_0x5995c0(0x66a)],'msg':_0x1c0034[_0x5995c0(0x25c)][_0x5995c0(0x1a7c)][_0x49c94][_0x5995c0(0x155e)]});}}else _0x518102['error']({'title':_0x1c0034[_0x5995c0(0x291)]?_0x5995c0(0xeb9)+_0x1c0034[_0x5995c0(0x291)]+_0x5995c0(0x1657)+_0x1c0034[_0x5995c0(0xc22)]:_0x5995c0(0x27b6),'msg':_0x1c0034['data']?JSON[_0x5995c0(0x2701)](_0x1c0034[_0x5995c0(0x25c)][_0x5995c0(0x155e)]):_0x1c0034[_0x5995c0(0x155e)]||_0x1c0034[_0x5995c0(0x147f)]()});});}function _0x2f442a(){const _0x1fa5a0=_0x5d49b4,_0x776037=angular[_0x1fa5a0(0x17fe)](_0x4056fc[_0x1fa5a0(0x1203)]);return _0x4056fc[_0x1fa5a0(0x1203)]=[],_0x776037;}function _0x268400(_0x1fbdd5){const _0x36c597=_0x5d49b4,_0x164ebd=_0x4aaedd[_0x36c597(0x1551)]()[_0x36c597(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20metrics?')[_0x36c597(0x49e)](_0x36c597(0x204d)+_0x4056fc['selectedMetrics']['length']+_0x36c597(0x1d6c)+_0x36c597(0x1b6))[_0x36c597(0x15ad)](_0x36c597(0x34a))[_0x36c597(0x728)](_0x1fbdd5)['ok']('OK')['cancel'](_0x36c597(0x24ba));_0x4aaedd[_0x36c597(0xe27)](_0x164ebd)['then'](function(){const _0x1dfd80=_0x36c597;_0x4056fc['selectedMetrics'][_0x1dfd80(0xf90)](function(_0x3eca25){_0x6743a3(_0x3eca25);}),_0x4056fc['selectedMetrics']=[];});}function _0x38ff0d(){_0x4056fc['selectedMetrics']=[];}function _0x1fcb40(){const _0x100813=_0x5d49b4;_0x4056fc[_0x100813(0x1203)]=_0x4056fc[_0x100813(0x27b1)][_0x100813(0x2214)];}}const _0xf039e5=_0x5d8b05;;_0x4e8879['$inject']=['$stateProvider',_0x5537c6(0x1f2a)];function _0x4e8879(_0x35298a,_0x35f8f8){const _0x1ea42a=_0x5537c6;_0x35298a[_0x1ea42a(0x27e0)](_0x1ea42a(0x2148),{'abstract':!![],'url':_0x1ea42a(0x1e8e)})[_0x1ea42a(0x27e0)](_0x1ea42a(0x16d0),{'url':_0x1ea42a(0x25c0),'views':{'content@app':{'templateUrl':_0x2fce49,'controller':'MetricsController\x20as\x20vm'}},'resolve':{'metrics':['apiResolver',_0x1ea42a(0x1774),function(_0x5adc9f,_0x3fb80e){const _0x202eda=_0x1ea42a;return _0x3fb80e[_0x202eda(0x22b6)](_0x202eda(0x1c60))?_0x5adc9f[_0x202eda(0x19a3)](_0x202eda(0x1d9),{'fields':'createdAt,updatedAt,id,name,table,metric,description','sort':_0x202eda(0x282),'limit':0xa,'offset':0x0}):_0x5adc9f[_0x202eda(0x19a3)](_0x202eda(0x12da),{'id':_0x3fb80e[_0x202eda(0x21e8)]()[_0x202eda(0x13c1)],'section':'Metrics','fields':_0x202eda(0x1e5c),'sort':_0x202eda(0x282),'limit':0xa,'offset':0x0});}],'userProfile':[_0x1ea42a(0x1e0b),_0x1ea42a(0x1774),function(_0x18522c,_0x49ad6c){const _0x2d20e3=_0x1ea42a;return _0x49ad6c['hasRole'](_0x2d20e3(0x1c60))?null:_0x18522c[_0x2d20e3(0x19a3)](_0x2d20e3(0x9ae),{'fields':_0x2d20e3(0x279),'id':_0x49ad6c['getCurrentUser']()['userProfileId']});}],'userProfileSection':[_0x1ea42a(0x1e0b),_0x1ea42a(0x1774),function(_0x429553,_0x41f896){const _0x27fc9e=_0x1ea42a;return _0x41f896[_0x27fc9e(0x22b6)]('admin')?null:_0x429553['resolve'](_0x27fc9e(0x2182),{'fields':_0x27fc9e(0x1f5f),'userProfileId':_0x41f896[_0x27fc9e(0x21e8)]()[_0x27fc9e(0x13c1)],'sectionId':0x4b1});}]},'authenticate':!![],'permissionId':0x4b1,'bodyClass':_0x1ea42a(0x2084)})[_0x1ea42a(0x27e0)](_0x1ea42a(0x1a65),{'url':_0x1ea42a(0xd06),'views':{'content@app':{'templateUrl':_0x4222e5,'controller':_0x1ea42a(0xcc2)}},'resolve':{'extractedReports':[_0x1ea42a(0x1e0b),_0x1ea42a(0x1774),function(_0x1e67d8,_0xc1e950){const _0x5edfdc=_0x1ea42a;return _0xc1e950['hasRole'](_0x5edfdc(0x1c60))?_0x1e67d8[_0x5edfdc(0x19a3)](_0x5edfdc(0x10f1),{'fields':_0x5edfdc(0xae5),'sort':_0x5edfdc(0x282),'limit':0xa,'offset':0x0}):_0x1e67d8[_0x5edfdc(0x19a3)]('userProfile@getResources',{'id':_0xc1e950[_0x5edfdc(0x21e8)]()['userProfileId'],'section':'ExtractedReports','fields':'createdAt,updatedAt,id,name,basename,savename,type,startDate,endDate,status,output,reportId,reportType','sort':'-updatedAt','limit':0xa,'offset':0x0});}],'userProfile':['apiResolver',_0x1ea42a(0x1774),function(_0x170f63,_0x5e98e2){const _0x1cbdce=_0x1ea42a;return _0x5e98e2['hasRole'](_0x1cbdce(0x1c60))?null:_0x170f63['resolve'](_0x1cbdce(0x9ae),{'fields':_0x1cbdce(0x279),'id':_0x5e98e2['getCurrentUser']()[_0x1cbdce(0x13c1)]});}],'userProfileSection':[_0x1ea42a(0x1e0b),_0x1ea42a(0x1774),function(_0x30defc,_0x1892df){const _0x892185=_0x1ea42a;return _0x1892df[_0x892185(0x22b6)](_0x892185(0x1c60))?null:_0x30defc['resolve'](_0x892185(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x1892df['getCurrentUser']()[_0x892185(0x13c1)],'sectionId':0x4b2});}]},'authenticate':!![],'permissionId':0x4b2,'bodyClass':'analytics'}),_0x35f8f8[_0x1ea42a(0x4e7)]('app/main/apps/analytics');}angular[_0x5537c6(0x9ab)](_0x5537c6(0x2148),[_0x5537c6(0x2770),'ngPassword',_0x5537c6(0x1260),_0x5537c6(0xacf),'mdColorPicker',_0x5537c6(0xd19),_0x5537c6(0x44c),_0x5537c6(0x2ec),'ngAnimate',_0x5537c6(0x167d),_0x5537c6(0x208f),_0x5537c6(0x1b65),'mwFormUtils',_0x5537c6(0x3b9),_0x5537c6(0x7c9),_0x5537c6(0xeb5),_0x5537c6(0x27af),_0x5537c6(0x13b6),_0x5537c6(0x1cbd),'angular.filter','app.analytics.reports'])[_0x5537c6(0xa60)](_0x4e8879)[_0x5537c6(0x6e5)](_0x5537c6(0xb6b),_0x4466d7)[_0x5537c6(0x6e5)]('ExtractedReportsController',_0x206841)[_0x5537c6(0x6e5)](_0x5537c6(0x2893),_0x4dd6c1)[_0x5537c6(0x6e5)]('MetricsController',_0xf039e5);;const _0x3de60c=_0x5074a3['p']+_0x5537c6(0x37b);;const _0x4b7422=_0x5074a3['p']+'src/js/modules/main/apps/integrations/views/zendeskAccounts/edit/view.html/view.html';;const _0x4669ec=_0x5074a3['p']+_0x5537c6(0x1e01);;const _0x409691=_0x5074a3['p']+_0x5537c6(0x89c);;const _0x39215b=_0x5074a3['p']+_0x5537c6(0x1e6d);;const _0x5a6eed=_0x5074a3['p']+'src/js/modules/main/apps/integrations/views/freshdeskAccounts/edit/view.html/view.html';;const _0xdd640e=_0x5074a3['p']+_0x5537c6(0x1dca);;const _0x33a88a=_0x5074a3['p']+'src/js/modules/main/apps/integrations/views/sugarcrmAccounts/edit/view.html/view.html';;const _0x3cb839=_0x5074a3['p']+'src/js/modules/main/apps/integrations/views/deskAccounts/deskAccounts.html/deskAccounts.html';;const _0x2567d5=_0x5074a3['p']+'src/js/modules/main/apps/integrations/views/deskAccounts/edit/view.html/view.html';;const _0xb1f74b=_0x5074a3['p']+_0x5537c6(0xf32);;const _0x27b766=_0x5074a3['p']+_0x5537c6(0x1664);;const _0x439e26=_0x5074a3['p']+_0x5537c6(0xc9f);;const _0x56b8a2=_0x5074a3['p']+_0x5537c6(0x701);;const _0x2a63a7=_0x5074a3['p']+_0x5537c6(0x316);;const _0x57c379=_0x5074a3['p']+_0x5537c6(0xbb1);;const _0x1f4627=_0x5074a3['p']+_0x5537c6(0x23f9);;const _0xf47517=_0x5074a3['p']+'src/js/modules/main/apps/integrations/views/servicenowAccounts/edit/view.html/view.html';;const _0x4b0452=_0x5074a3['p']+_0x5537c6(0xcb1);;const _0x171142=_0x5074a3['p']+_0x5537c6(0x1eb1);;_0x4df5ed[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),'deskAccounts',_0x5537c6(0x1244),_0x5537c6(0x142b),'Auth',_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x4df5ed(_0x2fac62,_0x4df486,_0x41f71c,_0x5ca92e,_0x54b653,_0x56621b,_0x164990,_0x36089b,_0x206fae,_0x339a35,_0x58a9c2,_0x358fd1,_0x111a4f,_0x28c0a1){const _0x522aea=_0x5537c6,_0x38071f=this;_0x38071f['currentUser']=_0x58a9c2[_0x522aea(0x21e8)](),_0x38071f['errors']=[],_0x38071f[_0x522aea(0x9ca)]=_0x111a4f,_0x38071f[_0x522aea(0x8a5)]=_0x358fd1,_0x38071f['crudPermissions']=_0x28c0a1,_0x38071f[_0x522aea(0xf4c)]={},_0x38071f[_0x522aea(0x1b0c)]=_0x38071f[_0x522aea(0x9ca)]&&_0x38071f[_0x522aea(0x9ca)][_0x522aea(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x38071f[_0x522aea(0x1386)]='INTEGRATIONS.EDIT_DESKACCOUNT',_0x38071f[_0x522aea(0x1244)]=angular[_0x522aea(0x17fe)](_0x206fae),_0x38071f['deskAccounts']=_0x36089b,_0x38071f['newDeskAccount']=![];!_0x38071f[_0x522aea(0x1244)]&&(_0x38071f[_0x522aea(0x1244)]={'type':'integrationTab','authType':'basic','serverUrl':_0x41f71c[_0x522aea(0x2276)]()+_0x522aea(0x138b)+_0x41f71c['host']()+(_0x41f71c[_0x522aea(0x477)]()?':'+_0x41f71c[_0x522aea(0x477)]():'')},_0x38071f['title']=_0x522aea(0x38d),_0x38071f[_0x522aea(0x148c)]=!![]);_0x38071f[_0x522aea(0x763)]=_0x588ff0,_0x38071f[_0x522aea(0x1ccf)]=_0x1248e1,_0x38071f[_0x522aea(0xba1)]=_0x2ed9cc,_0x38071f[_0x522aea(0x2c4)]=_0x433bbe,_0x38071f[_0x522aea(0xda0)]=_0x256c6e;function _0x588ff0(){const _0x4dcb90=_0x522aea;_0x38071f[_0x4dcb90(0x1a7c)]=[],_0x339a35[_0x4dcb90(0x2559)][_0x4dcb90(0x1c3f)](_0x38071f['deskAccount'])['$promise'][_0x4dcb90(0x1cb0)](function(_0x2d167a){const _0x2d2f98=_0x4dcb90;_0x38071f[_0x2d2f98(0x1dc3)][_0x2d2f98(0xf63)](_0x2d167a[_0x2d2f98(0x19b2)]()),_0x164990[_0x2d2f98(0x829)]({'title':_0x2d2f98(0x1c49),'msg':_0x38071f['deskAccount'][_0x2d2f98(0x16b6)]?_0x38071f[_0x2d2f98(0x1244)]['name']+_0x2d2f98(0x470):''}),_0x256c6e(_0x2d167a);})['catch'](function(_0x77fd69){const _0x553211=_0x4dcb90;if(_0x77fd69[_0x553211(0x25c)]&&_0x77fd69['data']['errors']&&_0x77fd69[_0x553211(0x25c)][_0x553211(0x1a7c)]['length']){_0x38071f[_0x553211(0x1a7c)]=_0x77fd69[_0x553211(0x25c)]['errors']||[{'message':_0x77fd69['toString'](),'type':_0x553211(0x1e87)}];for(let _0xc46fa7=0x0;_0xc46fa7<_0x77fd69[_0x553211(0x25c)]['errors'][_0x553211(0xfd0)];_0xc46fa7+=0x1){_0x164990[_0x553211(0x218e)]({'title':_0x77fd69[_0x553211(0x25c)]['errors'][_0xc46fa7][_0x553211(0x66a)],'msg':_0x77fd69['data'][_0x553211(0x1a7c)][_0xc46fa7]['message']});}}else _0x164990['error']({'title':_0x77fd69['status']?_0x553211(0xeb9)+_0x77fd69[_0x553211(0x291)]+_0x553211(0x1657)+_0x77fd69[_0x553211(0xc22)]:_0x553211(0x1e87),'msg':_0x77fd69[_0x553211(0x25c)]?JSON['stringify'](_0x77fd69[_0x553211(0x25c)][_0x553211(0x155e)]):_0x77fd69[_0x553211(0x147f)]()});});}function _0x1248e1(){const _0x277d34=_0x522aea;_0x38071f[_0x277d34(0x1a7c)]=[],_0x339a35['intDeskAccount'][_0x277d34(0x687)]({'id':_0x38071f[_0x277d34(0x1244)]['id']},_0x38071f[_0x277d34(0x1244)])['$promise'][_0x277d34(0x1cb0)](function(_0x5792d5){const _0x13d8ba=_0x277d34,_0x1f7dcb=_0x39641b()[_0x13d8ba(0x13b4)](_0x38071f[_0x13d8ba(0x1dc3)],{'id':_0x5792d5['id']});_0x1f7dcb&&_0x39641b()[_0x13d8ba(0x9c1)](_0x1f7dcb,_0x39641b()[_0x13d8ba(0x169b)](_0x5792d5['toJSON'](),_0x39641b()[_0x13d8ba(0x1be5)](_0x1f7dcb))),_0x164990[_0x13d8ba(0x829)]({'title':_0x13d8ba(0x1de7),'msg':_0x38071f[_0x13d8ba(0x1244)]['name']?_0x38071f[_0x13d8ba(0x1244)][_0x13d8ba(0x16b6)]+_0x13d8ba(0xedb):''}),_0x256c6e(_0x5792d5);})[_0x277d34(0x1c4)](function(_0x1384d5){const _0x124c6c=_0x277d34;if(_0x1384d5[_0x124c6c(0x25c)]&&_0x1384d5['data'][_0x124c6c(0x1a7c)]&&_0x1384d5[_0x124c6c(0x25c)][_0x124c6c(0x1a7c)][_0x124c6c(0xfd0)]){_0x38071f[_0x124c6c(0x1a7c)]=_0x1384d5[_0x124c6c(0x25c)][_0x124c6c(0x1a7c)]||[{'message':_0x1384d5[_0x124c6c(0x147f)](),'type':_0x124c6c(0x145c)}];for(let _0x50ed5d=0x0;_0x50ed5d<_0x1384d5[_0x124c6c(0x25c)][_0x124c6c(0x1a7c)][_0x124c6c(0xfd0)];_0x50ed5d++){_0x164990['error']({'title':_0x1384d5[_0x124c6c(0x25c)]['errors'][_0x50ed5d][_0x124c6c(0x66a)],'msg':_0x1384d5[_0x124c6c(0x25c)][_0x124c6c(0x1a7c)][_0x50ed5d][_0x124c6c(0x155e)]});}}else _0x164990[_0x124c6c(0x218e)]({'title':_0x1384d5[_0x124c6c(0x291)]?_0x124c6c(0xeb9)+_0x1384d5[_0x124c6c(0x291)]+'\x20-\x20'+_0x1384d5[_0x124c6c(0xc22)]:_0x124c6c(0x145c),'msg':_0x1384d5[_0x124c6c(0x25c)]?JSON[_0x124c6c(0x2701)](_0x1384d5[_0x124c6c(0x25c)][_0x124c6c(0x155e)]):_0x1384d5[_0x124c6c(0x147f)]()});});}function _0x2ed9cc(_0x4fe4ed){const _0x11fbe0=_0x522aea;_0x38071f[_0x11fbe0(0x1a7c)]=[];const _0x481f5=_0x5ca92e[_0x11fbe0(0x1551)]()[_0x11fbe0(0x1386)]('Are\x20you\x20sure?')[_0x11fbe0(0x862)](_0x11fbe0(0x149f))[_0x11fbe0(0x15ad)](_0x11fbe0(0x165a))['ok'](_0x11fbe0(0x2594))[_0x11fbe0(0x696)](_0x11fbe0(0xde1))[_0x11fbe0(0x728)](_0x4fe4ed);_0x5ca92e[_0x11fbe0(0xe27)](_0x481f5)[_0x11fbe0(0x1cb0)](function(){const _0x159a94=_0x11fbe0;_0x339a35[_0x159a94(0x2559)][_0x159a94(0x111d)]({'id':_0x38071f['deskAccount']['id']})['$promise']['then'](function(){const _0x40e020=_0x159a94;_0x39641b()[_0x40e020(0x152a)](_0x38071f['deskAccounts'],{'id':_0x38071f[_0x40e020(0x1244)]['id']}),_0x164990[_0x40e020(0x829)]({'title':_0x40e020(0x1722),'msg':(_0x38071f[_0x40e020(0x1244)]['name']||_0x40e020(0x1244))+_0x40e020(0x3f5)}),_0x256c6e(_0x38071f[_0x40e020(0x1244)]);})[_0x159a94(0x1c4)](function(_0x443509){const _0x45019a=_0x159a94;if(_0x443509['data']&&_0x443509['data'][_0x45019a(0x1a7c)]&&_0x443509[_0x45019a(0x25c)]['errors'][_0x45019a(0xfd0)]){_0x38071f[_0x45019a(0x1a7c)]=_0x443509[_0x45019a(0x25c)][_0x45019a(0x1a7c)]||[{'message':_0x443509[_0x45019a(0x147f)](),'type':'api.intDeskAccount.delete'}];for(let _0x45c9d9=0x0;_0x45c9d9<_0x443509[_0x45019a(0x25c)]['errors']['length'];_0x45c9d9++){_0x164990[_0x45019a(0x218e)]({'title':_0x443509[_0x45019a(0x25c)]['errors'][_0x45c9d9]['type'],'msg':_0x443509['data']['errors'][_0x45c9d9][_0x45019a(0x155e)]});}}else _0x164990['error']({'title':_0x443509[_0x45019a(0x291)]?_0x45019a(0xeb9)+_0x443509[_0x45019a(0x291)]+_0x45019a(0x1657)+_0x443509[_0x45019a(0xc22)]:_0x45019a(0x5cf),'msg':_0x443509[_0x45019a(0x25c)]?JSON[_0x45019a(0x2701)](_0x443509[_0x45019a(0x25c)][_0x45019a(0x155e)]):_0x443509['message']||_0x443509[_0x45019a(0x147f)]()});});},function(){});}function _0x433bbe(_0x42601f){return _0x42601f===null?undefined:new Date(_0x42601f);}function _0x256c6e(_0x25cebe){const _0x12ee3a=_0x522aea;_0x5ca92e[_0x12ee3a(0x1426)](_0x25cebe);}}const _0x282028=_0x4df5ed;;const _0x579709=_0x5074a3['p']+_0x5537c6(0x27c6);;_0x451cee[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),'$state','$mdSidenav',_0x5537c6(0xcb9),'$document','$timeout','$translate',_0x5537c6(0x1dc3),_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x142b),'msUtils',_0x5537c6(0x9bf),'Auth',_0x5537c6(0x8a5),'setting'];function _0x451cee(_0x668c75,_0x181cae,_0x3a95b3,_0x18c9d0,_0x4bee75,_0x16164f,_0x46afe1,_0x33ea86,_0x388264,_0x1fb1dd,_0x40af41,_0x41d50a,_0x61ffd6,_0x2b328a,_0x5e9294,_0x34f53b,_0x4bf8dd){const _0x242583=_0x5537c6,_0x32bcce=this;_0x32bcce[_0x242583(0x8a5)]=_0x34f53b,_0x32bcce['setting']=_0x4bf8dd,_0x32bcce[_0x242583(0xe76)]=_0x5e9294[_0x242583(0x21e8)](),_0x32bcce['deskAccounts']=_0x388264||{'count':0x0,'rows':[]},_0x32bcce[_0x242583(0x44a)]=_0x1fb1dd,_0x32bcce[_0x242583(0x2199)]=_0x40af41&&_0x40af41['count']==0x1?_0x40af41['rows'][0x0]:null,_0x32bcce['crudPermissions']=_0x5e9294[_0x242583(0x14ea)](_0x32bcce[_0x242583(0x2199)]?_0x32bcce[_0x242583(0x2199)][_0x242583(0x1b1a)]:null),_0x32bcce['table']=_0x242583(0x1dc3),_0x32bcce[_0x242583(0x1d20)]='',_0x32bcce[_0x242583(0x1cdf)]=null,_0x32bcce[_0x242583(0x1fd0)]=[],_0x32bcce[_0x242583(0xae2)]={'fields':_0x242583(0x226f),'limit':0xa,'page':0x1},_0x32bcce[_0x242583(0x101d)]=_0x39641b()['keyBy']([{'option':'Integration_Tab','value':_0x242583(0x9f5)},{'option':_0x242583(0x1eb5),'value':'\x27newTab\x27'}],function(_0x2cb7d4){return _0x39641b()['replace'](_0x2cb7d4['value'],new RegExp('\x27','g'),'');}),_0x32bcce[_0x242583(0x2535)]=_0x39641b()[_0x242583(0x2631)]([{'option':'Basic','value':_0x242583(0x1686)}],function(_0x5d5785){return _0x39641b()['replace'](_0x5d5785['value'],new RegExp('\x27','g'),'');}),_0x32bcce[_0x242583(0x235d)]=_0x33a73f,_0x32bcce[_0x242583(0x6b1)]=_0xe9d3bc,_0x32bcce[_0x242583(0x27fe)]=_0x1216e2,_0x32bcce['success']=_0x1e076e,_0x32bcce[_0x242583(0x2881)]=_0x29b37b,_0x32bcce['createOrEditDeskAccount']=_0x315a69,_0x32bcce['deleteDeskAccount']=_0x51a0d5,_0x32bcce[_0x242583(0xa78)]=_0x4780c0,_0x32bcce['deleteSelectedDeskAccounts']=_0x16f33a,_0x32bcce['deselectDeskAccounts']=_0x3d80da,_0x32bcce[_0x242583(0x1f18)]=_0x3251d7;function _0x33a73f(_0x3ffb6a){const _0xd1c583=_0x242583;_0x3a95b3['go'](_0xd1c583(0x2157),{'id':_0x3ffb6a['id'],'deskAccount':_0x3ffb6a,'crudPermissions':_0x32bcce[_0xd1c583(0x1b1a)]});}function _0xe9d3bc(_0x4544d9){const _0x4b34b1=_0x242583;return _0x41d50a['intDeskAccount'][_0x4b34b1(0xc84)]({'id':_0x4544d9['id'],'test':!![]})[_0x4b34b1(0x1d77)][_0x4b34b1(0x1cb0)](function(){const _0x40690b=_0x4b34b1;_0x2b328a[_0x40690b(0x829)]({'title':_0x40690b(0x221c),'msg':'Connection\x20succeded!'});})[_0x4b34b1(0x1c4)](function(_0x402638){const _0x3bdc23=_0x4b34b1;_0x2b328a[_0x3bdc23(0x218e)]({'title':_0x3bdc23(0x221c),'msg':_0x402638[_0x3bdc23(0x25c)]?_0x402638[_0x3bdc23(0x25c)][_0x3bdc23(0x155e)]?_0x402638[_0x3bdc23(0x25c)]['message']:JSON['stringify'](_0x402638['data']):_0x402638[_0x3bdc23(0x147f)]()});});}function _0x1216e2(_0x102d4e,_0x5408f6){const _0x27701d=_0x242583,_0x509c62=_0x4bee75['confirm']()[_0x27701d(0x1386)](_0x27701d(0x140b)+_0x39641b()['startCase'](_0x27701d(0x1244))+'?')['htmlContent'](_0x27701d(0x204d)+(_0x102d4e[_0x27701d(0x16b6)]||_0x27701d(0x1244))+_0x27701d(0x1200)+_0x27701d(0x1b6))[_0x27701d(0x15ad)](_0x27701d(0x16c5))[_0x27701d(0x728)](_0x5408f6)['ok']('OK')[_0x27701d(0x696)](_0x27701d(0x24ba));_0x4bee75['show'](_0x509c62)[_0x27701d(0x1cb0)](function(){_0x51a0d5(_0x102d4e);},function(){const _0x9b1494=_0x27701d;console[_0x9b1494(0x1b4f)](_0x9b1494(0x24ba));});}let _0x360422=!![],_0x2e065f=0x1;_0x668c75[_0x242583(0x614)](_0x242583(0x957),function(_0x4147a5,_0x2f32a3){const _0x467c06=_0x242583;_0x360422?_0x46afe1(function(){_0x360422=![];}):(!_0x2f32a3&&(_0x2e065f=_0x32bcce[_0x467c06(0xae2)][_0x467c06(0x1c7b)]),_0x4147a5!==_0x2f32a3&&(_0x32bcce[_0x467c06(0xae2)][_0x467c06(0x1c7b)]=0x1),!_0x4147a5&&(_0x32bcce[_0x467c06(0xae2)][_0x467c06(0x1c7b)]=_0x2e065f),_0x32bcce[_0x467c06(0x2881)]());});function _0x1e076e(_0x5292ee){const _0x58fc49=_0x242583;_0x32bcce[_0x58fc49(0x1dc3)]=_0x5292ee||{'count':0x0,'rows':[]};}function _0x29b37b(){const _0xa1acf0=_0x242583;_0x32bcce[_0xa1acf0(0xae2)][_0xa1acf0(0x184b)]=(_0x32bcce[_0xa1acf0(0xae2)][_0xa1acf0(0x1c7b)]-0x1)*_0x32bcce[_0xa1acf0(0xae2)][_0xa1acf0(0x236)],_0x5e9294[_0xa1acf0(0x22b6)]('admin')?_0x32bcce['promise']=_0x41d50a[_0xa1acf0(0x2559)][_0xa1acf0(0xbf7)](_0x32bcce[_0xa1acf0(0xae2)],_0x1e076e)[_0xa1acf0(0x1d77)]:(_0x32bcce[_0xa1acf0(0xae2)]['id']=_0x32bcce[_0xa1acf0(0x44a)]['id'],_0x32bcce['query'][_0xa1acf0(0x1f74)]=_0xa1acf0(0x937),_0x32bcce[_0xa1acf0(0x2061)]=_0x41d50a[_0xa1acf0(0x44a)][_0xa1acf0(0x1810)](_0x32bcce['query'],_0x1e076e)[_0xa1acf0(0x1d77)]);}function _0x315a69(_0x5584df,_0x27a820){const _0x90e953=_0x242583;_0x4bee75[_0x90e953(0xe27)]({'controller':_0x90e953(0x457),'controllerAs':'vm','templateUrl':_0x579709,'parent':angular[_0x90e953(0x1853)](_0x16164f[_0x90e953(0x1ed9)]),'targetEvent':_0x5584df,'clickOutsideToClose':!![],'locals':{'deskAccount':_0x27a820,'deskAccounts':_0x32bcce[_0x90e953(0x1dc3)][_0x90e953(0x2214)],'license':_0x32bcce[_0x90e953(0x8a5)],'setting':_0x32bcce['setting'],'crudPermissions':_0x32bcce['crudPermissions']}});}function _0x51a0d5(_0x5c1ced){const _0x244c68=_0x242583;_0x41d50a[_0x244c68(0x2559)][_0x244c68(0x111d)]({'id':_0x5c1ced['id']})[_0x244c68(0x1d77)][_0x244c68(0x1cb0)](function(){const _0x841912=_0x244c68;_0x39641b()['remove'](_0x32bcce[_0x841912(0x1dc3)][_0x841912(0x2214)],{'id':_0x5c1ced['id']}),_0x32bcce[_0x841912(0x1dc3)][_0x841912(0x184d)]-=0x1,!_0x32bcce['deskAccounts'][_0x841912(0x2214)]['length']&&_0x32bcce[_0x841912(0x2881)](),_0x2b328a[_0x841912(0x829)]({'title':_0x39641b()['startCase'](_0x841912(0x146e))+_0x841912(0x2663),'msg':_0x5c1ced[_0x841912(0x16b6)]?_0x5c1ced['name']+_0x841912(0x3f5):''});})[_0x244c68(0x1c4)](function(_0x16137d){const _0x1cdd90=_0x244c68;if(_0x16137d['data']&&_0x16137d[_0x1cdd90(0x25c)][_0x1cdd90(0x1a7c)]&&_0x16137d[_0x1cdd90(0x25c)][_0x1cdd90(0x1a7c)][_0x1cdd90(0xfd0)]){_0x32bcce[_0x1cdd90(0x1a7c)]=_0x16137d[_0x1cdd90(0x25c)][_0x1cdd90(0x1a7c)]||[{'message':_0x16137d['toString'](),'type':_0x1cdd90(0xf55)}];for(let _0x45c58c=0x0;_0x45c58c<_0x16137d['data'][_0x1cdd90(0x1a7c)]['length'];_0x45c58c++){_0x2b328a[_0x1cdd90(0x218e)]({'title':_0x16137d[_0x1cdd90(0x25c)][_0x1cdd90(0x1a7c)][_0x45c58c][_0x1cdd90(0x66a)],'msg':_0x16137d['data'][_0x1cdd90(0x1a7c)][_0x45c58c][_0x1cdd90(0x155e)]});}}else _0x2b328a[_0x1cdd90(0x218e)]({'title':_0x16137d[_0x1cdd90(0x291)]?'API:'+_0x16137d['status']+_0x1cdd90(0x1657)+_0x16137d[_0x1cdd90(0xc22)]:_0x1cdd90(0xf55),'msg':_0x16137d['data']?JSON[_0x1cdd90(0x2701)](_0x16137d[_0x1cdd90(0x25c)]['message']):_0x16137d[_0x1cdd90(0x155e)]||_0x16137d[_0x1cdd90(0x147f)]()});});}function _0x4780c0(){const _0x1626f1=_0x242583,_0x4d78c3=angular[_0x1626f1(0x17fe)](_0x32bcce[_0x1626f1(0x1fd0)]);return _0x32bcce['selectedDeskAccounts']=[],_0x4d78c3;}function _0x16f33a(_0x10a98b){const _0x4f8ab2=_0x242583,_0x340b0b=_0x4bee75[_0x4f8ab2(0x1551)]()[_0x4f8ab2(0x1386)](_0x4f8ab2(0x17f5))[_0x4f8ab2(0x49e)](_0x4f8ab2(0x204d)+_0x32bcce[_0x4f8ab2(0x1fd0)][_0x4f8ab2(0xfd0)]+_0x4f8ab2(0x1d6c)+_0x4f8ab2(0x1b6))[_0x4f8ab2(0x15ad)](_0x4f8ab2(0x888))[_0x4f8ab2(0x728)](_0x10a98b)['ok']('OK')[_0x4f8ab2(0x696)](_0x4f8ab2(0x24ba));_0x4bee75[_0x4f8ab2(0xe27)](_0x340b0b)[_0x4f8ab2(0x1cb0)](function(){const _0x2b9fb7=_0x4f8ab2;_0x32bcce[_0x2b9fb7(0x1fd0)]['forEach'](function(_0x573922){_0x51a0d5(_0x573922);}),_0x32bcce[_0x2b9fb7(0x1fd0)]=[];});}function _0x3d80da(){const _0x54cec2=_0x242583;_0x32bcce[_0x54cec2(0x1fd0)]=[];}function _0x3251d7(){const _0x36a694=_0x242583;_0x32bcce['selectedDeskAccounts']=_0x32bcce[_0x36a694(0x1dc3)][_0x36a694(0x2214)];}}const _0x132c73=_0x451cee;;const _0x167b46=_0x5074a3['p']+_0x5537c6(0xe16);;const _0xc80d53=_0x5074a3['p']+_0x5537c6(0x298c);;_0x599d8b['$inject']=['$mdDialog','$document',_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x1774)];function _0x599d8b(_0x4db630,_0x3caeac,_0x3d629f,_0x48de60,_0x332420){const _0x1b264f=_0x5537c6,_0x3b8177=this;_0x3b8177[_0x1b264f(0xe76)]=_0x332420[_0x1b264f(0x21e8)](),_0x3b8177['deskAccount']={},_0x3b8177[_0x1b264f(0x289c)]={},_0x3b8177[_0x1b264f(0xa06)]=[],_0x3b8177[_0x1b264f(0x239a)]=-0x1,_0x3b8177[_0x1b264f(0x1b1a)],_0x3b8177[_0x1b264f(0x1a8e)]=_0x5302d8,_0x3b8177['getDeskConfiguration']=_0x29251f,_0x3b8177[_0x1b264f(0xd36)]=_0x305785,_0x3b8177['deleteDeskConfiguration']=_0x3df1f6,_0x3b8177[_0x1b264f(0xfae)]=[],_0x3b8177[_0x1b264f(0x2192)]=_0x139476,_0x3d629f['tag']['get']({'nolimit':!![],'sort':_0x1b264f(0x16b6)})[_0x1b264f(0x1d77)]['then'](function(_0x4b901){const _0x63d7a7=_0x1b264f;_0x3b8177[_0x63d7a7(0xfbf)]=_0x4b901['rows']?_0x4b901['rows']:[];})[_0x1b264f(0x1c4)](function(_0x13cb8b){const _0x1af425=_0x1b264f;_0x48de60[_0x1af425(0x218e)]({'title':_0x13cb8b[_0x1af425(0x291)]?_0x1af425(0xeb9)+_0x13cb8b[_0x1af425(0x291)]+_0x1af425(0x1657)+_0x13cb8b[_0x1af425(0xc22)]:_0x1af425(0x3ee),'msg':_0x13cb8b['data']?JSON['stringify'](_0x13cb8b['data']):_0x13cb8b[_0x1af425(0x147f)]()});});function _0x139476(){const _0x3ee379=_0x1b264f;_0x3d629f[_0x3ee379(0x231)][_0x3ee379(0xe53)]({'id':_0x3b8177['deskConfiguration']['id'],'ids':_0x3b8177[_0x3ee379(0xfae)]})[_0x3ee379(0x1d77)][_0x3ee379(0x1cb0)](function(){const _0x2676a4=_0x3ee379;_0x48de60[_0x2676a4(0x829)]({'title':_0x2676a4(0x3e9),'msg':_0x2676a4(0x26cb)});})[_0x3ee379(0x1c4)](function(_0x470397){const _0x30883a=_0x3ee379;_0x48de60[_0x30883a(0x218e)]({'title':_0x470397[_0x30883a(0x291)]?_0x30883a(0xeb9)+_0x470397[_0x30883a(0x291)]+_0x30883a(0x1657)+_0x470397[_0x30883a(0xc22)]:'SYSTEM:ADDTAGS','msg':_0x470397[_0x30883a(0x25c)]?JSON[_0x30883a(0x2701)](_0x470397[_0x30883a(0x25c)]):_0x470397['toString']()});});}function _0x5302d8(_0x508eb6,_0x23a052){const _0x538508=_0x1b264f;_0x3b8177[_0x538508(0x1244)]=_0x508eb6,_0x3b8177[_0x538508(0x1b1a)]=typeof _0x23a052!==_0x538508(0x16b5)?_0x23a052:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x3d629f[_0x538508(0x2559)][_0x538508(0x618)]({'id':_0x3b8177['deskAccount']['id'],'sort':_0x538508(0x282)})[_0x538508(0x1d77)][_0x538508(0x1cb0)](function(_0x542bf5){const _0x11e8b0=_0x538508;return _0x3b8177[_0x11e8b0(0xa06)]=_0x542bf5[_0x11e8b0(0x2214)]?_0x542bf5[_0x11e8b0(0x2214)]:[],_0x3b8177['configurations'][_0x11e8b0(0xfd0)]&&_0x3b8177[_0x11e8b0(0x643)](0x0),_0x3d629f[_0x11e8b0(0x1822)][_0x11e8b0(0xbf7)]({'nolimit':!![],'sort':'name'})[_0x11e8b0(0x1d77)];})[_0x538508(0x1cb0)](function(_0xf049e1){const _0x2ced73=_0x538508;_0x3b8177[_0x2ced73(0x9a9)]=_0xf049e1['rows']?_0xf049e1[_0x2ced73(0x2214)]:[],_0x3b8177['variablesById']=_0x39641b()[_0x2ced73(0x2631)](_0x3b8177['variables'],'id');})[_0x538508(0x1c4)](function(_0xc66b32){const _0x6cbe1=_0x538508;_0x48de60[_0x6cbe1(0x218e)]({'title':_0xc66b32['status']?'API:'+_0xc66b32['status']+'\x20-\x20'+_0xc66b32[_0x6cbe1(0xc22)]:'SYSTEM:GETCONFIGURATION','msg':_0xc66b32['data']?JSON[_0x6cbe1(0x2701)](_0xc66b32[_0x6cbe1(0x25c)]):_0xc66b32[_0x6cbe1(0x147f)]()});});}function _0x29251f(_0x4d8f34){const _0x4fca63=_0x1b264f;_0x3b8177['deskConfiguration']=_0x3b8177['configurations'][_0x4d8f34],_0x3b8177[_0x4fca63(0x239a)]=_0x4d8f34,_0x3d629f['intDeskConfiguration'][_0x4fca63(0x243a)]({'id':_0x3b8177[_0x4fca63(0x289c)]['id']})[_0x4fca63(0x1d77)]['then'](function(_0x15549d){const _0x8979a1=_0x4fca63;return _0x3b8177[_0x8979a1(0x2388)]=_0x15549d[_0x8979a1(0x2214)]?_0x15549d[_0x8979a1(0x2214)]:[],_0x3d629f[_0x8979a1(0x231)]['getDescriptions']({'id':_0x3b8177[_0x8979a1(0x289c)]['id']})[_0x8979a1(0x1d77)];})[_0x4fca63(0x1cb0)](function(_0x3d69f0){const _0x3d3dda=_0x4fca63;return _0x3b8177[_0x3d3dda(0x310)]=_0x3d69f0[_0x3d3dda(0x2214)]?_0x3d69f0[_0x3d3dda(0x2214)]:[],_0x3d629f[_0x3d3dda(0x231)][_0x3d3dda(0xc84)]({'id':_0x3b8177[_0x3d3dda(0x289c)]['id']})[_0x3d3dda(0x1d77)];})[_0x4fca63(0x1cb0)](function(_0x4c0d29){const _0x1ab322=_0x4fca63;_0x3b8177[_0x1ab322(0x355)]=_0x4c0d29['rows']?_0x4c0d29[_0x1ab322(0x2214)]:[];})[_0x4fca63(0x1c4)](function(_0x57f62d){const _0x92e9e3=_0x4fca63;_0x48de60['error']({'title':_0x57f62d['status']?_0x92e9e3(0xeb9)+_0x57f62d['status']+'\x20-\x20'+_0x57f62d[_0x92e9e3(0xc22)]:'SYSTEM:GETConfigurations','msg':_0x57f62d[_0x92e9e3(0x25c)]?JSON[_0x92e9e3(0x2701)](_0x57f62d['data']):_0x57f62d[_0x92e9e3(0x147f)]()});}),_0x3d629f[_0x4fca63(0x231)][_0x4fca63(0x128b)]({'id':_0x3b8177['deskConfiguration']['id']})[_0x4fca63(0x1d77)][_0x4fca63(0x1cb0)](function(_0x525020){const _0xc658d0=_0x4fca63;_0x3b8177[_0xc658d0(0xfae)]=_0x525020[_0xc658d0(0x2214)]?_0x39641b()[_0xc658d0(0x1de2)](_0x525020[_0xc658d0(0x2214)],'id'):[];})[_0x4fca63(0x1c4)](function(_0x3d30de){const _0x2f38a1=_0x4fca63;_0x48de60[_0x2f38a1(0x218e)]({'title':_0x3d30de[_0x2f38a1(0x291)]?_0x2f38a1(0xeb9)+_0x3d30de[_0x2f38a1(0x291)]+_0x2f38a1(0x1657)+_0x3d30de[_0x2f38a1(0xc22)]:_0x2f38a1(0x3ee),'msg':_0x3d30de[_0x2f38a1(0x25c)]?JSON['stringify'](_0x3d30de[_0x2f38a1(0x25c)]):_0x3d30de[_0x2f38a1(0x147f)]()});});}function _0x305785(_0x38ef3b,_0x28bfb8){const _0x793f69=_0x1b264f;_0x4db630['show']({'controller':_0x793f69(0x29d2),'controllerAs':'vm','templateUrl':_0x167b46,'parent':angular[_0x793f69(0x1853)](_0x3caeac[_0x793f69(0x1ed9)]),'targetEvent':_0x38ef3b,'clickOutsideToClose':!![],'locals':{'deskConfiguration':_0x28bfb8,'configurations':_0x3b8177['configurations'],'license':null,'setting':null,'crudPermissions':_0x3b8177['crudPermissions']}})[_0x793f69(0x2e0)](function(){const _0x5980d7=_0x793f69;_0x3b8177[_0x5980d7(0x643)](0x0);});}function _0x3df1f6(_0x59ee3a,_0x4f844b){const _0x5925ca=_0x1b264f,_0x9f341=_0x4db630[_0x5925ca(0x1551)]()[_0x5925ca(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20deskConfiguration?')[_0x5925ca(0x49e)](_0x5925ca(0x204d)+(_0x4f844b['name']||_0x5925ca(0x289c))+''+_0x5925ca(0x1b6))[_0x5925ca(0x15ad)](_0x5925ca(0x13b3))[_0x5925ca(0x728)](_0x59ee3a)['ok']('OK')[_0x5925ca(0x696)](_0x5925ca(0x24ba));_0x4db630[_0x5925ca(0xe27)](_0x9f341)[_0x5925ca(0x1cb0)](function(){const _0x2d502c=_0x5925ca;_0x3d629f[_0x2d502c(0x231)]['delete']({'id':_0x4f844b['id']})[_0x2d502c(0x1d77)][_0x2d502c(0x1cb0)](function(){const _0x11ed46=_0x2d502c;_0x39641b()[_0x11ed46(0x152a)](_0x3b8177[_0x11ed46(0xa06)],{'id':_0x4f844b['id']}),_0x48de60['success']({'title':_0x11ed46(0x5d9),'msg':_0x4f844b['name']?_0x4f844b[_0x11ed46(0x16b6)]+'\x20has\x20been\x20deleted!':''});})[_0x2d502c(0x1c4)](function(_0x2888be){const _0x4a09fa=_0x2d502c;_0x48de60['error']({'title':_0x2888be['status']?_0x4a09fa(0xeb9)+_0x2888be['status']+_0x4a09fa(0x1657)+_0x2888be[_0x4a09fa(0xc22)]:'SYSTEM:DELETECONFIGURATION','msg':_0x2888be[_0x4a09fa(0x25c)]?JSON[_0x4a09fa(0x2701)](_0x2888be[_0x4a09fa(0x25c)]):_0x2888be['toString']()});})[_0x2d502c(0x2e0)](function(){const _0x1739c0=_0x2d502c;_0x3b8177[_0x1739c0(0x643)](0x0);});},function(){const _0x34f81f=_0x5925ca;console[_0x34f81f(0x1b4f)]('CANCEL');});}_0x3b8177['selectedSubjects']=[],_0x3b8177[_0x1b264f(0x1267)]=_0x3dbb74,_0x3b8177['deleteSubjectConfirm']=_0x49f452,_0x3b8177[_0x1b264f(0xf12)]=_0x1789e5;function _0x3dbb74(_0x1ff805,_0x4684a2){const _0x12dab4=_0x1b264f;_0x4db630['show']({'controller':_0x12dab4(0x298d),'controllerAs':'vm','templateUrl':_0xc80d53,'parent':angular[_0x12dab4(0x1853)](_0x3caeac['body']),'targetEvent':_0x1ff805,'clickOutsideToClose':!![],'locals':{'type':_0x12dab4(0x1e5d),'item':_0x4684a2,'items':_0x3b8177[_0x12dab4(0x2388)],'configuration':_0x3b8177[_0x12dab4(0x289c)],'account':_0x3b8177[_0x12dab4(0x1244)],'license':null,'setting':null,'crudPermissions':_0x3b8177['crudPermissions']}});}function _0xa14aa7(_0x27a9f2){const _0x595292=_0x1b264f;_0x3d629f['intDeskField'][_0x595292(0x111d)]({'id':_0x27a9f2['id']})[_0x595292(0x1d77)][_0x595292(0x1cb0)](function(){const _0x5c0e45=_0x595292;_0x39641b()[_0x5c0e45(0x152a)](_0x3b8177[_0x5c0e45(0x2388)],{'id':_0x27a9f2['id']}),_0x48de60['success']({'title':_0x5c0e45(0x1d11),'msg':_0x5c0e45(0x145d)});})[_0x595292(0x1c4)](function(_0x416739){const _0x4a44e8=_0x595292;_0x48de60[_0x4a44e8(0x218e)]({'title':_0x416739[_0x4a44e8(0x291)]?_0x4a44e8(0xeb9)+_0x416739[_0x4a44e8(0x291)]+_0x4a44e8(0x1657)+_0x416739[_0x4a44e8(0xc22)]:_0x4a44e8(0x13e5),'msg':_0x416739[_0x4a44e8(0x25c)]?JSON['stringify'](_0x416739[_0x4a44e8(0x25c)]):_0x416739[_0x4a44e8(0x147f)]()});});}function _0x49f452(_0x3bad76,_0x2a4e7f){const _0x886dbe=_0x1b264f,_0x111347=_0x4db630['confirm']()[_0x886dbe(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20subject?')[_0x886dbe(0x49e)](_0x886dbe(0x14ee)+'\x20will\x20be\x20deleted.')[_0x886dbe(0x15ad)](_0x886dbe(0xbc3))[_0x886dbe(0x728)](_0x3bad76)['ok']('OK')[_0x886dbe(0x696)]('CANCEL');_0x4db630[_0x886dbe(0xe27)](_0x111347)[_0x886dbe(0x1cb0)](function(){_0xa14aa7(_0x2a4e7f);},function(){const _0x323234=_0x886dbe;console[_0x323234(0x1b4f)](_0x323234(0x24ba));});}function _0x1789e5(_0x294a41){const _0x4864cf=_0x1b264f,_0x1dcd64=_0x4db630[_0x4864cf(0x1551)]()[_0x4864cf(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20subjects?')[_0x4864cf(0x49e)](''+_0x3b8177['selectedSubjects'][_0x4864cf(0xfd0)]+_0x4864cf(0x1d6c)+_0x4864cf(0x1b6))['ariaLabel']('delete\x20subjects')[_0x4864cf(0x728)](_0x294a41)['ok']('OK')['cancel'](_0x4864cf(0x24ba));_0x4db630[_0x4864cf(0xe27)](_0x1dcd64)[_0x4864cf(0x1cb0)](function(){const _0x10da45=_0x4864cf;_0x3b8177[_0x10da45(0x4df)]['forEach'](function(_0x50e803){_0xa14aa7(_0x50e803);}),_0x3b8177[_0x10da45(0x4df)]=[];});}_0x3b8177[_0x1b264f(0xac6)]=[],_0x3b8177['createOrEditDescription']=_0x1312f2,_0x3b8177['deleteDescriptionConfirm']=_0x404360,_0x3b8177['deleteSelectedDescriptions']=_0x51d0f5;function _0x1312f2(_0x4d1a58,_0x5c21fd){const _0x34a3f7=_0x1b264f;_0x4db630['show']({'controller':_0x34a3f7(0x298d),'controllerAs':'vm','templateUrl':_0xc80d53,'parent':angular[_0x34a3f7(0x1853)](_0x3caeac[_0x34a3f7(0x1ed9)]),'targetEvent':_0x4d1a58,'clickOutsideToClose':!![],'locals':{'type':_0x34a3f7(0x2c1),'item':_0x5c21fd,'items':_0x3b8177[_0x34a3f7(0x310)],'configuration':_0x3b8177[_0x34a3f7(0x289c)],'account':_0x3b8177['deskAccount'],'license':null,'setting':null,'crudPermissions':_0x3b8177[_0x34a3f7(0x1b1a)]}});}function _0x5a6293(_0x167afd){const _0x268173=_0x1b264f;_0x3d629f[_0x268173(0x220a)][_0x268173(0x111d)]({'id':_0x167afd['id']})[_0x268173(0x1d77)][_0x268173(0x1cb0)](function(){const _0x4e8568=_0x268173;_0x39641b()[_0x4e8568(0x152a)](_0x3b8177[_0x4e8568(0x310)],{'id':_0x167afd['id']}),_0x48de60[_0x4e8568(0x829)]({'title':_0x4e8568(0x24c0),'msg':_0x4e8568(0xb59)});})['catch'](function(_0x5244eb){const _0x44bd92=_0x268173;_0x48de60['error']({'title':_0x5244eb['status']?_0x44bd92(0xeb9)+_0x5244eb['status']+_0x44bd92(0x1657)+_0x5244eb[_0x44bd92(0xc22)]:_0x44bd92(0x13e5),'msg':_0x5244eb['data']?JSON[_0x44bd92(0x2701)](_0x5244eb[_0x44bd92(0x25c)]):_0x5244eb[_0x44bd92(0x147f)]()});});}function _0x404360(_0x3dee2b,_0x4a0235){const _0x1d87f8=_0x1b264f,_0x378db4=_0x4db630[_0x1d87f8(0x1551)]()[_0x1d87f8(0x1386)](_0x1d87f8(0x7d2))['htmlContent'](_0x1d87f8(0x18b8)+_0x1d87f8(0x1b6))[_0x1d87f8(0x15ad)]('delete\x20description')[_0x1d87f8(0x728)](_0x3dee2b)['ok']('OK')['cancel']('CANCEL');_0x4db630[_0x1d87f8(0xe27)](_0x378db4)[_0x1d87f8(0x1cb0)](function(){_0x5a6293(_0x4a0235);},function(){const _0x26441a=_0x1d87f8;console['log'](_0x26441a(0x24ba));});}function _0x51d0f5(_0x2a53c1){const _0xacefaa=_0x1b264f,_0x44653f=_0x4db630[_0xacefaa(0x1551)]()[_0xacefaa(0x1386)](_0xacefaa(0x2e7))['htmlContent'](''+_0x3b8177['selectedDescriptions'][_0xacefaa(0xfd0)]+'\x20selected'+'\x20will\x20be\x20deleted.')[_0xacefaa(0x15ad)](_0xacefaa(0x1828))[_0xacefaa(0x728)](_0x2a53c1)['ok']('OK')[_0xacefaa(0x696)]('CANCEL');_0x4db630['show'](_0x44653f)[_0xacefaa(0x1cb0)](function(){const _0x4674cb=_0xacefaa;_0x3b8177[_0x4674cb(0xac6)]['forEach'](function(_0x340f3f){_0x5a6293(_0x340f3f);}),_0x3b8177['selectedDescriptions']=[];});}_0x3b8177['selectedFields']=[],_0x3b8177[_0x1b264f(0x5e9)]=_0x1b2f78,_0x3b8177['deleteFieldConfirm']=_0x5cf779,_0x3b8177[_0x1b264f(0xe40)]=_0x124509;function _0x1b2f78(_0x5e8206,_0xfc0bef){const _0x3a39dd=_0x1b264f;_0x4db630['show']({'controller':_0x3a39dd(0x298d),'controllerAs':'vm','templateUrl':_0xc80d53,'parent':angular[_0x3a39dd(0x1853)](_0x3caeac['body']),'targetEvent':_0x5e8206,'clickOutsideToClose':!![],'locals':{'type':_0x3a39dd(0x2198),'item':_0xfc0bef,'items':_0x3b8177[_0x3a39dd(0x355)],'configuration':_0x3b8177[_0x3a39dd(0x289c)],'account':_0x3b8177[_0x3a39dd(0x1244)],'license':null,'setting':null,'crudPermissions':_0x3b8177['crudPermissions']}});}function _0x1caa91(_0x4ff529){const _0x39e01e=_0x1b264f;_0x3d629f[_0x39e01e(0x220a)][_0x39e01e(0x111d)]({'id':_0x4ff529['id']})[_0x39e01e(0x1d77)][_0x39e01e(0x1cb0)](function(){const _0x2787ea=_0x39e01e;_0x39641b()[_0x2787ea(0x152a)](_0x3b8177[_0x2787ea(0x355)],{'id':_0x4ff529['id']}),_0x48de60[_0x2787ea(0x829)]({'title':_0x2787ea(0xd6b),'msg':_0x2787ea(0x2688)});})[_0x39e01e(0x1c4)](function(_0x190fd6){const _0x487ee4=_0x39e01e;_0x48de60[_0x487ee4(0x218e)]({'title':_0x190fd6[_0x487ee4(0x291)]?'API:'+_0x190fd6['status']+_0x487ee4(0x1657)+_0x190fd6[_0x487ee4(0xc22)]:'SYSTEM:DELETECONFIGURATIONFIELD','msg':_0x190fd6[_0x487ee4(0x25c)]?JSON[_0x487ee4(0x2701)](_0x190fd6[_0x487ee4(0x25c)]):_0x190fd6[_0x487ee4(0x147f)]()});});}function _0x5cf779(_0x519b8a,_0x2277bb){const _0x4469b7=_0x1b264f,_0x2a1b3a=_0x4db630[_0x4469b7(0x1551)]()['title'](_0x4469b7(0x21a9))[_0x4469b7(0x49e)](_0x4469b7(0xf1d)+_0x4469b7(0x1b6))['ariaLabel']('delete\x20field')[_0x4469b7(0x728)](_0x519b8a)['ok']('OK')['cancel'](_0x4469b7(0x24ba));_0x4db630[_0x4469b7(0xe27)](_0x2a1b3a)[_0x4469b7(0x1cb0)](function(){_0x1caa91(_0x2277bb);},function(){const _0x1b27f9=_0x4469b7;console[_0x1b27f9(0x1b4f)](_0x1b27f9(0x24ba));});}function _0x124509(_0x1aa345){const _0x1895dc=_0x1b264f,_0x21925f=_0x4db630['confirm']()[_0x1895dc(0x1386)](_0x1895dc(0x983))['htmlContent'](_0x1895dc(0x204d)+_0x3b8177[_0x1895dc(0x9b2)][_0x1895dc(0xfd0)]+'\x20selected'+_0x1895dc(0x1b6))['ariaLabel'](_0x1895dc(0x199d))['targetEvent'](_0x1aa345)['ok']('OK')[_0x1895dc(0x696)](_0x1895dc(0x24ba));_0x4db630['show'](_0x21925f)[_0x1895dc(0x1cb0)](function(){const _0x1ae6d5=_0x1895dc;_0x3b8177[_0x1ae6d5(0x9b2)]['forEach'](function(_0xed7899){_0x1caa91(_0xed7899);}),_0x3b8177[_0x1ae6d5(0x9b2)]=[];});}}const _0x4843d0=_0x599d8b;;_0x378411['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q','$translate',_0x5537c6(0x9bf),_0x5537c6(0xa06),_0x5537c6(0x289c),'api',_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting',_0x5537c6(0x1b1a)];function _0x378411(_0x27bca0,_0x33d2a7,_0x58cb78,_0x3ab384,_0x3c77e1,_0x5d4113,_0x420679,_0x2c56f7,_0x147efc,_0x1896b4,_0x28f593,_0x526677,_0x4bbab1,_0xbc82fe){const _0x46d6f4=_0x5537c6,_0xcfb9d4=this;_0xcfb9d4[_0x46d6f4(0xe76)]=_0x28f593[_0x46d6f4(0x21e8)](),_0xcfb9d4[_0x46d6f4(0x1a7c)]=[],_0xcfb9d4['setting']=_0x4bbab1,_0xcfb9d4[_0x46d6f4(0x8a5)]=_0x526677,_0xcfb9d4[_0x46d6f4(0x1b1a)]=_0xbc82fe,_0xcfb9d4[_0x46d6f4(0xf4c)]={},_0xcfb9d4[_0x46d6f4(0x1b0c)]=_0xcfb9d4[_0x46d6f4(0x9ca)]&&_0xcfb9d4[_0x46d6f4(0x9ca)][_0x46d6f4(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0xcfb9d4['title']=_0x46d6f4(0xec0),_0xcfb9d4[_0x46d6f4(0x289c)]=angular[_0x46d6f4(0x17fe)](_0x147efc),_0xcfb9d4[_0x46d6f4(0xa06)]=_0x2c56f7,_0xcfb9d4[_0x46d6f4(0x6e2)]=![];!_0xcfb9d4['deskConfiguration']&&(_0xcfb9d4[_0x46d6f4(0x289c)]={'channel':_0x46d6f4(0x1fd4),'type':_0x46d6f4(0x26c0)},_0xcfb9d4[_0x46d6f4(0x1386)]=_0x46d6f4(0xeab),_0xcfb9d4[_0x46d6f4(0x6e2)]=!![]);_0xcfb9d4[_0x46d6f4(0x552)]=_0x40eedb,_0xcfb9d4[_0x46d6f4(0x1a37)]=_0x4dfce3,_0xcfb9d4['deleteDeskConfiguration']=_0x1c5107,_0xcfb9d4['getDateFromString']=_0x53f740,_0xcfb9d4[_0x46d6f4(0xda0)]=_0x270214;function _0x40eedb(){const _0x5805c3=_0x46d6f4;_0xcfb9d4[_0x5805c3(0x1a7c)]=[],_0x1896b4[_0x5805c3(0x2559)]['addConfiguration']({'id':_0x33d2a7['params']['id']},_0xcfb9d4[_0x5805c3(0x289c)])[_0x5805c3(0x1d77)][_0x5805c3(0x1cb0)](function(_0x12318a){const _0x1306de=_0x5805c3;_0xcfb9d4[_0x1306de(0xa06)]['unshift'](_0x12318a[_0x1306de(0x19b2)]()),_0x420679[_0x1306de(0x829)]({'title':_0x1306de(0x1ca3),'msg':_0xcfb9d4[_0x1306de(0x289c)][_0x1306de(0x16b6)]?_0xcfb9d4[_0x1306de(0x289c)][_0x1306de(0x16b6)]+_0x1306de(0x470):''}),_0x270214(_0x12318a);})[_0x5805c3(0x1c4)](function(_0x33ee3f){const _0x55afaf=_0x5805c3;if(_0x33ee3f[_0x55afaf(0x25c)]&&_0x33ee3f['data']['errors']&&_0x33ee3f[_0x55afaf(0x25c)][_0x55afaf(0x1a7c)][_0x55afaf(0xfd0)]){_0xcfb9d4['errors']=_0x33ee3f[_0x55afaf(0x25c)][_0x55afaf(0x1a7c)]||[{'message':_0x33ee3f[_0x55afaf(0x147f)](),'type':_0x55afaf(0x29aa)}];for(let _0x1da5b1=0x0;_0x1da5b1<_0x33ee3f['data'][_0x55afaf(0x1a7c)][_0x55afaf(0xfd0)];_0x1da5b1+=0x1){_0x420679['error']({'title':_0x33ee3f[_0x55afaf(0x25c)]['errors'][_0x1da5b1][_0x55afaf(0x66a)],'msg':_0x33ee3f[_0x55afaf(0x25c)][_0x55afaf(0x1a7c)][_0x1da5b1]['message']});}}else _0x420679['error']({'title':_0x33ee3f['status']?'API:'+_0x33ee3f[_0x55afaf(0x291)]+'\x20-\x20'+_0x33ee3f['statusText']:_0x55afaf(0x29aa),'msg':_0x33ee3f[_0x55afaf(0x25c)]?JSON['stringify'](_0x33ee3f['data'][_0x55afaf(0x155e)]):_0x33ee3f[_0x55afaf(0x147f)]()});});}function _0x4dfce3(){const _0x151cb4=_0x46d6f4;_0xcfb9d4[_0x151cb4(0x1a7c)]=[],_0x1896b4[_0x151cb4(0x231)]['update']({'id':_0xcfb9d4[_0x151cb4(0x289c)]['id']},_0xcfb9d4[_0x151cb4(0x289c)])[_0x151cb4(0x1d77)]['then'](function(_0x5a55ab){const _0x1dd048=_0x151cb4,_0x49da1d=_0x39641b()['find'](_0xcfb9d4[_0x1dd048(0xa06)],{'id':_0x5a55ab['id']});_0x49da1d&&_0x39641b()[_0x1dd048(0x9c1)](_0x49da1d,_0x39641b()[_0x1dd048(0x169b)](_0x5a55ab[_0x1dd048(0x19b2)](),_0x39641b()[_0x1dd048(0x1be5)](_0x49da1d))),_0x420679[_0x1dd048(0x829)]({'title':'DeskConfiguration\x20properly\x20saved!','msg':_0xcfb9d4[_0x1dd048(0x289c)][_0x1dd048(0x16b6)]?_0xcfb9d4[_0x1dd048(0x289c)][_0x1dd048(0x16b6)]+_0x1dd048(0xedb):''}),_0x270214(_0x5a55ab);})[_0x151cb4(0x1c4)](function(_0x56ad19){const _0x420d27=_0x151cb4;if(_0x56ad19['data']&&_0x56ad19[_0x420d27(0x25c)]['errors']&&_0x56ad19['data']['errors'][_0x420d27(0xfd0)]){_0xcfb9d4['errors']=_0x56ad19['data'][_0x420d27(0x1a7c)]||[{'message':_0x56ad19[_0x420d27(0x147f)](),'type':'api.intDeskConfiguration.update'}];for(let _0x1c34f7=0x0;_0x1c34f7<_0x56ad19[_0x420d27(0x25c)]['errors'][_0x420d27(0xfd0)];_0x1c34f7++){_0x420679['error']({'title':_0x56ad19[_0x420d27(0x25c)][_0x420d27(0x1a7c)][_0x1c34f7][_0x420d27(0x66a)],'msg':_0x56ad19[_0x420d27(0x25c)][_0x420d27(0x1a7c)][_0x1c34f7]['message']});}}else _0x420679[_0x420d27(0x218e)]({'title':_0x56ad19['status']?_0x420d27(0xeb9)+_0x56ad19[_0x420d27(0x291)]+_0x420d27(0x1657)+_0x56ad19[_0x420d27(0xc22)]:_0x420d27(0x2951),'msg':_0x56ad19[_0x420d27(0x25c)]?JSON[_0x420d27(0x2701)](_0x56ad19[_0x420d27(0x25c)][_0x420d27(0x155e)]):_0x56ad19['toString']()});});}function _0x1c5107(_0x1aca85){const _0x32af17=_0x46d6f4;_0xcfb9d4[_0x32af17(0x1a7c)]=[];const _0x1cefbf=_0x3ab384['confirm']()[_0x32af17(0x1386)](_0x32af17(0x1a2e))[_0x32af17(0x862)](_0x32af17(0x10d5))['ariaLabel'](_0x32af17(0xaf4))['ok'](_0x32af17(0x2594))[_0x32af17(0x696)](_0x32af17(0xde1))['targetEvent'](_0x1aca85);_0x3ab384[_0x32af17(0xe27)](_0x1cefbf)[_0x32af17(0x1cb0)](function(){const _0xe77a6b=_0x32af17;_0x1896b4['intDeskConfiguration']['delete']({'id':_0xcfb9d4[_0xe77a6b(0x289c)]['id']})[_0xe77a6b(0x1d77)]['then'](function(){const _0x397dda=_0xe77a6b;_0x39641b()[_0x397dda(0x152a)](_0xcfb9d4[_0x397dda(0xa06)],{'id':_0xcfb9d4['deskConfiguration']['id']}),_0x420679[_0x397dda(0x829)]({'title':'DeskConfiguration\x20properly\x20deleted!','msg':(_0xcfb9d4['deskConfiguration'][_0x397dda(0x16b6)]||'deskConfiguration')+_0x397dda(0x3f5)}),_0x270214(_0xcfb9d4[_0x397dda(0x289c)]);})[_0xe77a6b(0x1c4)](function(_0x232d4d){const _0x55a061=_0xe77a6b;if(_0x232d4d[_0x55a061(0x25c)]&&_0x232d4d[_0x55a061(0x25c)][_0x55a061(0x1a7c)]&&_0x232d4d['data'][_0x55a061(0x1a7c)]['length']){_0xcfb9d4[_0x55a061(0x1a7c)]=_0x232d4d[_0x55a061(0x25c)][_0x55a061(0x1a7c)]||[{'message':_0x232d4d[_0x55a061(0x147f)](),'type':_0x55a061(0x15fd)}];for(let _0x408cea=0x0;_0x408cea<_0x232d4d[_0x55a061(0x25c)][_0x55a061(0x1a7c)][_0x55a061(0xfd0)];_0x408cea++){_0x420679['error']({'title':_0x232d4d['data'][_0x55a061(0x1a7c)][_0x408cea][_0x55a061(0x66a)],'msg':_0x232d4d[_0x55a061(0x25c)][_0x55a061(0x1a7c)][_0x408cea]['message']});}}else _0x420679[_0x55a061(0x218e)]({'title':_0x232d4d[_0x55a061(0x291)]?_0x55a061(0xeb9)+_0x232d4d['status']+_0x55a061(0x1657)+_0x232d4d[_0x55a061(0xc22)]:_0x55a061(0x15fd),'msg':_0x232d4d[_0x55a061(0x25c)]?JSON[_0x55a061(0x2701)](_0x232d4d[_0x55a061(0x25c)][_0x55a061(0x155e)]):_0x232d4d[_0x55a061(0x155e)]||_0x232d4d['toString']()});});},function(){});}function _0x53f740(_0x28c6d4){return _0x28c6d4===null?undefined:new Date(_0x28c6d4);}function _0x270214(_0x22f119){const _0x522476=_0x46d6f4;_0x3ab384[_0x522476(0x1426)](_0x22f119);}}const _0x401aa9=_0x378411;;_0x4b0b14[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),'$mdDialog',_0x5537c6(0x9bf),_0x5537c6(0x2718),_0x5537c6(0x122f),_0x5537c6(0x583),_0x5537c6(0x1539),'type','api','Auth',_0x5537c6(0x1b1a)];function _0x4b0b14(_0x30601c,_0x2b8fdc,_0x401dc9,_0x2719fb,_0x45f59d,_0x54edfb,_0x25dc4f,_0x560000,_0x26f334,_0x40a169,_0x5e6ad2,_0x4b7dcc,_0x46dd09){const _0x559159=_0x5537c6,_0x1755d1=this;_0x1755d1['currentUser']=_0x4b7dcc[_0x559159(0x21e8)](),_0x1755d1[_0x559159(0x1a7c)]=[],_0x1755d1[_0x559159(0x1386)]=_0x559159(0xf08)+_0x39641b()[_0x559159(0x1002)](_0x40a169),_0x1755d1[_0x559159(0x583)]=angular[_0x559159(0x17fe)](_0x560000),_0x1755d1[_0x559159(0x122f)]=_0x25dc4f,_0x1755d1['type']=_0x40a169,_0x1755d1[_0x559159(0x1b1a)]=_0x46dd09,_0x1755d1['newItem']=![];!_0x1755d1[_0x559159(0x583)]&&(_0x1755d1[_0x559159(0x583)]={'type':_0x559159(0x1518)},_0x1755d1['item'][_0x39641b()['capitalize'](_0x40a169)+'Id']=_0x54edfb['id'],_0x1755d1[_0x559159(0x1386)]=_0x559159(0x15dd)+_0x39641b()[_0x559159(0x1002)](_0x40a169),_0x1755d1['newItem']=!![]);_0x1755d1[_0x559159(0x1286)]=_0x746a51,_0x1755d1[_0x559159(0x1a78)]=_0x595c3f,_0x1755d1[_0x559159(0x1177)]=_0x6ef09e,_0x1755d1[_0x559159(0x2f6)]=_0x423677,_0x1755d1[_0x559159(0xda0)]=_0x23125b,_0x5e6ad2[_0x559159(0x1822)][_0x559159(0xbf7)]({'nolimit':!![]})[_0x559159(0x1d77)][_0x559159(0x1cb0)](function(_0x52ae90){const _0x1762b7=_0x559159;return _0x1755d1[_0x1762b7(0x9a9)]=_0x52ae90[_0x1762b7(0x2214)]?_0x52ae90[_0x1762b7(0x2214)]:[],_0x5e6ad2['voiceAgentReport']['describe']()['$promise'];})[_0x559159(0x1cb0)](function(_0x12738d){const _0xfa095c=_0x559159;return _0x1755d1[_0xfa095c(0xbf1)]=_0x39641b()[_0xfa095c(0x91f)](_0x39641b()['keys'](_0x12738d),['$promise',_0xfa095c(0x248e),_0xfa095c(0x24cb),_0xfa095c(0x27ba),_0xfa095c(0x1867)]),_0x1755d1[_0xfa095c(0xbf1)][_0xfa095c(0x2785)](_0xfa095c(0x2110)),_0x5e6ad2[_0xfa095c(0x593)]['describe']()[_0xfa095c(0x1d77)];})[_0x559159(0x1cb0)](function(_0x534945){const _0x405c99=_0x559159;_0x1755d1[_0x405c99(0x1639)]=_0x39641b()[_0x405c99(0x91f)](_0x39641b()[_0x405c99(0x1be5)](_0x534945),['$promise',_0x405c99(0x248e),_0x405c99(0x24cb),_0x405c99(0x27ba),_0x405c99(0x1867)]),_0x1755d1['voiceDialReportColumns']['push']('recordingURL');})[_0x559159(0x1c4)](function(_0x5a7f8e){const _0x29e711=_0x559159;_0x45f59d[_0x29e711(0x218e)]({'title':_0x5a7f8e[_0x29e711(0x291)]?_0x29e711(0xeb9)+_0x5a7f8e[_0x29e711(0x291)]+_0x29e711(0x1657)+_0x5a7f8e[_0x29e711(0xc22)]:_0x29e711(0x262e),'msg':_0x5a7f8e[_0x29e711(0x25c)]?JSON[_0x29e711(0x2701)](_0x5a7f8e[_0x29e711(0x25c)]):_0x5a7f8e[_0x29e711(0x147f)]()});}),_0x5e6ad2[_0x559159(0x2559)]['getFields']({'id':_0x26f334['id'],'ticketType':_0x54edfb[_0x559159(0x161)]?_0x54edfb[_0x559159(0x161)]:undefined})[_0x559159(0x1d77)]['then'](function(_0x2e20fb){const _0x35daac=_0x559159;_0x1755d1[_0x35daac(0x1a28)]=!![],_0x1755d1[_0x35daac(0x355)]=_0x2e20fb[_0x35daac(0x2214)]?_0x2e20fb['rows']:[],_0x1755d1[_0x35daac(0x583)][_0x35daac(0x1e50)]&&(_0x1755d1['customField']=_0x39641b()['find'](_0x1755d1['fields'],{'id':_0x1755d1[_0x35daac(0x583)][_0x35daac(0x1e50)]}));})['catch'](function(_0x5b2a44){const _0x5c8502=_0x559159;_0x1755d1['accountConnected']=![],console[_0x5c8502(0x218e)](_0x5b2a44);});function _0x746a51(){const _0x5a0a38=_0x559159;_0x1755d1['errors']=[],_0x1755d1[_0x5a0a38(0x1d5a)]&&(_0x1755d1[_0x5a0a38(0x583)][_0x5a0a38(0x1e50)]=_0x1755d1[_0x5a0a38(0x1d5a)]['id'],_0x1755d1['item'][_0x5a0a38(0xc1f)]=_0x1755d1[_0x5a0a38(0x1d5a)][_0x5a0a38(0x16b6)],_0x1755d1[_0x5a0a38(0x583)]['customField']=_0x1755d1[_0x5a0a38(0x1d5a)]['custom']),_0x5e6ad2[_0x5a0a38(0x220a)][_0x5a0a38(0x1c3f)](_0x1755d1[_0x5a0a38(0x583)])['$promise'][_0x5a0a38(0x1cb0)](function(_0x44d35d){const _0x5b9f74=_0x5a0a38;_0x1755d1[_0x5b9f74(0x122f)][_0x5b9f74(0xf63)](_0x44d35d),_0x45f59d[_0x5b9f74(0x829)]({'title':_0x39641b()['capitalize'](_0x40a169)+_0x5b9f74(0x220c),'msg':_0x39641b()[_0x5b9f74(0x432)](_0x40a169)+_0x5b9f74(0x470)}),_0x23125b();})[_0x5a0a38(0x1c4)](function(_0x2801a6){const _0x5d7a8b=_0x5a0a38;console[_0x5d7a8b(0x218e)](_0x2801a6),_0x1755d1[_0x5d7a8b(0x1a7c)]=_0x2801a6[_0x5d7a8b(0x25c)][_0x5d7a8b(0x1a7c)]||[{'message':_0x2801a6[_0x5d7a8b(0x147f)](),'type':'api.item.save'}];});}function _0x595c3f(){const _0x1c752c=_0x559159;_0x1755d1[_0x1c752c(0x1a7c)]=[],_0x1755d1[_0x1c752c(0x1d5a)]&&(_0x1755d1[_0x1c752c(0x583)][_0x1c752c(0x1e50)]=_0x1755d1[_0x1c752c(0x1d5a)]['id'],_0x1755d1['item'][_0x1c752c(0xc1f)]=_0x1755d1[_0x1c752c(0x1d5a)][_0x1c752c(0x16b6)],_0x1755d1[_0x1c752c(0x583)][_0x1c752c(0x1d5a)]=_0x1755d1[_0x1c752c(0x1d5a)]['custom']),_0x5e6ad2[_0x1c752c(0x220a)][_0x1c752c(0x687)]({'id':_0x1755d1['item']['id']},_0x1755d1[_0x1c752c(0x583)])[_0x1c752c(0x1d77)]['then'](function(_0x102f0d){const _0x4c6c38=_0x1c752c,_0x934e60=_0x39641b()[_0x4c6c38(0x13b4)](_0x1755d1[_0x4c6c38(0x122f)],{'id':_0x102f0d['id']});_0x934e60&&_0x39641b()['merge'](_0x934e60,_0x102f0d),_0x45f59d[_0x4c6c38(0x829)]({'title':_0x39641b()[_0x4c6c38(0x432)](_0x40a169)+'\x20properly\x20saved!','msg':_0x39641b()[_0x4c6c38(0x432)](_0x40a169)+_0x4c6c38(0xedb)}),_0x23125b();})[_0x1c752c(0x1c4)](function(_0xad9bc0){const _0x47705d=_0x1c752c;console[_0x47705d(0x218e)](_0xad9bc0),_0x1755d1['errors']=_0xad9bc0[_0x47705d(0x25c)]['errors']||[{'message':_0xad9bc0[_0x47705d(0x147f)](),'type':_0x47705d(0x197e)}];});}function _0x6ef09e(_0x48388d){const _0x2c2afc=_0x559159;_0x1755d1['errors']=[];const _0x316121=_0x2719fb['confirm']()[_0x2c2afc(0x1386)]('Are\x20you\x20sure?')[_0x2c2afc(0x862)](_0x2c2afc(0xd4c)+_0x40a169+'\x20will\x20be\x20deleted.')[_0x2c2afc(0x15ad)](_0x2c2afc(0x1f6a)+_0x39641b()[_0x2c2afc(0x432)](_0x40a169))['ok']('Delete')[_0x2c2afc(0x696)](_0x2c2afc(0xde1))[_0x2c2afc(0x728)](_0x48388d);_0x2719fb['show'](_0x316121)[_0x2c2afc(0x1cb0)](function(){const _0x2ad29d=_0x2c2afc;_0x5e6ad2[_0x2ad29d(0x220a)][_0x2ad29d(0x111d)]({'id':_0x1755d1[_0x2ad29d(0x583)]['id']})[_0x2ad29d(0x1d77)]['then'](function(){const _0x28edf8=_0x2ad29d;_0x39641b()[_0x28edf8(0x152a)](_0x1755d1[_0x28edf8(0x122f)],{'id':_0x1755d1[_0x28edf8(0x583)]['id']}),_0x45f59d['success']({'title':_0x39641b()[_0x28edf8(0x432)](_0x40a169)+_0x28edf8(0x1621),'msg':_0x39641b()[_0x28edf8(0x432)](_0x40a169)+_0x28edf8(0x3f5)}),_0x23125b();})[_0x2ad29d(0x1c4)](function(_0x8f946c){const _0x1cb427=_0x2ad29d;console[_0x1cb427(0x218e)](_0x8f946c),_0x1755d1[_0x1cb427(0x1a7c)]=_0x8f946c[_0x1cb427(0x25c)][_0x1cb427(0x1a7c)]||[{'message':_0x8f946c[_0x1cb427(0x147f)](),'type':_0x1cb427(0x10e6)}];});},function(){});}function _0x423677(){const _0x55bf60=_0x559159;return _0x1755d1[_0x55bf60(0x583)][_0x55bf60(0x1e71)]!==undefined?_0x1755d1[_0x55bf60(0x583)][_0x55bf60(0x1e71)]:'Please\x20select\x20a\x20variable';}function _0x23125b(){const _0x3303fd=_0x559159;_0x2719fb[_0x3303fd(0x1426)]();}}const _0x4d90ae=_0x4b0b14;;_0x9dd62a[_0x5537c6(0x15b6)]=['$state',_0x5537c6(0x21c8),'$mdDialog',_0x5537c6(0x22bf),_0x5537c6(0x1ae),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x1244),'userProfileSection'];function _0x9dd62a(_0x449703,_0x599485,_0x1b41b4,_0x974783,_0x1dc859,_0x279854,_0x295538,_0x6172df,_0x11c105,_0xc14560,_0x2176af,_0x512aa0){const _0x16124b=_0x5537c6,_0x47d1ac=this;_0x47d1ac[_0x16124b(0xe76)]=_0xc14560[_0x16124b(0x21e8)](),_0x47d1ac[_0x16124b(0x8a5)]=_0x279854,_0x47d1ac[_0x16124b(0x9ca)]=_0x295538,_0x47d1ac[_0x16124b(0x1b0c)]=_0x47d1ac[_0x16124b(0x9ca)][_0x16124b(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x47d1ac[_0x16124b(0x2404)]=_0x599485[_0x16124b(0x2276)]()+_0x16124b(0x138b)+_0x599485['host'](),_0x47d1ac['deskAccount']=_0x2176af||_0x449703[_0x16124b(0x1dfe)]['deskAccount']||{},_0x47d1ac[_0x16124b(0x2199)]=_0x512aa0&&_0x512aa0['count']==0x1?_0x512aa0[_0x16124b(0x2214)][0x0]:null,_0x47d1ac[_0x16124b(0x1b1a)]=_0xc14560['parseCrudPermissions'](_0x47d1ac[_0x16124b(0x2199)]?_0x47d1ac[_0x16124b(0x2199)][_0x16124b(0x1b1a)]:null),_0x47d1ac[_0x16124b(0xf4c)]={},_0x47d1ac['selectedTab']=_0x449703['params'][_0x16124b(0x291e)]||0x0,_0x47d1ac[_0x16124b(0x494)]=_0x11c105[_0x16124b(0x28c7)],_0x47d1ac[_0x16124b(0x1a40)]=_0x100c2d,_0x47d1ac[_0x16124b(0x1ccf)]=_0x47e13c;function _0x100c2d(){const _0xb00988=_0x16124b;_0x449703['go']('app.integrations.deskAccounts',{},{'reload':_0xb00988(0x857)});}function _0x47e13c(){const _0x2f6aed=_0x16124b;_0x6172df[_0x2f6aed(0x2559)]['update']({'id':_0x47d1ac['deskAccount']['id']},_0x47d1ac[_0x2f6aed(0x1244)])[_0x2f6aed(0x1d77)][_0x2f6aed(0x1cb0)](function(){const _0x31fd63=_0x2f6aed;_0x11c105[_0x31fd63(0x829)]({'title':'DeskAccount\x20updated!','msg':_0x47d1ac['deskAccount'][_0x31fd63(0x16b6)]?_0x47d1ac[_0x31fd63(0x1244)][_0x31fd63(0x16b6)]+_0x31fd63(0x1068):''});})[_0x2f6aed(0x1c4)](function(_0x50d652){const _0x483310=_0x2f6aed;_0x11c105[_0x483310(0x218e)]({'title':_0x50d652[_0x483310(0x291)]?_0x483310(0xeb9)+_0x50d652[_0x483310(0x291)]+'\x20-\x20'+_0x50d652[_0x483310(0xc22)]:_0x483310(0x245c),'msg':_0x50d652[_0x483310(0x25c)]?JSON[_0x483310(0x2701)](_0x50d652[_0x483310(0x25c)]):_0x50d652[_0x483310(0x147f)]()});});}}const _0x58d675=_0x9dd62a;;_0x4e0703['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q','$translate',_0x5537c6(0x9bf),_0x5537c6(0x1697),_0x5537c6(0x1124),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting',_0x5537c6(0x1b1a)];function _0x4e0703(_0x50e306,_0xafb2a0,_0x47159d,_0x1bc21e,_0xe47c3a,_0xf7d0cd,_0x294b5f,_0xa6c1f6,_0x25946e,_0x34cd2f,_0x9c78d3,_0x5e0ffd,_0xe7a162,_0x208bb9){const _0x10722d=_0x5537c6,_0x2adc26=this;_0x2adc26['currentUser']=_0x9c78d3[_0x10722d(0x21e8)](),_0x2adc26['errors']=[],_0x2adc26[_0x10722d(0x9ca)]=_0xe7a162,_0x2adc26[_0x10722d(0x8a5)]=_0x5e0ffd,_0x2adc26['crudPermissions']=_0x208bb9,_0x2adc26[_0x10722d(0xf4c)]={},_0x2adc26[_0x10722d(0x1b0c)]=_0x2adc26[_0x10722d(0x9ca)]&&_0x2adc26[_0x10722d(0x9ca)][_0x10722d(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x2adc26['title']=_0x10722d(0xdc2),_0x2adc26[_0x10722d(0x1124)]=angular[_0x10722d(0x17fe)](_0x25946e),_0x2adc26[_0x10722d(0x1697)]=_0xa6c1f6,_0x2adc26['newDynamics365Account']=![];!_0x2adc26[_0x10722d(0x1124)]&&(_0x2adc26[_0x10722d(0x1124)]={'serverUrl':_0x47159d[_0x10722d(0x2276)]()+_0x10722d(0x138b)+_0x47159d[_0x10722d(0x17d8)]()+(_0x47159d['port']()?':'+_0x47159d[_0x10722d(0x477)]():'')},_0x2adc26[_0x10722d(0x1386)]='INTEGRATIONS.NEW_DYNAMICS365ACCOUNT',_0x2adc26[_0x10722d(0xa8d)]=!![]);_0x2adc26[_0x10722d(0x22b3)]=_0x3b62b0,_0x2adc26[_0x10722d(0x2499)]=_0x44739a,_0x2adc26[_0x10722d(0x155d)]=_0x5764a0,_0x2adc26[_0x10722d(0x2c4)]=_0xe039a5,_0x2adc26['closeDialog']=_0x32b7e9;function _0x3b62b0(){const _0x5abf7e=_0x10722d;_0x2adc26[_0x5abf7e(0x1a7c)]=[],_0x34cd2f[_0x5abf7e(0x24a4)][_0x5abf7e(0x1c3f)](_0x2adc26[_0x5abf7e(0x1124)])[_0x5abf7e(0x1d77)][_0x5abf7e(0x1cb0)](function(_0x4aa42a){const _0x224d80=_0x5abf7e;_0x2adc26['dynamics365Accounts'][_0x224d80(0xf63)](_0x4aa42a['toJSON']()),_0x294b5f[_0x224d80(0x829)]({'title':'Dynamics365Account\x20properly\x20created','msg':_0x2adc26['dynamics365Account'][_0x224d80(0x16b6)]?_0x2adc26[_0x224d80(0x1124)]['name']+_0x224d80(0x470):''}),_0x32b7e9(_0x4aa42a);})[_0x5abf7e(0x1c4)](function(_0x684fb7){const _0x49c8fe=_0x5abf7e;if(_0x684fb7[_0x49c8fe(0x25c)]&&_0x684fb7[_0x49c8fe(0x25c)][_0x49c8fe(0x1a7c)]&&_0x684fb7['data'][_0x49c8fe(0x1a7c)][_0x49c8fe(0xfd0)]){_0x2adc26[_0x49c8fe(0x1a7c)]=_0x684fb7[_0x49c8fe(0x25c)][_0x49c8fe(0x1a7c)]||[{'message':_0x684fb7[_0x49c8fe(0x147f)](),'type':_0x49c8fe(0x190c)}];for(let _0x2ec4c3=0x0;_0x2ec4c3<_0x684fb7[_0x49c8fe(0x25c)]['errors']['length'];_0x2ec4c3+=0x1){_0x294b5f['error']({'title':_0x684fb7['data'][_0x49c8fe(0x1a7c)][_0x2ec4c3][_0x49c8fe(0x66a)],'msg':_0x684fb7[_0x49c8fe(0x25c)][_0x49c8fe(0x1a7c)][_0x2ec4c3][_0x49c8fe(0x155e)]});}}else _0x294b5f[_0x49c8fe(0x218e)]({'title':_0x684fb7[_0x49c8fe(0x291)]?'API:'+_0x684fb7[_0x49c8fe(0x291)]+_0x49c8fe(0x1657)+_0x684fb7[_0x49c8fe(0xc22)]:_0x49c8fe(0x190c),'msg':_0x684fb7[_0x49c8fe(0x25c)]?JSON[_0x49c8fe(0x2701)](_0x684fb7[_0x49c8fe(0x25c)]['message']):_0x684fb7[_0x49c8fe(0x147f)]()});});}function _0x44739a(){const _0x27b525=_0x10722d;_0x2adc26['errors']=[],_0x34cd2f[_0x27b525(0x24a4)][_0x27b525(0x687)]({'id':_0x2adc26['dynamics365Account']['id']},_0x2adc26[_0x27b525(0x1124)])['$promise'][_0x27b525(0x1cb0)](function(_0x16b340){const _0x57525f=_0x27b525,_0x3f414b=_0x39641b()[_0x57525f(0x13b4)](_0x2adc26[_0x57525f(0x1697)],{'id':_0x16b340['id']});_0x3f414b&&_0x39641b()[_0x57525f(0x9c1)](_0x3f414b,_0x39641b()[_0x57525f(0x169b)](_0x16b340[_0x57525f(0x19b2)](),_0x39641b()[_0x57525f(0x1be5)](_0x3f414b))),_0x294b5f['success']({'title':_0x57525f(0x1f02),'msg':_0x2adc26[_0x57525f(0x1124)][_0x57525f(0x16b6)]?_0x2adc26[_0x57525f(0x1124)][_0x57525f(0x16b6)]+_0x57525f(0xedb):''}),_0x32b7e9(_0x16b340);})[_0x27b525(0x1c4)](function(_0x36f211){const _0x1a7416=_0x27b525;if(_0x36f211[_0x1a7416(0x25c)]&&_0x36f211['data'][_0x1a7416(0x1a7c)]&&_0x36f211[_0x1a7416(0x25c)][_0x1a7416(0x1a7c)][_0x1a7416(0xfd0)]){_0x2adc26['errors']=_0x36f211[_0x1a7416(0x25c)][_0x1a7416(0x1a7c)]||[{'message':_0x36f211[_0x1a7416(0x147f)](),'type':_0x1a7416(0x1ae2)}];for(let _0x41fe3f=0x0;_0x41fe3f<_0x36f211[_0x1a7416(0x25c)][_0x1a7416(0x1a7c)][_0x1a7416(0xfd0)];_0x41fe3f++){_0x294b5f[_0x1a7416(0x218e)]({'title':_0x36f211[_0x1a7416(0x25c)][_0x1a7416(0x1a7c)][_0x41fe3f][_0x1a7416(0x66a)],'msg':_0x36f211[_0x1a7416(0x25c)][_0x1a7416(0x1a7c)][_0x41fe3f][_0x1a7416(0x155e)]});}}else _0x294b5f[_0x1a7416(0x218e)]({'title':_0x36f211[_0x1a7416(0x291)]?_0x1a7416(0xeb9)+_0x36f211[_0x1a7416(0x291)]+'\x20-\x20'+_0x36f211[_0x1a7416(0xc22)]:'api.intDynamics365Account.update','msg':_0x36f211[_0x1a7416(0x25c)]?JSON['stringify'](_0x36f211['data'][_0x1a7416(0x155e)]):_0x36f211[_0x1a7416(0x147f)]()});});}function _0x5764a0(_0x550e8b){const _0xcbcd28=_0x10722d;_0x2adc26[_0xcbcd28(0x1a7c)]=[];const _0x2badc8=_0x1bc21e[_0xcbcd28(0x1551)]()['title'](_0xcbcd28(0x1a2e))[_0xcbcd28(0x862)](_0xcbcd28(0x1732))[_0xcbcd28(0x15ad)](_0xcbcd28(0x128a))['ok'](_0xcbcd28(0x2594))['cancel'](_0xcbcd28(0xde1))[_0xcbcd28(0x728)](_0x550e8b);_0x1bc21e[_0xcbcd28(0xe27)](_0x2badc8)[_0xcbcd28(0x1cb0)](function(){const _0x30037b=_0xcbcd28;_0x34cd2f[_0x30037b(0x24a4)][_0x30037b(0x111d)]({'id':_0x2adc26['dynamics365Account']['id']})[_0x30037b(0x1d77)][_0x30037b(0x1cb0)](function(){const _0xd71333=_0x30037b;_0x39641b()[_0xd71333(0x152a)](_0x2adc26['dynamics365Accounts'],{'id':_0x2adc26['dynamics365Account']['id']}),_0x294b5f[_0xd71333(0x829)]({'title':'Dynamics365Account\x20properly\x20deleted!','msg':(_0x2adc26[_0xd71333(0x1124)][_0xd71333(0x16b6)]||_0xd71333(0x1124))+_0xd71333(0x3f5)}),_0x32b7e9(_0x2adc26['dynamics365Account']);})['catch'](function(_0x3f6d27){const _0x4bf562=_0x30037b;if(_0x3f6d27[_0x4bf562(0x25c)]&&_0x3f6d27[_0x4bf562(0x25c)][_0x4bf562(0x1a7c)]&&_0x3f6d27[_0x4bf562(0x25c)][_0x4bf562(0x1a7c)][_0x4bf562(0xfd0)]){_0x2adc26[_0x4bf562(0x1a7c)]=_0x3f6d27[_0x4bf562(0x25c)][_0x4bf562(0x1a7c)]||[{'message':_0x3f6d27['toString'](),'type':'api.intDynamics365Account.delete'}];for(let _0x5e57ec=0x0;_0x5e57ec<_0x3f6d27['data']['errors'][_0x4bf562(0xfd0)];_0x5e57ec++){_0x294b5f[_0x4bf562(0x218e)]({'title':_0x3f6d27['data'][_0x4bf562(0x1a7c)][_0x5e57ec][_0x4bf562(0x66a)],'msg':_0x3f6d27[_0x4bf562(0x25c)][_0x4bf562(0x1a7c)][_0x5e57ec][_0x4bf562(0x155e)]});}}else _0x294b5f['error']({'title':_0x3f6d27[_0x4bf562(0x291)]?_0x4bf562(0xeb9)+_0x3f6d27[_0x4bf562(0x291)]+'\x20-\x20'+_0x3f6d27[_0x4bf562(0xc22)]:_0x4bf562(0x112b),'msg':_0x3f6d27[_0x4bf562(0x25c)]?JSON['stringify'](_0x3f6d27[_0x4bf562(0x25c)]['message']):_0x3f6d27['message']||_0x3f6d27[_0x4bf562(0x147f)]()});});},function(){});}function _0xe039a5(_0xcbfc1d){return _0xcbfc1d===null?undefined:new Date(_0xcbfc1d);}function _0x32b7e9(_0x1f986a){const _0x4b5987=_0x10722d;_0x1bc21e[_0x4b5987(0x1426)](_0x1f986a);}}const _0x23154d=_0x4e0703;;const _0x161b94=_0x5074a3['p']+_0x5537c6(0xb9e);;_0x2b464e['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),'$mdSidenav',_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0x1697),'userProfile',_0x5537c6(0x2199),_0x5537c6(0x142b),_0x5537c6(0xde8),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x2b464e(_0x145866,_0x4075ce,_0x36ab67,_0x422d93,_0xcf6e73,_0x34c76e,_0x8aaac1,_0x292c4c,_0x5ea447,_0x23b1d0,_0x5638f6,_0x3ae862,_0x46e555,_0x1be27c,_0x232c50,_0x57ca40,_0x4abfd9){const _0x1d48ef=_0x5537c6,_0x4db7dd=this;_0x4db7dd[_0x1d48ef(0x8a5)]=_0x57ca40,_0x4db7dd[_0x1d48ef(0x9ca)]=_0x4abfd9,_0x4db7dd[_0x1d48ef(0xe76)]=_0x232c50[_0x1d48ef(0x21e8)](),_0x4db7dd[_0x1d48ef(0x1697)]=_0x5ea447||{'count':0x0,'rows':[]},_0x4db7dd['userProfile']=_0x23b1d0,_0x4db7dd['userProfileSection']=_0x5638f6&&_0x5638f6[_0x1d48ef(0x184d)]==0x1?_0x5638f6[_0x1d48ef(0x2214)][0x0]:null,_0x4db7dd[_0x1d48ef(0x1b1a)]=_0x232c50[_0x1d48ef(0x14ea)](_0x4db7dd[_0x1d48ef(0x2199)]?_0x4db7dd[_0x1d48ef(0x2199)][_0x1d48ef(0x1b1a)]:null),_0x4db7dd[_0x1d48ef(0xc83)]=_0x1d48ef(0x1697),_0x4db7dd['listOrder']='',_0x4db7dd[_0x1d48ef(0x1cdf)]=null,_0x4db7dd[_0x1d48ef(0x1a14)]=[],_0x4db7dd['query']={'fields':_0x1d48ef(0x1c75),'limit':0xa,'page':0x1},_0x4db7dd[_0x1d48ef(0x235d)]=_0x48ba8b,_0x4db7dd[_0x1d48ef(0x6b1)]=_0xc4b93a,_0x4db7dd[_0x1d48ef(0x27fe)]=_0x46c5c5,_0x4db7dd[_0x1d48ef(0x829)]=_0x445f9e,_0x4db7dd['getDynamics365Accounts']=_0x13746f,_0x4db7dd[_0x1d48ef(0x2235)]=_0x3d0916,_0x4db7dd[_0x1d48ef(0x155d)]=_0x59fad6,_0x4db7dd['exportSelectedDynamics365Accounts']=_0x60fc57,_0x4db7dd['deleteSelectedDynamics365Accounts']=_0x35dea2,_0x4db7dd[_0x1d48ef(0x1ee)]=_0xd83f81,_0x4db7dd[_0x1d48ef(0x16e4)]=_0x5a7ba4;function _0x48ba8b(_0x500971){const _0x5b2157=_0x1d48ef;_0x36ab67['go'](_0x5b2157(0x244c),{'id':_0x500971['id'],'dynamics365Account':_0x500971,'crudPermissions':_0x4db7dd[_0x5b2157(0x1b1a)]});}function _0xc4b93a(_0xc504b){const _0x2511a2=_0x1d48ef;return _0x3ae862[_0x2511a2(0x24a4)][_0x2511a2(0xc84)]({'id':_0xc504b['id'],'test':!![]})[_0x2511a2(0x1d77)]['then'](function(){const _0x4d6a70=_0x2511a2;_0x1be27c[_0x4d6a70(0x829)]({'title':_0x4d6a70(0x9fa),'msg':'Connection\x20succeded!'});})[_0x2511a2(0x1c4)](function(_0x38bf31){const _0x134188=_0x2511a2;_0x1be27c[_0x134188(0x218e)]({'title':_0x134188(0x9fa),'msg':_0x38bf31[_0x134188(0x25c)]?_0x38bf31[_0x134188(0x25c)][_0x134188(0x155e)]?_0x38bf31[_0x134188(0x25c)][_0x134188(0x155e)]:JSON[_0x134188(0x2701)](_0x38bf31[_0x134188(0x25c)]):_0x38bf31[_0x134188(0x147f)]()});});}function _0x46c5c5(_0x132877,_0x447f82){const _0x3c525d=_0x1d48ef,_0x50b77c=_0xcf6e73[_0x3c525d(0x1551)]()[_0x3c525d(0x1386)](_0x3c525d(0x140b)+_0x39641b()[_0x3c525d(0xa75)](_0x3c525d(0x1124))+'?')[_0x3c525d(0x49e)](_0x3c525d(0x204d)+(_0x132877['name']||_0x3c525d(0x1124))+_0x3c525d(0x1200)+_0x3c525d(0x1b6))[_0x3c525d(0x15ad)](_0x3c525d(0xe5d))[_0x3c525d(0x728)](_0x447f82)['ok']('OK')[_0x3c525d(0x696)](_0x3c525d(0x24ba));_0xcf6e73[_0x3c525d(0xe27)](_0x50b77c)[_0x3c525d(0x1cb0)](function(){_0x59fad6(_0x132877);},function(){const _0x25428b=_0x3c525d;console[_0x25428b(0x1b4f)]('CANCEL');});}let _0x52f178=!![],_0xbf717b=0x1;_0x145866[_0x1d48ef(0x614)](_0x1d48ef(0x957),function(_0x139398,_0x1a0d0b){const _0x107e5d=_0x1d48ef;_0x52f178?_0x8aaac1(function(){_0x52f178=![];}):(!_0x1a0d0b&&(_0xbf717b=_0x4db7dd[_0x107e5d(0xae2)][_0x107e5d(0x1c7b)]),_0x139398!==_0x1a0d0b&&(_0x4db7dd[_0x107e5d(0xae2)][_0x107e5d(0x1c7b)]=0x1),!_0x139398&&(_0x4db7dd[_0x107e5d(0xae2)][_0x107e5d(0x1c7b)]=_0xbf717b),_0x4db7dd[_0x107e5d(0x2397)]());});function _0x445f9e(_0x3937b0){const _0x5678c2=_0x1d48ef;_0x4db7dd[_0x5678c2(0x1697)]=_0x3937b0||{'count':0x0,'rows':[]};}function _0x13746f(){const _0x46a597=_0x1d48ef;_0x4db7dd['query'][_0x46a597(0x184b)]=(_0x4db7dd[_0x46a597(0xae2)][_0x46a597(0x1c7b)]-0x1)*_0x4db7dd['query']['limit'],_0x232c50[_0x46a597(0x22b6)]('admin')?_0x4db7dd[_0x46a597(0x2061)]=_0x3ae862[_0x46a597(0x24a4)][_0x46a597(0xbf7)](_0x4db7dd[_0x46a597(0xae2)],_0x445f9e)[_0x46a597(0x1d77)]:(_0x4db7dd['query']['id']=_0x4db7dd['userProfile']['id'],_0x4db7dd['query'][_0x46a597(0x1f74)]=_0x46a597(0x88e),_0x4db7dd[_0x46a597(0x2061)]=_0x3ae862[_0x46a597(0x44a)]['getResources'](_0x4db7dd[_0x46a597(0xae2)],_0x445f9e)['$promise']);}function _0x3d0916(_0x3303aa,_0x42f03d){const _0x30cd1c=_0x1d48ef;_0xcf6e73['show']({'controller':_0x30cd1c(0x13a9),'controllerAs':'vm','templateUrl':_0x161b94,'parent':angular['element'](_0x34c76e['body']),'targetEvent':_0x3303aa,'clickOutsideToClose':!![],'locals':{'dynamics365Account':_0x42f03d,'dynamics365Accounts':_0x4db7dd[_0x30cd1c(0x1697)][_0x30cd1c(0x2214)],'license':_0x4db7dd[_0x30cd1c(0x8a5)],'setting':_0x4db7dd[_0x30cd1c(0x9ca)],'crudPermissions':_0x4db7dd[_0x30cd1c(0x1b1a)]}});}function _0x59fad6(_0x2042e4){const _0x26da5f=_0x1d48ef;_0x3ae862[_0x26da5f(0x24a4)][_0x26da5f(0x111d)]({'id':_0x2042e4['id']})[_0x26da5f(0x1d77)][_0x26da5f(0x1cb0)](function(){const _0x4ece9c=_0x26da5f;_0x39641b()[_0x4ece9c(0x152a)](_0x4db7dd['dynamics365Accounts']['rows'],{'id':_0x2042e4['id']}),_0x4db7dd[_0x4ece9c(0x1697)][_0x4ece9c(0x184d)]-=0x1,!_0x4db7dd[_0x4ece9c(0x1697)][_0x4ece9c(0x2214)]['length']&&_0x4db7dd[_0x4ece9c(0x2397)](),_0x1be27c[_0x4ece9c(0x829)]({'title':_0x39641b()['startCase']('Dynamics365Account')+_0x4ece9c(0x2663),'msg':_0x2042e4[_0x4ece9c(0x16b6)]?_0x2042e4[_0x4ece9c(0x16b6)]+_0x4ece9c(0x3f5):''});})[_0x26da5f(0x1c4)](function(_0x26b32c){const _0x15b0ca=_0x26da5f;if(_0x26b32c['data']&&_0x26b32c['data'][_0x15b0ca(0x1a7c)]&&_0x26b32c[_0x15b0ca(0x25c)][_0x15b0ca(0x1a7c)][_0x15b0ca(0xfd0)]){_0x4db7dd['errors']=_0x26b32c[_0x15b0ca(0x25c)][_0x15b0ca(0x1a7c)]||[{'message':_0x26b32c['toString'](),'type':'SYSTEM:DELETEintDynamics365Account'}];for(let _0x1f0ccf=0x0;_0x1f0ccf<_0x26b32c[_0x15b0ca(0x25c)]['errors']['length'];_0x1f0ccf++){_0x1be27c['error']({'title':_0x26b32c[_0x15b0ca(0x25c)][_0x15b0ca(0x1a7c)][_0x1f0ccf][_0x15b0ca(0x66a)],'msg':_0x26b32c[_0x15b0ca(0x25c)][_0x15b0ca(0x1a7c)][_0x1f0ccf][_0x15b0ca(0x155e)]});}}else _0x1be27c[_0x15b0ca(0x218e)]({'title':_0x26b32c[_0x15b0ca(0x291)]?_0x15b0ca(0xeb9)+_0x26b32c[_0x15b0ca(0x291)]+_0x15b0ca(0x1657)+_0x26b32c[_0x15b0ca(0xc22)]:_0x15b0ca(0x1584),'msg':_0x26b32c['data']?JSON['stringify'](_0x26b32c['data'][_0x15b0ca(0x155e)]):_0x26b32c[_0x15b0ca(0x155e)]||_0x26b32c['toString']()});});}function _0x60fc57(){const _0x5601c2=_0x1d48ef,_0x43b955=angular[_0x5601c2(0x17fe)](_0x4db7dd['selectedDynamics365Accounts']);return _0x4db7dd[_0x5601c2(0x1a14)]=[],_0x43b955;}function _0x35dea2(_0x12b044){const _0x606e6a=_0x1d48ef,_0x53d59d=_0xcf6e73[_0x606e6a(0x1551)]()['title'](_0x606e6a(0x19ce))[_0x606e6a(0x49e)](_0x606e6a(0x204d)+_0x4db7dd[_0x606e6a(0x1a14)]['length']+_0x606e6a(0x1d6c)+_0x606e6a(0x1b6))[_0x606e6a(0x15ad)](_0x606e6a(0x157f))[_0x606e6a(0x728)](_0x12b044)['ok']('OK')['cancel']('CANCEL');_0xcf6e73[_0x606e6a(0xe27)](_0x53d59d)[_0x606e6a(0x1cb0)](function(){const _0x1042ea=_0x606e6a;_0x4db7dd[_0x1042ea(0x1a14)][_0x1042ea(0xf90)](function(_0x3586f2){_0x59fad6(_0x3586f2);}),_0x4db7dd['selectedDynamics365Accounts']=[];});}function _0xd83f81(){const _0x32aabb=_0x1d48ef;_0x4db7dd[_0x32aabb(0x1a14)]=[];}function _0x5a7ba4(){const _0x529531=_0x1d48ef;_0x4db7dd[_0x529531(0x1a14)]=_0x4db7dd[_0x529531(0x1697)]['rows'];}}const _0x4eaeec=_0x2b464e;;const _0x372805=_0x5074a3['p']+_0x5537c6(0x1e72);;const _0x1706ac=_0x5074a3['p']+_0x5537c6(0x720);;_0x47e26f[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x1774)];function _0x47e26f(_0x1c35ef,_0x3e452e,_0x4d7f88,_0xd9c6c5,_0x4e2070){const _0x407c7a=_0x5537c6,_0x50b0d3=this;_0x50b0d3[_0x407c7a(0xe76)]=_0x4e2070[_0x407c7a(0x21e8)](),_0x50b0d3[_0x407c7a(0x1124)]={},_0x50b0d3[_0x407c7a(0x1c58)]={},_0x50b0d3[_0x407c7a(0xa06)]=[],_0x50b0d3[_0x407c7a(0x560)]=-0x1,_0x50b0d3[_0x407c7a(0x1b1a)],_0x50b0d3[_0x407c7a(0x1a8e)]=_0x450660,_0x50b0d3[_0x407c7a(0xc37)]=_0x152f82,_0x50b0d3['createOrEditDynamics365Configuration']=_0x4d551c,_0x50b0d3['deleteDynamics365Configuration']=_0x4da0e7;function _0x450660(_0x26b170,_0x26ae82){const _0x1b1499=_0x407c7a;_0x50b0d3[_0x1b1499(0x1124)]=_0x26b170,_0x50b0d3[_0x1b1499(0x1b1a)]=typeof _0x26ae82!==_0x1b1499(0x16b5)?_0x26ae82:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x4d7f88[_0x1b1499(0x24a4)][_0x1b1499(0x618)]({'id':_0x50b0d3['dynamics365Account']['id'],'sort':_0x1b1499(0x282)})[_0x1b1499(0x1d77)][_0x1b1499(0x1cb0)](function(_0x2e2b11){const _0x248777=_0x1b1499;return _0x50b0d3[_0x248777(0xa06)]=_0x2e2b11['rows']?_0x2e2b11[_0x248777(0x2214)]:[],_0x50b0d3[_0x248777(0xa06)][_0x248777(0xfd0)]&&_0x50b0d3['getDynamics365Configuration'](0x0),_0x4d7f88[_0x248777(0x1822)]['get']({'nolimit':!![],'sort':_0x248777(0x16b6)})[_0x248777(0x1d77)];})[_0x1b1499(0x1cb0)](function(_0x3d167f){const _0x39d2d0=_0x1b1499;_0x50b0d3['variables']=_0x3d167f[_0x39d2d0(0x2214)]?_0x3d167f[_0x39d2d0(0x2214)]:[],_0x50b0d3[_0x39d2d0(0x1a3c)]=_0x39641b()[_0x39d2d0(0x2631)](_0x50b0d3[_0x39d2d0(0x9a9)],'id');})[_0x1b1499(0x1c4)](function(_0x55b073){const _0x3c3968=_0x1b1499;_0xd9c6c5[_0x3c3968(0x218e)]({'title':_0x55b073[_0x3c3968(0x291)]?_0x3c3968(0xeb9)+_0x55b073[_0x3c3968(0x291)]+'\x20-\x20'+_0x55b073[_0x3c3968(0xc22)]:_0x3c3968(0x1b0f),'msg':_0x55b073[_0x3c3968(0x25c)]?JSON['stringify'](_0x55b073[_0x3c3968(0x25c)]):_0x55b073['toString']()});});}function _0x152f82(_0x26ed7b){const _0x13be19=_0x407c7a;_0x50b0d3[_0x13be19(0x1c58)]=_0x50b0d3[_0x13be19(0xa06)][_0x26ed7b],_0x50b0d3[_0x13be19(0x560)]=_0x26ed7b,_0x4d7f88['intDynamics365Configuration']['getSubjects']({'id':_0x50b0d3[_0x13be19(0x1c58)]['id']})['$promise']['then'](function(_0x42a0d6){const _0x3af385=_0x13be19;return _0x50b0d3[_0x3af385(0x2388)]=_0x42a0d6[_0x3af385(0x2214)]?_0x42a0d6[_0x3af385(0x2214)]:[],_0x4d7f88[_0x3af385(0x2243)][_0x3af385(0xb6d)]({'id':_0x50b0d3['dynamics365Configuration']['id']})[_0x3af385(0x1d77)];})[_0x13be19(0x1cb0)](function(_0x24d18a){const _0x4ea719=_0x13be19;return _0x50b0d3[_0x4ea719(0x310)]=_0x24d18a[_0x4ea719(0x2214)]?_0x24d18a['rows']:[],_0x4d7f88[_0x4ea719(0x2243)][_0x4ea719(0xc84)]({'id':_0x50b0d3[_0x4ea719(0x1c58)]['id']})[_0x4ea719(0x1d77)];})[_0x13be19(0x1cb0)](function(_0x153858){const _0x3e7bfe=_0x13be19;_0x50b0d3[_0x3e7bfe(0x355)]=_0x153858[_0x3e7bfe(0x2214)]?_0x153858[_0x3e7bfe(0x2214)]:[];})[_0x13be19(0x1c4)](function(_0xce22bf){const _0xe84f6a=_0x13be19;_0xd9c6c5[_0xe84f6a(0x218e)]({'title':_0xce22bf[_0xe84f6a(0x291)]?_0xe84f6a(0xeb9)+_0xce22bf[_0xe84f6a(0x291)]+_0xe84f6a(0x1657)+_0xce22bf[_0xe84f6a(0xc22)]:'SYSTEM:GETConfigurations','msg':_0xce22bf[_0xe84f6a(0x25c)]?JSON[_0xe84f6a(0x2701)](_0xce22bf[_0xe84f6a(0x25c)]):_0xce22bf[_0xe84f6a(0x147f)]()});});}function _0x4d551c(_0x52c0a2,_0x559913){const _0x449f30=_0x407c7a;_0x1c35ef[_0x449f30(0xe27)]({'controller':'CreateOrEditDynamics365ConfigurationDialogController','controllerAs':'vm','templateUrl':_0x372805,'parent':angular['element'](_0x3e452e['body']),'targetEvent':_0x52c0a2,'clickOutsideToClose':!![],'locals':{'dynamics365Configuration':_0x559913,'configurations':_0x50b0d3[_0x449f30(0xa06)],'license':null,'setting':null,'crudPermissions':_0x50b0d3[_0x449f30(0x1b1a)]}})[_0x449f30(0x2e0)](function(){_0x50b0d3['getDynamics365Configuration'](0x0);});}function _0x4da0e7(_0x4fa96b,_0xc12eba){const _0x5617ca=_0x407c7a,_0x3a43f1=_0x1c35ef[_0x5617ca(0x1551)]()[_0x5617ca(0x1386)](_0x5617ca(0xcf4))[_0x5617ca(0x49e)](_0x5617ca(0x204d)+(_0xc12eba[_0x5617ca(0x16b6)]||_0x5617ca(0x1c58))+_0x5617ca(0x1200)+_0x5617ca(0x1b6))[_0x5617ca(0x15ad)](_0x5617ca(0xe7e))[_0x5617ca(0x728)](_0x4fa96b)['ok']('OK')[_0x5617ca(0x696)]('CANCEL');_0x1c35ef[_0x5617ca(0xe27)](_0x3a43f1)[_0x5617ca(0x1cb0)](function(){const _0x13a606=_0x5617ca;_0x4d7f88[_0x13a606(0x2243)][_0x13a606(0x111d)]({'id':_0xc12eba['id']})[_0x13a606(0x1d77)][_0x13a606(0x1cb0)](function(){const _0x3a37a5=_0x13a606;_0x39641b()[_0x3a37a5(0x152a)](_0x50b0d3[_0x3a37a5(0xa06)],{'id':_0xc12eba['id']}),_0xd9c6c5[_0x3a37a5(0x829)]({'title':_0x3a37a5(0x25d0),'msg':_0xc12eba[_0x3a37a5(0x16b6)]?_0xc12eba['name']+_0x3a37a5(0x3f5):''});})[_0x13a606(0x1c4)](function(_0x54ec9a){const _0x3264f2=_0x13a606;_0xd9c6c5[_0x3264f2(0x218e)]({'title':_0x54ec9a['status']?'API:'+_0x54ec9a[_0x3264f2(0x291)]+_0x3264f2(0x1657)+_0x54ec9a[_0x3264f2(0xc22)]:_0x3264f2(0x543),'msg':_0x54ec9a[_0x3264f2(0x25c)]?JSON[_0x3264f2(0x2701)](_0x54ec9a[_0x3264f2(0x25c)]):_0x54ec9a[_0x3264f2(0x147f)]()});})[_0x13a606(0x2e0)](function(){const _0x20df09=_0x13a606;_0x50b0d3[_0x20df09(0xc37)](0x0);});},function(){const _0x44de95=_0x5617ca;console[_0x44de95(0x1b4f)](_0x44de95(0x24ba));});}_0x50b0d3['selectedSubjects']=[],_0x50b0d3[_0x407c7a(0x1267)]=_0x45911f,_0x50b0d3[_0x407c7a(0x259e)]=_0x3b7df0,_0x50b0d3[_0x407c7a(0xf12)]=_0x46fa91;function _0x45911f(_0x38d7d0,_0x2d80f3){const _0xfadc3f=_0x407c7a;_0x1c35ef[_0xfadc3f(0xe27)]({'controller':_0xfadc3f(0x208),'controllerAs':'vm','templateUrl':_0x1706ac,'parent':angular[_0xfadc3f(0x1853)](_0x3e452e[_0xfadc3f(0x1ed9)]),'targetEvent':_0x38d7d0,'clickOutsideToClose':!![],'locals':{'type':'subject','item':_0x2d80f3,'items':_0x50b0d3[_0xfadc3f(0x2388)],'configuration':_0x50b0d3[_0xfadc3f(0x1c58)],'account':_0x50b0d3[_0xfadc3f(0x1124)],'license':null,'setting':null,'crudPermissions':_0x50b0d3[_0xfadc3f(0x1b1a)]}});}function _0x3df509(_0x3f20fb){const _0x19566e=_0x407c7a;_0x4d7f88[_0x19566e(0x26f0)][_0x19566e(0x111d)]({'id':_0x3f20fb['id']})[_0x19566e(0x1d77)][_0x19566e(0x1cb0)](function(){const _0x1c924d=_0x19566e;_0x39641b()[_0x1c924d(0x152a)](_0x50b0d3['subjects'],{'id':_0x3f20fb['id']}),_0xd9c6c5[_0x1c924d(0x829)]({'title':_0x1c924d(0x1d11),'msg':'Subject\x20has\x20been\x20deleted!'});})['catch'](function(_0x36ad8f){const _0x5e729c=_0x19566e;_0xd9c6c5[_0x5e729c(0x218e)]({'title':_0x36ad8f['status']?_0x5e729c(0xeb9)+_0x36ad8f['status']+_0x5e729c(0x1657)+_0x36ad8f[_0x5e729c(0xc22)]:_0x5e729c(0x13e5),'msg':_0x36ad8f[_0x5e729c(0x25c)]?JSON[_0x5e729c(0x2701)](_0x36ad8f['data']):_0x36ad8f[_0x5e729c(0x147f)]()});});}function _0x3b7df0(_0x31cda2,_0xb5f20c){const _0x36ad3e=_0x407c7a,_0x5453bd=_0x1c35ef[_0x36ad3e(0x1551)]()['title'](_0x36ad3e(0xa59))['htmlContent'](_0x36ad3e(0x14ee)+_0x36ad3e(0x1b6))[_0x36ad3e(0x15ad)](_0x36ad3e(0xbc3))[_0x36ad3e(0x728)](_0x31cda2)['ok']('OK')[_0x36ad3e(0x696)]('CANCEL');_0x1c35ef[_0x36ad3e(0xe27)](_0x5453bd)[_0x36ad3e(0x1cb0)](function(){_0x3df509(_0xb5f20c);},function(){const _0x356e36=_0x36ad3e;console[_0x356e36(0x1b4f)](_0x356e36(0x24ba));});}function _0x46fa91(_0x6c1897){const _0x3c0456=_0x407c7a,_0x1b41ea=_0x1c35ef['confirm']()[_0x3c0456(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20subjects?')[_0x3c0456(0x49e)](''+_0x50b0d3[_0x3c0456(0x4df)]['length']+_0x3c0456(0x1d6c)+'\x20will\x20be\x20deleted.')['ariaLabel'](_0x3c0456(0x222e))[_0x3c0456(0x728)](_0x6c1897)['ok']('OK')['cancel'](_0x3c0456(0x24ba));_0x1c35ef[_0x3c0456(0xe27)](_0x1b41ea)['then'](function(){const _0x48c927=_0x3c0456;_0x50b0d3[_0x48c927(0x4df)][_0x48c927(0xf90)](function(_0x5b7dad){_0x3df509(_0x5b7dad);}),_0x50b0d3[_0x48c927(0x4df)]=[];});}_0x50b0d3['selectedDescriptions']=[],_0x50b0d3[_0x407c7a(0x14c7)]=_0x52c02f,_0x50b0d3[_0x407c7a(0xc09)]=_0x77c848,_0x50b0d3[_0x407c7a(0x2931)]=_0x2a9b77;function _0x52c02f(_0x11aeb8,_0x523cc0){const _0x5091f7=_0x407c7a;_0x1c35ef[_0x5091f7(0xe27)]({'controller':'CreateOrEditDynamics365AccountItemDialogController','controllerAs':'vm','templateUrl':_0x1706ac,'parent':angular[_0x5091f7(0x1853)](_0x3e452e[_0x5091f7(0x1ed9)]),'targetEvent':_0x11aeb8,'clickOutsideToClose':!![],'locals':{'type':_0x5091f7(0x2c1),'item':_0x523cc0,'items':_0x50b0d3[_0x5091f7(0x310)],'configuration':_0x50b0d3[_0x5091f7(0x1c58)],'account':_0x50b0d3[_0x5091f7(0x1124)],'license':null,'setting':null,'crudPermissions':_0x50b0d3['crudPermissions']}});}function _0x13084b(_0x192954){const _0x136fd6=_0x407c7a;_0x4d7f88[_0x136fd6(0x26f0)][_0x136fd6(0x111d)]({'id':_0x192954['id']})[_0x136fd6(0x1d77)][_0x136fd6(0x1cb0)](function(){const _0x251a66=_0x136fd6;_0x39641b()[_0x251a66(0x152a)](_0x50b0d3['descriptions'],{'id':_0x192954['id']}),_0xd9c6c5[_0x251a66(0x829)]({'title':_0x251a66(0x24c0),'msg':'Description\x20has\x20been\x20deleted!'});})[_0x136fd6(0x1c4)](function(_0xfb5704){const _0x272b7c=_0x136fd6;_0xd9c6c5[_0x272b7c(0x218e)]({'title':_0xfb5704[_0x272b7c(0x291)]?_0x272b7c(0xeb9)+_0xfb5704[_0x272b7c(0x291)]+_0x272b7c(0x1657)+_0xfb5704['statusText']:_0x272b7c(0x13e5),'msg':_0xfb5704[_0x272b7c(0x25c)]?JSON[_0x272b7c(0x2701)](_0xfb5704[_0x272b7c(0x25c)]):_0xfb5704[_0x272b7c(0x147f)]()});});}function _0x77c848(_0x15aad3,_0x2ef884){const _0x101ce3=_0x407c7a,_0x133367=_0x1c35ef[_0x101ce3(0x1551)]()[_0x101ce3(0x1386)](_0x101ce3(0x7d2))[_0x101ce3(0x49e)](_0x101ce3(0x18b8)+_0x101ce3(0x1b6))[_0x101ce3(0x15ad)](_0x101ce3(0x103d))['targetEvent'](_0x15aad3)['ok']('OK')['cancel'](_0x101ce3(0x24ba));_0x1c35ef[_0x101ce3(0xe27)](_0x133367)[_0x101ce3(0x1cb0)](function(){_0x13084b(_0x2ef884);},function(){const _0x498b56=_0x101ce3;console[_0x498b56(0x1b4f)](_0x498b56(0x24ba));});}function _0x2a9b77(_0x4f24b1){const _0x1d349a=_0x407c7a,_0x177c46=_0x1c35ef[_0x1d349a(0x1551)]()[_0x1d349a(0x1386)](_0x1d349a(0x2e7))[_0x1d349a(0x49e)](_0x1d349a(0x204d)+_0x50b0d3[_0x1d349a(0xac6)][_0x1d349a(0xfd0)]+_0x1d349a(0x1d6c)+_0x1d349a(0x1b6))[_0x1d349a(0x15ad)]('delete\x20descriptions')[_0x1d349a(0x728)](_0x4f24b1)['ok']('OK')[_0x1d349a(0x696)](_0x1d349a(0x24ba));_0x1c35ef[_0x1d349a(0xe27)](_0x177c46)['then'](function(){const _0x475ab9=_0x1d349a;_0x50b0d3[_0x475ab9(0xac6)][_0x475ab9(0xf90)](function(_0x2822a7){_0x13084b(_0x2822a7);}),_0x50b0d3[_0x475ab9(0xac6)]=[];});}_0x50b0d3[_0x407c7a(0x9b2)]=[],_0x50b0d3[_0x407c7a(0x5e9)]=_0x38103c,_0x50b0d3['deleteFieldConfirm']=_0x41949d,_0x50b0d3['deleteSelectedFields']=_0x409fa6;function _0x38103c(_0x428f2c,_0x2c50d2){const _0x116f2c=_0x407c7a;_0x1c35ef[_0x116f2c(0xe27)]({'controller':_0x116f2c(0x208),'controllerAs':'vm','templateUrl':_0x1706ac,'parent':angular['element'](_0x3e452e[_0x116f2c(0x1ed9)]),'targetEvent':_0x428f2c,'clickOutsideToClose':!![],'locals':{'type':'field','item':_0x2c50d2,'items':_0x50b0d3[_0x116f2c(0x355)],'configuration':_0x50b0d3['dynamics365Configuration'],'account':_0x50b0d3['dynamics365Account'],'license':null,'setting':null,'crudPermissions':_0x50b0d3[_0x116f2c(0x1b1a)]}});}function _0x319331(_0x18f3f8){const _0x459e3f=_0x407c7a;_0x4d7f88[_0x459e3f(0x26f0)]['delete']({'id':_0x18f3f8['id']})['$promise']['then'](function(){const _0x87221c=_0x459e3f;_0x39641b()[_0x87221c(0x152a)](_0x50b0d3[_0x87221c(0x355)],{'id':_0x18f3f8['id']}),_0xd9c6c5[_0x87221c(0x829)]({'title':_0x87221c(0xd6b),'msg':_0x87221c(0x2688)});})[_0x459e3f(0x1c4)](function(_0x413611){const _0x423da1=_0x459e3f;_0xd9c6c5[_0x423da1(0x218e)]({'title':_0x413611[_0x423da1(0x291)]?_0x423da1(0xeb9)+_0x413611[_0x423da1(0x291)]+_0x423da1(0x1657)+_0x413611[_0x423da1(0xc22)]:_0x423da1(0x13e5),'msg':_0x413611['data']?JSON['stringify'](_0x413611[_0x423da1(0x25c)]):_0x413611[_0x423da1(0x147f)]()});});}function _0x41949d(_0x26a3c8,_0x3d30f2){const _0x5dee95=_0x407c7a,_0x566476=_0x1c35ef[_0x5dee95(0x1551)]()[_0x5dee95(0x1386)](_0x5dee95(0x21a9))[_0x5dee95(0x49e)](_0x5dee95(0xf1d)+_0x5dee95(0x1b6))[_0x5dee95(0x15ad)]('delete\x20field')[_0x5dee95(0x728)](_0x26a3c8)['ok']('OK')[_0x5dee95(0x696)](_0x5dee95(0x24ba));_0x1c35ef[_0x5dee95(0xe27)](_0x566476)[_0x5dee95(0x1cb0)](function(){_0x319331(_0x3d30f2);},function(){const _0xd34ab7=_0x5dee95;console[_0xd34ab7(0x1b4f)]('CANCEL');});}function _0x409fa6(_0x523603){const _0x3dbaed=_0x407c7a,_0x168b83=_0x1c35ef[_0x3dbaed(0x1551)]()[_0x3dbaed(0x1386)](_0x3dbaed(0x983))[_0x3dbaed(0x49e)](_0x3dbaed(0x204d)+_0x50b0d3[_0x3dbaed(0x9b2)][_0x3dbaed(0xfd0)]+_0x3dbaed(0x1d6c)+_0x3dbaed(0x1b6))['ariaLabel']('delete\x20fields')[_0x3dbaed(0x728)](_0x523603)['ok']('OK')[_0x3dbaed(0x696)](_0x3dbaed(0x24ba));_0x1c35ef[_0x3dbaed(0xe27)](_0x168b83)[_0x3dbaed(0x1cb0)](function(){const _0x271906=_0x3dbaed;_0x50b0d3[_0x271906(0x9b2)]['forEach'](function(_0x59ee85){_0x319331(_0x59ee85);}),_0x50b0d3[_0x271906(0x9b2)]=[];});}}const _0x5ec901=_0x47e26f;;_0x8f9173['$inject']=[_0x5537c6(0x1463),'$state','$location',_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),'toasty','configurations',_0x5537c6(0x1c58),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x8f9173(_0x936503,_0x34f863,_0x4af53d,_0x45c614,_0x49fba2,_0x363265,_0x10fe90,_0x5c2026,_0x2e3d4c,_0x3a4229,_0x21daf1,_0x42004f,_0x39d452,_0x5297bd){const _0x171e8b=_0x5537c6,_0x362652=this;_0x362652['currentUser']=_0x21daf1[_0x171e8b(0x21e8)](),_0x362652[_0x171e8b(0x1a7c)]=[],_0x362652[_0x171e8b(0x9ca)]=_0x39d452,_0x362652[_0x171e8b(0x8a5)]=_0x42004f,_0x362652[_0x171e8b(0x1b1a)]=_0x5297bd,_0x362652[_0x171e8b(0xf4c)]={},_0x362652['passwordPattern']=_0x362652[_0x171e8b(0x9ca)]&&_0x362652[_0x171e8b(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x362652['title']=_0x171e8b(0x2016),_0x362652[_0x171e8b(0x1c58)]=angular[_0x171e8b(0x17fe)](_0x2e3d4c),_0x362652['configurations']=_0x5c2026,_0x362652['newDynamics365Configuration']=![];!_0x362652[_0x171e8b(0x1c58)]&&(_0x362652[_0x171e8b(0x1c58)]={'channel':'voice','type':_0x171e8b(0x26c0),'ticketType':_0x171e8b(0xc3c)},_0x362652[_0x171e8b(0x1386)]=_0x171e8b(0x2141),_0x362652[_0x171e8b(0x27c9)]=!![]);_0x362652[_0x171e8b(0x2241)]=_0x49c331,_0x362652['saveDynamics365Configuration']=_0x320ab4,_0x362652[_0x171e8b(0x2595)]=_0x41a6c7,_0x362652[_0x171e8b(0x2c4)]=_0x593568,_0x362652[_0x171e8b(0xda0)]=_0x48f2b7;function _0x49c331(){const _0x14c19a=_0x171e8b;_0x362652[_0x14c19a(0x1a7c)]=[],_0x3a4229[_0x14c19a(0x24a4)][_0x14c19a(0x1070)]({'id':_0x34f863['params']['id']},_0x362652['dynamics365Configuration'])[_0x14c19a(0x1d77)][_0x14c19a(0x1cb0)](function(_0x5d62ce){const _0x213ad5=_0x14c19a;_0x362652[_0x213ad5(0xa06)]['unshift'](_0x5d62ce[_0x213ad5(0x19b2)]()),_0x10fe90[_0x213ad5(0x829)]({'title':_0x213ad5(0x133f),'msg':_0x362652[_0x213ad5(0x1c58)][_0x213ad5(0x16b6)]?_0x362652['dynamics365Configuration'][_0x213ad5(0x16b6)]+_0x213ad5(0x470):''}),_0x48f2b7(_0x5d62ce);})['catch'](function(_0x2b8ed7){const _0x48eec0=_0x14c19a;if(_0x2b8ed7[_0x48eec0(0x25c)]&&_0x2b8ed7[_0x48eec0(0x25c)][_0x48eec0(0x1a7c)]&&_0x2b8ed7[_0x48eec0(0x25c)]['errors'][_0x48eec0(0xfd0)]){_0x362652['errors']=_0x2b8ed7[_0x48eec0(0x25c)][_0x48eec0(0x1a7c)]||[{'message':_0x2b8ed7[_0x48eec0(0x147f)](),'type':_0x48eec0(0xbe5)}];for(let _0x23a00b=0x0;_0x23a00b<_0x2b8ed7[_0x48eec0(0x25c)][_0x48eec0(0x1a7c)][_0x48eec0(0xfd0)];_0x23a00b+=0x1){_0x10fe90[_0x48eec0(0x218e)]({'title':_0x2b8ed7[_0x48eec0(0x25c)]['errors'][_0x23a00b][_0x48eec0(0x66a)],'msg':_0x2b8ed7[_0x48eec0(0x25c)][_0x48eec0(0x1a7c)][_0x23a00b][_0x48eec0(0x155e)]});}}else _0x10fe90[_0x48eec0(0x218e)]({'title':_0x2b8ed7[_0x48eec0(0x291)]?_0x48eec0(0xeb9)+_0x2b8ed7[_0x48eec0(0x291)]+_0x48eec0(0x1657)+_0x2b8ed7['statusText']:_0x48eec0(0xbe5),'msg':_0x2b8ed7[_0x48eec0(0x25c)]?JSON['stringify'](_0x2b8ed7['data'][_0x48eec0(0x155e)]):_0x2b8ed7[_0x48eec0(0x147f)]()});});}function _0x320ab4(){const _0x5c2d74=_0x171e8b;_0x362652[_0x5c2d74(0x1a7c)]=[],_0x3a4229[_0x5c2d74(0x2243)][_0x5c2d74(0x687)]({'id':_0x362652['dynamics365Configuration']['id']},_0x362652['dynamics365Configuration'])[_0x5c2d74(0x1d77)]['then'](function(_0x1d7de4){const _0x26ba01=_0x5c2d74,_0x2e7118=_0x39641b()[_0x26ba01(0x13b4)](_0x362652[_0x26ba01(0xa06)],{'id':_0x1d7de4['id']});_0x2e7118&&_0x39641b()[_0x26ba01(0x9c1)](_0x2e7118,_0x39641b()[_0x26ba01(0x169b)](_0x1d7de4[_0x26ba01(0x19b2)](),_0x39641b()[_0x26ba01(0x1be5)](_0x2e7118))),_0x10fe90[_0x26ba01(0x829)]({'title':'Dynamics365Configuration\x20properly\x20saved!','msg':_0x362652[_0x26ba01(0x1c58)][_0x26ba01(0x16b6)]?_0x362652[_0x26ba01(0x1c58)][_0x26ba01(0x16b6)]+_0x26ba01(0xedb):''}),_0x48f2b7(_0x1d7de4);})[_0x5c2d74(0x1c4)](function(_0x29929e){const _0x29f83=_0x5c2d74;if(_0x29929e[_0x29f83(0x25c)]&&_0x29929e[_0x29f83(0x25c)][_0x29f83(0x1a7c)]&&_0x29929e[_0x29f83(0x25c)][_0x29f83(0x1a7c)][_0x29f83(0xfd0)]){_0x362652[_0x29f83(0x1a7c)]=_0x29929e[_0x29f83(0x25c)][_0x29f83(0x1a7c)]||[{'message':_0x29929e[_0x29f83(0x147f)](),'type':'api.intDynamics365Configuration.update'}];for(let _0x4e5ead=0x0;_0x4e5ead<_0x29929e[_0x29f83(0x25c)][_0x29f83(0x1a7c)][_0x29f83(0xfd0)];_0x4e5ead++){_0x10fe90[_0x29f83(0x218e)]({'title':_0x29929e[_0x29f83(0x25c)][_0x29f83(0x1a7c)][_0x4e5ead][_0x29f83(0x66a)],'msg':_0x29929e[_0x29f83(0x25c)][_0x29f83(0x1a7c)][_0x4e5ead][_0x29f83(0x155e)]});}}else _0x10fe90[_0x29f83(0x218e)]({'title':_0x29929e['status']?_0x29f83(0xeb9)+_0x29929e[_0x29f83(0x291)]+_0x29f83(0x1657)+_0x29929e[_0x29f83(0xc22)]:_0x29f83(0x17eb),'msg':_0x29929e[_0x29f83(0x25c)]?JSON[_0x29f83(0x2701)](_0x29929e[_0x29f83(0x25c)][_0x29f83(0x155e)]):_0x29929e[_0x29f83(0x147f)]()});});}function _0x41a6c7(_0x49f75c){const _0x2d55bc=_0x171e8b;_0x362652[_0x2d55bc(0x1a7c)]=[];const _0x28b7d9=_0x45c614['confirm']()[_0x2d55bc(0x1386)](_0x2d55bc(0x1a2e))[_0x2d55bc(0x862)](_0x2d55bc(0x1086))[_0x2d55bc(0x15ad)](_0x2d55bc(0x2012))['ok']('Delete')[_0x2d55bc(0x696)]('Cancel')['targetEvent'](_0x49f75c);_0x45c614[_0x2d55bc(0xe27)](_0x28b7d9)[_0x2d55bc(0x1cb0)](function(){const _0x56bc7b=_0x2d55bc;_0x3a4229['intDynamics365Configuration'][_0x56bc7b(0x111d)]({'id':_0x362652['dynamics365Configuration']['id']})[_0x56bc7b(0x1d77)][_0x56bc7b(0x1cb0)](function(){const _0x1d5312=_0x56bc7b;_0x39641b()[_0x1d5312(0x152a)](_0x362652[_0x1d5312(0xa06)],{'id':_0x362652['dynamics365Configuration']['id']}),_0x10fe90['success']({'title':_0x1d5312(0x1b46),'msg':(_0x362652[_0x1d5312(0x1c58)][_0x1d5312(0x16b6)]||_0x1d5312(0x1c58))+_0x1d5312(0x3f5)}),_0x48f2b7(_0x362652[_0x1d5312(0x1c58)]);})[_0x56bc7b(0x1c4)](function(_0x5b8e68){const _0x2f3f74=_0x56bc7b;if(_0x5b8e68[_0x2f3f74(0x25c)]&&_0x5b8e68[_0x2f3f74(0x25c)][_0x2f3f74(0x1a7c)]&&_0x5b8e68[_0x2f3f74(0x25c)][_0x2f3f74(0x1a7c)]['length']){_0x362652[_0x2f3f74(0x1a7c)]=_0x5b8e68['data'][_0x2f3f74(0x1a7c)]||[{'message':_0x5b8e68[_0x2f3f74(0x147f)](),'type':_0x2f3f74(0x17cf)}];for(let _0x7861d2=0x0;_0x7861d2<_0x5b8e68['data'][_0x2f3f74(0x1a7c)][_0x2f3f74(0xfd0)];_0x7861d2++){_0x10fe90[_0x2f3f74(0x218e)]({'title':_0x5b8e68['data'][_0x2f3f74(0x1a7c)][_0x7861d2][_0x2f3f74(0x66a)],'msg':_0x5b8e68[_0x2f3f74(0x25c)][_0x2f3f74(0x1a7c)][_0x7861d2][_0x2f3f74(0x155e)]});}}else _0x10fe90[_0x2f3f74(0x218e)]({'title':_0x5b8e68[_0x2f3f74(0x291)]?_0x2f3f74(0xeb9)+_0x5b8e68['status']+'\x20-\x20'+_0x5b8e68[_0x2f3f74(0xc22)]:_0x2f3f74(0x17cf),'msg':_0x5b8e68['data']?JSON[_0x2f3f74(0x2701)](_0x5b8e68[_0x2f3f74(0x25c)]['message']):_0x5b8e68[_0x2f3f74(0x155e)]||_0x5b8e68[_0x2f3f74(0x147f)]()});});},function(){});}function _0x593568(_0x480f73){return _0x480f73===null?undefined:new Date(_0x480f73);}function _0x48f2b7(_0x585240){const _0x4fbb7f=_0x171e8b;_0x45c614[_0x4fbb7f(0x1426)](_0x585240);}}const _0xdecd5c=_0x8f9173;;_0x5f45f6[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x9bf),_0x5537c6(0x2718),'items',_0x5537c6(0x583),_0x5537c6(0x1539),_0x5537c6(0x66a),_0x5537c6(0x142b),_0x5537c6(0x1774),'crudPermissions'];function _0x5f45f6(_0x3e7808,_0x5997dc,_0x224dac,_0x39cbfb,_0x3190c4,_0x1e233c,_0x67954f,_0x423b89,_0xa41512,_0x32be28,_0x4ffe0f,_0xc184d9,_0x4d7672){const _0x27197c=_0x5537c6,_0x2ea7f9=this;_0x2ea7f9[_0x27197c(0xe76)]=_0xc184d9[_0x27197c(0x21e8)](),_0x2ea7f9[_0x27197c(0x1a7c)]=[],_0x2ea7f9[_0x27197c(0x1386)]=_0x27197c(0xf08)+_0x39641b()[_0x27197c(0x1002)](_0x32be28),_0x2ea7f9[_0x27197c(0x583)]=angular['copy'](_0x423b89),_0x2ea7f9[_0x27197c(0x122f)]=_0x67954f,_0x2ea7f9[_0x27197c(0x66a)]=_0x32be28,_0x2ea7f9['crudPermissions']=_0x4d7672,_0x2ea7f9['newItem']=![];!_0x2ea7f9['item']&&(_0x2ea7f9['item']={'type':_0x27197c(0x1518)},_0x2ea7f9[_0x27197c(0x583)][_0x39641b()[_0x27197c(0x432)](_0x32be28)+'Id']=_0x1e233c['id'],_0x2ea7f9['title']=_0x27197c(0x15dd)+_0x39641b()[_0x27197c(0x1002)](_0x32be28),_0x2ea7f9[_0x27197c(0x1202)]=!![]);_0x2ea7f9[_0x27197c(0x1286)]=_0x6fe097,_0x2ea7f9[_0x27197c(0x1a78)]=_0x15a7bd,_0x2ea7f9['deleteItem']=_0x485cbe,_0x2ea7f9['getSelectedVariable']=_0x41840c,_0x2ea7f9['closeDialog']=_0x3cc748,_0x4ffe0f[_0x27197c(0x1822)]['get']({'nolimit':!![]})[_0x27197c(0x1d77)]['then'](function(_0x3e5e3a){const _0x44ba6f=_0x27197c;return _0x2ea7f9[_0x44ba6f(0x9a9)]=_0x3e5e3a[_0x44ba6f(0x2214)]?_0x3e5e3a['rows']:[],_0x4ffe0f[_0x44ba6f(0x247a)][_0x44ba6f(0x1e57)]()[_0x44ba6f(0x1d77)];})[_0x27197c(0x1cb0)](function(_0x24d0cc){const _0x1e9c64=_0x27197c;return _0x2ea7f9[_0x1e9c64(0xbf1)]=_0x39641b()[_0x1e9c64(0x91f)](_0x39641b()[_0x1e9c64(0x1be5)](_0x24d0cc),[_0x1e9c64(0x1d77),_0x1e9c64(0x248e),_0x1e9c64(0x24cb),_0x1e9c64(0x27ba),_0x1e9c64(0x1867)]),_0x2ea7f9[_0x1e9c64(0xbf1)][_0x1e9c64(0x2785)]('recordingURL'),_0x4ffe0f[_0x1e9c64(0x593)][_0x1e9c64(0x1e57)]()[_0x1e9c64(0x1d77)];})['then'](function(_0x2658f7){const _0xfb11f1=_0x27197c;_0x2ea7f9['voiceDialReportColumns']=_0x39641b()[_0xfb11f1(0x91f)](_0x39641b()[_0xfb11f1(0x1be5)](_0x2658f7),['$promise',_0xfb11f1(0x248e),_0xfb11f1(0x24cb),_0xfb11f1(0x27ba),_0xfb11f1(0x1867)]),_0x2ea7f9[_0xfb11f1(0x1639)][_0xfb11f1(0x2785)](_0xfb11f1(0x2110));})['catch'](function(_0x1d2b9b){const _0xe95c7a=_0x27197c;_0x3190c4[_0xe95c7a(0x218e)]({'title':_0x1d2b9b['status']?_0xe95c7a(0xeb9)+_0x1d2b9b['status']+_0xe95c7a(0x1657)+_0x1d2b9b['statusText']:_0xe95c7a(0x262e),'msg':_0x1d2b9b[_0xe95c7a(0x25c)]?JSON[_0xe95c7a(0x2701)](_0x1d2b9b['data']):_0x1d2b9b[_0xe95c7a(0x147f)]()});}),_0x4ffe0f[_0x27197c(0x24a4)][_0x27197c(0xc84)]({'id':_0xa41512['id'],'ticketType':_0x1e233c[_0x27197c(0x161)]?_0x1e233c['ticketType']:undefined})[_0x27197c(0x1d77)][_0x27197c(0x1cb0)](function(_0x461830){const _0x496cbf=_0x27197c;_0x2ea7f9[_0x496cbf(0x1a28)]=!![],_0x2ea7f9[_0x496cbf(0x355)]=_0x461830['rows']?_0x461830[_0x496cbf(0x2214)]:[],_0x2ea7f9[_0x496cbf(0x583)][_0x496cbf(0x1e50)]&&(_0x2ea7f9['customField']=_0x39641b()['find'](_0x2ea7f9[_0x496cbf(0x355)],{'id':_0x2ea7f9['item']['idField']}));})[_0x27197c(0x1c4)](function(_0x1ec920){const _0x4527b5=_0x27197c;_0x2ea7f9[_0x4527b5(0x1a28)]=![],console['error'](_0x1ec920);});function _0x6fe097(){const _0x14cd02=_0x27197c;_0x2ea7f9[_0x14cd02(0x1a7c)]=[],_0x2ea7f9[_0x14cd02(0x1d5a)]&&(_0x2ea7f9[_0x14cd02(0x583)][_0x14cd02(0x1e50)]=_0x2ea7f9[_0x14cd02(0x1d5a)]['id'],_0x2ea7f9['item'][_0x14cd02(0xc1f)]=_0x2ea7f9[_0x14cd02(0x1d5a)][_0x14cd02(0x16b6)],_0x2ea7f9['item'][_0x14cd02(0x1d5a)]=_0x2ea7f9[_0x14cd02(0x1d5a)][_0x14cd02(0x197c)]),_0x4ffe0f[_0x14cd02(0x26f0)]['save'](_0x2ea7f9[_0x14cd02(0x583)])['$promise'][_0x14cd02(0x1cb0)](function(_0x177551){const _0x5ae670=_0x14cd02;_0x2ea7f9[_0x5ae670(0x122f)][_0x5ae670(0xf63)](_0x177551),_0x3190c4[_0x5ae670(0x829)]({'title':_0x39641b()[_0x5ae670(0x432)](_0x32be28)+_0x5ae670(0x220c),'msg':_0x39641b()[_0x5ae670(0x432)](_0x32be28)+'\x20has\x20been\x20created!'}),_0x3cc748();})[_0x14cd02(0x1c4)](function(_0x12fa37){const _0x2fcb9d=_0x14cd02;console['error'](_0x12fa37),_0x2ea7f9[_0x2fcb9d(0x1a7c)]=_0x12fa37[_0x2fcb9d(0x25c)][_0x2fcb9d(0x1a7c)]||[{'message':_0x12fa37[_0x2fcb9d(0x147f)](),'type':_0x2fcb9d(0x1876)}];});}function _0x15a7bd(){const _0x498b28=_0x27197c;_0x2ea7f9[_0x498b28(0x1a7c)]=[],_0x2ea7f9[_0x498b28(0x1d5a)]&&(_0x2ea7f9[_0x498b28(0x583)][_0x498b28(0x1e50)]=_0x2ea7f9['customField']['id'],_0x2ea7f9[_0x498b28(0x583)][_0x498b28(0xc1f)]=_0x2ea7f9[_0x498b28(0x1d5a)][_0x498b28(0x16b6)],_0x2ea7f9[_0x498b28(0x583)]['customField']=_0x2ea7f9[_0x498b28(0x1d5a)][_0x498b28(0x197c)]),_0x4ffe0f[_0x498b28(0x26f0)]['update']({'id':_0x2ea7f9[_0x498b28(0x583)]['id']},_0x2ea7f9['item'])[_0x498b28(0x1d77)][_0x498b28(0x1cb0)](function(_0x5dc6e4){const _0x37824e=_0x498b28,_0x1f8d1c=_0x39641b()['find'](_0x2ea7f9[_0x37824e(0x122f)],{'id':_0x5dc6e4['id']});_0x1f8d1c&&_0x39641b()['merge'](_0x1f8d1c,_0x5dc6e4),_0x3190c4['success']({'title':_0x39641b()[_0x37824e(0x432)](_0x32be28)+_0x37824e(0xaf6),'msg':_0x39641b()[_0x37824e(0x432)](_0x32be28)+_0x37824e(0xedb)}),_0x3cc748();})['catch'](function(_0x759cd0){const _0x2b37c8=_0x498b28;console['error'](_0x759cd0),_0x2ea7f9[_0x2b37c8(0x1a7c)]=_0x759cd0[_0x2b37c8(0x25c)]['errors']||[{'message':_0x759cd0[_0x2b37c8(0x147f)](),'type':_0x2b37c8(0x197e)}];});}function _0x485cbe(_0x1f1115){const _0x19c442=_0x27197c;_0x2ea7f9[_0x19c442(0x1a7c)]=[];const _0x2cbdfe=_0x39cbfb[_0x19c442(0x1551)]()['title']('Are\x20you\x20sure?')[_0x19c442(0x862)]('The\x20'+_0x32be28+'\x20will\x20be\x20deleted.')[_0x19c442(0x15ad)]('Delete\x20'+_0x39641b()['capitalize'](_0x32be28))['ok'](_0x19c442(0x2594))[_0x19c442(0x696)]('Cancel')[_0x19c442(0x728)](_0x1f1115);_0x39cbfb[_0x19c442(0xe27)](_0x2cbdfe)['then'](function(){const _0x17d520=_0x19c442;_0x4ffe0f[_0x17d520(0x26f0)][_0x17d520(0x111d)]({'id':_0x2ea7f9[_0x17d520(0x583)]['id']})[_0x17d520(0x1d77)][_0x17d520(0x1cb0)](function(){const _0x122260=_0x17d520;_0x39641b()[_0x122260(0x152a)](_0x2ea7f9[_0x122260(0x122f)],{'id':_0x2ea7f9[_0x122260(0x583)]['id']}),_0x3190c4[_0x122260(0x829)]({'title':_0x39641b()[_0x122260(0x432)](_0x32be28)+_0x122260(0x1621),'msg':_0x39641b()['capitalize'](_0x32be28)+_0x122260(0x3f5)}),_0x3cc748();})[_0x17d520(0x1c4)](function(_0x35c13e){const _0x329fcf=_0x17d520;console['error'](_0x35c13e),_0x2ea7f9[_0x329fcf(0x1a7c)]=_0x35c13e[_0x329fcf(0x25c)][_0x329fcf(0x1a7c)]||[{'message':_0x35c13e[_0x329fcf(0x147f)](),'type':_0x329fcf(0x10e6)}];});},function(){});}function _0x41840c(){const _0x16f024=_0x27197c;return _0x2ea7f9[_0x16f024(0x583)][_0x16f024(0x1e71)]!==undefined?_0x2ea7f9[_0x16f024(0x583)][_0x16f024(0x1e71)]:'Please\x20select\x20a\x20variable';}function _0x3cc748(){const _0x5160c2=_0x27197c;_0x39cbfb[_0x5160c2(0x1426)]();}}const _0x1bfb94=_0x5f45f6;;_0x5e4045['$inject']=[_0x5537c6(0x406),_0x5537c6(0x21c8),'$mdDialog',_0x5537c6(0x22bf),_0x5537c6(0x1ae),_0x5537c6(0x8a5),'setting',_0x5537c6(0x142b),'toasty','Auth','dynamics365Account',_0x5537c6(0x2199)];function _0x5e4045(_0x2902f0,_0x3395d5,_0x2c36ba,_0x2d5eec,_0x42c776,_0x449549,_0x180ba1,_0x4f37a2,_0x2d1944,_0x1eef6d,_0x497677,_0x5a0255){const _0x26c570=_0x5537c6,_0x578add=this;_0x578add[_0x26c570(0xe76)]=_0x1eef6d[_0x26c570(0x21e8)](),_0x578add[_0x26c570(0x8a5)]=_0x449549,_0x578add[_0x26c570(0x9ca)]=_0x180ba1,_0x578add[_0x26c570(0x1b0c)]=_0x578add[_0x26c570(0x9ca)][_0x26c570(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x578add[_0x26c570(0x2404)]=_0x3395d5[_0x26c570(0x2276)]()+_0x26c570(0x138b)+_0x3395d5[_0x26c570(0x17d8)](),_0x578add['dynamics365Account']=_0x497677||_0x2902f0[_0x26c570(0x1dfe)][_0x26c570(0x1124)]||{},_0x578add[_0x26c570(0x2199)]=_0x5a0255&&_0x5a0255[_0x26c570(0x184d)]==0x1?_0x5a0255[_0x26c570(0x2214)][0x0]:null,_0x578add[_0x26c570(0x1b1a)]=_0x1eef6d[_0x26c570(0x14ea)](_0x578add[_0x26c570(0x2199)]?_0x578add[_0x26c570(0x2199)][_0x26c570(0x1b1a)]:null),_0x578add['hasModulePermissions']={},_0x578add[_0x26c570(0x8ec)]=_0x2902f0[_0x26c570(0x1dfe)][_0x26c570(0x291e)]||0x0,_0x578add[_0x26c570(0x494)]=_0x2d1944[_0x26c570(0x28c7)],_0x578add[_0x26c570(0x1d42)]=_0x5a6b2e,_0x578add[_0x26c570(0x2499)]=_0x9f4bdc;function _0x5a6b2e(){const _0x5e9ba7=_0x26c570;_0x2902f0['go'](_0x5e9ba7(0x1f3e),{},{'reload':_0x5e9ba7(0x1f3e)});}function _0x9f4bdc(){const _0x4eae91=_0x26c570;_0x4f37a2[_0x4eae91(0x24a4)][_0x4eae91(0x687)]({'id':_0x578add[_0x4eae91(0x1124)]['id']},_0x578add[_0x4eae91(0x1124)])[_0x4eae91(0x1d77)]['then'](function(){const _0x1ed5a0=_0x4eae91;_0x2d1944[_0x1ed5a0(0x829)]({'title':_0x1ed5a0(0x11db),'msg':_0x578add['dynamics365Account'][_0x1ed5a0(0x16b6)]?_0x578add[_0x1ed5a0(0x1124)][_0x1ed5a0(0x16b6)]+'\x20has\x20been\x20updated!':''});})['catch'](function(_0x4a268f){const _0x4fa86a=_0x4eae91;_0x2d1944['error']({'title':_0x4a268f[_0x4fa86a(0x291)]?_0x4fa86a(0xeb9)+_0x4a268f[_0x4fa86a(0x291)]+_0x4fa86a(0x1657)+_0x4a268f[_0x4fa86a(0xc22)]:_0x4fa86a(0x6c9),'msg':_0x4a268f[_0x4fa86a(0x25c)]?JSON[_0x4fa86a(0x2701)](_0x4a268f[_0x4fa86a(0x25c)]):_0x4a268f['toString']()});});}}const _0x79a345=_0x5e4045;;_0x33be14[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$state',_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x8aa),_0x5537c6(0x2065),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting',_0x5537c6(0x1b1a)];function _0x33be14(_0x5332e2,_0x534609,_0x39bd60,_0x35abf9,_0x56f7d1,_0x1d2393,_0x1453ef,_0x1decc4,_0x53990f,_0x1994a2,_0x5dccba,_0x27e1ac,_0xe87c4f,_0x21595b){const _0x4cbd0e=_0x5537c6,_0x475d33=this;_0x475d33[_0x4cbd0e(0xe76)]=_0x5dccba[_0x4cbd0e(0x21e8)](),_0x475d33[_0x4cbd0e(0x1a7c)]=[],_0x475d33[_0x4cbd0e(0x9ca)]=_0xe87c4f,_0x475d33[_0x4cbd0e(0x8a5)]=_0x27e1ac,_0x475d33['crudPermissions']=_0x21595b,_0x475d33['hasModulePermissions']={},_0x475d33['passwordPattern']=_0x475d33[_0x4cbd0e(0x9ca)]&&_0x475d33[_0x4cbd0e(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x475d33[_0x4cbd0e(0x1386)]=_0x4cbd0e(0x1b99),_0x475d33[_0x4cbd0e(0x2065)]=angular[_0x4cbd0e(0x17fe)](_0x53990f),_0x475d33[_0x4cbd0e(0x8aa)]=_0x1decc4,_0x475d33['newFreshdeskAccount']=![];!_0x475d33[_0x4cbd0e(0x2065)]&&(_0x475d33[_0x4cbd0e(0x2065)]={'serverUrl':_0x39bd60[_0x4cbd0e(0x2276)]()+_0x4cbd0e(0x138b)+_0x39bd60[_0x4cbd0e(0x17d8)]()+(_0x39bd60['port']()?':'+_0x39bd60[_0x4cbd0e(0x477)]():'')},_0x475d33[_0x4cbd0e(0x1386)]=_0x4cbd0e(0xcbb),_0x475d33[_0x4cbd0e(0x299a)]=!![]);_0x475d33[_0x4cbd0e(0x154e)]=_0x225b8b,_0x475d33['saveFreshdeskAccount']=_0x3fc1f9,_0x475d33[_0x4cbd0e(0x1934)]=_0x2cec87,_0x475d33[_0x4cbd0e(0x2c4)]=_0x4b2c98,_0x475d33['closeDialog']=_0x1919a3;function _0x225b8b(){const _0xf59c1c=_0x4cbd0e;_0x475d33[_0xf59c1c(0x1a7c)]=[],_0x1994a2['intFreshdeskAccount']['save'](_0x475d33[_0xf59c1c(0x2065)])[_0xf59c1c(0x1d77)][_0xf59c1c(0x1cb0)](function(_0x4375ab){const _0x201c29=_0xf59c1c;_0x475d33[_0x201c29(0x8aa)][_0x201c29(0xf63)](_0x4375ab[_0x201c29(0x19b2)]()),_0x1453ef[_0x201c29(0x829)]({'title':_0x201c29(0xcf8),'msg':_0x475d33[_0x201c29(0x2065)][_0x201c29(0x16b6)]?_0x475d33[_0x201c29(0x2065)]['name']+'\x20has\x20been\x20created!':''}),_0x1919a3(_0x4375ab);})[_0xf59c1c(0x1c4)](function(_0x2ce7bc){const _0x42dfad=_0xf59c1c;if(_0x2ce7bc['data']&&_0x2ce7bc[_0x42dfad(0x25c)][_0x42dfad(0x1a7c)]&&_0x2ce7bc[_0x42dfad(0x25c)][_0x42dfad(0x1a7c)][_0x42dfad(0xfd0)]){_0x475d33[_0x42dfad(0x1a7c)]=_0x2ce7bc['data'][_0x42dfad(0x1a7c)]||[{'message':_0x2ce7bc[_0x42dfad(0x147f)](),'type':'api.intFreshdeskAccount.save'}];for(let _0x447ed2=0x0;_0x447ed2<_0x2ce7bc[_0x42dfad(0x25c)][_0x42dfad(0x1a7c)][_0x42dfad(0xfd0)];_0x447ed2+=0x1){_0x1453ef[_0x42dfad(0x218e)]({'title':_0x2ce7bc[_0x42dfad(0x25c)]['errors'][_0x447ed2][_0x42dfad(0x66a)],'msg':_0x2ce7bc['data'][_0x42dfad(0x1a7c)][_0x447ed2][_0x42dfad(0x155e)]});}}else _0x1453ef[_0x42dfad(0x218e)]({'title':_0x2ce7bc[_0x42dfad(0x291)]?_0x42dfad(0xeb9)+_0x2ce7bc['status']+'\x20-\x20'+_0x2ce7bc[_0x42dfad(0xc22)]:_0x42dfad(0x89e),'msg':_0x2ce7bc[_0x42dfad(0x25c)]?JSON[_0x42dfad(0x2701)](_0x2ce7bc[_0x42dfad(0x25c)][_0x42dfad(0x155e)]):_0x2ce7bc[_0x42dfad(0x147f)]()});});}function _0x3fc1f9(){const _0x254678=_0x4cbd0e;_0x475d33[_0x254678(0x1a7c)]=[],_0x1994a2['intFreshdeskAccount']['update']({'id':_0x475d33['freshdeskAccount']['id']},_0x475d33[_0x254678(0x2065)])[_0x254678(0x1d77)][_0x254678(0x1cb0)](function(_0x5d895d){const _0x67eb45=_0x254678,_0x122763=_0x39641b()['find'](_0x475d33['freshdeskAccounts'],{'id':_0x5d895d['id']});_0x122763&&_0x39641b()[_0x67eb45(0x9c1)](_0x122763,_0x39641b()[_0x67eb45(0x169b)](_0x5d895d[_0x67eb45(0x19b2)](),_0x39641b()[_0x67eb45(0x1be5)](_0x122763))),_0x1453ef[_0x67eb45(0x829)]({'title':'FreshdeskAccount\x20properly\x20saved!','msg':_0x475d33[_0x67eb45(0x2065)][_0x67eb45(0x16b6)]?_0x475d33['freshdeskAccount']['name']+_0x67eb45(0xedb):''}),_0x1919a3(_0x5d895d);})['catch'](function(_0x4102c8){const _0x28f17=_0x254678;if(_0x4102c8[_0x28f17(0x25c)]&&_0x4102c8['data'][_0x28f17(0x1a7c)]&&_0x4102c8[_0x28f17(0x25c)][_0x28f17(0x1a7c)][_0x28f17(0xfd0)]){_0x475d33[_0x28f17(0x1a7c)]=_0x4102c8['data'][_0x28f17(0x1a7c)]||[{'message':_0x4102c8[_0x28f17(0x147f)](),'type':_0x28f17(0x6f8)}];for(let _0x425fde=0x0;_0x425fde<_0x4102c8['data']['errors'][_0x28f17(0xfd0)];_0x425fde++){_0x1453ef[_0x28f17(0x218e)]({'title':_0x4102c8['data'][_0x28f17(0x1a7c)][_0x425fde][_0x28f17(0x66a)],'msg':_0x4102c8[_0x28f17(0x25c)]['errors'][_0x425fde]['message']});}}else _0x1453ef[_0x28f17(0x218e)]({'title':_0x4102c8[_0x28f17(0x291)]?_0x28f17(0xeb9)+_0x4102c8['status']+_0x28f17(0x1657)+_0x4102c8[_0x28f17(0xc22)]:_0x28f17(0x6f8),'msg':_0x4102c8[_0x28f17(0x25c)]?JSON['stringify'](_0x4102c8[_0x28f17(0x25c)][_0x28f17(0x155e)]):_0x4102c8[_0x28f17(0x147f)]()});});}function _0x2cec87(_0x41a67e){const _0x59ac66=_0x4cbd0e;_0x475d33[_0x59ac66(0x1a7c)]=[];const _0x30f459=_0x35abf9[_0x59ac66(0x1551)]()['title'](_0x59ac66(0x1a2e))[_0x59ac66(0x862)](_0x59ac66(0x186))[_0x59ac66(0x15ad)]('Delete\x20FreshdeskAccount')['ok'](_0x59ac66(0x2594))['cancel']('Cancel')['targetEvent'](_0x41a67e);_0x35abf9[_0x59ac66(0xe27)](_0x30f459)[_0x59ac66(0x1cb0)](function(){const _0x273367=_0x59ac66;_0x1994a2[_0x273367(0x2317)][_0x273367(0x111d)]({'id':_0x475d33[_0x273367(0x2065)]['id']})[_0x273367(0x1d77)][_0x273367(0x1cb0)](function(){const _0x52760b=_0x273367;_0x39641b()['remove'](_0x475d33[_0x52760b(0x8aa)],{'id':_0x475d33['freshdeskAccount']['id']}),_0x1453ef[_0x52760b(0x829)]({'title':_0x52760b(0x4bc),'msg':(_0x475d33['freshdeskAccount']['name']||_0x52760b(0x2065))+'\x20has\x20been\x20deleted!'}),_0x1919a3(_0x475d33[_0x52760b(0x2065)]);})[_0x273367(0x1c4)](function(_0x3ddce8){const _0x21c089=_0x273367;if(_0x3ddce8['data']&&_0x3ddce8[_0x21c089(0x25c)]['errors']&&_0x3ddce8['data'][_0x21c089(0x1a7c)][_0x21c089(0xfd0)]){_0x475d33[_0x21c089(0x1a7c)]=_0x3ddce8[_0x21c089(0x25c)]['errors']||[{'message':_0x3ddce8[_0x21c089(0x147f)](),'type':_0x21c089(0x1d58)}];for(let _0x268b61=0x0;_0x268b61<_0x3ddce8[_0x21c089(0x25c)]['errors'][_0x21c089(0xfd0)];_0x268b61++){_0x1453ef[_0x21c089(0x218e)]({'title':_0x3ddce8[_0x21c089(0x25c)][_0x21c089(0x1a7c)][_0x268b61][_0x21c089(0x66a)],'msg':_0x3ddce8[_0x21c089(0x25c)]['errors'][_0x268b61][_0x21c089(0x155e)]});}}else _0x1453ef[_0x21c089(0x218e)]({'title':_0x3ddce8[_0x21c089(0x291)]?'API:'+_0x3ddce8[_0x21c089(0x291)]+_0x21c089(0x1657)+_0x3ddce8[_0x21c089(0xc22)]:_0x21c089(0x1d58),'msg':_0x3ddce8[_0x21c089(0x25c)]?JSON[_0x21c089(0x2701)](_0x3ddce8[_0x21c089(0x25c)][_0x21c089(0x155e)]):_0x3ddce8[_0x21c089(0x155e)]||_0x3ddce8[_0x21c089(0x147f)]()});});},function(){});}function _0x4b2c98(_0x5e3670){return _0x5e3670===null?undefined:new Date(_0x5e3670);}function _0x1919a3(_0x431a2a){const _0x4ac1b4=_0x4cbd0e;_0x35abf9[_0x4ac1b4(0x1426)](_0x431a2a);}}const _0x536e82=_0x33be14;;const _0x1cbdc6=_0x5074a3['p']+'src/js/modules/main/apps/integrations/views/freshdeskAccounts/edit/configurations/dialog.html/dialog.html';;const _0x1c91d0=_0x5074a3['p']+_0x5537c6(0x69b);;_0x4a860d['$inject']=[_0x5537c6(0xcb9),'$document',_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x1774)];function _0x4a860d(_0x391c3d,_0xf6f856,_0x2b708f,_0x8deb24,_0x27bc55){const _0x544365=_0x5537c6,_0x2cfd2e=this;_0x2cfd2e[_0x544365(0xe76)]=_0x27bc55[_0x544365(0x21e8)](),_0x2cfd2e[_0x544365(0x2065)]={},_0x2cfd2e['freshdeskConfiguration']={},_0x2cfd2e[_0x544365(0xa06)]=[],_0x2cfd2e[_0x544365(0x22e5)]=-0x1,_0x2cfd2e[_0x544365(0x1b1a)],_0x2cfd2e[_0x544365(0x1a8e)]=_0x29d1b6,_0x2cfd2e[_0x544365(0x1113)]=_0xf862c2,_0x2cfd2e[_0x544365(0xfd3)]=_0x146af0,_0x2cfd2e[_0x544365(0x5ef)]=_0x10d312,_0x2cfd2e[_0x544365(0xfae)]=[],_0x2cfd2e[_0x544365(0x2192)]=_0x48d655,_0x2b708f[_0x544365(0x22b1)][_0x544365(0xbf7)]({'nolimit':!![],'sort':'name'})[_0x544365(0x1d77)][_0x544365(0x1cb0)](function(_0x127eb4){const _0x1bb0a4=_0x544365;_0x2cfd2e[_0x1bb0a4(0xfbf)]=_0x127eb4['rows']?_0x127eb4['rows']:[];})[_0x544365(0x1c4)](function(_0x5efe93){const _0x59218e=_0x544365;_0x8deb24[_0x59218e(0x218e)]({'title':_0x5efe93[_0x59218e(0x291)]?_0x59218e(0xeb9)+_0x5efe93[_0x59218e(0x291)]+_0x59218e(0x1657)+_0x5efe93[_0x59218e(0xc22)]:_0x59218e(0x3ee),'msg':_0x5efe93[_0x59218e(0x25c)]?JSON[_0x59218e(0x2701)](_0x5efe93[_0x59218e(0x25c)]):_0x5efe93[_0x59218e(0x147f)]()});});function _0x48d655(){const _0x5de1df=_0x544365;_0x2b708f[_0x5de1df(0x29c2)][_0x5de1df(0xe53)]({'id':_0x2cfd2e[_0x5de1df(0x10be)]['id'],'ids':_0x2cfd2e[_0x5de1df(0xfae)]})['$promise'][_0x5de1df(0x1cb0)](function(){const _0x41ed7f=_0x5de1df;_0x8deb24['success']({'title':_0x41ed7f(0x3e9),'msg':'Tags\x20has\x20been\x20set!'});})['catch'](function(_0x38062d){const _0x1e3274=_0x5de1df;_0x8deb24[_0x1e3274(0x218e)]({'title':_0x38062d[_0x1e3274(0x291)]?_0x1e3274(0xeb9)+_0x38062d[_0x1e3274(0x291)]+'\x20-\x20'+_0x38062d[_0x1e3274(0xc22)]:_0x1e3274(0x2774),'msg':_0x38062d['data']?JSON[_0x1e3274(0x2701)](_0x38062d[_0x1e3274(0x25c)]):_0x38062d[_0x1e3274(0x147f)]()});});}function _0x29d1b6(_0xe96e09,_0x31cbd8){const _0x22cdf2=_0x544365;_0x2cfd2e['freshdeskAccount']=_0xe96e09,_0x2cfd2e[_0x22cdf2(0x1b1a)]=typeof _0x31cbd8!==_0x22cdf2(0x16b5)?_0x31cbd8:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x2b708f['intFreshdeskAccount'][_0x22cdf2(0x618)]({'id':_0x2cfd2e['freshdeskAccount']['id'],'sort':'-updatedAt'})[_0x22cdf2(0x1d77)][_0x22cdf2(0x1cb0)](function(_0x5db2f1){const _0x47ec5f=_0x22cdf2;return _0x2cfd2e['configurations']=_0x5db2f1[_0x47ec5f(0x2214)]?_0x5db2f1[_0x47ec5f(0x2214)]:[],_0x2cfd2e['configurations'][_0x47ec5f(0xfd0)]&&_0x2cfd2e[_0x47ec5f(0x1113)](0x0),_0x2b708f['variable'][_0x47ec5f(0xbf7)]({'nolimit':!![],'sort':_0x47ec5f(0x16b6)})[_0x47ec5f(0x1d77)];})[_0x22cdf2(0x1cb0)](function(_0x29c979){const _0x282738=_0x22cdf2;_0x2cfd2e[_0x282738(0x9a9)]=_0x29c979[_0x282738(0x2214)]?_0x29c979[_0x282738(0x2214)]:[],_0x2cfd2e[_0x282738(0x1a3c)]=_0x39641b()['keyBy'](_0x2cfd2e[_0x282738(0x9a9)],'id');})['catch'](function(_0x48f6c4){const _0x353f4e=_0x22cdf2;_0x8deb24[_0x353f4e(0x218e)]({'title':_0x48f6c4[_0x353f4e(0x291)]?_0x353f4e(0xeb9)+_0x48f6c4[_0x353f4e(0x291)]+_0x353f4e(0x1657)+_0x48f6c4[_0x353f4e(0xc22)]:_0x353f4e(0x1b0f),'msg':_0x48f6c4['data']?JSON['stringify'](_0x48f6c4[_0x353f4e(0x25c)]):_0x48f6c4[_0x353f4e(0x147f)]()});});}function _0xf862c2(_0x81d676){const _0x2ca06d=_0x544365;_0x2cfd2e['freshdeskConfiguration']=_0x2cfd2e['configurations'][_0x81d676],_0x2cfd2e[_0x2ca06d(0x22e5)]=_0x81d676,_0x2b708f[_0x2ca06d(0x29c2)][_0x2ca06d(0x243a)]({'id':_0x2cfd2e[_0x2ca06d(0x10be)]['id']})['$promise'][_0x2ca06d(0x1cb0)](function(_0x1cdf6d){const _0x418570=_0x2ca06d;return _0x2cfd2e['subjects']=_0x1cdf6d['rows']?_0x1cdf6d['rows']:[],_0x2b708f[_0x418570(0x29c2)][_0x418570(0xb6d)]({'id':_0x2cfd2e[_0x418570(0x10be)]['id']})[_0x418570(0x1d77)];})[_0x2ca06d(0x1cb0)](function(_0x5c29e1){const _0xfa1fde=_0x2ca06d;return _0x2cfd2e['descriptions']=_0x5c29e1['rows']?_0x5c29e1[_0xfa1fde(0x2214)]:[],_0x2b708f[_0xfa1fde(0x29c2)]['getFields']({'id':_0x2cfd2e['freshdeskConfiguration']['id']})[_0xfa1fde(0x1d77)];})[_0x2ca06d(0x1cb0)](function(_0xfa2fad){const _0x378381=_0x2ca06d;_0x2cfd2e[_0x378381(0x355)]=_0xfa2fad['rows']?_0xfa2fad['rows']:[];})[_0x2ca06d(0x1c4)](function(_0x58549f){const _0x1046eb=_0x2ca06d;_0x8deb24[_0x1046eb(0x218e)]({'title':_0x58549f[_0x1046eb(0x291)]?'API:'+_0x58549f['status']+_0x1046eb(0x1657)+_0x58549f[_0x1046eb(0xc22)]:_0x1046eb(0x1e5f),'msg':_0x58549f['data']?JSON[_0x1046eb(0x2701)](_0x58549f[_0x1046eb(0x25c)]):_0x58549f[_0x1046eb(0x147f)]()});}),_0x2b708f[_0x2ca06d(0x29c2)][_0x2ca06d(0x128b)]({'id':_0x2cfd2e['freshdeskConfiguration']['id']})['$promise'][_0x2ca06d(0x1cb0)](function(_0x4e0be3){const _0x8b7190=_0x2ca06d;_0x2cfd2e[_0x8b7190(0xfae)]=_0x4e0be3[_0x8b7190(0x2214)]?_0x39641b()[_0x8b7190(0x1de2)](_0x4e0be3[_0x8b7190(0x2214)],'id'):[];})[_0x2ca06d(0x1c4)](function(_0x27554b){const _0x18566f=_0x2ca06d;_0x8deb24[_0x18566f(0x218e)]({'title':_0x27554b[_0x18566f(0x291)]?_0x18566f(0xeb9)+_0x27554b[_0x18566f(0x291)]+_0x18566f(0x1657)+_0x27554b[_0x18566f(0xc22)]:_0x18566f(0x3ee),'msg':_0x27554b[_0x18566f(0x25c)]?JSON[_0x18566f(0x2701)](_0x27554b[_0x18566f(0x25c)]):_0x27554b['toString']()});});}function _0x146af0(_0x2c3260,_0x4116a5){const _0x21f7d8=_0x544365;_0x391c3d['show']({'controller':'CreateOrEditFreshdeskConfigurationDialogController','controllerAs':'vm','templateUrl':_0x1cbdc6,'parent':angular[_0x21f7d8(0x1853)](_0xf6f856['body']),'targetEvent':_0x2c3260,'clickOutsideToClose':!![],'locals':{'freshdeskConfiguration':_0x4116a5,'configurations':_0x2cfd2e[_0x21f7d8(0xa06)],'license':null,'setting':null,'crudPermissions':_0x2cfd2e[_0x21f7d8(0x1b1a)]}})['finally'](function(){const _0x3fb041=_0x21f7d8;_0x2cfd2e[_0x3fb041(0x1113)](0x0);});}function _0x10d312(_0x4d89a1,_0x250a97){const _0x97bdc=_0x544365,_0x346d09=_0x391c3d[_0x97bdc(0x1551)]()['title'](_0x97bdc(0x16f6))[_0x97bdc(0x49e)](''+(_0x250a97[_0x97bdc(0x16b6)]||_0x97bdc(0x10be))+_0x97bdc(0x1200)+_0x97bdc(0x1b6))[_0x97bdc(0x15ad)](_0x97bdc(0x1a20))['targetEvent'](_0x4d89a1)['ok']('OK')[_0x97bdc(0x696)](_0x97bdc(0x24ba));_0x391c3d[_0x97bdc(0xe27)](_0x346d09)['then'](function(){const _0x502f5f=_0x97bdc;_0x2b708f[_0x502f5f(0x29c2)][_0x502f5f(0x111d)]({'id':_0x250a97['id']})['$promise'][_0x502f5f(0x1cb0)](function(){const _0x360a5c=_0x502f5f;_0x39641b()[_0x360a5c(0x152a)](_0x2cfd2e[_0x360a5c(0xa06)],{'id':_0x250a97['id']}),_0x8deb24['success']({'title':_0x360a5c(0x38e),'msg':_0x250a97['name']?_0x250a97['name']+_0x360a5c(0x3f5):''});})[_0x502f5f(0x1c4)](function(_0x488d8b){const _0x2e448f=_0x502f5f;_0x8deb24[_0x2e448f(0x218e)]({'title':_0x488d8b[_0x2e448f(0x291)]?_0x2e448f(0xeb9)+_0x488d8b[_0x2e448f(0x291)]+'\x20-\x20'+_0x488d8b[_0x2e448f(0xc22)]:'SYSTEM:DELETECONFIGURATION','msg':_0x488d8b[_0x2e448f(0x25c)]?JSON[_0x2e448f(0x2701)](_0x488d8b[_0x2e448f(0x25c)]):_0x488d8b[_0x2e448f(0x147f)]()});})[_0x502f5f(0x2e0)](function(){const _0x156dfc=_0x502f5f;_0x2cfd2e[_0x156dfc(0x1113)](0x0);});},function(){const _0xe26f5=_0x97bdc;console['log'](_0xe26f5(0x24ba));});}_0x2cfd2e[_0x544365(0x4df)]=[],_0x2cfd2e['createOrEditSubject']=_0xfafe8e,_0x2cfd2e[_0x544365(0x259e)]=_0x5dc2c8,_0x2cfd2e['deleteSelectedSubjects']=_0x3b35da;function _0xfafe8e(_0x312479,_0xdca206){const _0x386788=_0x544365;_0x391c3d[_0x386788(0xe27)]({'controller':'CreateOrEditFreshdeskAccountItemDialogController','controllerAs':'vm','templateUrl':_0x1c91d0,'parent':angular[_0x386788(0x1853)](_0xf6f856['body']),'targetEvent':_0x312479,'clickOutsideToClose':!![],'locals':{'type':_0x386788(0x1e5d),'item':_0xdca206,'items':_0x2cfd2e[_0x386788(0x2388)],'configuration':_0x2cfd2e[_0x386788(0x10be)],'account':_0x2cfd2e[_0x386788(0x2065)],'license':null,'setting':null,'crudPermissions':_0x2cfd2e['crudPermissions']}});}function _0x35ed32(_0x364430){const _0x1f3320=_0x544365;_0x2b708f[_0x1f3320(0x1830)][_0x1f3320(0x111d)]({'id':_0x364430['id']})[_0x1f3320(0x1d77)][_0x1f3320(0x1cb0)](function(){const _0x2d6653=_0x1f3320;_0x39641b()[_0x2d6653(0x152a)](_0x2cfd2e[_0x2d6653(0x2388)],{'id':_0x364430['id']}),_0x8deb24[_0x2d6653(0x829)]({'title':_0x2d6653(0x1d11),'msg':_0x2d6653(0x145d)});})[_0x1f3320(0x1c4)](function(_0x2bbbbd){const _0x97aca8=_0x1f3320;_0x8deb24['error']({'title':_0x2bbbbd[_0x97aca8(0x291)]?_0x97aca8(0xeb9)+_0x2bbbbd['status']+_0x97aca8(0x1657)+_0x2bbbbd[_0x97aca8(0xc22)]:_0x97aca8(0x13e5),'msg':_0x2bbbbd[_0x97aca8(0x25c)]?JSON[_0x97aca8(0x2701)](_0x2bbbbd[_0x97aca8(0x25c)]):_0x2bbbbd[_0x97aca8(0x147f)]()});});}function _0x5dc2c8(_0x3b3d50,_0x96238c){const _0x4888c9=_0x544365,_0x36ed8f=_0x391c3d['confirm']()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20subject?')[_0x4888c9(0x49e)]('Subject'+_0x4888c9(0x1b6))[_0x4888c9(0x15ad)](_0x4888c9(0xbc3))[_0x4888c9(0x728)](_0x3b3d50)['ok']('OK')[_0x4888c9(0x696)](_0x4888c9(0x24ba));_0x391c3d[_0x4888c9(0xe27)](_0x36ed8f)[_0x4888c9(0x1cb0)](function(){_0x35ed32(_0x96238c);},function(){const _0x1fa9a0=_0x4888c9;console['log'](_0x1fa9a0(0x24ba));});}function _0x3b35da(_0x3a8e6b){const _0x4b066a=_0x544365,_0x6a8734=_0x391c3d[_0x4b066a(0x1551)]()[_0x4b066a(0x1386)](_0x4b066a(0x1f8e))[_0x4b066a(0x49e)](_0x4b066a(0x204d)+_0x2cfd2e[_0x4b066a(0x4df)][_0x4b066a(0xfd0)]+_0x4b066a(0x1d6c)+'\x20will\x20be\x20deleted.')['ariaLabel'](_0x4b066a(0x222e))[_0x4b066a(0x728)](_0x3a8e6b)['ok']('OK')[_0x4b066a(0x696)]('CANCEL');_0x391c3d['show'](_0x6a8734)[_0x4b066a(0x1cb0)](function(){const _0x1c8329=_0x4b066a;_0x2cfd2e[_0x1c8329(0x4df)][_0x1c8329(0xf90)](function(_0x4dc963){_0x35ed32(_0x4dc963);}),_0x2cfd2e[_0x1c8329(0x4df)]=[];});}_0x2cfd2e[_0x544365(0xac6)]=[],_0x2cfd2e[_0x544365(0x14c7)]=_0x77b4e6,_0x2cfd2e[_0x544365(0xc09)]=_0x18a6fe,_0x2cfd2e[_0x544365(0x2931)]=_0x133b92;function _0x77b4e6(_0x1cb069,_0x57f726){const _0x44d377=_0x544365;_0x391c3d[_0x44d377(0xe27)]({'controller':_0x44d377(0x15a0),'controllerAs':'vm','templateUrl':_0x1c91d0,'parent':angular[_0x44d377(0x1853)](_0xf6f856[_0x44d377(0x1ed9)]),'targetEvent':_0x1cb069,'clickOutsideToClose':!![],'locals':{'type':_0x44d377(0x2c1),'item':_0x57f726,'items':_0x2cfd2e['descriptions'],'configuration':_0x2cfd2e[_0x44d377(0x10be)],'account':_0x2cfd2e[_0x44d377(0x2065)],'license':null,'setting':null,'crudPermissions':_0x2cfd2e['crudPermissions']}});}function _0x3d2371(_0xad430f){const _0x1bcde1=_0x544365;_0x2b708f[_0x1bcde1(0x1830)][_0x1bcde1(0x111d)]({'id':_0xad430f['id']})['$promise'][_0x1bcde1(0x1cb0)](function(){const _0x4ed8bd=_0x1bcde1;_0x39641b()[_0x4ed8bd(0x152a)](_0x2cfd2e[_0x4ed8bd(0x310)],{'id':_0xad430f['id']}),_0x8deb24['success']({'title':'Description\x20deleted!','msg':_0x4ed8bd(0xb59)});})[_0x1bcde1(0x1c4)](function(_0x262c37){const _0xaeff78=_0x1bcde1;_0x8deb24[_0xaeff78(0x218e)]({'title':_0x262c37[_0xaeff78(0x291)]?'API:'+_0x262c37[_0xaeff78(0x291)]+'\x20-\x20'+_0x262c37[_0xaeff78(0xc22)]:'SYSTEM:DELETECONFIGURATIONFIELD','msg':_0x262c37[_0xaeff78(0x25c)]?JSON['stringify'](_0x262c37[_0xaeff78(0x25c)]):_0x262c37[_0xaeff78(0x147f)]()});});}function _0x18a6fe(_0x1ddddf,_0x6ff006){const _0x18f574=_0x544365,_0xd4802e=_0x391c3d[_0x18f574(0x1551)]()[_0x18f574(0x1386)](_0x18f574(0x7d2))[_0x18f574(0x49e)](_0x18f574(0x18b8)+_0x18f574(0x1b6))[_0x18f574(0x15ad)](_0x18f574(0x103d))[_0x18f574(0x728)](_0x1ddddf)['ok']('OK')['cancel']('CANCEL');_0x391c3d['show'](_0xd4802e)[_0x18f574(0x1cb0)](function(){_0x3d2371(_0x6ff006);},function(){const _0x5f5ac8=_0x18f574;console[_0x5f5ac8(0x1b4f)](_0x5f5ac8(0x24ba));});}function _0x133b92(_0x5ee282){const _0x5167e1=_0x544365,_0xf90c19=_0x391c3d[_0x5167e1(0x1551)]()['title'](_0x5167e1(0x2e7))[_0x5167e1(0x49e)](_0x5167e1(0x204d)+_0x2cfd2e[_0x5167e1(0xac6)]['length']+'\x20selected'+'\x20will\x20be\x20deleted.')[_0x5167e1(0x15ad)]('delete\x20descriptions')['targetEvent'](_0x5ee282)['ok']('OK')[_0x5167e1(0x696)](_0x5167e1(0x24ba));_0x391c3d['show'](_0xf90c19)[_0x5167e1(0x1cb0)](function(){const _0x14874e=_0x5167e1;_0x2cfd2e[_0x14874e(0xac6)][_0x14874e(0xf90)](function(_0x2f99a0){_0x3d2371(_0x2f99a0);}),_0x2cfd2e[_0x14874e(0xac6)]=[];});}_0x2cfd2e[_0x544365(0x9b2)]=[],_0x2cfd2e[_0x544365(0x5e9)]=_0x13d19b,_0x2cfd2e['deleteFieldConfirm']=_0x4b5ab2,_0x2cfd2e[_0x544365(0xe40)]=_0x290bcc;function _0x13d19b(_0x288cec,_0x123c99){const _0x4361c7=_0x544365;_0x391c3d[_0x4361c7(0xe27)]({'controller':_0x4361c7(0x15a0),'controllerAs':'vm','templateUrl':_0x1c91d0,'parent':angular[_0x4361c7(0x1853)](_0xf6f856['body']),'targetEvent':_0x288cec,'clickOutsideToClose':!![],'locals':{'type':_0x4361c7(0x2198),'item':_0x123c99,'items':_0x2cfd2e[_0x4361c7(0x355)],'configuration':_0x2cfd2e[_0x4361c7(0x10be)],'account':_0x2cfd2e['freshdeskAccount'],'license':null,'setting':null,'crudPermissions':_0x2cfd2e[_0x4361c7(0x1b1a)]}});}function _0x464e42(_0x171c5b){const _0x1d27a7=_0x544365;_0x2b708f[_0x1d27a7(0x1830)][_0x1d27a7(0x111d)]({'id':_0x171c5b['id']})[_0x1d27a7(0x1d77)][_0x1d27a7(0x1cb0)](function(){const _0x40c150=_0x1d27a7;_0x39641b()[_0x40c150(0x152a)](_0x2cfd2e[_0x40c150(0x355)],{'id':_0x171c5b['id']}),_0x8deb24[_0x40c150(0x829)]({'title':_0x40c150(0xd6b),'msg':_0x40c150(0x2688)});})[_0x1d27a7(0x1c4)](function(_0x3fda30){const _0x2ad86b=_0x1d27a7;_0x8deb24[_0x2ad86b(0x218e)]({'title':_0x3fda30[_0x2ad86b(0x291)]?_0x2ad86b(0xeb9)+_0x3fda30[_0x2ad86b(0x291)]+_0x2ad86b(0x1657)+_0x3fda30['statusText']:_0x2ad86b(0x13e5),'msg':_0x3fda30['data']?JSON[_0x2ad86b(0x2701)](_0x3fda30[_0x2ad86b(0x25c)]):_0x3fda30['toString']()});});}function _0x4b5ab2(_0x22a4cb,_0xe46045){const _0x371e88=_0x544365,_0x374296=_0x391c3d[_0x371e88(0x1551)]()[_0x371e88(0x1386)](_0x371e88(0x21a9))[_0x371e88(0x49e)](_0x371e88(0xf1d)+'\x20will\x20be\x20deleted.')[_0x371e88(0x15ad)](_0x371e88(0x21ee))[_0x371e88(0x728)](_0x22a4cb)['ok']('OK')[_0x371e88(0x696)](_0x371e88(0x24ba));_0x391c3d[_0x371e88(0xe27)](_0x374296)['then'](function(){_0x464e42(_0xe46045);},function(){const _0x2bac1=_0x371e88;console[_0x2bac1(0x1b4f)](_0x2bac1(0x24ba));});}function _0x290bcc(_0x5e1055){const _0x3c9288=_0x544365,_0x28dc71=_0x391c3d[_0x3c9288(0x1551)]()[_0x3c9288(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20fields?')['htmlContent'](_0x3c9288(0x204d)+_0x2cfd2e['selectedFields'][_0x3c9288(0xfd0)]+'\x20selected'+_0x3c9288(0x1b6))[_0x3c9288(0x15ad)](_0x3c9288(0x199d))[_0x3c9288(0x728)](_0x5e1055)['ok']('OK')[_0x3c9288(0x696)](_0x3c9288(0x24ba));_0x391c3d[_0x3c9288(0xe27)](_0x28dc71)[_0x3c9288(0x1cb0)](function(){const _0x3e73c4=_0x3c9288;_0x2cfd2e[_0x3e73c4(0x9b2)][_0x3e73c4(0xf90)](function(_0xcbb03b){_0x464e42(_0xcbb03b);}),_0x2cfd2e[_0x3e73c4(0x9b2)]=[];});}}const _0x400822=_0x4a860d;;_0x59e612['$inject']=['$scope',_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q','$translate',_0x5537c6(0x9bf),_0x5537c6(0xa06),_0x5537c6(0x10be),_0x5537c6(0x142b),'Auth',_0x5537c6(0x8a5),'setting','crudPermissions'];function _0x59e612(_0x1ef380,_0x3c2c43,_0x114852,_0x32252a,_0x54ff1a,_0x1ab221,_0x20ac31,_0x1adc00,_0x5c1ab6,_0x586216,_0x2f5cc0,_0x1f12c3,_0x36e12c,_0xf4632a){const _0x56d033=_0x5537c6,_0x428bb3=this;_0x428bb3[_0x56d033(0xe76)]=_0x2f5cc0[_0x56d033(0x21e8)](),_0x428bb3[_0x56d033(0x1a7c)]=[],_0x428bb3[_0x56d033(0x9ca)]=_0x36e12c,_0x428bb3[_0x56d033(0x8a5)]=_0x1f12c3,_0x428bb3[_0x56d033(0x1b1a)]=_0xf4632a,_0x428bb3[_0x56d033(0xf4c)]={},_0x428bb3[_0x56d033(0x1b0c)]=_0x428bb3[_0x56d033(0x9ca)]&&_0x428bb3[_0x56d033(0x9ca)][_0x56d033(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x428bb3[_0x56d033(0x1386)]=_0x56d033(0xe81),_0x428bb3[_0x56d033(0x10be)]=angular[_0x56d033(0x17fe)](_0x5c1ab6),_0x428bb3[_0x56d033(0xa06)]=_0x1adc00,_0x428bb3[_0x56d033(0x1008)]=![];!_0x428bb3[_0x56d033(0x10be)]&&(_0x428bb3['freshdeskConfiguration']={'channel':_0x56d033(0x1fd4),'type':_0x56d033(0x26c0)},_0x428bb3[_0x56d033(0x1386)]=_0x56d033(0x272e),_0x428bb3[_0x56d033(0x1008)]=!![]);_0x428bb3[_0x56d033(0x10cd)]=_0x18a147,_0x428bb3['saveFreshdeskConfiguration']=_0x1733fd,_0x428bb3[_0x56d033(0x5ef)]=_0x448f13,_0x428bb3[_0x56d033(0x2c4)]=_0x1964a1,_0x428bb3['closeDialog']=_0x346396;function _0x18a147(){const _0x527e7b=_0x56d033;_0x428bb3[_0x527e7b(0x1a7c)]=[],_0x586216[_0x527e7b(0x2317)][_0x527e7b(0x1070)]({'id':_0x3c2c43['params']['id']},_0x428bb3[_0x527e7b(0x10be)])[_0x527e7b(0x1d77)][_0x527e7b(0x1cb0)](function(_0x23f3f4){const _0x41e961=_0x527e7b;_0x428bb3[_0x41e961(0xa06)][_0x41e961(0xf63)](_0x23f3f4[_0x41e961(0x19b2)]()),_0x20ac31[_0x41e961(0x829)]({'title':'FreshdeskConfiguration\x20properly\x20created','msg':_0x428bb3[_0x41e961(0x10be)][_0x41e961(0x16b6)]?_0x428bb3[_0x41e961(0x10be)][_0x41e961(0x16b6)]+_0x41e961(0x470):''}),_0x346396(_0x23f3f4);})['catch'](function(_0x3a3f8d){const _0x1c3c00=_0x527e7b;if(_0x3a3f8d[_0x1c3c00(0x25c)]&&_0x3a3f8d['data']['errors']&&_0x3a3f8d[_0x1c3c00(0x25c)][_0x1c3c00(0x1a7c)][_0x1c3c00(0xfd0)]){_0x428bb3['errors']=_0x3a3f8d[_0x1c3c00(0x25c)]['errors']||[{'message':_0x3a3f8d[_0x1c3c00(0x147f)](),'type':_0x1c3c00(0x54c)}];for(let _0x55fa7d=0x0;_0x55fa7d<_0x3a3f8d[_0x1c3c00(0x25c)][_0x1c3c00(0x1a7c)][_0x1c3c00(0xfd0)];_0x55fa7d+=0x1){_0x20ac31[_0x1c3c00(0x218e)]({'title':_0x3a3f8d[_0x1c3c00(0x25c)][_0x1c3c00(0x1a7c)][_0x55fa7d][_0x1c3c00(0x66a)],'msg':_0x3a3f8d[_0x1c3c00(0x25c)][_0x1c3c00(0x1a7c)][_0x55fa7d][_0x1c3c00(0x155e)]});}}else _0x20ac31[_0x1c3c00(0x218e)]({'title':_0x3a3f8d[_0x1c3c00(0x291)]?_0x1c3c00(0xeb9)+_0x3a3f8d[_0x1c3c00(0x291)]+_0x1c3c00(0x1657)+_0x3a3f8d['statusText']:_0x1c3c00(0x54c),'msg':_0x3a3f8d[_0x1c3c00(0x25c)]?JSON[_0x1c3c00(0x2701)](_0x3a3f8d['data'][_0x1c3c00(0x155e)]):_0x3a3f8d[_0x1c3c00(0x147f)]()});});}function _0x1733fd(){const _0x4ee729=_0x56d033;_0x428bb3['errors']=[],_0x586216[_0x4ee729(0x29c2)][_0x4ee729(0x687)]({'id':_0x428bb3[_0x4ee729(0x10be)]['id']},_0x428bb3[_0x4ee729(0x10be)])[_0x4ee729(0x1d77)]['then'](function(_0x2bbc8f){const _0x96dcfc=_0x4ee729,_0x3ab5a7=_0x39641b()[_0x96dcfc(0x13b4)](_0x428bb3['configurations'],{'id':_0x2bbc8f['id']});_0x3ab5a7&&_0x39641b()[_0x96dcfc(0x9c1)](_0x3ab5a7,_0x39641b()['pick'](_0x2bbc8f[_0x96dcfc(0x19b2)](),_0x39641b()[_0x96dcfc(0x1be5)](_0x3ab5a7))),_0x20ac31[_0x96dcfc(0x829)]({'title':'FreshdeskConfiguration\x20properly\x20saved!','msg':_0x428bb3[_0x96dcfc(0x10be)][_0x96dcfc(0x16b6)]?_0x428bb3[_0x96dcfc(0x10be)][_0x96dcfc(0x16b6)]+_0x96dcfc(0xedb):''}),_0x346396(_0x2bbc8f);})[_0x4ee729(0x1c4)](function(_0x30ca4d){const _0x501996=_0x4ee729;if(_0x30ca4d[_0x501996(0x25c)]&&_0x30ca4d['data']['errors']&&_0x30ca4d[_0x501996(0x25c)][_0x501996(0x1a7c)][_0x501996(0xfd0)]){_0x428bb3['errors']=_0x30ca4d[_0x501996(0x25c)]['errors']||[{'message':_0x30ca4d[_0x501996(0x147f)](),'type':_0x501996(0x1e42)}];for(let _0x14a884=0x0;_0x14a884<_0x30ca4d['data'][_0x501996(0x1a7c)][_0x501996(0xfd0)];_0x14a884++){_0x20ac31[_0x501996(0x218e)]({'title':_0x30ca4d['data']['errors'][_0x14a884][_0x501996(0x66a)],'msg':_0x30ca4d[_0x501996(0x25c)][_0x501996(0x1a7c)][_0x14a884][_0x501996(0x155e)]});}}else _0x20ac31['error']({'title':_0x30ca4d['status']?'API:'+_0x30ca4d[_0x501996(0x291)]+_0x501996(0x1657)+_0x30ca4d[_0x501996(0xc22)]:_0x501996(0x1e42),'msg':_0x30ca4d[_0x501996(0x25c)]?JSON[_0x501996(0x2701)](_0x30ca4d[_0x501996(0x25c)][_0x501996(0x155e)]):_0x30ca4d[_0x501996(0x147f)]()});});}function _0x448f13(_0x199ac2){const _0x109850=_0x56d033;_0x428bb3[_0x109850(0x1a7c)]=[];const _0x2c650c=_0x32252a[_0x109850(0x1551)]()[_0x109850(0x1386)]('Are\x20you\x20sure?')[_0x109850(0x862)]('The\x20freshdeskConfiguration\x20will\x20be\x20deleted.')[_0x109850(0x15ad)](_0x109850(0xb5c))['ok'](_0x109850(0x2594))[_0x109850(0x696)](_0x109850(0xde1))[_0x109850(0x728)](_0x199ac2);_0x32252a[_0x109850(0xe27)](_0x2c650c)[_0x109850(0x1cb0)](function(){const _0x34b9a0=_0x109850;_0x586216[_0x34b9a0(0x29c2)]['delete']({'id':_0x428bb3[_0x34b9a0(0x10be)]['id']})[_0x34b9a0(0x1d77)][_0x34b9a0(0x1cb0)](function(){const _0x1e754a=_0x34b9a0;_0x39641b()[_0x1e754a(0x152a)](_0x428bb3['configurations'],{'id':_0x428bb3[_0x1e754a(0x10be)]['id']}),_0x20ac31[_0x1e754a(0x829)]({'title':_0x1e754a(0x2122),'msg':(_0x428bb3[_0x1e754a(0x10be)][_0x1e754a(0x16b6)]||_0x1e754a(0x10be))+_0x1e754a(0x3f5)}),_0x346396(_0x428bb3[_0x1e754a(0x10be)]);})[_0x34b9a0(0x1c4)](function(_0x31f28a){const _0x29a88e=_0x34b9a0;if(_0x31f28a[_0x29a88e(0x25c)]&&_0x31f28a[_0x29a88e(0x25c)][_0x29a88e(0x1a7c)]&&_0x31f28a['data'][_0x29a88e(0x1a7c)][_0x29a88e(0xfd0)]){_0x428bb3[_0x29a88e(0x1a7c)]=_0x31f28a[_0x29a88e(0x25c)]['errors']||[{'message':_0x31f28a[_0x29a88e(0x147f)](),'type':'api.intFreshdeskConfiguration.delete'}];for(let _0x4394d4=0x0;_0x4394d4<_0x31f28a[_0x29a88e(0x25c)]['errors'][_0x29a88e(0xfd0)];_0x4394d4++){_0x20ac31[_0x29a88e(0x218e)]({'title':_0x31f28a[_0x29a88e(0x25c)][_0x29a88e(0x1a7c)][_0x4394d4][_0x29a88e(0x66a)],'msg':_0x31f28a[_0x29a88e(0x25c)][_0x29a88e(0x1a7c)][_0x4394d4]['message']});}}else _0x20ac31[_0x29a88e(0x218e)]({'title':_0x31f28a[_0x29a88e(0x291)]?_0x29a88e(0xeb9)+_0x31f28a[_0x29a88e(0x291)]+_0x29a88e(0x1657)+_0x31f28a['statusText']:_0x29a88e(0x572),'msg':_0x31f28a[_0x29a88e(0x25c)]?JSON['stringify'](_0x31f28a[_0x29a88e(0x25c)][_0x29a88e(0x155e)]):_0x31f28a[_0x29a88e(0x155e)]||_0x31f28a['toString']()});});},function(){});}function _0x1964a1(_0x4ea277){return _0x4ea277===null?undefined:new Date(_0x4ea277);}function _0x346396(_0x2bcea8){const _0x2929cc=_0x56d033;_0x32252a[_0x2929cc(0x1426)](_0x2bcea8);}}const _0x5ca787=_0x59e612;;_0x9e986e[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x9bf),_0x5537c6(0x2718),_0x5537c6(0x122f),_0x5537c6(0x583),_0x5537c6(0x1539),'type',_0x5537c6(0x142b),_0x5537c6(0x1774),'crudPermissions'];function _0x9e986e(_0x55826,_0x50c9d5,_0x156dd4,_0x391414,_0x1a8dae,_0x32bfc4,_0xb5acc4,_0xcbafe7,_0x54dff6,_0x9ba7fd,_0x39add4,_0x12b539,_0x118acc){const _0x66397f=_0x5537c6,_0x5c4bce=this;_0x5c4bce[_0x66397f(0xe76)]=_0x12b539[_0x66397f(0x21e8)](),_0x5c4bce['errors']=[],_0x5c4bce[_0x66397f(0x1386)]=_0x66397f(0xf08)+_0x39641b()[_0x66397f(0x1002)](_0x9ba7fd),_0x5c4bce[_0x66397f(0x583)]=angular[_0x66397f(0x17fe)](_0xcbafe7),_0x5c4bce['items']=_0xb5acc4,_0x5c4bce[_0x66397f(0x66a)]=_0x9ba7fd,_0x5c4bce[_0x66397f(0x1b1a)]=_0x118acc,_0x5c4bce['newItem']=![];!_0x5c4bce[_0x66397f(0x583)]&&(_0x5c4bce[_0x66397f(0x583)]={'type':_0x66397f(0x1518)},_0x5c4bce[_0x66397f(0x583)][_0x39641b()[_0x66397f(0x432)](_0x9ba7fd)+'Id']=_0x32bfc4['id'],_0x5c4bce[_0x66397f(0x1386)]='INTEGRATIONS.NEW_'+_0x39641b()[_0x66397f(0x1002)](_0x9ba7fd),_0x5c4bce[_0x66397f(0x1202)]=!![]);_0x5c4bce['addNewItem']=_0x222041,_0x5c4bce[_0x66397f(0x1a78)]=_0x3dbdb0,_0x5c4bce['deleteItem']=_0x49b2fd,_0x5c4bce[_0x66397f(0x2f6)]=_0x3d5814,_0x5c4bce['closeDialog']=_0x2c6bba,_0x39add4[_0x66397f(0x1822)][_0x66397f(0xbf7)]({'nolimit':!![]})['$promise'][_0x66397f(0x1cb0)](function(_0x472e75){const _0x2f45b6=_0x66397f;return _0x5c4bce[_0x2f45b6(0x9a9)]=_0x472e75[_0x2f45b6(0x2214)]?_0x472e75['rows']:[],_0x39add4[_0x2f45b6(0x247a)][_0x2f45b6(0x1e57)]()[_0x2f45b6(0x1d77)];})[_0x66397f(0x1cb0)](function(_0x1a35e4){const _0x55cd69=_0x66397f;return _0x5c4bce[_0x55cd69(0xbf1)]=_0x39641b()[_0x55cd69(0x91f)](_0x39641b()[_0x55cd69(0x1be5)](_0x1a35e4),[_0x55cd69(0x1d77),_0x55cd69(0x248e),'createdAt',_0x55cd69(0x27ba),_0x55cd69(0x1867)]),_0x5c4bce[_0x55cd69(0xbf1)][_0x55cd69(0x2785)](_0x55cd69(0x2110)),_0x39add4['voiceDialReport'][_0x55cd69(0x1e57)]()['$promise'];})[_0x66397f(0x1cb0)](function(_0x536095){const _0x57a38e=_0x66397f;_0x5c4bce['voiceDialReportColumns']=_0x39641b()[_0x57a38e(0x91f)](_0x39641b()['keys'](_0x536095),[_0x57a38e(0x1d77),_0x57a38e(0x248e),_0x57a38e(0x24cb),_0x57a38e(0x27ba),_0x57a38e(0x1867)]),_0x5c4bce[_0x57a38e(0x1639)][_0x57a38e(0x2785)]('recordingURL');})[_0x66397f(0x1c4)](function(_0x376ed0){const _0x3bfa19=_0x66397f;_0x1a8dae[_0x3bfa19(0x218e)]({'title':_0x376ed0[_0x3bfa19(0x291)]?_0x3bfa19(0xeb9)+_0x376ed0['status']+'\x20-\x20'+_0x376ed0[_0x3bfa19(0xc22)]:_0x3bfa19(0x262e),'msg':_0x376ed0[_0x3bfa19(0x25c)]?JSON[_0x3bfa19(0x2701)](_0x376ed0[_0x3bfa19(0x25c)]):_0x376ed0[_0x3bfa19(0x147f)]()});}),_0x39add4['intFreshdeskAccount']['getFields']({'id':_0x54dff6['id'],'ticketType':_0x32bfc4[_0x66397f(0x161)]?_0x32bfc4[_0x66397f(0x161)]:undefined})[_0x66397f(0x1d77)][_0x66397f(0x1cb0)](function(_0x12f68a){const _0xd6f649=_0x66397f;_0x5c4bce['accountConnected']=!![],_0x5c4bce[_0xd6f649(0x355)]=_0x12f68a[_0xd6f649(0x2214)]?_0x12f68a['rows']:[],_0x5c4bce['item'][_0xd6f649(0x1e50)]&&(_0x5c4bce[_0xd6f649(0x1d5a)]=_0x39641b()[_0xd6f649(0x13b4)](_0x5c4bce[_0xd6f649(0x355)],{'id':_0x5c4bce[_0xd6f649(0x583)]['idField']}));})[_0x66397f(0x1c4)](function(_0x4effd2){const _0x23fe8b=_0x66397f;_0x5c4bce[_0x23fe8b(0x1a28)]=![],console[_0x23fe8b(0x218e)](_0x4effd2);});function _0x222041(){const _0x1a3d05=_0x66397f;_0x5c4bce[_0x1a3d05(0x1a7c)]=[],_0x5c4bce[_0x1a3d05(0x1d5a)]&&(_0x5c4bce[_0x1a3d05(0x583)][_0x1a3d05(0x1e50)]=_0x5c4bce[_0x1a3d05(0x1d5a)]['id'],_0x5c4bce['item'][_0x1a3d05(0xc1f)]=_0x5c4bce['customField'][_0x1a3d05(0x16b6)],_0x5c4bce['item'][_0x1a3d05(0x1d5a)]=_0x5c4bce[_0x1a3d05(0x1d5a)][_0x1a3d05(0x197c)]),_0x39add4[_0x1a3d05(0x1830)][_0x1a3d05(0x1c3f)](_0x5c4bce[_0x1a3d05(0x583)])[_0x1a3d05(0x1d77)]['then'](function(_0x1c2066){const _0x67e602=_0x1a3d05;_0x5c4bce[_0x67e602(0x122f)][_0x67e602(0xf63)](_0x1c2066),_0x1a8dae[_0x67e602(0x829)]({'title':_0x39641b()[_0x67e602(0x432)](_0x9ba7fd)+'\x20properly\x20created','msg':_0x39641b()[_0x67e602(0x432)](_0x9ba7fd)+_0x67e602(0x470)}),_0x2c6bba();})[_0x1a3d05(0x1c4)](function(_0x40b589){const _0x584757=_0x1a3d05;console['error'](_0x40b589),_0x5c4bce[_0x584757(0x1a7c)]=_0x40b589[_0x584757(0x25c)][_0x584757(0x1a7c)]||[{'message':_0x40b589[_0x584757(0x147f)](),'type':_0x584757(0x1876)}];});}function _0x3dbdb0(){const _0x4b4890=_0x66397f;_0x5c4bce['errors']=[],_0x5c4bce['customField']&&(_0x5c4bce[_0x4b4890(0x583)][_0x4b4890(0x1e50)]=_0x5c4bce[_0x4b4890(0x1d5a)]['id'],_0x5c4bce['item']['nameField']=_0x5c4bce[_0x4b4890(0x1d5a)][_0x4b4890(0x16b6)],_0x5c4bce[_0x4b4890(0x583)]['customField']=_0x5c4bce[_0x4b4890(0x1d5a)][_0x4b4890(0x197c)]),_0x39add4['intFreshdeskField'][_0x4b4890(0x687)]({'id':_0x5c4bce['item']['id']},_0x5c4bce[_0x4b4890(0x583)])[_0x4b4890(0x1d77)][_0x4b4890(0x1cb0)](function(_0xd12b2a){const _0x272bdd=_0x4b4890,_0x1d30b0=_0x39641b()['find'](_0x5c4bce['items'],{'id':_0xd12b2a['id']});_0x1d30b0&&_0x39641b()[_0x272bdd(0x9c1)](_0x1d30b0,_0xd12b2a),_0x1a8dae[_0x272bdd(0x829)]({'title':_0x39641b()[_0x272bdd(0x432)](_0x9ba7fd)+_0x272bdd(0xaf6),'msg':_0x39641b()[_0x272bdd(0x432)](_0x9ba7fd)+_0x272bdd(0xedb)}),_0x2c6bba();})[_0x4b4890(0x1c4)](function(_0x37c532){const _0x258978=_0x4b4890;console[_0x258978(0x218e)](_0x37c532),_0x5c4bce[_0x258978(0x1a7c)]=_0x37c532[_0x258978(0x25c)]['errors']||[{'message':_0x37c532[_0x258978(0x147f)](),'type':_0x258978(0x197e)}];});}function _0x49b2fd(_0x33b35e){const _0x3e2db9=_0x66397f;_0x5c4bce['errors']=[];const _0x21efc6=_0x391414[_0x3e2db9(0x1551)]()[_0x3e2db9(0x1386)]('Are\x20you\x20sure?')[_0x3e2db9(0x862)](_0x3e2db9(0xd4c)+_0x9ba7fd+_0x3e2db9(0x1b6))[_0x3e2db9(0x15ad)](_0x3e2db9(0x1f6a)+_0x39641b()['capitalize'](_0x9ba7fd))['ok']('Delete')[_0x3e2db9(0x696)](_0x3e2db9(0xde1))['targetEvent'](_0x33b35e);_0x391414[_0x3e2db9(0xe27)](_0x21efc6)['then'](function(){const _0x3de07b=_0x3e2db9;_0x39add4['intFreshdeskField']['delete']({'id':_0x5c4bce[_0x3de07b(0x583)]['id']})['$promise']['then'](function(){const _0x4f7494=_0x3de07b;_0x39641b()[_0x4f7494(0x152a)](_0x5c4bce[_0x4f7494(0x122f)],{'id':_0x5c4bce[_0x4f7494(0x583)]['id']}),_0x1a8dae['success']({'title':_0x39641b()[_0x4f7494(0x432)](_0x9ba7fd)+'\x20properly\x20deleted!','msg':_0x39641b()[_0x4f7494(0x432)](_0x9ba7fd)+_0x4f7494(0x3f5)}),_0x2c6bba();})[_0x3de07b(0x1c4)](function(_0x1fa27e){const _0x49556c=_0x3de07b;console[_0x49556c(0x218e)](_0x1fa27e),_0x5c4bce['errors']=_0x1fa27e[_0x49556c(0x25c)][_0x49556c(0x1a7c)]||[{'message':_0x1fa27e[_0x49556c(0x147f)](),'type':_0x49556c(0x10e6)}];});},function(){});}function _0x3d5814(){const _0x56e82f=_0x66397f;return _0x5c4bce[_0x56e82f(0x583)]['variableName']!==undefined?_0x5c4bce[_0x56e82f(0x583)][_0x56e82f(0x1e71)]:_0x56e82f(0x7c8);}function _0x2c6bba(){const _0x2e6e69=_0x66397f;_0x391414[_0x2e6e69(0x1426)]();}}const _0x1e980e=_0x9e986e;;_0x370e66['$inject']=[_0x5537c6(0x406),'$location',_0x5537c6(0xcb9),'$document',_0x5537c6(0x1ae),'license',_0x5537c6(0x9ca),'api',_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x2065),_0x5537c6(0x2199)];function _0x370e66(_0x2879df,_0x2a0bce,_0x4e81e5,_0x4c3a85,_0x98c277,_0x48262b,_0x592eda,_0xfa2c91,_0x169be1,_0x48288b,_0x2a13ea,_0x278679){const _0x4139ac=_0x5537c6,_0x59d413=this;_0x59d413[_0x4139ac(0xe76)]=_0x48288b[_0x4139ac(0x21e8)](),_0x59d413[_0x4139ac(0x8a5)]=_0x48262b,_0x59d413[_0x4139ac(0x9ca)]=_0x592eda,_0x59d413['passwordPattern']=_0x59d413[_0x4139ac(0x9ca)][_0x4139ac(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x59d413[_0x4139ac(0x2404)]=_0x2a0bce[_0x4139ac(0x2276)]()+_0x4139ac(0x138b)+_0x2a0bce[_0x4139ac(0x17d8)](),_0x59d413[_0x4139ac(0x2065)]=_0x2a13ea||_0x2879df[_0x4139ac(0x1dfe)][_0x4139ac(0x2065)]||{},_0x59d413['userProfileSection']=_0x278679&&_0x278679[_0x4139ac(0x184d)]==0x1?_0x278679[_0x4139ac(0x2214)][0x0]:null,_0x59d413['crudPermissions']=_0x48288b[_0x4139ac(0x14ea)](_0x59d413[_0x4139ac(0x2199)]?_0x59d413[_0x4139ac(0x2199)][_0x4139ac(0x1b1a)]:null),_0x59d413['hasModulePermissions']={},_0x59d413[_0x4139ac(0x8ec)]=_0x2879df['params']['tab']||0x0,_0x59d413['alert']=_0x169be1[_0x4139ac(0x28c7)],_0x59d413[_0x4139ac(0x16f7)]=_0x385121,_0x59d413['saveFreshdeskAccount']=_0x369f93;function _0x385121(){const _0x3eabf2=_0x4139ac;_0x2879df['go']('app.integrations.freshdeskAccounts',{},{'reload':_0x3eabf2(0x905)});}function _0x369f93(){const _0x24e357=_0x4139ac;_0xfa2c91[_0x24e357(0x2317)][_0x24e357(0x687)]({'id':_0x59d413[_0x24e357(0x2065)]['id']},_0x59d413['freshdeskAccount'])[_0x24e357(0x1d77)][_0x24e357(0x1cb0)](function(){const _0x4ff513=_0x24e357;_0x169be1[_0x4ff513(0x829)]({'title':_0x4ff513(0xc3d),'msg':_0x59d413[_0x4ff513(0x2065)][_0x4ff513(0x16b6)]?_0x59d413['freshdeskAccount'][_0x4ff513(0x16b6)]+_0x4ff513(0x1068):''});})['catch'](function(_0x46b830){const _0x4d743c=_0x24e357;_0x169be1[_0x4d743c(0x218e)]({'title':_0x46b830[_0x4d743c(0x291)]?_0x4d743c(0xeb9)+_0x46b830[_0x4d743c(0x291)]+_0x4d743c(0x1657)+_0x46b830[_0x4d743c(0xc22)]:_0x4d743c(0xab8),'msg':_0x46b830[_0x4d743c(0x25c)]?JSON[_0x4d743c(0x2701)](_0x46b830[_0x4d743c(0x25c)]):_0x46b830['toString']()});});}}const _0x2ae30f=_0x370e66;;const _0x184866=_0x5074a3['p']+_0x5537c6(0x1d0e);;_0x38c570['$inject']=['$scope',_0x5537c6(0x1f10),'$state','$mdSidenav',_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0x8aa),_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x142b),_0x5537c6(0xde8),_0x5537c6(0x9bf),'Auth',_0x5537c6(0x8a5),'setting'];function _0x38c570(_0x208c60,_0x2ffdf7,_0x17b2b2,_0x2fb73a,_0x9bd7e6,_0x11d67f,_0x52e631,_0x11e189,_0x14034e,_0x451cf2,_0x4f329a,_0x4a7c71,_0x125b86,_0x5158ab,_0x3f1d6e,_0x306783,_0x408891){const _0x420339=_0x5537c6,_0x1255b3=this;_0x1255b3[_0x420339(0x8a5)]=_0x306783,_0x1255b3[_0x420339(0x9ca)]=_0x408891,_0x1255b3[_0x420339(0xe76)]=_0x3f1d6e[_0x420339(0x21e8)](),_0x1255b3[_0x420339(0x8aa)]=_0x14034e||{'count':0x0,'rows':[]},_0x1255b3['userProfile']=_0x451cf2,_0x1255b3['userProfileSection']=_0x4f329a&&_0x4f329a[_0x420339(0x184d)]==0x1?_0x4f329a[_0x420339(0x2214)][0x0]:null,_0x1255b3['crudPermissions']=_0x3f1d6e['parseCrudPermissions'](_0x1255b3[_0x420339(0x2199)]?_0x1255b3[_0x420339(0x2199)][_0x420339(0x1b1a)]:null),_0x1255b3[_0x420339(0xc83)]='freshdeskAccounts',_0x1255b3[_0x420339(0x1d20)]='',_0x1255b3[_0x420339(0x1cdf)]=null,_0x1255b3[_0x420339(0x203c)]=[],_0x1255b3[_0x420339(0xae2)]={'fields':_0x420339(0x4d0),'limit':0xa,'page':0x1},_0x1255b3[_0x420339(0x235d)]=_0x602051,_0x1255b3['testtestintegrationaccount']=_0x11ab2b,_0x1255b3['deleteconfirm']=_0x51ae0f,_0x1255b3['success']=_0x5f3aea,_0x1255b3[_0x420339(0x161e)]=_0x1d74be,_0x1255b3['createOrEditFreshdeskAccount']=_0x43c714,_0x1255b3[_0x420339(0x1934)]=_0x207886,_0x1255b3['exportSelectedFreshdeskAccounts']=_0x119320,_0x1255b3[_0x420339(0x2946)]=_0x5e3c98,_0x1255b3[_0x420339(0x2975)]=_0x3b5c49,_0x1255b3['selectAllFreshdeskAccounts']=_0x42b4d2;function _0x602051(_0x284735){const _0x45e908=_0x420339;_0x17b2b2['go'](_0x45e908(0x1df6),{'id':_0x284735['id'],'freshdeskAccount':_0x284735,'crudPermissions':_0x1255b3[_0x45e908(0x1b1a)]});}function _0x11ab2b(_0x1a07b2){const _0x37bfca=_0x420339;return _0x4a7c71[_0x37bfca(0x2317)][_0x37bfca(0xc84)]({'id':_0x1a07b2['id'],'test':!![]})[_0x37bfca(0x1d77)]['then'](function(){const _0x48b20e=_0x37bfca;_0x5158ab[_0x48b20e(0x829)]({'title':'FreshdeskAccount\x20properly\x20tested','msg':_0x48b20e(0x1bca)});})['catch'](function(_0x12e10d){const _0x3f5bc8=_0x37bfca;_0x5158ab[_0x3f5bc8(0x218e)]({'title':_0x3f5bc8(0x24a3),'msg':_0x12e10d[_0x3f5bc8(0x25c)]?_0x12e10d['data'][_0x3f5bc8(0x155e)]?_0x12e10d[_0x3f5bc8(0x25c)][_0x3f5bc8(0x155e)]:JSON[_0x3f5bc8(0x2701)](_0x12e10d[_0x3f5bc8(0x25c)]):_0x12e10d[_0x3f5bc8(0x147f)]()});});}function _0x51ae0f(_0x4f81d3,_0x14c8f0){const _0x4c4134=_0x420339,_0x2be1fa=_0x9bd7e6[_0x4c4134(0x1551)]()[_0x4c4134(0x1386)](_0x4c4134(0x140b)+_0x39641b()[_0x4c4134(0xa75)](_0x4c4134(0x2065))+'?')[_0x4c4134(0x49e)](_0x4c4134(0x204d)+(_0x4f81d3['name']||'freshdeskAccount')+''+_0x4c4134(0x1b6))[_0x4c4134(0x15ad)](_0x4c4134(0x853))['targetEvent'](_0x14c8f0)['ok']('OK')[_0x4c4134(0x696)](_0x4c4134(0x24ba));_0x9bd7e6['show'](_0x2be1fa)[_0x4c4134(0x1cb0)](function(){_0x207886(_0x4f81d3);},function(){const _0x5e2652=_0x4c4134;console[_0x5e2652(0x1b4f)](_0x5e2652(0x24ba));});}let _0x3e3018=!![],_0x2c931b=0x1;_0x208c60[_0x420339(0x614)](_0x420339(0x957),function(_0x22f354,_0x5eb57f){const _0x224f10=_0x420339;_0x3e3018?_0x52e631(function(){_0x3e3018=![];}):(!_0x5eb57f&&(_0x2c931b=_0x1255b3[_0x224f10(0xae2)]['page']),_0x22f354!==_0x5eb57f&&(_0x1255b3[_0x224f10(0xae2)]['page']=0x1),!_0x22f354&&(_0x1255b3[_0x224f10(0xae2)][_0x224f10(0x1c7b)]=_0x2c931b),_0x1255b3[_0x224f10(0x161e)]());});function _0x5f3aea(_0x472c4c){const _0x12212b=_0x420339;_0x1255b3[_0x12212b(0x8aa)]=_0x472c4c||{'count':0x0,'rows':[]};}function _0x1d74be(){const _0xfcf655=_0x420339;_0x1255b3['query'][_0xfcf655(0x184b)]=(_0x1255b3[_0xfcf655(0xae2)][_0xfcf655(0x1c7b)]-0x1)*_0x1255b3[_0xfcf655(0xae2)][_0xfcf655(0x236)],_0x3f1d6e[_0xfcf655(0x22b6)](_0xfcf655(0x1c60))?_0x1255b3[_0xfcf655(0x2061)]=_0x4a7c71[_0xfcf655(0x2317)]['get'](_0x1255b3[_0xfcf655(0xae2)],_0x5f3aea)[_0xfcf655(0x1d77)]:(_0x1255b3[_0xfcf655(0xae2)]['id']=_0x1255b3[_0xfcf655(0x44a)]['id'],_0x1255b3['query']['section']=_0xfcf655(0x7f7),_0x1255b3[_0xfcf655(0x2061)]=_0x4a7c71[_0xfcf655(0x44a)][_0xfcf655(0x1810)](_0x1255b3[_0xfcf655(0xae2)],_0x5f3aea)['$promise']);}function _0x43c714(_0x1fb6a6,_0xd4807a){const _0x367f22=_0x420339;_0x9bd7e6[_0x367f22(0xe27)]({'controller':_0x367f22(0x2ca),'controllerAs':'vm','templateUrl':_0x184866,'parent':angular[_0x367f22(0x1853)](_0x11d67f['body']),'targetEvent':_0x1fb6a6,'clickOutsideToClose':!![],'locals':{'freshdeskAccount':_0xd4807a,'freshdeskAccounts':_0x1255b3[_0x367f22(0x8aa)]['rows'],'license':_0x1255b3[_0x367f22(0x8a5)],'setting':_0x1255b3[_0x367f22(0x9ca)],'crudPermissions':_0x1255b3[_0x367f22(0x1b1a)]}});}function _0x207886(_0x5a4624){const _0x523940=_0x420339;_0x4a7c71['intFreshdeskAccount'][_0x523940(0x111d)]({'id':_0x5a4624['id']})[_0x523940(0x1d77)][_0x523940(0x1cb0)](function(){const _0x114a99=_0x523940;_0x39641b()[_0x114a99(0x152a)](_0x1255b3['freshdeskAccounts'][_0x114a99(0x2214)],{'id':_0x5a4624['id']}),_0x1255b3[_0x114a99(0x8aa)][_0x114a99(0x184d)]-=0x1,!_0x1255b3['freshdeskAccounts'][_0x114a99(0x2214)]['length']&&_0x1255b3[_0x114a99(0x161e)](),_0x5158ab[_0x114a99(0x829)]({'title':_0x39641b()[_0x114a99(0xa75)]('FreshdeskAccount')+_0x114a99(0x2663),'msg':_0x5a4624[_0x114a99(0x16b6)]?_0x5a4624[_0x114a99(0x16b6)]+_0x114a99(0x3f5):''});})[_0x523940(0x1c4)](function(_0x2757f7){const _0x381b61=_0x523940;if(_0x2757f7[_0x381b61(0x25c)]&&_0x2757f7[_0x381b61(0x25c)][_0x381b61(0x1a7c)]&&_0x2757f7[_0x381b61(0x25c)][_0x381b61(0x1a7c)][_0x381b61(0xfd0)]){_0x1255b3['errors']=_0x2757f7[_0x381b61(0x25c)]['errors']||[{'message':_0x2757f7[_0x381b61(0x147f)](),'type':_0x381b61(0x277b)}];for(let _0x3ac2b3=0x0;_0x3ac2b3<_0x2757f7[_0x381b61(0x25c)][_0x381b61(0x1a7c)][_0x381b61(0xfd0)];_0x3ac2b3++){_0x5158ab[_0x381b61(0x218e)]({'title':_0x2757f7[_0x381b61(0x25c)][_0x381b61(0x1a7c)][_0x3ac2b3][_0x381b61(0x66a)],'msg':_0x2757f7[_0x381b61(0x25c)][_0x381b61(0x1a7c)][_0x3ac2b3][_0x381b61(0x155e)]});}}else _0x5158ab[_0x381b61(0x218e)]({'title':_0x2757f7[_0x381b61(0x291)]?_0x381b61(0xeb9)+_0x2757f7[_0x381b61(0x291)]+'\x20-\x20'+_0x2757f7[_0x381b61(0xc22)]:_0x381b61(0x277b),'msg':_0x2757f7[_0x381b61(0x25c)]?JSON['stringify'](_0x2757f7[_0x381b61(0x25c)][_0x381b61(0x155e)]):_0x2757f7[_0x381b61(0x155e)]||_0x2757f7[_0x381b61(0x147f)]()});});}function _0x119320(){const _0x174b5b=_0x420339,_0x3171ab=angular[_0x174b5b(0x17fe)](_0x1255b3[_0x174b5b(0x203c)]);return _0x1255b3[_0x174b5b(0x203c)]=[],_0x3171ab;}function _0x5e3c98(_0x43fd31){const _0xa27d55=_0x420339,_0x5376a0=_0x9bd7e6[_0xa27d55(0x1551)]()['title'](_0xa27d55(0x1083))[_0xa27d55(0x49e)](_0xa27d55(0x204d)+_0x1255b3[_0xa27d55(0x203c)][_0xa27d55(0xfd0)]+_0xa27d55(0x1d6c)+'\x20will\x20be\x20deleted.')[_0xa27d55(0x15ad)]('delete\x20FreshdeskAccounts')[_0xa27d55(0x728)](_0x43fd31)['ok']('OK')[_0xa27d55(0x696)](_0xa27d55(0x24ba));_0x9bd7e6[_0xa27d55(0xe27)](_0x5376a0)[_0xa27d55(0x1cb0)](function(){const _0xf0a81e=_0xa27d55;_0x1255b3[_0xf0a81e(0x203c)]['forEach'](function(_0x8150cc){_0x207886(_0x8150cc);}),_0x1255b3[_0xf0a81e(0x203c)]=[];});}function _0x3b5c49(){const _0x527af2=_0x420339;_0x1255b3[_0x527af2(0x203c)]=[];}function _0x42b4d2(){const _0x1017f9=_0x420339;_0x1255b3[_0x1017f9(0x203c)]=_0x1255b3['freshdeskAccounts']['rows'];}}const _0x514be3=_0x38c570;;_0x54d8d4[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q','$translate','toasty',_0x5537c6(0x1d8),_0x5537c6(0x2779),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x54d8d4(_0x2e1f7e,_0x38dc42,_0x351167,_0x3d6b63,_0x971a01,_0x4c9498,_0x2ff916,_0x200157,_0x47c9f5,_0x3e55c4,_0xfb39a9,_0xd44f70,_0x3cf517,_0x162839){const _0x21be62=_0x5537c6,_0x3b74b7=this;_0x3b74b7[_0x21be62(0xe76)]=_0xfb39a9['getCurrentUser'](),_0x3b74b7['errors']=[],_0x3b74b7[_0x21be62(0x9ca)]=_0x3cf517,_0x3b74b7['license']=_0xd44f70,_0x3b74b7['crudPermissions']=_0x162839,_0x3b74b7[_0x21be62(0xf4c)]={},_0x3b74b7['passwordPattern']=_0x3b74b7['setting']&&_0x3b74b7[_0x21be62(0x9ca)][_0x21be62(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x3b74b7['title']=_0x21be62(0x1798),_0x3b74b7['freshsalesAccount']=angular[_0x21be62(0x17fe)](_0x47c9f5),_0x3b74b7[_0x21be62(0x1d8)]=_0x200157,_0x3b74b7['newFreshsalesAccount']=![];!_0x3b74b7[_0x21be62(0x2779)]&&(_0x3b74b7[_0x21be62(0x2779)]={'serverUrl':_0x351167[_0x21be62(0x2276)]()+'://'+_0x351167[_0x21be62(0x17d8)]()+(_0x351167[_0x21be62(0x477)]()?':'+_0x351167[_0x21be62(0x477)]():'')},_0x3b74b7['title']='INTEGRATIONS.NEW_FRESHSALESACCOUNT',_0x3b74b7[_0x21be62(0x15e5)]=!![]);_0x3b74b7[_0x21be62(0x1fe7)]=_0x135c03,_0x3b74b7[_0x21be62(0x2780)]=_0x2383cd,_0x3b74b7[_0x21be62(0x2434)]=_0x156553,_0x3b74b7[_0x21be62(0x2c4)]=_0x13d71e,_0x3b74b7[_0x21be62(0xda0)]=_0x9c8700;function _0x135c03(){const _0x521d6a=_0x21be62;_0x3b74b7[_0x521d6a(0x1a7c)]=[],_0x3e55c4['intFreshsalesAccount'][_0x521d6a(0x1c3f)](_0x3b74b7[_0x521d6a(0x2779)])[_0x521d6a(0x1d77)][_0x521d6a(0x1cb0)](function(_0x345e9b){const _0x5ab358=_0x521d6a;_0x3b74b7[_0x5ab358(0x1d8)]['unshift'](_0x345e9b[_0x5ab358(0x19b2)]()),_0x2ff916[_0x5ab358(0x829)]({'title':_0x5ab358(0x19c9),'msg':_0x3b74b7['freshsalesAccount'][_0x5ab358(0x16b6)]?_0x3b74b7['freshsalesAccount'][_0x5ab358(0x16b6)]+_0x5ab358(0x470):''}),_0x9c8700(_0x345e9b);})['catch'](function(_0x4c5d85){const _0x21b3d3=_0x521d6a;if(_0x4c5d85['data']&&_0x4c5d85['data'][_0x21b3d3(0x1a7c)]&&_0x4c5d85[_0x21b3d3(0x25c)]['errors'][_0x21b3d3(0xfd0)]){_0x3b74b7['errors']=_0x4c5d85[_0x21b3d3(0x25c)][_0x21b3d3(0x1a7c)]||[{'message':_0x4c5d85[_0x21b3d3(0x147f)](),'type':_0x21b3d3(0xd46)}];for(let _0x3bba52=0x0;_0x3bba52<_0x4c5d85['data']['errors'][_0x21b3d3(0xfd0)];_0x3bba52+=0x1){_0x2ff916[_0x21b3d3(0x218e)]({'title':_0x4c5d85[_0x21b3d3(0x25c)][_0x21b3d3(0x1a7c)][_0x3bba52]['type'],'msg':_0x4c5d85[_0x21b3d3(0x25c)][_0x21b3d3(0x1a7c)][_0x3bba52][_0x21b3d3(0x155e)]});}}else _0x2ff916[_0x21b3d3(0x218e)]({'title':_0x4c5d85[_0x21b3d3(0x291)]?_0x21b3d3(0xeb9)+_0x4c5d85['status']+'\x20-\x20'+_0x4c5d85[_0x21b3d3(0xc22)]:_0x21b3d3(0xd46),'msg':_0x4c5d85[_0x21b3d3(0x25c)]?JSON[_0x21b3d3(0x2701)](_0x4c5d85[_0x21b3d3(0x25c)]['message']):_0x4c5d85['toString']()});});}function _0x2383cd(){const _0x443ae4=_0x21be62;_0x3b74b7[_0x443ae4(0x1a7c)]=[],_0x3e55c4[_0x443ae4(0xc8a)][_0x443ae4(0x687)]({'id':_0x3b74b7[_0x443ae4(0x2779)]['id']},_0x3b74b7[_0x443ae4(0x2779)])[_0x443ae4(0x1d77)][_0x443ae4(0x1cb0)](function(_0x518dbb){const _0x199e35=_0x443ae4,_0x36d142=_0x39641b()[_0x199e35(0x13b4)](_0x3b74b7[_0x199e35(0x1d8)],{'id':_0x518dbb['id']});_0x36d142&&_0x39641b()[_0x199e35(0x9c1)](_0x36d142,_0x39641b()[_0x199e35(0x169b)](_0x518dbb[_0x199e35(0x19b2)](),_0x39641b()[_0x199e35(0x1be5)](_0x36d142))),_0x2ff916[_0x199e35(0x829)]({'title':_0x199e35(0x52c),'msg':_0x3b74b7[_0x199e35(0x2779)]['name']?_0x3b74b7[_0x199e35(0x2779)][_0x199e35(0x16b6)]+'\x20has\x20been\x20saved!':''}),_0x9c8700(_0x518dbb);})[_0x443ae4(0x1c4)](function(_0x2bf47f){const _0x2a5f37=_0x443ae4;if(_0x2bf47f[_0x2a5f37(0x25c)]&&_0x2bf47f['data'][_0x2a5f37(0x1a7c)]&&_0x2bf47f[_0x2a5f37(0x25c)][_0x2a5f37(0x1a7c)][_0x2a5f37(0xfd0)]){_0x3b74b7['errors']=_0x2bf47f[_0x2a5f37(0x25c)][_0x2a5f37(0x1a7c)]||[{'message':_0x2bf47f[_0x2a5f37(0x147f)](),'type':_0x2a5f37(0x554)}];for(let _0x1c77e4=0x0;_0x1c77e4<_0x2bf47f[_0x2a5f37(0x25c)][_0x2a5f37(0x1a7c)][_0x2a5f37(0xfd0)];_0x1c77e4++){_0x2ff916[_0x2a5f37(0x218e)]({'title':_0x2bf47f[_0x2a5f37(0x25c)][_0x2a5f37(0x1a7c)][_0x1c77e4][_0x2a5f37(0x66a)],'msg':_0x2bf47f['data'][_0x2a5f37(0x1a7c)][_0x1c77e4]['message']});}}else _0x2ff916['error']({'title':_0x2bf47f[_0x2a5f37(0x291)]?'API:'+_0x2bf47f[_0x2a5f37(0x291)]+_0x2a5f37(0x1657)+_0x2bf47f['statusText']:'api.intFreshsalesAccount.update','msg':_0x2bf47f[_0x2a5f37(0x25c)]?JSON[_0x2a5f37(0x2701)](_0x2bf47f[_0x2a5f37(0x25c)][_0x2a5f37(0x155e)]):_0x2bf47f[_0x2a5f37(0x147f)]()});});}function _0x156553(_0x1b8af0){const _0x40463e=_0x21be62;_0x3b74b7[_0x40463e(0x1a7c)]=[];const _0x57b0e9=_0x3d6b63['confirm']()['title'](_0x40463e(0x1a2e))[_0x40463e(0x862)](_0x40463e(0x684))[_0x40463e(0x15ad)](_0x40463e(0x92a))['ok'](_0x40463e(0x2594))['cancel'](_0x40463e(0xde1))[_0x40463e(0x728)](_0x1b8af0);_0x3d6b63['show'](_0x57b0e9)[_0x40463e(0x1cb0)](function(){const _0x2a1d5f=_0x40463e;_0x3e55c4[_0x2a1d5f(0xc8a)][_0x2a1d5f(0x111d)]({'id':_0x3b74b7[_0x2a1d5f(0x2779)]['id']})['$promise'][_0x2a1d5f(0x1cb0)](function(){const _0x5cc905=_0x2a1d5f;_0x39641b()['remove'](_0x3b74b7[_0x5cc905(0x1d8)],{'id':_0x3b74b7[_0x5cc905(0x2779)]['id']}),_0x2ff916[_0x5cc905(0x829)]({'title':_0x5cc905(0x1977),'msg':(_0x3b74b7[_0x5cc905(0x2779)][_0x5cc905(0x16b6)]||'freshsalesAccount')+_0x5cc905(0x3f5)}),_0x9c8700(_0x3b74b7[_0x5cc905(0x2779)]);})['catch'](function(_0x2645cb){const _0x267cb2=_0x2a1d5f;if(_0x2645cb[_0x267cb2(0x25c)]&&_0x2645cb[_0x267cb2(0x25c)][_0x267cb2(0x1a7c)]&&_0x2645cb[_0x267cb2(0x25c)][_0x267cb2(0x1a7c)][_0x267cb2(0xfd0)]){_0x3b74b7[_0x267cb2(0x1a7c)]=_0x2645cb[_0x267cb2(0x25c)][_0x267cb2(0x1a7c)]||[{'message':_0x2645cb[_0x267cb2(0x147f)](),'type':'api.intFreshsalesAccount.delete'}];for(let _0x255c93=0x0;_0x255c93<_0x2645cb[_0x267cb2(0x25c)][_0x267cb2(0x1a7c)][_0x267cb2(0xfd0)];_0x255c93++){_0x2ff916['error']({'title':_0x2645cb[_0x267cb2(0x25c)][_0x267cb2(0x1a7c)][_0x255c93][_0x267cb2(0x66a)],'msg':_0x2645cb[_0x267cb2(0x25c)][_0x267cb2(0x1a7c)][_0x255c93][_0x267cb2(0x155e)]});}}else _0x2ff916[_0x267cb2(0x218e)]({'title':_0x2645cb[_0x267cb2(0x291)]?_0x267cb2(0xeb9)+_0x2645cb[_0x267cb2(0x291)]+_0x267cb2(0x1657)+_0x2645cb['statusText']:'api.intFreshsalesAccount.delete','msg':_0x2645cb[_0x267cb2(0x25c)]?JSON[_0x267cb2(0x2701)](_0x2645cb[_0x267cb2(0x25c)][_0x267cb2(0x155e)]):_0x2645cb[_0x267cb2(0x155e)]||_0x2645cb[_0x267cb2(0x147f)]()});});},function(){});}function _0x13d71e(_0x1e77db){return _0x1e77db===null?undefined:new Date(_0x1e77db);}function _0x9c8700(_0x26a6b9){const _0x96161b=_0x21be62;_0x3d6b63[_0x96161b(0x1426)](_0x26a6b9);}}const _0x56b72a=_0x54d8d4;;const _0x4a11fd=_0x5074a3['p']+_0x5537c6(0x1303);;const _0x37af8e=_0x5074a3['p']+'src/js/modules/main/apps/integrations/views/freshsalesAccounts/edit/item/dialog.html/dialog.html';;_0x3c4ccf['$inject']=[_0x5537c6(0xcb9),'$document',_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x1774)];function _0x3c4ccf(_0x14bad7,_0x77dd9f,_0x1c5e8f,_0x14de3b,_0x49aa85){const _0x1f0b85=_0x5537c6,_0x47619e=this;_0x47619e[_0x1f0b85(0xe76)]=_0x49aa85['getCurrentUser'](),_0x47619e[_0x1f0b85(0x2779)]={},_0x47619e[_0x1f0b85(0x1324)]={},_0x47619e[_0x1f0b85(0xa06)]=[],_0x47619e['selectedFreshsalesConfiguration']=-0x1,_0x47619e[_0x1f0b85(0x1b1a)],_0x47619e[_0x1f0b85(0x1a8e)]=_0x3f337a,_0x47619e['getFreshsalesConfiguration']=_0x2a7dc7,_0x47619e[_0x1f0b85(0x9ee)]=_0x19a206,_0x47619e[_0x1f0b85(0xb6a)]=_0x5f189c;function _0x3f337a(_0x5732e4,_0xc0ac13){const _0x1deb1c=_0x1f0b85;_0x47619e[_0x1deb1c(0x2779)]=_0x5732e4,_0x47619e[_0x1deb1c(0x1b1a)]=typeof _0xc0ac13!==_0x1deb1c(0x16b5)?_0xc0ac13:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x1c5e8f['intFreshsalesAccount'][_0x1deb1c(0x618)]({'id':_0x47619e[_0x1deb1c(0x2779)]['id'],'sort':_0x1deb1c(0x282)})[_0x1deb1c(0x1d77)]['then'](function(_0x455d85){const _0x10b08e=_0x1deb1c;return _0x47619e[_0x10b08e(0xa06)]=_0x455d85[_0x10b08e(0x2214)]?_0x455d85['rows']:[],_0x47619e[_0x10b08e(0xa06)][_0x10b08e(0xfd0)]&&_0x47619e[_0x10b08e(0xef2)](0x0),_0x1c5e8f[_0x10b08e(0x1822)][_0x10b08e(0xbf7)]({'nolimit':!![],'sort':_0x10b08e(0x16b6)})[_0x10b08e(0x1d77)];})['then'](function(_0x3d007e){const _0x275457=_0x1deb1c;_0x47619e[_0x275457(0x9a9)]=_0x3d007e[_0x275457(0x2214)]?_0x3d007e[_0x275457(0x2214)]:[],_0x47619e[_0x275457(0x1a3c)]=_0x39641b()[_0x275457(0x2631)](_0x47619e[_0x275457(0x9a9)],'id');})[_0x1deb1c(0x1c4)](function(_0x53a611){const _0x2dc12a=_0x1deb1c;_0x14de3b['error']({'title':_0x53a611[_0x2dc12a(0x291)]?_0x2dc12a(0xeb9)+_0x53a611[_0x2dc12a(0x291)]+_0x2dc12a(0x1657)+_0x53a611['statusText']:'SYSTEM:GETCONFIGURATION','msg':_0x53a611[_0x2dc12a(0x25c)]?JSON[_0x2dc12a(0x2701)](_0x53a611[_0x2dc12a(0x25c)]):_0x53a611[_0x2dc12a(0x147f)]()});});}function _0x2a7dc7(_0x4d1f7f){const _0x3ca511=_0x1f0b85;_0x47619e['freshsalesConfiguration']=_0x47619e[_0x3ca511(0xa06)][_0x4d1f7f],_0x47619e['selectedFreshsalesConfiguration']=_0x4d1f7f,_0x1c5e8f[_0x3ca511(0x2085)][_0x3ca511(0x243a)]({'id':_0x47619e[_0x3ca511(0x1324)]['id']})[_0x3ca511(0x1d77)][_0x3ca511(0x1cb0)](function(_0x482c12){const _0x9461de=_0x3ca511;return _0x47619e[_0x9461de(0x2388)]=_0x482c12[_0x9461de(0x2214)]?_0x482c12[_0x9461de(0x2214)]:[],_0x1c5e8f[_0x9461de(0x2085)][_0x9461de(0xb6d)]({'id':_0x47619e[_0x9461de(0x1324)]['id']})['$promise'];})['then'](function(_0x3b141b){const _0x2ca7f7=_0x3ca511;return _0x47619e[_0x2ca7f7(0x310)]=_0x3b141b[_0x2ca7f7(0x2214)]?_0x3b141b['rows']:[],_0x1c5e8f['intFreshsalesConfiguration'][_0x2ca7f7(0xc84)]({'id':_0x47619e[_0x2ca7f7(0x1324)]['id']})[_0x2ca7f7(0x1d77)];})[_0x3ca511(0x1cb0)](function(_0x5e4fbf){const _0x59e984=_0x3ca511;_0x47619e[_0x59e984(0x355)]=_0x5e4fbf[_0x59e984(0x2214)]?_0x5e4fbf[_0x59e984(0x2214)]:[];})[_0x3ca511(0x1c4)](function(_0x1140dd){const _0x1f5fc9=_0x3ca511;_0x14de3b[_0x1f5fc9(0x218e)]({'title':_0x1140dd[_0x1f5fc9(0x291)]?_0x1f5fc9(0xeb9)+_0x1140dd[_0x1f5fc9(0x291)]+'\x20-\x20'+_0x1140dd['statusText']:_0x1f5fc9(0x1e5f),'msg':_0x1140dd[_0x1f5fc9(0x25c)]?JSON[_0x1f5fc9(0x2701)](_0x1140dd[_0x1f5fc9(0x25c)]):_0x1140dd[_0x1f5fc9(0x147f)]()});});}function _0x19a206(_0x53456c,_0x22ec75){const _0x414b1f=_0x1f0b85;_0x14bad7['show']({'controller':_0x414b1f(0x2422),'controllerAs':'vm','templateUrl':_0x4a11fd,'parent':angular['element'](_0x77dd9f[_0x414b1f(0x1ed9)]),'targetEvent':_0x53456c,'clickOutsideToClose':!![],'locals':{'freshsalesConfiguration':_0x22ec75,'configurations':_0x47619e['configurations'],'license':null,'setting':null,'crudPermissions':_0x47619e[_0x414b1f(0x1b1a)]}})[_0x414b1f(0x2e0)](function(){const _0x50aaf9=_0x414b1f;_0x47619e[_0x50aaf9(0xef2)](0x0);});}function _0x5f189c(_0x177862,_0x2df2a2){const _0x579c3b=_0x1f0b85,_0x178e5b=_0x14bad7[_0x579c3b(0x1551)]()[_0x579c3b(0x1386)](_0x579c3b(0x2909))[_0x579c3b(0x49e)](_0x579c3b(0x204d)+(_0x2df2a2[_0x579c3b(0x16b6)]||_0x579c3b(0x1324))+_0x579c3b(0x1200)+'\x20will\x20be\x20deleted.')[_0x579c3b(0x15ad)](_0x579c3b(0x233a))[_0x579c3b(0x728)](_0x177862)['ok']('OK')[_0x579c3b(0x696)](_0x579c3b(0x24ba));_0x14bad7[_0x579c3b(0xe27)](_0x178e5b)[_0x579c3b(0x1cb0)](function(){const _0x447f74=_0x579c3b;_0x1c5e8f[_0x447f74(0x2085)][_0x447f74(0x111d)]({'id':_0x2df2a2['id']})[_0x447f74(0x1d77)]['then'](function(){const _0x2839fa=_0x447f74;_0x39641b()[_0x2839fa(0x152a)](_0x47619e[_0x2839fa(0xa06)],{'id':_0x2df2a2['id']}),_0x14de3b[_0x2839fa(0x829)]({'title':_0x2839fa(0x91a),'msg':_0x2df2a2[_0x2839fa(0x16b6)]?_0x2df2a2['name']+_0x2839fa(0x3f5):''});})[_0x447f74(0x1c4)](function(_0x51c30a){const _0x4b4699=_0x447f74;_0x14de3b['error']({'title':_0x51c30a[_0x4b4699(0x291)]?_0x4b4699(0xeb9)+_0x51c30a['status']+_0x4b4699(0x1657)+_0x51c30a[_0x4b4699(0xc22)]:'SYSTEM:DELETECONFIGURATION','msg':_0x51c30a[_0x4b4699(0x25c)]?JSON[_0x4b4699(0x2701)](_0x51c30a[_0x4b4699(0x25c)]):_0x51c30a[_0x4b4699(0x147f)]()});})[_0x447f74(0x2e0)](function(){const _0x46a4a3=_0x447f74;_0x47619e[_0x46a4a3(0xef2)](0x0);});},function(){const _0x14121e=_0x579c3b;console[_0x14121e(0x1b4f)](_0x14121e(0x24ba));});}_0x47619e['selectedSubjects']=[],_0x47619e['createOrEditSubject']=_0x5bf3d5,_0x47619e['deleteSubjectConfirm']=_0x4ad493,_0x47619e[_0x1f0b85(0xf12)]=_0x597e29;function _0x5bf3d5(_0x599260,_0x263e52){const _0x15bc8f=_0x1f0b85;_0x14bad7[_0x15bc8f(0xe27)]({'controller':_0x15bc8f(0x18f0),'controllerAs':'vm','templateUrl':_0x37af8e,'parent':angular[_0x15bc8f(0x1853)](_0x77dd9f[_0x15bc8f(0x1ed9)]),'targetEvent':_0x599260,'clickOutsideToClose':!![],'locals':{'type':_0x15bc8f(0x1e5d),'item':_0x263e52,'items':_0x47619e['subjects'],'configuration':_0x47619e['freshsalesConfiguration'],'account':_0x47619e[_0x15bc8f(0x2779)],'license':null,'setting':null,'crudPermissions':_0x47619e[_0x15bc8f(0x1b1a)]}});}function _0x51b413(_0x1443c1){const _0x478118=_0x1f0b85;_0x1c5e8f[_0x478118(0x775)][_0x478118(0x111d)]({'id':_0x1443c1['id']})[_0x478118(0x1d77)]['then'](function(){const _0x506b13=_0x478118;_0x39641b()[_0x506b13(0x152a)](_0x47619e[_0x506b13(0x2388)],{'id':_0x1443c1['id']}),_0x14de3b['success']({'title':_0x506b13(0x1d11),'msg':'Subject\x20has\x20been\x20deleted!'});})[_0x478118(0x1c4)](function(_0x3a9d37){const _0x30cfb2=_0x478118;_0x14de3b[_0x30cfb2(0x218e)]({'title':_0x3a9d37[_0x30cfb2(0x291)]?'API:'+_0x3a9d37[_0x30cfb2(0x291)]+'\x20-\x20'+_0x3a9d37[_0x30cfb2(0xc22)]:_0x30cfb2(0x13e5),'msg':_0x3a9d37[_0x30cfb2(0x25c)]?JSON[_0x30cfb2(0x2701)](_0x3a9d37[_0x30cfb2(0x25c)]):_0x3a9d37[_0x30cfb2(0x147f)]()});});}function _0x4ad493(_0x11c056,_0x18c3d6){const _0x578645=_0x1f0b85,_0x2588db=_0x14bad7['confirm']()[_0x578645(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20subject?')['htmlContent'](_0x578645(0x14ee)+_0x578645(0x1b6))[_0x578645(0x15ad)](_0x578645(0xbc3))[_0x578645(0x728)](_0x11c056)['ok']('OK')[_0x578645(0x696)](_0x578645(0x24ba));_0x14bad7['show'](_0x2588db)[_0x578645(0x1cb0)](function(){_0x51b413(_0x18c3d6);},function(){const _0xdaa623=_0x578645;console[_0xdaa623(0x1b4f)](_0xdaa623(0x24ba));});}function _0x597e29(_0x31852e){const _0x538463=_0x1f0b85,_0x2c0504=_0x14bad7['confirm']()[_0x538463(0x1386)](_0x538463(0x1f8e))[_0x538463(0x49e)](_0x538463(0x204d)+_0x47619e[_0x538463(0x4df)][_0x538463(0xfd0)]+_0x538463(0x1d6c)+_0x538463(0x1b6))[_0x538463(0x15ad)](_0x538463(0x222e))[_0x538463(0x728)](_0x31852e)['ok']('OK')[_0x538463(0x696)]('CANCEL');_0x14bad7[_0x538463(0xe27)](_0x2c0504)[_0x538463(0x1cb0)](function(){const _0x36f412=_0x538463;_0x47619e[_0x36f412(0x4df)][_0x36f412(0xf90)](function(_0x19850c){_0x51b413(_0x19850c);}),_0x47619e['selectedSubjects']=[];});}_0x47619e[_0x1f0b85(0xac6)]=[],_0x47619e[_0x1f0b85(0x14c7)]=_0x512b75,_0x47619e[_0x1f0b85(0xc09)]=_0x599590,_0x47619e[_0x1f0b85(0x2931)]=_0x3f7c3b;function _0x512b75(_0x225129,_0xc25e8a){const _0x3563c6=_0x1f0b85;_0x14bad7[_0x3563c6(0xe27)]({'controller':_0x3563c6(0x18f0),'controllerAs':'vm','templateUrl':_0x37af8e,'parent':angular[_0x3563c6(0x1853)](_0x77dd9f[_0x3563c6(0x1ed9)]),'targetEvent':_0x225129,'clickOutsideToClose':!![],'locals':{'type':_0x3563c6(0x2c1),'item':_0xc25e8a,'items':_0x47619e[_0x3563c6(0x310)],'configuration':_0x47619e['freshsalesConfiguration'],'account':_0x47619e[_0x3563c6(0x2779)],'license':null,'setting':null,'crudPermissions':_0x47619e[_0x3563c6(0x1b1a)]}});}function _0x443a03(_0x1b005d){const _0x20b58d=_0x1f0b85;_0x1c5e8f[_0x20b58d(0x775)][_0x20b58d(0x111d)]({'id':_0x1b005d['id']})['$promise']['then'](function(){const _0x34dbcb=_0x20b58d;_0x39641b()[_0x34dbcb(0x152a)](_0x47619e['descriptions'],{'id':_0x1b005d['id']}),_0x14de3b[_0x34dbcb(0x829)]({'title':_0x34dbcb(0x24c0),'msg':_0x34dbcb(0xb59)});})[_0x20b58d(0x1c4)](function(_0x3562d7){const _0x2ce6da=_0x20b58d;_0x14de3b['error']({'title':_0x3562d7[_0x2ce6da(0x291)]?_0x2ce6da(0xeb9)+_0x3562d7[_0x2ce6da(0x291)]+_0x2ce6da(0x1657)+_0x3562d7['statusText']:_0x2ce6da(0x13e5),'msg':_0x3562d7[_0x2ce6da(0x25c)]?JSON[_0x2ce6da(0x2701)](_0x3562d7[_0x2ce6da(0x25c)]):_0x3562d7['toString']()});});}function _0x599590(_0x112d72,_0x3925ae){const _0xa79c77=_0x1f0b85,_0x4d34e4=_0x14bad7[_0xa79c77(0x1551)]()[_0xa79c77(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20description?')[_0xa79c77(0x49e)](_0xa79c77(0x18b8)+'\x20will\x20be\x20deleted.')[_0xa79c77(0x15ad)](_0xa79c77(0x103d))['targetEvent'](_0x112d72)['ok']('OK')[_0xa79c77(0x696)](_0xa79c77(0x24ba));_0x14bad7[_0xa79c77(0xe27)](_0x4d34e4)[_0xa79c77(0x1cb0)](function(){_0x443a03(_0x3925ae);},function(){const _0x31c525=_0xa79c77;console[_0x31c525(0x1b4f)](_0x31c525(0x24ba));});}function _0x3f7c3b(_0xef1b13){const _0x6e14c3=_0x1f0b85,_0x1ecec8=_0x14bad7['confirm']()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20descriptions?')[_0x6e14c3(0x49e)](_0x6e14c3(0x204d)+_0x47619e[_0x6e14c3(0xac6)]['length']+'\x20selected'+_0x6e14c3(0x1b6))[_0x6e14c3(0x15ad)](_0x6e14c3(0x1828))[_0x6e14c3(0x728)](_0xef1b13)['ok']('OK')[_0x6e14c3(0x696)]('CANCEL');_0x14bad7[_0x6e14c3(0xe27)](_0x1ecec8)[_0x6e14c3(0x1cb0)](function(){const _0x6a7a57=_0x6e14c3;_0x47619e[_0x6a7a57(0xac6)]['forEach'](function(_0x25e72c){_0x443a03(_0x25e72c);}),_0x47619e[_0x6a7a57(0xac6)]=[];});}_0x47619e[_0x1f0b85(0x9b2)]=[],_0x47619e[_0x1f0b85(0x5e9)]=_0xc81681,_0x47619e[_0x1f0b85(0x2398)]=_0x3088b1,_0x47619e[_0x1f0b85(0xe40)]=_0x57bfbc;function _0xc81681(_0x4eb702,_0x2ad65f){const _0x536179=_0x1f0b85;_0x14bad7['show']({'controller':_0x536179(0x18f0),'controllerAs':'vm','templateUrl':_0x37af8e,'parent':angular[_0x536179(0x1853)](_0x77dd9f[_0x536179(0x1ed9)]),'targetEvent':_0x4eb702,'clickOutsideToClose':!![],'locals':{'type':_0x536179(0x2198),'item':_0x2ad65f,'items':_0x47619e[_0x536179(0x355)],'configuration':_0x47619e['freshsalesConfiguration'],'account':_0x47619e[_0x536179(0x2779)],'license':null,'setting':null,'crudPermissions':_0x47619e[_0x536179(0x1b1a)]}});}function _0x183db3(_0x40c009){const _0x1ad256=_0x1f0b85;_0x1c5e8f[_0x1ad256(0x775)][_0x1ad256(0x111d)]({'id':_0x40c009['id']})[_0x1ad256(0x1d77)]['then'](function(){const _0x2bfe6f=_0x1ad256;_0x39641b()[_0x2bfe6f(0x152a)](_0x47619e[_0x2bfe6f(0x355)],{'id':_0x40c009['id']}),_0x14de3b[_0x2bfe6f(0x829)]({'title':'Field\x20deleted!','msg':_0x2bfe6f(0x2688)});})[_0x1ad256(0x1c4)](function(_0x3c239a){const _0x4d3934=_0x1ad256;_0x14de3b[_0x4d3934(0x218e)]({'title':_0x3c239a[_0x4d3934(0x291)]?_0x4d3934(0xeb9)+_0x3c239a[_0x4d3934(0x291)]+'\x20-\x20'+_0x3c239a[_0x4d3934(0xc22)]:_0x4d3934(0x13e5),'msg':_0x3c239a[_0x4d3934(0x25c)]?JSON['stringify'](_0x3c239a[_0x4d3934(0x25c)]):_0x3c239a['toString']()});});}function _0x3088b1(_0x54df2a,_0xce695f){const _0x18c135=_0x1f0b85,_0x520bd3=_0x14bad7[_0x18c135(0x1551)]()[_0x18c135(0x1386)](_0x18c135(0x21a9))[_0x18c135(0x49e)](_0x18c135(0xf1d)+_0x18c135(0x1b6))[_0x18c135(0x15ad)]('delete\x20field')[_0x18c135(0x728)](_0x54df2a)['ok']('OK')[_0x18c135(0x696)](_0x18c135(0x24ba));_0x14bad7[_0x18c135(0xe27)](_0x520bd3)['then'](function(){_0x183db3(_0xce695f);},function(){const _0x1db06f=_0x18c135;console['log'](_0x1db06f(0x24ba));});}function _0x57bfbc(_0x3893d2){const _0x2d0622=_0x1f0b85,_0x47265e=_0x14bad7[_0x2d0622(0x1551)]()[_0x2d0622(0x1386)](_0x2d0622(0x983))['htmlContent'](''+_0x47619e[_0x2d0622(0x9b2)][_0x2d0622(0xfd0)]+'\x20selected'+'\x20will\x20be\x20deleted.')['ariaLabel']('delete\x20fields')['targetEvent'](_0x3893d2)['ok']('OK')[_0x2d0622(0x696)](_0x2d0622(0x24ba));_0x14bad7[_0x2d0622(0xe27)](_0x47265e)[_0x2d0622(0x1cb0)](function(){const _0x5d2825=_0x2d0622;_0x47619e[_0x5d2825(0x9b2)][_0x5d2825(0xf90)](function(_0x857ed2){_0x183db3(_0x857ed2);}),_0x47619e[_0x5d2825(0x9b2)]=[];});}}const _0x5416a1=_0x3c4ccf;;_0x5e29b7[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$state',_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0xa06),'freshsalesConfiguration',_0x5537c6(0x142b),'Auth',_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x5e29b7(_0x354292,_0x38b75d,_0x3ee0de,_0x15c7ce,_0x48d31f,_0xc09368,_0x2e1dcb,_0x5d1657,_0x40d046,_0x4a8092,_0x5aa655,_0x511231,_0x42162b,_0x46a099){const _0x4e92d8=_0x5537c6,_0x2d732a=this;_0x2d732a[_0x4e92d8(0xe76)]=_0x5aa655[_0x4e92d8(0x21e8)](),_0x2d732a['errors']=[],_0x2d732a[_0x4e92d8(0x9ca)]=_0x42162b,_0x2d732a[_0x4e92d8(0x8a5)]=_0x511231,_0x2d732a['crudPermissions']=_0x46a099,_0x2d732a['hasModulePermissions']={},_0x2d732a['passwordPattern']=_0x2d732a[_0x4e92d8(0x9ca)]&&_0x2d732a['setting'][_0x4e92d8(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x2d732a['title']=_0x4e92d8(0x205b),_0x2d732a[_0x4e92d8(0x1324)]=angular['copy'](_0x40d046),_0x2d732a[_0x4e92d8(0xa06)]=_0x5d1657,_0x2d732a['newFreshsalesConfiguration']=![];!_0x2d732a[_0x4e92d8(0x1324)]&&(_0x2d732a[_0x4e92d8(0x1324)]={'channel':_0x4e92d8(0x1fd4),'type':_0x4e92d8(0x26c0)},_0x2d732a[_0x4e92d8(0x1386)]=_0x4e92d8(0x237a),_0x2d732a[_0x4e92d8(0x18da)]=!![]);_0x2d732a['addNewFreshsalesConfiguration']=_0x3ed882,_0x2d732a[_0x4e92d8(0x14b0)]=_0x381bae,_0x2d732a[_0x4e92d8(0xb6a)]=_0x192b6a,_0x2d732a[_0x4e92d8(0x2c4)]=_0xa4203a,_0x2d732a[_0x4e92d8(0xda0)]=_0xcd2be9;function _0x3ed882(){const _0x39733d=_0x4e92d8;_0x2d732a[_0x39733d(0x1a7c)]=[],_0x4a8092[_0x39733d(0xc8a)][_0x39733d(0x1070)]({'id':_0x38b75d['params']['id']},_0x2d732a['freshsalesConfiguration'])[_0x39733d(0x1d77)][_0x39733d(0x1cb0)](function(_0x5160f0){const _0x176624=_0x39733d;_0x2d732a[_0x176624(0xa06)]['unshift'](_0x5160f0['toJSON']()),_0x2e1dcb[_0x176624(0x829)]({'title':_0x176624(0x2945),'msg':_0x2d732a[_0x176624(0x1324)][_0x176624(0x16b6)]?_0x2d732a[_0x176624(0x1324)]['name']+'\x20has\x20been\x20created!':''}),_0xcd2be9(_0x5160f0);})['catch'](function(_0x19d03a){const _0x5ffad6=_0x39733d;if(_0x19d03a['data']&&_0x19d03a[_0x5ffad6(0x25c)][_0x5ffad6(0x1a7c)]&&_0x19d03a[_0x5ffad6(0x25c)][_0x5ffad6(0x1a7c)][_0x5ffad6(0xfd0)]){_0x2d732a[_0x5ffad6(0x1a7c)]=_0x19d03a[_0x5ffad6(0x25c)][_0x5ffad6(0x1a7c)]||[{'message':_0x19d03a[_0x5ffad6(0x147f)](),'type':_0x5ffad6(0x2488)}];for(let _0x2db5f5=0x0;_0x2db5f5<_0x19d03a[_0x5ffad6(0x25c)][_0x5ffad6(0x1a7c)][_0x5ffad6(0xfd0)];_0x2db5f5+=0x1){_0x2e1dcb['error']({'title':_0x19d03a[_0x5ffad6(0x25c)]['errors'][_0x2db5f5]['type'],'msg':_0x19d03a[_0x5ffad6(0x25c)]['errors'][_0x2db5f5]['message']});}}else _0x2e1dcb[_0x5ffad6(0x218e)]({'title':_0x19d03a[_0x5ffad6(0x291)]?'API:'+_0x19d03a[_0x5ffad6(0x291)]+_0x5ffad6(0x1657)+_0x19d03a[_0x5ffad6(0xc22)]:_0x5ffad6(0x2488),'msg':_0x19d03a[_0x5ffad6(0x25c)]?JSON['stringify'](_0x19d03a[_0x5ffad6(0x25c)]['message']):_0x19d03a[_0x5ffad6(0x147f)]()});});}function _0x381bae(){const _0x4d8ca9=_0x4e92d8;_0x2d732a[_0x4d8ca9(0x1a7c)]=[],_0x4a8092[_0x4d8ca9(0x2085)][_0x4d8ca9(0x687)]({'id':_0x2d732a[_0x4d8ca9(0x1324)]['id']},_0x2d732a[_0x4d8ca9(0x1324)])[_0x4d8ca9(0x1d77)][_0x4d8ca9(0x1cb0)](function(_0x24ff3f){const _0x558813=_0x4d8ca9,_0x3a165e=_0x39641b()['find'](_0x2d732a[_0x558813(0xa06)],{'id':_0x24ff3f['id']});_0x3a165e&&_0x39641b()[_0x558813(0x9c1)](_0x3a165e,_0x39641b()[_0x558813(0x169b)](_0x24ff3f[_0x558813(0x19b2)](),_0x39641b()[_0x558813(0x1be5)](_0x3a165e))),_0x2e1dcb['success']({'title':_0x558813(0x2162),'msg':_0x2d732a[_0x558813(0x1324)][_0x558813(0x16b6)]?_0x2d732a[_0x558813(0x1324)][_0x558813(0x16b6)]+_0x558813(0xedb):''}),_0xcd2be9(_0x24ff3f);})['catch'](function(_0x535d37){const _0x2aff5c=_0x4d8ca9;if(_0x535d37['data']&&_0x535d37['data'][_0x2aff5c(0x1a7c)]&&_0x535d37[_0x2aff5c(0x25c)][_0x2aff5c(0x1a7c)][_0x2aff5c(0xfd0)]){_0x2d732a['errors']=_0x535d37[_0x2aff5c(0x25c)][_0x2aff5c(0x1a7c)]||[{'message':_0x535d37['toString'](),'type':_0x2aff5c(0x15f5)}];for(let _0x3e0e81=0x0;_0x3e0e81<_0x535d37[_0x2aff5c(0x25c)][_0x2aff5c(0x1a7c)][_0x2aff5c(0xfd0)];_0x3e0e81++){_0x2e1dcb[_0x2aff5c(0x218e)]({'title':_0x535d37[_0x2aff5c(0x25c)]['errors'][_0x3e0e81][_0x2aff5c(0x66a)],'msg':_0x535d37[_0x2aff5c(0x25c)][_0x2aff5c(0x1a7c)][_0x3e0e81][_0x2aff5c(0x155e)]});}}else _0x2e1dcb['error']({'title':_0x535d37[_0x2aff5c(0x291)]?'API:'+_0x535d37['status']+_0x2aff5c(0x1657)+_0x535d37[_0x2aff5c(0xc22)]:_0x2aff5c(0x15f5),'msg':_0x535d37['data']?JSON[_0x2aff5c(0x2701)](_0x535d37[_0x2aff5c(0x25c)][_0x2aff5c(0x155e)]):_0x535d37[_0x2aff5c(0x147f)]()});});}function _0x192b6a(_0x383b69){const _0x508c32=_0x4e92d8;_0x2d732a[_0x508c32(0x1a7c)]=[];const _0x5cbc0e=_0x15c7ce['confirm']()[_0x508c32(0x1386)](_0x508c32(0x1a2e))[_0x508c32(0x862)](_0x508c32(0x142d))['ariaLabel']('Delete\x20FreshsalesConfiguration')['ok'](_0x508c32(0x2594))[_0x508c32(0x696)](_0x508c32(0xde1))[_0x508c32(0x728)](_0x383b69);_0x15c7ce['show'](_0x5cbc0e)['then'](function(){const _0x5b1f6d=_0x508c32;_0x4a8092[_0x5b1f6d(0x2085)][_0x5b1f6d(0x111d)]({'id':_0x2d732a[_0x5b1f6d(0x1324)]['id']})[_0x5b1f6d(0x1d77)][_0x5b1f6d(0x1cb0)](function(){const _0x38b151=_0x5b1f6d;_0x39641b()['remove'](_0x2d732a[_0x38b151(0xa06)],{'id':_0x2d732a[_0x38b151(0x1324)]['id']}),_0x2e1dcb[_0x38b151(0x829)]({'title':_0x38b151(0xcc6),'msg':(_0x2d732a[_0x38b151(0x1324)]['name']||_0x38b151(0x1324))+'\x20has\x20been\x20deleted!'}),_0xcd2be9(_0x2d732a[_0x38b151(0x1324)]);})[_0x5b1f6d(0x1c4)](function(_0x43bd21){const _0x4323de=_0x5b1f6d;if(_0x43bd21['data']&&_0x43bd21[_0x4323de(0x25c)][_0x4323de(0x1a7c)]&&_0x43bd21[_0x4323de(0x25c)][_0x4323de(0x1a7c)][_0x4323de(0xfd0)]){_0x2d732a[_0x4323de(0x1a7c)]=_0x43bd21[_0x4323de(0x25c)]['errors']||[{'message':_0x43bd21[_0x4323de(0x147f)](),'type':_0x4323de(0x263f)}];for(let _0x10b47c=0x0;_0x10b47c<_0x43bd21[_0x4323de(0x25c)][_0x4323de(0x1a7c)]['length'];_0x10b47c++){_0x2e1dcb[_0x4323de(0x218e)]({'title':_0x43bd21[_0x4323de(0x25c)][_0x4323de(0x1a7c)][_0x10b47c][_0x4323de(0x66a)],'msg':_0x43bd21[_0x4323de(0x25c)][_0x4323de(0x1a7c)][_0x10b47c]['message']});}}else _0x2e1dcb[_0x4323de(0x218e)]({'title':_0x43bd21[_0x4323de(0x291)]?_0x4323de(0xeb9)+_0x43bd21['status']+_0x4323de(0x1657)+_0x43bd21['statusText']:_0x4323de(0x263f),'msg':_0x43bd21[_0x4323de(0x25c)]?JSON[_0x4323de(0x2701)](_0x43bd21[_0x4323de(0x25c)][_0x4323de(0x155e)]):_0x43bd21[_0x4323de(0x155e)]||_0x43bd21[_0x4323de(0x147f)]()});});},function(){});}function _0xa4203a(_0x320d5f){return _0x320d5f===null?undefined:new Date(_0x320d5f);}function _0xcd2be9(_0x4bdfcc){const _0x14631d=_0x4e92d8;_0x15c7ce[_0x14631d(0x1426)](_0x4bdfcc);}}const _0x4e5155=_0x5e29b7;;_0x3b125e[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),'$location','$mdDialog',_0x5537c6(0x9bf),_0x5537c6(0x2718),_0x5537c6(0x122f),_0x5537c6(0x583),_0x5537c6(0x1539),'type',_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x3b125e(_0x2ba15e,_0x3878ed,_0x463461,_0x5ee64b,_0x1d411f,_0x4b67cb,_0x14f067,_0x5479d0,_0x30306d,_0x17ad54,_0x2941d2,_0x326d27,_0x2c66df){const _0x460c3b=_0x5537c6,_0x2dc56a=this;_0x2dc56a[_0x460c3b(0xe76)]=_0x326d27[_0x460c3b(0x21e8)](),_0x2dc56a['errors']=[],_0x2dc56a[_0x460c3b(0x1386)]='INTEGRATIONS.EDIT_'+_0x39641b()[_0x460c3b(0x1002)](_0x17ad54),_0x2dc56a[_0x460c3b(0x583)]=angular['copy'](_0x5479d0),_0x2dc56a[_0x460c3b(0x122f)]=_0x14f067,_0x2dc56a[_0x460c3b(0x66a)]=_0x17ad54,_0x2dc56a[_0x460c3b(0x1b1a)]=_0x2c66df,_0x2dc56a[_0x460c3b(0x1202)]=![];!_0x2dc56a[_0x460c3b(0x583)]&&(_0x2dc56a[_0x460c3b(0x583)]={'type':_0x460c3b(0x1518)},_0x2dc56a[_0x460c3b(0x583)][_0x39641b()[_0x460c3b(0x432)](_0x17ad54)+'Id']=_0x4b67cb['id'],_0x2dc56a[_0x460c3b(0x1386)]=_0x460c3b(0x15dd)+_0x39641b()[_0x460c3b(0x1002)](_0x17ad54),_0x2dc56a[_0x460c3b(0x1202)]=!![]);_0x2dc56a[_0x460c3b(0x1286)]=_0x1d9034,_0x2dc56a['saveItem']=_0x26bf22,_0x2dc56a[_0x460c3b(0x1177)]=_0x3cd146,_0x2dc56a[_0x460c3b(0x2f6)]=_0x55deb1,_0x2dc56a['closeDialog']=_0x7729a,_0x2941d2[_0x460c3b(0x1822)][_0x460c3b(0xbf7)]({'nolimit':!![]})[_0x460c3b(0x1d77)][_0x460c3b(0x1cb0)](function(_0x2bc916){const _0x32e44f=_0x460c3b;return _0x2dc56a[_0x32e44f(0x9a9)]=_0x2bc916[_0x32e44f(0x2214)]?_0x2bc916[_0x32e44f(0x2214)]:[],_0x2941d2['voiceAgentReport'][_0x32e44f(0x1e57)]()[_0x32e44f(0x1d77)];})[_0x460c3b(0x1cb0)](function(_0x7298dc){const _0x1336af=_0x460c3b;return _0x2dc56a[_0x1336af(0xbf1)]=_0x39641b()[_0x1336af(0x91f)](_0x39641b()[_0x1336af(0x1be5)](_0x7298dc),[_0x1336af(0x1d77),_0x1336af(0x248e),'createdAt',_0x1336af(0x27ba),_0x1336af(0x1867)]),_0x2dc56a[_0x1336af(0xbf1)][_0x1336af(0x2785)]('recordingURL'),_0x2941d2[_0x1336af(0x593)][_0x1336af(0x1e57)]()[_0x1336af(0x1d77)];})[_0x460c3b(0x1cb0)](function(_0x193ade){const _0x341298=_0x460c3b;_0x2dc56a[_0x341298(0x1639)]=_0x39641b()[_0x341298(0x91f)](_0x39641b()[_0x341298(0x1be5)](_0x193ade),['$promise',_0x341298(0x248e),_0x341298(0x24cb),'updatedAt',_0x341298(0x1867)]),_0x2dc56a[_0x341298(0x1639)][_0x341298(0x2785)](_0x341298(0x2110));})['catch'](function(_0x15c95b){const _0x5dfcf6=_0x460c3b;_0x1d411f[_0x5dfcf6(0x218e)]({'title':_0x15c95b[_0x5dfcf6(0x291)]?_0x5dfcf6(0xeb9)+_0x15c95b[_0x5dfcf6(0x291)]+_0x5dfcf6(0x1657)+_0x15c95b[_0x5dfcf6(0xc22)]:'SYSTEM:GETVARIABLES','msg':_0x15c95b['data']?JSON[_0x5dfcf6(0x2701)](_0x15c95b[_0x5dfcf6(0x25c)]):_0x15c95b[_0x5dfcf6(0x147f)]()});}),_0x2941d2[_0x460c3b(0xc8a)][_0x460c3b(0xc84)]({'id':_0x30306d['id'],'ticketType':_0x4b67cb[_0x460c3b(0x161)]?_0x4b67cb[_0x460c3b(0x161)]:undefined})[_0x460c3b(0x1d77)][_0x460c3b(0x1cb0)](function(_0x57b6d7){const _0x43d6dc=_0x460c3b;_0x2dc56a[_0x43d6dc(0x1a28)]=!![],_0x2dc56a[_0x43d6dc(0x355)]=_0x57b6d7[_0x43d6dc(0x2214)]?_0x57b6d7[_0x43d6dc(0x2214)]:[],_0x2dc56a[_0x43d6dc(0x583)][_0x43d6dc(0x1e50)]&&(_0x2dc56a[_0x43d6dc(0x1d5a)]=_0x39641b()['find'](_0x2dc56a[_0x43d6dc(0x355)],{'id':_0x2dc56a[_0x43d6dc(0x583)][_0x43d6dc(0x1e50)]}));})['catch'](function(_0x49ff19){const _0x515da7=_0x460c3b;_0x2dc56a[_0x515da7(0x1a28)]=![],console['error'](_0x49ff19);});function _0x1d9034(){const _0x448cee=_0x460c3b;_0x2dc56a['errors']=[],_0x2dc56a[_0x448cee(0x1d5a)]&&(_0x2dc56a['item'][_0x448cee(0x1e50)]=_0x2dc56a[_0x448cee(0x1d5a)]['id'],_0x2dc56a[_0x448cee(0x583)][_0x448cee(0xc1f)]=_0x2dc56a['customField'][_0x448cee(0x16b6)],_0x2dc56a[_0x448cee(0x583)][_0x448cee(0x1d5a)]=_0x2dc56a['customField'][_0x448cee(0x197c)]),_0x2941d2[_0x448cee(0x775)][_0x448cee(0x1c3f)](_0x2dc56a[_0x448cee(0x583)])[_0x448cee(0x1d77)][_0x448cee(0x1cb0)](function(_0x55e4a0){const _0x1d5904=_0x448cee;_0x2dc56a[_0x1d5904(0x122f)]['unshift'](_0x55e4a0),_0x1d411f['success']({'title':_0x39641b()['capitalize'](_0x17ad54)+_0x1d5904(0x220c),'msg':_0x39641b()[_0x1d5904(0x432)](_0x17ad54)+'\x20has\x20been\x20created!'}),_0x7729a();})[_0x448cee(0x1c4)](function(_0x3bb138){const _0x5db75f=_0x448cee;console[_0x5db75f(0x218e)](_0x3bb138),_0x2dc56a['errors']=_0x3bb138[_0x5db75f(0x25c)][_0x5db75f(0x1a7c)]||[{'message':_0x3bb138['toString'](),'type':'api.item.save'}];});}function _0x26bf22(){const _0x9a924b=_0x460c3b;_0x2dc56a[_0x9a924b(0x1a7c)]=[],_0x2dc56a[_0x9a924b(0x1d5a)]&&(_0x2dc56a[_0x9a924b(0x583)][_0x9a924b(0x1e50)]=_0x2dc56a[_0x9a924b(0x1d5a)]['id'],_0x2dc56a[_0x9a924b(0x583)][_0x9a924b(0xc1f)]=_0x2dc56a[_0x9a924b(0x1d5a)][_0x9a924b(0x16b6)],_0x2dc56a[_0x9a924b(0x583)]['customField']=_0x2dc56a[_0x9a924b(0x1d5a)][_0x9a924b(0x197c)]),_0x2941d2[_0x9a924b(0x775)][_0x9a924b(0x687)]({'id':_0x2dc56a[_0x9a924b(0x583)]['id']},_0x2dc56a[_0x9a924b(0x583)])[_0x9a924b(0x1d77)][_0x9a924b(0x1cb0)](function(_0x3bfb03){const _0x5725be=_0x9a924b,_0x4eb2f1=_0x39641b()[_0x5725be(0x13b4)](_0x2dc56a[_0x5725be(0x122f)],{'id':_0x3bfb03['id']});_0x4eb2f1&&_0x39641b()[_0x5725be(0x9c1)](_0x4eb2f1,_0x3bfb03),_0x1d411f[_0x5725be(0x829)]({'title':_0x39641b()[_0x5725be(0x432)](_0x17ad54)+_0x5725be(0xaf6),'msg':_0x39641b()[_0x5725be(0x432)](_0x17ad54)+_0x5725be(0xedb)}),_0x7729a();})[_0x9a924b(0x1c4)](function(_0x518e7f){const _0x2b56a7=_0x9a924b;console[_0x2b56a7(0x218e)](_0x518e7f),_0x2dc56a[_0x2b56a7(0x1a7c)]=_0x518e7f[_0x2b56a7(0x25c)]['errors']||[{'message':_0x518e7f[_0x2b56a7(0x147f)](),'type':_0x2b56a7(0x197e)}];});}function _0x3cd146(_0x4a92d0){const _0x13cf72=_0x460c3b;_0x2dc56a[_0x13cf72(0x1a7c)]=[];const _0x57f91d=_0x5ee64b[_0x13cf72(0x1551)]()[_0x13cf72(0x1386)](_0x13cf72(0x1a2e))[_0x13cf72(0x862)](_0x13cf72(0xd4c)+_0x17ad54+_0x13cf72(0x1b6))[_0x13cf72(0x15ad)](_0x13cf72(0x1f6a)+_0x39641b()[_0x13cf72(0x432)](_0x17ad54))['ok'](_0x13cf72(0x2594))['cancel']('Cancel')[_0x13cf72(0x728)](_0x4a92d0);_0x5ee64b['show'](_0x57f91d)[_0x13cf72(0x1cb0)](function(){const _0x308222=_0x13cf72;_0x2941d2[_0x308222(0x775)][_0x308222(0x111d)]({'id':_0x2dc56a[_0x308222(0x583)]['id']})[_0x308222(0x1d77)]['then'](function(){const _0x184eb6=_0x308222;_0x39641b()[_0x184eb6(0x152a)](_0x2dc56a[_0x184eb6(0x122f)],{'id':_0x2dc56a[_0x184eb6(0x583)]['id']}),_0x1d411f[_0x184eb6(0x829)]({'title':_0x39641b()[_0x184eb6(0x432)](_0x17ad54)+_0x184eb6(0x1621),'msg':_0x39641b()[_0x184eb6(0x432)](_0x17ad54)+_0x184eb6(0x3f5)}),_0x7729a();})['catch'](function(_0x219111){const _0x42a14d=_0x308222;console[_0x42a14d(0x218e)](_0x219111),_0x2dc56a[_0x42a14d(0x1a7c)]=_0x219111[_0x42a14d(0x25c)][_0x42a14d(0x1a7c)]||[{'message':_0x219111[_0x42a14d(0x147f)](),'type':'api.item.delete'}];});},function(){});}function _0x55deb1(){const _0x39be10=_0x460c3b;return _0x2dc56a[_0x39be10(0x583)][_0x39be10(0x1e71)]!==undefined?_0x2dc56a[_0x39be10(0x583)][_0x39be10(0x1e71)]:_0x39be10(0x7c8);}function _0x7729a(){const _0xa1e65a=_0x460c3b;_0x5ee64b[_0xa1e65a(0x1426)]();}}const _0x4682e9=_0x3b125e;;_0x24d082[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),_0x5537c6(0x21c8),'$mdDialog',_0x5537c6(0x22bf),'$translate',_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x2779),_0x5537c6(0x2199)];function _0x24d082(_0x6562d2,_0x1c59f2,_0x1a6d45,_0x3114d8,_0xdd438f,_0x195303,_0x5095ce,_0x244a54,_0x45b875,_0x2b0ab7,_0x5aef5f,_0x1d4589){const _0x21b2b3=_0x5537c6,_0x13611e=this;_0x13611e['currentUser']=_0x2b0ab7[_0x21b2b3(0x21e8)](),_0x13611e['license']=_0x195303,_0x13611e['setting']=_0x5095ce,_0x13611e[_0x21b2b3(0x1b0c)]=_0x13611e[_0x21b2b3(0x9ca)][_0x21b2b3(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x13611e[_0x21b2b3(0x2404)]=_0x1c59f2[_0x21b2b3(0x2276)]()+_0x21b2b3(0x138b)+_0x1c59f2['host'](),_0x13611e[_0x21b2b3(0x2779)]=_0x5aef5f||_0x6562d2[_0x21b2b3(0x1dfe)][_0x21b2b3(0x2779)]||{},_0x13611e[_0x21b2b3(0x2199)]=_0x1d4589&&_0x1d4589[_0x21b2b3(0x184d)]==0x1?_0x1d4589[_0x21b2b3(0x2214)][0x0]:null,_0x13611e[_0x21b2b3(0x1b1a)]=_0x2b0ab7[_0x21b2b3(0x14ea)](_0x13611e[_0x21b2b3(0x2199)]?_0x13611e['userProfileSection'][_0x21b2b3(0x1b1a)]:null),_0x13611e['hasModulePermissions']={},_0x13611e[_0x21b2b3(0x8ec)]=_0x6562d2[_0x21b2b3(0x1dfe)][_0x21b2b3(0x291e)]||0x0,_0x13611e['alert']=_0x45b875['info'],_0x13611e[_0x21b2b3(0x16b9)]=_0x96cc6a,_0x13611e[_0x21b2b3(0x2780)]=_0x1ace05;function _0x96cc6a(){const _0x29a979=_0x21b2b3;_0x6562d2['go']('app.integrations.freshsalesAccounts',{},{'reload':_0x29a979(0x1ffa)});}function _0x1ace05(){const _0x103c1b=_0x21b2b3;_0x244a54[_0x103c1b(0xc8a)]['update']({'id':_0x13611e[_0x103c1b(0x2779)]['id']},_0x13611e[_0x103c1b(0x2779)])[_0x103c1b(0x1d77)][_0x103c1b(0x1cb0)](function(){const _0x4b78d8=_0x103c1b;_0x45b875[_0x4b78d8(0x829)]({'title':_0x4b78d8(0x25f3),'msg':_0x13611e['freshsalesAccount']['name']?_0x13611e['freshsalesAccount'][_0x4b78d8(0x16b6)]+_0x4b78d8(0x1068):''});})[_0x103c1b(0x1c4)](function(_0x3c3a52){const _0x293b68=_0x103c1b;_0x45b875[_0x293b68(0x218e)]({'title':_0x3c3a52['status']?_0x293b68(0xeb9)+_0x3c3a52[_0x293b68(0x291)]+_0x293b68(0x1657)+_0x3c3a52[_0x293b68(0xc22)]:_0x293b68(0x92d),'msg':_0x3c3a52[_0x293b68(0x25c)]?JSON[_0x293b68(0x2701)](_0x3c3a52['data']):_0x3c3a52['toString']()});});}}const _0x399486=_0x24d082;;const _0x41e9df=_0x5074a3['p']+'src/js/modules/main/apps/integrations/views/freshsalesAccounts/create/dialog.html/dialog.html';;_0x1c4ef7['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0x1d8),_0x5537c6(0x44a),_0x5537c6(0x2199),'api','msUtils','toasty',_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x1c4ef7(_0x3f67c0,_0x30dddf,_0x40553b,_0x32e59f,_0x48903e,_0x49f600,_0x2e162a,_0x5382d4,_0x325f94,_0x174b31,_0x1bce59,_0x2a042b,_0x4b493d,_0x10aefd,_0x36b58c,_0x4ce6f5,_0x877e3a){const _0x238b56=_0x5537c6,_0x4e3846=this;_0x4e3846['license']=_0x4ce6f5,_0x4e3846[_0x238b56(0x9ca)]=_0x877e3a,_0x4e3846[_0x238b56(0xe76)]=_0x36b58c[_0x238b56(0x21e8)](),_0x4e3846[_0x238b56(0x1d8)]=_0x325f94||{'count':0x0,'rows':[]},_0x4e3846[_0x238b56(0x44a)]=_0x174b31,_0x4e3846['userProfileSection']=_0x1bce59&&_0x1bce59['count']==0x1?_0x1bce59[_0x238b56(0x2214)][0x0]:null,_0x4e3846[_0x238b56(0x1b1a)]=_0x36b58c[_0x238b56(0x14ea)](_0x4e3846[_0x238b56(0x2199)]?_0x4e3846['userProfileSection'][_0x238b56(0x1b1a)]:null),_0x4e3846['table']=_0x238b56(0x1d8),_0x4e3846['listOrder']='',_0x4e3846['listOrderAsc']=null,_0x4e3846[_0x238b56(0x1475)]=[],_0x4e3846['query']={'fields':_0x238b56(0x4d0),'limit':0xa,'page':0x1},_0x4e3846['editstate']=_0x90b705,_0x4e3846[_0x238b56(0x6b1)]=_0x409abe,_0x4e3846[_0x238b56(0x27fe)]=_0x256211,_0x4e3846['success']=_0xc72244,_0x4e3846[_0x238b56(0x1d57)]=_0x947728,_0x4e3846[_0x238b56(0x11d1)]=_0xd0a0ae,_0x4e3846[_0x238b56(0x2434)]=_0x560ba4,_0x4e3846[_0x238b56(0x303)]=_0x25a56b,_0x4e3846['deleteSelectedFreshsalesAccounts']=_0x1eaed0,_0x4e3846[_0x238b56(0x2907)]=_0x2c8cde,_0x4e3846[_0x238b56(0xbad)]=_0x5ad778;function _0x90b705(_0x54d3c8){const _0x18aa6e=_0x238b56;_0x40553b['go'](_0x18aa6e(0x849),{'id':_0x54d3c8['id'],'freshsalesAccount':_0x54d3c8,'crudPermissions':_0x4e3846[_0x18aa6e(0x1b1a)]});}function _0x409abe(_0x356f9d){const _0x27de5f=_0x238b56;return _0x2a042b[_0x27de5f(0xc8a)][_0x27de5f(0xc84)]({'id':_0x356f9d['id'],'test':!![]})['$promise'][_0x27de5f(0x1cb0)](function(){const _0x6739f3=_0x27de5f;_0x10aefd['success']({'title':_0x6739f3(0x1f6e),'msg':'Connection\x20succeded!'});})['catch'](function(_0x2c6a7c){const _0x500ce5=_0x27de5f;_0x10aefd['error']({'title':'FreshsalesAccount\x20properly\x20tested','msg':_0x2c6a7c[_0x500ce5(0x25c)]?_0x2c6a7c['data'][_0x500ce5(0x155e)]?_0x2c6a7c['data'][_0x500ce5(0x155e)]:JSON[_0x500ce5(0x2701)](_0x2c6a7c['data']):_0x2c6a7c[_0x500ce5(0x147f)]()});});}function _0x256211(_0x406f48,_0x984df5){const _0x4661bb=_0x238b56,_0x583bd7=_0x48903e[_0x4661bb(0x1551)]()[_0x4661bb(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20'+_0x39641b()[_0x4661bb(0xa75)]('freshsalesAccount')+'?')[_0x4661bb(0x49e)](_0x4661bb(0x204d)+(_0x406f48[_0x4661bb(0x16b6)]||_0x4661bb(0x2779))+_0x4661bb(0x1200)+_0x4661bb(0x1b6))[_0x4661bb(0x15ad)](_0x4661bb(0x100c))['targetEvent'](_0x984df5)['ok']('OK')[_0x4661bb(0x696)]('CANCEL');_0x48903e['show'](_0x583bd7)[_0x4661bb(0x1cb0)](function(){_0x560ba4(_0x406f48);},function(){const _0x4f3c58=_0x4661bb;console['log'](_0x4f3c58(0x24ba));});}let _0x4f2ca7=!![],_0x522494=0x1;_0x3f67c0[_0x238b56(0x614)]('vm.query.filter',function(_0x5ef065,_0x53da8b){const _0x2fe628=_0x238b56;_0x4f2ca7?_0x2e162a(function(){_0x4f2ca7=![];}):(!_0x53da8b&&(_0x522494=_0x4e3846['query'][_0x2fe628(0x1c7b)]),_0x5ef065!==_0x53da8b&&(_0x4e3846[_0x2fe628(0xae2)][_0x2fe628(0x1c7b)]=0x1),!_0x5ef065&&(_0x4e3846[_0x2fe628(0xae2)][_0x2fe628(0x1c7b)]=_0x522494),_0x4e3846['getFreshsalesAccounts']());});function _0xc72244(_0x34946f){const _0x55df61=_0x238b56;_0x4e3846[_0x55df61(0x1d8)]=_0x34946f||{'count':0x0,'rows':[]};}function _0x947728(){const _0x4f0f70=_0x238b56;_0x4e3846[_0x4f0f70(0xae2)][_0x4f0f70(0x184b)]=(_0x4e3846[_0x4f0f70(0xae2)][_0x4f0f70(0x1c7b)]-0x1)*_0x4e3846[_0x4f0f70(0xae2)][_0x4f0f70(0x236)],_0x36b58c['hasRole'](_0x4f0f70(0x1c60))?_0x4e3846[_0x4f0f70(0x2061)]=_0x2a042b[_0x4f0f70(0xc8a)][_0x4f0f70(0xbf7)](_0x4e3846[_0x4f0f70(0xae2)],_0xc72244)['$promise']:(_0x4e3846[_0x4f0f70(0xae2)]['id']=_0x4e3846[_0x4f0f70(0x44a)]['id'],_0x4e3846[_0x4f0f70(0xae2)][_0x4f0f70(0x1f74)]=_0x4f0f70(0x1988),_0x4e3846['promise']=_0x2a042b[_0x4f0f70(0x44a)]['getResources'](_0x4e3846[_0x4f0f70(0xae2)],_0xc72244)[_0x4f0f70(0x1d77)]);}function _0xd0a0ae(_0x58dfb8,_0x1fa03e){const _0x47f969=_0x238b56;_0x48903e['show']({'controller':'CreateOrEditFreshsalesAccountDialogController','controllerAs':'vm','templateUrl':_0x41e9df,'parent':angular[_0x47f969(0x1853)](_0x49f600[_0x47f969(0x1ed9)]),'targetEvent':_0x58dfb8,'clickOutsideToClose':!![],'locals':{'freshsalesAccount':_0x1fa03e,'freshsalesAccounts':_0x4e3846[_0x47f969(0x1d8)][_0x47f969(0x2214)],'license':_0x4e3846[_0x47f969(0x8a5)],'setting':_0x4e3846['setting'],'crudPermissions':_0x4e3846[_0x47f969(0x1b1a)]}});}function _0x560ba4(_0x58c560){const _0x179ee0=_0x238b56;_0x2a042b[_0x179ee0(0xc8a)][_0x179ee0(0x111d)]({'id':_0x58c560['id']})[_0x179ee0(0x1d77)][_0x179ee0(0x1cb0)](function(){const _0x44fbee=_0x179ee0;_0x39641b()[_0x44fbee(0x152a)](_0x4e3846[_0x44fbee(0x1d8)][_0x44fbee(0x2214)],{'id':_0x58c560['id']}),_0x4e3846['freshsalesAccounts'][_0x44fbee(0x184d)]-=0x1,!_0x4e3846[_0x44fbee(0x1d8)][_0x44fbee(0x2214)][_0x44fbee(0xfd0)]&&_0x4e3846[_0x44fbee(0x1d57)](),_0x10aefd[_0x44fbee(0x829)]({'title':_0x39641b()[_0x44fbee(0xa75)](_0x44fbee(0x2908))+_0x44fbee(0x2663),'msg':_0x58c560[_0x44fbee(0x16b6)]?_0x58c560[_0x44fbee(0x16b6)]+'\x20has\x20been\x20deleted!':''});})['catch'](function(_0x166829){const _0x575e32=_0x179ee0;if(_0x166829[_0x575e32(0x25c)]&&_0x166829[_0x575e32(0x25c)][_0x575e32(0x1a7c)]&&_0x166829[_0x575e32(0x25c)][_0x575e32(0x1a7c)]['length']){_0x4e3846[_0x575e32(0x1a7c)]=_0x166829[_0x575e32(0x25c)]['errors']||[{'message':_0x166829[_0x575e32(0x147f)](),'type':_0x575e32(0x126f)}];for(let _0x2de493=0x0;_0x2de493<_0x166829[_0x575e32(0x25c)][_0x575e32(0x1a7c)][_0x575e32(0xfd0)];_0x2de493++){_0x10aefd[_0x575e32(0x218e)]({'title':_0x166829[_0x575e32(0x25c)][_0x575e32(0x1a7c)][_0x2de493][_0x575e32(0x66a)],'msg':_0x166829[_0x575e32(0x25c)]['errors'][_0x2de493][_0x575e32(0x155e)]});}}else _0x10aefd['error']({'title':_0x166829[_0x575e32(0x291)]?_0x575e32(0xeb9)+_0x166829[_0x575e32(0x291)]+'\x20-\x20'+_0x166829[_0x575e32(0xc22)]:'SYSTEM:DELETEintFreshsalesAccount','msg':_0x166829['data']?JSON[_0x575e32(0x2701)](_0x166829[_0x575e32(0x25c)]['message']):_0x166829[_0x575e32(0x155e)]||_0x166829[_0x575e32(0x147f)]()});});}function _0x25a56b(){const _0xc490ad=_0x238b56,_0x4b2bca=angular[_0xc490ad(0x17fe)](_0x4e3846['selectedFreshsalesAccounts']);return _0x4e3846['selectedFreshsalesAccounts']=[],_0x4b2bca;}function _0x1eaed0(_0x38328a){const _0x4f38b7=_0x238b56,_0x42a58d=_0x48903e['confirm']()[_0x4f38b7(0x1386)](_0x4f38b7(0x5b5))[_0x4f38b7(0x49e)](_0x4f38b7(0x204d)+_0x4e3846[_0x4f38b7(0x1475)]['length']+'\x20selected'+_0x4f38b7(0x1b6))['ariaLabel'](_0x4f38b7(0x200b))['targetEvent'](_0x38328a)['ok']('OK')['cancel'](_0x4f38b7(0x24ba));_0x48903e[_0x4f38b7(0xe27)](_0x42a58d)[_0x4f38b7(0x1cb0)](function(){const _0x256b95=_0x4f38b7;_0x4e3846[_0x256b95(0x1475)][_0x256b95(0xf90)](function(_0x5dfd30){_0x560ba4(_0x5dfd30);}),_0x4e3846[_0x256b95(0x1475)]=[];});}function _0x2c8cde(){const _0x2a1b82=_0x238b56;_0x4e3846[_0x2a1b82(0x1475)]=[];}function _0x5ad778(){const _0x557ea6=_0x238b56;_0x4e3846[_0x557ea6(0x1475)]=_0x4e3846['freshsalesAccounts']['rows'];}}const _0x165243=_0x1c4ef7;;_0x36d56a[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x406),_0x5537c6(0x21c8),'$mdDialog','$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x255e),_0x5537c6(0x276d),'api',_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting',_0x5537c6(0x1b1a)];function _0x36d56a(_0x1a0264,_0x55c06d,_0x4dd4d7,_0x39048a,_0xe2eac0,_0x49b37e,_0x3f42f1,_0x5f4a3c,_0x18a327,_0x53a563,_0x594e6b,_0x40c865,_0x41f447,_0x8708a0){const _0xc3d48e=_0x5537c6,_0x53096f=this;_0x53096f[_0xc3d48e(0xe76)]=_0x594e6b[_0xc3d48e(0x21e8)](),_0x53096f[_0xc3d48e(0x1a7c)]=[],_0x53096f['setting']=_0x41f447,_0x53096f[_0xc3d48e(0x8a5)]=_0x40c865,_0x53096f[_0xc3d48e(0x1b1a)]=_0x8708a0,_0x53096f[_0xc3d48e(0xf4c)]={},_0x53096f[_0xc3d48e(0x1b0c)]=_0x53096f['setting']&&_0x53096f[_0xc3d48e(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x53096f[_0xc3d48e(0x1386)]=_0xc3d48e(0x532),_0x53096f[_0xc3d48e(0x276d)]=angular[_0xc3d48e(0x17fe)](_0x18a327),_0x53096f[_0xc3d48e(0x255e)]=_0x5f4a3c,_0x53096f[_0xc3d48e(0x2300)]=![];!_0x53096f[_0xc3d48e(0x276d)]&&(_0x53096f[_0xc3d48e(0x276d)]={'type':'integrationTab','serverUrl':_0x4dd4d7[_0xc3d48e(0x2276)]()+_0xc3d48e(0x138b)+_0x4dd4d7['host']()+(_0x4dd4d7[_0xc3d48e(0x477)]()?':'+_0x4dd4d7[_0xc3d48e(0x477)]():'')},_0x53096f[_0xc3d48e(0x1386)]=_0xc3d48e(0x2379),_0x53096f['newSalesforceAccount']=!![]);_0x53096f[_0xc3d48e(0x1d27)]=_0x38e029,_0x53096f['saveSalesforceAccount']=_0x412428,_0x53096f[_0xc3d48e(0x1650)]=_0x40ddd7,_0x53096f[_0xc3d48e(0x2c4)]=_0x3d4af7,_0x53096f[_0xc3d48e(0xda0)]=_0x560108;function _0x38e029(){const _0x58be95=_0xc3d48e;_0x53096f[_0x58be95(0x1a7c)]=[],_0x53a563['intSalesforceAccount'][_0x58be95(0x1c3f)](_0x53096f[_0x58be95(0x276d)])['$promise'][_0x58be95(0x1cb0)](function(_0x1ecb61){const _0x3dfd8d=_0x58be95;_0x53096f['salesforceAccounts'][_0x3dfd8d(0xf63)](_0x1ecb61[_0x3dfd8d(0x19b2)]()),_0x3f42f1[_0x3dfd8d(0x829)]({'title':_0x3dfd8d(0x1a0e),'msg':_0x53096f[_0x3dfd8d(0x276d)][_0x3dfd8d(0x16b6)]?_0x53096f[_0x3dfd8d(0x276d)][_0x3dfd8d(0x16b6)]+_0x3dfd8d(0x470):''}),_0x560108(_0x1ecb61);})[_0x58be95(0x1c4)](function(_0x245e79){const _0x270350=_0x58be95;if(_0x245e79[_0x270350(0x25c)]&&_0x245e79[_0x270350(0x25c)]['errors']&&_0x245e79[_0x270350(0x25c)][_0x270350(0x1a7c)]['length']){_0x53096f[_0x270350(0x1a7c)]=_0x245e79[_0x270350(0x25c)]['errors']||[{'message':_0x245e79['toString'](),'type':_0x270350(0xde9)}];for(let _0x3682df=0x0;_0x3682df<_0x245e79['data'][_0x270350(0x1a7c)]['length'];_0x3682df+=0x1){_0x3f42f1[_0x270350(0x218e)]({'title':_0x245e79[_0x270350(0x25c)]['errors'][_0x3682df][_0x270350(0x66a)],'msg':_0x245e79[_0x270350(0x25c)][_0x270350(0x1a7c)][_0x3682df][_0x270350(0x155e)]});}}else _0x3f42f1[_0x270350(0x218e)]({'title':_0x245e79['status']?_0x270350(0xeb9)+_0x245e79['status']+_0x270350(0x1657)+_0x245e79['statusText']:_0x270350(0xde9),'msg':_0x245e79['data']?JSON['stringify'](_0x245e79[_0x270350(0x25c)]['message']):_0x245e79[_0x270350(0x147f)]()});});}function _0x412428(){const _0x1b6a38=_0xc3d48e;_0x53096f[_0x1b6a38(0x1a7c)]=[],_0x53a563[_0x1b6a38(0x1186)][_0x1b6a38(0x687)]({'id':_0x53096f['salesforceAccount']['id']},_0x53096f[_0x1b6a38(0x276d)])['$promise']['then'](function(_0x4439b6){const _0x317368=_0x1b6a38,_0x57b03a=_0x39641b()[_0x317368(0x13b4)](_0x53096f['salesforceAccounts'],{'id':_0x4439b6['id']});_0x57b03a&&_0x39641b()['merge'](_0x57b03a,_0x39641b()[_0x317368(0x169b)](_0x4439b6[_0x317368(0x19b2)](),_0x39641b()[_0x317368(0x1be5)](_0x57b03a))),_0x3f42f1[_0x317368(0x829)]({'title':_0x317368(0x1438),'msg':_0x53096f[_0x317368(0x276d)][_0x317368(0x16b6)]?_0x53096f['salesforceAccount']['name']+'\x20has\x20been\x20saved!':''}),_0x560108(_0x4439b6);})[_0x1b6a38(0x1c4)](function(_0xd66b7b){const _0x24dc43=_0x1b6a38;if(_0xd66b7b[_0x24dc43(0x25c)]&&_0xd66b7b['data'][_0x24dc43(0x1a7c)]&&_0xd66b7b[_0x24dc43(0x25c)][_0x24dc43(0x1a7c)]['length']){_0x53096f['errors']=_0xd66b7b[_0x24dc43(0x25c)]['errors']||[{'message':_0xd66b7b['toString'](),'type':_0x24dc43(0x28c8)}];for(let _0x50e94e=0x0;_0x50e94e<_0xd66b7b[_0x24dc43(0x25c)]['errors'][_0x24dc43(0xfd0)];_0x50e94e++){_0x3f42f1[_0x24dc43(0x218e)]({'title':_0xd66b7b[_0x24dc43(0x25c)][_0x24dc43(0x1a7c)][_0x50e94e][_0x24dc43(0x66a)],'msg':_0xd66b7b[_0x24dc43(0x25c)][_0x24dc43(0x1a7c)][_0x50e94e][_0x24dc43(0x155e)]});}}else _0x3f42f1[_0x24dc43(0x218e)]({'title':_0xd66b7b[_0x24dc43(0x291)]?'API:'+_0xd66b7b[_0x24dc43(0x291)]+_0x24dc43(0x1657)+_0xd66b7b[_0x24dc43(0xc22)]:_0x24dc43(0x28c8),'msg':_0xd66b7b['data']?JSON[_0x24dc43(0x2701)](_0xd66b7b['data']['message']):_0xd66b7b[_0x24dc43(0x147f)]()});});}function _0x40ddd7(_0x15c06d){const _0x43b202=_0xc3d48e;_0x53096f[_0x43b202(0x1a7c)]=[];const _0xcfc88a=_0x39048a[_0x43b202(0x1551)]()[_0x43b202(0x1386)]('Are\x20you\x20sure?')['content'](_0x43b202(0xe6c))[_0x43b202(0x15ad)](_0x43b202(0x24b))['ok'](_0x43b202(0x2594))[_0x43b202(0x696)]('Cancel')[_0x43b202(0x728)](_0x15c06d);_0x39048a[_0x43b202(0xe27)](_0xcfc88a)[_0x43b202(0x1cb0)](function(){const _0x32bf51=_0x43b202;_0x53a563[_0x32bf51(0x1186)][_0x32bf51(0x111d)]({'id':_0x53096f[_0x32bf51(0x276d)]['id']})[_0x32bf51(0x1d77)][_0x32bf51(0x1cb0)](function(){const _0x2a2c28=_0x32bf51;_0x39641b()[_0x2a2c28(0x152a)](_0x53096f[_0x2a2c28(0x255e)],{'id':_0x53096f[_0x2a2c28(0x276d)]['id']}),_0x3f42f1[_0x2a2c28(0x829)]({'title':'SalesforceAccount\x20properly\x20deleted!','msg':(_0x53096f['salesforceAccount'][_0x2a2c28(0x16b6)]||_0x2a2c28(0x276d))+_0x2a2c28(0x3f5)}),_0x560108(_0x53096f[_0x2a2c28(0x276d)]);})[_0x32bf51(0x1c4)](function(_0x42b4b9){const _0x8aa372=_0x32bf51;if(_0x42b4b9[_0x8aa372(0x25c)]&&_0x42b4b9[_0x8aa372(0x25c)][_0x8aa372(0x1a7c)]&&_0x42b4b9[_0x8aa372(0x25c)][_0x8aa372(0x1a7c)][_0x8aa372(0xfd0)]){_0x53096f[_0x8aa372(0x1a7c)]=_0x42b4b9[_0x8aa372(0x25c)][_0x8aa372(0x1a7c)]||[{'message':_0x42b4b9['toString'](),'type':_0x8aa372(0xc04)}];for(let _0x280069=0x0;_0x280069<_0x42b4b9[_0x8aa372(0x25c)][_0x8aa372(0x1a7c)][_0x8aa372(0xfd0)];_0x280069++){_0x3f42f1[_0x8aa372(0x218e)]({'title':_0x42b4b9[_0x8aa372(0x25c)][_0x8aa372(0x1a7c)][_0x280069][_0x8aa372(0x66a)],'msg':_0x42b4b9[_0x8aa372(0x25c)]['errors'][_0x280069][_0x8aa372(0x155e)]});}}else _0x3f42f1[_0x8aa372(0x218e)]({'title':_0x42b4b9[_0x8aa372(0x291)]?_0x8aa372(0xeb9)+_0x42b4b9[_0x8aa372(0x291)]+_0x8aa372(0x1657)+_0x42b4b9[_0x8aa372(0xc22)]:'api.intSalesforceAccount.delete','msg':_0x42b4b9[_0x8aa372(0x25c)]?JSON['stringify'](_0x42b4b9[_0x8aa372(0x25c)][_0x8aa372(0x155e)]):_0x42b4b9['message']||_0x42b4b9[_0x8aa372(0x147f)]()});});},function(){});}function _0x3d4af7(_0x12b42d){return _0x12b42d===null?undefined:new Date(_0x12b42d);}function _0x560108(_0x3fd1df){const _0x5674eb=_0xc3d48e;_0x39048a[_0x5674eb(0x1426)](_0x3fd1df);}}const _0x4b78f4=_0x36d56a;;const _0x53c8fe=_0x5074a3['p']+'src/js/modules/main/apps/integrations/views/salesforceAccounts/edit/configurations/dialog.html/dialog.html';;const _0x6718f7=_0x5074a3['p']+_0x5537c6(0x172d);;_0x24fb1b['$inject']=[_0x5537c6(0xcb9),'$document',_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x1774)];function _0x24fb1b(_0x3636f5,_0x2241a5,_0x2edc6d,_0x3f0424,_0x5956ea){const _0xe7b39c=_0x5537c6,_0x2637d9=this;_0x2637d9[_0xe7b39c(0xe76)]=_0x5956ea[_0xe7b39c(0x21e8)](),_0x2637d9[_0xe7b39c(0x276d)]={},_0x2637d9[_0xe7b39c(0x29ce)]={},_0x2637d9[_0xe7b39c(0xa06)]=[],_0x2637d9['selectedSalesforceConfiguration']=-0x1,_0x2637d9[_0xe7b39c(0x1b1a)],_0x2637d9[_0xe7b39c(0x1a8e)]=_0x1ff754,_0x2637d9[_0xe7b39c(0x26ca)]=_0x1a5534,_0x2637d9[_0xe7b39c(0x1843)]=_0x219758,_0x2637d9[_0xe7b39c(0xb8f)]=_0x30986d,_0x2637d9[_0xe7b39c(0x1da1)]=_0x31cf87;function _0x31cf87(){const _0x5c59a6=_0xe7b39c;return _0x2edc6d['intSalesforceConfiguration'][_0x5c59a6(0x687)]({'id':_0x2637d9[_0x5c59a6(0x29ce)]['id']},_0x2637d9[_0x5c59a6(0x29ce)])[_0x5c59a6(0x1d77)][_0x5c59a6(0x1cb0)](function(){_0x3f0424['success']({'title':'Module\x20updated!','msg':'Module\x20has\x20been\x20updated!'});})[_0x5c59a6(0x1c4)](function(_0x161765){const _0xfe2835=_0x5c59a6;_0x3f0424[_0xfe2835(0x218e)]({'title':_0x161765[_0xfe2835(0x291)]?_0xfe2835(0xeb9)+_0x161765[_0xfe2835(0x291)]+_0xfe2835(0x1657)+_0x161765[_0xfe2835(0xc22)]:_0xfe2835(0x22f8),'msg':_0x161765[_0xfe2835(0x25c)]?JSON[_0xfe2835(0x2701)](_0x161765[_0xfe2835(0x25c)]):_0x161765[_0xfe2835(0x147f)]()});});}function _0x1ff754(_0x48cc48,_0x2234fd){const _0x25a1b1=_0xe7b39c;_0x2637d9['salesforceAccount']=_0x48cc48,_0x2637d9[_0x25a1b1(0x1b1a)]=typeof _0x2234fd!==_0x25a1b1(0x16b5)?_0x2234fd:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x2edc6d[_0x25a1b1(0x1186)]['getConfigurations']({'id':_0x2637d9[_0x25a1b1(0x276d)]['id'],'sort':_0x25a1b1(0x282)})[_0x25a1b1(0x1d77)][_0x25a1b1(0x1cb0)](function(_0x50a576){const _0x520269=_0x25a1b1;return _0x2637d9[_0x520269(0xa06)]=_0x50a576[_0x520269(0x2214)]?_0x50a576[_0x520269(0x2214)]:[],_0x2637d9[_0x520269(0xa06)][_0x520269(0xfd0)]&&_0x2637d9[_0x520269(0x26ca)](0x0),_0x2edc6d[_0x520269(0x1822)][_0x520269(0xbf7)]({'nolimit':!![],'sort':_0x520269(0x16b6)})[_0x520269(0x1d77)];})[_0x25a1b1(0x1cb0)](function(_0x155d42){const _0x53cc3d=_0x25a1b1;_0x2637d9[_0x53cc3d(0x9a9)]=_0x155d42['rows']?_0x155d42[_0x53cc3d(0x2214)]:[],_0x2637d9[_0x53cc3d(0x1a3c)]=_0x39641b()['keyBy'](_0x2637d9[_0x53cc3d(0x9a9)],'id');})[_0x25a1b1(0x1c4)](function(_0x40a9ec){const _0x2e3a4a=_0x25a1b1;_0x3f0424[_0x2e3a4a(0x218e)]({'title':_0x40a9ec[_0x2e3a4a(0x291)]?_0x2e3a4a(0xeb9)+_0x40a9ec['status']+_0x2e3a4a(0x1657)+_0x40a9ec[_0x2e3a4a(0xc22)]:_0x2e3a4a(0x1b0f),'msg':_0x40a9ec[_0x2e3a4a(0x25c)]?JSON[_0x2e3a4a(0x2701)](_0x40a9ec[_0x2e3a4a(0x25c)]):_0x40a9ec[_0x2e3a4a(0x147f)]()});});}function _0x1a5534(_0x426491){const _0x35176e=_0xe7b39c;_0x2637d9[_0x35176e(0x29ce)]=_0x2637d9[_0x35176e(0xa06)][_0x426491],_0x2637d9[_0x35176e(0x118a)]=_0x426491,_0x2edc6d[_0x35176e(0xbae)][_0x35176e(0x243a)]({'id':_0x2637d9[_0x35176e(0x29ce)]['id']})['$promise'][_0x35176e(0x1cb0)](function(_0x30b873){const _0x485619=_0x35176e;return _0x2637d9[_0x485619(0x2388)]=_0x30b873[_0x485619(0x2214)]?_0x30b873[_0x485619(0x2214)]:[],_0x2edc6d[_0x485619(0xbae)][_0x485619(0xb6d)]({'id':_0x2637d9[_0x485619(0x29ce)]['id']})[_0x485619(0x1d77)];})['then'](function(_0x2559c3){const _0x461410=_0x35176e;return _0x2637d9[_0x461410(0x310)]=_0x2559c3[_0x461410(0x2214)]?_0x2559c3[_0x461410(0x2214)]:[],_0x2edc6d[_0x461410(0xbae)]['getFields']({'id':_0x2637d9[_0x461410(0x29ce)]['id']})['$promise'];})[_0x35176e(0x1cb0)](function(_0x3a6bbd){const _0x46521d=_0x35176e;_0x2637d9[_0x46521d(0x355)]=_0x3a6bbd[_0x46521d(0x2214)]?_0x3a6bbd['rows']:[];})[_0x35176e(0x1c4)](function(_0x58fd5b){const _0xe3005b=_0x35176e;_0x3f0424[_0xe3005b(0x218e)]({'title':_0x58fd5b[_0xe3005b(0x291)]?_0xe3005b(0xeb9)+_0x58fd5b[_0xe3005b(0x291)]+_0xe3005b(0x1657)+_0x58fd5b[_0xe3005b(0xc22)]:_0xe3005b(0x1e5f),'msg':_0x58fd5b['data']?JSON['stringify'](_0x58fd5b[_0xe3005b(0x25c)]):_0x58fd5b['toString']()});});}function _0x219758(_0x1a775a,_0x44c407){const _0x14a360=_0xe7b39c;_0x3636f5[_0x14a360(0xe27)]({'controller':'CreateOrEditSalesforceConfigurationDialogController','controllerAs':'vm','templateUrl':_0x53c8fe,'parent':angular[_0x14a360(0x1853)](_0x2241a5[_0x14a360(0x1ed9)]),'targetEvent':_0x1a775a,'clickOutsideToClose':!![],'locals':{'salesforceConfiguration':_0x44c407,'configurations':_0x2637d9[_0x14a360(0xa06)],'license':null,'setting':null,'crudPermissions':_0x2637d9[_0x14a360(0x1b1a)]}})[_0x14a360(0x2e0)](function(){const _0x5cacbf=_0x14a360;_0x2637d9[_0x5cacbf(0x26ca)](0x0);});}function _0x30986d(_0x56e419,_0x5b4f4e){const _0x31dd43=_0xe7b39c,_0x1a99c1=_0x3636f5[_0x31dd43(0x1551)]()[_0x31dd43(0x1386)](_0x31dd43(0x1d2e))[_0x31dd43(0x49e)](_0x31dd43(0x204d)+(_0x5b4f4e['name']||'salesforceConfiguration')+_0x31dd43(0x1200)+_0x31dd43(0x1b6))[_0x31dd43(0x15ad)]('delete\x20salesforceConfiguration')['targetEvent'](_0x56e419)['ok']('OK')[_0x31dd43(0x696)](_0x31dd43(0x24ba));_0x3636f5[_0x31dd43(0xe27)](_0x1a99c1)['then'](function(){const _0x46cfaa=_0x31dd43;_0x2edc6d[_0x46cfaa(0xbae)][_0x46cfaa(0x111d)]({'id':_0x5b4f4e['id']})[_0x46cfaa(0x1d77)][_0x46cfaa(0x1cb0)](function(){const _0x3f4c13=_0x46cfaa;_0x39641b()[_0x3f4c13(0x152a)](_0x2637d9[_0x3f4c13(0xa06)],{'id':_0x5b4f4e['id']}),_0x3f0424[_0x3f4c13(0x829)]({'title':_0x3f4c13(0x28dd),'msg':_0x5b4f4e['name']?_0x5b4f4e[_0x3f4c13(0x16b6)]+_0x3f4c13(0x3f5):''});})[_0x46cfaa(0x1c4)](function(_0x54b671){const _0x1834be=_0x46cfaa;_0x3f0424[_0x1834be(0x218e)]({'title':_0x54b671[_0x1834be(0x291)]?'API:'+_0x54b671['status']+_0x1834be(0x1657)+_0x54b671['statusText']:_0x1834be(0x543),'msg':_0x54b671[_0x1834be(0x25c)]?JSON[_0x1834be(0x2701)](_0x54b671[_0x1834be(0x25c)]):_0x54b671[_0x1834be(0x147f)]()});})[_0x46cfaa(0x2e0)](function(){const _0x562bf7=_0x46cfaa;_0x2637d9[_0x562bf7(0x26ca)](0x0);});},function(){const _0xdaaeb4=_0x31dd43;console[_0xdaaeb4(0x1b4f)](_0xdaaeb4(0x24ba));});}_0x2637d9[_0xe7b39c(0x4df)]=[],_0x2637d9[_0xe7b39c(0x1267)]=_0x5be868,_0x2637d9[_0xe7b39c(0x259e)]=_0x63d02d,_0x2637d9[_0xe7b39c(0xf12)]=_0x6b097e;function _0x5be868(_0x1d6ec5,_0x309276){const _0x5145b8=_0xe7b39c;_0x3636f5[_0x5145b8(0xe27)]({'controller':_0x5145b8(0x1c8),'controllerAs':'vm','templateUrl':_0x6718f7,'parent':angular[_0x5145b8(0x1853)](_0x2241a5[_0x5145b8(0x1ed9)]),'targetEvent':_0x1d6ec5,'clickOutsideToClose':!![],'locals':{'type':'subject','item':_0x309276,'items':_0x2637d9[_0x5145b8(0x2388)],'configuration':_0x2637d9[_0x5145b8(0x29ce)],'account':_0x2637d9[_0x5145b8(0x276d)],'license':null,'setting':null,'crudPermissions':_0x2637d9['crudPermissions']}});}function _0x4d5b08(_0x2548e3){const _0x45dd71=_0xe7b39c;_0x2edc6d['intSalesforceField'][_0x45dd71(0x111d)]({'id':_0x2548e3['id']})[_0x45dd71(0x1d77)][_0x45dd71(0x1cb0)](function(){const _0x34e604=_0x45dd71;_0x39641b()[_0x34e604(0x152a)](_0x2637d9['subjects'],{'id':_0x2548e3['id']}),_0x3f0424[_0x34e604(0x829)]({'title':'Subject\x20deleted!','msg':_0x34e604(0x145d)});})['catch'](function(_0x20807e){const _0x48a8fd=_0x45dd71;_0x3f0424[_0x48a8fd(0x218e)]({'title':_0x20807e['status']?_0x48a8fd(0xeb9)+_0x20807e['status']+'\x20-\x20'+_0x20807e[_0x48a8fd(0xc22)]:_0x48a8fd(0x13e5),'msg':_0x20807e['data']?JSON['stringify'](_0x20807e[_0x48a8fd(0x25c)]):_0x20807e[_0x48a8fd(0x147f)]()});});}function _0x63d02d(_0x4e3d72,_0x16f522){const _0x57d2e8=_0xe7b39c,_0xbd0079=_0x3636f5[_0x57d2e8(0x1551)]()[_0x57d2e8(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20subject?')['htmlContent']('Subject'+_0x57d2e8(0x1b6))['ariaLabel'](_0x57d2e8(0xbc3))[_0x57d2e8(0x728)](_0x4e3d72)['ok']('OK')[_0x57d2e8(0x696)](_0x57d2e8(0x24ba));_0x3636f5['show'](_0xbd0079)[_0x57d2e8(0x1cb0)](function(){_0x4d5b08(_0x16f522);},function(){const _0x19329e=_0x57d2e8;console[_0x19329e(0x1b4f)](_0x19329e(0x24ba));});}function _0x6b097e(_0x447c1e){const _0x24a1e7=_0xe7b39c,_0x352634=_0x3636f5[_0x24a1e7(0x1551)]()[_0x24a1e7(0x1386)](_0x24a1e7(0x1f8e))[_0x24a1e7(0x49e)](_0x24a1e7(0x204d)+_0x2637d9[_0x24a1e7(0x4df)][_0x24a1e7(0xfd0)]+_0x24a1e7(0x1d6c)+_0x24a1e7(0x1b6))['ariaLabel'](_0x24a1e7(0x222e))[_0x24a1e7(0x728)](_0x447c1e)['ok']('OK')['cancel'](_0x24a1e7(0x24ba));_0x3636f5['show'](_0x352634)['then'](function(){const _0x50c344=_0x24a1e7;_0x2637d9[_0x50c344(0x4df)][_0x50c344(0xf90)](function(_0x37e4a1){_0x4d5b08(_0x37e4a1);}),_0x2637d9[_0x50c344(0x4df)]=[];});}_0x2637d9[_0xe7b39c(0xac6)]=[],_0x2637d9[_0xe7b39c(0x14c7)]=_0x5e1261,_0x2637d9[_0xe7b39c(0xc09)]=_0x593bf4,_0x2637d9[_0xe7b39c(0x2931)]=_0x52ebbb;function _0x5e1261(_0x11e90e,_0x422fb9){const _0x37f4e7=_0xe7b39c;_0x3636f5['show']({'controller':_0x37f4e7(0x1c8),'controllerAs':'vm','templateUrl':_0x6718f7,'parent':angular[_0x37f4e7(0x1853)](_0x2241a5['body']),'targetEvent':_0x11e90e,'clickOutsideToClose':!![],'locals':{'type':_0x37f4e7(0x2c1),'item':_0x422fb9,'items':_0x2637d9[_0x37f4e7(0x310)],'configuration':_0x2637d9[_0x37f4e7(0x29ce)],'account':_0x2637d9[_0x37f4e7(0x276d)],'license':null,'setting':null,'crudPermissions':_0x2637d9[_0x37f4e7(0x1b1a)]}});}function _0x374acd(_0x1db525){const _0x14682c=_0xe7b39c;_0x2edc6d[_0x14682c(0x1a47)][_0x14682c(0x111d)]({'id':_0x1db525['id']})[_0x14682c(0x1d77)][_0x14682c(0x1cb0)](function(){const _0x121d78=_0x14682c;_0x39641b()[_0x121d78(0x152a)](_0x2637d9[_0x121d78(0x310)],{'id':_0x1db525['id']}),_0x3f0424['success']({'title':'Description\x20deleted!','msg':'Description\x20has\x20been\x20deleted!'});})[_0x14682c(0x1c4)](function(_0x567a85){const _0x4d99aa=_0x14682c;_0x3f0424[_0x4d99aa(0x218e)]({'title':_0x567a85[_0x4d99aa(0x291)]?'API:'+_0x567a85[_0x4d99aa(0x291)]+_0x4d99aa(0x1657)+_0x567a85[_0x4d99aa(0xc22)]:_0x4d99aa(0x13e5),'msg':_0x567a85['data']?JSON['stringify'](_0x567a85[_0x4d99aa(0x25c)]):_0x567a85[_0x4d99aa(0x147f)]()});});}function _0x593bf4(_0x594441,_0x1ec78c){const _0x5e80a5=_0xe7b39c,_0x45960e=_0x3636f5[_0x5e80a5(0x1551)]()[_0x5e80a5(0x1386)](_0x5e80a5(0x7d2))[_0x5e80a5(0x49e)](_0x5e80a5(0x18b8)+_0x5e80a5(0x1b6))[_0x5e80a5(0x15ad)](_0x5e80a5(0x103d))['targetEvent'](_0x594441)['ok']('OK')['cancel'](_0x5e80a5(0x24ba));_0x3636f5[_0x5e80a5(0xe27)](_0x45960e)[_0x5e80a5(0x1cb0)](function(){_0x374acd(_0x1ec78c);},function(){const _0x4249c7=_0x5e80a5;console[_0x4249c7(0x1b4f)](_0x4249c7(0x24ba));});}function _0x52ebbb(_0x122e27){const _0x55b294=_0xe7b39c,_0x2189f1=_0x3636f5[_0x55b294(0x1551)]()['title'](_0x55b294(0x2e7))[_0x55b294(0x49e)](_0x55b294(0x204d)+_0x2637d9[_0x55b294(0xac6)][_0x55b294(0xfd0)]+'\x20selected'+_0x55b294(0x1b6))[_0x55b294(0x15ad)](_0x55b294(0x1828))[_0x55b294(0x728)](_0x122e27)['ok']('OK')[_0x55b294(0x696)](_0x55b294(0x24ba));_0x3636f5['show'](_0x2189f1)[_0x55b294(0x1cb0)](function(){const _0x5754b8=_0x55b294;_0x2637d9['selectedDescriptions'][_0x5754b8(0xf90)](function(_0x3091b9){_0x374acd(_0x3091b9);}),_0x2637d9['selectedDescriptions']=[];});}_0x2637d9['selectedFields']=[],_0x2637d9[_0xe7b39c(0x5e9)]=_0x80ae2b,_0x2637d9[_0xe7b39c(0x2398)]=_0x45e68b,_0x2637d9[_0xe7b39c(0xe40)]=_0x2bcc4f;function _0x80ae2b(_0x16f816,_0x2e4153){const _0x5b23de=_0xe7b39c;_0x3636f5[_0x5b23de(0xe27)]({'controller':_0x5b23de(0x1c8),'controllerAs':'vm','templateUrl':_0x6718f7,'parent':angular[_0x5b23de(0x1853)](_0x2241a5['body']),'targetEvent':_0x16f816,'clickOutsideToClose':!![],'locals':{'type':_0x5b23de(0x2198),'item':_0x2e4153,'items':_0x2637d9[_0x5b23de(0x355)],'configuration':_0x2637d9[_0x5b23de(0x29ce)],'account':_0x2637d9[_0x5b23de(0x276d)],'license':null,'setting':null,'crudPermissions':_0x2637d9[_0x5b23de(0x1b1a)]}});}function _0x3671e2(_0x52a7e0){const _0x2b9acf=_0xe7b39c;_0x2edc6d[_0x2b9acf(0x1a47)]['delete']({'id':_0x52a7e0['id']})[_0x2b9acf(0x1d77)]['then'](function(){const _0x4a3af8=_0x2b9acf;_0x39641b()[_0x4a3af8(0x152a)](_0x2637d9[_0x4a3af8(0x355)],{'id':_0x52a7e0['id']}),_0x3f0424[_0x4a3af8(0x829)]({'title':_0x4a3af8(0xd6b),'msg':_0x4a3af8(0x2688)});})[_0x2b9acf(0x1c4)](function(_0x5ebf26){const _0x293483=_0x2b9acf;_0x3f0424[_0x293483(0x218e)]({'title':_0x5ebf26['status']?'API:'+_0x5ebf26[_0x293483(0x291)]+'\x20-\x20'+_0x5ebf26['statusText']:_0x293483(0x13e5),'msg':_0x5ebf26[_0x293483(0x25c)]?JSON['stringify'](_0x5ebf26[_0x293483(0x25c)]):_0x5ebf26['toString']()});});}function _0x45e68b(_0x355f3c,_0x24d49e){const _0x2ba34a=_0xe7b39c,_0x282818=_0x3636f5[_0x2ba34a(0x1551)]()[_0x2ba34a(0x1386)](_0x2ba34a(0x21a9))['htmlContent'](_0x2ba34a(0xf1d)+_0x2ba34a(0x1b6))[_0x2ba34a(0x15ad)]('delete\x20field')[_0x2ba34a(0x728)](_0x355f3c)['ok']('OK')[_0x2ba34a(0x696)](_0x2ba34a(0x24ba));_0x3636f5[_0x2ba34a(0xe27)](_0x282818)[_0x2ba34a(0x1cb0)](function(){_0x3671e2(_0x24d49e);},function(){const _0x446ff1=_0x2ba34a;console[_0x446ff1(0x1b4f)](_0x446ff1(0x24ba));});}function _0x2bcc4f(_0x267e93){const _0x4ae797=_0xe7b39c,_0x51cca9=_0x3636f5[_0x4ae797(0x1551)]()[_0x4ae797(0x1386)](_0x4ae797(0x983))[_0x4ae797(0x49e)](''+_0x2637d9[_0x4ae797(0x9b2)][_0x4ae797(0xfd0)]+_0x4ae797(0x1d6c)+_0x4ae797(0x1b6))['ariaLabel'](_0x4ae797(0x199d))['targetEvent'](_0x267e93)['ok']('OK')[_0x4ae797(0x696)](_0x4ae797(0x24ba));_0x3636f5[_0x4ae797(0xe27)](_0x51cca9)[_0x4ae797(0x1cb0)](function(){const _0x2861a2=_0x4ae797;_0x2637d9[_0x2861a2(0x9b2)]['forEach'](function(_0x43b42a){_0x3671e2(_0x43b42a);}),_0x2637d9[_0x2861a2(0x9b2)]=[];});}}const _0x5f2216=_0x24fb1b;;_0x52bc69[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0xa06),_0x5537c6(0x29ce),'api',_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x52bc69(_0x501f16,_0x1b72ee,_0x32c7ea,_0xb33b1b,_0x53a23b,_0x359263,_0x37e9e1,_0x1ec7a5,_0x26178a,_0x17d1da,_0x24ce57,_0x13ec23,_0x4bc192,_0x20fa2b){const _0x56284c=_0x5537c6,_0x479006=this;_0x479006[_0x56284c(0xe76)]=_0x24ce57[_0x56284c(0x21e8)](),_0x479006[_0x56284c(0x1a7c)]=[],_0x479006['setting']=_0x4bc192,_0x479006[_0x56284c(0x8a5)]=_0x13ec23,_0x479006[_0x56284c(0x1b1a)]=_0x20fa2b,_0x479006[_0x56284c(0xf4c)]={},_0x479006[_0x56284c(0x1b0c)]=_0x479006[_0x56284c(0x9ca)]&&_0x479006['setting']['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x479006[_0x56284c(0x1386)]=_0x56284c(0x279b),_0x479006[_0x56284c(0x29ce)]=angular[_0x56284c(0x17fe)](_0x26178a),_0x479006['configurations']=_0x1ec7a5,_0x479006[_0x56284c(0x1d9b)]=![];!_0x479006['salesforceConfiguration']&&(_0x479006[_0x56284c(0x29ce)]={'channel':_0x56284c(0x1fd4),'type':'inbound','ticketType':_0x56284c(0x16e0)},_0x479006['title']=_0x56284c(0xf18),_0x479006[_0x56284c(0x1d9b)]=!![]);_0x479006[_0x56284c(0x7af)]=_0x1661b5,_0x479006[_0x56284c(0x1eba)]=_0x3b13ef,_0x479006['deleteSalesforceConfiguration']=_0x4e98af,_0x479006[_0x56284c(0x2c4)]=_0x44969f,_0x479006[_0x56284c(0xda0)]=_0x5610f0;function _0x1661b5(){const _0x438352=_0x56284c;_0x479006[_0x438352(0x1a7c)]=[],_0x17d1da[_0x438352(0x1186)][_0x438352(0x1070)]({'id':_0x1b72ee['params']['id']},_0x479006[_0x438352(0x29ce)])[_0x438352(0x1d77)][_0x438352(0x1cb0)](function(_0x47b4cd){const _0xbb14d9=_0x438352;_0x479006[_0xbb14d9(0xa06)][_0xbb14d9(0xf63)](_0x47b4cd[_0xbb14d9(0x19b2)]()),_0x37e9e1[_0xbb14d9(0x829)]({'title':_0xbb14d9(0x24d8),'msg':_0x479006['salesforceConfiguration']['name']?_0x479006[_0xbb14d9(0x29ce)]['name']+_0xbb14d9(0x470):''}),_0x5610f0(_0x47b4cd);})[_0x438352(0x1c4)](function(_0x54e8f3){const _0xd3b1ab=_0x438352;if(_0x54e8f3[_0xd3b1ab(0x25c)]&&_0x54e8f3[_0xd3b1ab(0x25c)][_0xd3b1ab(0x1a7c)]&&_0x54e8f3[_0xd3b1ab(0x25c)]['errors'][_0xd3b1ab(0xfd0)]){_0x479006['errors']=_0x54e8f3[_0xd3b1ab(0x25c)][_0xd3b1ab(0x1a7c)]||[{'message':_0x54e8f3['toString'](),'type':_0xd3b1ab(0x1226)}];for(let _0x365907=0x0;_0x365907<_0x54e8f3[_0xd3b1ab(0x25c)][_0xd3b1ab(0x1a7c)][_0xd3b1ab(0xfd0)];_0x365907+=0x1){_0x37e9e1['error']({'title':_0x54e8f3[_0xd3b1ab(0x25c)][_0xd3b1ab(0x1a7c)][_0x365907][_0xd3b1ab(0x66a)],'msg':_0x54e8f3[_0xd3b1ab(0x25c)]['errors'][_0x365907][_0xd3b1ab(0x155e)]});}}else _0x37e9e1[_0xd3b1ab(0x218e)]({'title':_0x54e8f3[_0xd3b1ab(0x291)]?_0xd3b1ab(0xeb9)+_0x54e8f3[_0xd3b1ab(0x291)]+'\x20-\x20'+_0x54e8f3[_0xd3b1ab(0xc22)]:_0xd3b1ab(0x1226),'msg':_0x54e8f3['data']?JSON[_0xd3b1ab(0x2701)](_0x54e8f3[_0xd3b1ab(0x25c)][_0xd3b1ab(0x155e)]):_0x54e8f3['toString']()});});}function _0x3b13ef(){const _0x8cc7c1=_0x56284c;_0x479006['errors']=[],_0x17d1da[_0x8cc7c1(0xbae)][_0x8cc7c1(0x687)]({'id':_0x479006[_0x8cc7c1(0x29ce)]['id']},_0x479006[_0x8cc7c1(0x29ce)])['$promise'][_0x8cc7c1(0x1cb0)](function(_0xff64c){const _0x1bf993=_0x8cc7c1,_0x5de06a=_0x39641b()['find'](_0x479006[_0x1bf993(0xa06)],{'id':_0xff64c['id']});_0x5de06a&&_0x39641b()[_0x1bf993(0x9c1)](_0x5de06a,_0x39641b()[_0x1bf993(0x169b)](_0xff64c['toJSON'](),_0x39641b()[_0x1bf993(0x1be5)](_0x5de06a))),_0x37e9e1[_0x1bf993(0x829)]({'title':_0x1bf993(0x2513),'msg':_0x479006[_0x1bf993(0x29ce)][_0x1bf993(0x16b6)]?_0x479006[_0x1bf993(0x29ce)][_0x1bf993(0x16b6)]+_0x1bf993(0xedb):''}),_0x5610f0(_0xff64c);})[_0x8cc7c1(0x1c4)](function(_0x522a8a){const _0x533840=_0x8cc7c1;if(_0x522a8a[_0x533840(0x25c)]&&_0x522a8a['data'][_0x533840(0x1a7c)]&&_0x522a8a[_0x533840(0x25c)][_0x533840(0x1a7c)][_0x533840(0xfd0)]){_0x479006[_0x533840(0x1a7c)]=_0x522a8a[_0x533840(0x25c)][_0x533840(0x1a7c)]||[{'message':_0x522a8a[_0x533840(0x147f)](),'type':_0x533840(0xacd)}];for(let _0x5c0cd3=0x0;_0x5c0cd3<_0x522a8a[_0x533840(0x25c)][_0x533840(0x1a7c)]['length'];_0x5c0cd3++){_0x37e9e1[_0x533840(0x218e)]({'title':_0x522a8a['data'][_0x533840(0x1a7c)][_0x5c0cd3][_0x533840(0x66a)],'msg':_0x522a8a[_0x533840(0x25c)][_0x533840(0x1a7c)][_0x5c0cd3]['message']});}}else _0x37e9e1['error']({'title':_0x522a8a[_0x533840(0x291)]?'API:'+_0x522a8a[_0x533840(0x291)]+_0x533840(0x1657)+_0x522a8a[_0x533840(0xc22)]:_0x533840(0xacd),'msg':_0x522a8a[_0x533840(0x25c)]?JSON['stringify'](_0x522a8a['data'][_0x533840(0x155e)]):_0x522a8a[_0x533840(0x147f)]()});});}function _0x4e98af(_0x88c150){const _0x1be4b6=_0x56284c;_0x479006[_0x1be4b6(0x1a7c)]=[];const _0xfdfa04=_0xb33b1b[_0x1be4b6(0x1551)]()['title'](_0x1be4b6(0x1a2e))[_0x1be4b6(0x862)]('The\x20salesforceConfiguration\x20will\x20be\x20deleted.')[_0x1be4b6(0x15ad)]('Delete\x20SalesforceConfiguration')['ok'](_0x1be4b6(0x2594))[_0x1be4b6(0x696)]('Cancel')[_0x1be4b6(0x728)](_0x88c150);_0xb33b1b['show'](_0xfdfa04)['then'](function(){const _0x2c13cc=_0x1be4b6;_0x17d1da[_0x2c13cc(0xbae)][_0x2c13cc(0x111d)]({'id':_0x479006['salesforceConfiguration']['id']})[_0x2c13cc(0x1d77)][_0x2c13cc(0x1cb0)](function(){const _0x55af5c=_0x2c13cc;_0x39641b()[_0x55af5c(0x152a)](_0x479006[_0x55af5c(0xa06)],{'id':_0x479006[_0x55af5c(0x29ce)]['id']}),_0x37e9e1[_0x55af5c(0x829)]({'title':_0x55af5c(0x48a),'msg':(_0x479006['salesforceConfiguration'][_0x55af5c(0x16b6)]||_0x55af5c(0x29ce))+_0x55af5c(0x3f5)}),_0x5610f0(_0x479006[_0x55af5c(0x29ce)]);})['catch'](function(_0x620c5a){const _0x29a02=_0x2c13cc;if(_0x620c5a[_0x29a02(0x25c)]&&_0x620c5a[_0x29a02(0x25c)][_0x29a02(0x1a7c)]&&_0x620c5a[_0x29a02(0x25c)]['errors'][_0x29a02(0xfd0)]){_0x479006['errors']=_0x620c5a['data']['errors']||[{'message':_0x620c5a[_0x29a02(0x147f)](),'type':_0x29a02(0xd87)}];for(let _0x25e22e=0x0;_0x25e22e<_0x620c5a[_0x29a02(0x25c)]['errors'][_0x29a02(0xfd0)];_0x25e22e++){_0x37e9e1[_0x29a02(0x218e)]({'title':_0x620c5a[_0x29a02(0x25c)][_0x29a02(0x1a7c)][_0x25e22e][_0x29a02(0x66a)],'msg':_0x620c5a[_0x29a02(0x25c)][_0x29a02(0x1a7c)][_0x25e22e]['message']});}}else _0x37e9e1[_0x29a02(0x218e)]({'title':_0x620c5a[_0x29a02(0x291)]?_0x29a02(0xeb9)+_0x620c5a['status']+_0x29a02(0x1657)+_0x620c5a[_0x29a02(0xc22)]:_0x29a02(0xd87),'msg':_0x620c5a['data']?JSON[_0x29a02(0x2701)](_0x620c5a[_0x29a02(0x25c)][_0x29a02(0x155e)]):_0x620c5a[_0x29a02(0x155e)]||_0x620c5a[_0x29a02(0x147f)]()});});},function(){});}function _0x44969f(_0xce9478){return _0xce9478===null?undefined:new Date(_0xce9478);}function _0x5610f0(_0x2bd399){const _0x109d4b=_0x56284c;_0xb33b1b[_0x109d4b(0x1426)](_0x2bd399);}}const _0x9532a=_0x52bc69;;_0x49d5ab['$inject']=[_0x5537c6(0x1463),'$state','$location',_0x5537c6(0xcb9),_0x5537c6(0x9bf),_0x5537c6(0x2718),_0x5537c6(0x122f),'item',_0x5537c6(0x1539),_0x5537c6(0x66a),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x49d5ab(_0x212305,_0x257380,_0x24b7bf,_0x47230e,_0x6e8e94,_0x181650,_0x42f1b3,_0x2ce007,_0x5386b1,_0x2aa626,_0x1a9794,_0x1abfe3,_0x3f61a9){const _0x1a53bf=_0x5537c6,_0x373f98=this;_0x373f98[_0x1a53bf(0xe76)]=_0x1abfe3['getCurrentUser'](),_0x373f98[_0x1a53bf(0x1a7c)]=[],_0x373f98[_0x1a53bf(0x1386)]='INTEGRATIONS.EDIT_'+_0x39641b()[_0x1a53bf(0x1002)](_0x2aa626),_0x373f98[_0x1a53bf(0x583)]=angular[_0x1a53bf(0x17fe)](_0x2ce007),_0x373f98[_0x1a53bf(0x122f)]=_0x42f1b3,_0x373f98[_0x1a53bf(0x66a)]=_0x2aa626,_0x373f98['crudPermissions']=_0x3f61a9,_0x373f98['newItem']=![];!_0x373f98[_0x1a53bf(0x583)]&&(_0x373f98[_0x1a53bf(0x583)]={'type':'string'},_0x373f98[_0x1a53bf(0x583)][_0x39641b()[_0x1a53bf(0x432)](_0x2aa626)+'Id']=_0x181650['id'],_0x373f98['title']='INTEGRATIONS.NEW_'+_0x39641b()[_0x1a53bf(0x1002)](_0x2aa626),_0x373f98[_0x1a53bf(0x1202)]=!![]);_0x373f98[_0x1a53bf(0x1286)]=_0x3c0827,_0x373f98[_0x1a53bf(0x1a78)]=_0x4187a8,_0x373f98['deleteItem']=_0x3e0be0,_0x373f98[_0x1a53bf(0x2f6)]=_0x849963,_0x373f98[_0x1a53bf(0xda0)]=_0x480420,_0x1a9794[_0x1a53bf(0x1822)][_0x1a53bf(0xbf7)]({'nolimit':!![]})[_0x1a53bf(0x1d77)][_0x1a53bf(0x1cb0)](function(_0x42f111){const _0x555472=_0x1a53bf;return _0x373f98[_0x555472(0x9a9)]=_0x42f111[_0x555472(0x2214)]?_0x42f111['rows']:[],_0x1a9794['voiceAgentReport'][_0x555472(0x1e57)]()[_0x555472(0x1d77)];})['then'](function(_0x5d61e2){const _0x5bd2bc=_0x1a53bf;return _0x373f98['voiceAgentReportColumns']=_0x39641b()[_0x5bd2bc(0x91f)](_0x39641b()['keys'](_0x5d61e2),[_0x5bd2bc(0x1d77),_0x5bd2bc(0x248e),_0x5bd2bc(0x24cb),_0x5bd2bc(0x27ba),_0x5bd2bc(0x1867)]),_0x373f98[_0x5bd2bc(0xbf1)]['push']('recordingURL'),_0x1a9794[_0x5bd2bc(0x593)][_0x5bd2bc(0x1e57)]()['$promise'];})[_0x1a53bf(0x1cb0)](function(_0x1f7a99){const _0x19b4b9=_0x1a53bf;_0x373f98[_0x19b4b9(0x1639)]=_0x39641b()[_0x19b4b9(0x91f)](_0x39641b()[_0x19b4b9(0x1be5)](_0x1f7a99),[_0x19b4b9(0x1d77),_0x19b4b9(0x248e),'createdAt',_0x19b4b9(0x27ba),_0x19b4b9(0x1867)]),_0x373f98[_0x19b4b9(0x1639)][_0x19b4b9(0x2785)]('recordingURL');})[_0x1a53bf(0x1c4)](function(_0xbd6f5f){const _0x4f1c58=_0x1a53bf;_0x6e8e94['error']({'title':_0xbd6f5f[_0x4f1c58(0x291)]?_0x4f1c58(0xeb9)+_0xbd6f5f[_0x4f1c58(0x291)]+_0x4f1c58(0x1657)+_0xbd6f5f['statusText']:_0x4f1c58(0x262e),'msg':_0xbd6f5f[_0x4f1c58(0x25c)]?JSON['stringify'](_0xbd6f5f['data']):_0xbd6f5f[_0x4f1c58(0x147f)]()});}),_0x1a9794[_0x1a53bf(0x1186)]['getFields']({'id':_0x5386b1['id'],'ticketType':_0x181650['ticketType']?_0x181650[_0x1a53bf(0x161)]:undefined})[_0x1a53bf(0x1d77)][_0x1a53bf(0x1cb0)](function(_0x267890){const _0x5eb223=_0x1a53bf;_0x373f98[_0x5eb223(0x1a28)]=!![],_0x373f98['fields']=_0x267890[_0x5eb223(0x2214)]?_0x267890[_0x5eb223(0x2214)]:[],_0x373f98['item']['idField']&&(_0x373f98[_0x5eb223(0x1d5a)]=_0x39641b()['find'](_0x373f98[_0x5eb223(0x355)],{'id':_0x373f98[_0x5eb223(0x583)][_0x5eb223(0x1e50)]}));})['catch'](function(_0x44aaa2){const _0x1eb82b=_0x1a53bf;_0x373f98['accountConnected']=![],console[_0x1eb82b(0x218e)](_0x44aaa2);});function _0x3c0827(){const _0x17b8c6=_0x1a53bf;_0x373f98['errors']=[],_0x373f98[_0x17b8c6(0x1d5a)]&&(_0x373f98[_0x17b8c6(0x583)][_0x17b8c6(0x1e50)]=_0x373f98[_0x17b8c6(0x1d5a)]['id'],_0x373f98[_0x17b8c6(0x583)][_0x17b8c6(0xc1f)]=_0x373f98['customField'][_0x17b8c6(0x16b6)],_0x373f98[_0x17b8c6(0x583)][_0x17b8c6(0x1d5a)]=_0x373f98['customField']['custom']),_0x1a9794[_0x17b8c6(0x1a47)][_0x17b8c6(0x1c3f)](_0x373f98['item'])[_0x17b8c6(0x1d77)][_0x17b8c6(0x1cb0)](function(_0x508684){const _0x4e7bd3=_0x17b8c6;_0x373f98[_0x4e7bd3(0x122f)][_0x4e7bd3(0xf63)](_0x508684),_0x6e8e94['success']({'title':_0x39641b()[_0x4e7bd3(0x432)](_0x2aa626)+_0x4e7bd3(0x220c),'msg':_0x39641b()['capitalize'](_0x2aa626)+_0x4e7bd3(0x470)}),_0x480420();})[_0x17b8c6(0x1c4)](function(_0x3c2b6e){const _0x278104=_0x17b8c6;console[_0x278104(0x218e)](_0x3c2b6e),_0x373f98[_0x278104(0x1a7c)]=_0x3c2b6e[_0x278104(0x25c)][_0x278104(0x1a7c)]||[{'message':_0x3c2b6e[_0x278104(0x147f)](),'type':_0x278104(0x1876)}];});}function _0x4187a8(){const _0x44c95a=_0x1a53bf;_0x373f98[_0x44c95a(0x1a7c)]=[],_0x373f98[_0x44c95a(0x1d5a)]&&(_0x373f98['item'][_0x44c95a(0x1e50)]=_0x373f98[_0x44c95a(0x1d5a)]['id'],_0x373f98[_0x44c95a(0x583)][_0x44c95a(0xc1f)]=_0x373f98[_0x44c95a(0x1d5a)][_0x44c95a(0x16b6)],_0x373f98[_0x44c95a(0x583)]['customField']=_0x373f98[_0x44c95a(0x1d5a)][_0x44c95a(0x197c)]),_0x1a9794[_0x44c95a(0x1a47)][_0x44c95a(0x687)]({'id':_0x373f98[_0x44c95a(0x583)]['id']},_0x373f98['item'])[_0x44c95a(0x1d77)]['then'](function(_0x13695c){const _0x3c64b3=_0x44c95a,_0x46aca6=_0x39641b()[_0x3c64b3(0x13b4)](_0x373f98[_0x3c64b3(0x122f)],{'id':_0x13695c['id']});_0x46aca6&&_0x39641b()['merge'](_0x46aca6,_0x13695c),_0x6e8e94[_0x3c64b3(0x829)]({'title':_0x39641b()['capitalize'](_0x2aa626)+_0x3c64b3(0xaf6),'msg':_0x39641b()[_0x3c64b3(0x432)](_0x2aa626)+_0x3c64b3(0xedb)}),_0x480420();})[_0x44c95a(0x1c4)](function(_0x5ef1af){const _0x131fc1=_0x44c95a;console[_0x131fc1(0x218e)](_0x5ef1af),_0x373f98['errors']=_0x5ef1af[_0x131fc1(0x25c)][_0x131fc1(0x1a7c)]||[{'message':_0x5ef1af['toString'](),'type':'api.intItem.update'}];});}function _0x3e0be0(_0x325279){const _0x3a084f=_0x1a53bf;_0x373f98[_0x3a084f(0x1a7c)]=[];const _0xc57093=_0x47230e[_0x3a084f(0x1551)]()[_0x3a084f(0x1386)](_0x3a084f(0x1a2e))['content'](_0x3a084f(0xd4c)+_0x2aa626+_0x3a084f(0x1b6))[_0x3a084f(0x15ad)]('Delete\x20'+_0x39641b()[_0x3a084f(0x432)](_0x2aa626))['ok']('Delete')[_0x3a084f(0x696)](_0x3a084f(0xde1))['targetEvent'](_0x325279);_0x47230e[_0x3a084f(0xe27)](_0xc57093)[_0x3a084f(0x1cb0)](function(){const _0x533aac=_0x3a084f;_0x1a9794[_0x533aac(0x1a47)]['delete']({'id':_0x373f98['item']['id']})[_0x533aac(0x1d77)][_0x533aac(0x1cb0)](function(){const _0xb027c0=_0x533aac;_0x39641b()[_0xb027c0(0x152a)](_0x373f98[_0xb027c0(0x122f)],{'id':_0x373f98[_0xb027c0(0x583)]['id']}),_0x6e8e94[_0xb027c0(0x829)]({'title':_0x39641b()[_0xb027c0(0x432)](_0x2aa626)+_0xb027c0(0x1621),'msg':_0x39641b()[_0xb027c0(0x432)](_0x2aa626)+_0xb027c0(0x3f5)}),_0x480420();})[_0x533aac(0x1c4)](function(_0x4898f9){const _0xd64fb3=_0x533aac;console[_0xd64fb3(0x218e)](_0x4898f9),_0x373f98[_0xd64fb3(0x1a7c)]=_0x4898f9[_0xd64fb3(0x25c)][_0xd64fb3(0x1a7c)]||[{'message':_0x4898f9[_0xd64fb3(0x147f)](),'type':_0xd64fb3(0x10e6)}];});},function(){});}function _0x849963(){const _0x5b45f2=_0x1a53bf;return _0x373f98[_0x5b45f2(0x583)][_0x5b45f2(0x1e71)]!==undefined?_0x373f98[_0x5b45f2(0x583)]['variableName']:_0x5b45f2(0x7c8);}function _0x480420(){const _0x489704=_0x1a53bf;_0x47230e[_0x489704(0x1426)]();}}const _0x523fea=_0x49d5ab;;_0x47c142[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),_0x5537c6(0x21c8),'$mdDialog',_0x5537c6(0x22bf),'$translate',_0x5537c6(0x8a5),'setting','api',_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x276d),'userProfileSection'];function _0x47c142(_0x4c99c5,_0x4df217,_0x3f6f0d,_0x221a28,_0x582006,_0x1cf17d,_0x51ebf0,_0x1bdadc,_0x4266ab,_0x17d301,_0x5b96bc,_0x1c4d71){const _0x137886=_0x5537c6,_0x152ec8=this;_0x152ec8[_0x137886(0xe76)]=_0x17d301['getCurrentUser'](),_0x152ec8[_0x137886(0x8a5)]=_0x1cf17d,_0x152ec8[_0x137886(0x9ca)]=_0x51ebf0,_0x152ec8[_0x137886(0x1b0c)]=_0x152ec8[_0x137886(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x152ec8[_0x137886(0x2404)]=_0x4df217[_0x137886(0x2276)]()+_0x137886(0x138b)+_0x4df217[_0x137886(0x17d8)](),_0x152ec8['salesforceAccount']=_0x5b96bc||_0x4c99c5['params'][_0x137886(0x276d)]||{},_0x152ec8[_0x137886(0x2199)]=_0x1c4d71&&_0x1c4d71[_0x137886(0x184d)]==0x1?_0x1c4d71[_0x137886(0x2214)][0x0]:null,_0x152ec8[_0x137886(0x1b1a)]=_0x17d301[_0x137886(0x14ea)](_0x152ec8[_0x137886(0x2199)]?_0x152ec8[_0x137886(0x2199)][_0x137886(0x1b1a)]:null),_0x152ec8[_0x137886(0xf4c)]={},_0x152ec8[_0x137886(0x8ec)]=_0x4c99c5[_0x137886(0x1dfe)][_0x137886(0x291e)]||0x0,_0x152ec8[_0x137886(0x494)]=_0x4266ab[_0x137886(0x28c7)],_0x152ec8[_0x137886(0x2991)]=_0x32c096,_0x152ec8[_0x137886(0x1773)]=_0x58027a;function _0x32c096(){const _0xfc6c20=_0x137886;_0x4c99c5['go'](_0xfc6c20(0x25f7),{},{'reload':_0xfc6c20(0x25f7)});}function _0x58027a(){const _0x5d6f44=_0x137886;_0x1bdadc[_0x5d6f44(0x1186)]['update']({'id':_0x152ec8[_0x5d6f44(0x276d)]['id']},_0x152ec8['salesforceAccount'])[_0x5d6f44(0x1d77)][_0x5d6f44(0x1cb0)](function(){const _0x52f388=_0x5d6f44;_0x4266ab[_0x52f388(0x829)]({'title':'SalesforceAccount\x20updated!','msg':_0x152ec8[_0x52f388(0x276d)][_0x52f388(0x16b6)]?_0x152ec8[_0x52f388(0x276d)][_0x52f388(0x16b6)]+_0x52f388(0x1068):''});})[_0x5d6f44(0x1c4)](function(_0xe6df91){const _0x15d854=_0x5d6f44;_0x4266ab[_0x15d854(0x218e)]({'title':_0xe6df91[_0x15d854(0x291)]?_0x15d854(0xeb9)+_0xe6df91['status']+_0x15d854(0x1657)+_0xe6df91[_0x15d854(0xc22)]:'SYSTEM:GETintSalesforceAccount','msg':_0xe6df91[_0x15d854(0x25c)]?JSON[_0x15d854(0x2701)](_0xe6df91[_0x15d854(0x25c)]):_0xe6df91[_0x15d854(0x147f)]()});});}}const _0x525c1a=_0x47c142;;const _0x4c555c=_0x5074a3['p']+'src/js/modules/main/apps/integrations/views/salesforceAccounts/create/dialog.html/dialog.html';;_0x1eaef9[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$window','$state',_0x5537c6(0x417),_0x5537c6(0xcb9),'$document',_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0x255e),'userProfile',_0x5537c6(0x2199),_0x5537c6(0x142b),_0x5537c6(0xde8),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x1eaef9(_0x845bc4,_0x1dfa0c,_0x386804,_0x4f7c0b,_0x42e34a,_0x7272ed,_0x11255b,_0x50e44c,_0x72cd56,_0x21b3fc,_0x2d28bf,_0x1e08d5,_0x5880f2,_0x3359aa,_0x23d4c3,_0xb26d6b,_0x1410f3){const _0x5290c6=_0x5537c6,_0x472f8b=this;_0x472f8b['license']=_0xb26d6b,_0x472f8b['setting']=_0x1410f3,_0x472f8b[_0x5290c6(0xe76)]=_0x23d4c3[_0x5290c6(0x21e8)](),_0x472f8b[_0x5290c6(0x255e)]=_0x72cd56||{'count':0x0,'rows':[]},_0x472f8b[_0x5290c6(0x44a)]=_0x21b3fc,_0x472f8b[_0x5290c6(0x2199)]=_0x2d28bf&&_0x2d28bf['count']==0x1?_0x2d28bf['rows'][0x0]:null,_0x472f8b[_0x5290c6(0x1b1a)]=_0x23d4c3[_0x5290c6(0x14ea)](_0x472f8b[_0x5290c6(0x2199)]?_0x472f8b[_0x5290c6(0x2199)][_0x5290c6(0x1b1a)]:null),_0x472f8b['table']=_0x5290c6(0x255e),_0x472f8b[_0x5290c6(0x1d20)]='',_0x472f8b['listOrderAsc']=null,_0x472f8b[_0x5290c6(0x1eb6)]=[],_0x472f8b[_0x5290c6(0xae2)]={'fields':_0x5290c6(0x1403),'limit':0xa,'page':0x1},_0x472f8b['arraytype']=_0x39641b()[_0x5290c6(0x2631)]([{'option':_0x5290c6(0x232c),'value':_0x5290c6(0x9f5)},{'option':'New_Tab','value':_0x5290c6(0x23e1)}],function(_0x25f4c9){const _0x5c913a=_0x5290c6;return _0x39641b()[_0x5c913a(0x288f)](_0x25f4c9[_0x5c913a(0x327)],new RegExp('\x27','g'),'');}),_0x472f8b[_0x5290c6(0x235d)]=_0x17d40f,_0x472f8b[_0x5290c6(0x6b1)]=_0x1ecc49,_0x472f8b[_0x5290c6(0x27fe)]=_0x1cde91,_0x472f8b[_0x5290c6(0x829)]=_0x386f6c,_0x472f8b[_0x5290c6(0x24c1)]=_0x1c187b,_0x472f8b['createOrEditSalesforceAccount']=_0x24b5b6,_0x472f8b[_0x5290c6(0x1650)]=_0x5130e0,_0x472f8b[_0x5290c6(0x1a7a)]=_0x35a7f1,_0x472f8b[_0x5290c6(0xbc5)]=_0x1ace5a,_0x472f8b[_0x5290c6(0x401)]=_0x26a0c5,_0x472f8b['selectAllSalesforceAccounts']=_0x44c7cf;function _0x17d40f(_0x397b62){const _0x38344a=_0x5290c6;_0x386804['go'](_0x38344a(0x248c),{'id':_0x397b62['id'],'salesforceAccount':_0x397b62,'crudPermissions':_0x472f8b[_0x38344a(0x1b1a)]});}function _0x1ecc49(_0x5d2255){const _0x1cc5f5=_0x5290c6;return _0x1e08d5[_0x1cc5f5(0x1186)][_0x1cc5f5(0xc84)]({'id':_0x5d2255['id'],'test':!![]})[_0x1cc5f5(0x1d77)]['then'](function(){const _0x2811f7=_0x1cc5f5;_0x3359aa[_0x2811f7(0x829)]({'title':_0x2811f7(0xa50),'msg':'Connection\x20succeded!'});})['catch'](function(_0x97eb5b){const _0xc7baa9=_0x1cc5f5;_0x3359aa[_0xc7baa9(0x218e)]({'title':'SalesforceAccount\x20properly\x20tested','msg':_0x97eb5b[_0xc7baa9(0x25c)]?_0x97eb5b[_0xc7baa9(0x25c)][_0xc7baa9(0x155e)]?_0x97eb5b['data'][_0xc7baa9(0x155e)]:JSON[_0xc7baa9(0x2701)](_0x97eb5b['data']):_0x97eb5b[_0xc7baa9(0x147f)]()});});}function _0x1cde91(_0x2efda4,_0x2fdbae){const _0x22a2e9=_0x5290c6,_0x220ad7=_0x42e34a[_0x22a2e9(0x1551)]()[_0x22a2e9(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20'+_0x39641b()[_0x22a2e9(0xa75)]('salesforceAccount')+'?')['htmlContent'](_0x22a2e9(0x204d)+(_0x2efda4[_0x22a2e9(0x16b6)]||_0x22a2e9(0x276d))+''+_0x22a2e9(0x1b6))['ariaLabel']('delete\x20salesforceAccount')[_0x22a2e9(0x728)](_0x2fdbae)['ok']('OK')[_0x22a2e9(0x696)](_0x22a2e9(0x24ba));_0x42e34a[_0x22a2e9(0xe27)](_0x220ad7)[_0x22a2e9(0x1cb0)](function(){_0x5130e0(_0x2efda4);},function(){const _0x39c36a=_0x22a2e9;console[_0x39c36a(0x1b4f)](_0x39c36a(0x24ba));});}let _0x112e87=!![],_0x424a53=0x1;_0x845bc4['$watch']('vm.query.filter',function(_0x2d870e,_0x2669b5){const _0xf99a0f=_0x5290c6;_0x112e87?_0x11255b(function(){_0x112e87=![];}):(!_0x2669b5&&(_0x424a53=_0x472f8b[_0xf99a0f(0xae2)][_0xf99a0f(0x1c7b)]),_0x2d870e!==_0x2669b5&&(_0x472f8b[_0xf99a0f(0xae2)][_0xf99a0f(0x1c7b)]=0x1),!_0x2d870e&&(_0x472f8b[_0xf99a0f(0xae2)][_0xf99a0f(0x1c7b)]=_0x424a53),_0x472f8b[_0xf99a0f(0x24c1)]());});function _0x386f6c(_0x201ed1){const _0x1a22d1=_0x5290c6;_0x472f8b[_0x1a22d1(0x255e)]=_0x201ed1||{'count':0x0,'rows':[]};}function _0x1c187b(){const _0x3d883d=_0x5290c6;_0x472f8b[_0x3d883d(0xae2)][_0x3d883d(0x184b)]=(_0x472f8b[_0x3d883d(0xae2)][_0x3d883d(0x1c7b)]-0x1)*_0x472f8b['query'][_0x3d883d(0x236)],_0x23d4c3['hasRole'](_0x3d883d(0x1c60))?_0x472f8b[_0x3d883d(0x2061)]=_0x1e08d5[_0x3d883d(0x1186)][_0x3d883d(0xbf7)](_0x472f8b[_0x3d883d(0xae2)],_0x386f6c)[_0x3d883d(0x1d77)]:(_0x472f8b[_0x3d883d(0xae2)]['id']=_0x472f8b['userProfile']['id'],_0x472f8b[_0x3d883d(0xae2)][_0x3d883d(0x1f74)]=_0x3d883d(0x9a5),_0x472f8b[_0x3d883d(0x2061)]=_0x1e08d5[_0x3d883d(0x44a)][_0x3d883d(0x1810)](_0x472f8b[_0x3d883d(0xae2)],_0x386f6c)[_0x3d883d(0x1d77)]);}function _0x24b5b6(_0x455699,_0x15f266){const _0x5d4ad7=_0x5290c6;_0x42e34a[_0x5d4ad7(0xe27)]({'controller':'CreateOrEditSalesforceAccountDialogController','controllerAs':'vm','templateUrl':_0x4c555c,'parent':angular[_0x5d4ad7(0x1853)](_0x7272ed[_0x5d4ad7(0x1ed9)]),'targetEvent':_0x455699,'clickOutsideToClose':!![],'locals':{'salesforceAccount':_0x15f266,'salesforceAccounts':_0x472f8b[_0x5d4ad7(0x255e)]['rows'],'license':_0x472f8b[_0x5d4ad7(0x8a5)],'setting':_0x472f8b[_0x5d4ad7(0x9ca)],'crudPermissions':_0x472f8b[_0x5d4ad7(0x1b1a)]}});}function _0x5130e0(_0x3c54c4){const _0x577ca4=_0x5290c6;_0x1e08d5[_0x577ca4(0x1186)]['delete']({'id':_0x3c54c4['id']})[_0x577ca4(0x1d77)][_0x577ca4(0x1cb0)](function(){const _0x52f0f5=_0x577ca4;_0x39641b()[_0x52f0f5(0x152a)](_0x472f8b['salesforceAccounts'][_0x52f0f5(0x2214)],{'id':_0x3c54c4['id']}),_0x472f8b[_0x52f0f5(0x255e)][_0x52f0f5(0x184d)]-=0x1,!_0x472f8b[_0x52f0f5(0x255e)][_0x52f0f5(0x2214)][_0x52f0f5(0xfd0)]&&_0x472f8b[_0x52f0f5(0x24c1)](),_0x3359aa[_0x52f0f5(0x829)]({'title':_0x39641b()[_0x52f0f5(0xa75)]('SalesforceAccount')+_0x52f0f5(0x2663),'msg':_0x3c54c4['name']?_0x3c54c4[_0x52f0f5(0x16b6)]+'\x20has\x20been\x20deleted!':''});})[_0x577ca4(0x1c4)](function(_0x28dbf8){const _0x47d2fb=_0x577ca4;if(_0x28dbf8['data']&&_0x28dbf8[_0x47d2fb(0x25c)]['errors']&&_0x28dbf8[_0x47d2fb(0x25c)][_0x47d2fb(0x1a7c)]['length']){_0x472f8b[_0x47d2fb(0x1a7c)]=_0x28dbf8[_0x47d2fb(0x25c)][_0x47d2fb(0x1a7c)]||[{'message':_0x28dbf8[_0x47d2fb(0x147f)](),'type':_0x47d2fb(0x784)}];for(let _0x2de6d8=0x0;_0x2de6d8<_0x28dbf8[_0x47d2fb(0x25c)][_0x47d2fb(0x1a7c)]['length'];_0x2de6d8++){_0x3359aa[_0x47d2fb(0x218e)]({'title':_0x28dbf8[_0x47d2fb(0x25c)][_0x47d2fb(0x1a7c)][_0x2de6d8]['type'],'msg':_0x28dbf8[_0x47d2fb(0x25c)][_0x47d2fb(0x1a7c)][_0x2de6d8][_0x47d2fb(0x155e)]});}}else _0x3359aa['error']({'title':_0x28dbf8[_0x47d2fb(0x291)]?_0x47d2fb(0xeb9)+_0x28dbf8[_0x47d2fb(0x291)]+_0x47d2fb(0x1657)+_0x28dbf8[_0x47d2fb(0xc22)]:'SYSTEM:DELETEintSalesforceAccount','msg':_0x28dbf8[_0x47d2fb(0x25c)]?JSON['stringify'](_0x28dbf8[_0x47d2fb(0x25c)]['message']):_0x28dbf8[_0x47d2fb(0x155e)]||_0x28dbf8['toString']()});});}function _0x35a7f1(){const _0x472c82=_0x5290c6,_0x3b54c4=angular[_0x472c82(0x17fe)](_0x472f8b[_0x472c82(0x1eb6)]);return _0x472f8b['selectedSalesforceAccounts']=[],_0x3b54c4;}function _0x1ace5a(_0x39d823){const _0xf7f612=_0x5290c6,_0x1c2737=_0x42e34a[_0xf7f612(0x1551)]()[_0xf7f612(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20salesforceAccounts?')['htmlContent'](_0xf7f612(0x204d)+_0x472f8b[_0xf7f612(0x1eb6)]['length']+_0xf7f612(0x1d6c)+'\x20will\x20be\x20deleted.')[_0xf7f612(0x15ad)](_0xf7f612(0x12ea))[_0xf7f612(0x728)](_0x39d823)['ok']('OK')['cancel'](_0xf7f612(0x24ba));_0x42e34a[_0xf7f612(0xe27)](_0x1c2737)[_0xf7f612(0x1cb0)](function(){const _0x161477=_0xf7f612;_0x472f8b[_0x161477(0x1eb6)][_0x161477(0xf90)](function(_0x252e26){_0x5130e0(_0x252e26);}),_0x472f8b[_0x161477(0x1eb6)]=[];});}function _0x26a0c5(){const _0x46fe2e=_0x5290c6;_0x472f8b[_0x46fe2e(0x1eb6)]=[];}function _0x44c7cf(){const _0x349fc5=_0x5290c6;_0x472f8b[_0x349fc5(0x1eb6)]=_0x472f8b[_0x349fc5(0x255e)][_0x349fc5(0x2214)];}}const _0x252395=_0x1eaef9;;_0x3add75[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$state','$location',_0x5537c6(0xcb9),'$q','$translate',_0x5537c6(0x9bf),_0x5537c6(0x1525),_0x5537c6(0x12bc),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x3add75(_0x2f2298,_0x361cdd,_0x161324,_0x359845,_0x1e2508,_0x3d1533,_0x1f256c,_0x1c5796,_0x20fd63,_0x4251e2,_0x4a2ba5,_0x3ed190,_0x159540,_0x22de5e){const _0x1ca8f2=_0x5537c6,_0x211360=this;_0x211360['currentUser']=_0x4a2ba5[_0x1ca8f2(0x21e8)](),_0x211360['errors']=[],_0x211360[_0x1ca8f2(0x9ca)]=_0x159540,_0x211360[_0x1ca8f2(0x8a5)]=_0x3ed190,_0x211360[_0x1ca8f2(0x1b1a)]=_0x22de5e,_0x211360[_0x1ca8f2(0xf4c)]={},_0x211360['passwordPattern']=_0x211360[_0x1ca8f2(0x9ca)]&&_0x211360[_0x1ca8f2(0x9ca)][_0x1ca8f2(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x211360[_0x1ca8f2(0x1386)]=_0x1ca8f2(0x1deb),_0x211360[_0x1ca8f2(0x12bc)]=angular[_0x1ca8f2(0x17fe)](_0x20fd63),_0x211360[_0x1ca8f2(0x1525)]=_0x1c5796,_0x211360['newServicenowAccount']=![];!_0x211360[_0x1ca8f2(0x12bc)]&&(_0x211360[_0x1ca8f2(0x12bc)]={'serverUrl':_0x161324['protocol']()+_0x1ca8f2(0x138b)+_0x161324['host']()+(_0x161324[_0x1ca8f2(0x477)]()?':'+_0x161324[_0x1ca8f2(0x477)]():'')},_0x211360['title']=_0x1ca8f2(0x1c8e),_0x211360[_0x1ca8f2(0xc45)]=!![]);_0x211360[_0x1ca8f2(0x7d8)]=_0x313369,_0x211360[_0x1ca8f2(0xa32)]=_0x3bda6f,_0x211360[_0x1ca8f2(0x69c)]=_0x252615,_0x211360['getDateFromString']=_0x43c743,_0x211360[_0x1ca8f2(0xda0)]=_0x457636;function _0x313369(){const _0x5a18c5=_0x1ca8f2;_0x211360['errors']=[],_0x4251e2[_0x5a18c5(0x901)]['save'](_0x211360[_0x5a18c5(0x12bc)])[_0x5a18c5(0x1d77)][_0x5a18c5(0x1cb0)](function(_0x2cf50a){const _0x2aaba5=_0x5a18c5;_0x211360['servicenowAccounts'][_0x2aaba5(0xf63)](_0x2cf50a[_0x2aaba5(0x19b2)]()),_0x1f256c[_0x2aaba5(0x829)]({'title':_0x2aaba5(0x81d),'msg':_0x211360['servicenowAccount'][_0x2aaba5(0x16b6)]?_0x211360[_0x2aaba5(0x12bc)][_0x2aaba5(0x16b6)]+_0x2aaba5(0x470):''}),_0x457636(_0x2cf50a);})['catch'](function(_0x19bf29){const _0x7bb804=_0x5a18c5;if(_0x19bf29[_0x7bb804(0x25c)]&&_0x19bf29['data'][_0x7bb804(0x1a7c)]&&_0x19bf29[_0x7bb804(0x25c)][_0x7bb804(0x1a7c)][_0x7bb804(0xfd0)]){_0x211360['errors']=_0x19bf29[_0x7bb804(0x25c)]['errors']||[{'message':_0x19bf29['toString'](),'type':_0x7bb804(0xeac)}];for(let _0x4d1d88=0x0;_0x4d1d88<_0x19bf29[_0x7bb804(0x25c)][_0x7bb804(0x1a7c)]['length'];_0x4d1d88+=0x1){_0x1f256c[_0x7bb804(0x218e)]({'title':_0x19bf29['data'][_0x7bb804(0x1a7c)][_0x4d1d88][_0x7bb804(0x66a)],'msg':_0x19bf29[_0x7bb804(0x25c)][_0x7bb804(0x1a7c)][_0x4d1d88][_0x7bb804(0x155e)]});}}else _0x1f256c[_0x7bb804(0x218e)]({'title':_0x19bf29[_0x7bb804(0x291)]?_0x7bb804(0xeb9)+_0x19bf29[_0x7bb804(0x291)]+_0x7bb804(0x1657)+_0x19bf29[_0x7bb804(0xc22)]:_0x7bb804(0xeac),'msg':_0x19bf29['data']?JSON[_0x7bb804(0x2701)](_0x19bf29['data'][_0x7bb804(0x155e)]):_0x19bf29[_0x7bb804(0x147f)]()});});}function _0x3bda6f(){const _0x5ad0b4=_0x1ca8f2;_0x211360['errors']=[],_0x4251e2['intServicenowAccount']['update']({'id':_0x211360[_0x5ad0b4(0x12bc)]['id']},_0x211360['servicenowAccount'])[_0x5ad0b4(0x1d77)]['then'](function(_0x33800e){const _0x2aa941=_0x5ad0b4,_0x2b63b3=_0x39641b()['find'](_0x211360['servicenowAccounts'],{'id':_0x33800e['id']});_0x2b63b3&&_0x39641b()[_0x2aa941(0x9c1)](_0x2b63b3,_0x39641b()[_0x2aa941(0x169b)](_0x33800e[_0x2aa941(0x19b2)](),_0x39641b()[_0x2aa941(0x1be5)](_0x2b63b3))),_0x1f256c[_0x2aa941(0x829)]({'title':_0x2aa941(0x1d7d),'msg':_0x211360['servicenowAccount']['name']?_0x211360['servicenowAccount'][_0x2aa941(0x16b6)]+'\x20has\x20been\x20saved!':''}),_0x457636(_0x33800e);})[_0x5ad0b4(0x1c4)](function(_0x217ac4){const _0x1954a1=_0x5ad0b4;if(_0x217ac4[_0x1954a1(0x25c)]&&_0x217ac4[_0x1954a1(0x25c)][_0x1954a1(0x1a7c)]&&_0x217ac4[_0x1954a1(0x25c)][_0x1954a1(0x1a7c)][_0x1954a1(0xfd0)]){_0x211360[_0x1954a1(0x1a7c)]=_0x217ac4[_0x1954a1(0x25c)][_0x1954a1(0x1a7c)]||[{'message':_0x217ac4[_0x1954a1(0x147f)](),'type':_0x1954a1(0x11b3)}];for(let _0x44182e=0x0;_0x44182e<_0x217ac4[_0x1954a1(0x25c)][_0x1954a1(0x1a7c)]['length'];_0x44182e++){_0x1f256c['error']({'title':_0x217ac4[_0x1954a1(0x25c)][_0x1954a1(0x1a7c)][_0x44182e][_0x1954a1(0x66a)],'msg':_0x217ac4[_0x1954a1(0x25c)][_0x1954a1(0x1a7c)][_0x44182e][_0x1954a1(0x155e)]});}}else _0x1f256c[_0x1954a1(0x218e)]({'title':_0x217ac4[_0x1954a1(0x291)]?_0x1954a1(0xeb9)+_0x217ac4[_0x1954a1(0x291)]+_0x1954a1(0x1657)+_0x217ac4['statusText']:_0x1954a1(0x11b3),'msg':_0x217ac4['data']?JSON['stringify'](_0x217ac4['data'][_0x1954a1(0x155e)]):_0x217ac4[_0x1954a1(0x147f)]()});});}function _0x252615(_0x38ed4f){const _0x4fdfdd=_0x1ca8f2;_0x211360['errors']=[];const _0xf031ab=_0x359845[_0x4fdfdd(0x1551)]()[_0x4fdfdd(0x1386)](_0x4fdfdd(0x1a2e))[_0x4fdfdd(0x862)](_0x4fdfdd(0x1eaf))[_0x4fdfdd(0x15ad)](_0x4fdfdd(0x1c4e))['ok']('Delete')['cancel'](_0x4fdfdd(0xde1))[_0x4fdfdd(0x728)](_0x38ed4f);_0x359845['show'](_0xf031ab)[_0x4fdfdd(0x1cb0)](function(){const _0x1184b3=_0x4fdfdd;_0x4251e2['intServicenowAccount'][_0x1184b3(0x111d)]({'id':_0x211360[_0x1184b3(0x12bc)]['id']})[_0x1184b3(0x1d77)][_0x1184b3(0x1cb0)](function(){const _0xedbdbd=_0x1184b3;_0x39641b()[_0xedbdbd(0x152a)](_0x211360['servicenowAccounts'],{'id':_0x211360[_0xedbdbd(0x12bc)]['id']}),_0x1f256c[_0xedbdbd(0x829)]({'title':_0xedbdbd(0x7c4),'msg':(_0x211360['servicenowAccount'][_0xedbdbd(0x16b6)]||_0xedbdbd(0x12bc))+_0xedbdbd(0x3f5)}),_0x457636(_0x211360[_0xedbdbd(0x12bc)]);})[_0x1184b3(0x1c4)](function(_0x532d13){const _0x1db03f=_0x1184b3;if(_0x532d13[_0x1db03f(0x25c)]&&_0x532d13[_0x1db03f(0x25c)][_0x1db03f(0x1a7c)]&&_0x532d13[_0x1db03f(0x25c)][_0x1db03f(0x1a7c)][_0x1db03f(0xfd0)]){_0x211360[_0x1db03f(0x1a7c)]=_0x532d13[_0x1db03f(0x25c)][_0x1db03f(0x1a7c)]||[{'message':_0x532d13[_0x1db03f(0x147f)](),'type':_0x1db03f(0x1d02)}];for(let _0xfd85f7=0x0;_0xfd85f7<_0x532d13[_0x1db03f(0x25c)][_0x1db03f(0x1a7c)][_0x1db03f(0xfd0)];_0xfd85f7++){_0x1f256c[_0x1db03f(0x218e)]({'title':_0x532d13['data'][_0x1db03f(0x1a7c)][_0xfd85f7]['type'],'msg':_0x532d13[_0x1db03f(0x25c)][_0x1db03f(0x1a7c)][_0xfd85f7][_0x1db03f(0x155e)]});}}else _0x1f256c[_0x1db03f(0x218e)]({'title':_0x532d13[_0x1db03f(0x291)]?_0x1db03f(0xeb9)+_0x532d13[_0x1db03f(0x291)]+_0x1db03f(0x1657)+_0x532d13[_0x1db03f(0xc22)]:_0x1db03f(0x1d02),'msg':_0x532d13[_0x1db03f(0x25c)]?JSON[_0x1db03f(0x2701)](_0x532d13[_0x1db03f(0x25c)][_0x1db03f(0x155e)]):_0x532d13[_0x1db03f(0x155e)]||_0x532d13['toString']()});});},function(){});}function _0x43c743(_0x2737bc){return _0x2737bc===null?undefined:new Date(_0x2737bc);}function _0x457636(_0x1ec23d){const _0x101175=_0x1ca8f2;_0x359845[_0x101175(0x1426)](_0x1ec23d);}}const _0x5e6e1d=_0x3add75;;const _0x224a82=_0x5074a3['p']+_0x5537c6(0x1c38);;const _0x16a355=_0x5074a3['p']+_0x5537c6(0x1905);;_0x4190e7[_0x5537c6(0x15b6)]=['$mdDialog','$document',_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x1774)];function _0x4190e7(_0x395ac0,_0x251f3c,_0x102f68,_0x15ee42,_0x16449f){const _0x182346=_0x5537c6,_0x45b8d6=this;_0x45b8d6[_0x182346(0xe76)]=_0x16449f[_0x182346(0x21e8)](),_0x45b8d6[_0x182346(0x12bc)]={},_0x45b8d6[_0x182346(0xddd)]={},_0x45b8d6[_0x182346(0xa06)]=[],_0x45b8d6[_0x182346(0x757)]=-0x1,_0x45b8d6['crudPermissions'],_0x45b8d6[_0x182346(0x1a8e)]=_0x2c6510,_0x45b8d6[_0x182346(0x23ea)]=_0x358f1e,_0x45b8d6[_0x182346(0xa48)]=_0x1e4eca,_0x45b8d6[_0x182346(0x1469)]=_0x2c1149;function _0x2c6510(_0x1a6666,_0x80c750){const _0x585aeb=_0x182346;_0x45b8d6[_0x585aeb(0x12bc)]=_0x1a6666,_0x45b8d6[_0x585aeb(0x1b1a)]=typeof _0x80c750!==_0x585aeb(0x16b5)?_0x80c750:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x102f68[_0x585aeb(0x901)][_0x585aeb(0x618)]({'id':_0x45b8d6[_0x585aeb(0x12bc)]['id'],'sort':_0x585aeb(0x282)})['$promise']['then'](function(_0x3ec92a){const _0x11fa3e=_0x585aeb;return _0x45b8d6[_0x11fa3e(0xa06)]=_0x3ec92a[_0x11fa3e(0x2214)]?_0x3ec92a['rows']:[],_0x45b8d6[_0x11fa3e(0xa06)]['length']&&_0x45b8d6[_0x11fa3e(0x23ea)](0x0),_0x102f68[_0x11fa3e(0x1822)][_0x11fa3e(0xbf7)]({'nolimit':!![],'sort':_0x11fa3e(0x16b6)})[_0x11fa3e(0x1d77)];})[_0x585aeb(0x1cb0)](function(_0x255fa5){const _0x413007=_0x585aeb;_0x45b8d6['variables']=_0x255fa5[_0x413007(0x2214)]?_0x255fa5[_0x413007(0x2214)]:[],_0x45b8d6['variablesById']=_0x39641b()['keyBy'](_0x45b8d6[_0x413007(0x9a9)],'id');})[_0x585aeb(0x1c4)](function(_0x3c00d9){const _0x28a70f=_0x585aeb;_0x15ee42[_0x28a70f(0x218e)]({'title':_0x3c00d9[_0x28a70f(0x291)]?_0x28a70f(0xeb9)+_0x3c00d9['status']+_0x28a70f(0x1657)+_0x3c00d9[_0x28a70f(0xc22)]:_0x28a70f(0x1b0f),'msg':_0x3c00d9[_0x28a70f(0x25c)]?JSON['stringify'](_0x3c00d9['data']):_0x3c00d9['toString']()});});}function _0x358f1e(_0x3e5005){const _0x2c2cea=_0x182346;_0x45b8d6['servicenowConfiguration']=_0x45b8d6[_0x2c2cea(0xa06)][_0x3e5005],_0x45b8d6[_0x2c2cea(0x757)]=_0x3e5005,_0x102f68[_0x2c2cea(0x739)][_0x2c2cea(0x243a)]({'id':_0x45b8d6['servicenowConfiguration']['id']})['$promise'][_0x2c2cea(0x1cb0)](function(_0x34e1c8){const _0x219298=_0x2c2cea;return _0x45b8d6['subjects']=_0x34e1c8[_0x219298(0x2214)]?_0x34e1c8[_0x219298(0x2214)]:[],_0x102f68[_0x219298(0x739)][_0x219298(0xb6d)]({'id':_0x45b8d6[_0x219298(0xddd)]['id']})[_0x219298(0x1d77)];})[_0x2c2cea(0x1cb0)](function(_0x2170b5){const _0x2fadba=_0x2c2cea;return _0x45b8d6[_0x2fadba(0x310)]=_0x2170b5[_0x2fadba(0x2214)]?_0x2170b5[_0x2fadba(0x2214)]:[],_0x102f68['intServicenowConfiguration'][_0x2fadba(0xc84)]({'id':_0x45b8d6[_0x2fadba(0xddd)]['id']})[_0x2fadba(0x1d77)];})[_0x2c2cea(0x1cb0)](function(_0x5e0f2e){const _0x547240=_0x2c2cea;_0x45b8d6['fields']=_0x5e0f2e[_0x547240(0x2214)]?_0x5e0f2e[_0x547240(0x2214)]:[];})[_0x2c2cea(0x1c4)](function(_0x763790){const _0x50ee54=_0x2c2cea;_0x15ee42[_0x50ee54(0x218e)]({'title':_0x763790[_0x50ee54(0x291)]?_0x50ee54(0xeb9)+_0x763790[_0x50ee54(0x291)]+_0x50ee54(0x1657)+_0x763790['statusText']:'SYSTEM:GETConfigurations','msg':_0x763790[_0x50ee54(0x25c)]?JSON['stringify'](_0x763790['data']):_0x763790['toString']()});});}function _0x1e4eca(_0x56c0b9,_0x5d5166){const _0x76a800=_0x182346;_0x395ac0[_0x76a800(0xe27)]({'controller':'CreateOrEditServicenowConfigurationDialogController','controllerAs':'vm','templateUrl':_0x224a82,'parent':angular[_0x76a800(0x1853)](_0x251f3c[_0x76a800(0x1ed9)]),'targetEvent':_0x56c0b9,'clickOutsideToClose':!![],'locals':{'servicenowConfiguration':_0x5d5166,'configurations':_0x45b8d6['configurations'],'license':null,'setting':null,'crudPermissions':_0x45b8d6[_0x76a800(0x1b1a)]}})[_0x76a800(0x2e0)](function(){_0x45b8d6['getServicenowConfiguration'](0x0);});}function _0x2c1149(_0x3bba29,_0x37487b){const _0x73dec0=_0x182346,_0x5b1df7=_0x395ac0[_0x73dec0(0x1551)]()['title'](_0x73dec0(0x63c))[_0x73dec0(0x49e)](_0x73dec0(0x204d)+(_0x37487b[_0x73dec0(0x16b6)]||'servicenowConfiguration')+''+_0x73dec0(0x1b6))[_0x73dec0(0x15ad)](_0x73dec0(0x23e0))[_0x73dec0(0x728)](_0x3bba29)['ok']('OK')['cancel']('CANCEL');_0x395ac0[_0x73dec0(0xe27)](_0x5b1df7)[_0x73dec0(0x1cb0)](function(){const _0x5e739d=_0x73dec0;_0x102f68['intServicenowConfiguration']['delete']({'id':_0x37487b['id']})['$promise']['then'](function(){const _0x584e60=a0_0x5cbd;_0x39641b()[_0x584e60(0x152a)](_0x45b8d6['configurations'],{'id':_0x37487b['id']}),_0x15ee42[_0x584e60(0x829)]({'title':'ServicenowConfiguration\x20deleted!','msg':_0x37487b[_0x584e60(0x16b6)]?_0x37487b[_0x584e60(0x16b6)]+_0x584e60(0x3f5):''});})[_0x5e739d(0x1c4)](function(_0x2dd02d){const _0x546577=_0x5e739d;_0x15ee42[_0x546577(0x218e)]({'title':_0x2dd02d[_0x546577(0x291)]?'API:'+_0x2dd02d[_0x546577(0x291)]+_0x546577(0x1657)+_0x2dd02d[_0x546577(0xc22)]:_0x546577(0x543),'msg':_0x2dd02d[_0x546577(0x25c)]?JSON['stringify'](_0x2dd02d['data']):_0x2dd02d[_0x546577(0x147f)]()});})[_0x5e739d(0x2e0)](function(){const _0x274717=_0x5e739d;_0x45b8d6[_0x274717(0x23ea)](0x0);});},function(){const _0x382c90=_0x73dec0;console[_0x382c90(0x1b4f)](_0x382c90(0x24ba));});}_0x45b8d6[_0x182346(0x4df)]=[],_0x45b8d6[_0x182346(0x1267)]=_0x14fc39,_0x45b8d6['deleteSubjectConfirm']=_0x358567,_0x45b8d6['deleteSelectedSubjects']=_0x30711a;function _0x14fc39(_0x2eb2c2,_0x411620){const _0x15f254=_0x182346;_0x395ac0[_0x15f254(0xe27)]({'controller':_0x15f254(0x273b),'controllerAs':'vm','templateUrl':_0x16a355,'parent':angular[_0x15f254(0x1853)](_0x251f3c[_0x15f254(0x1ed9)]),'targetEvent':_0x2eb2c2,'clickOutsideToClose':!![],'locals':{'type':_0x15f254(0x1e5d),'item':_0x411620,'items':_0x45b8d6[_0x15f254(0x2388)],'configuration':_0x45b8d6[_0x15f254(0xddd)],'account':_0x45b8d6[_0x15f254(0x12bc)],'license':null,'setting':null,'crudPermissions':_0x45b8d6['crudPermissions']}});}function _0x8a72f7(_0xb8af21){const _0x447b14=_0x182346;_0x102f68[_0x447b14(0x1ce8)][_0x447b14(0x111d)]({'id':_0xb8af21['id']})[_0x447b14(0x1d77)]['then'](function(){const _0x3a9bc9=_0x447b14;_0x39641b()[_0x3a9bc9(0x152a)](_0x45b8d6['subjects'],{'id':_0xb8af21['id']}),_0x15ee42[_0x3a9bc9(0x829)]({'title':_0x3a9bc9(0x1d11),'msg':_0x3a9bc9(0x145d)});})[_0x447b14(0x1c4)](function(_0x53d2e3){const _0x6fe7fe=_0x447b14;_0x15ee42[_0x6fe7fe(0x218e)]({'title':_0x53d2e3[_0x6fe7fe(0x291)]?'API:'+_0x53d2e3[_0x6fe7fe(0x291)]+_0x6fe7fe(0x1657)+_0x53d2e3[_0x6fe7fe(0xc22)]:_0x6fe7fe(0x13e5),'msg':_0x53d2e3['data']?JSON[_0x6fe7fe(0x2701)](_0x53d2e3['data']):_0x53d2e3[_0x6fe7fe(0x147f)]()});});}function _0x358567(_0x3afc16,_0xc137d2){const _0x33f94c=_0x182346,_0x154972=_0x395ac0[_0x33f94c(0x1551)]()[_0x33f94c(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20subject?')[_0x33f94c(0x49e)](_0x33f94c(0x14ee)+_0x33f94c(0x1b6))[_0x33f94c(0x15ad)](_0x33f94c(0xbc3))[_0x33f94c(0x728)](_0x3afc16)['ok']('OK')['cancel'](_0x33f94c(0x24ba));_0x395ac0[_0x33f94c(0xe27)](_0x154972)[_0x33f94c(0x1cb0)](function(){_0x8a72f7(_0xc137d2);},function(){const _0x33ac0a=_0x33f94c;console[_0x33ac0a(0x1b4f)](_0x33ac0a(0x24ba));});}function _0x30711a(_0x1e6af2){const _0x54da2e=_0x182346,_0x5808aa=_0x395ac0['confirm']()['title'](_0x54da2e(0x1f8e))['htmlContent'](''+_0x45b8d6[_0x54da2e(0x4df)][_0x54da2e(0xfd0)]+_0x54da2e(0x1d6c)+_0x54da2e(0x1b6))[_0x54da2e(0x15ad)]('delete\x20subjects')[_0x54da2e(0x728)](_0x1e6af2)['ok']('OK')[_0x54da2e(0x696)](_0x54da2e(0x24ba));_0x395ac0[_0x54da2e(0xe27)](_0x5808aa)['then'](function(){const _0x1a94ac=_0x54da2e;_0x45b8d6[_0x1a94ac(0x4df)][_0x1a94ac(0xf90)](function(_0x4eb729){_0x8a72f7(_0x4eb729);}),_0x45b8d6[_0x1a94ac(0x4df)]=[];});}_0x45b8d6[_0x182346(0xac6)]=[],_0x45b8d6[_0x182346(0x14c7)]=_0x25e396,_0x45b8d6[_0x182346(0xc09)]=_0x55f792,_0x45b8d6[_0x182346(0x2931)]=_0x27cdba;function _0x25e396(_0x4810a1,_0x5a6785){const _0x3209b8=_0x182346;_0x395ac0[_0x3209b8(0xe27)]({'controller':_0x3209b8(0x273b),'controllerAs':'vm','templateUrl':_0x16a355,'parent':angular[_0x3209b8(0x1853)](_0x251f3c['body']),'targetEvent':_0x4810a1,'clickOutsideToClose':!![],'locals':{'type':_0x3209b8(0x2c1),'item':_0x5a6785,'items':_0x45b8d6['descriptions'],'configuration':_0x45b8d6[_0x3209b8(0xddd)],'account':_0x45b8d6[_0x3209b8(0x12bc)],'license':null,'setting':null,'crudPermissions':_0x45b8d6[_0x3209b8(0x1b1a)]}});}function _0x476264(_0x19bf00){const _0x5193d7=_0x182346;_0x102f68[_0x5193d7(0x1ce8)]['delete']({'id':_0x19bf00['id']})['$promise']['then'](function(){const _0x4af8ec=_0x5193d7;_0x39641b()[_0x4af8ec(0x152a)](_0x45b8d6['descriptions'],{'id':_0x19bf00['id']}),_0x15ee42[_0x4af8ec(0x829)]({'title':'Description\x20deleted!','msg':_0x4af8ec(0xb59)});})[_0x5193d7(0x1c4)](function(_0x1d0d85){const _0x8e8c13=_0x5193d7;_0x15ee42[_0x8e8c13(0x218e)]({'title':_0x1d0d85[_0x8e8c13(0x291)]?'API:'+_0x1d0d85[_0x8e8c13(0x291)]+_0x8e8c13(0x1657)+_0x1d0d85[_0x8e8c13(0xc22)]:'SYSTEM:DELETECONFIGURATIONFIELD','msg':_0x1d0d85[_0x8e8c13(0x25c)]?JSON['stringify'](_0x1d0d85[_0x8e8c13(0x25c)]):_0x1d0d85[_0x8e8c13(0x147f)]()});});}function _0x55f792(_0x1fdbc0,_0x324b85){const _0x46819f=_0x182346,_0x46a15c=_0x395ac0['confirm']()[_0x46819f(0x1386)](_0x46819f(0x7d2))[_0x46819f(0x49e)](_0x46819f(0x18b8)+'\x20will\x20be\x20deleted.')[_0x46819f(0x15ad)]('delete\x20description')[_0x46819f(0x728)](_0x1fdbc0)['ok']('OK')[_0x46819f(0x696)](_0x46819f(0x24ba));_0x395ac0['show'](_0x46a15c)[_0x46819f(0x1cb0)](function(){_0x476264(_0x324b85);},function(){const _0x389820=_0x46819f;console[_0x389820(0x1b4f)](_0x389820(0x24ba));});}function _0x27cdba(_0x483ba1){const _0x165d1c=_0x182346,_0x39aa78=_0x395ac0[_0x165d1c(0x1551)]()[_0x165d1c(0x1386)](_0x165d1c(0x2e7))[_0x165d1c(0x49e)](_0x165d1c(0x204d)+_0x45b8d6[_0x165d1c(0xac6)][_0x165d1c(0xfd0)]+'\x20selected'+_0x165d1c(0x1b6))[_0x165d1c(0x15ad)](_0x165d1c(0x1828))[_0x165d1c(0x728)](_0x483ba1)['ok']('OK')[_0x165d1c(0x696)](_0x165d1c(0x24ba));_0x395ac0[_0x165d1c(0xe27)](_0x39aa78)['then'](function(){const _0x25da2f=_0x165d1c;_0x45b8d6[_0x25da2f(0xac6)][_0x25da2f(0xf90)](function(_0xd185b){_0x476264(_0xd185b);}),_0x45b8d6[_0x25da2f(0xac6)]=[];});}_0x45b8d6[_0x182346(0x9b2)]=[],_0x45b8d6[_0x182346(0x5e9)]=_0x1df3de,_0x45b8d6['deleteFieldConfirm']=_0x26e95e,_0x45b8d6['deleteSelectedFields']=_0x28fa71;function _0x1df3de(_0x57b12d,_0xd9883f){const _0xd8df71=_0x182346;_0x395ac0[_0xd8df71(0xe27)]({'controller':_0xd8df71(0x273b),'controllerAs':'vm','templateUrl':_0x16a355,'parent':angular[_0xd8df71(0x1853)](_0x251f3c[_0xd8df71(0x1ed9)]),'targetEvent':_0x57b12d,'clickOutsideToClose':!![],'locals':{'type':'field','item':_0xd9883f,'items':_0x45b8d6[_0xd8df71(0x355)],'configuration':_0x45b8d6[_0xd8df71(0xddd)],'account':_0x45b8d6[_0xd8df71(0x12bc)],'license':null,'setting':null,'crudPermissions':_0x45b8d6[_0xd8df71(0x1b1a)]}});}function _0x3ee942(_0x2cdacf){const _0x1861c8=_0x182346;_0x102f68[_0x1861c8(0x1ce8)][_0x1861c8(0x111d)]({'id':_0x2cdacf['id']})[_0x1861c8(0x1d77)]['then'](function(){const _0x345827=_0x1861c8;_0x39641b()[_0x345827(0x152a)](_0x45b8d6[_0x345827(0x355)],{'id':_0x2cdacf['id']}),_0x15ee42[_0x345827(0x829)]({'title':'Field\x20deleted!','msg':'Field\x20has\x20been\x20deleted!'});})['catch'](function(_0x87f809){const _0x51047e=_0x1861c8;_0x15ee42['error']({'title':_0x87f809[_0x51047e(0x291)]?_0x51047e(0xeb9)+_0x87f809[_0x51047e(0x291)]+'\x20-\x20'+_0x87f809[_0x51047e(0xc22)]:_0x51047e(0x13e5),'msg':_0x87f809['data']?JSON[_0x51047e(0x2701)](_0x87f809['data']):_0x87f809[_0x51047e(0x147f)]()});});}function _0x26e95e(_0x1bc8a0,_0x203586){const _0x5d60d7=_0x182346,_0x302a56=_0x395ac0['confirm']()[_0x5d60d7(0x1386)](_0x5d60d7(0x21a9))[_0x5d60d7(0x49e)]('Field'+'\x20will\x20be\x20deleted.')[_0x5d60d7(0x15ad)](_0x5d60d7(0x21ee))[_0x5d60d7(0x728)](_0x1bc8a0)['ok']('OK')[_0x5d60d7(0x696)]('CANCEL');_0x395ac0[_0x5d60d7(0xe27)](_0x302a56)[_0x5d60d7(0x1cb0)](function(){_0x3ee942(_0x203586);},function(){const _0x19f8d6=_0x5d60d7;console[_0x19f8d6(0x1b4f)](_0x19f8d6(0x24ba));});}function _0x28fa71(_0x29ad54){const _0x3f112f=_0x182346,_0x64d229=_0x395ac0[_0x3f112f(0x1551)]()[_0x3f112f(0x1386)](_0x3f112f(0x983))['htmlContent'](_0x3f112f(0x204d)+_0x45b8d6['selectedFields'][_0x3f112f(0xfd0)]+_0x3f112f(0x1d6c)+'\x20will\x20be\x20deleted.')[_0x3f112f(0x15ad)]('delete\x20fields')[_0x3f112f(0x728)](_0x29ad54)['ok']('OK')[_0x3f112f(0x696)](_0x3f112f(0x24ba));_0x395ac0['show'](_0x64d229)['then'](function(){const _0x18770b=_0x3f112f;_0x45b8d6[_0x18770b(0x9b2)][_0x18770b(0xf90)](function(_0xea13eb){_0x3ee942(_0xea13eb);}),_0x45b8d6[_0x18770b(0x9b2)]=[];});}}const _0x5dbd0f=_0x4190e7;;_0x4c47d2[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),'$location',_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),'configurations','servicenowConfiguration','api',_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting','crudPermissions'];function _0x4c47d2(_0x19faa8,_0x3c33c4,_0x41b76a,_0x48cd4c,_0x4cb142,_0x24351e,_0x2f9899,_0x31af67,_0x466bad,_0x50c779,_0xe093f5,_0x404f58,_0x3c02ea,_0x6015d5){const _0x4bb2b1=_0x5537c6,_0x418f3e=this;_0x418f3e[_0x4bb2b1(0xe76)]=_0xe093f5['getCurrentUser'](),_0x418f3e[_0x4bb2b1(0x1a7c)]=[],_0x418f3e[_0x4bb2b1(0x9ca)]=_0x3c02ea,_0x418f3e[_0x4bb2b1(0x8a5)]=_0x404f58,_0x418f3e[_0x4bb2b1(0x1b1a)]=_0x6015d5,_0x418f3e[_0x4bb2b1(0xf4c)]={},_0x418f3e[_0x4bb2b1(0x1b0c)]=_0x418f3e['setting']&&_0x418f3e[_0x4bb2b1(0x9ca)][_0x4bb2b1(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x418f3e[_0x4bb2b1(0x1386)]=_0x4bb2b1(0x14cd),_0x418f3e['servicenowConfiguration']=angular[_0x4bb2b1(0x17fe)](_0x466bad),_0x418f3e[_0x4bb2b1(0xa06)]=_0x31af67,_0x418f3e[_0x4bb2b1(0x1b74)]=![];!_0x418f3e[_0x4bb2b1(0xddd)]&&(_0x418f3e[_0x4bb2b1(0xddd)]={'channel':_0x4bb2b1(0x1fd4),'type':_0x4bb2b1(0x26c0)},_0x418f3e[_0x4bb2b1(0x1386)]='INTEGRATIONS.NEW_SERVICENOWCONFIGURATION',_0x418f3e[_0x4bb2b1(0x1b74)]=!![]);_0x418f3e['addNewServicenowConfiguration']=_0xc5ae53,_0x418f3e[_0x4bb2b1(0x1e8)]=_0x3a296e,_0x418f3e[_0x4bb2b1(0x1469)]=_0x337ad3,_0x418f3e[_0x4bb2b1(0x2c4)]=_0x474966,_0x418f3e[_0x4bb2b1(0xda0)]=_0xba37cb;function _0xc5ae53(){const _0x109300=_0x4bb2b1;_0x418f3e[_0x109300(0x1a7c)]=[],_0x50c779[_0x109300(0x901)][_0x109300(0x1070)]({'id':_0x3c33c4[_0x109300(0x1dfe)]['id']},_0x418f3e[_0x109300(0xddd)])[_0x109300(0x1d77)][_0x109300(0x1cb0)](function(_0x459f12){const _0x472130=_0x109300;_0x418f3e[_0x472130(0xa06)][_0x472130(0xf63)](_0x459f12[_0x472130(0x19b2)]()),_0x2f9899[_0x472130(0x829)]({'title':_0x472130(0x1a5a),'msg':_0x418f3e[_0x472130(0xddd)][_0x472130(0x16b6)]?_0x418f3e[_0x472130(0xddd)][_0x472130(0x16b6)]+_0x472130(0x470):''}),_0xba37cb(_0x459f12);})[_0x109300(0x1c4)](function(_0x3ce665){const _0x23af80=_0x109300;if(_0x3ce665[_0x23af80(0x25c)]&&_0x3ce665[_0x23af80(0x25c)][_0x23af80(0x1a7c)]&&_0x3ce665[_0x23af80(0x25c)][_0x23af80(0x1a7c)][_0x23af80(0xfd0)]){_0x418f3e['errors']=_0x3ce665[_0x23af80(0x25c)][_0x23af80(0x1a7c)]||[{'message':_0x3ce665[_0x23af80(0x147f)](),'type':_0x23af80(0x26e4)}];for(let _0x55706f=0x0;_0x55706f<_0x3ce665[_0x23af80(0x25c)][_0x23af80(0x1a7c)][_0x23af80(0xfd0)];_0x55706f+=0x1){_0x2f9899[_0x23af80(0x218e)]({'title':_0x3ce665[_0x23af80(0x25c)][_0x23af80(0x1a7c)][_0x55706f]['type'],'msg':_0x3ce665['data'][_0x23af80(0x1a7c)][_0x55706f][_0x23af80(0x155e)]});}}else _0x2f9899[_0x23af80(0x218e)]({'title':_0x3ce665[_0x23af80(0x291)]?_0x23af80(0xeb9)+_0x3ce665[_0x23af80(0x291)]+'\x20-\x20'+_0x3ce665[_0x23af80(0xc22)]:'api.intServicenowConfiguration.save','msg':_0x3ce665[_0x23af80(0x25c)]?JSON[_0x23af80(0x2701)](_0x3ce665[_0x23af80(0x25c)][_0x23af80(0x155e)]):_0x3ce665['toString']()});});}function _0x3a296e(){const _0x3b89ff=_0x4bb2b1;_0x418f3e['errors']=[],_0x50c779['intServicenowConfiguration'][_0x3b89ff(0x687)]({'id':_0x418f3e[_0x3b89ff(0xddd)]['id']},_0x418f3e[_0x3b89ff(0xddd)])[_0x3b89ff(0x1d77)][_0x3b89ff(0x1cb0)](function(_0x6524f3){const _0x175c43=_0x3b89ff,_0x14d2fa=_0x39641b()[_0x175c43(0x13b4)](_0x418f3e[_0x175c43(0xa06)],{'id':_0x6524f3['id']});_0x14d2fa&&_0x39641b()['merge'](_0x14d2fa,_0x39641b()[_0x175c43(0x169b)](_0x6524f3[_0x175c43(0x19b2)](),_0x39641b()['keys'](_0x14d2fa))),_0x2f9899[_0x175c43(0x829)]({'title':_0x175c43(0x24af),'msg':_0x418f3e[_0x175c43(0xddd)][_0x175c43(0x16b6)]?_0x418f3e['servicenowConfiguration'][_0x175c43(0x16b6)]+_0x175c43(0xedb):''}),_0xba37cb(_0x6524f3);})['catch'](function(_0x5f8724){const _0x7d9724=_0x3b89ff;if(_0x5f8724[_0x7d9724(0x25c)]&&_0x5f8724[_0x7d9724(0x25c)]['errors']&&_0x5f8724['data'][_0x7d9724(0x1a7c)]['length']){_0x418f3e[_0x7d9724(0x1a7c)]=_0x5f8724[_0x7d9724(0x25c)][_0x7d9724(0x1a7c)]||[{'message':_0x5f8724['toString'](),'type':_0x7d9724(0x244)}];for(let _0x5d797b=0x0;_0x5d797b<_0x5f8724[_0x7d9724(0x25c)][_0x7d9724(0x1a7c)]['length'];_0x5d797b++){_0x2f9899[_0x7d9724(0x218e)]({'title':_0x5f8724[_0x7d9724(0x25c)][_0x7d9724(0x1a7c)][_0x5d797b]['type'],'msg':_0x5f8724[_0x7d9724(0x25c)][_0x7d9724(0x1a7c)][_0x5d797b]['message']});}}else _0x2f9899[_0x7d9724(0x218e)]({'title':_0x5f8724[_0x7d9724(0x291)]?_0x7d9724(0xeb9)+_0x5f8724[_0x7d9724(0x291)]+'\x20-\x20'+_0x5f8724[_0x7d9724(0xc22)]:_0x7d9724(0x244),'msg':_0x5f8724['data']?JSON['stringify'](_0x5f8724[_0x7d9724(0x25c)][_0x7d9724(0x155e)]):_0x5f8724[_0x7d9724(0x147f)]()});});}function _0x337ad3(_0x2ee7f7){const _0x9bfff3=_0x4bb2b1;_0x418f3e[_0x9bfff3(0x1a7c)]=[];const _0x13576c=_0x48cd4c[_0x9bfff3(0x1551)]()['title'](_0x9bfff3(0x1a2e))[_0x9bfff3(0x862)](_0x9bfff3(0x1bd5))[_0x9bfff3(0x15ad)]('Delete\x20ServicenowConfiguration')['ok'](_0x9bfff3(0x2594))[_0x9bfff3(0x696)](_0x9bfff3(0xde1))[_0x9bfff3(0x728)](_0x2ee7f7);_0x48cd4c[_0x9bfff3(0xe27)](_0x13576c)[_0x9bfff3(0x1cb0)](function(){const _0x56e912=_0x9bfff3;_0x50c779[_0x56e912(0x739)][_0x56e912(0x111d)]({'id':_0x418f3e[_0x56e912(0xddd)]['id']})[_0x56e912(0x1d77)][_0x56e912(0x1cb0)](function(){const _0x302d18=_0x56e912;_0x39641b()['remove'](_0x418f3e[_0x302d18(0xa06)],{'id':_0x418f3e[_0x302d18(0xddd)]['id']}),_0x2f9899[_0x302d18(0x829)]({'title':_0x302d18(0x153f),'msg':(_0x418f3e[_0x302d18(0xddd)][_0x302d18(0x16b6)]||'servicenowConfiguration')+'\x20has\x20been\x20deleted!'}),_0xba37cb(_0x418f3e[_0x302d18(0xddd)]);})[_0x56e912(0x1c4)](function(_0x581c94){const _0x3f9a79=_0x56e912;if(_0x581c94[_0x3f9a79(0x25c)]&&_0x581c94[_0x3f9a79(0x25c)][_0x3f9a79(0x1a7c)]&&_0x581c94[_0x3f9a79(0x25c)][_0x3f9a79(0x1a7c)]['length']){_0x418f3e[_0x3f9a79(0x1a7c)]=_0x581c94[_0x3f9a79(0x25c)]['errors']||[{'message':_0x581c94[_0x3f9a79(0x147f)](),'type':_0x3f9a79(0x2887)}];for(let _0x48433b=0x0;_0x48433b<_0x581c94['data'][_0x3f9a79(0x1a7c)][_0x3f9a79(0xfd0)];_0x48433b++){_0x2f9899[_0x3f9a79(0x218e)]({'title':_0x581c94[_0x3f9a79(0x25c)][_0x3f9a79(0x1a7c)][_0x48433b]['type'],'msg':_0x581c94[_0x3f9a79(0x25c)][_0x3f9a79(0x1a7c)][_0x48433b]['message']});}}else _0x2f9899['error']({'title':_0x581c94['status']?'API:'+_0x581c94[_0x3f9a79(0x291)]+_0x3f9a79(0x1657)+_0x581c94[_0x3f9a79(0xc22)]:_0x3f9a79(0x2887),'msg':_0x581c94['data']?JSON[_0x3f9a79(0x2701)](_0x581c94[_0x3f9a79(0x25c)][_0x3f9a79(0x155e)]):_0x581c94[_0x3f9a79(0x155e)]||_0x581c94[_0x3f9a79(0x147f)]()});});},function(){});}function _0x474966(_0x182ef1){return _0x182ef1===null?undefined:new Date(_0x182ef1);}function _0xba37cb(_0x8de784){const _0xc4b518=_0x4bb2b1;_0x48cd4c[_0xc4b518(0x1426)](_0x8de784);}}const _0x51d181=_0x4c47d2;;_0x4eff8b[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),'$mdDialog',_0x5537c6(0x9bf),_0x5537c6(0x2718),'items','item',_0x5537c6(0x1539),_0x5537c6(0x66a),'api',_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x4eff8b(_0x2110a1,_0x19f6dc,_0x4de271,_0xaa34e2,_0x5833d8,_0x2274cb,_0x5c2de5,_0x3958ec,_0x1955da,_0x2115d0,_0xa5d1de,_0x1a0e9d,_0x2dce39){const _0x35faea=_0x5537c6,_0x46ac64=this;_0x46ac64['currentUser']=_0x1a0e9d[_0x35faea(0x21e8)](),_0x46ac64[_0x35faea(0x1a7c)]=[],_0x46ac64[_0x35faea(0x1386)]='INTEGRATIONS.EDIT_'+_0x39641b()[_0x35faea(0x1002)](_0x2115d0),_0x46ac64['item']=angular[_0x35faea(0x17fe)](_0x3958ec),_0x46ac64['items']=_0x5c2de5,_0x46ac64[_0x35faea(0x66a)]=_0x2115d0,_0x46ac64['crudPermissions']=_0x2dce39,_0x46ac64[_0x35faea(0x1202)]=![];!_0x46ac64[_0x35faea(0x583)]&&(_0x46ac64[_0x35faea(0x583)]={'type':_0x35faea(0x1518)},_0x46ac64[_0x35faea(0x583)][_0x39641b()[_0x35faea(0x432)](_0x2115d0)+'Id']=_0x2274cb['id'],_0x46ac64[_0x35faea(0x1386)]=_0x35faea(0x15dd)+_0x39641b()[_0x35faea(0x1002)](_0x2115d0),_0x46ac64[_0x35faea(0x1202)]=!![]);_0x46ac64[_0x35faea(0x1286)]=_0x485cc4,_0x46ac64[_0x35faea(0x1a78)]=_0xbeea6e,_0x46ac64[_0x35faea(0x1177)]=_0x3aa5c3,_0x46ac64[_0x35faea(0x2f6)]=_0x2c5eac,_0x46ac64['closeDialog']=_0x5c1308,_0xa5d1de[_0x35faea(0x1822)]['get']({'nolimit':!![]})[_0x35faea(0x1d77)][_0x35faea(0x1cb0)](function(_0x379f57){const _0x48d949=_0x35faea;return _0x46ac64['variables']=_0x379f57[_0x48d949(0x2214)]?_0x379f57['rows']:[],_0xa5d1de[_0x48d949(0x247a)][_0x48d949(0x1e57)]()[_0x48d949(0x1d77)];})['then'](function(_0x54946e){const _0x526703=_0x35faea;return _0x46ac64[_0x526703(0xbf1)]=_0x39641b()['difference'](_0x39641b()[_0x526703(0x1be5)](_0x54946e),[_0x526703(0x1d77),_0x526703(0x248e),_0x526703(0x24cb),_0x526703(0x27ba),_0x526703(0x1867)]),_0x46ac64[_0x526703(0xbf1)][_0x526703(0x2785)]('recordingURL'),_0xa5d1de['voiceDialReport'][_0x526703(0x1e57)]()['$promise'];})[_0x35faea(0x1cb0)](function(_0x54bb75){const _0x26d6b5=_0x35faea;_0x46ac64[_0x26d6b5(0x1639)]=_0x39641b()[_0x26d6b5(0x91f)](_0x39641b()[_0x26d6b5(0x1be5)](_0x54bb75),[_0x26d6b5(0x1d77),_0x26d6b5(0x248e),_0x26d6b5(0x24cb),_0x26d6b5(0x27ba),_0x26d6b5(0x1867)]),_0x46ac64[_0x26d6b5(0x1639)][_0x26d6b5(0x2785)](_0x26d6b5(0x2110));})['catch'](function(_0x241252){const _0x59aaa4=_0x35faea;_0x5833d8['error']({'title':_0x241252[_0x59aaa4(0x291)]?_0x59aaa4(0xeb9)+_0x241252['status']+_0x59aaa4(0x1657)+_0x241252[_0x59aaa4(0xc22)]:'SYSTEM:GETVARIABLES','msg':_0x241252[_0x59aaa4(0x25c)]?JSON[_0x59aaa4(0x2701)](_0x241252[_0x59aaa4(0x25c)]):_0x241252[_0x59aaa4(0x147f)]()});}),_0xa5d1de[_0x35faea(0x901)]['getFields']({'id':_0x1955da['id'],'ticketType':_0x2274cb[_0x35faea(0x161)]?_0x2274cb[_0x35faea(0x161)]:undefined})[_0x35faea(0x1d77)][_0x35faea(0x1cb0)](function(_0x48f9a8){const _0x8fa9b5=_0x35faea;_0x46ac64[_0x8fa9b5(0x1a28)]=!![],_0x46ac64[_0x8fa9b5(0x355)]=_0x48f9a8['rows']?_0x48f9a8['rows']:[],_0x46ac64[_0x8fa9b5(0x583)][_0x8fa9b5(0x1e50)]&&(_0x46ac64[_0x8fa9b5(0x1d5a)]=_0x39641b()[_0x8fa9b5(0x13b4)](_0x46ac64[_0x8fa9b5(0x355)],{'id':_0x46ac64['item'][_0x8fa9b5(0x1e50)]}));})[_0x35faea(0x1c4)](function(_0x16681b){const _0x52c70d=_0x35faea;_0x46ac64[_0x52c70d(0x1a28)]=![],console[_0x52c70d(0x218e)](_0x16681b);});function _0x485cc4(){const _0x24c34f=_0x35faea;_0x46ac64[_0x24c34f(0x1a7c)]=[],_0x46ac64['customField']&&(_0x46ac64[_0x24c34f(0x583)][_0x24c34f(0x1e50)]=_0x46ac64[_0x24c34f(0x1d5a)]['id'],_0x46ac64[_0x24c34f(0x583)][_0x24c34f(0xc1f)]=_0x46ac64['customField']['name'],_0x46ac64[_0x24c34f(0x583)]['customField']=_0x46ac64[_0x24c34f(0x1d5a)][_0x24c34f(0x197c)]),_0xa5d1de[_0x24c34f(0x1ce8)][_0x24c34f(0x1c3f)](_0x46ac64[_0x24c34f(0x583)])[_0x24c34f(0x1d77)]['then'](function(_0x56c77b){const _0xfc9d37=_0x24c34f;_0x46ac64[_0xfc9d37(0x122f)][_0xfc9d37(0xf63)](_0x56c77b),_0x5833d8['success']({'title':_0x39641b()[_0xfc9d37(0x432)](_0x2115d0)+'\x20properly\x20created','msg':_0x39641b()[_0xfc9d37(0x432)](_0x2115d0)+_0xfc9d37(0x470)}),_0x5c1308();})[_0x24c34f(0x1c4)](function(_0x595af7){const _0x179338=_0x24c34f;console[_0x179338(0x218e)](_0x595af7),_0x46ac64[_0x179338(0x1a7c)]=_0x595af7[_0x179338(0x25c)]['errors']||[{'message':_0x595af7[_0x179338(0x147f)](),'type':_0x179338(0x1876)}];});}function _0xbeea6e(){const _0x3c2dc0=_0x35faea;_0x46ac64['errors']=[],_0x46ac64['customField']&&(_0x46ac64[_0x3c2dc0(0x583)][_0x3c2dc0(0x1e50)]=_0x46ac64[_0x3c2dc0(0x1d5a)]['id'],_0x46ac64['item'][_0x3c2dc0(0xc1f)]=_0x46ac64[_0x3c2dc0(0x1d5a)][_0x3c2dc0(0x16b6)],_0x46ac64[_0x3c2dc0(0x583)][_0x3c2dc0(0x1d5a)]=_0x46ac64[_0x3c2dc0(0x1d5a)][_0x3c2dc0(0x197c)]),_0xa5d1de[_0x3c2dc0(0x1ce8)][_0x3c2dc0(0x687)]({'id':_0x46ac64[_0x3c2dc0(0x583)]['id']},_0x46ac64[_0x3c2dc0(0x583)])['$promise'][_0x3c2dc0(0x1cb0)](function(_0x4d8732){const _0x15bc3c=_0x3c2dc0,_0x43d37c=_0x39641b()[_0x15bc3c(0x13b4)](_0x46ac64['items'],{'id':_0x4d8732['id']});_0x43d37c&&_0x39641b()[_0x15bc3c(0x9c1)](_0x43d37c,_0x4d8732),_0x5833d8['success']({'title':_0x39641b()[_0x15bc3c(0x432)](_0x2115d0)+_0x15bc3c(0xaf6),'msg':_0x39641b()[_0x15bc3c(0x432)](_0x2115d0)+_0x15bc3c(0xedb)}),_0x5c1308();})[_0x3c2dc0(0x1c4)](function(_0x1b959a){const _0x2e393b=_0x3c2dc0;console[_0x2e393b(0x218e)](_0x1b959a),_0x46ac64[_0x2e393b(0x1a7c)]=_0x1b959a[_0x2e393b(0x25c)][_0x2e393b(0x1a7c)]||[{'message':_0x1b959a[_0x2e393b(0x147f)](),'type':'api.intItem.update'}];});}function _0x3aa5c3(_0x4f7067){const _0xdf5e41=_0x35faea;_0x46ac64[_0xdf5e41(0x1a7c)]=[];const _0x52fd69=_0xaa34e2[_0xdf5e41(0x1551)]()[_0xdf5e41(0x1386)]('Are\x20you\x20sure?')[_0xdf5e41(0x862)]('The\x20'+_0x2115d0+_0xdf5e41(0x1b6))[_0xdf5e41(0x15ad)](_0xdf5e41(0x1f6a)+_0x39641b()[_0xdf5e41(0x432)](_0x2115d0))['ok']('Delete')[_0xdf5e41(0x696)](_0xdf5e41(0xde1))[_0xdf5e41(0x728)](_0x4f7067);_0xaa34e2[_0xdf5e41(0xe27)](_0x52fd69)[_0xdf5e41(0x1cb0)](function(){const _0x4580a4=_0xdf5e41;_0xa5d1de[_0x4580a4(0x1ce8)]['delete']({'id':_0x46ac64[_0x4580a4(0x583)]['id']})[_0x4580a4(0x1d77)][_0x4580a4(0x1cb0)](function(){const _0x422e4e=_0x4580a4;_0x39641b()['remove'](_0x46ac64[_0x422e4e(0x122f)],{'id':_0x46ac64[_0x422e4e(0x583)]['id']}),_0x5833d8[_0x422e4e(0x829)]({'title':_0x39641b()[_0x422e4e(0x432)](_0x2115d0)+'\x20properly\x20deleted!','msg':_0x39641b()[_0x422e4e(0x432)](_0x2115d0)+'\x20has\x20been\x20deleted!'}),_0x5c1308();})[_0x4580a4(0x1c4)](function(_0x1ef9ff){const _0x242514=_0x4580a4;console[_0x242514(0x218e)](_0x1ef9ff),_0x46ac64[_0x242514(0x1a7c)]=_0x1ef9ff[_0x242514(0x25c)]['errors']||[{'message':_0x1ef9ff[_0x242514(0x147f)](),'type':_0x242514(0x10e6)}];});},function(){});}function _0x2c5eac(){const _0x433a6c=_0x35faea;return _0x46ac64[_0x433a6c(0x583)][_0x433a6c(0x1e71)]!==undefined?_0x46ac64['item']['variableName']:_0x433a6c(0x7c8);}function _0x5c1308(){const _0x46f04d=_0x35faea;_0xaa34e2[_0x46f04d(0x1426)]();}}const _0x406293=_0x4eff8b;;_0x17d38f[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x22bf),'$translate','license',_0x5537c6(0x9ca),'api','toasty',_0x5537c6(0x1774),_0x5537c6(0x12bc),_0x5537c6(0x2199)];function _0x17d38f(_0x1d931e,_0x20d43a,_0x320980,_0x5a8409,_0x50a606,_0x3e503b,_0x17a756,_0x25b1fb,_0x3defa7,_0x514a4d,_0x580f74,_0x53aa6f){const _0x1c519c=_0x5537c6,_0x1e761c=this;_0x1e761c['currentUser']=_0x514a4d[_0x1c519c(0x21e8)](),_0x1e761c['license']=_0x3e503b,_0x1e761c[_0x1c519c(0x9ca)]=_0x17a756,_0x1e761c['passwordPattern']=_0x1e761c[_0x1c519c(0x9ca)][_0x1c519c(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x1e761c[_0x1c519c(0x2404)]=_0x20d43a[_0x1c519c(0x2276)]()+'://'+_0x20d43a[_0x1c519c(0x17d8)](),_0x1e761c['servicenowAccount']=_0x580f74||_0x1d931e[_0x1c519c(0x1dfe)]['servicenowAccount']||{},_0x1e761c[_0x1c519c(0x2199)]=_0x53aa6f&&_0x53aa6f[_0x1c519c(0x184d)]==0x1?_0x53aa6f[_0x1c519c(0x2214)][0x0]:null,_0x1e761c['crudPermissions']=_0x514a4d[_0x1c519c(0x14ea)](_0x1e761c[_0x1c519c(0x2199)]?_0x1e761c['userProfileSection']['crudPermissions']:null),_0x1e761c[_0x1c519c(0xf4c)]={},_0x1e761c['selectedTab']=_0x1d931e[_0x1c519c(0x1dfe)]['tab']||0x0,_0x1e761c[_0x1c519c(0x494)]=_0x3defa7['info'],_0x1e761c['gotoServicenowAccounts']=_0x3fae33,_0x1e761c[_0x1c519c(0xa32)]=_0x5efeac;function _0x3fae33(){const _0x3f695a=_0x1c519c;_0x1d931e['go'](_0x3f695a(0xc85),{},{'reload':_0x3f695a(0xc85)});}function _0x5efeac(){const _0x240ecb=_0x1c519c;_0x25b1fb[_0x240ecb(0x901)]['update']({'id':_0x1e761c[_0x240ecb(0x12bc)]['id']},_0x1e761c[_0x240ecb(0x12bc)])['$promise']['then'](function(){const _0x51a4a2=_0x240ecb;_0x3defa7['success']({'title':'ServicenowAccount\x20updated!','msg':_0x1e761c[_0x51a4a2(0x12bc)][_0x51a4a2(0x16b6)]?_0x1e761c['servicenowAccount'][_0x51a4a2(0x16b6)]+_0x51a4a2(0x1068):''});})[_0x240ecb(0x1c4)](function(_0x3cf2a7){const _0x24cc32=_0x240ecb;_0x3defa7['error']({'title':_0x3cf2a7[_0x24cc32(0x291)]?_0x24cc32(0xeb9)+_0x3cf2a7[_0x24cc32(0x291)]+_0x24cc32(0x1657)+_0x3cf2a7[_0x24cc32(0xc22)]:_0x24cc32(0x114f),'msg':_0x3cf2a7[_0x24cc32(0x25c)]?JSON['stringify'](_0x3cf2a7[_0x24cc32(0x25c)]):_0x3cf2a7[_0x24cc32(0x147f)]()});});}}const _0x1f34b2=_0x17d38f;;const _0x1fce4b=_0x5074a3['p']+'src/js/modules/main/apps/integrations/views/servicenowAccounts/create/dialog.html/dialog.html';;_0x239c2b[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),'$state',_0x5537c6(0x417),_0x5537c6(0xcb9),_0x5537c6(0x22bf),'$timeout','$translate',_0x5537c6(0x1525),_0x5537c6(0x44a),_0x5537c6(0x2199),'api','msUtils',_0x5537c6(0x9bf),'Auth',_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x239c2b(_0x5de6c8,_0x171c43,_0x640973,_0x551c43,_0x4a9589,_0x4f922d,_0x460ff7,_0x4ca107,_0x4299d5,_0x380be5,_0x91466e,_0x2f167f,_0x3d0dea,_0x189164,_0x56d580,_0x17a96c,_0x2d2d7a){const _0x58e1d6=_0x5537c6,_0x3fbbdd=this;_0x3fbbdd[_0x58e1d6(0x8a5)]=_0x17a96c,_0x3fbbdd[_0x58e1d6(0x9ca)]=_0x2d2d7a,_0x3fbbdd[_0x58e1d6(0xe76)]=_0x56d580['getCurrentUser'](),_0x3fbbdd[_0x58e1d6(0x1525)]=_0x4299d5||{'count':0x0,'rows':[]},_0x3fbbdd['userProfile']=_0x380be5,_0x3fbbdd['userProfileSection']=_0x91466e&&_0x91466e[_0x58e1d6(0x184d)]==0x1?_0x91466e[_0x58e1d6(0x2214)][0x0]:null,_0x3fbbdd[_0x58e1d6(0x1b1a)]=_0x56d580[_0x58e1d6(0x14ea)](_0x3fbbdd[_0x58e1d6(0x2199)]?_0x3fbbdd['userProfileSection']['crudPermissions']:null),_0x3fbbdd[_0x58e1d6(0xc83)]=_0x58e1d6(0x1525),_0x3fbbdd['listOrder']='',_0x3fbbdd[_0x58e1d6(0x1cdf)]=null,_0x3fbbdd[_0x58e1d6(0x1e3d)]=[],_0x3fbbdd['query']={'fields':'createdAt,updatedAt,id,name,username,password,email,remoteUri,serverUrl,description','limit':0xa,'page':0x1},_0x3fbbdd[_0x58e1d6(0x235d)]=_0x321b9b,_0x3fbbdd[_0x58e1d6(0x6b1)]=_0x5cc2ce,_0x3fbbdd[_0x58e1d6(0x27fe)]=_0x5bc7b7,_0x3fbbdd[_0x58e1d6(0x829)]=_0x10c066,_0x3fbbdd['getServicenowAccounts']=_0x55deae,_0x3fbbdd[_0x58e1d6(0x286e)]=_0xd9c74f,_0x3fbbdd[_0x58e1d6(0x69c)]=_0x1a79d7,_0x3fbbdd[_0x58e1d6(0x90a)]=_0xdd690c,_0x3fbbdd['deleteSelectedServicenowAccounts']=_0x40b5fc,_0x3fbbdd[_0x58e1d6(0x3b7)]=_0x15826f,_0x3fbbdd[_0x58e1d6(0x1c6e)]=_0x16e793;function _0x321b9b(_0x56459f){const _0x30711e=_0x58e1d6;_0x640973['go'](_0x30711e(0x1f47),{'id':_0x56459f['id'],'servicenowAccount':_0x56459f,'crudPermissions':_0x3fbbdd[_0x30711e(0x1b1a)]});}function _0x5cc2ce(_0xfebfbe){const _0x5f2c8a=_0x58e1d6;return _0x2f167f['intServicenowAccount'][_0x5f2c8a(0xc84)]({'id':_0xfebfbe['id'],'test':!![]})[_0x5f2c8a(0x1d77)][_0x5f2c8a(0x1cb0)](function(){const _0x35ba13=_0x5f2c8a;_0x189164[_0x35ba13(0x829)]({'title':_0x35ba13(0x2809),'msg':_0x35ba13(0x1bca)});})[_0x5f2c8a(0x1c4)](function(_0x3169a7){const _0x3925e0=_0x5f2c8a;_0x189164['error']({'title':_0x3925e0(0x2809),'msg':_0x3169a7[_0x3925e0(0x25c)]?_0x3169a7[_0x3925e0(0x25c)][_0x3925e0(0x155e)]?_0x3169a7[_0x3925e0(0x25c)][_0x3925e0(0x155e)]:JSON[_0x3925e0(0x2701)](_0x3169a7[_0x3925e0(0x25c)]):_0x3169a7['toString']()});});}function _0x5bc7b7(_0x368acc,_0x4ede65){const _0x1e4882=_0x58e1d6,_0x4a30f1=_0x4a9589[_0x1e4882(0x1551)]()['title'](_0x1e4882(0x140b)+_0x39641b()[_0x1e4882(0xa75)]('servicenowAccount')+'?')['htmlContent'](_0x1e4882(0x204d)+(_0x368acc[_0x1e4882(0x16b6)]||'servicenowAccount')+_0x1e4882(0x1200)+_0x1e4882(0x1b6))[_0x1e4882(0x15ad)](_0x1e4882(0x2681))[_0x1e4882(0x728)](_0x4ede65)['ok']('OK')[_0x1e4882(0x696)]('CANCEL');_0x4a9589[_0x1e4882(0xe27)](_0x4a30f1)['then'](function(){_0x1a79d7(_0x368acc);},function(){const _0x61080c=_0x1e4882;console[_0x61080c(0x1b4f)](_0x61080c(0x24ba));});}let _0x36b7cd=!![],_0x42d580=0x1;_0x5de6c8[_0x58e1d6(0x614)](_0x58e1d6(0x957),function(_0x5c8eaf,_0x5bef48){const _0x54862c=_0x58e1d6;_0x36b7cd?_0x460ff7(function(){_0x36b7cd=![];}):(!_0x5bef48&&(_0x42d580=_0x3fbbdd['query'][_0x54862c(0x1c7b)]),_0x5c8eaf!==_0x5bef48&&(_0x3fbbdd[_0x54862c(0xae2)][_0x54862c(0x1c7b)]=0x1),!_0x5c8eaf&&(_0x3fbbdd[_0x54862c(0xae2)][_0x54862c(0x1c7b)]=_0x42d580),_0x3fbbdd['getServicenowAccounts']());});function _0x10c066(_0x2bd953){_0x3fbbdd['servicenowAccounts']=_0x2bd953||{'count':0x0,'rows':[]};}function _0x55deae(){const _0x36319b=_0x58e1d6;_0x3fbbdd[_0x36319b(0xae2)][_0x36319b(0x184b)]=(_0x3fbbdd[_0x36319b(0xae2)]['page']-0x1)*_0x3fbbdd['query'][_0x36319b(0x236)],_0x56d580[_0x36319b(0x22b6)](_0x36319b(0x1c60))?_0x3fbbdd[_0x36319b(0x2061)]=_0x2f167f[_0x36319b(0x901)][_0x36319b(0xbf7)](_0x3fbbdd['query'],_0x10c066)[_0x36319b(0x1d77)]:(_0x3fbbdd['query']['id']=_0x3fbbdd['userProfile']['id'],_0x3fbbdd['query']['section']=_0x36319b(0x340),_0x3fbbdd[_0x36319b(0x2061)]=_0x2f167f[_0x36319b(0x44a)]['getResources'](_0x3fbbdd[_0x36319b(0xae2)],_0x10c066)[_0x36319b(0x1d77)]);}function _0xd9c74f(_0x5929be,_0x303ba1){const _0x3b50dd=_0x58e1d6;_0x4a9589[_0x3b50dd(0xe27)]({'controller':_0x3b50dd(0x131b),'controllerAs':'vm','templateUrl':_0x1fce4b,'parent':angular['element'](_0x4f922d['body']),'targetEvent':_0x5929be,'clickOutsideToClose':!![],'locals':{'servicenowAccount':_0x303ba1,'servicenowAccounts':_0x3fbbdd[_0x3b50dd(0x1525)][_0x3b50dd(0x2214)],'license':_0x3fbbdd[_0x3b50dd(0x8a5)],'setting':_0x3fbbdd[_0x3b50dd(0x9ca)],'crudPermissions':_0x3fbbdd[_0x3b50dd(0x1b1a)]}});}function _0x1a79d7(_0x4d489b){const _0x523163=_0x58e1d6;_0x2f167f['intServicenowAccount']['delete']({'id':_0x4d489b['id']})['$promise'][_0x523163(0x1cb0)](function(){const _0x2ea519=_0x523163;_0x39641b()[_0x2ea519(0x152a)](_0x3fbbdd['servicenowAccounts'][_0x2ea519(0x2214)],{'id':_0x4d489b['id']}),_0x3fbbdd[_0x2ea519(0x1525)][_0x2ea519(0x184d)]-=0x1,!_0x3fbbdd[_0x2ea519(0x1525)]['rows'][_0x2ea519(0xfd0)]&&_0x3fbbdd[_0x2ea519(0x159e)](),_0x189164[_0x2ea519(0x829)]({'title':_0x39641b()[_0x2ea519(0xa75)](_0x2ea519(0x2014))+_0x2ea519(0x2663),'msg':_0x4d489b[_0x2ea519(0x16b6)]?_0x4d489b[_0x2ea519(0x16b6)]+'\x20has\x20been\x20deleted!':''});})[_0x523163(0x1c4)](function(_0x35ad79){const _0x5625f8=_0x523163;if(_0x35ad79[_0x5625f8(0x25c)]&&_0x35ad79['data'][_0x5625f8(0x1a7c)]&&_0x35ad79[_0x5625f8(0x25c)][_0x5625f8(0x1a7c)]['length']){_0x3fbbdd[_0x5625f8(0x1a7c)]=_0x35ad79['data'][_0x5625f8(0x1a7c)]||[{'message':_0x35ad79[_0x5625f8(0x147f)](),'type':'SYSTEM:DELETEintServicenowAccount'}];for(let _0x619d41=0x0;_0x619d41<_0x35ad79[_0x5625f8(0x25c)][_0x5625f8(0x1a7c)][_0x5625f8(0xfd0)];_0x619d41++){_0x189164[_0x5625f8(0x218e)]({'title':_0x35ad79[_0x5625f8(0x25c)]['errors'][_0x619d41][_0x5625f8(0x66a)],'msg':_0x35ad79[_0x5625f8(0x25c)]['errors'][_0x619d41][_0x5625f8(0x155e)]});}}else _0x189164[_0x5625f8(0x218e)]({'title':_0x35ad79[_0x5625f8(0x291)]?_0x5625f8(0xeb9)+_0x35ad79[_0x5625f8(0x291)]+_0x5625f8(0x1657)+_0x35ad79[_0x5625f8(0xc22)]:_0x5625f8(0x824),'msg':_0x35ad79[_0x5625f8(0x25c)]?JSON[_0x5625f8(0x2701)](_0x35ad79[_0x5625f8(0x25c)][_0x5625f8(0x155e)]):_0x35ad79[_0x5625f8(0x155e)]||_0x35ad79[_0x5625f8(0x147f)]()});});}function _0xdd690c(){const _0x30ba6e=_0x58e1d6,_0x42cb89=angular[_0x30ba6e(0x17fe)](_0x3fbbdd[_0x30ba6e(0x1e3d)]);return _0x3fbbdd[_0x30ba6e(0x1e3d)]=[],_0x42cb89;}function _0x40b5fc(_0x3737cb){const _0x587a08=_0x58e1d6,_0x16ef49=_0x4a9589[_0x587a08(0x1551)]()['title'](_0x587a08(0xe9d))[_0x587a08(0x49e)](_0x587a08(0x204d)+_0x3fbbdd[_0x587a08(0x1e3d)][_0x587a08(0xfd0)]+_0x587a08(0x1d6c)+_0x587a08(0x1b6))[_0x587a08(0x15ad)](_0x587a08(0xc8d))[_0x587a08(0x728)](_0x3737cb)['ok']('OK')['cancel']('CANCEL');_0x4a9589[_0x587a08(0xe27)](_0x16ef49)['then'](function(){const _0x23fb7=_0x587a08;_0x3fbbdd[_0x23fb7(0x1e3d)][_0x23fb7(0xf90)](function(_0x175356){_0x1a79d7(_0x175356);}),_0x3fbbdd[_0x23fb7(0x1e3d)]=[];});}function _0x15826f(){const _0x14b7c8=_0x58e1d6;_0x3fbbdd[_0x14b7c8(0x1e3d)]=[];}function _0x16e793(){const _0x162d46=_0x58e1d6;_0x3fbbdd[_0x162d46(0x1e3d)]=_0x3fbbdd[_0x162d46(0x1525)][_0x162d46(0x2214)];}}const _0x2f8c9e=_0x239c2b;;_0x498aa4[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$state',_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),'sugarcrmAccounts','sugarcrmAccount',_0x5537c6(0x142b),'Auth',_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x498aa4(_0x4631c3,_0x2b177d,_0x57c5e7,_0x4e0b1b,_0x2f8f42,_0x20d0f9,_0x3c7820,_0x541864,_0x505463,_0x52ae97,_0x74a391,_0x4b0094,_0xbcf290,_0x59a85a){const _0x48b8c7=_0x5537c6,_0x24f9ab=this;_0x24f9ab[_0x48b8c7(0xe76)]=_0x74a391['getCurrentUser'](),_0x24f9ab[_0x48b8c7(0x1a7c)]=[],_0x24f9ab[_0x48b8c7(0x9ca)]=_0xbcf290,_0x24f9ab[_0x48b8c7(0x8a5)]=_0x4b0094,_0x24f9ab['crudPermissions']=_0x59a85a,_0x24f9ab['hasModulePermissions']={},_0x24f9ab[_0x48b8c7(0x1b0c)]=_0x24f9ab[_0x48b8c7(0x9ca)]&&_0x24f9ab[_0x48b8c7(0x9ca)][_0x48b8c7(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x24f9ab[_0x48b8c7(0x1386)]=_0x48b8c7(0x1d2d),_0x24f9ab[_0x48b8c7(0x25d7)]=angular[_0x48b8c7(0x17fe)](_0x505463),_0x24f9ab[_0x48b8c7(0x307)]=_0x541864,_0x24f9ab[_0x48b8c7(0x1cf0)]=![];!_0x24f9ab[_0x48b8c7(0x25d7)]&&(_0x24f9ab[_0x48b8c7(0x25d7)]={'serverUrl':_0x57c5e7[_0x48b8c7(0x2276)]()+_0x48b8c7(0x138b)+_0x57c5e7['host']()+(_0x57c5e7[_0x48b8c7(0x477)]()?':'+_0x57c5e7['port']():'')},_0x24f9ab['title']=_0x48b8c7(0x197f),_0x24f9ab['newSugarcrmAccount']=!![]);_0x24f9ab['addNewSugarcrmAccount']=_0x53e0dd,_0x24f9ab['saveSugarcrmAccount']=_0x17bd5e,_0x24f9ab[_0x48b8c7(0x12c8)]=_0x4dbded,_0x24f9ab[_0x48b8c7(0x2c4)]=_0x7e316d,_0x24f9ab[_0x48b8c7(0xda0)]=_0x5b528c;function _0x53e0dd(){const _0x4fe6f5=_0x48b8c7;_0x24f9ab[_0x4fe6f5(0x1a7c)]=[],_0x52ae97[_0x4fe6f5(0x3fa)]['save'](_0x24f9ab[_0x4fe6f5(0x25d7)])[_0x4fe6f5(0x1d77)][_0x4fe6f5(0x1cb0)](function(_0x4bbdba){const _0x283cdd=_0x4fe6f5;_0x24f9ab[_0x283cdd(0x307)][_0x283cdd(0xf63)](_0x4bbdba[_0x283cdd(0x19b2)]()),_0x3c7820['success']({'title':_0x283cdd(0x2173),'msg':_0x24f9ab[_0x283cdd(0x25d7)][_0x283cdd(0x16b6)]?_0x24f9ab[_0x283cdd(0x25d7)]['name']+_0x283cdd(0x470):''}),_0x5b528c(_0x4bbdba);})['catch'](function(_0x8c5f27){const _0x1a6f55=_0x4fe6f5;if(_0x8c5f27[_0x1a6f55(0x25c)]&&_0x8c5f27[_0x1a6f55(0x25c)][_0x1a6f55(0x1a7c)]&&_0x8c5f27['data'][_0x1a6f55(0x1a7c)][_0x1a6f55(0xfd0)]){_0x24f9ab[_0x1a6f55(0x1a7c)]=_0x8c5f27[_0x1a6f55(0x25c)][_0x1a6f55(0x1a7c)]||[{'message':_0x8c5f27[_0x1a6f55(0x147f)](),'type':_0x1a6f55(0xb57)}];for(let _0x233a10=0x0;_0x233a10<_0x8c5f27[_0x1a6f55(0x25c)][_0x1a6f55(0x1a7c)][_0x1a6f55(0xfd0)];_0x233a10+=0x1){_0x3c7820['error']({'title':_0x8c5f27['data'][_0x1a6f55(0x1a7c)][_0x233a10]['type'],'msg':_0x8c5f27['data']['errors'][_0x233a10][_0x1a6f55(0x155e)]});}}else _0x3c7820[_0x1a6f55(0x218e)]({'title':_0x8c5f27[_0x1a6f55(0x291)]?_0x1a6f55(0xeb9)+_0x8c5f27[_0x1a6f55(0x291)]+'\x20-\x20'+_0x8c5f27[_0x1a6f55(0xc22)]:_0x1a6f55(0xb57),'msg':_0x8c5f27[_0x1a6f55(0x25c)]?JSON[_0x1a6f55(0x2701)](_0x8c5f27[_0x1a6f55(0x25c)]['message']):_0x8c5f27[_0x1a6f55(0x147f)]()});});}function _0x17bd5e(){const _0x13d708=_0x48b8c7;_0x24f9ab[_0x13d708(0x1a7c)]=[],_0x52ae97[_0x13d708(0x3fa)][_0x13d708(0x687)]({'id':_0x24f9ab[_0x13d708(0x25d7)]['id']},_0x24f9ab[_0x13d708(0x25d7)])[_0x13d708(0x1d77)][_0x13d708(0x1cb0)](function(_0x517aa1){const _0x17d9e3=_0x13d708,_0x3f9a97=_0x39641b()['find'](_0x24f9ab[_0x17d9e3(0x307)],{'id':_0x517aa1['id']});_0x3f9a97&&_0x39641b()[_0x17d9e3(0x9c1)](_0x3f9a97,_0x39641b()[_0x17d9e3(0x169b)](_0x517aa1['toJSON'](),_0x39641b()[_0x17d9e3(0x1be5)](_0x3f9a97))),_0x3c7820[_0x17d9e3(0x829)]({'title':'SugarcrmAccount\x20properly\x20saved!','msg':_0x24f9ab['sugarcrmAccount'][_0x17d9e3(0x16b6)]?_0x24f9ab[_0x17d9e3(0x25d7)]['name']+_0x17d9e3(0xedb):''}),_0x5b528c(_0x517aa1);})[_0x13d708(0x1c4)](function(_0x350d45){const _0x9899c3=_0x13d708;if(_0x350d45['data']&&_0x350d45['data'][_0x9899c3(0x1a7c)]&&_0x350d45[_0x9899c3(0x25c)][_0x9899c3(0x1a7c)][_0x9899c3(0xfd0)]){_0x24f9ab[_0x9899c3(0x1a7c)]=_0x350d45['data'][_0x9899c3(0x1a7c)]||[{'message':_0x350d45[_0x9899c3(0x147f)](),'type':'api.intSugarcrmAccount.update'}];for(let _0x206c8f=0x0;_0x206c8f<_0x350d45[_0x9899c3(0x25c)][_0x9899c3(0x1a7c)]['length'];_0x206c8f++){_0x3c7820['error']({'title':_0x350d45[_0x9899c3(0x25c)][_0x9899c3(0x1a7c)][_0x206c8f]['type'],'msg':_0x350d45[_0x9899c3(0x25c)][_0x9899c3(0x1a7c)][_0x206c8f]['message']});}}else _0x3c7820['error']({'title':_0x350d45[_0x9899c3(0x291)]?_0x9899c3(0xeb9)+_0x350d45[_0x9899c3(0x291)]+_0x9899c3(0x1657)+_0x350d45[_0x9899c3(0xc22)]:_0x9899c3(0x1b44),'msg':_0x350d45[_0x9899c3(0x25c)]?JSON[_0x9899c3(0x2701)](_0x350d45[_0x9899c3(0x25c)][_0x9899c3(0x155e)]):_0x350d45[_0x9899c3(0x147f)]()});});}function _0x4dbded(_0x89fdad){const _0x18f3dd=_0x48b8c7;_0x24f9ab[_0x18f3dd(0x1a7c)]=[];const _0x71b466=_0x4e0b1b[_0x18f3dd(0x1551)]()[_0x18f3dd(0x1386)](_0x18f3dd(0x1a2e))['content'](_0x18f3dd(0xdd5))[_0x18f3dd(0x15ad)](_0x18f3dd(0x1c91))['ok'](_0x18f3dd(0x2594))['cancel'](_0x18f3dd(0xde1))[_0x18f3dd(0x728)](_0x89fdad);_0x4e0b1b[_0x18f3dd(0xe27)](_0x71b466)[_0x18f3dd(0x1cb0)](function(){const _0x24e73e=_0x18f3dd;_0x52ae97[_0x24e73e(0x3fa)][_0x24e73e(0x111d)]({'id':_0x24f9ab['sugarcrmAccount']['id']})[_0x24e73e(0x1d77)][_0x24e73e(0x1cb0)](function(){const _0x3cf5a5=_0x24e73e;_0x39641b()['remove'](_0x24f9ab[_0x3cf5a5(0x307)],{'id':_0x24f9ab[_0x3cf5a5(0x25d7)]['id']}),_0x3c7820['success']({'title':_0x3cf5a5(0x84c),'msg':(_0x24f9ab['sugarcrmAccount']['name']||_0x3cf5a5(0x25d7))+'\x20has\x20been\x20deleted!'}),_0x5b528c(_0x24f9ab['sugarcrmAccount']);})[_0x24e73e(0x1c4)](function(_0x5eff62){const _0xdd3609=_0x24e73e;if(_0x5eff62[_0xdd3609(0x25c)]&&_0x5eff62[_0xdd3609(0x25c)][_0xdd3609(0x1a7c)]&&_0x5eff62[_0xdd3609(0x25c)][_0xdd3609(0x1a7c)][_0xdd3609(0xfd0)]){_0x24f9ab[_0xdd3609(0x1a7c)]=_0x5eff62[_0xdd3609(0x25c)][_0xdd3609(0x1a7c)]||[{'message':_0x5eff62['toString'](),'type':_0xdd3609(0xbfc)}];for(let _0x36469c=0x0;_0x36469c<_0x5eff62['data'][_0xdd3609(0x1a7c)][_0xdd3609(0xfd0)];_0x36469c++){_0x3c7820['error']({'title':_0x5eff62[_0xdd3609(0x25c)][_0xdd3609(0x1a7c)][_0x36469c][_0xdd3609(0x66a)],'msg':_0x5eff62['data'][_0xdd3609(0x1a7c)][_0x36469c][_0xdd3609(0x155e)]});}}else _0x3c7820['error']({'title':_0x5eff62[_0xdd3609(0x291)]?_0xdd3609(0xeb9)+_0x5eff62[_0xdd3609(0x291)]+_0xdd3609(0x1657)+_0x5eff62[_0xdd3609(0xc22)]:_0xdd3609(0xbfc),'msg':_0x5eff62[_0xdd3609(0x25c)]?JSON[_0xdd3609(0x2701)](_0x5eff62['data']['message']):_0x5eff62['message']||_0x5eff62[_0xdd3609(0x147f)]()});});},function(){});}function _0x7e316d(_0x3a7cef){return _0x3a7cef===null?undefined:new Date(_0x3a7cef);}function _0x5b528c(_0x354171){const _0x335ccf=_0x48b8c7;_0x4e0b1b[_0x335ccf(0x1426)](_0x354171);}}const _0x2f8ad9=_0x498aa4;;const _0xe3921=_0x5074a3['p']+_0x5537c6(0x1933);;const _0x2dafcc=_0x5074a3['p']+'src/js/modules/main/apps/integrations/views/sugarcrmAccounts/edit/item/dialog.html/dialog.html';;_0x430fa5[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$document',_0x5537c6(0x142b),'toasty',_0x5537c6(0x1774)];function _0x430fa5(_0x392fea,_0x3d98d4,_0x5506e3,_0x300378,_0x41e61a){const _0x2ff41a=_0x5537c6,_0x3b1a46=this;_0x3b1a46[_0x2ff41a(0xe76)]=_0x41e61a[_0x2ff41a(0x21e8)](),_0x3b1a46[_0x2ff41a(0x25d7)]={},_0x3b1a46[_0x2ff41a(0x25a9)]={},_0x3b1a46[_0x2ff41a(0xa06)]=[],_0x3b1a46['selectedSugarcrmConfiguration']=-0x1,_0x3b1a46[_0x2ff41a(0x1b1a)],_0x3b1a46[_0x2ff41a(0x1a8e)]=_0x3f620f,_0x3b1a46[_0x2ff41a(0x21ad)]=_0x18df2f,_0x3b1a46[_0x2ff41a(0x184a)]=_0x106a12,_0x3b1a46['deleteSugarcrmConfiguration']=_0x5517a6;function _0x3f620f(_0x1361dd,_0x4dbe5a){const _0x5e464f=_0x2ff41a;_0x3b1a46[_0x5e464f(0x25d7)]=_0x1361dd,_0x3b1a46[_0x5e464f(0x1b1a)]=typeof _0x4dbe5a!==_0x5e464f(0x16b5)?_0x4dbe5a:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x5506e3[_0x5e464f(0x3fa)]['getConfigurations']({'id':_0x3b1a46[_0x5e464f(0x25d7)]['id'],'sort':_0x5e464f(0x282)})[_0x5e464f(0x1d77)][_0x5e464f(0x1cb0)](function(_0x286c59){const _0x1ed577=_0x5e464f;return _0x3b1a46[_0x1ed577(0xa06)]=_0x286c59['rows']?_0x286c59[_0x1ed577(0x2214)]:[],_0x3b1a46['configurations'][_0x1ed577(0xfd0)]&&_0x3b1a46['getSugarcrmConfiguration'](0x0),_0x5506e3[_0x1ed577(0x1822)][_0x1ed577(0xbf7)]({'nolimit':!![],'sort':_0x1ed577(0x16b6)})[_0x1ed577(0x1d77)];})['then'](function(_0x200c61){const _0x4cef9f=_0x5e464f;_0x3b1a46[_0x4cef9f(0x9a9)]=_0x200c61['rows']?_0x200c61[_0x4cef9f(0x2214)]:[],_0x3b1a46[_0x4cef9f(0x1a3c)]=_0x39641b()['keyBy'](_0x3b1a46[_0x4cef9f(0x9a9)],'id');})[_0x5e464f(0x1c4)](function(_0x9277a){const _0x5f0342=_0x5e464f;_0x300378[_0x5f0342(0x218e)]({'title':_0x9277a[_0x5f0342(0x291)]?_0x5f0342(0xeb9)+_0x9277a[_0x5f0342(0x291)]+_0x5f0342(0x1657)+_0x9277a[_0x5f0342(0xc22)]:_0x5f0342(0x1b0f),'msg':_0x9277a[_0x5f0342(0x25c)]?JSON['stringify'](_0x9277a['data']):_0x9277a[_0x5f0342(0x147f)]()});});}function _0x18df2f(_0x1cd581){const _0x4441f3=_0x2ff41a;_0x3b1a46[_0x4441f3(0x25a9)]=_0x3b1a46[_0x4441f3(0xa06)][_0x1cd581],_0x3b1a46[_0x4441f3(0x21a2)]=_0x1cd581,_0x5506e3['intSugarcrmConfiguration'][_0x4441f3(0x243a)]({'id':_0x3b1a46[_0x4441f3(0x25a9)]['id']})['$promise']['then'](function(_0x4a0a67){const _0x4ca53d=_0x4441f3;return _0x3b1a46[_0x4ca53d(0x2388)]=_0x4a0a67[_0x4ca53d(0x2214)]?_0x4a0a67[_0x4ca53d(0x2214)]:[],_0x5506e3['intSugarcrmConfiguration'][_0x4ca53d(0xb6d)]({'id':_0x3b1a46[_0x4ca53d(0x25a9)]['id']})[_0x4ca53d(0x1d77)];})['then'](function(_0xbe4b7d){const _0x55d38e=_0x4441f3;return _0x3b1a46['descriptions']=_0xbe4b7d['rows']?_0xbe4b7d[_0x55d38e(0x2214)]:[],_0x5506e3[_0x55d38e(0x20dc)][_0x55d38e(0xc84)]({'id':_0x3b1a46['sugarcrmConfiguration']['id']})[_0x55d38e(0x1d77)];})['then'](function(_0x2abc89){const _0x394e84=_0x4441f3;_0x3b1a46['fields']=_0x2abc89['rows']?_0x2abc89[_0x394e84(0x2214)]:[];})[_0x4441f3(0x1c4)](function(_0x927e10){const _0x1dd139=_0x4441f3;_0x300378[_0x1dd139(0x218e)]({'title':_0x927e10['status']?_0x1dd139(0xeb9)+_0x927e10[_0x1dd139(0x291)]+'\x20-\x20'+_0x927e10[_0x1dd139(0xc22)]:'SYSTEM:GETConfigurations','msg':_0x927e10[_0x1dd139(0x25c)]?JSON[_0x1dd139(0x2701)](_0x927e10['data']):_0x927e10[_0x1dd139(0x147f)]()});});}function _0x106a12(_0x92ec,_0x273c47){const _0x5757be=_0x2ff41a;_0x392fea['show']({'controller':'CreateOrEditSugarcrmConfigurationDialogController','controllerAs':'vm','templateUrl':_0xe3921,'parent':angular[_0x5757be(0x1853)](_0x3d98d4[_0x5757be(0x1ed9)]),'targetEvent':_0x92ec,'clickOutsideToClose':!![],'locals':{'sugarcrmConfiguration':_0x273c47,'configurations':_0x3b1a46[_0x5757be(0xa06)],'license':null,'setting':null,'crudPermissions':_0x3b1a46['crudPermissions']}})[_0x5757be(0x2e0)](function(){const _0x2d7a9c=_0x5757be;_0x3b1a46[_0x2d7a9c(0x21ad)](0x0);});}function _0x5517a6(_0x48da13,_0x134aac){const _0x2962d9=_0x2ff41a,_0x4446b5=_0x392fea[_0x2962d9(0x1551)]()[_0x2962d9(0x1386)](_0x2962d9(0x1134))[_0x2962d9(0x49e)](_0x2962d9(0x204d)+(_0x134aac[_0x2962d9(0x16b6)]||_0x2962d9(0x25a9))+_0x2962d9(0x1200)+_0x2962d9(0x1b6))[_0x2962d9(0x15ad)](_0x2962d9(0x1d7c))[_0x2962d9(0x728)](_0x48da13)['ok']('OK')[_0x2962d9(0x696)](_0x2962d9(0x24ba));_0x392fea[_0x2962d9(0xe27)](_0x4446b5)['then'](function(){const _0x3b715a=_0x2962d9;_0x5506e3[_0x3b715a(0x20dc)]['delete']({'id':_0x134aac['id']})[_0x3b715a(0x1d77)]['then'](function(){const _0x3e91e2=_0x3b715a;_0x39641b()[_0x3e91e2(0x152a)](_0x3b1a46['configurations'],{'id':_0x134aac['id']}),_0x300378[_0x3e91e2(0x829)]({'title':_0x3e91e2(0x2777),'msg':_0x134aac[_0x3e91e2(0x16b6)]?_0x134aac[_0x3e91e2(0x16b6)]+'\x20has\x20been\x20deleted!':''});})[_0x3b715a(0x1c4)](function(_0x4a4d11){const _0x2c07a1=_0x3b715a;_0x300378[_0x2c07a1(0x218e)]({'title':_0x4a4d11[_0x2c07a1(0x291)]?'API:'+_0x4a4d11[_0x2c07a1(0x291)]+'\x20-\x20'+_0x4a4d11[_0x2c07a1(0xc22)]:'SYSTEM:DELETECONFIGURATION','msg':_0x4a4d11['data']?JSON[_0x2c07a1(0x2701)](_0x4a4d11[_0x2c07a1(0x25c)]):_0x4a4d11['toString']()});})[_0x3b715a(0x2e0)](function(){_0x3b1a46['getSugarcrmConfiguration'](0x0);});},function(){const _0x1d9cc4=_0x2962d9;console[_0x1d9cc4(0x1b4f)](_0x1d9cc4(0x24ba));});}_0x3b1a46[_0x2ff41a(0x4df)]=[],_0x3b1a46[_0x2ff41a(0x1267)]=_0x4f692b,_0x3b1a46[_0x2ff41a(0x259e)]=_0x4e62dd,_0x3b1a46['deleteSelectedSubjects']=_0x624d49;function _0x4f692b(_0x4045b2,_0x54e277){const _0x36f115=_0x2ff41a;_0x392fea['show']({'controller':'CreateOrEditSugarcrmAccountItemDialogController','controllerAs':'vm','templateUrl':_0x2dafcc,'parent':angular['element'](_0x3d98d4[_0x36f115(0x1ed9)]),'targetEvent':_0x4045b2,'clickOutsideToClose':!![],'locals':{'type':_0x36f115(0x1e5d),'item':_0x54e277,'items':_0x3b1a46[_0x36f115(0x2388)],'configuration':_0x3b1a46['sugarcrmConfiguration'],'account':_0x3b1a46[_0x36f115(0x25d7)],'license':null,'setting':null,'crudPermissions':_0x3b1a46[_0x36f115(0x1b1a)]}});}function _0x2a44e0(_0x27d212){const _0x4470d3=_0x2ff41a;_0x5506e3[_0x4470d3(0x26b0)][_0x4470d3(0x111d)]({'id':_0x27d212['id']})[_0x4470d3(0x1d77)]['then'](function(){const _0x25645b=_0x4470d3;_0x39641b()[_0x25645b(0x152a)](_0x3b1a46[_0x25645b(0x2388)],{'id':_0x27d212['id']}),_0x300378[_0x25645b(0x829)]({'title':_0x25645b(0x1d11),'msg':_0x25645b(0x145d)});})[_0x4470d3(0x1c4)](function(_0x3f5aa4){const _0x9cfb47=_0x4470d3;_0x300378[_0x9cfb47(0x218e)]({'title':_0x3f5aa4[_0x9cfb47(0x291)]?_0x9cfb47(0xeb9)+_0x3f5aa4[_0x9cfb47(0x291)]+_0x9cfb47(0x1657)+_0x3f5aa4['statusText']:'SYSTEM:DELETECONFIGURATIONFIELD','msg':_0x3f5aa4[_0x9cfb47(0x25c)]?JSON[_0x9cfb47(0x2701)](_0x3f5aa4[_0x9cfb47(0x25c)]):_0x3f5aa4['toString']()});});}function _0x4e62dd(_0x37e8f3,_0x524d8e){const _0x1c3359=_0x2ff41a,_0x55b2fd=_0x392fea[_0x1c3359(0x1551)]()[_0x1c3359(0x1386)](_0x1c3359(0xa59))[_0x1c3359(0x49e)](_0x1c3359(0x14ee)+_0x1c3359(0x1b6))['ariaLabel'](_0x1c3359(0xbc3))[_0x1c3359(0x728)](_0x37e8f3)['ok']('OK')[_0x1c3359(0x696)](_0x1c3359(0x24ba));_0x392fea[_0x1c3359(0xe27)](_0x55b2fd)[_0x1c3359(0x1cb0)](function(){_0x2a44e0(_0x524d8e);},function(){const _0x5143ab=_0x1c3359;console[_0x5143ab(0x1b4f)](_0x5143ab(0x24ba));});}function _0x624d49(_0x33933d){const _0x22e6a2=_0x2ff41a,_0x560fed=_0x392fea[_0x22e6a2(0x1551)]()[_0x22e6a2(0x1386)](_0x22e6a2(0x1f8e))[_0x22e6a2(0x49e)](_0x22e6a2(0x204d)+_0x3b1a46['selectedSubjects'][_0x22e6a2(0xfd0)]+'\x20selected'+'\x20will\x20be\x20deleted.')[_0x22e6a2(0x15ad)](_0x22e6a2(0x222e))[_0x22e6a2(0x728)](_0x33933d)['ok']('OK')[_0x22e6a2(0x696)](_0x22e6a2(0x24ba));_0x392fea['show'](_0x560fed)[_0x22e6a2(0x1cb0)](function(){const _0x5a2cd9=_0x22e6a2;_0x3b1a46[_0x5a2cd9(0x4df)]['forEach'](function(_0x4771a1){_0x2a44e0(_0x4771a1);}),_0x3b1a46[_0x5a2cd9(0x4df)]=[];});}_0x3b1a46[_0x2ff41a(0xac6)]=[],_0x3b1a46[_0x2ff41a(0x14c7)]=_0xd16279,_0x3b1a46['deleteDescriptionConfirm']=_0x56a165,_0x3b1a46[_0x2ff41a(0x2931)]=_0x4b1a7c;function _0xd16279(_0x1d3c3e,_0x5ea3d0){const _0x2b51a8=_0x2ff41a;_0x392fea[_0x2b51a8(0xe27)]({'controller':_0x2b51a8(0x77f),'controllerAs':'vm','templateUrl':_0x2dafcc,'parent':angular['element'](_0x3d98d4['body']),'targetEvent':_0x1d3c3e,'clickOutsideToClose':!![],'locals':{'type':_0x2b51a8(0x2c1),'item':_0x5ea3d0,'items':_0x3b1a46['descriptions'],'configuration':_0x3b1a46[_0x2b51a8(0x25a9)],'account':_0x3b1a46[_0x2b51a8(0x25d7)],'license':null,'setting':null,'crudPermissions':_0x3b1a46[_0x2b51a8(0x1b1a)]}});}function _0x1ac2c7(_0x36427e){const _0x427a2b=_0x2ff41a;_0x5506e3[_0x427a2b(0x26b0)][_0x427a2b(0x111d)]({'id':_0x36427e['id']})['$promise']['then'](function(){const _0x3ca5d4=_0x427a2b;_0x39641b()[_0x3ca5d4(0x152a)](_0x3b1a46[_0x3ca5d4(0x310)],{'id':_0x36427e['id']}),_0x300378[_0x3ca5d4(0x829)]({'title':_0x3ca5d4(0x24c0),'msg':_0x3ca5d4(0xb59)});})['catch'](function(_0x5ef75a){const _0x44f7f7=_0x427a2b;_0x300378[_0x44f7f7(0x218e)]({'title':_0x5ef75a[_0x44f7f7(0x291)]?_0x44f7f7(0xeb9)+_0x5ef75a[_0x44f7f7(0x291)]+_0x44f7f7(0x1657)+_0x5ef75a[_0x44f7f7(0xc22)]:_0x44f7f7(0x13e5),'msg':_0x5ef75a[_0x44f7f7(0x25c)]?JSON[_0x44f7f7(0x2701)](_0x5ef75a[_0x44f7f7(0x25c)]):_0x5ef75a['toString']()});});}function _0x56a165(_0x249b2b,_0x5a4b87){const _0x33ffa1=_0x2ff41a,_0xa6b518=_0x392fea['confirm']()[_0x33ffa1(0x1386)](_0x33ffa1(0x7d2))[_0x33ffa1(0x49e)](_0x33ffa1(0x18b8)+_0x33ffa1(0x1b6))['ariaLabel'](_0x33ffa1(0x103d))['targetEvent'](_0x249b2b)['ok']('OK')[_0x33ffa1(0x696)]('CANCEL');_0x392fea[_0x33ffa1(0xe27)](_0xa6b518)['then'](function(){_0x1ac2c7(_0x5a4b87);},function(){const _0x5a7ecc=_0x33ffa1;console[_0x5a7ecc(0x1b4f)](_0x5a7ecc(0x24ba));});}function _0x4b1a7c(_0x39c2ce){const _0x21c94c=_0x2ff41a,_0x2f0ca5=_0x392fea[_0x21c94c(0x1551)]()[_0x21c94c(0x1386)](_0x21c94c(0x2e7))[_0x21c94c(0x49e)](_0x21c94c(0x204d)+_0x3b1a46['selectedDescriptions'][_0x21c94c(0xfd0)]+_0x21c94c(0x1d6c)+_0x21c94c(0x1b6))[_0x21c94c(0x15ad)]('delete\x20descriptions')[_0x21c94c(0x728)](_0x39c2ce)['ok']('OK')[_0x21c94c(0x696)]('CANCEL');_0x392fea['show'](_0x2f0ca5)['then'](function(){const _0x9fbe29=_0x21c94c;_0x3b1a46['selectedDescriptions']['forEach'](function(_0x5e0a11){_0x1ac2c7(_0x5e0a11);}),_0x3b1a46[_0x9fbe29(0xac6)]=[];});}_0x3b1a46['selectedFields']=[],_0x3b1a46[_0x2ff41a(0x5e9)]=_0x2220d2,_0x3b1a46[_0x2ff41a(0x2398)]=_0x2df167,_0x3b1a46[_0x2ff41a(0xe40)]=_0x4dc730;function _0x2220d2(_0x33d1a6,_0x4deac6){const _0x5f99df=_0x2ff41a;_0x392fea[_0x5f99df(0xe27)]({'controller':_0x5f99df(0x77f),'controllerAs':'vm','templateUrl':_0x2dafcc,'parent':angular[_0x5f99df(0x1853)](_0x3d98d4[_0x5f99df(0x1ed9)]),'targetEvent':_0x33d1a6,'clickOutsideToClose':!![],'locals':{'type':_0x5f99df(0x2198),'item':_0x4deac6,'items':_0x3b1a46['fields'],'configuration':_0x3b1a46['sugarcrmConfiguration'],'account':_0x3b1a46[_0x5f99df(0x25d7)],'license':null,'setting':null,'crudPermissions':_0x3b1a46[_0x5f99df(0x1b1a)]}});}function _0x2e77cc(_0x2a9bc9){const _0x140a33=_0x2ff41a;_0x5506e3[_0x140a33(0x26b0)][_0x140a33(0x111d)]({'id':_0x2a9bc9['id']})[_0x140a33(0x1d77)][_0x140a33(0x1cb0)](function(){const _0x5ebb95=_0x140a33;_0x39641b()[_0x5ebb95(0x152a)](_0x3b1a46[_0x5ebb95(0x355)],{'id':_0x2a9bc9['id']}),_0x300378['success']({'title':_0x5ebb95(0xd6b),'msg':_0x5ebb95(0x2688)});})[_0x140a33(0x1c4)](function(_0x52bcd9){const _0x24ddfe=_0x140a33;_0x300378[_0x24ddfe(0x218e)]({'title':_0x52bcd9['status']?'API:'+_0x52bcd9['status']+_0x24ddfe(0x1657)+_0x52bcd9[_0x24ddfe(0xc22)]:_0x24ddfe(0x13e5),'msg':_0x52bcd9[_0x24ddfe(0x25c)]?JSON['stringify'](_0x52bcd9['data']):_0x52bcd9['toString']()});});}function _0x2df167(_0x46bb63,_0x6ecaa6){const _0x342013=_0x2ff41a,_0x4a0dd5=_0x392fea['confirm']()[_0x342013(0x1386)](_0x342013(0x21a9))[_0x342013(0x49e)](_0x342013(0xf1d)+_0x342013(0x1b6))['ariaLabel']('delete\x20field')['targetEvent'](_0x46bb63)['ok']('OK')[_0x342013(0x696)](_0x342013(0x24ba));_0x392fea[_0x342013(0xe27)](_0x4a0dd5)['then'](function(){_0x2e77cc(_0x6ecaa6);},function(){console['log']('CANCEL');});}function _0x4dc730(_0x5706cb){const _0x1194dc=_0x2ff41a,_0x1e56cf=_0x392fea[_0x1194dc(0x1551)]()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20fields?')[_0x1194dc(0x49e)](_0x1194dc(0x204d)+_0x3b1a46[_0x1194dc(0x9b2)][_0x1194dc(0xfd0)]+_0x1194dc(0x1d6c)+_0x1194dc(0x1b6))['ariaLabel'](_0x1194dc(0x199d))[_0x1194dc(0x728)](_0x5706cb)['ok']('OK')['cancel'](_0x1194dc(0x24ba));_0x392fea['show'](_0x1e56cf)['then'](function(){const _0x3ff5aa=_0x1194dc;_0x3b1a46[_0x3ff5aa(0x9b2)][_0x3ff5aa(0xf90)](function(_0xf1cc1b){_0x2e77cc(_0xf1cc1b);}),_0x3b1a46[_0x3ff5aa(0x9b2)]=[];});}}const _0x512264=_0x430fa5;;_0xef22e4[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),'toasty',_0x5537c6(0xa06),_0x5537c6(0x25a9),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting',_0x5537c6(0x1b1a)];function _0xef22e4(_0x5f10d3,_0x342c55,_0x47d6ba,_0x3f93bd,_0x4058eb,_0x255858,_0x344953,_0x27e274,_0x4d9293,_0x293202,_0x1d1b0b,_0x3c9c71,_0x23147c,_0x350acf){const _0x2794a5=_0x5537c6,_0x32cc8d=this;_0x32cc8d[_0x2794a5(0xe76)]=_0x1d1b0b[_0x2794a5(0x21e8)](),_0x32cc8d[_0x2794a5(0x1a7c)]=[],_0x32cc8d[_0x2794a5(0x9ca)]=_0x23147c,_0x32cc8d['license']=_0x3c9c71,_0x32cc8d['crudPermissions']=_0x350acf,_0x32cc8d['hasModulePermissions']={},_0x32cc8d[_0x2794a5(0x1b0c)]=_0x32cc8d[_0x2794a5(0x9ca)]&&_0x32cc8d[_0x2794a5(0x9ca)][_0x2794a5(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x32cc8d[_0x2794a5(0x1386)]='INTEGRATIONS.EDIT_SUGARCRMCONFIGURATION',_0x32cc8d[_0x2794a5(0x25a9)]=angular[_0x2794a5(0x17fe)](_0x4d9293),_0x32cc8d[_0x2794a5(0xa06)]=_0x27e274,_0x32cc8d[_0x2794a5(0x2a9)]=![];!_0x32cc8d[_0x2794a5(0x25a9)]&&(_0x32cc8d['sugarcrmConfiguration']={'channel':'voice','type':_0x2794a5(0x26c0)},_0x32cc8d['title']=_0x2794a5(0x13fe),_0x32cc8d['newSugarcrmConfiguration']=!![]);_0x32cc8d[_0x2794a5(0x31c)]=_0x2fbb89,_0x32cc8d[_0x2794a5(0x1436)]=_0xd1a806,_0x32cc8d[_0x2794a5(0x1a2f)]=_0x2ef9ef,_0x32cc8d['getDateFromString']=_0x342998,_0x32cc8d['closeDialog']=_0x4be831;function _0x2fbb89(){const _0x4bd94c=_0x2794a5;_0x32cc8d['errors']=[],_0x293202[_0x4bd94c(0x3fa)]['addConfiguration']({'id':_0x342c55['params']['id']},_0x32cc8d[_0x4bd94c(0x25a9)])[_0x4bd94c(0x1d77)]['then'](function(_0x27d231){const _0x26e8ac=_0x4bd94c;_0x32cc8d[_0x26e8ac(0xa06)][_0x26e8ac(0xf63)](_0x27d231['toJSON']()),_0x344953[_0x26e8ac(0x829)]({'title':_0x26e8ac(0x12cf),'msg':_0x32cc8d[_0x26e8ac(0x25a9)][_0x26e8ac(0x16b6)]?_0x32cc8d[_0x26e8ac(0x25a9)][_0x26e8ac(0x16b6)]+_0x26e8ac(0x470):''}),_0x4be831(_0x27d231);})[_0x4bd94c(0x1c4)](function(_0x253b24){const _0x55bf4e=_0x4bd94c;if(_0x253b24[_0x55bf4e(0x25c)]&&_0x253b24['data'][_0x55bf4e(0x1a7c)]&&_0x253b24['data'][_0x55bf4e(0x1a7c)][_0x55bf4e(0xfd0)]){_0x32cc8d[_0x55bf4e(0x1a7c)]=_0x253b24[_0x55bf4e(0x25c)][_0x55bf4e(0x1a7c)]||[{'message':_0x253b24[_0x55bf4e(0x147f)](),'type':'api.intSugarcrmConfiguration.save'}];for(let _0xfef6a7=0x0;_0xfef6a7<_0x253b24[_0x55bf4e(0x25c)][_0x55bf4e(0x1a7c)][_0x55bf4e(0xfd0)];_0xfef6a7+=0x1){_0x344953[_0x55bf4e(0x218e)]({'title':_0x253b24[_0x55bf4e(0x25c)]['errors'][_0xfef6a7]['type'],'msg':_0x253b24['data'][_0x55bf4e(0x1a7c)][_0xfef6a7]['message']});}}else _0x344953[_0x55bf4e(0x218e)]({'title':_0x253b24[_0x55bf4e(0x291)]?_0x55bf4e(0xeb9)+_0x253b24[_0x55bf4e(0x291)]+_0x55bf4e(0x1657)+_0x253b24[_0x55bf4e(0xc22)]:_0x55bf4e(0x1ef6),'msg':_0x253b24['data']?JSON[_0x55bf4e(0x2701)](_0x253b24[_0x55bf4e(0x25c)][_0x55bf4e(0x155e)]):_0x253b24[_0x55bf4e(0x147f)]()});});}function _0xd1a806(){const _0x22f42f=_0x2794a5;_0x32cc8d[_0x22f42f(0x1a7c)]=[],_0x293202[_0x22f42f(0x20dc)][_0x22f42f(0x687)]({'id':_0x32cc8d['sugarcrmConfiguration']['id']},_0x32cc8d[_0x22f42f(0x25a9)])[_0x22f42f(0x1d77)][_0x22f42f(0x1cb0)](function(_0x33cdd9){const _0x3ad4f5=_0x22f42f,_0x5dc775=_0x39641b()[_0x3ad4f5(0x13b4)](_0x32cc8d['configurations'],{'id':_0x33cdd9['id']});_0x5dc775&&_0x39641b()['merge'](_0x5dc775,_0x39641b()[_0x3ad4f5(0x169b)](_0x33cdd9['toJSON'](),_0x39641b()['keys'](_0x5dc775))),_0x344953['success']({'title':_0x3ad4f5(0x1f44),'msg':_0x32cc8d[_0x3ad4f5(0x25a9)][_0x3ad4f5(0x16b6)]?_0x32cc8d[_0x3ad4f5(0x25a9)][_0x3ad4f5(0x16b6)]+_0x3ad4f5(0xedb):''}),_0x4be831(_0x33cdd9);})[_0x22f42f(0x1c4)](function(_0x86ed30){const _0x39eae3=_0x22f42f;if(_0x86ed30[_0x39eae3(0x25c)]&&_0x86ed30[_0x39eae3(0x25c)][_0x39eae3(0x1a7c)]&&_0x86ed30['data']['errors'][_0x39eae3(0xfd0)]){_0x32cc8d['errors']=_0x86ed30[_0x39eae3(0x25c)][_0x39eae3(0x1a7c)]||[{'message':_0x86ed30[_0x39eae3(0x147f)](),'type':_0x39eae3(0x95a)}];for(let _0x4482af=0x0;_0x4482af<_0x86ed30['data']['errors'][_0x39eae3(0xfd0)];_0x4482af++){_0x344953['error']({'title':_0x86ed30[_0x39eae3(0x25c)]['errors'][_0x4482af]['type'],'msg':_0x86ed30['data']['errors'][_0x4482af][_0x39eae3(0x155e)]});}}else _0x344953[_0x39eae3(0x218e)]({'title':_0x86ed30[_0x39eae3(0x291)]?'API:'+_0x86ed30[_0x39eae3(0x291)]+_0x39eae3(0x1657)+_0x86ed30[_0x39eae3(0xc22)]:_0x39eae3(0x95a),'msg':_0x86ed30[_0x39eae3(0x25c)]?JSON[_0x39eae3(0x2701)](_0x86ed30[_0x39eae3(0x25c)][_0x39eae3(0x155e)]):_0x86ed30[_0x39eae3(0x147f)]()});});}function _0x2ef9ef(_0x28c1ed){const _0x20ae1a=_0x2794a5;_0x32cc8d[_0x20ae1a(0x1a7c)]=[];const _0x542b06=_0x3f93bd[_0x20ae1a(0x1551)]()[_0x20ae1a(0x1386)](_0x20ae1a(0x1a2e))['content'](_0x20ae1a(0x1e69))[_0x20ae1a(0x15ad)](_0x20ae1a(0x1290))['ok']('Delete')[_0x20ae1a(0x696)](_0x20ae1a(0xde1))[_0x20ae1a(0x728)](_0x28c1ed);_0x3f93bd[_0x20ae1a(0xe27)](_0x542b06)[_0x20ae1a(0x1cb0)](function(){const _0x2acd40=_0x20ae1a;_0x293202['intSugarcrmConfiguration'][_0x2acd40(0x111d)]({'id':_0x32cc8d[_0x2acd40(0x25a9)]['id']})['$promise'][_0x2acd40(0x1cb0)](function(){const _0x16afa5=_0x2acd40;_0x39641b()[_0x16afa5(0x152a)](_0x32cc8d[_0x16afa5(0xa06)],{'id':_0x32cc8d[_0x16afa5(0x25a9)]['id']}),_0x344953[_0x16afa5(0x829)]({'title':_0x16afa5(0x29c7),'msg':(_0x32cc8d[_0x16afa5(0x25a9)][_0x16afa5(0x16b6)]||_0x16afa5(0x25a9))+_0x16afa5(0x3f5)}),_0x4be831(_0x32cc8d[_0x16afa5(0x25a9)]);})['catch'](function(_0x2f5361){const _0x1cd95c=_0x2acd40;if(_0x2f5361[_0x1cd95c(0x25c)]&&_0x2f5361['data'][_0x1cd95c(0x1a7c)]&&_0x2f5361[_0x1cd95c(0x25c)][_0x1cd95c(0x1a7c)]['length']){_0x32cc8d[_0x1cd95c(0x1a7c)]=_0x2f5361[_0x1cd95c(0x25c)]['errors']||[{'message':_0x2f5361[_0x1cd95c(0x147f)](),'type':_0x1cd95c(0x2988)}];for(let _0x2963c6=0x0;_0x2963c6<_0x2f5361[_0x1cd95c(0x25c)][_0x1cd95c(0x1a7c)]['length'];_0x2963c6++){_0x344953[_0x1cd95c(0x218e)]({'title':_0x2f5361['data'][_0x1cd95c(0x1a7c)][_0x2963c6][_0x1cd95c(0x66a)],'msg':_0x2f5361[_0x1cd95c(0x25c)][_0x1cd95c(0x1a7c)][_0x2963c6]['message']});}}else _0x344953[_0x1cd95c(0x218e)]({'title':_0x2f5361[_0x1cd95c(0x291)]?_0x1cd95c(0xeb9)+_0x2f5361[_0x1cd95c(0x291)]+'\x20-\x20'+_0x2f5361[_0x1cd95c(0xc22)]:_0x1cd95c(0x2988),'msg':_0x2f5361[_0x1cd95c(0x25c)]?JSON['stringify'](_0x2f5361['data'][_0x1cd95c(0x155e)]):_0x2f5361[_0x1cd95c(0x155e)]||_0x2f5361[_0x1cd95c(0x147f)]()});});},function(){});}function _0x342998(_0x1b4618){return _0x1b4618===null?undefined:new Date(_0x1b4618);}function _0x4be831(_0x5c2ef4){const _0x57a52a=_0x2794a5;_0x3f93bd[_0x57a52a(0x1426)](_0x5c2ef4);}}const _0xc4dca2=_0xef22e4;;_0xd7c89a['$inject']=[_0x5537c6(0x1463),'$state',_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x9bf),_0x5537c6(0x2718),_0x5537c6(0x122f),_0x5537c6(0x583),'account',_0x5537c6(0x66a),_0x5537c6(0x142b),'Auth','crudPermissions'];function _0xd7c89a(_0x12a4ee,_0x2948c5,_0x2c1ec8,_0x26ee24,_0x1f135c,_0x27c690,_0x4d459d,_0x299921,_0x2aeeca,_0x116b23,_0x141ec2,_0x1f0854,_0x5e91fa){const _0x4d3fbf=_0x5537c6,_0x30ef9f=this;_0x30ef9f[_0x4d3fbf(0xe76)]=_0x1f0854[_0x4d3fbf(0x21e8)](),_0x30ef9f[_0x4d3fbf(0x1a7c)]=[],_0x30ef9f['title']=_0x4d3fbf(0xf08)+_0x39641b()[_0x4d3fbf(0x1002)](_0x116b23),_0x30ef9f[_0x4d3fbf(0x583)]=angular[_0x4d3fbf(0x17fe)](_0x299921),_0x30ef9f[_0x4d3fbf(0x122f)]=_0x4d459d,_0x30ef9f[_0x4d3fbf(0x66a)]=_0x116b23,_0x30ef9f[_0x4d3fbf(0x1b1a)]=_0x5e91fa,_0x30ef9f['newItem']=![];!_0x30ef9f[_0x4d3fbf(0x583)]&&(_0x30ef9f[_0x4d3fbf(0x583)]={'type':_0x4d3fbf(0x1518)},_0x30ef9f[_0x4d3fbf(0x583)][_0x39641b()[_0x4d3fbf(0x432)](_0x116b23)+'Id']=_0x27c690['id'],_0x30ef9f[_0x4d3fbf(0x1386)]='INTEGRATIONS.NEW_'+_0x39641b()[_0x4d3fbf(0x1002)](_0x116b23),_0x30ef9f[_0x4d3fbf(0x1202)]=!![]);_0x30ef9f[_0x4d3fbf(0x1286)]=_0x1f7b78,_0x30ef9f[_0x4d3fbf(0x1a78)]=_0x29ae53,_0x30ef9f[_0x4d3fbf(0x1177)]=_0x3fa0d5,_0x30ef9f[_0x4d3fbf(0x2f6)]=_0x539922,_0x30ef9f[_0x4d3fbf(0xda0)]=_0x1636e9,_0x141ec2['variable']['get']({'nolimit':!![]})[_0x4d3fbf(0x1d77)][_0x4d3fbf(0x1cb0)](function(_0x476d91){const _0x32aeee=_0x4d3fbf;return _0x30ef9f[_0x32aeee(0x9a9)]=_0x476d91[_0x32aeee(0x2214)]?_0x476d91['rows']:[],_0x141ec2[_0x32aeee(0x247a)][_0x32aeee(0x1e57)]()[_0x32aeee(0x1d77)];})[_0x4d3fbf(0x1cb0)](function(_0x27f5b5){const _0x178d49=_0x4d3fbf;return _0x30ef9f['voiceAgentReportColumns']=_0x39641b()[_0x178d49(0x91f)](_0x39641b()[_0x178d49(0x1be5)](_0x27f5b5),[_0x178d49(0x1d77),_0x178d49(0x248e),_0x178d49(0x24cb),_0x178d49(0x27ba),'sourceid']),_0x30ef9f[_0x178d49(0xbf1)][_0x178d49(0x2785)](_0x178d49(0x2110)),_0x141ec2[_0x178d49(0x593)][_0x178d49(0x1e57)]()[_0x178d49(0x1d77)];})[_0x4d3fbf(0x1cb0)](function(_0x1c1aa4){const _0x447e6c=_0x4d3fbf;_0x30ef9f['voiceDialReportColumns']=_0x39641b()[_0x447e6c(0x91f)](_0x39641b()[_0x447e6c(0x1be5)](_0x1c1aa4),['$promise','$resolved',_0x447e6c(0x24cb),_0x447e6c(0x27ba),_0x447e6c(0x1867)]),_0x30ef9f[_0x447e6c(0x1639)][_0x447e6c(0x2785)](_0x447e6c(0x2110));})[_0x4d3fbf(0x1c4)](function(_0x4e0fcb){const _0x56a632=_0x4d3fbf;_0x1f135c[_0x56a632(0x218e)]({'title':_0x4e0fcb[_0x56a632(0x291)]?_0x56a632(0xeb9)+_0x4e0fcb['status']+_0x56a632(0x1657)+_0x4e0fcb[_0x56a632(0xc22)]:'SYSTEM:GETVARIABLES','msg':_0x4e0fcb[_0x56a632(0x25c)]?JSON['stringify'](_0x4e0fcb[_0x56a632(0x25c)]):_0x4e0fcb[_0x56a632(0x147f)]()});}),_0x141ec2[_0x4d3fbf(0x3fa)][_0x4d3fbf(0xc84)]({'id':_0x2aeeca['id'],'ticketType':_0x27c690[_0x4d3fbf(0x161)]?_0x27c690[_0x4d3fbf(0x161)]:undefined})['$promise'][_0x4d3fbf(0x1cb0)](function(_0x2bc170){const _0xd2c356=_0x4d3fbf;_0x30ef9f[_0xd2c356(0x1a28)]=!![],_0x30ef9f['fields']=_0x2bc170[_0xd2c356(0x2214)]?_0x2bc170[_0xd2c356(0x2214)]:[],_0x30ef9f[_0xd2c356(0x583)]['idField']&&(_0x30ef9f[_0xd2c356(0x1d5a)]=_0x39641b()[_0xd2c356(0x13b4)](_0x30ef9f[_0xd2c356(0x355)],{'id':_0x30ef9f[_0xd2c356(0x583)]['idField']}));})[_0x4d3fbf(0x1c4)](function(_0x7124b9){const _0x4999d5=_0x4d3fbf;_0x30ef9f[_0x4999d5(0x1a28)]=![],console[_0x4999d5(0x218e)](_0x7124b9);});function _0x1f7b78(){const _0x22acc9=_0x4d3fbf;_0x30ef9f['errors']=[],_0x30ef9f[_0x22acc9(0x1d5a)]&&(_0x30ef9f['item'][_0x22acc9(0x1e50)]=_0x30ef9f[_0x22acc9(0x1d5a)]['id'],_0x30ef9f[_0x22acc9(0x583)][_0x22acc9(0xc1f)]=_0x30ef9f[_0x22acc9(0x1d5a)][_0x22acc9(0x16b6)],_0x30ef9f[_0x22acc9(0x583)][_0x22acc9(0x1d5a)]=_0x30ef9f[_0x22acc9(0x1d5a)][_0x22acc9(0x197c)]),_0x141ec2[_0x22acc9(0x26b0)][_0x22acc9(0x1c3f)](_0x30ef9f[_0x22acc9(0x583)])[_0x22acc9(0x1d77)][_0x22acc9(0x1cb0)](function(_0x38fc2e){const _0x27a45f=_0x22acc9;_0x30ef9f[_0x27a45f(0x122f)][_0x27a45f(0xf63)](_0x38fc2e),_0x1f135c[_0x27a45f(0x829)]({'title':_0x39641b()['capitalize'](_0x116b23)+_0x27a45f(0x220c),'msg':_0x39641b()['capitalize'](_0x116b23)+_0x27a45f(0x470)}),_0x1636e9();})[_0x22acc9(0x1c4)](function(_0x4d78b1){const _0x2e2f18=_0x22acc9;console['error'](_0x4d78b1),_0x30ef9f[_0x2e2f18(0x1a7c)]=_0x4d78b1[_0x2e2f18(0x25c)]['errors']||[{'message':_0x4d78b1[_0x2e2f18(0x147f)](),'type':_0x2e2f18(0x1876)}];});}function _0x29ae53(){const _0x1349e4=_0x4d3fbf;_0x30ef9f[_0x1349e4(0x1a7c)]=[],_0x30ef9f[_0x1349e4(0x1d5a)]&&(_0x30ef9f[_0x1349e4(0x583)]['idField']=_0x30ef9f[_0x1349e4(0x1d5a)]['id'],_0x30ef9f[_0x1349e4(0x583)][_0x1349e4(0xc1f)]=_0x30ef9f[_0x1349e4(0x1d5a)][_0x1349e4(0x16b6)],_0x30ef9f['item'][_0x1349e4(0x1d5a)]=_0x30ef9f['customField'][_0x1349e4(0x197c)]),_0x141ec2[_0x1349e4(0x26b0)]['update']({'id':_0x30ef9f[_0x1349e4(0x583)]['id']},_0x30ef9f[_0x1349e4(0x583)])['$promise']['then'](function(_0x4dffee){const _0x212cae=_0x1349e4,_0x32e34a=_0x39641b()[_0x212cae(0x13b4)](_0x30ef9f['items'],{'id':_0x4dffee['id']});_0x32e34a&&_0x39641b()[_0x212cae(0x9c1)](_0x32e34a,_0x4dffee),_0x1f135c[_0x212cae(0x829)]({'title':_0x39641b()[_0x212cae(0x432)](_0x116b23)+_0x212cae(0xaf6),'msg':_0x39641b()['capitalize'](_0x116b23)+_0x212cae(0xedb)}),_0x1636e9();})[_0x1349e4(0x1c4)](function(_0x370ed0){const _0x2f16df=_0x1349e4;console[_0x2f16df(0x218e)](_0x370ed0),_0x30ef9f[_0x2f16df(0x1a7c)]=_0x370ed0['data']['errors']||[{'message':_0x370ed0[_0x2f16df(0x147f)](),'type':_0x2f16df(0x197e)}];});}function _0x3fa0d5(_0x1cd7e9){const _0x4611ee=_0x4d3fbf;_0x30ef9f['errors']=[];const _0x1a69ff=_0x26ee24[_0x4611ee(0x1551)]()[_0x4611ee(0x1386)]('Are\x20you\x20sure?')[_0x4611ee(0x862)](_0x4611ee(0xd4c)+_0x116b23+_0x4611ee(0x1b6))[_0x4611ee(0x15ad)](_0x4611ee(0x1f6a)+_0x39641b()[_0x4611ee(0x432)](_0x116b23))['ok']('Delete')['cancel'](_0x4611ee(0xde1))[_0x4611ee(0x728)](_0x1cd7e9);_0x26ee24[_0x4611ee(0xe27)](_0x1a69ff)['then'](function(){const _0x48c385=_0x4611ee;_0x141ec2[_0x48c385(0x26b0)][_0x48c385(0x111d)]({'id':_0x30ef9f[_0x48c385(0x583)]['id']})[_0x48c385(0x1d77)][_0x48c385(0x1cb0)](function(){const _0x3a59cb=_0x48c385;_0x39641b()['remove'](_0x30ef9f[_0x3a59cb(0x122f)],{'id':_0x30ef9f['item']['id']}),_0x1f135c[_0x3a59cb(0x829)]({'title':_0x39641b()[_0x3a59cb(0x432)](_0x116b23)+_0x3a59cb(0x1621),'msg':_0x39641b()[_0x3a59cb(0x432)](_0x116b23)+'\x20has\x20been\x20deleted!'}),_0x1636e9();})[_0x48c385(0x1c4)](function(_0x186c49){const _0x5d1cb8=_0x48c385;console[_0x5d1cb8(0x218e)](_0x186c49),_0x30ef9f[_0x5d1cb8(0x1a7c)]=_0x186c49[_0x5d1cb8(0x25c)][_0x5d1cb8(0x1a7c)]||[{'message':_0x186c49[_0x5d1cb8(0x147f)](),'type':'api.item.delete'}];});},function(){});}function _0x539922(){const _0x4ac0db=_0x4d3fbf;return _0x30ef9f[_0x4ac0db(0x583)][_0x4ac0db(0x1e71)]!==undefined?_0x30ef9f['item'][_0x4ac0db(0x1e71)]:_0x4ac0db(0x7c8);}function _0x1636e9(){_0x26ee24['hide']();}}const _0x37d99a=_0xd7c89a;;_0x5d62eb[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$document',_0x5537c6(0x1ae),'license',_0x5537c6(0x9ca),_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x25d7),'userProfileSection'];function _0x5d62eb(_0x7e1e61,_0x3ed287,_0x6fba7f,_0x99943c,_0x5c668c,_0x4d9d74,_0x24aa9f,_0x34b71f,_0x52b0e6,_0x47e307,_0x457eb,_0x1303ad){const _0x253d80=_0x5537c6,_0x26eab7=this;_0x26eab7[_0x253d80(0xe76)]=_0x47e307[_0x253d80(0x21e8)](),_0x26eab7[_0x253d80(0x8a5)]=_0x4d9d74,_0x26eab7[_0x253d80(0x9ca)]=_0x24aa9f,_0x26eab7[_0x253d80(0x1b0c)]=_0x26eab7[_0x253d80(0x9ca)][_0x253d80(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x26eab7[_0x253d80(0x2404)]=_0x3ed287['protocol']()+_0x253d80(0x138b)+_0x3ed287['host'](),_0x26eab7[_0x253d80(0x25d7)]=_0x457eb||_0x7e1e61[_0x253d80(0x1dfe)][_0x253d80(0x25d7)]||{},_0x26eab7['userProfileSection']=_0x1303ad&&_0x1303ad[_0x253d80(0x184d)]==0x1?_0x1303ad[_0x253d80(0x2214)][0x0]:null,_0x26eab7[_0x253d80(0x1b1a)]=_0x47e307[_0x253d80(0x14ea)](_0x26eab7[_0x253d80(0x2199)]?_0x26eab7['userProfileSection']['crudPermissions']:null),_0x26eab7[_0x253d80(0xf4c)]={},_0x26eab7[_0x253d80(0x8ec)]=_0x7e1e61[_0x253d80(0x1dfe)][_0x253d80(0x291e)]||0x0,_0x26eab7[_0x253d80(0x494)]=_0x52b0e6[_0x253d80(0x28c7)],_0x26eab7[_0x253d80(0x1a05)]=_0x44e0cf,_0x26eab7['saveSugarcrmAccount']=_0x48e4c0;function _0x44e0cf(){const _0x1f384d=_0x253d80;_0x7e1e61['go'](_0x1f384d(0x185e),{},{'reload':'app.integrations.sugarcrmAccounts'});}function _0x48e4c0(){const _0x12a52d=_0x253d80;_0x34b71f[_0x12a52d(0x3fa)][_0x12a52d(0x687)]({'id':_0x26eab7['sugarcrmAccount']['id']},_0x26eab7[_0x12a52d(0x25d7)])[_0x12a52d(0x1d77)][_0x12a52d(0x1cb0)](function(){const _0x58d702=_0x12a52d;_0x52b0e6[_0x58d702(0x829)]({'title':_0x58d702(0x51d),'msg':_0x26eab7[_0x58d702(0x25d7)][_0x58d702(0x16b6)]?_0x26eab7[_0x58d702(0x25d7)][_0x58d702(0x16b6)]+_0x58d702(0x1068):''});})[_0x12a52d(0x1c4)](function(_0x3cfd27){const _0x1c8000=_0x12a52d;_0x52b0e6[_0x1c8000(0x218e)]({'title':_0x3cfd27[_0x1c8000(0x291)]?_0x1c8000(0xeb9)+_0x3cfd27[_0x1c8000(0x291)]+_0x1c8000(0x1657)+_0x3cfd27[_0x1c8000(0xc22)]:_0x1c8000(0x1e4a),'msg':_0x3cfd27[_0x1c8000(0x25c)]?JSON['stringify'](_0x3cfd27[_0x1c8000(0x25c)]):_0x3cfd27[_0x1c8000(0x147f)]()});});}}const _0x544d77=_0x5d62eb;;const _0x158977=_0x5074a3['p']+_0x5537c6(0x1dd2);;_0x1c4283[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),'$document','$timeout',_0x5537c6(0x1ae),_0x5537c6(0x307),'userProfile',_0x5537c6(0x2199),'api','msUtils','toasty',_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x1c4283(_0x81727a,_0x383118,_0x27b68d,_0x2bc6e5,_0x2370ba,_0x566d73,_0x1b46f8,_0x1db0e1,_0x3d2341,_0x2cfb37,_0x3ac617,_0x4edb90,_0x314ec1,_0x49e3a3,_0x2fbbf0,_0x135e2e,_0x20c70c){const _0x35cd2f=_0x5537c6,_0x22b00f=this;_0x22b00f['license']=_0x135e2e,_0x22b00f[_0x35cd2f(0x9ca)]=_0x20c70c,_0x22b00f[_0x35cd2f(0xe76)]=_0x2fbbf0[_0x35cd2f(0x21e8)](),_0x22b00f[_0x35cd2f(0x307)]=_0x3d2341||{'count':0x0,'rows':[]},_0x22b00f[_0x35cd2f(0x44a)]=_0x2cfb37,_0x22b00f['userProfileSection']=_0x3ac617&&_0x3ac617[_0x35cd2f(0x184d)]==0x1?_0x3ac617[_0x35cd2f(0x2214)][0x0]:null,_0x22b00f['crudPermissions']=_0x2fbbf0[_0x35cd2f(0x14ea)](_0x22b00f[_0x35cd2f(0x2199)]?_0x22b00f[_0x35cd2f(0x2199)][_0x35cd2f(0x1b1a)]:null),_0x22b00f[_0x35cd2f(0xc83)]=_0x35cd2f(0x307),_0x22b00f[_0x35cd2f(0x1d20)]='',_0x22b00f['listOrderAsc']=null,_0x22b00f[_0x35cd2f(0x16cd)]=[],_0x22b00f[_0x35cd2f(0xae2)]={'fields':'createdAt,updatedAt,id,name,username,remoteUri,password,serverUrl,description','limit':0xa,'page':0x1},_0x22b00f['editstate']=_0x4c303c,_0x22b00f[_0x35cd2f(0x6b1)]=_0x14a4ed,_0x22b00f['deleteconfirm']=_0x63e6d,_0x22b00f[_0x35cd2f(0x829)]=_0x2a8a60,_0x22b00f[_0x35cd2f(0x10e9)]=_0x4cb21f,_0x22b00f[_0x35cd2f(0x183e)]=_0xa71bb6,_0x22b00f[_0x35cd2f(0x12c8)]=_0x890728,_0x22b00f[_0x35cd2f(0xce1)]=_0x1df6d7,_0x22b00f[_0x35cd2f(0x239e)]=_0x4fee72,_0x22b00f[_0x35cd2f(0x10e5)]=_0xe946fa,_0x22b00f['selectAllSugarcrmAccounts']=_0x966441;function _0x4c303c(_0x3a4e18){const _0x238689=_0x35cd2f;_0x27b68d['go']('app.integrations.sugarcrmAccounts.edit',{'id':_0x3a4e18['id'],'sugarcrmAccount':_0x3a4e18,'crudPermissions':_0x22b00f[_0x238689(0x1b1a)]});}function _0x14a4ed(_0x2af4cf){const _0x293ebc=_0x35cd2f;return _0x4edb90[_0x293ebc(0x3fa)][_0x293ebc(0xc84)]({'id':_0x2af4cf['id'],'test':!![]})[_0x293ebc(0x1d77)][_0x293ebc(0x1cb0)](function(){const _0x1e698e=_0x293ebc;_0x49e3a3[_0x1e698e(0x829)]({'title':'SugarcrmAccount\x20properly\x20tested','msg':_0x1e698e(0x1bca)});})['catch'](function(_0x128b34){const _0x521b76=_0x293ebc;_0x49e3a3[_0x521b76(0x218e)]({'title':_0x521b76(0x1fa8),'msg':_0x128b34[_0x521b76(0x25c)]?_0x128b34[_0x521b76(0x25c)][_0x521b76(0x155e)]?_0x128b34[_0x521b76(0x25c)]['message']:JSON[_0x521b76(0x2701)](_0x128b34['data']):_0x128b34[_0x521b76(0x147f)]()});});}function _0x63e6d(_0x5bbb93,_0x2247bb){const _0x5602fa=_0x35cd2f,_0x5e62c5=_0x2370ba[_0x5602fa(0x1551)]()['title'](_0x5602fa(0x140b)+_0x39641b()[_0x5602fa(0xa75)](_0x5602fa(0x25d7))+'?')[_0x5602fa(0x49e)](_0x5602fa(0x204d)+(_0x5bbb93[_0x5602fa(0x16b6)]||'sugarcrmAccount')+''+_0x5602fa(0x1b6))[_0x5602fa(0x15ad)](_0x5602fa(0x6d1))[_0x5602fa(0x728)](_0x2247bb)['ok']('OK')[_0x5602fa(0x696)](_0x5602fa(0x24ba));_0x2370ba[_0x5602fa(0xe27)](_0x5e62c5)[_0x5602fa(0x1cb0)](function(){_0x890728(_0x5bbb93);},function(){const _0x28dd23=_0x5602fa;console['log'](_0x28dd23(0x24ba));});}let _0x5ced9c=!![],_0x4d4fbb=0x1;_0x81727a[_0x35cd2f(0x614)](_0x35cd2f(0x957),function(_0x484519,_0x5f13a1){const _0x1a44dc=_0x35cd2f;_0x5ced9c?_0x1b46f8(function(){_0x5ced9c=![];}):(!_0x5f13a1&&(_0x4d4fbb=_0x22b00f['query']['page']),_0x484519!==_0x5f13a1&&(_0x22b00f['query'][_0x1a44dc(0x1c7b)]=0x1),!_0x484519&&(_0x22b00f['query'][_0x1a44dc(0x1c7b)]=_0x4d4fbb),_0x22b00f[_0x1a44dc(0x10e9)]());});function _0x2a8a60(_0x3d0b02){const _0x5c8b67=_0x35cd2f;_0x22b00f[_0x5c8b67(0x307)]=_0x3d0b02||{'count':0x0,'rows':[]};}function _0x4cb21f(){const _0x6f02bb=_0x35cd2f;_0x22b00f[_0x6f02bb(0xae2)]['offset']=(_0x22b00f[_0x6f02bb(0xae2)]['page']-0x1)*_0x22b00f[_0x6f02bb(0xae2)][_0x6f02bb(0x236)],_0x2fbbf0[_0x6f02bb(0x22b6)](_0x6f02bb(0x1c60))?_0x22b00f[_0x6f02bb(0x2061)]=_0x4edb90['intSugarcrmAccount']['get'](_0x22b00f[_0x6f02bb(0xae2)],_0x2a8a60)['$promise']:(_0x22b00f['query']['id']=_0x22b00f[_0x6f02bb(0x44a)]['id'],_0x22b00f[_0x6f02bb(0xae2)][_0x6f02bb(0x1f74)]='SugarcrmAccounts',_0x22b00f[_0x6f02bb(0x2061)]=_0x4edb90[_0x6f02bb(0x44a)][_0x6f02bb(0x1810)](_0x22b00f[_0x6f02bb(0xae2)],_0x2a8a60)[_0x6f02bb(0x1d77)]);}function _0xa71bb6(_0x6961f9,_0xa18e0a){const _0x19d72f=_0x35cd2f;_0x2370ba[_0x19d72f(0xe27)]({'controller':_0x19d72f(0x9bd),'controllerAs':'vm','templateUrl':_0x158977,'parent':angular['element'](_0x566d73[_0x19d72f(0x1ed9)]),'targetEvent':_0x6961f9,'clickOutsideToClose':!![],'locals':{'sugarcrmAccount':_0xa18e0a,'sugarcrmAccounts':_0x22b00f['sugarcrmAccounts'][_0x19d72f(0x2214)],'license':_0x22b00f[_0x19d72f(0x8a5)],'setting':_0x22b00f[_0x19d72f(0x9ca)],'crudPermissions':_0x22b00f['crudPermissions']}});}function _0x890728(_0x4ffe97){const _0x69211e=_0x35cd2f;_0x4edb90[_0x69211e(0x3fa)][_0x69211e(0x111d)]({'id':_0x4ffe97['id']})[_0x69211e(0x1d77)][_0x69211e(0x1cb0)](function(){const _0x3f4888=_0x69211e;_0x39641b()['remove'](_0x22b00f[_0x3f4888(0x307)][_0x3f4888(0x2214)],{'id':_0x4ffe97['id']}),_0x22b00f[_0x3f4888(0x307)][_0x3f4888(0x184d)]-=0x1,!_0x22b00f[_0x3f4888(0x307)][_0x3f4888(0x2214)][_0x3f4888(0xfd0)]&&_0x22b00f[_0x3f4888(0x10e9)](),_0x49e3a3[_0x3f4888(0x829)]({'title':_0x39641b()[_0x3f4888(0xa75)](_0x3f4888(0x206b))+_0x3f4888(0x2663),'msg':_0x4ffe97[_0x3f4888(0x16b6)]?_0x4ffe97[_0x3f4888(0x16b6)]+'\x20has\x20been\x20deleted!':''});})[_0x69211e(0x1c4)](function(_0x406e36){const _0x5078c0=_0x69211e;if(_0x406e36[_0x5078c0(0x25c)]&&_0x406e36[_0x5078c0(0x25c)][_0x5078c0(0x1a7c)]&&_0x406e36[_0x5078c0(0x25c)][_0x5078c0(0x1a7c)][_0x5078c0(0xfd0)]){_0x22b00f[_0x5078c0(0x1a7c)]=_0x406e36[_0x5078c0(0x25c)][_0x5078c0(0x1a7c)]||[{'message':_0x406e36[_0x5078c0(0x147f)](),'type':_0x5078c0(0xf41)}];for(let _0x26188e=0x0;_0x26188e<_0x406e36['data'][_0x5078c0(0x1a7c)][_0x5078c0(0xfd0)];_0x26188e++){_0x49e3a3[_0x5078c0(0x218e)]({'title':_0x406e36[_0x5078c0(0x25c)][_0x5078c0(0x1a7c)][_0x26188e][_0x5078c0(0x66a)],'msg':_0x406e36[_0x5078c0(0x25c)][_0x5078c0(0x1a7c)][_0x26188e][_0x5078c0(0x155e)]});}}else _0x49e3a3[_0x5078c0(0x218e)]({'title':_0x406e36['status']?'API:'+_0x406e36['status']+_0x5078c0(0x1657)+_0x406e36[_0x5078c0(0xc22)]:_0x5078c0(0xf41),'msg':_0x406e36[_0x5078c0(0x25c)]?JSON['stringify'](_0x406e36[_0x5078c0(0x25c)][_0x5078c0(0x155e)]):_0x406e36[_0x5078c0(0x155e)]||_0x406e36[_0x5078c0(0x147f)]()});});}function _0x1df6d7(){const _0x3aa69e=_0x35cd2f,_0x194541=angular[_0x3aa69e(0x17fe)](_0x22b00f['selectedSugarcrmAccounts']);return _0x22b00f[_0x3aa69e(0x16cd)]=[],_0x194541;}function _0x4fee72(_0x5369e2){const _0x2ce1c4=_0x35cd2f,_0x3ed216=_0x2370ba[_0x2ce1c4(0x1551)]()[_0x2ce1c4(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20sugarcrmAccounts?')[_0x2ce1c4(0x49e)](_0x2ce1c4(0x204d)+_0x22b00f['selectedSugarcrmAccounts']['length']+'\x20selected'+_0x2ce1c4(0x1b6))[_0x2ce1c4(0x15ad)]('delete\x20SugarcrmAccounts')['targetEvent'](_0x5369e2)['ok']('OK')[_0x2ce1c4(0x696)](_0x2ce1c4(0x24ba));_0x2370ba['show'](_0x3ed216)[_0x2ce1c4(0x1cb0)](function(){const _0x3c7a4b=_0x2ce1c4;_0x22b00f[_0x3c7a4b(0x16cd)][_0x3c7a4b(0xf90)](function(_0x16ef58){_0x890728(_0x16ef58);}),_0x22b00f[_0x3c7a4b(0x16cd)]=[];});}function _0xe946fa(){const _0x5db4c4=_0x35cd2f;_0x22b00f[_0x5db4c4(0x16cd)]=[];}function _0x966441(){const _0x4ab5f7=_0x35cd2f;_0x22b00f[_0x4ab5f7(0x16cd)]=_0x22b00f[_0x4ab5f7(0x307)]['rows'];}}const _0x322d0d=_0x1c4283;;_0x472aa1[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),'$location',_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x23e),'vtigerAccount',_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x472aa1(_0x2a3ea2,_0x40e981,_0x22d352,_0x3ddf93,_0xa2fdbe,_0x404280,_0x4ba9b0,_0x374df6,_0x4795bb,_0x373017,_0x3a1372,_0x2faeaa,_0x5a16bd,_0x46f547){const _0x29ce85=_0x5537c6,_0x17e892=this;_0x17e892['currentUser']=_0x3a1372[_0x29ce85(0x21e8)](),_0x17e892[_0x29ce85(0x1a7c)]=[],_0x17e892[_0x29ce85(0x9ca)]=_0x5a16bd,_0x17e892['license']=_0x2faeaa,_0x17e892[_0x29ce85(0x1b1a)]=_0x46f547,_0x17e892['hasModulePermissions']={},_0x17e892[_0x29ce85(0x1b0c)]=_0x17e892[_0x29ce85(0x9ca)]&&_0x17e892[_0x29ce85(0x9ca)][_0x29ce85(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x17e892['title']=_0x29ce85(0xc23),_0x17e892[_0x29ce85(0x1bc5)]=angular[_0x29ce85(0x17fe)](_0x4795bb),_0x17e892[_0x29ce85(0x23e)]=_0x374df6,_0x17e892['newVtigerAccount']=![];!_0x17e892[_0x29ce85(0x1bc5)]&&(_0x17e892[_0x29ce85(0x1bc5)]={'serverUrl':_0x22d352[_0x29ce85(0x2276)]()+_0x29ce85(0x138b)+_0x22d352['host']()+(_0x22d352[_0x29ce85(0x477)]()?':'+_0x22d352[_0x29ce85(0x477)]():'')},_0x17e892['title']=_0x29ce85(0x1400),_0x17e892[_0x29ce85(0x1238)]=!![]);_0x17e892[_0x29ce85(0x1909)]=_0xd2bd6e,_0x17e892[_0x29ce85(0x2f8)]=_0x5bf671,_0x17e892[_0x29ce85(0x3a2)]=_0x86030a,_0x17e892[_0x29ce85(0x2c4)]=_0x472937,_0x17e892[_0x29ce85(0xda0)]=_0x528326;function _0xd2bd6e(){const _0x3d7237=_0x29ce85;_0x17e892['errors']=[],_0x373017[_0x3d7237(0xe0d)][_0x3d7237(0x1c3f)](_0x17e892[_0x3d7237(0x1bc5)])['$promise'][_0x3d7237(0x1cb0)](function(_0x5b13a8){const _0x249007=_0x3d7237;_0x17e892[_0x249007(0x23e)]['unshift'](_0x5b13a8[_0x249007(0x19b2)]()),_0x4ba9b0[_0x249007(0x829)]({'title':_0x249007(0x104b),'msg':_0x17e892['vtigerAccount']['name']?_0x17e892['vtigerAccount'][_0x249007(0x16b6)]+'\x20has\x20been\x20created!':''}),_0x528326(_0x5b13a8);})[_0x3d7237(0x1c4)](function(_0x4aa0bd){const _0xbcc618=_0x3d7237;if(_0x4aa0bd[_0xbcc618(0x25c)]&&_0x4aa0bd[_0xbcc618(0x25c)][_0xbcc618(0x1a7c)]&&_0x4aa0bd['data']['errors'][_0xbcc618(0xfd0)]){_0x17e892[_0xbcc618(0x1a7c)]=_0x4aa0bd[_0xbcc618(0x25c)][_0xbcc618(0x1a7c)]||[{'message':_0x4aa0bd[_0xbcc618(0x147f)](),'type':'api.intVtigerAccount.save'}];for(let _0x27be3a=0x0;_0x27be3a<_0x4aa0bd[_0xbcc618(0x25c)][_0xbcc618(0x1a7c)][_0xbcc618(0xfd0)];_0x27be3a+=0x1){_0x4ba9b0['error']({'title':_0x4aa0bd['data'][_0xbcc618(0x1a7c)][_0x27be3a]['type'],'msg':_0x4aa0bd['data'][_0xbcc618(0x1a7c)][_0x27be3a][_0xbcc618(0x155e)]});}}else _0x4ba9b0[_0xbcc618(0x218e)]({'title':_0x4aa0bd[_0xbcc618(0x291)]?'API:'+_0x4aa0bd[_0xbcc618(0x291)]+'\x20-\x20'+_0x4aa0bd[_0xbcc618(0xc22)]:_0xbcc618(0xd1a),'msg':_0x4aa0bd[_0xbcc618(0x25c)]?JSON[_0xbcc618(0x2701)](_0x4aa0bd[_0xbcc618(0x25c)]['message']):_0x4aa0bd[_0xbcc618(0x147f)]()});});}function _0x5bf671(){const _0x34dab7=_0x29ce85;_0x17e892[_0x34dab7(0x1a7c)]=[],_0x373017['intVtigerAccount']['update']({'id':_0x17e892['vtigerAccount']['id']},_0x17e892[_0x34dab7(0x1bc5)])[_0x34dab7(0x1d77)]['then'](function(_0x9b5c3f){const _0x4f9e39=_0x34dab7,_0x4cc7e2=_0x39641b()[_0x4f9e39(0x13b4)](_0x17e892[_0x4f9e39(0x23e)],{'id':_0x9b5c3f['id']});_0x4cc7e2&&_0x39641b()[_0x4f9e39(0x9c1)](_0x4cc7e2,_0x39641b()[_0x4f9e39(0x169b)](_0x9b5c3f[_0x4f9e39(0x19b2)](),_0x39641b()[_0x4f9e39(0x1be5)](_0x4cc7e2))),_0x4ba9b0[_0x4f9e39(0x829)]({'title':_0x4f9e39(0x1fe0),'msg':_0x17e892[_0x4f9e39(0x1bc5)][_0x4f9e39(0x16b6)]?_0x17e892[_0x4f9e39(0x1bc5)][_0x4f9e39(0x16b6)]+_0x4f9e39(0xedb):''}),_0x528326(_0x9b5c3f);})[_0x34dab7(0x1c4)](function(_0x5e65ed){const _0x241f1d=_0x34dab7;if(_0x5e65ed['data']&&_0x5e65ed[_0x241f1d(0x25c)][_0x241f1d(0x1a7c)]&&_0x5e65ed[_0x241f1d(0x25c)][_0x241f1d(0x1a7c)][_0x241f1d(0xfd0)]){_0x17e892[_0x241f1d(0x1a7c)]=_0x5e65ed[_0x241f1d(0x25c)]['errors']||[{'message':_0x5e65ed['toString'](),'type':_0x241f1d(0x1c0f)}];for(let _0x48ada8=0x0;_0x48ada8<_0x5e65ed['data'][_0x241f1d(0x1a7c)][_0x241f1d(0xfd0)];_0x48ada8++){_0x4ba9b0[_0x241f1d(0x218e)]({'title':_0x5e65ed[_0x241f1d(0x25c)]['errors'][_0x48ada8][_0x241f1d(0x66a)],'msg':_0x5e65ed[_0x241f1d(0x25c)]['errors'][_0x48ada8][_0x241f1d(0x155e)]});}}else _0x4ba9b0[_0x241f1d(0x218e)]({'title':_0x5e65ed['status']?_0x241f1d(0xeb9)+_0x5e65ed[_0x241f1d(0x291)]+'\x20-\x20'+_0x5e65ed['statusText']:'api.intVtigerAccount.update','msg':_0x5e65ed[_0x241f1d(0x25c)]?JSON['stringify'](_0x5e65ed['data']['message']):_0x5e65ed[_0x241f1d(0x147f)]()});});}function _0x86030a(_0x4dec3){const _0x3245ba=_0x29ce85;_0x17e892['errors']=[];const _0x45687c=_0x3ddf93[_0x3245ba(0x1551)]()[_0x3245ba(0x1386)]('Are\x20you\x20sure?')[_0x3245ba(0x862)](_0x3245ba(0x1827))[_0x3245ba(0x15ad)](_0x3245ba(0x26f2))['ok'](_0x3245ba(0x2594))[_0x3245ba(0x696)]('Cancel')['targetEvent'](_0x4dec3);_0x3ddf93[_0x3245ba(0xe27)](_0x45687c)[_0x3245ba(0x1cb0)](function(){const _0x4f1ced=_0x3245ba;_0x373017[_0x4f1ced(0xe0d)][_0x4f1ced(0x111d)]({'id':_0x17e892[_0x4f1ced(0x1bc5)]['id']})[_0x4f1ced(0x1d77)][_0x4f1ced(0x1cb0)](function(){const _0x51ab04=_0x4f1ced;_0x39641b()['remove'](_0x17e892['vtigerAccounts'],{'id':_0x17e892[_0x51ab04(0x1bc5)]['id']}),_0x4ba9b0[_0x51ab04(0x829)]({'title':_0x51ab04(0x2793),'msg':(_0x17e892['vtigerAccount'][_0x51ab04(0x16b6)]||_0x51ab04(0x1bc5))+_0x51ab04(0x3f5)}),_0x528326(_0x17e892[_0x51ab04(0x1bc5)]);})[_0x4f1ced(0x1c4)](function(_0x54022c){const _0x35706c=_0x4f1ced;if(_0x54022c[_0x35706c(0x25c)]&&_0x54022c[_0x35706c(0x25c)][_0x35706c(0x1a7c)]&&_0x54022c[_0x35706c(0x25c)]['errors']['length']){_0x17e892[_0x35706c(0x1a7c)]=_0x54022c[_0x35706c(0x25c)][_0x35706c(0x1a7c)]||[{'message':_0x54022c[_0x35706c(0x147f)](),'type':_0x35706c(0xe8d)}];for(let _0x4c31cb=0x0;_0x4c31cb<_0x54022c['data'][_0x35706c(0x1a7c)][_0x35706c(0xfd0)];_0x4c31cb++){_0x4ba9b0['error']({'title':_0x54022c[_0x35706c(0x25c)]['errors'][_0x4c31cb]['type'],'msg':_0x54022c[_0x35706c(0x25c)][_0x35706c(0x1a7c)][_0x4c31cb][_0x35706c(0x155e)]});}}else _0x4ba9b0[_0x35706c(0x218e)]({'title':_0x54022c[_0x35706c(0x291)]?'API:'+_0x54022c['status']+_0x35706c(0x1657)+_0x54022c['statusText']:_0x35706c(0xe8d),'msg':_0x54022c[_0x35706c(0x25c)]?JSON[_0x35706c(0x2701)](_0x54022c[_0x35706c(0x25c)][_0x35706c(0x155e)]):_0x54022c[_0x35706c(0x155e)]||_0x54022c[_0x35706c(0x147f)]()});});},function(){});}function _0x472937(_0x5e4c1d){return _0x5e4c1d===null?undefined:new Date(_0x5e4c1d);}function _0x528326(_0x599f60){const _0xe7c873=_0x29ce85;_0x3ddf93[_0xe7c873(0x1426)](_0x599f60);}}const _0x28d89f=_0x472aa1;;const _0x286669=_0x5074a3['p']+_0x5537c6(0x10a4);;const _0x3cd87d=_0x5074a3['p']+_0x5537c6(0x11fe);;_0x4208f1[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x142b),_0x5537c6(0x9bf),'Auth'];function _0x4208f1(_0x2fe80c,_0x39c1f5,_0x46b5e0,_0x2805e3,_0x2795da){const _0x4afc34=_0x5537c6,_0x437fd7=this;_0x437fd7[_0x4afc34(0xe76)]=_0x2795da[_0x4afc34(0x21e8)](),_0x437fd7['vtigerAccount']={},_0x437fd7[_0x4afc34(0x1d65)]={},_0x437fd7[_0x4afc34(0xa06)]=[],_0x437fd7[_0x4afc34(0x820)]=-0x1,_0x437fd7['crudPermissions'],_0x437fd7[_0x4afc34(0x1a8e)]=_0x1d6895,_0x437fd7[_0x4afc34(0x150a)]=_0x1a8b61,_0x437fd7['createOrEditVtigerConfiguration']=_0x3e6bb4,_0x437fd7[_0x4afc34(0x12dd)]=_0x2d6120;function _0x1d6895(_0x4ed0f8,_0x1ff104){const _0x309e67=_0x4afc34;_0x437fd7[_0x309e67(0x1bc5)]=_0x4ed0f8,_0x437fd7['crudPermissions']=typeof _0x1ff104!==_0x309e67(0x16b5)?_0x1ff104:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x46b5e0['intVtigerAccount']['getConfigurations']({'id':_0x437fd7['vtigerAccount']['id'],'sort':'-updatedAt'})[_0x309e67(0x1d77)][_0x309e67(0x1cb0)](function(_0x1e267b){const _0x39531e=_0x309e67;return _0x437fd7[_0x39531e(0xa06)]=_0x1e267b[_0x39531e(0x2214)]?_0x1e267b[_0x39531e(0x2214)]:[],_0x437fd7['configurations'][_0x39531e(0xfd0)]&&_0x437fd7['getVtigerConfiguration'](0x0),_0x46b5e0[_0x39531e(0x1822)][_0x39531e(0xbf7)]({'nolimit':!![],'sort':'name'})[_0x39531e(0x1d77)];})[_0x309e67(0x1cb0)](function(_0x5f4508){const _0x3b51be=_0x309e67;_0x437fd7['variables']=_0x5f4508['rows']?_0x5f4508[_0x3b51be(0x2214)]:[],_0x437fd7[_0x3b51be(0x1a3c)]=_0x39641b()[_0x3b51be(0x2631)](_0x437fd7[_0x3b51be(0x9a9)],'id');})[_0x309e67(0x1c4)](function(_0xfe5e01){const _0x35605f=_0x309e67;_0x2805e3[_0x35605f(0x218e)]({'title':_0xfe5e01[_0x35605f(0x291)]?_0x35605f(0xeb9)+_0xfe5e01[_0x35605f(0x291)]+_0x35605f(0x1657)+_0xfe5e01[_0x35605f(0xc22)]:_0x35605f(0x1b0f),'msg':_0xfe5e01['data']?JSON[_0x35605f(0x2701)](_0xfe5e01[_0x35605f(0x25c)]):_0xfe5e01[_0x35605f(0x147f)]()});});}function _0x1a8b61(_0x379b81){const _0x3582fb=_0x4afc34;_0x437fd7[_0x3582fb(0x1d65)]=_0x437fd7[_0x3582fb(0xa06)][_0x379b81],_0x437fd7[_0x3582fb(0x820)]=_0x379b81,_0x46b5e0[_0x3582fb(0x1301)][_0x3582fb(0x243a)]({'id':_0x437fd7[_0x3582fb(0x1d65)]['id']})[_0x3582fb(0x1d77)]['then'](function(_0x49157d){const _0x37da3b=_0x3582fb;return _0x437fd7[_0x37da3b(0x2388)]=_0x49157d['rows']?_0x49157d[_0x37da3b(0x2214)]:[],_0x46b5e0[_0x37da3b(0x1301)]['getDescriptions']({'id':_0x437fd7['vtigerConfiguration']['id']})[_0x37da3b(0x1d77)];})[_0x3582fb(0x1cb0)](function(_0x599617){const _0x5b8efd=_0x3582fb;return _0x437fd7[_0x5b8efd(0x310)]=_0x599617[_0x5b8efd(0x2214)]?_0x599617[_0x5b8efd(0x2214)]:[],_0x46b5e0[_0x5b8efd(0x1301)]['getFields']({'id':_0x437fd7['vtigerConfiguration']['id']})[_0x5b8efd(0x1d77)];})['then'](function(_0x14b7fb){const _0x2d38ac=_0x3582fb;_0x437fd7[_0x2d38ac(0x355)]=_0x14b7fb[_0x2d38ac(0x2214)]?_0x14b7fb['rows']:[];})[_0x3582fb(0x1c4)](function(_0x2694fe){const _0x1cb663=_0x3582fb;_0x2805e3['error']({'title':_0x2694fe[_0x1cb663(0x291)]?_0x1cb663(0xeb9)+_0x2694fe[_0x1cb663(0x291)]+'\x20-\x20'+_0x2694fe[_0x1cb663(0xc22)]:_0x1cb663(0x1e5f),'msg':_0x2694fe[_0x1cb663(0x25c)]?JSON['stringify'](_0x2694fe[_0x1cb663(0x25c)]):_0x2694fe[_0x1cb663(0x147f)]()});});}function _0x3e6bb4(_0x4ccae0,_0x480061){const _0x300241=_0x4afc34;_0x2fe80c[_0x300241(0xe27)]({'controller':_0x300241(0x36c),'controllerAs':'vm','templateUrl':_0x286669,'parent':angular['element'](_0x39c1f5[_0x300241(0x1ed9)]),'targetEvent':_0x4ccae0,'clickOutsideToClose':!![],'locals':{'vtigerConfiguration':_0x480061,'configurations':_0x437fd7['configurations'],'license':null,'setting':null,'crudPermissions':_0x437fd7[_0x300241(0x1b1a)]}})[_0x300241(0x2e0)](function(){_0x437fd7['getVtigerConfiguration'](0x0);});}function _0x2d6120(_0x3ae748,_0x3d5e48){const _0x295eca=_0x4afc34,_0x4db0a7=_0x2fe80c['confirm']()[_0x295eca(0x1386)](_0x295eca(0x2293))[_0x295eca(0x49e)](_0x295eca(0x204d)+(_0x3d5e48['name']||'vtigerConfiguration')+''+_0x295eca(0x1b6))[_0x295eca(0x15ad)](_0x295eca(0xa8b))[_0x295eca(0x728)](_0x3ae748)['ok']('OK')[_0x295eca(0x696)](_0x295eca(0x24ba));_0x2fe80c[_0x295eca(0xe27)](_0x4db0a7)[_0x295eca(0x1cb0)](function(){const _0x58b6a3=_0x295eca;_0x46b5e0[_0x58b6a3(0x1301)][_0x58b6a3(0x111d)]({'id':_0x3d5e48['id']})[_0x58b6a3(0x1d77)][_0x58b6a3(0x1cb0)](function(){const _0x208d10=_0x58b6a3;_0x39641b()[_0x208d10(0x152a)](_0x437fd7['configurations'],{'id':_0x3d5e48['id']}),_0x2805e3[_0x208d10(0x829)]({'title':'VtigerConfiguration\x20deleted!','msg':_0x3d5e48[_0x208d10(0x16b6)]?_0x3d5e48['name']+'\x20has\x20been\x20deleted!':''});})[_0x58b6a3(0x1c4)](function(_0x5b191b){const _0x4ed639=_0x58b6a3;_0x2805e3[_0x4ed639(0x218e)]({'title':_0x5b191b[_0x4ed639(0x291)]?_0x4ed639(0xeb9)+_0x5b191b[_0x4ed639(0x291)]+'\x20-\x20'+_0x5b191b[_0x4ed639(0xc22)]:'SYSTEM:DELETECONFIGURATION','msg':_0x5b191b[_0x4ed639(0x25c)]?JSON[_0x4ed639(0x2701)](_0x5b191b['data']):_0x5b191b['toString']()});})['finally'](function(){const _0x3045ca=_0x58b6a3;_0x437fd7[_0x3045ca(0x150a)](0x0);});},function(){const _0x54cc00=_0x295eca;console[_0x54cc00(0x1b4f)]('CANCEL');});}_0x437fd7[_0x4afc34(0x4df)]=[],_0x437fd7[_0x4afc34(0x1267)]=_0x498375,_0x437fd7[_0x4afc34(0x259e)]=_0x1c492d,_0x437fd7[_0x4afc34(0xf12)]=_0x6f307;function _0x498375(_0x4e4c17,_0x59d482){const _0x59b34b=_0x4afc34;_0x2fe80c[_0x59b34b(0xe27)]({'controller':_0x59b34b(0x1646),'controllerAs':'vm','templateUrl':_0x3cd87d,'parent':angular[_0x59b34b(0x1853)](_0x39c1f5[_0x59b34b(0x1ed9)]),'targetEvent':_0x4e4c17,'clickOutsideToClose':!![],'locals':{'type':_0x59b34b(0x1e5d),'item':_0x59d482,'items':_0x437fd7['subjects'],'configuration':_0x437fd7[_0x59b34b(0x1d65)],'account':_0x437fd7[_0x59b34b(0x1bc5)],'license':null,'setting':null,'crudPermissions':_0x437fd7['crudPermissions']}});}function _0x4c6221(_0x18ceb5){const _0x435027=_0x4afc34;_0x46b5e0[_0x435027(0x4a3)]['delete']({'id':_0x18ceb5['id']})['$promise'][_0x435027(0x1cb0)](function(){const _0x37967a=_0x435027;_0x39641b()['remove'](_0x437fd7[_0x37967a(0x2388)],{'id':_0x18ceb5['id']}),_0x2805e3[_0x37967a(0x829)]({'title':_0x37967a(0x1d11),'msg':_0x37967a(0x145d)});})[_0x435027(0x1c4)](function(_0x3c878a){const _0x36ebda=_0x435027;_0x2805e3[_0x36ebda(0x218e)]({'title':_0x3c878a[_0x36ebda(0x291)]?_0x36ebda(0xeb9)+_0x3c878a[_0x36ebda(0x291)]+_0x36ebda(0x1657)+_0x3c878a[_0x36ebda(0xc22)]:_0x36ebda(0x13e5),'msg':_0x3c878a['data']?JSON[_0x36ebda(0x2701)](_0x3c878a['data']):_0x3c878a[_0x36ebda(0x147f)]()});});}function _0x1c492d(_0x2398be,_0x19f0aa){const _0x19441d=_0x4afc34,_0x3de2fa=_0x2fe80c['confirm']()[_0x19441d(0x1386)](_0x19441d(0xa59))[_0x19441d(0x49e)](_0x19441d(0x14ee)+_0x19441d(0x1b6))[_0x19441d(0x15ad)](_0x19441d(0xbc3))['targetEvent'](_0x2398be)['ok']('OK')[_0x19441d(0x696)](_0x19441d(0x24ba));_0x2fe80c[_0x19441d(0xe27)](_0x3de2fa)[_0x19441d(0x1cb0)](function(){_0x4c6221(_0x19f0aa);},function(){const _0x467792=_0x19441d;console[_0x467792(0x1b4f)](_0x467792(0x24ba));});}function _0x6f307(_0x5f207b){const _0x286b70=_0x4afc34,_0x66f0bd=_0x2fe80c[_0x286b70(0x1551)]()[_0x286b70(0x1386)](_0x286b70(0x1f8e))[_0x286b70(0x49e)](_0x286b70(0x204d)+_0x437fd7['selectedSubjects']['length']+'\x20selected'+_0x286b70(0x1b6))['ariaLabel'](_0x286b70(0x222e))[_0x286b70(0x728)](_0x5f207b)['ok']('OK')['cancel']('CANCEL');_0x2fe80c[_0x286b70(0xe27)](_0x66f0bd)[_0x286b70(0x1cb0)](function(){const _0x1b0d32=_0x286b70;_0x437fd7[_0x1b0d32(0x4df)][_0x1b0d32(0xf90)](function(_0x325aab){_0x4c6221(_0x325aab);}),_0x437fd7[_0x1b0d32(0x4df)]=[];});}_0x437fd7[_0x4afc34(0xac6)]=[],_0x437fd7[_0x4afc34(0x14c7)]=_0x1adc4a,_0x437fd7[_0x4afc34(0xc09)]=_0x5647d5,_0x437fd7[_0x4afc34(0x2931)]=_0x530399;function _0x1adc4a(_0x160305,_0x282067){const _0x4323b1=_0x4afc34;_0x2fe80c[_0x4323b1(0xe27)]({'controller':_0x4323b1(0x1646),'controllerAs':'vm','templateUrl':_0x3cd87d,'parent':angular['element'](_0x39c1f5['body']),'targetEvent':_0x160305,'clickOutsideToClose':!![],'locals':{'type':'description','item':_0x282067,'items':_0x437fd7[_0x4323b1(0x310)],'configuration':_0x437fd7[_0x4323b1(0x1d65)],'account':_0x437fd7['vtigerAccount'],'license':null,'setting':null,'crudPermissions':_0x437fd7['crudPermissions']}});}function _0x5b4574(_0x4ea581){const _0x4c1b33=_0x4afc34;_0x46b5e0[_0x4c1b33(0x4a3)][_0x4c1b33(0x111d)]({'id':_0x4ea581['id']})[_0x4c1b33(0x1d77)][_0x4c1b33(0x1cb0)](function(){const _0x78bffe=_0x4c1b33;_0x39641b()[_0x78bffe(0x152a)](_0x437fd7[_0x78bffe(0x310)],{'id':_0x4ea581['id']}),_0x2805e3[_0x78bffe(0x829)]({'title':_0x78bffe(0x24c0),'msg':_0x78bffe(0xb59)});})['catch'](function(_0x4c9172){const _0x5cbbab=_0x4c1b33;_0x2805e3['error']({'title':_0x4c9172[_0x5cbbab(0x291)]?_0x5cbbab(0xeb9)+_0x4c9172[_0x5cbbab(0x291)]+'\x20-\x20'+_0x4c9172[_0x5cbbab(0xc22)]:_0x5cbbab(0x13e5),'msg':_0x4c9172['data']?JSON[_0x5cbbab(0x2701)](_0x4c9172[_0x5cbbab(0x25c)]):_0x4c9172[_0x5cbbab(0x147f)]()});});}function _0x5647d5(_0xbc769b,_0x110706){const _0x2cda78=_0x4afc34,_0x44c50b=_0x2fe80c[_0x2cda78(0x1551)]()[_0x2cda78(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20description?')['htmlContent'](_0x2cda78(0x18b8)+_0x2cda78(0x1b6))['ariaLabel'](_0x2cda78(0x103d))[_0x2cda78(0x728)](_0xbc769b)['ok']('OK')[_0x2cda78(0x696)](_0x2cda78(0x24ba));_0x2fe80c[_0x2cda78(0xe27)](_0x44c50b)[_0x2cda78(0x1cb0)](function(){_0x5b4574(_0x110706);},function(){const _0x981d0d=_0x2cda78;console[_0x981d0d(0x1b4f)](_0x981d0d(0x24ba));});}function _0x530399(_0x22234a){const _0x5f26bb=_0x4afc34,_0x583547=_0x2fe80c[_0x5f26bb(0x1551)]()[_0x5f26bb(0x1386)](_0x5f26bb(0x2e7))[_0x5f26bb(0x49e)](_0x5f26bb(0x204d)+_0x437fd7[_0x5f26bb(0xac6)][_0x5f26bb(0xfd0)]+_0x5f26bb(0x1d6c)+_0x5f26bb(0x1b6))[_0x5f26bb(0x15ad)](_0x5f26bb(0x1828))[_0x5f26bb(0x728)](_0x22234a)['ok']('OK')[_0x5f26bb(0x696)](_0x5f26bb(0x24ba));_0x2fe80c[_0x5f26bb(0xe27)](_0x583547)['then'](function(){const _0x3cf421=_0x5f26bb;_0x437fd7[_0x3cf421(0xac6)][_0x3cf421(0xf90)](function(_0x149519){_0x5b4574(_0x149519);}),_0x437fd7[_0x3cf421(0xac6)]=[];});}_0x437fd7[_0x4afc34(0x9b2)]=[],_0x437fd7['createOrEditField']=_0x4ab2bb,_0x437fd7[_0x4afc34(0x2398)]=_0x4e9828,_0x437fd7[_0x4afc34(0xe40)]=_0x29aff9;function _0x4ab2bb(_0x5df5b5,_0x387dfe){const _0x406d54=_0x4afc34;_0x2fe80c['show']({'controller':'CreateOrEditVtigerAccountItemDialogController','controllerAs':'vm','templateUrl':_0x3cd87d,'parent':angular['element'](_0x39c1f5['body']),'targetEvent':_0x5df5b5,'clickOutsideToClose':!![],'locals':{'type':_0x406d54(0x2198),'item':_0x387dfe,'items':_0x437fd7['fields'],'configuration':_0x437fd7['vtigerConfiguration'],'account':_0x437fd7[_0x406d54(0x1bc5)],'license':null,'setting':null,'crudPermissions':_0x437fd7[_0x406d54(0x1b1a)]}});}function _0x137418(_0x13fe08){const _0x2445ee=_0x4afc34;_0x46b5e0[_0x2445ee(0x4a3)][_0x2445ee(0x111d)]({'id':_0x13fe08['id']})[_0x2445ee(0x1d77)][_0x2445ee(0x1cb0)](function(){const _0x4f1a7a=_0x2445ee;_0x39641b()['remove'](_0x437fd7[_0x4f1a7a(0x355)],{'id':_0x13fe08['id']}),_0x2805e3[_0x4f1a7a(0x829)]({'title':_0x4f1a7a(0xd6b),'msg':_0x4f1a7a(0x2688)});})['catch'](function(_0x52e9bc){const _0x32f710=_0x2445ee;_0x2805e3[_0x32f710(0x218e)]({'title':_0x52e9bc[_0x32f710(0x291)]?_0x32f710(0xeb9)+_0x52e9bc[_0x32f710(0x291)]+_0x32f710(0x1657)+_0x52e9bc['statusText']:'SYSTEM:DELETECONFIGURATIONFIELD','msg':_0x52e9bc[_0x32f710(0x25c)]?JSON[_0x32f710(0x2701)](_0x52e9bc[_0x32f710(0x25c)]):_0x52e9bc[_0x32f710(0x147f)]()});});}function _0x4e9828(_0x3d9a88,_0x2139cf){const _0x3a38fb=_0x4afc34,_0x19c60d=_0x2fe80c[_0x3a38fb(0x1551)]()[_0x3a38fb(0x1386)](_0x3a38fb(0x21a9))['htmlContent'](_0x3a38fb(0xf1d)+_0x3a38fb(0x1b6))[_0x3a38fb(0x15ad)]('delete\x20field')[_0x3a38fb(0x728)](_0x3d9a88)['ok']('OK')[_0x3a38fb(0x696)](_0x3a38fb(0x24ba));_0x2fe80c[_0x3a38fb(0xe27)](_0x19c60d)[_0x3a38fb(0x1cb0)](function(){_0x137418(_0x2139cf);},function(){const _0x4700fc=_0x3a38fb;console[_0x4700fc(0x1b4f)](_0x4700fc(0x24ba));});}function _0x29aff9(_0x39081e){const _0x3f9fd0=_0x4afc34,_0xbc6b0e=_0x2fe80c[_0x3f9fd0(0x1551)]()[_0x3f9fd0(0x1386)](_0x3f9fd0(0x983))[_0x3f9fd0(0x49e)](''+_0x437fd7[_0x3f9fd0(0x9b2)]['length']+_0x3f9fd0(0x1d6c)+'\x20will\x20be\x20deleted.')['ariaLabel']('delete\x20fields')[_0x3f9fd0(0x728)](_0x39081e)['ok']('OK')[_0x3f9fd0(0x696)]('CANCEL');_0x2fe80c[_0x3f9fd0(0xe27)](_0xbc6b0e)[_0x3f9fd0(0x1cb0)](function(){const _0x4a2b05=_0x3f9fd0;_0x437fd7['selectedFields']['forEach'](function(_0x58128b){_0x137418(_0x58128b);}),_0x437fd7[_0x4a2b05(0x9b2)]=[];});}}const _0x150ed0=_0x4208f1;;_0x30bec8[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),'$mdDialog','$q',_0x5537c6(0x1ae),'toasty',_0x5537c6(0xa06),_0x5537c6(0x1d65),_0x5537c6(0x142b),_0x5537c6(0x1774),'license',_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x30bec8(_0x519663,_0x1aa164,_0x2c903e,_0x4b44ce,_0x45663a,_0x328b07,_0x2c5826,_0x374a1e,_0x5d8481,_0x3d80ae,_0x17cf94,_0x2986be,_0x7e86f,_0x16fc3b){const _0x304f89=_0x5537c6,_0x1d24e7=this;_0x1d24e7[_0x304f89(0xe76)]=_0x17cf94[_0x304f89(0x21e8)](),_0x1d24e7[_0x304f89(0x1a7c)]=[],_0x1d24e7['setting']=_0x7e86f,_0x1d24e7[_0x304f89(0x8a5)]=_0x2986be,_0x1d24e7[_0x304f89(0x1b1a)]=_0x16fc3b,_0x1d24e7[_0x304f89(0xf4c)]={},_0x1d24e7[_0x304f89(0x1b0c)]=_0x1d24e7['setting']&&_0x1d24e7[_0x304f89(0x9ca)][_0x304f89(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x1d24e7[_0x304f89(0x1386)]=_0x304f89(0x695),_0x1d24e7[_0x304f89(0x1d65)]=angular[_0x304f89(0x17fe)](_0x5d8481),_0x1d24e7[_0x304f89(0xa06)]=_0x374a1e,_0x1d24e7[_0x304f89(0xe0b)]=![];!_0x1d24e7['vtigerConfiguration']&&(_0x1d24e7[_0x304f89(0x1d65)]={'channel':_0x304f89(0x1fd4),'type':_0x304f89(0x26c0)},_0x1d24e7[_0x304f89(0x1386)]=_0x304f89(0x1f7b),_0x1d24e7[_0x304f89(0xe0b)]=!![]);_0x1d24e7[_0x304f89(0x25b4)]=_0x5be5a4,_0x1d24e7[_0x304f89(0xed3)]=_0x26fd0f,_0x1d24e7[_0x304f89(0x12dd)]=_0x9b6226,_0x1d24e7[_0x304f89(0x2c4)]=_0x44b08b,_0x1d24e7[_0x304f89(0xda0)]=_0x597168;function _0x5be5a4(){const _0x4d251a=_0x304f89;_0x1d24e7[_0x4d251a(0x1a7c)]=[],_0x3d80ae[_0x4d251a(0xe0d)][_0x4d251a(0x1070)]({'id':_0x1aa164[_0x4d251a(0x1dfe)]['id']},_0x1d24e7[_0x4d251a(0x1d65)])['$promise'][_0x4d251a(0x1cb0)](function(_0x4c89ca){const _0x412d4b=_0x4d251a;_0x1d24e7[_0x412d4b(0xa06)][_0x412d4b(0xf63)](_0x4c89ca[_0x412d4b(0x19b2)]()),_0x2c5826['success']({'title':_0x412d4b(0x24ae),'msg':_0x1d24e7[_0x412d4b(0x1d65)][_0x412d4b(0x16b6)]?_0x1d24e7[_0x412d4b(0x1d65)][_0x412d4b(0x16b6)]+_0x412d4b(0x470):''}),_0x597168(_0x4c89ca);})['catch'](function(_0x50e178){const _0x176269=_0x4d251a;if(_0x50e178[_0x176269(0x25c)]&&_0x50e178['data'][_0x176269(0x1a7c)]&&_0x50e178[_0x176269(0x25c)]['errors']['length']){_0x1d24e7['errors']=_0x50e178[_0x176269(0x25c)][_0x176269(0x1a7c)]||[{'message':_0x50e178[_0x176269(0x147f)](),'type':_0x176269(0x10a3)}];for(let _0x553640=0x0;_0x553640<_0x50e178[_0x176269(0x25c)][_0x176269(0x1a7c)]['length'];_0x553640+=0x1){_0x2c5826[_0x176269(0x218e)]({'title':_0x50e178[_0x176269(0x25c)][_0x176269(0x1a7c)][_0x553640][_0x176269(0x66a)],'msg':_0x50e178[_0x176269(0x25c)][_0x176269(0x1a7c)][_0x553640][_0x176269(0x155e)]});}}else _0x2c5826['error']({'title':_0x50e178[_0x176269(0x291)]?_0x176269(0xeb9)+_0x50e178[_0x176269(0x291)]+_0x176269(0x1657)+_0x50e178[_0x176269(0xc22)]:_0x176269(0x10a3),'msg':_0x50e178[_0x176269(0x25c)]?JSON[_0x176269(0x2701)](_0x50e178[_0x176269(0x25c)][_0x176269(0x155e)]):_0x50e178[_0x176269(0x147f)]()});});}function _0x26fd0f(){const _0x2cadaf=_0x304f89;_0x1d24e7[_0x2cadaf(0x1a7c)]=[],_0x3d80ae[_0x2cadaf(0x1301)][_0x2cadaf(0x687)]({'id':_0x1d24e7[_0x2cadaf(0x1d65)]['id']},_0x1d24e7[_0x2cadaf(0x1d65)])[_0x2cadaf(0x1d77)][_0x2cadaf(0x1cb0)](function(_0x8dae97){const _0x3245b3=_0x2cadaf,_0x2429e0=_0x39641b()[_0x3245b3(0x13b4)](_0x1d24e7[_0x3245b3(0xa06)],{'id':_0x8dae97['id']});_0x2429e0&&_0x39641b()['merge'](_0x2429e0,_0x39641b()['pick'](_0x8dae97[_0x3245b3(0x19b2)](),_0x39641b()['keys'](_0x2429e0))),_0x2c5826[_0x3245b3(0x829)]({'title':_0x3245b3(0x2292),'msg':_0x1d24e7[_0x3245b3(0x1d65)][_0x3245b3(0x16b6)]?_0x1d24e7[_0x3245b3(0x1d65)][_0x3245b3(0x16b6)]+'\x20has\x20been\x20saved!':''}),_0x597168(_0x8dae97);})['catch'](function(_0x58f2ea){const _0x2cdc28=_0x2cadaf;if(_0x58f2ea[_0x2cdc28(0x25c)]&&_0x58f2ea[_0x2cdc28(0x25c)][_0x2cdc28(0x1a7c)]&&_0x58f2ea[_0x2cdc28(0x25c)][_0x2cdc28(0x1a7c)][_0x2cdc28(0xfd0)]){_0x1d24e7[_0x2cdc28(0x1a7c)]=_0x58f2ea[_0x2cdc28(0x25c)][_0x2cdc28(0x1a7c)]||[{'message':_0x58f2ea[_0x2cdc28(0x147f)](),'type':'api.intVtigerConfiguration.update'}];for(let _0x31c18e=0x0;_0x31c18e<_0x58f2ea[_0x2cdc28(0x25c)][_0x2cdc28(0x1a7c)]['length'];_0x31c18e++){_0x2c5826[_0x2cdc28(0x218e)]({'title':_0x58f2ea[_0x2cdc28(0x25c)][_0x2cdc28(0x1a7c)][_0x31c18e][_0x2cdc28(0x66a)],'msg':_0x58f2ea[_0x2cdc28(0x25c)][_0x2cdc28(0x1a7c)][_0x31c18e][_0x2cdc28(0x155e)]});}}else _0x2c5826[_0x2cdc28(0x218e)]({'title':_0x58f2ea[_0x2cdc28(0x291)]?'API:'+_0x58f2ea[_0x2cdc28(0x291)]+_0x2cdc28(0x1657)+_0x58f2ea[_0x2cdc28(0xc22)]:_0x2cdc28(0x1f36),'msg':_0x58f2ea[_0x2cdc28(0x25c)]?JSON[_0x2cdc28(0x2701)](_0x58f2ea[_0x2cdc28(0x25c)][_0x2cdc28(0x155e)]):_0x58f2ea[_0x2cdc28(0x147f)]()});});}function _0x9b6226(_0x37c064){const _0x2f0be6=_0x304f89;_0x1d24e7[_0x2f0be6(0x1a7c)]=[];const _0x42524a=_0x4b44ce[_0x2f0be6(0x1551)]()[_0x2f0be6(0x1386)](_0x2f0be6(0x1a2e))[_0x2f0be6(0x862)](_0x2f0be6(0xaa1))['ariaLabel'](_0x2f0be6(0x1ef9))['ok'](_0x2f0be6(0x2594))[_0x2f0be6(0x696)]('Cancel')[_0x2f0be6(0x728)](_0x37c064);_0x4b44ce[_0x2f0be6(0xe27)](_0x42524a)[_0x2f0be6(0x1cb0)](function(){const _0x4589ce=_0x2f0be6;_0x3d80ae[_0x4589ce(0x1301)][_0x4589ce(0x111d)]({'id':_0x1d24e7[_0x4589ce(0x1d65)]['id']})[_0x4589ce(0x1d77)][_0x4589ce(0x1cb0)](function(){const _0x1ffced=_0x4589ce;_0x39641b()[_0x1ffced(0x152a)](_0x1d24e7[_0x1ffced(0xa06)],{'id':_0x1d24e7[_0x1ffced(0x1d65)]['id']}),_0x2c5826[_0x1ffced(0x829)]({'title':'VtigerConfiguration\x20properly\x20deleted!','msg':(_0x1d24e7['vtigerConfiguration'][_0x1ffced(0x16b6)]||_0x1ffced(0x1d65))+_0x1ffced(0x3f5)}),_0x597168(_0x1d24e7[_0x1ffced(0x1d65)]);})[_0x4589ce(0x1c4)](function(_0x37307c){const _0xfebcc3=_0x4589ce;if(_0x37307c[_0xfebcc3(0x25c)]&&_0x37307c[_0xfebcc3(0x25c)][_0xfebcc3(0x1a7c)]&&_0x37307c[_0xfebcc3(0x25c)][_0xfebcc3(0x1a7c)]['length']){_0x1d24e7[_0xfebcc3(0x1a7c)]=_0x37307c[_0xfebcc3(0x25c)][_0xfebcc3(0x1a7c)]||[{'message':_0x37307c[_0xfebcc3(0x147f)](),'type':_0xfebcc3(0xac1)}];for(let _0xa8401a=0x0;_0xa8401a<_0x37307c[_0xfebcc3(0x25c)]['errors'][_0xfebcc3(0xfd0)];_0xa8401a++){_0x2c5826[_0xfebcc3(0x218e)]({'title':_0x37307c['data'][_0xfebcc3(0x1a7c)][_0xa8401a][_0xfebcc3(0x66a)],'msg':_0x37307c[_0xfebcc3(0x25c)][_0xfebcc3(0x1a7c)][_0xa8401a]['message']});}}else _0x2c5826[_0xfebcc3(0x218e)]({'title':_0x37307c['status']?'API:'+_0x37307c['status']+_0xfebcc3(0x1657)+_0x37307c[_0xfebcc3(0xc22)]:_0xfebcc3(0xac1),'msg':_0x37307c[_0xfebcc3(0x25c)]?JSON['stringify'](_0x37307c[_0xfebcc3(0x25c)][_0xfebcc3(0x155e)]):_0x37307c[_0xfebcc3(0x155e)]||_0x37307c[_0xfebcc3(0x147f)]()});});},function(){});}function _0x44b08b(_0x7ca11e){return _0x7ca11e===null?undefined:new Date(_0x7ca11e);}function _0x597168(_0x48341b){const _0x3a1b63=_0x304f89;_0x4b44ce[_0x3a1b63(0x1426)](_0x48341b);}}const _0x348797=_0x30bec8;;_0x339335[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$state',_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x9bf),_0x5537c6(0x2718),'items',_0x5537c6(0x583),_0x5537c6(0x1539),_0x5537c6(0x66a),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x339335(_0x59bf81,_0xfc3e4c,_0x41580f,_0x31ff60,_0x436433,_0x416652,_0x3a0c52,_0x42d62c,_0x2ca736,_0x441693,_0x404409,_0x23cdea,_0x4f1a20){const _0xa534d4=_0x5537c6,_0x3ed3fd=this;_0x3ed3fd[_0xa534d4(0xe76)]=_0x23cdea[_0xa534d4(0x21e8)](),_0x3ed3fd[_0xa534d4(0x1a7c)]=[],_0x3ed3fd[_0xa534d4(0x1386)]=_0xa534d4(0xf08)+_0x39641b()[_0xa534d4(0x1002)](_0x441693),_0x3ed3fd[_0xa534d4(0x583)]=angular[_0xa534d4(0x17fe)](_0x42d62c),_0x3ed3fd[_0xa534d4(0x122f)]=_0x3a0c52,_0x3ed3fd[_0xa534d4(0x66a)]=_0x441693,_0x3ed3fd['crudPermissions']=_0x4f1a20,_0x3ed3fd[_0xa534d4(0x1202)]=![];!_0x3ed3fd[_0xa534d4(0x583)]&&(_0x3ed3fd[_0xa534d4(0x583)]={'type':'string'},_0x3ed3fd['item'][_0x39641b()[_0xa534d4(0x432)](_0x441693)+'Id']=_0x416652['id'],_0x3ed3fd['title']=_0xa534d4(0x15dd)+_0x39641b()['toUpper'](_0x441693),_0x3ed3fd[_0xa534d4(0x1202)]=!![]);_0x3ed3fd[_0xa534d4(0x1286)]=_0x2ad4ff,_0x3ed3fd['saveItem']=_0xc9d263,_0x3ed3fd[_0xa534d4(0x1177)]=_0x5da116,_0x3ed3fd['getSelectedVariable']=_0x251f88,_0x3ed3fd[_0xa534d4(0xda0)]=_0x5ba482,_0x404409[_0xa534d4(0x1822)][_0xa534d4(0xbf7)]({'nolimit':!![]})[_0xa534d4(0x1d77)][_0xa534d4(0x1cb0)](function(_0x1e6179){const _0x4beee5=_0xa534d4;return _0x3ed3fd['variables']=_0x1e6179['rows']?_0x1e6179[_0x4beee5(0x2214)]:[],_0x404409['voiceAgentReport'][_0x4beee5(0x1e57)]()[_0x4beee5(0x1d77)];})[_0xa534d4(0x1cb0)](function(_0x3a4de1){const _0x464796=_0xa534d4;return _0x3ed3fd[_0x464796(0xbf1)]=_0x39641b()[_0x464796(0x91f)](_0x39641b()[_0x464796(0x1be5)](_0x3a4de1),['$promise',_0x464796(0x248e),_0x464796(0x24cb),_0x464796(0x27ba),'sourceid']),_0x3ed3fd[_0x464796(0xbf1)][_0x464796(0x2785)]('recordingURL'),_0x404409[_0x464796(0x593)][_0x464796(0x1e57)]()['$promise'];})['then'](function(_0x5e6d2f){const _0x4a8708=_0xa534d4;_0x3ed3fd['voiceDialReportColumns']=_0x39641b()[_0x4a8708(0x91f)](_0x39641b()[_0x4a8708(0x1be5)](_0x5e6d2f),['$promise',_0x4a8708(0x248e),_0x4a8708(0x24cb),_0x4a8708(0x27ba),_0x4a8708(0x1867)]),_0x3ed3fd[_0x4a8708(0x1639)][_0x4a8708(0x2785)](_0x4a8708(0x2110));})['catch'](function(_0x4bca5a){const _0x1ca491=_0xa534d4;_0x436433[_0x1ca491(0x218e)]({'title':_0x4bca5a['status']?'API:'+_0x4bca5a[_0x1ca491(0x291)]+_0x1ca491(0x1657)+_0x4bca5a[_0x1ca491(0xc22)]:_0x1ca491(0x262e),'msg':_0x4bca5a['data']?JSON[_0x1ca491(0x2701)](_0x4bca5a[_0x1ca491(0x25c)]):_0x4bca5a[_0x1ca491(0x147f)]()});}),_0x404409[_0xa534d4(0xe0d)][_0xa534d4(0xc84)]({'id':_0x2ca736['id'],'ticketType':_0x416652['ticketType']?_0x416652['ticketType']:undefined})[_0xa534d4(0x1d77)][_0xa534d4(0x1cb0)](function(_0xefd91a){const _0x15a676=_0xa534d4;_0x3ed3fd[_0x15a676(0x1a28)]=!![],_0x3ed3fd[_0x15a676(0x355)]=_0xefd91a[_0x15a676(0x2214)]?_0xefd91a[_0x15a676(0x2214)]:[],_0x3ed3fd[_0x15a676(0x583)][_0x15a676(0x1e50)]&&(_0x3ed3fd[_0x15a676(0x1d5a)]=_0x39641b()[_0x15a676(0x13b4)](_0x3ed3fd[_0x15a676(0x355)],{'id':_0x3ed3fd['item'][_0x15a676(0x1e50)]}));})[_0xa534d4(0x1c4)](function(_0x510fab){const _0x2ac401=_0xa534d4;_0x3ed3fd[_0x2ac401(0x1a28)]=![],console[_0x2ac401(0x218e)](_0x510fab);});function _0x2ad4ff(){const _0x173c45=_0xa534d4;_0x3ed3fd[_0x173c45(0x1a7c)]=[],_0x3ed3fd[_0x173c45(0x1d5a)]&&(_0x3ed3fd['item']['idField']=_0x3ed3fd['customField']['id'],_0x3ed3fd['item']['nameField']=_0x3ed3fd[_0x173c45(0x1d5a)][_0x173c45(0x16b6)],_0x3ed3fd['item'][_0x173c45(0x1d5a)]=_0x3ed3fd['customField']['custom']),_0x404409[_0x173c45(0x4a3)][_0x173c45(0x1c3f)](_0x3ed3fd[_0x173c45(0x583)])[_0x173c45(0x1d77)][_0x173c45(0x1cb0)](function(_0x5b2d70){const _0x5ce300=_0x173c45;_0x3ed3fd['items']['unshift'](_0x5b2d70),_0x436433[_0x5ce300(0x829)]({'title':_0x39641b()['capitalize'](_0x441693)+_0x5ce300(0x220c),'msg':_0x39641b()['capitalize'](_0x441693)+_0x5ce300(0x470)}),_0x5ba482();})[_0x173c45(0x1c4)](function(_0x233ff9){const _0x22d5a0=_0x173c45;console[_0x22d5a0(0x218e)](_0x233ff9),_0x3ed3fd[_0x22d5a0(0x1a7c)]=_0x233ff9[_0x22d5a0(0x25c)]['errors']||[{'message':_0x233ff9[_0x22d5a0(0x147f)](),'type':'api.item.save'}];});}function _0xc9d263(){const _0x3d2f03=_0xa534d4;_0x3ed3fd['errors']=[],_0x3ed3fd[_0x3d2f03(0x1d5a)]&&(_0x3ed3fd[_0x3d2f03(0x583)][_0x3d2f03(0x1e50)]=_0x3ed3fd[_0x3d2f03(0x1d5a)]['id'],_0x3ed3fd[_0x3d2f03(0x583)][_0x3d2f03(0xc1f)]=_0x3ed3fd[_0x3d2f03(0x1d5a)]['name'],_0x3ed3fd[_0x3d2f03(0x583)][_0x3d2f03(0x1d5a)]=_0x3ed3fd[_0x3d2f03(0x1d5a)][_0x3d2f03(0x197c)]),_0x404409[_0x3d2f03(0x4a3)][_0x3d2f03(0x687)]({'id':_0x3ed3fd[_0x3d2f03(0x583)]['id']},_0x3ed3fd['item'])[_0x3d2f03(0x1d77)][_0x3d2f03(0x1cb0)](function(_0x48f0c8){const _0x460dde=_0x3d2f03,_0x42160f=_0x39641b()[_0x460dde(0x13b4)](_0x3ed3fd[_0x460dde(0x122f)],{'id':_0x48f0c8['id']});_0x42160f&&_0x39641b()[_0x460dde(0x9c1)](_0x42160f,_0x48f0c8),_0x436433[_0x460dde(0x829)]({'title':_0x39641b()[_0x460dde(0x432)](_0x441693)+_0x460dde(0xaf6),'msg':_0x39641b()[_0x460dde(0x432)](_0x441693)+'\x20has\x20been\x20saved!'}),_0x5ba482();})['catch'](function(_0xa43f06){const _0xd4b6fc=_0x3d2f03;console[_0xd4b6fc(0x218e)](_0xa43f06),_0x3ed3fd[_0xd4b6fc(0x1a7c)]=_0xa43f06[_0xd4b6fc(0x25c)]['errors']||[{'message':_0xa43f06[_0xd4b6fc(0x147f)](),'type':_0xd4b6fc(0x197e)}];});}function _0x5da116(_0xa4712b){const _0x160eb4=_0xa534d4;_0x3ed3fd[_0x160eb4(0x1a7c)]=[];const _0x3b3e84=_0x31ff60[_0x160eb4(0x1551)]()[_0x160eb4(0x1386)]('Are\x20you\x20sure?')['content'](_0x160eb4(0xd4c)+_0x441693+'\x20will\x20be\x20deleted.')[_0x160eb4(0x15ad)](_0x160eb4(0x1f6a)+_0x39641b()['capitalize'](_0x441693))['ok']('Delete')['cancel'](_0x160eb4(0xde1))['targetEvent'](_0xa4712b);_0x31ff60[_0x160eb4(0xe27)](_0x3b3e84)['then'](function(){const _0x1f6a54=_0x160eb4;_0x404409[_0x1f6a54(0x4a3)][_0x1f6a54(0x111d)]({'id':_0x3ed3fd['item']['id']})[_0x1f6a54(0x1d77)]['then'](function(){const _0x53a384=_0x1f6a54;_0x39641b()['remove'](_0x3ed3fd[_0x53a384(0x122f)],{'id':_0x3ed3fd['item']['id']}),_0x436433[_0x53a384(0x829)]({'title':_0x39641b()[_0x53a384(0x432)](_0x441693)+_0x53a384(0x1621),'msg':_0x39641b()[_0x53a384(0x432)](_0x441693)+_0x53a384(0x3f5)}),_0x5ba482();})['catch'](function(_0x5be4d9){const _0x2ad996=_0x1f6a54;console[_0x2ad996(0x218e)](_0x5be4d9),_0x3ed3fd[_0x2ad996(0x1a7c)]=_0x5be4d9['data'][_0x2ad996(0x1a7c)]||[{'message':_0x5be4d9['toString'](),'type':_0x2ad996(0x10e6)}];});},function(){});}function _0x251f88(){const _0x1e256d=_0xa534d4;return _0x3ed3fd[_0x1e256d(0x583)]['variableName']!==undefined?_0x3ed3fd[_0x1e256d(0x583)][_0x1e256d(0x1e71)]:'Please\x20select\x20a\x20variable';}function _0x5ba482(){const _0xfef6fc=_0xa534d4;_0x31ff60[_0xfef6fc(0x1426)]();}}const _0x125eb8=_0x339335;;_0x3c0273['$inject']=[_0x5537c6(0x406),_0x5537c6(0x21c8),'$mdDialog',_0x5537c6(0x22bf),'$translate',_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x142b),'toasty','Auth',_0x5537c6(0x1bc5),'userProfileSection'];function _0x3c0273(_0x507162,_0x1e3160,_0x3b5c2a,_0x1599de,_0x415817,_0x5e00ae,_0x148466,_0x2bdf6d,_0x23833a,_0x2f0f53,_0x9988b8,_0x5a458f){const _0x10fd4b=_0x5537c6,_0x30db0f=this;_0x30db0f[_0x10fd4b(0xe76)]=_0x2f0f53[_0x10fd4b(0x21e8)](),_0x30db0f[_0x10fd4b(0x8a5)]=_0x5e00ae,_0x30db0f['setting']=_0x148466,_0x30db0f['passwordPattern']=_0x30db0f[_0x10fd4b(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x30db0f['location']=_0x1e3160[_0x10fd4b(0x2276)]()+'://'+_0x1e3160[_0x10fd4b(0x17d8)](),_0x30db0f['vtigerAccount']=_0x9988b8||_0x507162[_0x10fd4b(0x1dfe)]['vtigerAccount']||{},_0x30db0f[_0x10fd4b(0x2199)]=_0x5a458f&&_0x5a458f[_0x10fd4b(0x184d)]==0x1?_0x5a458f[_0x10fd4b(0x2214)][0x0]:null,_0x30db0f['crudPermissions']=_0x2f0f53[_0x10fd4b(0x14ea)](_0x30db0f[_0x10fd4b(0x2199)]?_0x30db0f[_0x10fd4b(0x2199)][_0x10fd4b(0x1b1a)]:null),_0x30db0f[_0x10fd4b(0xf4c)]={},_0x30db0f[_0x10fd4b(0x8ec)]=_0x507162[_0x10fd4b(0x1dfe)][_0x10fd4b(0x291e)]||0x0,_0x30db0f[_0x10fd4b(0x494)]=_0x23833a[_0x10fd4b(0x28c7)],_0x30db0f['gotoVtigerAccounts']=_0x1a3b99,_0x30db0f[_0x10fd4b(0x2f8)]=_0x4639e5;function _0x1a3b99(){const _0x2e99c3=_0x10fd4b;_0x507162['go'](_0x2e99c3(0x2339),{},{'reload':_0x2e99c3(0x2339)});}function _0x4639e5(){const _0x2f6ee1=_0x10fd4b;_0x2bdf6d[_0x2f6ee1(0xe0d)]['update']({'id':_0x30db0f['vtigerAccount']['id']},_0x30db0f[_0x2f6ee1(0x1bc5)])[_0x2f6ee1(0x1d77)][_0x2f6ee1(0x1cb0)](function(){const _0x2a5121=_0x2f6ee1;_0x23833a[_0x2a5121(0x829)]({'title':_0x2a5121(0x2515),'msg':_0x30db0f['vtigerAccount'][_0x2a5121(0x16b6)]?_0x30db0f['vtigerAccount'][_0x2a5121(0x16b6)]+_0x2a5121(0x1068):''});})[_0x2f6ee1(0x1c4)](function(_0x260a44){const _0x570e6a=_0x2f6ee1;_0x23833a[_0x570e6a(0x218e)]({'title':_0x260a44['status']?_0x570e6a(0xeb9)+_0x260a44['status']+_0x570e6a(0x1657)+_0x260a44[_0x570e6a(0xc22)]:'SYSTEM:GETintVtigerAccount','msg':_0x260a44['data']?JSON['stringify'](_0x260a44[_0x570e6a(0x25c)]):_0x260a44[_0x570e6a(0x147f)]()});});}}const _0x134e65=_0x3c0273;;const _0x9224cd=_0x5074a3['p']+_0x5537c6(0xcfa);;_0x5e2a09['$inject']=[_0x5537c6(0x1463),'$window',_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),'$document',_0x5537c6(0x2168),_0x5537c6(0x1ae),'vtigerAccounts',_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x142b),_0x5537c6(0xde8),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x5e2a09(_0x18580b,_0x86d232,_0x4285af,_0x547dfd,_0x35724d,_0x14a0be,_0x9e6b1,_0x27a322,_0x579dee,_0x58ca6f,_0x3d1ffe,_0x4cf463,_0x499bbc,_0x5e50d2,_0x36d478,_0x49ad6e,_0x547728){const _0x2facce=_0x5537c6,_0x3cf7ab=this;_0x3cf7ab[_0x2facce(0x8a5)]=_0x49ad6e,_0x3cf7ab[_0x2facce(0x9ca)]=_0x547728,_0x3cf7ab['currentUser']=_0x36d478[_0x2facce(0x21e8)](),_0x3cf7ab['vtigerAccounts']=_0x579dee||{'count':0x0,'rows':[]},_0x3cf7ab[_0x2facce(0x44a)]=_0x58ca6f,_0x3cf7ab['userProfileSection']=_0x3d1ffe&&_0x3d1ffe[_0x2facce(0x184d)]==0x1?_0x3d1ffe[_0x2facce(0x2214)][0x0]:null,_0x3cf7ab[_0x2facce(0x1b1a)]=_0x36d478[_0x2facce(0x14ea)](_0x3cf7ab[_0x2facce(0x2199)]?_0x3cf7ab[_0x2facce(0x2199)][_0x2facce(0x1b1a)]:null),_0x3cf7ab[_0x2facce(0xc83)]='vtigerAccounts',_0x3cf7ab[_0x2facce(0x1d20)]='',_0x3cf7ab[_0x2facce(0x1cdf)]=null,_0x3cf7ab[_0x2facce(0x22a1)]=[],_0x3cf7ab[_0x2facce(0xae2)]={'fields':_0x2facce(0x2824),'limit':0xa,'page':0x1},_0x3cf7ab[_0x2facce(0x235d)]=_0x47bd5e,_0x3cf7ab['testtestintegrationaccount']=_0x2090e8,_0x3cf7ab['deleteconfirm']=_0x1d0d3c,_0x3cf7ab['success']=_0x808e4b,_0x3cf7ab[_0x2facce(0x168c)]=_0x323ebb,_0x3cf7ab[_0x2facce(0x304)]=_0x1e0556,_0x3cf7ab[_0x2facce(0x3a2)]=_0x35b4f2,_0x3cf7ab['exportSelectedVtigerAccounts']=_0x1d8aaf,_0x3cf7ab[_0x2facce(0x1628)]=_0x42186a,_0x3cf7ab['deselectVtigerAccounts']=_0x17b75b,_0x3cf7ab[_0x2facce(0x609)]=_0x3d071c;function _0x47bd5e(_0x424066){_0x4285af['go']('app.integrations.vtigerAccounts.edit',{'id':_0x424066['id'],'vtigerAccount':_0x424066,'crudPermissions':_0x3cf7ab['crudPermissions']});}function _0x2090e8(_0x10d446){const _0x3cee7e=_0x2facce;return _0x4cf463['intVtigerAccount'][_0x3cee7e(0xc84)]({'id':_0x10d446['id'],'test':!![]})[_0x3cee7e(0x1d77)]['then'](function(){const _0x5e50bd=_0x3cee7e;_0x5e50d2['success']({'title':_0x5e50bd(0x2261),'msg':_0x5e50bd(0x1bca)});})[_0x3cee7e(0x1c4)](function(_0xee9b6b){const _0x1f4c62=_0x3cee7e;_0x5e50d2['error']({'title':_0x1f4c62(0x2261),'msg':_0xee9b6b[_0x1f4c62(0x25c)]?_0xee9b6b[_0x1f4c62(0x25c)][_0x1f4c62(0x155e)]?_0xee9b6b[_0x1f4c62(0x25c)][_0x1f4c62(0x155e)]:JSON['stringify'](_0xee9b6b['data']):_0xee9b6b['toString']()});});}function _0x1d0d3c(_0x352852,_0x51ac5e){const _0x6741d4=_0x2facce,_0x226b9e=_0x35724d[_0x6741d4(0x1551)]()[_0x6741d4(0x1386)](_0x6741d4(0x140b)+_0x39641b()[_0x6741d4(0xa75)](_0x6741d4(0x1bc5))+'?')[_0x6741d4(0x49e)](_0x6741d4(0x204d)+(_0x352852[_0x6741d4(0x16b6)]||_0x6741d4(0x1bc5))+_0x6741d4(0x1200)+_0x6741d4(0x1b6))[_0x6741d4(0x15ad)](_0x6741d4(0x2655))[_0x6741d4(0x728)](_0x51ac5e)['ok']('OK')[_0x6741d4(0x696)](_0x6741d4(0x24ba));_0x35724d[_0x6741d4(0xe27)](_0x226b9e)[_0x6741d4(0x1cb0)](function(){_0x35b4f2(_0x352852);},function(){console['log']('CANCEL');});}let _0x3835db=!![],_0x5788ba=0x1;_0x18580b[_0x2facce(0x614)](_0x2facce(0x957),function(_0x3e80df,_0x51034d){const _0x1e02a8=_0x2facce;_0x3835db?_0x9e6b1(function(){_0x3835db=![];}):(!_0x51034d&&(_0x5788ba=_0x3cf7ab[_0x1e02a8(0xae2)][_0x1e02a8(0x1c7b)]),_0x3e80df!==_0x51034d&&(_0x3cf7ab[_0x1e02a8(0xae2)]['page']=0x1),!_0x3e80df&&(_0x3cf7ab[_0x1e02a8(0xae2)][_0x1e02a8(0x1c7b)]=_0x5788ba),_0x3cf7ab['getVtigerAccounts']());});function _0x808e4b(_0x234358){const _0x2d79e5=_0x2facce;_0x3cf7ab[_0x2d79e5(0x23e)]=_0x234358||{'count':0x0,'rows':[]};}function _0x323ebb(){const _0x316af4=_0x2facce;_0x3cf7ab[_0x316af4(0xae2)]['offset']=(_0x3cf7ab[_0x316af4(0xae2)][_0x316af4(0x1c7b)]-0x1)*_0x3cf7ab['query'][_0x316af4(0x236)],_0x36d478[_0x316af4(0x22b6)]('admin')?_0x3cf7ab['promise']=_0x4cf463['intVtigerAccount'][_0x316af4(0xbf7)](_0x3cf7ab['query'],_0x808e4b)[_0x316af4(0x1d77)]:(_0x3cf7ab[_0x316af4(0xae2)]['id']=_0x3cf7ab[_0x316af4(0x44a)]['id'],_0x3cf7ab[_0x316af4(0xae2)]['section']=_0x316af4(0x1bcd),_0x3cf7ab['promise']=_0x4cf463['userProfile']['getResources'](_0x3cf7ab['query'],_0x808e4b)[_0x316af4(0x1d77)]);}function _0x1e0556(_0x2bfa6f,_0xc4043a){const _0x3f5444=_0x2facce;_0x35724d[_0x3f5444(0xe27)]({'controller':_0x3f5444(0x1f62),'controllerAs':'vm','templateUrl':_0x9224cd,'parent':angular[_0x3f5444(0x1853)](_0x14a0be[_0x3f5444(0x1ed9)]),'targetEvent':_0x2bfa6f,'clickOutsideToClose':!![],'locals':{'vtigerAccount':_0xc4043a,'vtigerAccounts':_0x3cf7ab[_0x3f5444(0x23e)][_0x3f5444(0x2214)],'license':_0x3cf7ab[_0x3f5444(0x8a5)],'setting':_0x3cf7ab[_0x3f5444(0x9ca)],'crudPermissions':_0x3cf7ab[_0x3f5444(0x1b1a)]}});}function _0x35b4f2(_0x253ce2){const _0x4db5a7=_0x2facce;_0x4cf463[_0x4db5a7(0xe0d)][_0x4db5a7(0x111d)]({'id':_0x253ce2['id']})[_0x4db5a7(0x1d77)][_0x4db5a7(0x1cb0)](function(){const _0x565b8b=_0x4db5a7;_0x39641b()[_0x565b8b(0x152a)](_0x3cf7ab['vtigerAccounts'][_0x565b8b(0x2214)],{'id':_0x253ce2['id']}),_0x3cf7ab[_0x565b8b(0x23e)][_0x565b8b(0x184d)]-=0x1,!_0x3cf7ab[_0x565b8b(0x23e)][_0x565b8b(0x2214)][_0x565b8b(0xfd0)]&&_0x3cf7ab[_0x565b8b(0x168c)](),_0x5e50d2[_0x565b8b(0x829)]({'title':_0x39641b()[_0x565b8b(0xa75)](_0x565b8b(0x87b))+_0x565b8b(0x2663),'msg':_0x253ce2['name']?_0x253ce2['name']+_0x565b8b(0x3f5):''});})[_0x4db5a7(0x1c4)](function(_0x1996c9){const _0x1d8cb9=_0x4db5a7;if(_0x1996c9['data']&&_0x1996c9[_0x1d8cb9(0x25c)]['errors']&&_0x1996c9[_0x1d8cb9(0x25c)][_0x1d8cb9(0x1a7c)][_0x1d8cb9(0xfd0)]){_0x3cf7ab[_0x1d8cb9(0x1a7c)]=_0x1996c9[_0x1d8cb9(0x25c)][_0x1d8cb9(0x1a7c)]||[{'message':_0x1996c9[_0x1d8cb9(0x147f)](),'type':_0x1d8cb9(0x202f)}];for(let _0x2c4e1f=0x0;_0x2c4e1f<_0x1996c9['data'][_0x1d8cb9(0x1a7c)][_0x1d8cb9(0xfd0)];_0x2c4e1f++){_0x5e50d2['error']({'title':_0x1996c9[_0x1d8cb9(0x25c)]['errors'][_0x2c4e1f][_0x1d8cb9(0x66a)],'msg':_0x1996c9[_0x1d8cb9(0x25c)][_0x1d8cb9(0x1a7c)][_0x2c4e1f][_0x1d8cb9(0x155e)]});}}else _0x5e50d2[_0x1d8cb9(0x218e)]({'title':_0x1996c9[_0x1d8cb9(0x291)]?'API:'+_0x1996c9['status']+_0x1d8cb9(0x1657)+_0x1996c9[_0x1d8cb9(0xc22)]:_0x1d8cb9(0x202f),'msg':_0x1996c9[_0x1d8cb9(0x25c)]?JSON[_0x1d8cb9(0x2701)](_0x1996c9[_0x1d8cb9(0x25c)][_0x1d8cb9(0x155e)]):_0x1996c9['message']||_0x1996c9[_0x1d8cb9(0x147f)]()});});}function _0x1d8aaf(){const _0x5d154b=_0x2facce,_0x4fc7c9=angular[_0x5d154b(0x17fe)](_0x3cf7ab[_0x5d154b(0x22a1)]);return _0x3cf7ab['selectedVtigerAccounts']=[],_0x4fc7c9;}function _0x42186a(_0x4b5106){const _0x46e9c0=_0x2facce,_0x203c98=_0x35724d[_0x46e9c0(0x1551)]()[_0x46e9c0(0x1386)](_0x46e9c0(0x1fc3))[_0x46e9c0(0x49e)](_0x46e9c0(0x204d)+_0x3cf7ab['selectedVtigerAccounts'][_0x46e9c0(0xfd0)]+_0x46e9c0(0x1d6c)+_0x46e9c0(0x1b6))[_0x46e9c0(0x15ad)](_0x46e9c0(0x1d36))[_0x46e9c0(0x728)](_0x4b5106)['ok']('OK')['cancel'](_0x46e9c0(0x24ba));_0x35724d[_0x46e9c0(0xe27)](_0x203c98)[_0x46e9c0(0x1cb0)](function(){const _0x4690a7=_0x46e9c0;_0x3cf7ab['selectedVtigerAccounts']['forEach'](function(_0x55b4f9){_0x35b4f2(_0x55b4f9);}),_0x3cf7ab[_0x4690a7(0x22a1)]=[];});}function _0x17b75b(){_0x3cf7ab['selectedVtigerAccounts']=[];}function _0x3d071c(){const _0x5e40bc=_0x2facce;_0x3cf7ab[_0x5e40bc(0x22a1)]=_0x3cf7ab[_0x5e40bc(0x23e)][_0x5e40bc(0x2214)];}}const _0x259531=_0x5e2a09;;_0x2b7e2f[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),'$mdDialog','$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x1c64),_0x5537c6(0x15fb),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca),'crudPermissions'];function _0x2b7e2f(_0x283608,_0x637d83,_0x316f2d,_0x19ea6e,_0x24f37f,_0x31fdbf,_0xfc6c3c,_0x3b15cc,_0x2a8cac,_0xd69bca,_0xe298b7,_0x1800ba,_0x184f56,_0x49e274){const _0x547507=_0x5537c6,_0x2455e6=this;_0x2455e6[_0x547507(0xe76)]=_0xe298b7[_0x547507(0x21e8)](),_0x2455e6[_0x547507(0x1a7c)]=[],_0x2455e6[_0x547507(0x9ca)]=_0x184f56,_0x2455e6[_0x547507(0x8a5)]=_0x1800ba,_0x2455e6[_0x547507(0x1b1a)]=_0x49e274,_0x2455e6[_0x547507(0xf4c)]={},_0x2455e6[_0x547507(0x1b0c)]=_0x2455e6['setting']&&_0x2455e6[_0x547507(0x9ca)][_0x547507(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x2455e6[_0x547507(0x1386)]=_0x547507(0xd94),_0x2455e6['zendeskAccount']=angular[_0x547507(0x17fe)](_0x2a8cac),_0x2455e6['zendeskAccounts']=_0x3b15cc,_0x2455e6[_0x547507(0x29dd)]=![];!_0x2455e6[_0x547507(0x15fb)]&&(_0x2455e6[_0x547507(0x15fb)]={'type':_0x547507(0x1b55),'authType':'password','serverUrl':_0x316f2d[_0x547507(0x2276)]()+'://'+_0x316f2d[_0x547507(0x17d8)]()+(_0x316f2d[_0x547507(0x477)]()?':'+_0x316f2d['port']():'')},_0x2455e6[_0x547507(0x1386)]=_0x547507(0x1a4),_0x2455e6['newZendeskAccount']=!![]);_0x2455e6['addNewZendeskAccount']=_0x22b63d,_0x2455e6['saveZendeskAccount']=_0x36d754,_0x2455e6[_0x547507(0x94a)]=_0x350058,_0x2455e6[_0x547507(0x2c4)]=_0x203a21,_0x2455e6[_0x547507(0xda0)]=_0x581f5d;function _0x22b63d(){const _0x446c82=_0x547507;_0x2455e6[_0x446c82(0x1a7c)]=[],_0xd69bca[_0x446c82(0x17a8)]['save'](_0x2455e6['zendeskAccount'])[_0x446c82(0x1d77)][_0x446c82(0x1cb0)](function(_0x2d24be){const _0x4da5e1=_0x446c82;_0x2455e6[_0x4da5e1(0x1c64)][_0x4da5e1(0xf63)](_0x2d24be[_0x4da5e1(0x19b2)]()),_0xfc6c3c[_0x4da5e1(0x829)]({'title':_0x4da5e1(0x10b4),'msg':_0x2455e6['zendeskAccount'][_0x4da5e1(0x16b6)]?_0x2455e6[_0x4da5e1(0x15fb)][_0x4da5e1(0x16b6)]+'\x20has\x20been\x20created!':''}),_0x581f5d(_0x2d24be);})[_0x446c82(0x1c4)](function(_0x2e3621){const _0x52aa92=_0x446c82;if(_0x2e3621[_0x52aa92(0x25c)]&&_0x2e3621[_0x52aa92(0x25c)][_0x52aa92(0x1a7c)]&&_0x2e3621[_0x52aa92(0x25c)][_0x52aa92(0x1a7c)][_0x52aa92(0xfd0)]){_0x2455e6[_0x52aa92(0x1a7c)]=_0x2e3621[_0x52aa92(0x25c)][_0x52aa92(0x1a7c)]||[{'message':_0x2e3621[_0x52aa92(0x147f)](),'type':'api.intZendeskAccount.save'}];for(let _0x43716e=0x0;_0x43716e<_0x2e3621[_0x52aa92(0x25c)]['errors'][_0x52aa92(0xfd0)];_0x43716e+=0x1){_0xfc6c3c['error']({'title':_0x2e3621[_0x52aa92(0x25c)][_0x52aa92(0x1a7c)][_0x43716e][_0x52aa92(0x66a)],'msg':_0x2e3621[_0x52aa92(0x25c)][_0x52aa92(0x1a7c)][_0x43716e][_0x52aa92(0x155e)]});}}else _0xfc6c3c[_0x52aa92(0x218e)]({'title':_0x2e3621[_0x52aa92(0x291)]?_0x52aa92(0xeb9)+_0x2e3621[_0x52aa92(0x291)]+'\x20-\x20'+_0x2e3621[_0x52aa92(0xc22)]:'api.intZendeskAccount.save','msg':_0x2e3621['data']?JSON['stringify'](_0x2e3621['data'][_0x52aa92(0x155e)]):_0x2e3621[_0x52aa92(0x147f)]()});});}function _0x36d754(){const _0x58a0f9=_0x547507;_0x2455e6['errors']=[],_0xd69bca['intZendeskAccount'][_0x58a0f9(0x687)]({'id':_0x2455e6[_0x58a0f9(0x15fb)]['id']},_0x2455e6['zendeskAccount'])[_0x58a0f9(0x1d77)]['then'](function(_0x1be04a){const _0xb8b71=_0x58a0f9,_0x21bef9=_0x39641b()[_0xb8b71(0x13b4)](_0x2455e6[_0xb8b71(0x1c64)],{'id':_0x1be04a['id']});_0x21bef9&&_0x39641b()[_0xb8b71(0x9c1)](_0x21bef9,_0x39641b()[_0xb8b71(0x169b)](_0x1be04a[_0xb8b71(0x19b2)](),_0x39641b()[_0xb8b71(0x1be5)](_0x21bef9))),_0xfc6c3c['success']({'title':_0xb8b71(0x175c),'msg':_0x2455e6['zendeskAccount'][_0xb8b71(0x16b6)]?_0x2455e6[_0xb8b71(0x15fb)][_0xb8b71(0x16b6)]+'\x20has\x20been\x20saved!':''}),_0x581f5d(_0x1be04a);})[_0x58a0f9(0x1c4)](function(_0x4423d4){const _0x2f2e09=_0x58a0f9;if(_0x4423d4[_0x2f2e09(0x25c)]&&_0x4423d4[_0x2f2e09(0x25c)]['errors']&&_0x4423d4[_0x2f2e09(0x25c)][_0x2f2e09(0x1a7c)]['length']){_0x2455e6[_0x2f2e09(0x1a7c)]=_0x4423d4[_0x2f2e09(0x25c)]['errors']||[{'message':_0x4423d4[_0x2f2e09(0x147f)](),'type':_0x2f2e09(0x2323)}];for(let _0x414b15=0x0;_0x414b15<_0x4423d4[_0x2f2e09(0x25c)][_0x2f2e09(0x1a7c)][_0x2f2e09(0xfd0)];_0x414b15++){_0xfc6c3c['error']({'title':_0x4423d4[_0x2f2e09(0x25c)][_0x2f2e09(0x1a7c)][_0x414b15][_0x2f2e09(0x66a)],'msg':_0x4423d4['data'][_0x2f2e09(0x1a7c)][_0x414b15]['message']});}}else _0xfc6c3c[_0x2f2e09(0x218e)]({'title':_0x4423d4[_0x2f2e09(0x291)]?_0x2f2e09(0xeb9)+_0x4423d4[_0x2f2e09(0x291)]+_0x2f2e09(0x1657)+_0x4423d4[_0x2f2e09(0xc22)]:'api.intZendeskAccount.update','msg':_0x4423d4[_0x2f2e09(0x25c)]?JSON[_0x2f2e09(0x2701)](_0x4423d4[_0x2f2e09(0x25c)][_0x2f2e09(0x155e)]):_0x4423d4[_0x2f2e09(0x147f)]()});});}function _0x350058(_0x30c795){const _0x2f5ec2=_0x547507;_0x2455e6[_0x2f5ec2(0x1a7c)]=[];const _0x2e1aff=_0x19ea6e[_0x2f5ec2(0x1551)]()[_0x2f5ec2(0x1386)]('Are\x20you\x20sure?')[_0x2f5ec2(0x862)](_0x2f5ec2(0xf3f))[_0x2f5ec2(0x15ad)](_0x2f5ec2(0x236c))['ok'](_0x2f5ec2(0x2594))[_0x2f5ec2(0x696)]('Cancel')['targetEvent'](_0x30c795);_0x19ea6e[_0x2f5ec2(0xe27)](_0x2e1aff)['then'](function(){const _0x5c7ec2=_0x2f5ec2;_0xd69bca[_0x5c7ec2(0x17a8)][_0x5c7ec2(0x111d)]({'id':_0x2455e6['zendeskAccount']['id']})[_0x5c7ec2(0x1d77)][_0x5c7ec2(0x1cb0)](function(){const _0x5c334b=_0x5c7ec2;_0x39641b()[_0x5c334b(0x152a)](_0x2455e6['zendeskAccounts'],{'id':_0x2455e6[_0x5c334b(0x15fb)]['id']}),_0xfc6c3c[_0x5c334b(0x829)]({'title':_0x5c334b(0x1074),'msg':(_0x2455e6[_0x5c334b(0x15fb)][_0x5c334b(0x16b6)]||'zendeskAccount')+_0x5c334b(0x3f5)}),_0x581f5d(_0x2455e6['zendeskAccount']);})[_0x5c7ec2(0x1c4)](function(_0x5d9d49){const _0xcb3720=_0x5c7ec2;if(_0x5d9d49[_0xcb3720(0x25c)]&&_0x5d9d49[_0xcb3720(0x25c)][_0xcb3720(0x1a7c)]&&_0x5d9d49[_0xcb3720(0x25c)][_0xcb3720(0x1a7c)][_0xcb3720(0xfd0)]){_0x2455e6[_0xcb3720(0x1a7c)]=_0x5d9d49[_0xcb3720(0x25c)][_0xcb3720(0x1a7c)]||[{'message':_0x5d9d49[_0xcb3720(0x147f)](),'type':_0xcb3720(0xb9d)}];for(let _0x4ea7f5=0x0;_0x4ea7f5<_0x5d9d49[_0xcb3720(0x25c)]['errors'][_0xcb3720(0xfd0)];_0x4ea7f5++){_0xfc6c3c['error']({'title':_0x5d9d49[_0xcb3720(0x25c)]['errors'][_0x4ea7f5][_0xcb3720(0x66a)],'msg':_0x5d9d49[_0xcb3720(0x25c)][_0xcb3720(0x1a7c)][_0x4ea7f5][_0xcb3720(0x155e)]});}}else _0xfc6c3c[_0xcb3720(0x218e)]({'title':_0x5d9d49['status']?'API:'+_0x5d9d49[_0xcb3720(0x291)]+_0xcb3720(0x1657)+_0x5d9d49['statusText']:_0xcb3720(0xb9d),'msg':_0x5d9d49[_0xcb3720(0x25c)]?JSON[_0xcb3720(0x2701)](_0x5d9d49[_0xcb3720(0x25c)]['message']):_0x5d9d49['message']||_0x5d9d49[_0xcb3720(0x147f)]()});});},function(){});}function _0x203a21(_0x2c0d5a){return _0x2c0d5a===null?undefined:new Date(_0x2c0d5a);}function _0x581f5d(_0x20ae1e){const _0x43b2ff=_0x547507;_0x19ea6e[_0x43b2ff(0x1426)](_0x20ae1e);}}const _0x4ad1b2=_0x2b7e2f;;_0x4e9032['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x9bf),'configuration',_0x5537c6(0x122f),_0x5537c6(0x583),'account',_0x5537c6(0x66a),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x4e9032(_0x1dd228,_0x500fce,_0x59e2b3,_0x102463,_0x323d32,_0x4a88c2,_0x2cc2ff,_0x423a99,_0x36e23c,_0xa73fe2,_0x23facd,_0x190a56,_0x1fc491){const _0xb1920c=_0x5537c6,_0x2ff643=this;_0x2ff643[_0xb1920c(0xe76)]=_0x190a56[_0xb1920c(0x21e8)](),_0x2ff643['errors']=[],_0x2ff643[_0xb1920c(0x1386)]=_0xb1920c(0xf08)+_0x39641b()[_0xb1920c(0x1002)](_0xa73fe2),_0x2ff643[_0xb1920c(0x583)]=angular['copy'](_0x423a99),_0x2ff643['items']=_0x2cc2ff,_0x2ff643[_0xb1920c(0x66a)]=_0xa73fe2,_0x2ff643['crudPermissions']=_0x1fc491,_0x2ff643['newItem']=![];!_0x2ff643['item']&&(_0x2ff643['item']={'type':'string'},_0x2ff643['item'][_0x39641b()['capitalize'](_0xa73fe2)+'Id']=_0x4a88c2['id'],_0x2ff643[_0xb1920c(0x1386)]=_0xb1920c(0x15dd)+_0x39641b()[_0xb1920c(0x1002)](_0xa73fe2),_0x2ff643[_0xb1920c(0x1202)]=!![]);_0x2ff643[_0xb1920c(0x1286)]=_0x552f79,_0x2ff643[_0xb1920c(0x1a78)]=_0x559057,_0x2ff643[_0xb1920c(0x1177)]=_0x5f4bd5,_0x2ff643[_0xb1920c(0x2f6)]=_0x17f1f7,_0x2ff643[_0xb1920c(0xda0)]=_0x89c3a7,_0x23facd[_0xb1920c(0x1822)][_0xb1920c(0xbf7)]({'nolimit':!![]})[_0xb1920c(0x1d77)][_0xb1920c(0x1cb0)](function(_0x2ec858){const _0x2dbfcc=_0xb1920c;return _0x2ff643[_0x2dbfcc(0x9a9)]=_0x2ec858[_0x2dbfcc(0x2214)]?_0x2ec858[_0x2dbfcc(0x2214)]:[],_0x23facd['voiceAgentReport'][_0x2dbfcc(0x1e57)]()['$promise'];})['then'](function(_0x375456){const _0x10000b=_0xb1920c;return _0x2ff643[_0x10000b(0xbf1)]=_0x39641b()[_0x10000b(0x91f)](_0x39641b()[_0x10000b(0x1be5)](_0x375456),[_0x10000b(0x1d77),_0x10000b(0x248e),_0x10000b(0x24cb),_0x10000b(0x27ba),_0x10000b(0x1867)]),_0x2ff643['voiceAgentReportColumns']['push'](_0x10000b(0x2110)),_0x23facd['voiceDialReport'][_0x10000b(0x1e57)]()['$promise'];})['then'](function(_0x461d10){const _0x4c0351=_0xb1920c;_0x2ff643[_0x4c0351(0x1639)]=_0x39641b()[_0x4c0351(0x91f)](_0x39641b()[_0x4c0351(0x1be5)](_0x461d10),[_0x4c0351(0x1d77),_0x4c0351(0x248e),'createdAt',_0x4c0351(0x27ba),_0x4c0351(0x1867)]),_0x2ff643[_0x4c0351(0x1639)][_0x4c0351(0x2785)](_0x4c0351(0x2110));})['catch'](function(_0x423613){const _0x1c48a9=_0xb1920c;_0x323d32[_0x1c48a9(0x218e)]({'title':_0x423613[_0x1c48a9(0x291)]?'API:'+_0x423613['status']+_0x1c48a9(0x1657)+_0x423613['statusText']:'SYSTEM:GETVARIABLES','msg':_0x423613[_0x1c48a9(0x25c)]?JSON['stringify'](_0x423613[_0x1c48a9(0x25c)]):_0x423613[_0x1c48a9(0x147f)]()});}),_0x23facd[_0xb1920c(0x17a8)][_0xb1920c(0xc84)]({'id':_0x36e23c['id'],'ticketType':_0x4a88c2[_0xb1920c(0x161)]?_0x4a88c2[_0xb1920c(0x161)]:undefined})[_0xb1920c(0x1d77)][_0xb1920c(0x1cb0)](function(_0x7b788b){const _0x2c4840=_0xb1920c;_0x2ff643[_0x2c4840(0x1a28)]=!![],_0x2ff643[_0x2c4840(0x355)]=_0x7b788b['rows']?_0x7b788b[_0x2c4840(0x2214)]:[],_0x2ff643['item'][_0x2c4840(0x1e50)]&&(_0x2ff643[_0x2c4840(0x1d5a)]=_0x39641b()[_0x2c4840(0x13b4)](_0x2ff643['fields'],{'id':_0x2ff643[_0x2c4840(0x583)][_0x2c4840(0x1e50)]}));})['catch'](function(_0x64683c){const _0x5033a9=_0xb1920c;_0x2ff643[_0x5033a9(0x1a28)]=![],console[_0x5033a9(0x218e)](_0x64683c);});function _0x552f79(){const _0x3be815=_0xb1920c;_0x2ff643[_0x3be815(0x1a7c)]=[],_0x2ff643[_0x3be815(0x1d5a)]&&(_0x2ff643[_0x3be815(0x583)][_0x3be815(0x1e50)]=_0x2ff643[_0x3be815(0x1d5a)]['id'],_0x2ff643[_0x3be815(0x583)][_0x3be815(0xc1f)]=_0x2ff643[_0x3be815(0x1d5a)][_0x3be815(0x16b6)],_0x2ff643[_0x3be815(0x583)][_0x3be815(0x1d5a)]=_0x2ff643['customField']['custom']),_0x23facd['intZendeskField']['save'](_0x2ff643[_0x3be815(0x583)])[_0x3be815(0x1d77)]['then'](function(_0x54957e){const _0x321ab8=_0x3be815;_0x2ff643[_0x321ab8(0x122f)][_0x321ab8(0xf63)](_0x54957e),_0x323d32[_0x321ab8(0x829)]({'title':_0x39641b()[_0x321ab8(0x432)](_0xa73fe2)+_0x321ab8(0x220c),'msg':_0x39641b()[_0x321ab8(0x432)](_0xa73fe2)+_0x321ab8(0x470)}),_0x89c3a7();})[_0x3be815(0x1c4)](function(_0x3826ed){const _0x508352=_0x3be815;console[_0x508352(0x218e)](_0x3826ed),_0x2ff643['errors']=_0x3826ed[_0x508352(0x25c)][_0x508352(0x1a7c)]||[{'message':_0x3826ed['toString'](),'type':_0x508352(0x1876)}];});}function _0x559057(){const _0x40f554=_0xb1920c;_0x2ff643[_0x40f554(0x1a7c)]=[],_0x2ff643[_0x40f554(0x1d5a)]&&(_0x2ff643[_0x40f554(0x583)][_0x40f554(0x1e50)]=_0x2ff643['customField']['id'],_0x2ff643[_0x40f554(0x583)][_0x40f554(0xc1f)]=_0x2ff643[_0x40f554(0x1d5a)][_0x40f554(0x16b6)],_0x2ff643['item'][_0x40f554(0x1d5a)]=_0x2ff643[_0x40f554(0x1d5a)][_0x40f554(0x197c)]),_0x23facd[_0x40f554(0x24cd)][_0x40f554(0x687)]({'id':_0x2ff643[_0x40f554(0x583)]['id']},_0x2ff643['item'])[_0x40f554(0x1d77)][_0x40f554(0x1cb0)](function(_0x25063b){const _0x133d54=_0x40f554,_0x20d285=_0x39641b()['find'](_0x2ff643['items'],{'id':_0x25063b['id']});_0x20d285&&_0x39641b()['merge'](_0x20d285,_0x25063b),_0x323d32[_0x133d54(0x829)]({'title':_0x39641b()[_0x133d54(0x432)](_0xa73fe2)+'\x20properly\x20saved!','msg':_0x39641b()[_0x133d54(0x432)](_0xa73fe2)+_0x133d54(0xedb)}),_0x89c3a7();})[_0x40f554(0x1c4)](function(_0x598d38){const _0x179067=_0x40f554;console[_0x179067(0x218e)](_0x598d38),_0x2ff643[_0x179067(0x1a7c)]=_0x598d38[_0x179067(0x25c)]['errors']||[{'message':_0x598d38['toString'](),'type':_0x179067(0x197e)}];});}function _0x5f4bd5(_0x185235){const _0x1aa18a=_0xb1920c;_0x2ff643[_0x1aa18a(0x1a7c)]=[];const _0x7b117b=_0x102463[_0x1aa18a(0x1551)]()['title'](_0x1aa18a(0x1a2e))[_0x1aa18a(0x862)](_0x1aa18a(0xd4c)+_0xa73fe2+_0x1aa18a(0x1b6))[_0x1aa18a(0x15ad)](_0x1aa18a(0x1f6a)+_0x39641b()[_0x1aa18a(0x432)](_0xa73fe2))['ok'](_0x1aa18a(0x2594))[_0x1aa18a(0x696)](_0x1aa18a(0xde1))[_0x1aa18a(0x728)](_0x185235);_0x102463['show'](_0x7b117b)[_0x1aa18a(0x1cb0)](function(){const _0x166414=_0x1aa18a;_0x23facd[_0x166414(0x24cd)]['delete']({'id':_0x2ff643[_0x166414(0x583)]['id']})['$promise']['then'](function(){const _0x2e5c3c=_0x166414;_0x39641b()['remove'](_0x2ff643[_0x2e5c3c(0x122f)],{'id':_0x2ff643[_0x2e5c3c(0x583)]['id']}),_0x323d32['success']({'title':_0x39641b()['capitalize'](_0xa73fe2)+'\x20properly\x20deleted!','msg':_0x39641b()[_0x2e5c3c(0x432)](_0xa73fe2)+_0x2e5c3c(0x3f5)}),_0x89c3a7();})[_0x166414(0x1c4)](function(_0x3ce531){const _0x2be4ab=_0x166414;console[_0x2be4ab(0x218e)](_0x3ce531),_0x2ff643['errors']=_0x3ce531[_0x2be4ab(0x25c)]['errors']||[{'message':_0x3ce531[_0x2be4ab(0x147f)](),'type':_0x2be4ab(0x10e6)}];});},function(){});}function _0x17f1f7(){const _0x4aacfa=_0xb1920c;return _0x2ff643[_0x4aacfa(0x583)][_0x4aacfa(0x1e71)]!==undefined?_0x2ff643[_0x4aacfa(0x583)][_0x4aacfa(0x1e71)]:_0x4aacfa(0x7c8);}function _0x89c3a7(){const _0x59f08d=_0xb1920c;_0x102463[_0x59f08d(0x1426)]();}}const _0x1ad9ac=_0x4e9032;;_0xbece50['$inject']=[_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x1ae),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x142b),'toasty',_0x5537c6(0x1774),_0x5537c6(0x15fb),_0x5537c6(0x2199)];function _0xbece50(_0x1a29b4,_0xf42402,_0x1c35dd,_0x6397cb,_0x32d7d5,_0x47bc09,_0xa0989,_0x19a2ba,_0x255ac2,_0x114965,_0x2c51d9,_0x58310a){const _0xf36a23=_0x5537c6,_0x3c6386=this;_0x3c6386['currentUser']=_0x114965[_0xf36a23(0x21e8)](),_0x3c6386[_0xf36a23(0x8a5)]=_0x47bc09,_0x3c6386['setting']=_0xa0989,_0x3c6386[_0xf36a23(0x1b0c)]=_0x3c6386[_0xf36a23(0x9ca)][_0xf36a23(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x3c6386[_0xf36a23(0x2404)]=_0xf42402[_0xf36a23(0x2276)]()+_0xf36a23(0x138b)+_0xf42402[_0xf36a23(0x17d8)](),_0x3c6386[_0xf36a23(0x15fb)]=_0x2c51d9||_0x1a29b4['params'][_0xf36a23(0x15fb)]||{},_0x3c6386[_0xf36a23(0x2199)]=_0x58310a&&_0x58310a['count']==0x1?_0x58310a[_0xf36a23(0x2214)][0x0]:null,_0x3c6386[_0xf36a23(0x1b1a)]=_0x114965['parseCrudPermissions'](_0x3c6386[_0xf36a23(0x2199)]?_0x3c6386[_0xf36a23(0x2199)][_0xf36a23(0x1b1a)]:null),_0x3c6386[_0xf36a23(0xf4c)]={},_0x3c6386['selectedTab']=_0x1a29b4[_0xf36a23(0x1dfe)]['tab']||0x0,_0x3c6386[_0xf36a23(0x494)]=_0x255ac2[_0xf36a23(0x28c7)],_0x3c6386[_0xf36a23(0x1ca8)]=_0x3731bf,_0x3c6386[_0xf36a23(0x18e3)]=_0x11e29a;function _0x3731bf(){_0x1a29b4['go']('app.integrations.zendeskAccounts',{},{'reload':'app.integrations.zendeskAccounts'});}function _0x11e29a(){const _0x1b06b3=_0xf36a23;_0x19a2ba[_0x1b06b3(0x17a8)][_0x1b06b3(0x687)]({'id':_0x3c6386[_0x1b06b3(0x15fb)]['id']},_0x3c6386[_0x1b06b3(0x15fb)])[_0x1b06b3(0x1d77)][_0x1b06b3(0x1cb0)](function(){const _0x136671=_0x1b06b3;_0x255ac2['success']({'title':_0x136671(0xe87),'msg':_0x3c6386[_0x136671(0x15fb)][_0x136671(0x16b6)]?_0x3c6386['zendeskAccount']['name']+_0x136671(0x1068):''});})[_0x1b06b3(0x1c4)](function(_0x22f06f){const _0x5cc1d1=_0x1b06b3;_0x255ac2[_0x5cc1d1(0x218e)]({'title':_0x22f06f[_0x5cc1d1(0x291)]?_0x5cc1d1(0xeb9)+_0x22f06f['status']+_0x5cc1d1(0x1657)+_0x22f06f[_0x5cc1d1(0xc22)]:_0x5cc1d1(0x1ba4),'msg':_0x22f06f['data']?JSON[_0x5cc1d1(0x2701)](_0x22f06f[_0x5cc1d1(0x25c)]):_0x22f06f['toString']()});});}}const _0x40db45=_0xbece50;;const _0x464144=_0x5074a3['p']+_0x5537c6(0x36a);;const _0x3507b0=_0x5074a3['p']+_0x5537c6(0x1b42);;_0x5656fc[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x1774)];function _0x5656fc(_0x4cbd5c,_0x4bc6f9,_0x608efd,_0x1c3e6a,_0x5e209f){const _0x33c529=_0x5537c6,_0x38eb2e=this;_0x38eb2e[_0x33c529(0xe76)]=_0x5e209f['getCurrentUser'](),_0x38eb2e[_0x33c529(0x15fb)]={},_0x38eb2e[_0x33c529(0x23bb)]={},_0x38eb2e[_0x33c529(0x229a)]=[],_0x38eb2e[_0x33c529(0x1f04)]=-0x1,_0x38eb2e[_0x33c529(0x1b1a)],_0x38eb2e[_0x33c529(0x1a8e)]=_0x6a7769,_0x38eb2e[_0x33c529(0x9ba)]=_0x52a7fa,_0x38eb2e[_0x33c529(0x252f)]=_0x150928,_0x38eb2e[_0x33c529(0x1022)]=_0x5d8a0d,_0x38eb2e[_0x33c529(0xfae)]=[],_0x38eb2e[_0x33c529(0x2192)]=_0x532efc,_0x608efd['tag'][_0x33c529(0xbf7)]({'nolimit':!![],'sort':_0x33c529(0x16b6)})[_0x33c529(0x1d77)][_0x33c529(0x1cb0)](function(_0x39768a){const _0x5e65fd=_0x33c529;_0x38eb2e[_0x5e65fd(0xfbf)]=_0x39768a['rows']?_0x39768a['rows']:[];})['catch'](function(_0x2cb16e){const _0x3a6dee=_0x33c529;_0x1c3e6a[_0x3a6dee(0x218e)]({'title':_0x2cb16e[_0x3a6dee(0x291)]?_0x3a6dee(0xeb9)+_0x2cb16e[_0x3a6dee(0x291)]+_0x3a6dee(0x1657)+_0x2cb16e['statusText']:_0x3a6dee(0x3ee),'msg':_0x2cb16e['data']?JSON['stringify'](_0x2cb16e['data']):_0x2cb16e['toString']()});});function _0x532efc(){const _0x222a3e=_0x33c529;_0x608efd[_0x222a3e(0xc39)][_0x222a3e(0xe53)]({'id':_0x38eb2e[_0x222a3e(0x23bb)]['id'],'ids':_0x38eb2e[_0x222a3e(0xfae)]})['$promise'][_0x222a3e(0x1cb0)](function(){const _0x3592dd=_0x222a3e;_0x1c3e6a[_0x3592dd(0x829)]({'title':_0x3592dd(0x3e9),'msg':_0x3592dd(0x26cb)});})[_0x222a3e(0x1c4)](function(_0x4d4035){const _0x1f71c2=_0x222a3e;_0x1c3e6a[_0x1f71c2(0x218e)]({'title':_0x4d4035['status']?_0x1f71c2(0xeb9)+_0x4d4035['status']+_0x1f71c2(0x1657)+_0x4d4035['statusText']:_0x1f71c2(0x2774),'msg':_0x4d4035[_0x1f71c2(0x25c)]?JSON[_0x1f71c2(0x2701)](_0x4d4035['data']):_0x4d4035[_0x1f71c2(0x147f)]()});});}function _0x6a7769(_0x57fc72,_0x5ee8a3){const _0x1a3da6=_0x33c529;_0x38eb2e[_0x1a3da6(0x15fb)]=_0x57fc72,_0x38eb2e['crudPermissions']=typeof _0x5ee8a3!==_0x1a3da6(0x16b5)?_0x5ee8a3:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x608efd['intZendeskAccount'][_0x1a3da6(0x618)]({'id':_0x38eb2e['zendeskAccount']['id'],'sort':_0x1a3da6(0x282)})[_0x1a3da6(0x1d77)][_0x1a3da6(0x1cb0)](function(_0x2c6af1){const _0x806a2d=_0x1a3da6;return _0x38eb2e[_0x806a2d(0x229a)]=_0x2c6af1[_0x806a2d(0x2214)]?_0x2c6af1[_0x806a2d(0x2214)]:[],_0x38eb2e[_0x806a2d(0x229a)][_0x806a2d(0xfd0)]&&_0x38eb2e[_0x806a2d(0x9ba)](0x0),_0x608efd[_0x806a2d(0x1822)][_0x806a2d(0xbf7)]({'nolimit':!![],'sort':_0x806a2d(0x16b6)})[_0x806a2d(0x1d77)];})[_0x1a3da6(0x1cb0)](function(_0x4c0e18){const _0x2c81fb=_0x1a3da6;_0x38eb2e[_0x2c81fb(0x9a9)]=_0x4c0e18['rows']?_0x4c0e18[_0x2c81fb(0x2214)]:[],_0x38eb2e['variablesById']=_0x39641b()['keyBy'](_0x38eb2e[_0x2c81fb(0x9a9)],'id');})['catch'](function(_0xfdd2ec){const _0x40bfab=_0x1a3da6;_0x1c3e6a[_0x40bfab(0x218e)]({'title':_0xfdd2ec[_0x40bfab(0x291)]?_0x40bfab(0xeb9)+_0xfdd2ec['status']+'\x20-\x20'+_0xfdd2ec[_0x40bfab(0xc22)]:_0x40bfab(0x1b0f),'msg':_0xfdd2ec['data']?JSON[_0x40bfab(0x2701)](_0xfdd2ec[_0x40bfab(0x25c)]):_0xfdd2ec['toString']()});});}function _0x52a7fa(_0x3b7b1b){const _0x33d541=_0x33c529;_0x38eb2e[_0x33d541(0x23bb)]=_0x38eb2e['zendeskConfigurations'][_0x3b7b1b],_0x38eb2e['selectedZendeskConfiguration']=_0x3b7b1b,_0x608efd[_0x33d541(0xc39)][_0x33d541(0x243a)]({'id':_0x38eb2e['zendeskConfiguration']['id']})['$promise'][_0x33d541(0x1cb0)](function(_0x2f71e7){const _0x45ea57=_0x33d541;return _0x38eb2e[_0x45ea57(0x2388)]=_0x2f71e7['rows']?_0x2f71e7['rows']:[],_0x608efd[_0x45ea57(0xc39)][_0x45ea57(0xb6d)]({'id':_0x38eb2e[_0x45ea57(0x23bb)]['id']})[_0x45ea57(0x1d77)];})[_0x33d541(0x1cb0)](function(_0x39a46d){const _0x1eafbc=_0x33d541;return _0x38eb2e['descriptions']=_0x39a46d[_0x1eafbc(0x2214)]?_0x39a46d['rows']:[],_0x608efd['intZendeskConfiguration']['getFields']({'id':_0x38eb2e['zendeskConfiguration']['id']})['$promise'];})[_0x33d541(0x1cb0)](function(_0x3d3775){const _0x415ee7=_0x33d541;_0x38eb2e['fields']=_0x3d3775[_0x415ee7(0x2214)]?_0x3d3775[_0x415ee7(0x2214)]:[];})[_0x33d541(0x1c4)](function(_0x5ea247){const _0x51b4cd=_0x33d541;_0x1c3e6a[_0x51b4cd(0x218e)]({'title':_0x5ea247[_0x51b4cd(0x291)]?_0x51b4cd(0xeb9)+_0x5ea247[_0x51b4cd(0x291)]+_0x51b4cd(0x1657)+_0x5ea247[_0x51b4cd(0xc22)]:_0x51b4cd(0x1e5f),'msg':_0x5ea247[_0x51b4cd(0x25c)]?JSON[_0x51b4cd(0x2701)](_0x5ea247[_0x51b4cd(0x25c)]):_0x5ea247[_0x51b4cd(0x147f)]()});}),_0x608efd[_0x33d541(0xc39)]['getTags']({'id':_0x38eb2e[_0x33d541(0x23bb)]['id']})[_0x33d541(0x1d77)][_0x33d541(0x1cb0)](function(_0x12b681){const _0x1ffe87=_0x33d541;_0x38eb2e[_0x1ffe87(0xfae)]=_0x12b681[_0x1ffe87(0x2214)]?_0x39641b()[_0x1ffe87(0x1de2)](_0x12b681[_0x1ffe87(0x2214)],'id'):[];})[_0x33d541(0x1c4)](function(_0x287890){const _0x212215=_0x33d541;_0x1c3e6a[_0x212215(0x218e)]({'title':_0x287890[_0x212215(0x291)]?'API:'+_0x287890[_0x212215(0x291)]+_0x212215(0x1657)+_0x287890[_0x212215(0xc22)]:_0x212215(0x3ee),'msg':_0x287890['data']?JSON['stringify'](_0x287890[_0x212215(0x25c)]):_0x287890['toString']()});});}function _0x150928(_0x4984f5,_0x17cbfb){const _0x55846c=_0x33c529;_0x4cbd5c[_0x55846c(0xe27)]({'controller':'CreateOrEditZendeskConfigurationDialogController','controllerAs':'vm','templateUrl':_0x464144,'parent':angular[_0x55846c(0x1853)](_0x4bc6f9[_0x55846c(0x1ed9)]),'targetEvent':_0x4984f5,'clickOutsideToClose':!![],'locals':{'zendeskConfiguration':_0x17cbfb,'zendeskConfigurations':_0x38eb2e[_0x55846c(0x229a)],'license':null,'setting':null,'crudPermissions':_0x38eb2e[_0x55846c(0x1b1a)]}})[_0x55846c(0x2e0)](function(){const _0xda6ac5=_0x55846c;_0x38eb2e[_0xda6ac5(0x9ba)](0x0);});}function _0x5d8a0d(_0x248cfc,_0x46e841){const _0x33e097=_0x33c529,_0xf4ad64=_0x4cbd5c[_0x33e097(0x1551)]()[_0x33e097(0x1386)](_0x33e097(0x24fd))[_0x33e097(0x49e)](_0x33e097(0x204d)+(_0x46e841[_0x33e097(0x16b6)]||_0x33e097(0x23bb))+_0x33e097(0x1200)+_0x33e097(0x1b6))[_0x33e097(0x15ad)](_0x33e097(0xaf2))['targetEvent'](_0x248cfc)['ok']('OK')['cancel'](_0x33e097(0x24ba));_0x4cbd5c[_0x33e097(0xe27)](_0xf4ad64)['then'](function(){const _0x3342ca=_0x33e097;_0x608efd[_0x3342ca(0xc39)]['delete']({'id':_0x46e841['id']})[_0x3342ca(0x1d77)][_0x3342ca(0x1cb0)](function(){const _0x306dd8=_0x3342ca;_0x39641b()[_0x306dd8(0x152a)](_0x38eb2e[_0x306dd8(0x229a)],{'id':_0x46e841['id']}),_0x1c3e6a['success']({'title':'ZendeskConfiguration\x20deleted!','msg':_0x46e841[_0x306dd8(0x16b6)]?_0x46e841[_0x306dd8(0x16b6)]+_0x306dd8(0x3f5):''});})[_0x3342ca(0x1c4)](function(_0x33ed38){const _0x21dd1a=_0x3342ca;_0x1c3e6a[_0x21dd1a(0x218e)]({'title':_0x33ed38[_0x21dd1a(0x291)]?_0x21dd1a(0xeb9)+_0x33ed38[_0x21dd1a(0x291)]+'\x20-\x20'+_0x33ed38[_0x21dd1a(0xc22)]:'SYSTEM:DELETECONFIGURATION','msg':_0x33ed38[_0x21dd1a(0x25c)]?JSON[_0x21dd1a(0x2701)](_0x33ed38[_0x21dd1a(0x25c)]):_0x33ed38[_0x21dd1a(0x147f)]()});})[_0x3342ca(0x2e0)](function(){const _0xf19175=_0x3342ca;_0x38eb2e[_0xf19175(0x9ba)](0x0);});},function(){const _0x332343=_0x33e097;console[_0x332343(0x1b4f)](_0x332343(0x24ba));});}_0x38eb2e[_0x33c529(0x4df)]=[],_0x38eb2e['createOrEditSubject']=_0x51461a,_0x38eb2e[_0x33c529(0x259e)]=_0x1f1d2d,_0x38eb2e[_0x33c529(0xf12)]=_0x11bcf3;function _0x51461a(_0x57b914,_0xdb0d6f){const _0xb58e0c=_0x33c529;_0x4cbd5c[_0xb58e0c(0xe27)]({'controller':_0xb58e0c(0x136e),'controllerAs':'vm','templateUrl':_0x3507b0,'parent':angular[_0xb58e0c(0x1853)](_0x4bc6f9['body']),'targetEvent':_0x57b914,'clickOutsideToClose':!![],'locals':{'type':_0xb58e0c(0x1e5d),'item':_0xdb0d6f,'items':_0x38eb2e[_0xb58e0c(0x2388)],'configuration':_0x38eb2e['zendeskConfiguration'],'account':_0x38eb2e[_0xb58e0c(0x15fb)],'license':null,'setting':null,'crudPermissions':_0x38eb2e[_0xb58e0c(0x1b1a)]}});}function _0x5be7e4(_0x21a737){const _0x42fbe3=_0x33c529;_0x608efd['intZendeskField'][_0x42fbe3(0x111d)]({'id':_0x21a737['id']})[_0x42fbe3(0x1d77)]['then'](function(){const _0x145451=_0x42fbe3;_0x39641b()[_0x145451(0x152a)](_0x38eb2e[_0x145451(0x2388)],{'id':_0x21a737['id']}),_0x1c3e6a[_0x145451(0x829)]({'title':'Subject\x20deleted!','msg':'Subject\x20has\x20been\x20deleted!'});})[_0x42fbe3(0x1c4)](function(_0x5d43a8){const _0x3326d4=_0x42fbe3;_0x1c3e6a[_0x3326d4(0x218e)]({'title':_0x5d43a8['status']?'API:'+_0x5d43a8[_0x3326d4(0x291)]+_0x3326d4(0x1657)+_0x5d43a8['statusText']:'SYSTEM:DELETECONFIGURATIONFIELD','msg':_0x5d43a8[_0x3326d4(0x25c)]?JSON[_0x3326d4(0x2701)](_0x5d43a8[_0x3326d4(0x25c)]):_0x5d43a8[_0x3326d4(0x147f)]()});});}function _0x1f1d2d(_0x1d4bfe,_0x2d0d8b){const _0x22111d=_0x33c529,_0x518603=_0x4cbd5c['confirm']()[_0x22111d(0x1386)](_0x22111d(0xa59))[_0x22111d(0x49e)](_0x22111d(0x14ee)+_0x22111d(0x1b6))['ariaLabel'](_0x22111d(0xbc3))[_0x22111d(0x728)](_0x1d4bfe)['ok']('OK')[_0x22111d(0x696)](_0x22111d(0x24ba));_0x4cbd5c[_0x22111d(0xe27)](_0x518603)[_0x22111d(0x1cb0)](function(){_0x5be7e4(_0x2d0d8b);},function(){const _0x1419a2=_0x22111d;console[_0x1419a2(0x1b4f)](_0x1419a2(0x24ba));});}function _0x11bcf3(_0x552a78){const _0x5f1b33=_0x33c529,_0x8142a0=_0x4cbd5c[_0x5f1b33(0x1551)]()[_0x5f1b33(0x1386)](_0x5f1b33(0x1f8e))[_0x5f1b33(0x49e)](_0x5f1b33(0x204d)+_0x38eb2e['selectedSubjects'][_0x5f1b33(0xfd0)]+_0x5f1b33(0x1d6c)+_0x5f1b33(0x1b6))[_0x5f1b33(0x15ad)](_0x5f1b33(0x222e))[_0x5f1b33(0x728)](_0x552a78)['ok']('OK')[_0x5f1b33(0x696)]('CANCEL');_0x4cbd5c[_0x5f1b33(0xe27)](_0x8142a0)[_0x5f1b33(0x1cb0)](function(){const _0x176c63=_0x5f1b33;_0x38eb2e[_0x176c63(0x4df)]['forEach'](function(_0x350c8a){_0x5be7e4(_0x350c8a);}),_0x38eb2e[_0x176c63(0x4df)]=[];});}_0x38eb2e[_0x33c529(0xac6)]=[],_0x38eb2e[_0x33c529(0x14c7)]=_0x2ca94e,_0x38eb2e[_0x33c529(0xc09)]=_0xd373cc,_0x38eb2e[_0x33c529(0x2931)]=_0x41b165;function _0x2ca94e(_0x11f8c0,_0x4ba9f0){const _0x40f328=_0x33c529;_0x4cbd5c[_0x40f328(0xe27)]({'controller':_0x40f328(0x136e),'controllerAs':'vm','templateUrl':_0x3507b0,'parent':angular[_0x40f328(0x1853)](_0x4bc6f9[_0x40f328(0x1ed9)]),'targetEvent':_0x11f8c0,'clickOutsideToClose':!![],'locals':{'type':_0x40f328(0x2c1),'item':_0x4ba9f0,'items':_0x38eb2e[_0x40f328(0x310)],'configuration':_0x38eb2e[_0x40f328(0x23bb)],'account':_0x38eb2e[_0x40f328(0x15fb)],'license':null,'setting':null,'crudPermissions':_0x38eb2e[_0x40f328(0x1b1a)]}});}function _0x5dd2bc(_0x2de5b2){const _0xcdee47=_0x33c529;_0x608efd[_0xcdee47(0x24cd)][_0xcdee47(0x111d)]({'id':_0x2de5b2['id']})[_0xcdee47(0x1d77)][_0xcdee47(0x1cb0)](function(){const _0x18275e=_0xcdee47;_0x39641b()[_0x18275e(0x152a)](_0x38eb2e[_0x18275e(0x310)],{'id':_0x2de5b2['id']}),_0x1c3e6a[_0x18275e(0x829)]({'title':_0x18275e(0x24c0),'msg':'Description\x20has\x20been\x20deleted!'});})[_0xcdee47(0x1c4)](function(_0x20c1bf){const _0x3d90a9=_0xcdee47;_0x1c3e6a[_0x3d90a9(0x218e)]({'title':_0x20c1bf['status']?_0x3d90a9(0xeb9)+_0x20c1bf[_0x3d90a9(0x291)]+_0x3d90a9(0x1657)+_0x20c1bf['statusText']:'SYSTEM:DELETECONFIGURATIONFIELD','msg':_0x20c1bf[_0x3d90a9(0x25c)]?JSON[_0x3d90a9(0x2701)](_0x20c1bf[_0x3d90a9(0x25c)]):_0x20c1bf['toString']()});});}function _0xd373cc(_0x2dda9c,_0x59a02b){const _0x4aabcc=_0x33c529,_0x433f96=_0x4cbd5c['confirm']()[_0x4aabcc(0x1386)](_0x4aabcc(0x7d2))[_0x4aabcc(0x49e)](_0x4aabcc(0x18b8)+_0x4aabcc(0x1b6))[_0x4aabcc(0x15ad)](_0x4aabcc(0x103d))[_0x4aabcc(0x728)](_0x2dda9c)['ok']('OK')[_0x4aabcc(0x696)](_0x4aabcc(0x24ba));_0x4cbd5c['show'](_0x433f96)[_0x4aabcc(0x1cb0)](function(){_0x5dd2bc(_0x59a02b);},function(){const _0x418efb=_0x4aabcc;console[_0x418efb(0x1b4f)](_0x418efb(0x24ba));});}function _0x41b165(_0x50edad){const _0x240dac=_0x33c529,_0x1a607e=_0x4cbd5c[_0x240dac(0x1551)]()['title'](_0x240dac(0x2e7))[_0x240dac(0x49e)](_0x240dac(0x204d)+_0x38eb2e[_0x240dac(0xac6)][_0x240dac(0xfd0)]+_0x240dac(0x1d6c)+_0x240dac(0x1b6))['ariaLabel'](_0x240dac(0x1828))[_0x240dac(0x728)](_0x50edad)['ok']('OK')['cancel']('CANCEL');_0x4cbd5c[_0x240dac(0xe27)](_0x1a607e)[_0x240dac(0x1cb0)](function(){const _0x247195=_0x240dac;_0x38eb2e[_0x247195(0xac6)]['forEach'](function(_0x342926){_0x5dd2bc(_0x342926);}),_0x38eb2e[_0x247195(0xac6)]=[];});}_0x38eb2e[_0x33c529(0x9b2)]=[],_0x38eb2e[_0x33c529(0x5e9)]=_0x1ca845,_0x38eb2e['deleteFieldConfirm']=_0xe9f79b,_0x38eb2e[_0x33c529(0xe40)]=_0x2faa51;function _0x1ca845(_0x3764d1,_0x2d738d){const _0xf3f8b3=_0x33c529;_0x4cbd5c[_0xf3f8b3(0xe27)]({'controller':'CreateOrEditZendeskAccountItemDialogController','controllerAs':'vm','templateUrl':_0x3507b0,'parent':angular[_0xf3f8b3(0x1853)](_0x4bc6f9[_0xf3f8b3(0x1ed9)]),'targetEvent':_0x3764d1,'clickOutsideToClose':!![],'locals':{'type':_0xf3f8b3(0x2198),'item':_0x2d738d,'items':_0x38eb2e[_0xf3f8b3(0x355)],'configuration':_0x38eb2e['zendeskConfiguration'],'account':_0x38eb2e[_0xf3f8b3(0x15fb)],'license':null,'setting':null,'crudPermissions':_0x38eb2e['crudPermissions']}});}function _0x136122(_0x26922d){const _0x1ddd16=_0x33c529;_0x608efd['intZendeskField']['delete']({'id':_0x26922d['id']})[_0x1ddd16(0x1d77)][_0x1ddd16(0x1cb0)](function(){const _0x425064=_0x1ddd16;_0x39641b()[_0x425064(0x152a)](_0x38eb2e[_0x425064(0x355)],{'id':_0x26922d['id']}),_0x1c3e6a[_0x425064(0x829)]({'title':'Field\x20deleted!','msg':_0x425064(0x2688)});})[_0x1ddd16(0x1c4)](function(_0x5a53f6){const _0x10127d=_0x1ddd16;_0x1c3e6a[_0x10127d(0x218e)]({'title':_0x5a53f6[_0x10127d(0x291)]?'API:'+_0x5a53f6[_0x10127d(0x291)]+_0x10127d(0x1657)+_0x5a53f6[_0x10127d(0xc22)]:_0x10127d(0x13e5),'msg':_0x5a53f6[_0x10127d(0x25c)]?JSON[_0x10127d(0x2701)](_0x5a53f6[_0x10127d(0x25c)]):_0x5a53f6['toString']()});});}function _0xe9f79b(_0x1b2cde,_0x4efebc){const _0x429429=_0x33c529,_0x23c469=_0x4cbd5c[_0x429429(0x1551)]()[_0x429429(0x1386)](_0x429429(0x21a9))['htmlContent'](_0x429429(0xf1d)+_0x429429(0x1b6))[_0x429429(0x15ad)](_0x429429(0x21ee))[_0x429429(0x728)](_0x1b2cde)['ok']('OK')[_0x429429(0x696)](_0x429429(0x24ba));_0x4cbd5c[_0x429429(0xe27)](_0x23c469)['then'](function(){_0x136122(_0x4efebc);},function(){const _0x3a8387=_0x429429;console[_0x3a8387(0x1b4f)](_0x3a8387(0x24ba));});}function _0x2faa51(_0x12811f){const _0x2ef42d=_0x33c529,_0x5b82c3=_0x4cbd5c['confirm']()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20fields?')[_0x2ef42d(0x49e)](_0x2ef42d(0x204d)+_0x38eb2e[_0x2ef42d(0x9b2)][_0x2ef42d(0xfd0)]+_0x2ef42d(0x1d6c)+_0x2ef42d(0x1b6))['ariaLabel']('delete\x20fields')[_0x2ef42d(0x728)](_0x12811f)['ok']('OK')[_0x2ef42d(0x696)](_0x2ef42d(0x24ba));_0x4cbd5c[_0x2ef42d(0xe27)](_0x5b82c3)['then'](function(){const _0x460e3=_0x2ef42d;_0x38eb2e['selectedFields']['forEach'](function(_0x425419){_0x136122(_0x425419);}),_0x38eb2e[_0x460e3(0x9b2)]=[];});}}const _0x168bac=_0x5656fc;;_0x3dfcfb[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x229a),'zendeskConfiguration','api','Auth',_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x3dfcfb(_0x2676e3,_0xfd9732,_0x19f0ba,_0x10a4d7,_0x56fcd2,_0xd66a09,_0x5a5f12,_0x10c16b,_0x1c0c69,_0x291496,_0x534094,_0x54bcda,_0x3e264b,_0x3dbb97){const _0x90528c=_0x5537c6,_0x525592=this;_0x525592[_0x90528c(0xe76)]=_0x534094[_0x90528c(0x21e8)](),_0x525592[_0x90528c(0x1a7c)]=[],_0x525592[_0x90528c(0x9ca)]=_0x3e264b,_0x525592[_0x90528c(0x8a5)]=_0x54bcda,_0x525592[_0x90528c(0x1b1a)]=_0x3dbb97,_0x525592[_0x90528c(0xf4c)]={},_0x525592['passwordPattern']=_0x525592[_0x90528c(0x9ca)]&&_0x525592[_0x90528c(0x9ca)][_0x90528c(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x525592['title']='INTEGRATIONS.EDIT_ZENDESKCONFIGURATION',_0x525592[_0x90528c(0x23bb)]=angular['copy'](_0x1c0c69),_0x525592[_0x90528c(0x229a)]=_0x10c16b,_0x525592[_0x90528c(0xf62)]=![];!_0x525592[_0x90528c(0x23bb)]&&(_0x525592['zendeskConfiguration']={'channel':_0x90528c(0x1fd4),'type':_0x90528c(0x26c0)},_0x525592[_0x90528c(0x1386)]=_0x90528c(0xd18),_0x525592[_0x90528c(0xf62)]=!![]);_0x525592[_0x90528c(0xc27)]=_0x155289,_0x525592['saveZendeskConfiguration']=_0x157c00,_0x525592['deleteZendeskConfiguration']=_0x5e9d09,_0x525592[_0x90528c(0x2c4)]=_0x5ad003,_0x525592['closeDialog']=_0x2a80ab;function _0x155289(){const _0x2536f9=_0x90528c;_0x525592[_0x2536f9(0x1a7c)]=[],_0x291496['intZendeskAccount']['addConfiguration']({'id':_0xfd9732[_0x2536f9(0x1dfe)]['id']},_0x525592[_0x2536f9(0x23bb)])[_0x2536f9(0x1d77)][_0x2536f9(0x1cb0)](function(_0x1a49e9){const _0x28d636=_0x2536f9;_0x525592[_0x28d636(0x229a)]['unshift'](_0x1a49e9[_0x28d636(0x19b2)]()),_0x5a5f12['success']({'title':_0x28d636(0x273e),'msg':_0x525592[_0x28d636(0x23bb)][_0x28d636(0x16b6)]?_0x525592['zendeskConfiguration'][_0x28d636(0x16b6)]+'\x20has\x20been\x20created!':''}),_0x2a80ab(_0x1a49e9);})[_0x2536f9(0x1c4)](function(_0x2db3be){const _0x4b539b=_0x2536f9;if(_0x2db3be['data']&&_0x2db3be[_0x4b539b(0x25c)][_0x4b539b(0x1a7c)]&&_0x2db3be[_0x4b539b(0x25c)][_0x4b539b(0x1a7c)][_0x4b539b(0xfd0)]){_0x525592[_0x4b539b(0x1a7c)]=_0x2db3be[_0x4b539b(0x25c)][_0x4b539b(0x1a7c)]||[{'message':_0x2db3be[_0x4b539b(0x147f)](),'type':_0x4b539b(0x23c)}];for(let _0x17af93=0x0;_0x17af93<_0x2db3be[_0x4b539b(0x25c)]['errors'][_0x4b539b(0xfd0)];_0x17af93+=0x1){_0x5a5f12[_0x4b539b(0x218e)]({'title':_0x2db3be[_0x4b539b(0x25c)][_0x4b539b(0x1a7c)][_0x17af93]['type'],'msg':_0x2db3be['data'][_0x4b539b(0x1a7c)][_0x17af93][_0x4b539b(0x155e)]});}}else _0x5a5f12[_0x4b539b(0x218e)]({'title':_0x2db3be['status']?'API:'+_0x2db3be[_0x4b539b(0x291)]+'\x20-\x20'+_0x2db3be[_0x4b539b(0xc22)]:'api.intZendeskConfiguration.save','msg':_0x2db3be['data']?JSON[_0x4b539b(0x2701)](_0x2db3be[_0x4b539b(0x25c)][_0x4b539b(0x155e)]):_0x2db3be[_0x4b539b(0x147f)]()});});}function _0x157c00(){const _0x2a2430=_0x90528c;_0x525592[_0x2a2430(0x1a7c)]=[],_0x291496[_0x2a2430(0xc39)][_0x2a2430(0x687)]({'id':_0x525592[_0x2a2430(0x23bb)]['id']},_0x525592['zendeskConfiguration'])['$promise']['then'](function(_0x3d3e24){const _0x1c037d=_0x2a2430,_0x29eb55=_0x39641b()[_0x1c037d(0x13b4)](_0x525592[_0x1c037d(0x229a)],{'id':_0x3d3e24['id']});_0x29eb55&&_0x39641b()[_0x1c037d(0x9c1)](_0x29eb55,_0x39641b()[_0x1c037d(0x169b)](_0x3d3e24[_0x1c037d(0x19b2)](),_0x39641b()[_0x1c037d(0x1be5)](_0x29eb55))),_0x5a5f12[_0x1c037d(0x829)]({'title':_0x1c037d(0x196a),'msg':_0x525592[_0x1c037d(0x23bb)]['name']?_0x525592[_0x1c037d(0x23bb)][_0x1c037d(0x16b6)]+'\x20has\x20been\x20saved!':''}),_0x2a80ab(_0x3d3e24);})[_0x2a2430(0x1c4)](function(_0x1e6832){const _0x226e09=_0x2a2430;if(_0x1e6832[_0x226e09(0x25c)]&&_0x1e6832[_0x226e09(0x25c)][_0x226e09(0x1a7c)]&&_0x1e6832[_0x226e09(0x25c)]['errors'][_0x226e09(0xfd0)]){_0x525592['errors']=_0x1e6832[_0x226e09(0x25c)]['errors']||[{'message':_0x1e6832[_0x226e09(0x147f)](),'type':_0x226e09(0x264b)}];for(let _0x1a4621=0x0;_0x1a4621<_0x1e6832[_0x226e09(0x25c)][_0x226e09(0x1a7c)]['length'];_0x1a4621++){_0x5a5f12[_0x226e09(0x218e)]({'title':_0x1e6832[_0x226e09(0x25c)][_0x226e09(0x1a7c)][_0x1a4621]['type'],'msg':_0x1e6832['data'][_0x226e09(0x1a7c)][_0x1a4621][_0x226e09(0x155e)]});}}else _0x5a5f12[_0x226e09(0x218e)]({'title':_0x1e6832[_0x226e09(0x291)]?_0x226e09(0xeb9)+_0x1e6832['status']+_0x226e09(0x1657)+_0x1e6832[_0x226e09(0xc22)]:_0x226e09(0x264b),'msg':_0x1e6832['data']?JSON[_0x226e09(0x2701)](_0x1e6832[_0x226e09(0x25c)]['message']):_0x1e6832[_0x226e09(0x147f)]()});});}function _0x5e9d09(_0x353426){const _0x4917df=_0x90528c;_0x525592['errors']=[];const _0x44fc73=_0x10a4d7[_0x4917df(0x1551)]()[_0x4917df(0x1386)](_0x4917df(0x1a2e))['content'](_0x4917df(0x104f))[_0x4917df(0x15ad)]('Delete\x20ZendeskConfiguration')['ok'](_0x4917df(0x2594))[_0x4917df(0x696)](_0x4917df(0xde1))[_0x4917df(0x728)](_0x353426);_0x10a4d7['show'](_0x44fc73)[_0x4917df(0x1cb0)](function(){const _0x3c1cd1=_0x4917df;_0x291496[_0x3c1cd1(0xc39)][_0x3c1cd1(0x111d)]({'id':_0x525592[_0x3c1cd1(0x23bb)]['id']})['$promise'][_0x3c1cd1(0x1cb0)](function(){const _0x4c3f2d=_0x3c1cd1;_0x39641b()[_0x4c3f2d(0x152a)](_0x525592[_0x4c3f2d(0x229a)],{'id':_0x525592[_0x4c3f2d(0x23bb)]['id']}),_0x5a5f12[_0x4c3f2d(0x829)]({'title':_0x4c3f2d(0x217),'msg':(_0x525592[_0x4c3f2d(0x23bb)][_0x4c3f2d(0x16b6)]||_0x4c3f2d(0x23bb))+_0x4c3f2d(0x3f5)}),_0x2a80ab(_0x525592[_0x4c3f2d(0x23bb)]);})[_0x3c1cd1(0x1c4)](function(_0x596b2e){const _0x297f19=_0x3c1cd1;if(_0x596b2e[_0x297f19(0x25c)]&&_0x596b2e[_0x297f19(0x25c)][_0x297f19(0x1a7c)]&&_0x596b2e['data'][_0x297f19(0x1a7c)]['length']){_0x525592[_0x297f19(0x1a7c)]=_0x596b2e['data']['errors']||[{'message':_0x596b2e[_0x297f19(0x147f)](),'type':_0x297f19(0x21ff)}];for(let _0x370b38=0x0;_0x370b38<_0x596b2e[_0x297f19(0x25c)]['errors'][_0x297f19(0xfd0)];_0x370b38++){_0x5a5f12[_0x297f19(0x218e)]({'title':_0x596b2e[_0x297f19(0x25c)][_0x297f19(0x1a7c)][_0x370b38][_0x297f19(0x66a)],'msg':_0x596b2e[_0x297f19(0x25c)][_0x297f19(0x1a7c)][_0x370b38][_0x297f19(0x155e)]});}}else _0x5a5f12[_0x297f19(0x218e)]({'title':_0x596b2e[_0x297f19(0x291)]?_0x297f19(0xeb9)+_0x596b2e[_0x297f19(0x291)]+_0x297f19(0x1657)+_0x596b2e[_0x297f19(0xc22)]:'api.intZendeskConfiguration.delete','msg':_0x596b2e[_0x297f19(0x25c)]?JSON['stringify'](_0x596b2e[_0x297f19(0x25c)]['message']):_0x596b2e['message']||_0x596b2e['toString']()});});},function(){});}function _0x5ad003(_0x4cf98d){return _0x4cf98d===null?undefined:new Date(_0x4cf98d);}function _0x2a80ab(_0xc5d3ae){const _0x21dc15=_0x90528c;_0x10a4d7[_0x21dc15(0x1426)](_0xc5d3ae);}}const _0x2a8632=_0x3dfcfb;;const _0x4222d8=_0x5074a3['p']+_0x5537c6(0x20e1);;_0x1444e3[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),'$mdSidenav',_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0x1c64),'userProfile',_0x5537c6(0x2199),'api','msUtils','toasty','Auth',_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x1444e3(_0x533af9,_0x1d61d8,_0x5e53b9,_0x1bd80e,_0xe17c03,_0x341c59,_0x12bcd4,_0x10f3c4,_0x2f9841,_0x34774b,_0x49ac22,_0x1f7428,_0x186a71,_0x3c143c,_0x1e3e52,_0x6798e5,_0x46bd92){const _0x459100=_0x5537c6,_0x1ccb36=this;_0x1ccb36[_0x459100(0x8a5)]=_0x6798e5,_0x1ccb36['setting']=_0x46bd92,_0x1ccb36[_0x459100(0xe76)]=_0x1e3e52[_0x459100(0x21e8)](),_0x1ccb36['zendeskAccounts']=_0x2f9841||{'count':0x0,'rows':[]},_0x1ccb36[_0x459100(0x44a)]=_0x34774b,_0x1ccb36[_0x459100(0x2199)]=_0x49ac22&&_0x49ac22[_0x459100(0x184d)]==0x1?_0x49ac22[_0x459100(0x2214)][0x0]:null,_0x1ccb36['crudPermissions']=_0x1e3e52[_0x459100(0x14ea)](_0x1ccb36['userProfileSection']?_0x1ccb36[_0x459100(0x2199)][_0x459100(0x1b1a)]:null),_0x1ccb36['table']=_0x459100(0x1c64),_0x1ccb36['listOrder']='',_0x1ccb36['listOrderAsc']=null,_0x1ccb36[_0x459100(0x17f3)]=[],_0x1ccb36['query']={'fields':_0x459100(0x51c),'limit':0xa,'page':0x1},_0x1ccb36[_0x459100(0x101d)]=_0x39641b()[_0x459100(0x2631)]([{'option':_0x459100(0x232c),'value':_0x459100(0x9f5)},{'option':'New_Tab','value':_0x459100(0x23e1)}],function(_0x253ba9){const _0x5c28e2=_0x459100;return _0x39641b()['replace'](_0x253ba9[_0x5c28e2(0x327)],new RegExp('\x27','g'),'');}),_0x1ccb36[_0x459100(0x2535)]=_0x39641b()[_0x459100(0x2631)]([{'option':_0x459100(0x1b91),'value':_0x459100(0x1515)},{'option':_0x459100(0x5b0),'value':_0x459100(0x28f8)}],function(_0xc7c0ed){const _0x446fda=_0x459100;return _0x39641b()[_0x446fda(0x288f)](_0xc7c0ed['value'],new RegExp('\x27','g'),'');}),_0x1ccb36[_0x459100(0x235d)]=_0x4cf018,_0x1ccb36[_0x459100(0x6b1)]=_0x4ec550,_0x1ccb36['deleteconfirm']=_0x3ebd40,_0x1ccb36[_0x459100(0x829)]=_0x4853b2,_0x1ccb36[_0x459100(0x17c4)]=_0x56039f,_0x1ccb36[_0x459100(0x33b)]=_0x5ccc04,_0x1ccb36['deleteZendeskAccount']=_0xc515c0,_0x1ccb36['exportSelectedZendeskAccounts']=_0x1e7f60,_0x1ccb36[_0x459100(0xfff)]=_0x2c6789,_0x1ccb36[_0x459100(0x1119)]=_0x483d12,_0x1ccb36[_0x459100(0x1d46)]=_0x537ec7;function _0x4cf018(_0x3e97ca){const _0x124278=_0x459100;_0x5e53b9['go']('app.integrations.zendeskAccounts.edit',{'id':_0x3e97ca['id'],'zendeskAccount':_0x3e97ca,'crudPermissions':_0x1ccb36[_0x124278(0x1b1a)]});}function _0x4ec550(_0x1d1f74){const _0x1bcc00=_0x459100;return _0x1f7428[_0x1bcc00(0x17a8)][_0x1bcc00(0xc84)]({'id':_0x1d1f74['id'],'test':!![]})[_0x1bcc00(0x1d77)][_0x1bcc00(0x1cb0)](function(){const _0x1fe9dc=_0x1bcc00;_0x3c143c['success']({'title':_0x1fe9dc(0x1d9c),'msg':_0x1fe9dc(0x1bca)});})[_0x1bcc00(0x1c4)](function(_0x782bda){const _0x22ee9a=_0x1bcc00;_0x3c143c[_0x22ee9a(0x218e)]({'title':_0x22ee9a(0x1d9c),'msg':_0x782bda[_0x22ee9a(0x25c)]?_0x782bda['data'][_0x22ee9a(0x155e)]?_0x782bda[_0x22ee9a(0x25c)]['message']:JSON[_0x22ee9a(0x2701)](_0x782bda[_0x22ee9a(0x25c)]):_0x782bda['toString']()});});}function _0x3ebd40(_0x530130,_0x29aaf8){const _0x247915=_0x459100,_0x1211d2=_0xe17c03[_0x247915(0x1551)]()[_0x247915(0x1386)](_0x247915(0x140b)+_0x39641b()[_0x247915(0xa75)](_0x247915(0x15fb))+'?')[_0x247915(0x49e)](_0x247915(0x204d)+(_0x530130[_0x247915(0x16b6)]||_0x247915(0x15fb))+_0x247915(0x1200)+_0x247915(0x1b6))[_0x247915(0x15ad)](_0x247915(0x10dc))[_0x247915(0x728)](_0x29aaf8)['ok']('OK')[_0x247915(0x696)]('CANCEL');_0xe17c03[_0x247915(0xe27)](_0x1211d2)[_0x247915(0x1cb0)](function(){_0xc515c0(_0x530130);},function(){const _0x19f28f=_0x247915;console['log'](_0x19f28f(0x24ba));});}let _0x204d99=!![],_0x249fe4=0x1;_0x533af9[_0x459100(0x614)](_0x459100(0x957),function(_0x251150,_0x385b42){const _0x3486c9=_0x459100;_0x204d99?_0x12bcd4(function(){_0x204d99=![];}):(!_0x385b42&&(_0x249fe4=_0x1ccb36[_0x3486c9(0xae2)][_0x3486c9(0x1c7b)]),_0x251150!==_0x385b42&&(_0x1ccb36[_0x3486c9(0xae2)]['page']=0x1),!_0x251150&&(_0x1ccb36['query'][_0x3486c9(0x1c7b)]=_0x249fe4),_0x1ccb36[_0x3486c9(0x17c4)]());});function _0x4853b2(_0x1b2c10){const _0xf62129=_0x459100;_0x1ccb36[_0xf62129(0x1c64)]=_0x1b2c10||{'count':0x0,'rows':[]};}function _0x56039f(){const _0x3a6a6d=_0x459100;_0x1ccb36[_0x3a6a6d(0xae2)][_0x3a6a6d(0x184b)]=(_0x1ccb36[_0x3a6a6d(0xae2)][_0x3a6a6d(0x1c7b)]-0x1)*_0x1ccb36[_0x3a6a6d(0xae2)][_0x3a6a6d(0x236)],_0x1e3e52['hasRole'](_0x3a6a6d(0x1c60))?_0x1ccb36['promise']=_0x1f7428['intZendeskAccount'][_0x3a6a6d(0xbf7)](_0x1ccb36[_0x3a6a6d(0xae2)],_0x4853b2)[_0x3a6a6d(0x1d77)]:(_0x1ccb36[_0x3a6a6d(0xae2)]['id']=_0x1ccb36[_0x3a6a6d(0x44a)]['id'],_0x1ccb36[_0x3a6a6d(0xae2)]['section']=_0x3a6a6d(0x272d),_0x1ccb36[_0x3a6a6d(0x2061)]=_0x1f7428[_0x3a6a6d(0x44a)][_0x3a6a6d(0x1810)](_0x1ccb36[_0x3a6a6d(0xae2)],_0x4853b2)[_0x3a6a6d(0x1d77)]);}function _0x5ccc04(_0x5deb83,_0x3a2438){const _0x824036=_0x459100;_0xe17c03[_0x824036(0xe27)]({'controller':_0x824036(0x1e33),'controllerAs':'vm','templateUrl':_0x4222d8,'parent':angular[_0x824036(0x1853)](_0x341c59[_0x824036(0x1ed9)]),'targetEvent':_0x5deb83,'clickOutsideToClose':!![],'locals':{'zendeskAccount':_0x3a2438,'zendeskAccounts':_0x1ccb36[_0x824036(0x1c64)][_0x824036(0x2214)],'license':_0x1ccb36[_0x824036(0x8a5)],'setting':_0x1ccb36[_0x824036(0x9ca)],'crudPermissions':_0x1ccb36[_0x824036(0x1b1a)]}});}function _0xc515c0(_0x4409dc){const _0x51d8ee=_0x459100;_0x1f7428[_0x51d8ee(0x17a8)]['delete']({'id':_0x4409dc['id']})[_0x51d8ee(0x1d77)][_0x51d8ee(0x1cb0)](function(){const _0x437d2b=_0x51d8ee;_0x39641b()[_0x437d2b(0x152a)](_0x1ccb36[_0x437d2b(0x1c64)]['rows'],{'id':_0x4409dc['id']}),_0x1ccb36[_0x437d2b(0x1c64)]['count']-=0x1,!_0x1ccb36[_0x437d2b(0x1c64)][_0x437d2b(0x2214)][_0x437d2b(0xfd0)]&&_0x1ccb36['getZendeskAccounts'](),_0x3c143c[_0x437d2b(0x829)]({'title':_0x39641b()['startCase'](_0x437d2b(0x91d))+_0x437d2b(0x2663),'msg':_0x4409dc['name']?_0x4409dc[_0x437d2b(0x16b6)]+'\x20has\x20been\x20deleted!':''});})[_0x51d8ee(0x1c4)](function(_0x22c200){const _0x1f3e15=_0x51d8ee;if(_0x22c200[_0x1f3e15(0x25c)]&&_0x22c200[_0x1f3e15(0x25c)]['errors']&&_0x22c200[_0x1f3e15(0x25c)][_0x1f3e15(0x1a7c)][_0x1f3e15(0xfd0)]){_0x1ccb36[_0x1f3e15(0x1a7c)]=_0x22c200[_0x1f3e15(0x25c)][_0x1f3e15(0x1a7c)]||[{'message':_0x22c200[_0x1f3e15(0x147f)](),'type':_0x1f3e15(0x15b8)}];for(let _0x4691e2=0x0;_0x4691e2<_0x22c200[_0x1f3e15(0x25c)][_0x1f3e15(0x1a7c)][_0x1f3e15(0xfd0)];_0x4691e2++){_0x3c143c['error']({'title':_0x22c200[_0x1f3e15(0x25c)]['errors'][_0x4691e2][_0x1f3e15(0x66a)],'msg':_0x22c200[_0x1f3e15(0x25c)][_0x1f3e15(0x1a7c)][_0x4691e2][_0x1f3e15(0x155e)]});}}else _0x3c143c[_0x1f3e15(0x218e)]({'title':_0x22c200[_0x1f3e15(0x291)]?'API:'+_0x22c200[_0x1f3e15(0x291)]+'\x20-\x20'+_0x22c200[_0x1f3e15(0xc22)]:'SYSTEM:DELETEintZendeskAccount','msg':_0x22c200[_0x1f3e15(0x25c)]?JSON['stringify'](_0x22c200[_0x1f3e15(0x25c)][_0x1f3e15(0x155e)]):_0x22c200[_0x1f3e15(0x155e)]||_0x22c200['toString']()});});}function _0x1e7f60(){const _0x13e7a4=_0x459100,_0x1e3483=angular['copy'](_0x1ccb36['selectedZendeskAccounts']);return _0x1ccb36[_0x13e7a4(0x17f3)]=[],_0x1e3483;}function _0x2c6789(_0x38ecf7){const _0x1daf68=_0x459100,_0x34c58b=_0xe17c03[_0x1daf68(0x1551)]()[_0x1daf68(0x1386)](_0x1daf68(0x242b))[_0x1daf68(0x49e)](_0x1daf68(0x204d)+_0x1ccb36['selectedZendeskAccounts'][_0x1daf68(0xfd0)]+_0x1daf68(0x1d6c)+_0x1daf68(0x1b6))['ariaLabel'](_0x1daf68(0x1348))['targetEvent'](_0x38ecf7)['ok']('OK')['cancel']('CANCEL');_0xe17c03[_0x1daf68(0xe27)](_0x34c58b)[_0x1daf68(0x1cb0)](function(){const _0x2f2cbe=_0x1daf68;_0x1ccb36['selectedZendeskAccounts'][_0x2f2cbe(0xf90)](function(_0x2353e5){_0xc515c0(_0x2353e5);}),_0x1ccb36['selectedZendeskAccounts']=[];});}function _0x483d12(){const _0x250599=_0x459100;_0x1ccb36[_0x250599(0x17f3)]=[];}function _0x537ec7(){const _0x326363=_0x459100;_0x1ccb36[_0x326363(0x17f3)]=_0x1ccb36[_0x326363(0x1c64)][_0x326363(0x2214)];}}const _0x4dc5a0=_0x1444e3;;_0x416886[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$state',_0x5537c6(0x21c8),'$mdDialog','$q','$translate',_0x5537c6(0x9bf),_0x5537c6(0x1361),_0x5537c6(0x28a9),'api',_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting',_0x5537c6(0x1b1a)];function _0x416886(_0x2f2a9b,_0x32821f,_0x359577,_0x2fb92c,_0x1faab2,_0x16c0b4,_0x238001,_0x4529ec,_0x567e24,_0x32bdc3,_0x2b5827,_0x194f39,_0x29dadb,_0x14fd1d){const _0x555d62=_0x5537c6,_0x502b75=this;_0x502b75['currentUser']=_0x2b5827[_0x555d62(0x21e8)](),_0x502b75[_0x555d62(0x1a7c)]=[],_0x502b75[_0x555d62(0x9ca)]=_0x29dadb,_0x502b75[_0x555d62(0x8a5)]=_0x194f39,_0x502b75['crudPermissions']=_0x14fd1d,_0x502b75[_0x555d62(0xf4c)]={},_0x502b75['passwordPattern']=_0x502b75[_0x555d62(0x9ca)]&&_0x502b75[_0x555d62(0x9ca)][_0x555d62(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x502b75[_0x555d62(0x1386)]=_0x555d62(0x465),_0x502b75[_0x555d62(0x28a9)]=angular[_0x555d62(0x17fe)](_0x567e24),_0x502b75[_0x555d62(0x1361)]=_0x4529ec,_0x502b75[_0x555d62(0x1a98)]=![];!_0x502b75[_0x555d62(0x28a9)]&&(_0x502b75[_0x555d62(0x28a9)]={'host':_0x555d62(0x211b),'zone':'EU','serverUrl':_0x359577['protocol']()+'://'+_0x359577[_0x555d62(0x17d8)]()+(_0x359577['port']()?':'+_0x359577[_0x555d62(0x477)]():'')},_0x502b75[_0x555d62(0x1386)]=_0x555d62(0x1370),_0x502b75[_0x555d62(0x1a98)]=!![]);_0x502b75[_0x555d62(0x21d8)]=_0x45aa48,_0x502b75['saveZohoAccount']=_0x3349a,_0x502b75[_0x555d62(0x289b)]=_0x77936c,_0x502b75[_0x555d62(0x2c4)]=_0x115224,_0x502b75[_0x555d62(0xda0)]=_0xf034ab;function _0x45aa48(){const _0x17dadc=_0x555d62;_0x502b75[_0x17dadc(0x1a7c)]=[],_0x32bdc3['intZohoAccount']['save'](_0x502b75['zohoAccount'])[_0x17dadc(0x1d77)][_0x17dadc(0x1cb0)](function(_0x4bbcc1){const _0x5d1fdb=_0x17dadc;_0x502b75['zohoAccounts'][_0x5d1fdb(0xf63)](_0x4bbcc1[_0x5d1fdb(0x19b2)]()),_0x238001[_0x5d1fdb(0x829)]({'title':_0x5d1fdb(0x2621),'msg':_0x502b75[_0x5d1fdb(0x28a9)][_0x5d1fdb(0x16b6)]?_0x502b75['zohoAccount'][_0x5d1fdb(0x16b6)]+'\x20has\x20been\x20created!':''}),_0xf034ab(_0x4bbcc1);})[_0x17dadc(0x1c4)](function(_0x4a2ded){const _0x247c7a=_0x17dadc;if(_0x4a2ded['data']&&_0x4a2ded[_0x247c7a(0x25c)][_0x247c7a(0x1a7c)]&&_0x4a2ded[_0x247c7a(0x25c)]['errors']['length']){_0x502b75[_0x247c7a(0x1a7c)]=_0x4a2ded['data'][_0x247c7a(0x1a7c)]||[{'message':_0x4a2ded['toString'](),'type':'api.intZohoAccount.save'}];for(let _0x167e04=0x0;_0x167e04<_0x4a2ded[_0x247c7a(0x25c)][_0x247c7a(0x1a7c)][_0x247c7a(0xfd0)];_0x167e04+=0x1){_0x238001['error']({'title':_0x4a2ded[_0x247c7a(0x25c)][_0x247c7a(0x1a7c)][_0x167e04][_0x247c7a(0x66a)],'msg':_0x4a2ded[_0x247c7a(0x25c)][_0x247c7a(0x1a7c)][_0x167e04][_0x247c7a(0x155e)]});}}else _0x238001[_0x247c7a(0x218e)]({'title':_0x4a2ded[_0x247c7a(0x291)]?_0x247c7a(0xeb9)+_0x4a2ded['status']+'\x20-\x20'+_0x4a2ded[_0x247c7a(0xc22)]:_0x247c7a(0x2680),'msg':_0x4a2ded[_0x247c7a(0x25c)]?JSON['stringify'](_0x4a2ded['data']['message']):_0x4a2ded['toString']()});});}function _0x3349a(){const _0x4ea6b0=_0x555d62;_0x502b75[_0x4ea6b0(0x1a7c)]=[],_0x32bdc3[_0x4ea6b0(0xdb4)][_0x4ea6b0(0x687)]({'id':_0x502b75['zohoAccount']['id']},_0x502b75[_0x4ea6b0(0x28a9)])[_0x4ea6b0(0x1d77)][_0x4ea6b0(0x1cb0)](function(_0x1e6c9d){const _0x65797a=_0x4ea6b0,_0x30ad6b=_0x39641b()[_0x65797a(0x13b4)](_0x502b75['zohoAccounts'],{'id':_0x1e6c9d['id']});_0x30ad6b&&_0x39641b()['merge'](_0x30ad6b,_0x39641b()[_0x65797a(0x169b)](_0x1e6c9d[_0x65797a(0x19b2)](),_0x39641b()[_0x65797a(0x1be5)](_0x30ad6b))),_0x238001[_0x65797a(0x829)]({'title':_0x65797a(0x2576),'msg':_0x502b75['zohoAccount'][_0x65797a(0x16b6)]?_0x502b75[_0x65797a(0x28a9)][_0x65797a(0x16b6)]+'\x20has\x20been\x20saved!':''}),_0xf034ab(_0x1e6c9d);})['catch'](function(_0x77f807){const _0x41ca73=_0x4ea6b0;if(_0x77f807['data']&&_0x77f807['data'][_0x41ca73(0x1a7c)]&&_0x77f807[_0x41ca73(0x25c)]['errors'][_0x41ca73(0xfd0)]){_0x502b75[_0x41ca73(0x1a7c)]=_0x77f807[_0x41ca73(0x25c)][_0x41ca73(0x1a7c)]||[{'message':_0x77f807[_0x41ca73(0x147f)](),'type':'api.intZohoAccount.update'}];for(let _0x3b745f=0x0;_0x3b745f<_0x77f807[_0x41ca73(0x25c)]['errors'][_0x41ca73(0xfd0)];_0x3b745f++){_0x238001[_0x41ca73(0x218e)]({'title':_0x77f807[_0x41ca73(0x25c)]['errors'][_0x3b745f]['type'],'msg':_0x77f807[_0x41ca73(0x25c)][_0x41ca73(0x1a7c)][_0x3b745f][_0x41ca73(0x155e)]});}}else _0x238001[_0x41ca73(0x218e)]({'title':_0x77f807[_0x41ca73(0x291)]?_0x41ca73(0xeb9)+_0x77f807[_0x41ca73(0x291)]+_0x41ca73(0x1657)+_0x77f807[_0x41ca73(0xc22)]:_0x41ca73(0x2641),'msg':_0x77f807['data']?JSON[_0x41ca73(0x2701)](_0x77f807['data'][_0x41ca73(0x155e)]):_0x77f807['toString']()});});}function _0x77936c(_0x4035fd){const _0x36f665=_0x555d62;_0x502b75[_0x36f665(0x1a7c)]=[];const _0x5413e0=_0x2fb92c[_0x36f665(0x1551)]()[_0x36f665(0x1386)](_0x36f665(0x1a2e))[_0x36f665(0x862)](_0x36f665(0x1ad2))[_0x36f665(0x15ad)](_0x36f665(0xa11))['ok']('Delete')['cancel']('Cancel')[_0x36f665(0x728)](_0x4035fd);_0x2fb92c[_0x36f665(0xe27)](_0x5413e0)[_0x36f665(0x1cb0)](function(){const _0x1e5556=_0x36f665;_0x32bdc3[_0x1e5556(0xdb4)][_0x1e5556(0x111d)]({'id':_0x502b75[_0x1e5556(0x28a9)]['id']})[_0x1e5556(0x1d77)]['then'](function(){const _0x4dc75b=_0x1e5556;_0x39641b()[_0x4dc75b(0x152a)](_0x502b75[_0x4dc75b(0x1361)],{'id':_0x502b75[_0x4dc75b(0x28a9)]['id']}),_0x238001[_0x4dc75b(0x829)]({'title':_0x4dc75b(0x279c),'msg':(_0x502b75[_0x4dc75b(0x28a9)][_0x4dc75b(0x16b6)]||_0x4dc75b(0x28a9))+_0x4dc75b(0x3f5)}),_0xf034ab(_0x502b75[_0x4dc75b(0x28a9)]);})['catch'](function(_0x5cd49f){const _0x4b9f38=_0x1e5556;if(_0x5cd49f[_0x4b9f38(0x25c)]&&_0x5cd49f['data']['errors']&&_0x5cd49f[_0x4b9f38(0x25c)][_0x4b9f38(0x1a7c)][_0x4b9f38(0xfd0)]){_0x502b75[_0x4b9f38(0x1a7c)]=_0x5cd49f[_0x4b9f38(0x25c)][_0x4b9f38(0x1a7c)]||[{'message':_0x5cd49f[_0x4b9f38(0x147f)](),'type':_0x4b9f38(0x852)}];for(let _0x30c560=0x0;_0x30c560<_0x5cd49f[_0x4b9f38(0x25c)][_0x4b9f38(0x1a7c)][_0x4b9f38(0xfd0)];_0x30c560++){_0x238001[_0x4b9f38(0x218e)]({'title':_0x5cd49f[_0x4b9f38(0x25c)][_0x4b9f38(0x1a7c)][_0x30c560][_0x4b9f38(0x66a)],'msg':_0x5cd49f[_0x4b9f38(0x25c)][_0x4b9f38(0x1a7c)][_0x30c560][_0x4b9f38(0x155e)]});}}else _0x238001[_0x4b9f38(0x218e)]({'title':_0x5cd49f['status']?_0x4b9f38(0xeb9)+_0x5cd49f[_0x4b9f38(0x291)]+_0x4b9f38(0x1657)+_0x5cd49f['statusText']:_0x4b9f38(0x852),'msg':_0x5cd49f['data']?JSON[_0x4b9f38(0x2701)](_0x5cd49f['data']['message']):_0x5cd49f['message']||_0x5cd49f[_0x4b9f38(0x147f)]()});});},function(){});}function _0x115224(_0x24dcbc){return _0x24dcbc===null?undefined:new Date(_0x24dcbc);}function _0xf034ab(_0x3f898f){const _0xfbd663=_0x555d62;_0x2fb92c[_0xfbd663(0x1426)](_0x3f898f);}}const _0xf54cef=_0x416886;;const _0x93a1f9=_0x5074a3['p']+_0x5537c6(0x2514);;const _0x218772=_0x5074a3['p']+_0x5537c6(0x1555);;_0x565c30['$inject']=[_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x1774)];function _0x565c30(_0x5b351d,_0x2eea08,_0x50cc94,_0xa9087a,_0x30ea45){const _0x2eddd1=_0x5537c6,_0xcc91a1=this;_0xcc91a1[_0x2eddd1(0xe76)]=_0x30ea45[_0x2eddd1(0x21e8)](),_0xcc91a1[_0x2eddd1(0x28a9)]={},_0xcc91a1[_0x2eddd1(0x2942)]={},_0xcc91a1[_0x2eddd1(0xa06)]=[],_0xcc91a1['selectedZohoConfiguration']=-0x1,_0xcc91a1[_0x2eddd1(0x1b1a)],_0xcc91a1[_0x2eddd1(0x1a8e)]=_0x30344b,_0xcc91a1[_0x2eddd1(0x9a8)]=_0xb5e408,_0xcc91a1['createOrEditZohoConfiguration']=_0x32a79e,_0xcc91a1[_0x2eddd1(0x50b)]=_0x2e55a4,_0xcc91a1[_0x2eddd1(0x1da1)]=_0x195a93;function _0x195a93(){const _0x408d02=_0x2eddd1;return _0x50cc94[_0x408d02(0x1a17)][_0x408d02(0x687)]({'id':_0xcc91a1[_0x408d02(0x2942)]['id']},_0xcc91a1[_0x408d02(0x2942)])[_0x408d02(0x1d77)][_0x408d02(0x1cb0)](function(){const _0x3f7e0d=_0x408d02;_0xa9087a[_0x3f7e0d(0x829)]({'title':_0x3f7e0d(0x16d6),'msg':_0x3f7e0d(0x500)});})[_0x408d02(0x1c4)](function(_0x4538fe){const _0x291466=_0x408d02;_0xa9087a[_0x291466(0x218e)]({'title':_0x4538fe['status']?'API:'+_0x4538fe[_0x291466(0x291)]+_0x291466(0x1657)+_0x4538fe[_0x291466(0xc22)]:'SYSTEM:UPDATECONFIGURATIONFIELD','msg':_0x4538fe[_0x291466(0x25c)]?JSON[_0x291466(0x2701)](_0x4538fe[_0x291466(0x25c)]):_0x4538fe[_0x291466(0x147f)]()});});}function _0x30344b(_0x21db6a,_0x4b648d){const _0x5e0c0d=_0x2eddd1;_0xcc91a1[_0x5e0c0d(0x28a9)]=_0x21db6a,_0xcc91a1['crudPermissions']=typeof _0x4b648d!==_0x5e0c0d(0x16b5)?_0x4b648d:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x50cc94[_0x5e0c0d(0xdb4)]['getConfigurations']({'id':_0xcc91a1[_0x5e0c0d(0x28a9)]['id'],'sort':_0x5e0c0d(0x282)})[_0x5e0c0d(0x1d77)][_0x5e0c0d(0x1cb0)](function(_0x4b96c8){const _0x451d82=_0x5e0c0d;return _0xcc91a1[_0x451d82(0xa06)]=_0x4b96c8['rows']?_0x4b96c8['rows']:[],_0xcc91a1[_0x451d82(0xa06)][_0x451d82(0xfd0)]&&_0xcc91a1[_0x451d82(0x9a8)](0x0),_0x50cc94[_0x451d82(0x1822)][_0x451d82(0xbf7)]({'nolimit':!![],'sort':'name'})[_0x451d82(0x1d77)];})[_0x5e0c0d(0x1cb0)](function(_0x439d0d){const _0xfa7f7d=_0x5e0c0d;_0xcc91a1[_0xfa7f7d(0x9a9)]=_0x439d0d[_0xfa7f7d(0x2214)]?_0x439d0d[_0xfa7f7d(0x2214)]:[],_0xcc91a1[_0xfa7f7d(0x1a3c)]=_0x39641b()[_0xfa7f7d(0x2631)](_0xcc91a1['variables'],'id');})[_0x5e0c0d(0x1c4)](function(_0x300f6f){const _0x3f3d5b=_0x5e0c0d;_0xa9087a['error']({'title':_0x300f6f[_0x3f3d5b(0x291)]?_0x3f3d5b(0xeb9)+_0x300f6f[_0x3f3d5b(0x291)]+_0x3f3d5b(0x1657)+_0x300f6f[_0x3f3d5b(0xc22)]:_0x3f3d5b(0x1b0f),'msg':_0x300f6f[_0x3f3d5b(0x25c)]?JSON[_0x3f3d5b(0x2701)](_0x300f6f[_0x3f3d5b(0x25c)]):_0x300f6f[_0x3f3d5b(0x147f)]()});});}function _0xb5e408(_0x469464){const _0x38dd32=_0x2eddd1;_0xcc91a1[_0x38dd32(0x2942)]=_0xcc91a1[_0x38dd32(0xa06)][_0x469464],_0xcc91a1['selectedZohoConfiguration']=_0x469464,_0x50cc94[_0x38dd32(0x1a17)][_0x38dd32(0x243a)]({'id':_0xcc91a1['zohoConfiguration']['id']})['$promise'][_0x38dd32(0x1cb0)](function(_0x7536d7){const _0xa8ea12=_0x38dd32;return _0xcc91a1[_0xa8ea12(0x2388)]=_0x7536d7[_0xa8ea12(0x2214)]?_0x7536d7[_0xa8ea12(0x2214)]:[],_0x50cc94['intZohoConfiguration'][_0xa8ea12(0xb6d)]({'id':_0xcc91a1[_0xa8ea12(0x2942)]['id']})[_0xa8ea12(0x1d77)];})['then'](function(_0xfc71e6){const _0x5a288f=_0x38dd32;return _0xcc91a1[_0x5a288f(0x310)]=_0xfc71e6['rows']?_0xfc71e6['rows']:[],_0x50cc94['intZohoConfiguration']['getFields']({'id':_0xcc91a1[_0x5a288f(0x2942)]['id']})['$promise'];})['then'](function(_0x1ba62d){const _0x4ec35c=_0x38dd32;_0xcc91a1[_0x4ec35c(0x355)]=_0x1ba62d[_0x4ec35c(0x2214)]?_0x1ba62d[_0x4ec35c(0x2214)]:[];})['catch'](function(_0x31f9e6){const _0x121ab5=_0x38dd32;_0xa9087a['error']({'title':_0x31f9e6[_0x121ab5(0x291)]?_0x121ab5(0xeb9)+_0x31f9e6[_0x121ab5(0x291)]+_0x121ab5(0x1657)+_0x31f9e6[_0x121ab5(0xc22)]:_0x121ab5(0x1e5f),'msg':_0x31f9e6['data']?JSON[_0x121ab5(0x2701)](_0x31f9e6[_0x121ab5(0x25c)]):_0x31f9e6[_0x121ab5(0x147f)]()});});}function _0x32a79e(_0xd611de,_0x410917){const _0x9f81bc=_0x2eddd1;_0x5b351d['show']({'controller':_0x9f81bc(0x1952),'controllerAs':'vm','templateUrl':_0x93a1f9,'parent':angular['element'](_0x2eea08[_0x9f81bc(0x1ed9)]),'targetEvent':_0xd611de,'clickOutsideToClose':!![],'locals':{'zohoConfiguration':_0x410917,'configurations':_0xcc91a1[_0x9f81bc(0xa06)],'license':null,'setting':null,'crudPermissions':_0xcc91a1[_0x9f81bc(0x1b1a)]}})['finally'](function(){const _0x22cb2c=_0x9f81bc;_0xcc91a1[_0x22cb2c(0x9a8)](0x0);});}function _0x2e55a4(_0x1f394c,_0x2c93e2){const _0x1f832f=_0x2eddd1,_0x91071d=_0x5b351d[_0x1f832f(0x1551)]()[_0x1f832f(0x1386)](_0x1f832f(0x2895))[_0x1f832f(0x49e)](''+(_0x2c93e2['name']||_0x1f832f(0x2942))+_0x1f832f(0x1200)+_0x1f832f(0x1b6))[_0x1f832f(0x15ad)]('delete\x20zohoConfiguration')[_0x1f832f(0x728)](_0x1f394c)['ok']('OK')[_0x1f832f(0x696)](_0x1f832f(0x24ba));_0x5b351d[_0x1f832f(0xe27)](_0x91071d)[_0x1f832f(0x1cb0)](function(){const _0x46f3e9=_0x1f832f;_0x50cc94[_0x46f3e9(0x1a17)]['delete']({'id':_0x2c93e2['id']})[_0x46f3e9(0x1d77)][_0x46f3e9(0x1cb0)](function(){const _0x41b704=_0x46f3e9;_0x39641b()[_0x41b704(0x152a)](_0xcc91a1[_0x41b704(0xa06)],{'id':_0x2c93e2['id']}),_0xa9087a[_0x41b704(0x829)]({'title':_0x41b704(0x10e1),'msg':_0x2c93e2['name']?_0x2c93e2[_0x41b704(0x16b6)]+_0x41b704(0x3f5):''});})[_0x46f3e9(0x1c4)](function(_0x43107f){const _0x11397b=_0x46f3e9;_0xa9087a[_0x11397b(0x218e)]({'title':_0x43107f[_0x11397b(0x291)]?_0x11397b(0xeb9)+_0x43107f[_0x11397b(0x291)]+'\x20-\x20'+_0x43107f[_0x11397b(0xc22)]:_0x11397b(0x543),'msg':_0x43107f['data']?JSON[_0x11397b(0x2701)](_0x43107f[_0x11397b(0x25c)]):_0x43107f['toString']()});})[_0x46f3e9(0x2e0)](function(){const _0x260153=_0x46f3e9;_0xcc91a1[_0x260153(0x9a8)](0x0);});},function(){const _0x436b0c=_0x1f832f;console[_0x436b0c(0x1b4f)]('CANCEL');});}_0xcc91a1[_0x2eddd1(0x4df)]=[],_0xcc91a1[_0x2eddd1(0x1267)]=_0x143b34,_0xcc91a1[_0x2eddd1(0x259e)]=_0x49df86,_0xcc91a1[_0x2eddd1(0xf12)]=_0x38dbc4;function _0x143b34(_0x5cf772,_0xbadfa2){const _0x45d3bb=_0x2eddd1;_0x5b351d['show']({'controller':_0x45d3bb(0x141c),'controllerAs':'vm','templateUrl':_0x218772,'parent':angular[_0x45d3bb(0x1853)](_0x2eea08[_0x45d3bb(0x1ed9)]),'targetEvent':_0x5cf772,'clickOutsideToClose':!![],'locals':{'type':_0x45d3bb(0x1e5d),'item':_0xbadfa2,'items':_0xcc91a1[_0x45d3bb(0x2388)],'configuration':_0xcc91a1[_0x45d3bb(0x2942)],'account':_0xcc91a1['zohoAccount'],'license':null,'setting':null,'crudPermissions':_0xcc91a1[_0x45d3bb(0x1b1a)]}});}function _0x6578f8(_0x65ea76){const _0x30cd17=_0x2eddd1;_0x50cc94[_0x30cd17(0x27a6)][_0x30cd17(0x111d)]({'id':_0x65ea76['id']})['$promise'][_0x30cd17(0x1cb0)](function(){const _0x167df6=_0x30cd17;_0x39641b()[_0x167df6(0x152a)](_0xcc91a1[_0x167df6(0x2388)],{'id':_0x65ea76['id']}),_0xa9087a[_0x167df6(0x829)]({'title':_0x167df6(0x1d11),'msg':_0x167df6(0x145d)});})['catch'](function(_0x306131){const _0x5660f1=_0x30cd17;_0xa9087a['error']({'title':_0x306131[_0x5660f1(0x291)]?'API:'+_0x306131[_0x5660f1(0x291)]+'\x20-\x20'+_0x306131[_0x5660f1(0xc22)]:_0x5660f1(0x13e5),'msg':_0x306131[_0x5660f1(0x25c)]?JSON[_0x5660f1(0x2701)](_0x306131[_0x5660f1(0x25c)]):_0x306131[_0x5660f1(0x147f)]()});});}function _0x49df86(_0x14791d,_0x43ae88){const _0x20e4ff=_0x2eddd1,_0xb8cfb0=_0x5b351d['confirm']()[_0x20e4ff(0x1386)](_0x20e4ff(0xa59))['htmlContent'](_0x20e4ff(0x14ee)+_0x20e4ff(0x1b6))['ariaLabel'](_0x20e4ff(0xbc3))[_0x20e4ff(0x728)](_0x14791d)['ok']('OK')[_0x20e4ff(0x696)](_0x20e4ff(0x24ba));_0x5b351d[_0x20e4ff(0xe27)](_0xb8cfb0)[_0x20e4ff(0x1cb0)](function(){_0x6578f8(_0x43ae88);},function(){const _0xa73eee=_0x20e4ff;console['log'](_0xa73eee(0x24ba));});}function _0x38dbc4(_0x1ae014){const _0x4f3796=_0x2eddd1,_0x18bda8=_0x5b351d[_0x4f3796(0x1551)]()[_0x4f3796(0x1386)](_0x4f3796(0x1f8e))[_0x4f3796(0x49e)](_0x4f3796(0x204d)+_0xcc91a1[_0x4f3796(0x4df)]['length']+_0x4f3796(0x1d6c)+_0x4f3796(0x1b6))['ariaLabel'](_0x4f3796(0x222e))[_0x4f3796(0x728)](_0x1ae014)['ok']('OK')[_0x4f3796(0x696)](_0x4f3796(0x24ba));_0x5b351d[_0x4f3796(0xe27)](_0x18bda8)[_0x4f3796(0x1cb0)](function(){const _0x34b0a3=_0x4f3796;_0xcc91a1[_0x34b0a3(0x4df)][_0x34b0a3(0xf90)](function(_0x592710){_0x6578f8(_0x592710);}),_0xcc91a1[_0x34b0a3(0x4df)]=[];});}_0xcc91a1[_0x2eddd1(0xac6)]=[],_0xcc91a1['createOrEditDescription']=_0x1a7f9a,_0xcc91a1[_0x2eddd1(0xc09)]=_0x4aab7b,_0xcc91a1[_0x2eddd1(0x2931)]=_0x36944b;function _0x1a7f9a(_0x4b62c8,_0x20e8ec){const _0x450da5=_0x2eddd1;_0x5b351d[_0x450da5(0xe27)]({'controller':_0x450da5(0x141c),'controllerAs':'vm','templateUrl':_0x218772,'parent':angular['element'](_0x2eea08[_0x450da5(0x1ed9)]),'targetEvent':_0x4b62c8,'clickOutsideToClose':!![],'locals':{'type':_0x450da5(0x2c1),'item':_0x20e8ec,'items':_0xcc91a1[_0x450da5(0x310)],'configuration':_0xcc91a1[_0x450da5(0x2942)],'account':_0xcc91a1[_0x450da5(0x28a9)],'license':null,'setting':null,'crudPermissions':_0xcc91a1[_0x450da5(0x1b1a)]}});}function _0x570bac(_0x3a6483){const _0x415e6b=_0x2eddd1;_0x50cc94[_0x415e6b(0x27a6)][_0x415e6b(0x111d)]({'id':_0x3a6483['id']})[_0x415e6b(0x1d77)][_0x415e6b(0x1cb0)](function(){const _0x50a924=_0x415e6b;_0x39641b()[_0x50a924(0x152a)](_0xcc91a1[_0x50a924(0x310)],{'id':_0x3a6483['id']}),_0xa9087a[_0x50a924(0x829)]({'title':_0x50a924(0x24c0),'msg':'Description\x20has\x20been\x20deleted!'});})[_0x415e6b(0x1c4)](function(_0x2fa26d){const _0x18597a=_0x415e6b;_0xa9087a[_0x18597a(0x218e)]({'title':_0x2fa26d[_0x18597a(0x291)]?_0x18597a(0xeb9)+_0x2fa26d[_0x18597a(0x291)]+_0x18597a(0x1657)+_0x2fa26d[_0x18597a(0xc22)]:_0x18597a(0x13e5),'msg':_0x2fa26d[_0x18597a(0x25c)]?JSON[_0x18597a(0x2701)](_0x2fa26d[_0x18597a(0x25c)]):_0x2fa26d[_0x18597a(0x147f)]()});});}function _0x4aab7b(_0x2cdae1,_0x280612){const _0x2065c2=_0x2eddd1,_0x43f483=_0x5b351d[_0x2065c2(0x1551)]()[_0x2065c2(0x1386)](_0x2065c2(0x7d2))['htmlContent'](_0x2065c2(0x18b8)+_0x2065c2(0x1b6))[_0x2065c2(0x15ad)](_0x2065c2(0x103d))[_0x2065c2(0x728)](_0x2cdae1)['ok']('OK')[_0x2065c2(0x696)](_0x2065c2(0x24ba));_0x5b351d['show'](_0x43f483)['then'](function(){_0x570bac(_0x280612);},function(){const _0x5de92c=_0x2065c2;console[_0x5de92c(0x1b4f)](_0x5de92c(0x24ba));});}function _0x36944b(_0x4a1181){const _0x2be01c=_0x2eddd1,_0x194f6d=_0x5b351d[_0x2be01c(0x1551)]()[_0x2be01c(0x1386)](_0x2be01c(0x2e7))[_0x2be01c(0x49e)](''+_0xcc91a1['selectedDescriptions'][_0x2be01c(0xfd0)]+'\x20selected'+_0x2be01c(0x1b6))[_0x2be01c(0x15ad)](_0x2be01c(0x1828))[_0x2be01c(0x728)](_0x4a1181)['ok']('OK')[_0x2be01c(0x696)]('CANCEL');_0x5b351d[_0x2be01c(0xe27)](_0x194f6d)[_0x2be01c(0x1cb0)](function(){const _0x49dc72=_0x2be01c;_0xcc91a1[_0x49dc72(0xac6)][_0x49dc72(0xf90)](function(_0x25f815){_0x570bac(_0x25f815);}),_0xcc91a1['selectedDescriptions']=[];});}_0xcc91a1[_0x2eddd1(0x9b2)]=[],_0xcc91a1[_0x2eddd1(0x5e9)]=_0x5bed05,_0xcc91a1['deleteFieldConfirm']=_0x20c1be,_0xcc91a1['deleteSelectedFields']=_0x1b0a9d;function _0x5bed05(_0x2f0ce7,_0x1e21c5){const _0xca762b=_0x2eddd1;_0x5b351d[_0xca762b(0xe27)]({'controller':_0xca762b(0x141c),'controllerAs':'vm','templateUrl':_0x218772,'parent':angular['element'](_0x2eea08['body']),'targetEvent':_0x2f0ce7,'clickOutsideToClose':!![],'locals':{'type':_0xca762b(0x2198),'item':_0x1e21c5,'items':_0xcc91a1[_0xca762b(0x355)],'configuration':_0xcc91a1['zohoConfiguration'],'account':_0xcc91a1[_0xca762b(0x28a9)],'license':null,'setting':null,'crudPermissions':_0xcc91a1[_0xca762b(0x1b1a)]}});}function _0x4293e7(_0x14d639){const _0x19d440=_0x2eddd1;_0x50cc94[_0x19d440(0x27a6)][_0x19d440(0x111d)]({'id':_0x14d639['id']})[_0x19d440(0x1d77)][_0x19d440(0x1cb0)](function(){const _0x3f5a96=_0x19d440;_0x39641b()[_0x3f5a96(0x152a)](_0xcc91a1['fields'],{'id':_0x14d639['id']}),_0xa9087a['success']({'title':_0x3f5a96(0xd6b),'msg':_0x3f5a96(0x2688)});})[_0x19d440(0x1c4)](function(_0x4329cc){const _0x2bad90=_0x19d440;_0xa9087a['error']({'title':_0x4329cc[_0x2bad90(0x291)]?_0x2bad90(0xeb9)+_0x4329cc[_0x2bad90(0x291)]+_0x2bad90(0x1657)+_0x4329cc[_0x2bad90(0xc22)]:_0x2bad90(0x13e5),'msg':_0x4329cc[_0x2bad90(0x25c)]?JSON[_0x2bad90(0x2701)](_0x4329cc['data']):_0x4329cc[_0x2bad90(0x147f)]()});});}function _0x20c1be(_0x22298d,_0xfb77a9){const _0x3d4aca=_0x2eddd1,_0x2fb62d=_0x5b351d[_0x3d4aca(0x1551)]()[_0x3d4aca(0x1386)](_0x3d4aca(0x21a9))['htmlContent'](_0x3d4aca(0xf1d)+_0x3d4aca(0x1b6))[_0x3d4aca(0x15ad)]('delete\x20field')[_0x3d4aca(0x728)](_0x22298d)['ok']('OK')[_0x3d4aca(0x696)](_0x3d4aca(0x24ba));_0x5b351d[_0x3d4aca(0xe27)](_0x2fb62d)[_0x3d4aca(0x1cb0)](function(){_0x4293e7(_0xfb77a9);},function(){const _0xa5a781=_0x3d4aca;console[_0xa5a781(0x1b4f)](_0xa5a781(0x24ba));});}function _0x1b0a9d(_0x236946){const _0x1fcab6=_0x2eddd1,_0x5e1cc3=_0x5b351d[_0x1fcab6(0x1551)]()[_0x1fcab6(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20fields?')[_0x1fcab6(0x49e)](''+_0xcc91a1['selectedFields'][_0x1fcab6(0xfd0)]+_0x1fcab6(0x1d6c)+_0x1fcab6(0x1b6))[_0x1fcab6(0x15ad)](_0x1fcab6(0x199d))[_0x1fcab6(0x728)](_0x236946)['ok']('OK')[_0x1fcab6(0x696)]('CANCEL');_0x5b351d[_0x1fcab6(0xe27)](_0x5e1cc3)[_0x1fcab6(0x1cb0)](function(){const _0xabbf32=_0x1fcab6;_0xcc91a1[_0xabbf32(0x9b2)][_0xabbf32(0xf90)](function(_0xe5e0fc){_0x4293e7(_0xe5e0fc);}),_0xcc91a1[_0xabbf32(0x9b2)]=[];});}}const _0x55f046=_0x565c30;;_0x2fa96d['$inject']=[_0x5537c6(0x1463),'$state',_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q','$translate',_0x5537c6(0x9bf),_0x5537c6(0xa06),_0x5537c6(0x2942),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca),'crudPermissions'];function _0x2fa96d(_0x105010,_0x4cf222,_0x460894,_0x387055,_0xe96e68,_0x5394db,_0x2f3fbf,_0xe208da,_0x157d6f,_0x448421,_0xe72fca,_0xd3bae9,_0x1fad76,_0x314905){const _0x16bfcf=_0x5537c6,_0xa1070c=this;_0xa1070c[_0x16bfcf(0xe76)]=_0xe72fca[_0x16bfcf(0x21e8)](),_0xa1070c[_0x16bfcf(0x1a7c)]=[],_0xa1070c[_0x16bfcf(0x9ca)]=_0x1fad76,_0xa1070c[_0x16bfcf(0x8a5)]=_0xd3bae9,_0xa1070c['crudPermissions']=_0x314905,_0xa1070c[_0x16bfcf(0xf4c)]={},_0xa1070c['passwordPattern']=_0xa1070c[_0x16bfcf(0x9ca)]&&_0xa1070c[_0x16bfcf(0x9ca)][_0x16bfcf(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0xa1070c[_0x16bfcf(0x1386)]=_0x16bfcf(0x5b6),_0xa1070c[_0x16bfcf(0x2942)]=angular['copy'](_0x157d6f),_0xa1070c[_0x16bfcf(0xa06)]=_0xe208da,_0xa1070c[_0x16bfcf(0xd24)]=![];!_0xa1070c['zohoConfiguration']&&(_0xa1070c['zohoConfiguration']={'channel':'voice','type':_0x16bfcf(0x26c0)},_0xa1070c[_0x16bfcf(0x1386)]='INTEGRATIONS.NEW_ZOHOCONFIGURATION',_0xa1070c['newZohoConfiguration']=!![]);_0xa1070c[_0x16bfcf(0x1579)]=_0x1e07ee,_0xa1070c[_0x16bfcf(0x19db)]=_0x2517b1,_0xa1070c[_0x16bfcf(0x50b)]=_0x5d1e01,_0xa1070c[_0x16bfcf(0x2c4)]=_0x5b237f,_0xa1070c[_0x16bfcf(0xda0)]=_0x44af98;function _0x1e07ee(){const _0x1009bb=_0x16bfcf;_0xa1070c['errors']=[],_0x448421[_0x1009bb(0xdb4)][_0x1009bb(0x1070)]({'id':_0x4cf222['params']['id']},_0xa1070c[_0x1009bb(0x2942)])['$promise'][_0x1009bb(0x1cb0)](function(_0x3c7872){const _0x3ba5ae=_0x1009bb;_0xa1070c[_0x3ba5ae(0xa06)]['unshift'](_0x3c7872[_0x3ba5ae(0x19b2)]()),_0x2f3fbf['success']({'title':_0x3ba5ae(0x28d2),'msg':_0xa1070c[_0x3ba5ae(0x2942)][_0x3ba5ae(0x16b6)]?_0xa1070c[_0x3ba5ae(0x2942)][_0x3ba5ae(0x16b6)]+_0x3ba5ae(0x470):''}),_0x44af98(_0x3c7872);})['catch'](function(_0x5d7e01){const _0x577fbc=_0x1009bb;if(_0x5d7e01[_0x577fbc(0x25c)]&&_0x5d7e01['data'][_0x577fbc(0x1a7c)]&&_0x5d7e01[_0x577fbc(0x25c)][_0x577fbc(0x1a7c)][_0x577fbc(0xfd0)]){_0xa1070c[_0x577fbc(0x1a7c)]=_0x5d7e01[_0x577fbc(0x25c)][_0x577fbc(0x1a7c)]||[{'message':_0x5d7e01['toString'](),'type':_0x577fbc(0x19e5)}];for(let _0x32bedc=0x0;_0x32bedc<_0x5d7e01[_0x577fbc(0x25c)][_0x577fbc(0x1a7c)][_0x577fbc(0xfd0)];_0x32bedc+=0x1){_0x2f3fbf[_0x577fbc(0x218e)]({'title':_0x5d7e01[_0x577fbc(0x25c)]['errors'][_0x32bedc]['type'],'msg':_0x5d7e01[_0x577fbc(0x25c)][_0x577fbc(0x1a7c)][_0x32bedc][_0x577fbc(0x155e)]});}}else _0x2f3fbf[_0x577fbc(0x218e)]({'title':_0x5d7e01[_0x577fbc(0x291)]?_0x577fbc(0xeb9)+_0x5d7e01[_0x577fbc(0x291)]+'\x20-\x20'+_0x5d7e01['statusText']:_0x577fbc(0x19e5),'msg':_0x5d7e01[_0x577fbc(0x25c)]?JSON[_0x577fbc(0x2701)](_0x5d7e01['data']['message']):_0x5d7e01[_0x577fbc(0x147f)]()});});}function _0x2517b1(){const _0x66c166=_0x16bfcf;_0xa1070c['errors']=[],_0x448421['intZohoConfiguration']['update']({'id':_0xa1070c[_0x66c166(0x2942)]['id']},_0xa1070c[_0x66c166(0x2942)])['$promise'][_0x66c166(0x1cb0)](function(_0x3f15b5){const _0x53c577=_0x66c166,_0x22052d=_0x39641b()['find'](_0xa1070c[_0x53c577(0xa06)],{'id':_0x3f15b5['id']});_0x22052d&&_0x39641b()[_0x53c577(0x9c1)](_0x22052d,_0x39641b()[_0x53c577(0x169b)](_0x3f15b5[_0x53c577(0x19b2)](),_0x39641b()[_0x53c577(0x1be5)](_0x22052d))),_0x2f3fbf[_0x53c577(0x829)]({'title':_0x53c577(0xbe4),'msg':_0xa1070c[_0x53c577(0x2942)][_0x53c577(0x16b6)]?_0xa1070c[_0x53c577(0x2942)][_0x53c577(0x16b6)]+_0x53c577(0xedb):''}),_0x44af98(_0x3f15b5);})[_0x66c166(0x1c4)](function(_0x1ef05a){const _0x23ba2e=_0x66c166;if(_0x1ef05a['data']&&_0x1ef05a[_0x23ba2e(0x25c)][_0x23ba2e(0x1a7c)]&&_0x1ef05a[_0x23ba2e(0x25c)][_0x23ba2e(0x1a7c)]['length']){_0xa1070c['errors']=_0x1ef05a[_0x23ba2e(0x25c)][_0x23ba2e(0x1a7c)]||[{'message':_0x1ef05a[_0x23ba2e(0x147f)](),'type':'api.intZohoConfiguration.update'}];for(let _0x3a9480=0x0;_0x3a9480<_0x1ef05a[_0x23ba2e(0x25c)]['errors'][_0x23ba2e(0xfd0)];_0x3a9480++){_0x2f3fbf[_0x23ba2e(0x218e)]({'title':_0x1ef05a['data']['errors'][_0x3a9480][_0x23ba2e(0x66a)],'msg':_0x1ef05a[_0x23ba2e(0x25c)]['errors'][_0x3a9480][_0x23ba2e(0x155e)]});}}else _0x2f3fbf[_0x23ba2e(0x218e)]({'title':_0x1ef05a[_0x23ba2e(0x291)]?_0x23ba2e(0xeb9)+_0x1ef05a[_0x23ba2e(0x291)]+'\x20-\x20'+_0x1ef05a[_0x23ba2e(0xc22)]:_0x23ba2e(0x14dd),'msg':_0x1ef05a[_0x23ba2e(0x25c)]?JSON[_0x23ba2e(0x2701)](_0x1ef05a['data'][_0x23ba2e(0x155e)]):_0x1ef05a[_0x23ba2e(0x147f)]()});});}function _0x5d1e01(_0x25affe){const _0x4690b8=_0x16bfcf;_0xa1070c['errors']=[];const _0x43690a=_0x387055[_0x4690b8(0x1551)]()['title'](_0x4690b8(0x1a2e))[_0x4690b8(0x862)](_0x4690b8(0x504))['ariaLabel']('Delete\x20ZohoConfiguration')['ok'](_0x4690b8(0x2594))[_0x4690b8(0x696)](_0x4690b8(0xde1))[_0x4690b8(0x728)](_0x25affe);_0x387055[_0x4690b8(0xe27)](_0x43690a)[_0x4690b8(0x1cb0)](function(){const _0x5516ff=_0x4690b8;_0x448421[_0x5516ff(0x1a17)][_0x5516ff(0x111d)]({'id':_0xa1070c['zohoConfiguration']['id']})[_0x5516ff(0x1d77)][_0x5516ff(0x1cb0)](function(){const _0x319ae2=_0x5516ff;_0x39641b()[_0x319ae2(0x152a)](_0xa1070c[_0x319ae2(0xa06)],{'id':_0xa1070c[_0x319ae2(0x2942)]['id']}),_0x2f3fbf[_0x319ae2(0x829)]({'title':_0x319ae2(0x2786),'msg':(_0xa1070c['zohoConfiguration'][_0x319ae2(0x16b6)]||'zohoConfiguration')+_0x319ae2(0x3f5)}),_0x44af98(_0xa1070c['zohoConfiguration']);})[_0x5516ff(0x1c4)](function(_0x479ac6){const _0x54dbf9=_0x5516ff;if(_0x479ac6['data']&&_0x479ac6[_0x54dbf9(0x25c)][_0x54dbf9(0x1a7c)]&&_0x479ac6['data'][_0x54dbf9(0x1a7c)][_0x54dbf9(0xfd0)]){_0xa1070c[_0x54dbf9(0x1a7c)]=_0x479ac6[_0x54dbf9(0x25c)][_0x54dbf9(0x1a7c)]||[{'message':_0x479ac6[_0x54dbf9(0x147f)](),'type':'api.intZohoConfiguration.delete'}];for(let _0x224df0=0x0;_0x224df0<_0x479ac6[_0x54dbf9(0x25c)][_0x54dbf9(0x1a7c)][_0x54dbf9(0xfd0)];_0x224df0++){_0x2f3fbf[_0x54dbf9(0x218e)]({'title':_0x479ac6[_0x54dbf9(0x25c)][_0x54dbf9(0x1a7c)][_0x224df0][_0x54dbf9(0x66a)],'msg':_0x479ac6[_0x54dbf9(0x25c)][_0x54dbf9(0x1a7c)][_0x224df0][_0x54dbf9(0x155e)]});}}else _0x2f3fbf[_0x54dbf9(0x218e)]({'title':_0x479ac6[_0x54dbf9(0x291)]?'API:'+_0x479ac6[_0x54dbf9(0x291)]+_0x54dbf9(0x1657)+_0x479ac6[_0x54dbf9(0xc22)]:'api.intZohoConfiguration.delete','msg':_0x479ac6[_0x54dbf9(0x25c)]?JSON['stringify'](_0x479ac6[_0x54dbf9(0x25c)][_0x54dbf9(0x155e)]):_0x479ac6[_0x54dbf9(0x155e)]||_0x479ac6[_0x54dbf9(0x147f)]()});});},function(){});}function _0x5b237f(_0x15376d){return _0x15376d===null?undefined:new Date(_0x15376d);}function _0x44af98(_0x267880){const _0xf8caa3=_0x16bfcf;_0x387055[_0xf8caa3(0x1426)](_0x267880);}}const _0x380aef=_0x2fa96d;;_0x9f378a[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x9bf),_0x5537c6(0x2718),_0x5537c6(0x122f),_0x5537c6(0x583),_0x5537c6(0x1539),_0x5537c6(0x66a),'api',_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x9f378a(_0x26be2e,_0x51ea81,_0x495c4d,_0x3a7918,_0x380a05,_0x1163c7,_0x5c4fc5,_0x456d52,_0x141f3e,_0x4ef736,_0x270ce1,_0x8758cb,_0x2660e5){const _0xcdf58c=_0x5537c6,_0x1da0ae=this;_0x1da0ae[_0xcdf58c(0xe76)]=_0x8758cb[_0xcdf58c(0x21e8)](),_0x1da0ae['errors']=[],_0x1da0ae[_0xcdf58c(0x1386)]='INTEGRATIONS.EDIT_'+_0x39641b()[_0xcdf58c(0x1002)](_0x4ef736),_0x1da0ae['item']=angular[_0xcdf58c(0x17fe)](_0x456d52),_0x1da0ae['items']=_0x5c4fc5,_0x1da0ae[_0xcdf58c(0x66a)]=_0x4ef736,_0x1da0ae['crudPermissions']=_0x2660e5,_0x1da0ae[_0xcdf58c(0x1202)]=![];!_0x1da0ae[_0xcdf58c(0x583)]&&(_0x1da0ae['item']={'type':_0xcdf58c(0x1518)},_0x1da0ae[_0xcdf58c(0x583)][_0x39641b()['capitalize'](_0x4ef736)+'Id']=_0x1163c7['id'],_0x1da0ae[_0xcdf58c(0x1386)]='INTEGRATIONS.NEW_'+_0x39641b()[_0xcdf58c(0x1002)](_0x4ef736),_0x1da0ae[_0xcdf58c(0x1202)]=!![]);_0x1da0ae[_0xcdf58c(0x1286)]=_0x5245e2,_0x1da0ae[_0xcdf58c(0x1a78)]=_0x1cdaf9,_0x1da0ae[_0xcdf58c(0x1177)]=_0x5ae003,_0x1da0ae[_0xcdf58c(0x2f6)]=_0x51c400,_0x1da0ae[_0xcdf58c(0xda0)]=_0x500508,_0x270ce1[_0xcdf58c(0x1822)]['get']({'nolimit':!![]})[_0xcdf58c(0x1d77)][_0xcdf58c(0x1cb0)](function(_0x1b8921){const _0xb51c77=_0xcdf58c;return _0x1da0ae['variables']=_0x1b8921['rows']?_0x1b8921[_0xb51c77(0x2214)]:[],_0x270ce1[_0xb51c77(0x247a)][_0xb51c77(0x1e57)]()['$promise'];})[_0xcdf58c(0x1cb0)](function(_0x89404d){const _0x127b11=_0xcdf58c;return _0x1da0ae[_0x127b11(0xbf1)]=_0x39641b()['difference'](_0x39641b()[_0x127b11(0x1be5)](_0x89404d),[_0x127b11(0x1d77),'$resolved',_0x127b11(0x24cb),'updatedAt',_0x127b11(0x1867)]),_0x1da0ae[_0x127b11(0xbf1)]['push'](_0x127b11(0x2110)),_0x270ce1['voiceDialReport'][_0x127b11(0x1e57)]()['$promise'];})[_0xcdf58c(0x1cb0)](function(_0x36fc7f){const _0x80c724=_0xcdf58c;_0x1da0ae[_0x80c724(0x1639)]=_0x39641b()['difference'](_0x39641b()['keys'](_0x36fc7f),[_0x80c724(0x1d77),'$resolved',_0x80c724(0x24cb),_0x80c724(0x27ba),'sourceid']),_0x1da0ae[_0x80c724(0x1639)][_0x80c724(0x2785)]('recordingURL');})['catch'](function(_0x70c3a1){const _0x11be29=_0xcdf58c;_0x380a05[_0x11be29(0x218e)]({'title':_0x70c3a1[_0x11be29(0x291)]?_0x11be29(0xeb9)+_0x70c3a1[_0x11be29(0x291)]+_0x11be29(0x1657)+_0x70c3a1[_0x11be29(0xc22)]:_0x11be29(0x262e),'msg':_0x70c3a1[_0x11be29(0x25c)]?JSON['stringify'](_0x70c3a1[_0x11be29(0x25c)]):_0x70c3a1['toString']()});}),_0x270ce1[_0xcdf58c(0xdb4)][_0xcdf58c(0xc84)]({'id':_0x141f3e['id'],'ticketType':_0x1163c7[_0xcdf58c(0x161)]?_0x1163c7[_0xcdf58c(0x161)]:undefined})[_0xcdf58c(0x1d77)][_0xcdf58c(0x1cb0)](function(_0x2de483){const _0x23cbf0=_0xcdf58c;_0x1da0ae[_0x23cbf0(0x1a28)]=!![],_0x1da0ae['fields']=_0x2de483[_0x23cbf0(0x2214)]?_0x2de483['rows']:[],_0x1da0ae[_0x23cbf0(0x583)][_0x23cbf0(0x1e50)]&&(_0x1da0ae[_0x23cbf0(0x1d5a)]=_0x39641b()['find'](_0x1da0ae['fields'],{'id':_0x1da0ae[_0x23cbf0(0x583)][_0x23cbf0(0x1e50)]}));})[_0xcdf58c(0x1c4)](function(_0x31e019){const _0x3b0a8a=_0xcdf58c;_0x1da0ae[_0x3b0a8a(0x1a28)]=![],console['error'](_0x31e019);});function _0x5245e2(){const _0x8ac3b7=_0xcdf58c;_0x1da0ae[_0x8ac3b7(0x1a7c)]=[],_0x1da0ae['customField']&&(_0x1da0ae['item']['idField']=_0x1da0ae[_0x8ac3b7(0x1d5a)]['id'],_0x1da0ae[_0x8ac3b7(0x583)][_0x8ac3b7(0xc1f)]=_0x1da0ae[_0x8ac3b7(0x1d5a)][_0x8ac3b7(0x16b6)],_0x1da0ae[_0x8ac3b7(0x583)][_0x8ac3b7(0x1d5a)]=_0x1da0ae[_0x8ac3b7(0x1d5a)]['custom']),_0x270ce1['intZohoField'][_0x8ac3b7(0x1c3f)](_0x1da0ae[_0x8ac3b7(0x583)])[_0x8ac3b7(0x1d77)][_0x8ac3b7(0x1cb0)](function(_0x4826ba){const _0x391768=_0x8ac3b7;_0x1da0ae[_0x391768(0x122f)][_0x391768(0xf63)](_0x4826ba),_0x380a05['success']({'title':_0x39641b()['capitalize'](_0x4ef736)+'\x20properly\x20created','msg':_0x39641b()[_0x391768(0x432)](_0x4ef736)+_0x391768(0x470)}),_0x500508();})['catch'](function(_0x1f1997){const _0x1a05f3=_0x8ac3b7;console[_0x1a05f3(0x218e)](_0x1f1997),_0x1da0ae[_0x1a05f3(0x1a7c)]=_0x1f1997[_0x1a05f3(0x25c)][_0x1a05f3(0x1a7c)]||[{'message':_0x1f1997[_0x1a05f3(0x147f)](),'type':_0x1a05f3(0x1876)}];});}function _0x1cdaf9(){const _0x3c5ea0=_0xcdf58c;_0x1da0ae[_0x3c5ea0(0x1a7c)]=[],_0x1da0ae[_0x3c5ea0(0x1d5a)]&&(_0x1da0ae['item'][_0x3c5ea0(0x1e50)]=_0x1da0ae[_0x3c5ea0(0x1d5a)]['id'],_0x1da0ae[_0x3c5ea0(0x583)][_0x3c5ea0(0xc1f)]=_0x1da0ae[_0x3c5ea0(0x1d5a)][_0x3c5ea0(0x16b6)],_0x1da0ae[_0x3c5ea0(0x583)][_0x3c5ea0(0x1d5a)]=_0x1da0ae['customField']['custom']),_0x270ce1['intZohoField'][_0x3c5ea0(0x687)]({'id':_0x1da0ae[_0x3c5ea0(0x583)]['id']},_0x1da0ae[_0x3c5ea0(0x583)])['$promise'][_0x3c5ea0(0x1cb0)](function(_0x3ca36a){const _0x4608a3=_0x3c5ea0,_0x8bdd08=_0x39641b()['find'](_0x1da0ae[_0x4608a3(0x122f)],{'id':_0x3ca36a['id']});_0x8bdd08&&_0x39641b()[_0x4608a3(0x9c1)](_0x8bdd08,_0x3ca36a),_0x380a05['success']({'title':_0x39641b()['capitalize'](_0x4ef736)+_0x4608a3(0xaf6),'msg':_0x39641b()[_0x4608a3(0x432)](_0x4ef736)+_0x4608a3(0xedb)}),_0x500508();})[_0x3c5ea0(0x1c4)](function(_0x20c15e){const _0x49eaf2=_0x3c5ea0;console[_0x49eaf2(0x218e)](_0x20c15e),_0x1da0ae[_0x49eaf2(0x1a7c)]=_0x20c15e[_0x49eaf2(0x25c)]['errors']||[{'message':_0x20c15e[_0x49eaf2(0x147f)](),'type':'api.intItem.update'}];});}function _0x5ae003(_0x407f55){const _0x1d76b5=_0xcdf58c;_0x1da0ae[_0x1d76b5(0x1a7c)]=[];const _0x49dcb9=_0x3a7918[_0x1d76b5(0x1551)]()['title'](_0x1d76b5(0x1a2e))[_0x1d76b5(0x862)](_0x1d76b5(0xd4c)+_0x4ef736+_0x1d76b5(0x1b6))[_0x1d76b5(0x15ad)](_0x1d76b5(0x1f6a)+_0x39641b()[_0x1d76b5(0x432)](_0x4ef736))['ok']('Delete')[_0x1d76b5(0x696)]('Cancel')[_0x1d76b5(0x728)](_0x407f55);_0x3a7918[_0x1d76b5(0xe27)](_0x49dcb9)[_0x1d76b5(0x1cb0)](function(){const _0x1aa58b=_0x1d76b5;_0x270ce1['intZohoField'][_0x1aa58b(0x111d)]({'id':_0x1da0ae[_0x1aa58b(0x583)]['id']})['$promise'][_0x1aa58b(0x1cb0)](function(){const _0x3d0710=_0x1aa58b;_0x39641b()[_0x3d0710(0x152a)](_0x1da0ae[_0x3d0710(0x122f)],{'id':_0x1da0ae[_0x3d0710(0x583)]['id']}),_0x380a05[_0x3d0710(0x829)]({'title':_0x39641b()[_0x3d0710(0x432)](_0x4ef736)+_0x3d0710(0x1621),'msg':_0x39641b()[_0x3d0710(0x432)](_0x4ef736)+'\x20has\x20been\x20deleted!'}),_0x500508();})[_0x1aa58b(0x1c4)](function(_0x3a9a74){const _0x4c330c=_0x1aa58b;console[_0x4c330c(0x218e)](_0x3a9a74),_0x1da0ae[_0x4c330c(0x1a7c)]=_0x3a9a74[_0x4c330c(0x25c)][_0x4c330c(0x1a7c)]||[{'message':_0x3a9a74['toString'](),'type':_0x4c330c(0x10e6)}];});},function(){});}function _0x51c400(){const _0x36906b=_0xcdf58c;return _0x1da0ae[_0x36906b(0x583)][_0x36906b(0x1e71)]!==undefined?_0x1da0ae[_0x36906b(0x583)][_0x36906b(0x1e71)]:_0x36906b(0x7c8);}function _0x500508(){_0x3a7918['hide']();}}const _0x6b0f87=_0x9f378a;;_0x45a412['$inject']=[_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x1ae),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x142b),'toasty','Auth',_0x5537c6(0x28a9),_0x5537c6(0x2199)];function _0x45a412(_0x461784,_0x8b1ac8,_0x488b9b,_0x5e4c44,_0x237bf9,_0x1bec93,_0xeb7877,_0x5468a0,_0x53df4f,_0x2b4ccf,_0xddb864,_0x4535ad){const _0x4be460=_0x5537c6,_0x1852f2=this;_0x1852f2[_0x4be460(0xe76)]=_0x2b4ccf[_0x4be460(0x21e8)](),_0x1852f2[_0x4be460(0x8a5)]=_0x1bec93,_0x1852f2[_0x4be460(0x9ca)]=_0xeb7877,_0x1852f2[_0x4be460(0x1b0c)]=_0x1852f2[_0x4be460(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x1852f2['location']=_0x8b1ac8[_0x4be460(0x2276)]()+_0x4be460(0x138b)+_0x8b1ac8[_0x4be460(0x17d8)](),_0x1852f2[_0x4be460(0x28a9)]=_0xddb864||_0x461784['params'][_0x4be460(0x28a9)]||{},_0x1852f2['userProfileSection']=_0x4535ad&&_0x4535ad[_0x4be460(0x184d)]==0x1?_0x4535ad[_0x4be460(0x2214)][0x0]:null,_0x1852f2[_0x4be460(0x1b1a)]=_0x2b4ccf[_0x4be460(0x14ea)](_0x1852f2[_0x4be460(0x2199)]?_0x1852f2['userProfileSection'][_0x4be460(0x1b1a)]:null),_0x1852f2['hasModulePermissions']={},_0x1852f2['selectedTab']=_0x461784['params'][_0x4be460(0x291e)]||0x0,_0x1852f2[_0x4be460(0x494)]=_0x53df4f[_0x4be460(0x28c7)],_0x1852f2[_0x4be460(0x4ff)]=_0xa09ffd,_0x1852f2[_0x4be460(0x6c8)]=_0x3a031c;function _0xa09ffd(){const _0x19aca0=_0x4be460;_0x461784['go']('app.integrations.zohoAccounts',{},{'reload':_0x19aca0(0x421)});}function _0x3a031c(){const _0x1b2f6b=_0x4be460;_0x5468a0[_0x1b2f6b(0xdb4)]['update']({'id':_0x1852f2[_0x1b2f6b(0x28a9)]['id']},_0x1852f2[_0x1b2f6b(0x28a9)])[_0x1b2f6b(0x1d77)][_0x1b2f6b(0x1cb0)](function(){const _0x4c31e9=_0x1b2f6b;_0x53df4f[_0x4c31e9(0x829)]({'title':'ZohoAccount\x20updated!','msg':_0x1852f2[_0x4c31e9(0x28a9)][_0x4c31e9(0x16b6)]?_0x1852f2[_0x4c31e9(0x28a9)][_0x4c31e9(0x16b6)]+_0x4c31e9(0x1068):''});})[_0x1b2f6b(0x1c4)](function(_0x1f800b){const _0x522129=_0x1b2f6b;_0x53df4f[_0x522129(0x218e)]({'title':_0x1f800b[_0x522129(0x291)]?_0x522129(0xeb9)+_0x1f800b[_0x522129(0x291)]+'\x20-\x20'+_0x1f800b['statusText']:_0x522129(0xbd0),'msg':_0x1f800b[_0x522129(0x25c)]?JSON[_0x522129(0x2701)](_0x1f800b[_0x522129(0x25c)]):_0x1f800b[_0x522129(0x147f)]()});});}}const _0x2d76ab=_0x45a412;;const _0x3a13e3=_0x5074a3['p']+_0x5537c6(0x22de);;_0x3bb4e6[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),_0x5537c6(0x22bf),'$timeout',_0x5537c6(0x1ae),_0x5537c6(0x1361),_0x5537c6(0x44a),'userProfileSection','api',_0x5537c6(0xde8),_0x5537c6(0x9bf),'Auth',_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x3bb4e6(_0xcc8bd7,_0x1c73db,_0xf60214,_0xe95fb7,_0x467958,_0x568e57,_0x4cede2,_0x127991,_0x55ee7b,_0x3326d1,_0xfa5ae8,_0x11fdb6,_0x242afb,_0x12c826,_0x11a1df,_0x48ffcb,_0x2c8f25){const _0x3a95ab=_0x5537c6,_0x511f4f=this;_0x511f4f[_0x3a95ab(0x8a5)]=_0x48ffcb,_0x511f4f[_0x3a95ab(0x9ca)]=_0x2c8f25,_0x511f4f[_0x3a95ab(0xe76)]=_0x11a1df['getCurrentUser'](),_0x511f4f[_0x3a95ab(0x1361)]=_0x55ee7b||{'count':0x0,'rows':[]},_0x511f4f['userProfile']=_0x3326d1,_0x511f4f['userProfileSection']=_0xfa5ae8&&_0xfa5ae8[_0x3a95ab(0x184d)]==0x1?_0xfa5ae8[_0x3a95ab(0x2214)][0x0]:null,_0x511f4f[_0x3a95ab(0x1b1a)]=_0x11a1df[_0x3a95ab(0x14ea)](_0x511f4f['userProfileSection']?_0x511f4f[_0x3a95ab(0x2199)][_0x3a95ab(0x1b1a)]:null),_0x511f4f[_0x3a95ab(0xc83)]='zohoAccounts',_0x511f4f[_0x3a95ab(0x1d20)]='',_0x511f4f['listOrderAsc']=null,_0x511f4f[_0x3a95ab(0x284d)]=[],_0x511f4f[_0x3a95ab(0xae2)]={'fields':'createdAt,updatedAt,id,name,host,zone,clientId,clientSecret,code,refreshToken,serverUrl,description','limit':0xa,'page':0x1},_0x511f4f['arrayhost']=_0x39641b()[_0x3a95ab(0x2631)]([{'option':_0x3a95ab(0x211b),'value':_0x3a95ab(0xa14)},{'option':'zoho.eu','value':_0x3a95ab(0x187d)}],function(_0x31f178){const _0x8eadbb=_0x3a95ab;return _0x39641b()[_0x8eadbb(0x288f)](_0x31f178[_0x8eadbb(0x327)],new RegExp('\x27','g'),'');}),_0x511f4f['arrayzone']=_0x39641b()['keyBy']([{'option':'US','value':_0x3a95ab(0x14ae)},{'option':'AU','value':_0x3a95ab(0xe38)},{'option':'EU','value':'\x27EU\x27'},{'option':'IN','value':_0x3a95ab(0x1c8f)},{'option':'CN','value':'\x27CN\x27'}],function(_0x176460){const _0x53f92c=_0x3a95ab;return _0x39641b()['replace'](_0x176460[_0x53f92c(0x327)],new RegExp('\x27','g'),'');}),_0x511f4f['editstate']=_0x1722f0,_0x511f4f[_0x3a95ab(0x6b1)]=_0x553089,_0x511f4f[_0x3a95ab(0x27fe)]=_0x49d3f6,_0x511f4f[_0x3a95ab(0x829)]=_0x55270b,_0x511f4f[_0x3a95ab(0xa36)]=_0xfb069a,_0x511f4f['createOrEditZohoAccount']=_0x164efb,_0x511f4f[_0x3a95ab(0x289b)]=_0x1fc459,_0x511f4f[_0x3a95ab(0x53f)]=_0x5ceb82,_0x511f4f[_0x3a95ab(0x1718)]=_0x3e2dd0,_0x511f4f[_0x3a95ab(0x1acb)]=_0x150090,_0x511f4f[_0x3a95ab(0x2254)]=_0x417da9;function _0x1722f0(_0x9986dc){const _0x1053c4=_0x3a95ab;_0xf60214['go'](_0x1053c4(0x2797),{'id':_0x9986dc['id'],'zohoAccount':_0x9986dc,'crudPermissions':_0x511f4f[_0x1053c4(0x1b1a)]});}function _0x553089(_0x564484){const _0x18be89=_0x3a95ab;return _0x11fdb6[_0x18be89(0xdb4)][_0x18be89(0xc84)]({'id':_0x564484['id'],'test':!![]})[_0x18be89(0x1d77)][_0x18be89(0x1cb0)](function(){const _0x5a9bf7=_0x18be89;_0x12c826[_0x5a9bf7(0x829)]({'title':'ZohoAccount\x20properly\x20tested','msg':'Connection\x20succeded!'});})[_0x18be89(0x1c4)](function(_0x4e03a0){const _0x10fcb4=_0x18be89;_0x12c826[_0x10fcb4(0x218e)]({'title':_0x10fcb4(0x2249),'msg':_0x4e03a0[_0x10fcb4(0x25c)]?_0x4e03a0[_0x10fcb4(0x25c)]['message']?_0x4e03a0[_0x10fcb4(0x25c)]['message']:JSON[_0x10fcb4(0x2701)](_0x4e03a0['data']):_0x4e03a0[_0x10fcb4(0x147f)]()});});}function _0x49d3f6(_0x3d63ae,_0x266760){const _0x29e4fb=_0x3a95ab,_0x721bef=_0x467958[_0x29e4fb(0x1551)]()[_0x29e4fb(0x1386)](_0x29e4fb(0x140b)+_0x39641b()['startCase'](_0x29e4fb(0x28a9))+'?')[_0x29e4fb(0x49e)](_0x29e4fb(0x204d)+(_0x3d63ae[_0x29e4fb(0x16b6)]||_0x29e4fb(0x28a9))+_0x29e4fb(0x1200)+'\x20will\x20be\x20deleted.')[_0x29e4fb(0x15ad)](_0x29e4fb(0x5f7))[_0x29e4fb(0x728)](_0x266760)['ok']('OK')[_0x29e4fb(0x696)](_0x29e4fb(0x24ba));_0x467958[_0x29e4fb(0xe27)](_0x721bef)[_0x29e4fb(0x1cb0)](function(){_0x1fc459(_0x3d63ae);},function(){const _0x4023e0=_0x29e4fb;console[_0x4023e0(0x1b4f)](_0x4023e0(0x24ba));});}let _0x5a654a=!![],_0x27620f=0x1;_0xcc8bd7[_0x3a95ab(0x614)](_0x3a95ab(0x957),function(_0xb73540,_0x4ed418){const _0x30f158=_0x3a95ab;_0x5a654a?_0x4cede2(function(){_0x5a654a=![];}):(!_0x4ed418&&(_0x27620f=_0x511f4f[_0x30f158(0xae2)][_0x30f158(0x1c7b)]),_0xb73540!==_0x4ed418&&(_0x511f4f[_0x30f158(0xae2)]['page']=0x1),!_0xb73540&&(_0x511f4f[_0x30f158(0xae2)][_0x30f158(0x1c7b)]=_0x27620f),_0x511f4f[_0x30f158(0xa36)]());});function _0x55270b(_0x4a51ff){const _0x2de088=_0x3a95ab;_0x511f4f[_0x2de088(0x1361)]=_0x4a51ff||{'count':0x0,'rows':[]};}function _0xfb069a(){const _0x1a8bd2=_0x3a95ab;_0x511f4f[_0x1a8bd2(0xae2)][_0x1a8bd2(0x184b)]=(_0x511f4f[_0x1a8bd2(0xae2)]['page']-0x1)*_0x511f4f[_0x1a8bd2(0xae2)][_0x1a8bd2(0x236)],_0x11a1df[_0x1a8bd2(0x22b6)](_0x1a8bd2(0x1c60))?_0x511f4f[_0x1a8bd2(0x2061)]=_0x11fdb6[_0x1a8bd2(0xdb4)][_0x1a8bd2(0xbf7)](_0x511f4f['query'],_0x55270b)['$promise']:(_0x511f4f[_0x1a8bd2(0xae2)]['id']=_0x511f4f[_0x1a8bd2(0x44a)]['id'],_0x511f4f[_0x1a8bd2(0xae2)][_0x1a8bd2(0x1f74)]=_0x1a8bd2(0x282b),_0x511f4f[_0x1a8bd2(0x2061)]=_0x11fdb6[_0x1a8bd2(0x44a)][_0x1a8bd2(0x1810)](_0x511f4f[_0x1a8bd2(0xae2)],_0x55270b)[_0x1a8bd2(0x1d77)]);}function _0x164efb(_0x2747cb,_0x1b5a0c){const _0x56c95f=_0x3a95ab;_0x467958[_0x56c95f(0xe27)]({'controller':'CreateOrEditZohoAccountDialogController','controllerAs':'vm','templateUrl':_0x3a13e3,'parent':angular['element'](_0x568e57[_0x56c95f(0x1ed9)]),'targetEvent':_0x2747cb,'clickOutsideToClose':!![],'locals':{'zohoAccount':_0x1b5a0c,'zohoAccounts':_0x511f4f['zohoAccounts'][_0x56c95f(0x2214)],'license':_0x511f4f[_0x56c95f(0x8a5)],'setting':_0x511f4f['setting'],'crudPermissions':_0x511f4f['crudPermissions']}});}function _0x1fc459(_0x23d4cf){const _0x1214df=_0x3a95ab;_0x11fdb6[_0x1214df(0xdb4)][_0x1214df(0x111d)]({'id':_0x23d4cf['id']})['$promise'][_0x1214df(0x1cb0)](function(){const _0x3c9eae=_0x1214df;_0x39641b()[_0x3c9eae(0x152a)](_0x511f4f[_0x3c9eae(0x1361)][_0x3c9eae(0x2214)],{'id':_0x23d4cf['id']}),_0x511f4f['zohoAccounts'][_0x3c9eae(0x184d)]-=0x1,!_0x511f4f[_0x3c9eae(0x1361)][_0x3c9eae(0x2214)][_0x3c9eae(0xfd0)]&&_0x511f4f[_0x3c9eae(0xa36)](),_0x12c826[_0x3c9eae(0x829)]({'title':_0x39641b()[_0x3c9eae(0xa75)](_0x3c9eae(0x10f3))+'\x20deleted!','msg':_0x23d4cf[_0x3c9eae(0x16b6)]?_0x23d4cf[_0x3c9eae(0x16b6)]+'\x20has\x20been\x20deleted!':''});})[_0x1214df(0x1c4)](function(_0x136036){const _0x2329c0=_0x1214df;if(_0x136036['data']&&_0x136036['data'][_0x2329c0(0x1a7c)]&&_0x136036[_0x2329c0(0x25c)]['errors'][_0x2329c0(0xfd0)]){_0x511f4f['errors']=_0x136036[_0x2329c0(0x25c)][_0x2329c0(0x1a7c)]||[{'message':_0x136036[_0x2329c0(0x147f)](),'type':_0x2329c0(0x234)}];for(let _0x43348b=0x0;_0x43348b<_0x136036[_0x2329c0(0x25c)]['errors'][_0x2329c0(0xfd0)];_0x43348b++){_0x12c826['error']({'title':_0x136036[_0x2329c0(0x25c)][_0x2329c0(0x1a7c)][_0x43348b][_0x2329c0(0x66a)],'msg':_0x136036['data']['errors'][_0x43348b]['message']});}}else _0x12c826[_0x2329c0(0x218e)]({'title':_0x136036[_0x2329c0(0x291)]?_0x2329c0(0xeb9)+_0x136036[_0x2329c0(0x291)]+_0x2329c0(0x1657)+_0x136036[_0x2329c0(0xc22)]:_0x2329c0(0x234),'msg':_0x136036[_0x2329c0(0x25c)]?JSON[_0x2329c0(0x2701)](_0x136036[_0x2329c0(0x25c)][_0x2329c0(0x155e)]):_0x136036[_0x2329c0(0x155e)]||_0x136036[_0x2329c0(0x147f)]()});});}function _0x5ceb82(){const _0x1e30f7=_0x3a95ab,_0x439469=angular['copy'](_0x511f4f[_0x1e30f7(0x284d)]);return _0x511f4f['selectedZohoAccounts']=[],_0x439469;}function _0x3e2dd0(_0x39433f){const _0x590579=_0x3a95ab,_0x4002af=_0x467958[_0x590579(0x1551)]()[_0x590579(0x1386)](_0x590579(0x196c))[_0x590579(0x49e)](_0x590579(0x204d)+_0x511f4f['selectedZohoAccounts'][_0x590579(0xfd0)]+_0x590579(0x1d6c)+_0x590579(0x1b6))[_0x590579(0x15ad)](_0x590579(0x14a4))[_0x590579(0x728)](_0x39433f)['ok']('OK')['cancel'](_0x590579(0x24ba));_0x467958[_0x590579(0xe27)](_0x4002af)['then'](function(){const _0x893808=_0x590579;_0x511f4f['selectedZohoAccounts']['forEach'](function(_0x477cea){_0x1fc459(_0x477cea);}),_0x511f4f[_0x893808(0x284d)]=[];});}function _0x150090(){const _0x38b16f=_0x3a95ab;_0x511f4f[_0x38b16f(0x284d)]=[];}function _0x417da9(){const _0x52f079=_0x3a95ab;_0x511f4f[_0x52f079(0x284d)]=_0x511f4f['zohoAccounts']['rows'];}}const _0x3ebd4d=_0x3bb4e6;;_0x199210['$inject']=[_0x5537c6(0xbd6),'$translatePartialLoaderProvider'];function _0x199210(_0x3187f1,_0x38f5f9){const _0x3e9942=_0x5537c6;_0x3187f1[_0x3e9942(0x27e0)]('app.integrations',{'abstract':!![],'url':_0x3e9942(0x384)})[_0x3e9942(0x27e0)](_0x3e9942(0x1eab),{'url':_0x3e9942(0xb04),'views':{'content@app':{'templateUrl':_0x3de60c,'controller':_0x3e9942(0x1b8e)}},'resolve':{'zendeskAccounts':['apiResolver',_0x3e9942(0x1774),function(_0x26c80e,_0xdf06dc){const _0x3fe8c2=_0x3e9942;return _0xdf06dc['hasRole']('admin')?_0x26c80e[_0x3fe8c2(0x19a3)](_0x3fe8c2(0x20db),{'fields':_0x3fe8c2(0x51c),'limit':0xa,'offset':0x0}):_0x26c80e[_0x3fe8c2(0x19a3)]('userProfile@getResources',{'id':_0xdf06dc['getCurrentUser']()['userProfileId'],'section':_0x3fe8c2(0x272d),'fields':_0x3fe8c2(0x51c),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver',_0x3e9942(0x1774),function(_0x62b1e2,_0x2d7e3e){const _0x44e6fa=_0x3e9942;return _0x2d7e3e[_0x44e6fa(0x22b6)](_0x44e6fa(0x1c60))?null:_0x62b1e2[_0x44e6fa(0x19a3)](_0x44e6fa(0x9ae),{'fields':_0x44e6fa(0x279),'id':_0x2d7e3e[_0x44e6fa(0x21e8)]()[_0x44e6fa(0x13c1)]});}],'userProfileSection':[_0x3e9942(0x1e0b),_0x3e9942(0x1774),function(_0x1e640a,_0x526201){const _0x1c6858=_0x3e9942;return _0x526201['hasRole'](_0x1c6858(0x1c60))?null:_0x1e640a[_0x1c6858(0x19a3)](_0x1c6858(0x2182),{'fields':_0x1c6858(0x1f5f),'userProfileId':_0x526201['getCurrentUser']()[_0x1c6858(0x13c1)],'sectionId':0x515});}]},'authenticate':!![],'permissionId':0x515,'bodyClass':_0x3e9942(0x1907)})[_0x3e9942(0x27e0)]('app.integrations.zendeskAccounts.edit',{'url':_0x3e9942(0x1bf2),'params':{'zendeskAccount':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x4b7422,'controller':_0x3e9942(0x26fe)}},'resolve':{'zendeskAccount':[_0x3e9942(0x1e0b),'$stateParams',function(_0x31c13a,_0x287de5){const _0x5ea68c=_0x3e9942;return _0x31c13a[_0x5ea68c(0x19a3)]('intZendeskAccount@get',{'fields':_0x5ea68c(0x51c),'id':_0x287de5['id']});}],'userProfileSection':[_0x3e9942(0x1e0b),_0x3e9942(0x1774),function(_0x1c7018,_0x3fa7e6){const _0x1346a1=_0x3e9942;return _0x1c7018[_0x1346a1(0x19a3)](_0x1346a1(0x2182),{'fields':_0x1346a1(0x1f5f),'userProfileId':_0x3fa7e6[_0x1346a1(0x21e8)]()[_0x1346a1(0x13c1)],'sectionId':0x515});}]},'authenticate':!![],'permissionId':0x515,'bodyClass':_0x3e9942(0x1907)})[_0x3e9942(0x27e0)](_0x3e9942(0x25f7),{'url':'/salesforceAccounts','views':{'content@app':{'templateUrl':_0x4669ec,'controller':'SalesforceAccountsController\x20as\x20vm'}},'resolve':{'salesforceAccounts':[_0x3e9942(0x1e0b),_0x3e9942(0x1774),function(_0x14db6d,_0x391dfd){const _0x24a9d4=_0x3e9942;return _0x391dfd[_0x24a9d4(0x22b6)](_0x24a9d4(0x1c60))?_0x14db6d['resolve'](_0x24a9d4(0x134f),{'fields':_0x24a9d4(0x1403),'limit':0xa,'offset':0x0}):_0x14db6d['resolve']('userProfile@getResources',{'id':_0x391dfd[_0x24a9d4(0x21e8)]()[_0x24a9d4(0x13c1)],'section':_0x24a9d4(0x9a5),'fields':_0x24a9d4(0x1403),'limit':0xa,'offset':0x0});}],'userProfile':[_0x3e9942(0x1e0b),_0x3e9942(0x1774),function(_0x3eaca6,_0x55e46b){const _0x13f412=_0x3e9942;return _0x55e46b[_0x13f412(0x22b6)](_0x13f412(0x1c60))?null:_0x3eaca6[_0x13f412(0x19a3)](_0x13f412(0x9ae),{'fields':'id,name,crudPermissions','id':_0x55e46b[_0x13f412(0x21e8)]()[_0x13f412(0x13c1)]});}],'userProfileSection':['apiResolver',_0x3e9942(0x1774),function(_0xdf8180,_0x3f2f1c){const _0x4c29f1=_0x3e9942;return _0x3f2f1c[_0x4c29f1(0x22b6)](_0x4c29f1(0x1c60))?null:_0xdf8180['resolve']('userProfileSection@get',{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x3f2f1c['getCurrentUser']()[_0x4c29f1(0x13c1)],'sectionId':0x516});}]},'authenticate':!![],'permissionId':0x516,'bodyClass':_0x3e9942(0x1907)})[_0x3e9942(0x27e0)](_0x3e9942(0x248c),{'url':_0x3e9942(0x1bf2),'params':{'salesforceAccount':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x409691,'controller':_0x3e9942(0x117e)}},'resolve':{'salesforceAccount':[_0x3e9942(0x1e0b),_0x3e9942(0x225c),function(_0x14e525,_0x553da4){const _0x199095=_0x3e9942;return _0x14e525['resolve'](_0x199095(0x134f),{'fields':_0x199095(0x1403),'id':_0x553da4['id']});}],'userProfileSection':[_0x3e9942(0x1e0b),_0x3e9942(0x1774),function(_0x19e595,_0x23ac7a){const _0x5b0938=_0x3e9942;return _0x19e595[_0x5b0938(0x19a3)](_0x5b0938(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x23ac7a[_0x5b0938(0x21e8)]()[_0x5b0938(0x13c1)],'sectionId':0x516});}]},'authenticate':!![],'permissionId':0x516,'bodyClass':_0x3e9942(0x1907)})[_0x3e9942(0x27e0)](_0x3e9942(0x905),{'url':_0x3e9942(0x1e53),'views':{'content@app':{'templateUrl':_0x39215b,'controller':'FreshdeskAccountsController\x20as\x20vm'}},'resolve':{'freshdeskAccounts':[_0x3e9942(0x1e0b),_0x3e9942(0x1774),function(_0x279e9d,_0x725d35){const _0x50e6a0=_0x3e9942;return _0x725d35[_0x50e6a0(0x22b6)]('admin')?_0x279e9d[_0x50e6a0(0x19a3)](_0x50e6a0(0xdbc),{'fields':_0x50e6a0(0x4d0),'limit':0xa,'offset':0x0}):_0x279e9d[_0x50e6a0(0x19a3)](_0x50e6a0(0x12da),{'id':_0x725d35['getCurrentUser']()['userProfileId'],'section':_0x50e6a0(0x7f7),'fields':_0x50e6a0(0x4d0),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver','Auth',function(_0x2db6fa,_0x4b6a94){const _0x5b16e6=_0x3e9942;return _0x4b6a94[_0x5b16e6(0x22b6)](_0x5b16e6(0x1c60))?null:_0x2db6fa[_0x5b16e6(0x19a3)](_0x5b16e6(0x9ae),{'fields':_0x5b16e6(0x279),'id':_0x4b6a94[_0x5b16e6(0x21e8)]()['userProfileId']});}],'userProfileSection':[_0x3e9942(0x1e0b),_0x3e9942(0x1774),function(_0x567fc9,_0x1674dc){const _0x43bff5=_0x3e9942;return _0x1674dc[_0x43bff5(0x22b6)](_0x43bff5(0x1c60))?null:_0x567fc9[_0x43bff5(0x19a3)]('userProfileSection@get',{'fields':_0x43bff5(0x1f5f),'userProfileId':_0x1674dc[_0x43bff5(0x21e8)]()[_0x43bff5(0x13c1)],'sectionId':0x517});}]},'authenticate':!![],'permissionId':0x517,'bodyClass':_0x3e9942(0x1907)})[_0x3e9942(0x27e0)]('app.integrations.freshdeskAccounts.edit',{'url':_0x3e9942(0x1bf2),'params':{'freshdeskAccount':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x5a6eed,'controller':_0x3e9942(0x2140)}},'resolve':{'freshdeskAccount':[_0x3e9942(0x1e0b),'$stateParams',function(_0x11b868,_0x59f18a){const _0x11c2ec=_0x3e9942;return _0x11b868[_0x11c2ec(0x19a3)]('intFreshdeskAccount@get',{'fields':_0x11c2ec(0x4d0),'id':_0x59f18a['id']});}],'userProfileSection':[_0x3e9942(0x1e0b),'Auth',function(_0x2e7436,_0xddc028){const _0x402653=_0x3e9942;return _0x2e7436[_0x402653(0x19a3)]('userProfileSection@get',{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0xddc028[_0x402653(0x21e8)]()[_0x402653(0x13c1)],'sectionId':0x517});}]},'authenticate':!![],'permissionId':0x517,'bodyClass':_0x3e9942(0x1907)})[_0x3e9942(0x27e0)](_0x3e9942(0x185e),{'url':_0x3e9942(0x15c0),'views':{'content@app':{'templateUrl':_0xdd640e,'controller':_0x3e9942(0x1a9d)}},'resolve':{'sugarcrmAccounts':['apiResolver',_0x3e9942(0x1774),function(_0x35553e,_0x14df41){const _0x192c8c=_0x3e9942;return _0x14df41[_0x192c8c(0x22b6)](_0x192c8c(0x1c60))?_0x35553e[_0x192c8c(0x19a3)]('intSugarcrmAccount@get',{'fields':'createdAt,updatedAt,id,name,username,remoteUri,password,serverUrl,description','limit':0xa,'offset':0x0}):_0x35553e[_0x192c8c(0x19a3)](_0x192c8c(0x12da),{'id':_0x14df41[_0x192c8c(0x21e8)]()[_0x192c8c(0x13c1)],'section':_0x192c8c(0x1aca),'fields':_0x192c8c(0x203d),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver','Auth',function(_0x2749c5,_0xf1f483){const _0x28eab8=_0x3e9942;return _0xf1f483[_0x28eab8(0x22b6)](_0x28eab8(0x1c60))?null:_0x2749c5[_0x28eab8(0x19a3)](_0x28eab8(0x9ae),{'fields':_0x28eab8(0x279),'id':_0xf1f483['getCurrentUser']()[_0x28eab8(0x13c1)]});}],'userProfileSection':[_0x3e9942(0x1e0b),_0x3e9942(0x1774),function(_0x47f8f5,_0xd4e26e){const _0x50d7b2=_0x3e9942;return _0xd4e26e['hasRole'](_0x50d7b2(0x1c60))?null:_0x47f8f5[_0x50d7b2(0x19a3)]('userProfileSection@get',{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0xd4e26e['getCurrentUser']()[_0x50d7b2(0x13c1)],'sectionId':0x519});}]},'authenticate':!![],'permissionId':0x519,'bodyClass':_0x3e9942(0x1907)})['state'](_0x3e9942(0x1ea7),{'url':_0x3e9942(0x1bf2),'params':{'sugarcrmAccount':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x33a88a,'controller':_0x3e9942(0x12f6)}},'resolve':{'sugarcrmAccount':[_0x3e9942(0x1e0b),_0x3e9942(0x225c),function(_0x31d092,_0x18959a){const _0x396240=_0x3e9942;return _0x31d092[_0x396240(0x19a3)](_0x396240(0x21ae),{'fields':'createdAt,updatedAt,id,name,username,remoteUri,password,serverUrl,description','id':_0x18959a['id']});}],'userProfileSection':['apiResolver',_0x3e9942(0x1774),function(_0x328f98,_0x5bc997){const _0x22fd26=_0x3e9942;return _0x328f98[_0x22fd26(0x19a3)](_0x22fd26(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x5bc997['getCurrentUser']()[_0x22fd26(0x13c1)],'sectionId':0x519});}]},'authenticate':!![],'permissionId':0x519,'bodyClass':_0x3e9942(0x1907)})[_0x3e9942(0x27e0)](_0x3e9942(0x857),{'url':'/deskAccounts','views':{'content@app':{'templateUrl':_0x3cb839,'controller':_0x3e9942(0x177e)}},'resolve':{'deskAccounts':[_0x3e9942(0x1e0b),_0x3e9942(0x1774),function(_0x3d9c09,_0x572f93){const _0x269f89=_0x3e9942;return _0x572f93[_0x269f89(0x22b6)](_0x269f89(0x1c60))?_0x3d9c09['resolve'](_0x269f89(0x21bb),{'fields':_0x269f89(0x226f),'limit':0xa,'offset':0x0}):_0x3d9c09['resolve']('userProfile@getResources',{'id':_0x572f93[_0x269f89(0x21e8)]()[_0x269f89(0x13c1)],'section':_0x269f89(0x937),'fields':'createdAt,updatedAt,id,name,type,remoteUri,authType,username,password,serverUrl,description','limit':0xa,'offset':0x0});}],'userProfile':[_0x3e9942(0x1e0b),_0x3e9942(0x1774),function(_0x385944,_0x252432){const _0xad4fe1=_0x3e9942;return _0x252432[_0xad4fe1(0x22b6)](_0xad4fe1(0x1c60))?null:_0x385944['resolve'](_0xad4fe1(0x9ae),{'fields':_0xad4fe1(0x279),'id':_0x252432[_0xad4fe1(0x21e8)]()[_0xad4fe1(0x13c1)]});}],'userProfileSection':['apiResolver',_0x3e9942(0x1774),function(_0x2fa699,_0x3d30f3){const _0x5c8961=_0x3e9942;return _0x3d30f3[_0x5c8961(0x22b6)](_0x5c8961(0x1c60))?null:_0x2fa699[_0x5c8961(0x19a3)]('userProfileSection@get',{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x3d30f3[_0x5c8961(0x21e8)]()['userProfileId'],'sectionId':0x51a});}]},'authenticate':!![],'permissionId':0x51a,'bodyClass':_0x3e9942(0x1907)})['state'](_0x3e9942(0x2157),{'url':'/:id?tab','params':{'deskAccount':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x2567d5,'controller':'DeskAccountController\x20as\x20vm'}},'resolve':{'deskAccount':[_0x3e9942(0x1e0b),_0x3e9942(0x225c),function(_0x21f5ea,_0x27937f){const _0x8ed153=_0x3e9942;return _0x21f5ea[_0x8ed153(0x19a3)](_0x8ed153(0x21bb),{'fields':_0x8ed153(0x226f),'id':_0x27937f['id']});}],'userProfileSection':['apiResolver',_0x3e9942(0x1774),function(_0x312226,_0xb64725){const _0x5a7bab=_0x3e9942;return _0x312226['resolve'](_0x5a7bab(0x2182),{'fields':_0x5a7bab(0x1f5f),'userProfileId':_0xb64725[_0x5a7bab(0x21e8)]()[_0x5a7bab(0x13c1)],'sectionId':0x51a});}]},'authenticate':!![],'permissionId':0x51a,'bodyClass':_0x3e9942(0x1907)})[_0x3e9942(0x27e0)](_0x3e9942(0x421),{'url':_0x3e9942(0x3a8),'views':{'content@app':{'templateUrl':_0xb1f74b,'controller':_0x3e9942(0x28e5)}},'resolve':{'zohoAccounts':['apiResolver','Auth',function(_0x45b01f,_0x573f88){const _0x530ce0=_0x3e9942;return _0x573f88['hasRole'](_0x530ce0(0x1c60))?_0x45b01f[_0x530ce0(0x19a3)](_0x530ce0(0x29cb),{'fields':'createdAt,updatedAt,id,name,host,zone,clientId,clientSecret,code,refreshToken,serverUrl,description','limit':0xa,'offset':0x0}):_0x45b01f[_0x530ce0(0x19a3)]('userProfile@getResources',{'id':_0x573f88[_0x530ce0(0x21e8)]()[_0x530ce0(0x13c1)],'section':_0x530ce0(0x282b),'fields':_0x530ce0(0x1107),'limit':0xa,'offset':0x0});}],'userProfile':[_0x3e9942(0x1e0b),_0x3e9942(0x1774),function(_0x4d0c4d,_0x29e324){const _0x6098a9=_0x3e9942;return _0x29e324[_0x6098a9(0x22b6)](_0x6098a9(0x1c60))?null:_0x4d0c4d[_0x6098a9(0x19a3)](_0x6098a9(0x9ae),{'fields':'id,name,crudPermissions','id':_0x29e324['getCurrentUser']()[_0x6098a9(0x13c1)]});}],'userProfileSection':[_0x3e9942(0x1e0b),_0x3e9942(0x1774),function(_0xd8a481,_0x208bc5){const _0x222940=_0x3e9942;return _0x208bc5[_0x222940(0x22b6)](_0x222940(0x1c60))?null:_0xd8a481[_0x222940(0x19a3)](_0x222940(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x208bc5[_0x222940(0x21e8)]()[_0x222940(0x13c1)],'sectionId':0x51b});}]},'authenticate':!![],'permissionId':0x51b,'bodyClass':_0x3e9942(0x1907)})[_0x3e9942(0x27e0)]('app.integrations.zohoAccounts.edit',{'url':_0x3e9942(0x1bf2),'params':{'zohoAccount':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x27b766,'controller':_0x3e9942(0x918)}},'resolve':{'zohoAccount':[_0x3e9942(0x1e0b),_0x3e9942(0x225c),function(_0x139646,_0x3a9123){const _0x2757a6=_0x3e9942;return _0x139646[_0x2757a6(0x19a3)](_0x2757a6(0x29cb),{'fields':_0x2757a6(0x1107),'id':_0x3a9123['id']});}],'userProfileSection':[_0x3e9942(0x1e0b),'Auth',function(_0x2f0a54,_0x41a18a){const _0x3e79d0=_0x3e9942;return _0x2f0a54[_0x3e79d0(0x19a3)](_0x3e79d0(0x2182),{'fields':_0x3e79d0(0x1f5f),'userProfileId':_0x41a18a[_0x3e79d0(0x21e8)]()[_0x3e79d0(0x13c1)],'sectionId':0x51b});}]},'authenticate':!![],'permissionId':0x51b,'bodyClass':'integrations'})[_0x3e9942(0x27e0)](_0x3e9942(0x2339),{'url':_0x3e9942(0x2732),'views':{'content@app':{'templateUrl':_0x439e26,'controller':_0x3e9942(0x44b)}},'resolve':{'vtigerAccounts':['apiResolver',_0x3e9942(0x1774),function(_0x5b6fe7,_0x1335ec){const _0x51df2f=_0x3e9942;return _0x1335ec[_0x51df2f(0x22b6)](_0x51df2f(0x1c60))?_0x5b6fe7[_0x51df2f(0x19a3)](_0x51df2f(0x2990),{'fields':'createdAt,updatedAt,id,name,description,username,accessKey,remoteUri,serverUrl','limit':0xa,'offset':0x0}):_0x5b6fe7[_0x51df2f(0x19a3)]('userProfile@getResources',{'id':_0x1335ec['getCurrentUser']()[_0x51df2f(0x13c1)],'section':_0x51df2f(0x1bcd),'fields':_0x51df2f(0x2824),'limit':0xa,'offset':0x0});}],'userProfile':[_0x3e9942(0x1e0b),_0x3e9942(0x1774),function(_0x2f3d78,_0x30f108){const _0x37440b=_0x3e9942;return _0x30f108[_0x37440b(0x22b6)](_0x37440b(0x1c60))?null:_0x2f3d78['resolve'](_0x37440b(0x9ae),{'fields':_0x37440b(0x279),'id':_0x30f108[_0x37440b(0x21e8)]()[_0x37440b(0x13c1)]});}],'userProfileSection':[_0x3e9942(0x1e0b),'Auth',function(_0x3df6eb,_0x3ff0ac){const _0x48aefb=_0x3e9942;return _0x3ff0ac['hasRole'](_0x48aefb(0x1c60))?null:_0x3df6eb[_0x48aefb(0x19a3)](_0x48aefb(0x2182),{'fields':_0x48aefb(0x1f5f),'userProfileId':_0x3ff0ac[_0x48aefb(0x21e8)]()[_0x48aefb(0x13c1)],'sectionId':0x51c});}]},'authenticate':!![],'permissionId':0x51c,'bodyClass':_0x3e9942(0x1907)})[_0x3e9942(0x27e0)]('app.integrations.vtigerAccounts.edit',{'url':_0x3e9942(0x1bf2),'params':{'vtigerAccount':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x56b8a2,'controller':'VtigerAccountController\x20as\x20vm'}},'resolve':{'vtigerAccount':['apiResolver',_0x3e9942(0x225c),function(_0x267acb,_0x2be1be){const _0x2dbd25=_0x3e9942;return _0x267acb[_0x2dbd25(0x19a3)](_0x2dbd25(0x2990),{'fields':'createdAt,updatedAt,id,name,description,username,accessKey,remoteUri,serverUrl','id':_0x2be1be['id']});}],'userProfileSection':[_0x3e9942(0x1e0b),_0x3e9942(0x1774),function(_0x2f8b11,_0x56c235){const _0x283242=_0x3e9942;return _0x2f8b11['resolve'](_0x283242(0x2182),{'fields':_0x283242(0x1f5f),'userProfileId':_0x56c235['getCurrentUser']()[_0x283242(0x13c1)],'sectionId':0x51c});}]},'authenticate':!![],'permissionId':0x51c,'bodyClass':_0x3e9942(0x1907)})[_0x3e9942(0x27e0)](_0x3e9942(0x1f3e),{'url':_0x3e9942(0x27ca),'views':{'content@app':{'templateUrl':_0x2a63a7,'controller':_0x3e9942(0x1678)}},'resolve':{'dynamics365Accounts':['apiResolver',_0x3e9942(0x1774),function(_0x39183f,_0xbd4c){const _0x588082=_0x3e9942;return _0xbd4c['hasRole'](_0x588082(0x1c60))?_0x39183f[_0x588082(0x19a3)]('intDynamics365Account@get',{'fields':'createdAt,updatedAt,id,name,username,password,remoteUri,tenantId,clientId,clientSecret,serverUrl,description','limit':0xa,'offset':0x0}):_0x39183f[_0x588082(0x19a3)](_0x588082(0x12da),{'id':_0xbd4c[_0x588082(0x21e8)]()[_0x588082(0x13c1)],'section':'Dynamics365Accounts','fields':'createdAt,updatedAt,id,name,username,password,remoteUri,tenantId,clientId,clientSecret,serverUrl,description','limit':0xa,'offset':0x0});}],'userProfile':[_0x3e9942(0x1e0b),'Auth',function(_0x5f4f77,_0x4a5b97){const _0x5795c4=_0x3e9942;return _0x4a5b97['hasRole'](_0x5795c4(0x1c60))?null:_0x5f4f77[_0x5795c4(0x19a3)](_0x5795c4(0x9ae),{'fields':_0x5795c4(0x279),'id':_0x4a5b97[_0x5795c4(0x21e8)]()['userProfileId']});}],'userProfileSection':[_0x3e9942(0x1e0b),_0x3e9942(0x1774),function(_0x2d9321,_0x292703){const _0x50099a=_0x3e9942;return _0x292703[_0x50099a(0x22b6)]('admin')?null:_0x2d9321[_0x50099a(0x19a3)](_0x50099a(0x2182),{'fields':_0x50099a(0x1f5f),'userProfileId':_0x292703[_0x50099a(0x21e8)]()['userProfileId'],'sectionId':0x51d});}]},'authenticate':!![],'permissionId':0x51d,'bodyClass':_0x3e9942(0x1907)})['state'](_0x3e9942(0x244c),{'url':'/:id?tab','params':{'dynamics365Account':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x57c379,'controller':_0x3e9942(0x26b)}},'resolve':{'dynamics365Account':[_0x3e9942(0x1e0b),'$stateParams',function(_0x27bdf7,_0x32cbdd){const _0x48ab53=_0x3e9942;return _0x27bdf7[_0x48ab53(0x19a3)](_0x48ab53(0x2538),{'fields':'createdAt,updatedAt,id,name,username,password,remoteUri,tenantId,clientId,clientSecret,serverUrl,description','id':_0x32cbdd['id']});}],'userProfileSection':[_0x3e9942(0x1e0b),'Auth',function(_0x57a118,_0x60e9c4){const _0x559e26=_0x3e9942;return _0x57a118[_0x559e26(0x19a3)](_0x559e26(0x2182),{'fields':_0x559e26(0x1f5f),'userProfileId':_0x60e9c4[_0x559e26(0x21e8)]()[_0x559e26(0x13c1)],'sectionId':0x51d});}]},'authenticate':!![],'permissionId':0x51d,'bodyClass':_0x3e9942(0x1907)})['state'](_0x3e9942(0xc85),{'url':_0x3e9942(0x21c9),'views':{'content@app':{'templateUrl':_0x1f4627,'controller':_0x3e9942(0x2229)}},'resolve':{'servicenowAccounts':[_0x3e9942(0x1e0b),_0x3e9942(0x1774),function(_0x4276c0,_0x3df201){const _0x571e04=_0x3e9942;return _0x3df201[_0x571e04(0x22b6)](_0x571e04(0x1c60))?_0x4276c0['resolve']('intServicenowAccount@get',{'fields':_0x571e04(0x1672),'limit':0xa,'offset':0x0}):_0x4276c0[_0x571e04(0x19a3)](_0x571e04(0x12da),{'id':_0x3df201[_0x571e04(0x21e8)]()[_0x571e04(0x13c1)],'section':_0x571e04(0x340),'fields':'createdAt,updatedAt,id,name,username,password,email,remoteUri,serverUrl,description','limit':0xa,'offset':0x0});}],'userProfile':[_0x3e9942(0x1e0b),'Auth',function(_0x288cb9,_0x2bf40d){const _0xbaf77c=_0x3e9942;return _0x2bf40d[_0xbaf77c(0x22b6)](_0xbaf77c(0x1c60))?null:_0x288cb9[_0xbaf77c(0x19a3)](_0xbaf77c(0x9ae),{'fields':_0xbaf77c(0x279),'id':_0x2bf40d[_0xbaf77c(0x21e8)]()[_0xbaf77c(0x13c1)]});}],'userProfileSection':[_0x3e9942(0x1e0b),'Auth',function(_0x535d60,_0x39a6df){const _0x47d84c=_0x3e9942;return _0x39a6df[_0x47d84c(0x22b6)](_0x47d84c(0x1c60))?null:_0x535d60[_0x47d84c(0x19a3)](_0x47d84c(0x2182),{'fields':_0x47d84c(0x1f5f),'userProfileId':_0x39a6df[_0x47d84c(0x21e8)]()[_0x47d84c(0x13c1)],'sectionId':0x51e});}]},'authenticate':!![],'permissionId':0x51e,'bodyClass':_0x3e9942(0x1907)})[_0x3e9942(0x27e0)]('app.integrations.servicenowAccounts.edit',{'url':_0x3e9942(0x1bf2),'params':{'servicenowAccount':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0xf47517,'controller':'ServicenowAccountController\x20as\x20vm'}},'resolve':{'servicenowAccount':[_0x3e9942(0x1e0b),_0x3e9942(0x225c),function(_0x1b90fd,_0x334b0d){const _0x819e7=_0x3e9942;return _0x1b90fd['resolve'](_0x819e7(0x233e),{'fields':_0x819e7(0x1672),'id':_0x334b0d['id']});}],'userProfileSection':['apiResolver',_0x3e9942(0x1774),function(_0x199551,_0x1202ae){const _0x4dd3f3=_0x3e9942;return _0x199551[_0x4dd3f3(0x19a3)](_0x4dd3f3(0x2182),{'fields':_0x4dd3f3(0x1f5f),'userProfileId':_0x1202ae['getCurrentUser']()[_0x4dd3f3(0x13c1)],'sectionId':0x51e});}]},'authenticate':!![],'permissionId':0x51e,'bodyClass':'integrations'})['state'](_0x3e9942(0x1ffa),{'url':_0x3e9942(0x183b),'views':{'content@app':{'templateUrl':_0x4b0452,'controller':_0x3e9942(0x1010)}},'resolve':{'freshsalesAccounts':[_0x3e9942(0x1e0b),_0x3e9942(0x1774),function(_0x57bbf5,_0x10701e){const _0x192f1a=_0x3e9942;return _0x10701e[_0x192f1a(0x22b6)](_0x192f1a(0x1c60))?_0x57bbf5[_0x192f1a(0x19a3)](_0x192f1a(0x1b22),{'fields':'createdAt,updatedAt,id,name,username,remoteUri,apiKey,serverUrl,description','limit':0xa,'offset':0x0}):_0x57bbf5[_0x192f1a(0x19a3)](_0x192f1a(0x12da),{'id':_0x10701e[_0x192f1a(0x21e8)]()[_0x192f1a(0x13c1)],'section':'FreshsalesAccounts','fields':_0x192f1a(0x4d0),'limit':0xa,'offset':0x0});}],'userProfile':[_0x3e9942(0x1e0b),_0x3e9942(0x1774),function(_0x3c0db4,_0x512f20){const _0xae7e1e=_0x3e9942;return _0x512f20[_0xae7e1e(0x22b6)](_0xae7e1e(0x1c60))?null:_0x3c0db4['resolve'](_0xae7e1e(0x9ae),{'fields':_0xae7e1e(0x279),'id':_0x512f20['getCurrentUser']()[_0xae7e1e(0x13c1)]});}],'userProfileSection':[_0x3e9942(0x1e0b),_0x3e9942(0x1774),function(_0x10552f,_0x4a0fe3){const _0xd5e420=_0x3e9942;return _0x4a0fe3[_0xd5e420(0x22b6)](_0xd5e420(0x1c60))?null:_0x10552f[_0xd5e420(0x19a3)](_0xd5e420(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x4a0fe3[_0xd5e420(0x21e8)]()[_0xd5e420(0x13c1)],'sectionId':0x518});}]},'authenticate':!![],'permissionId':0x518,'bodyClass':_0x3e9942(0x1907)})[_0x3e9942(0x27e0)](_0x3e9942(0x849),{'url':'/:id?tab','params':{'freshsalesAccount':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x171142,'controller':'FreshsalesAccountController\x20as\x20vm'}},'resolve':{'freshsalesAccount':[_0x3e9942(0x1e0b),_0x3e9942(0x225c),function(_0x395353,_0x210667){const _0x4a58a7=_0x3e9942;return _0x395353['resolve'](_0x4a58a7(0x1b22),{'fields':'createdAt,updatedAt,id,name,username,remoteUri,apiKey,serverUrl,description','id':_0x210667['id']});}],'userProfileSection':[_0x3e9942(0x1e0b),'Auth',function(_0x3cd77,_0x4670ca){const _0x9996f4=_0x3e9942;return _0x3cd77[_0x9996f4(0x19a3)](_0x9996f4(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x4670ca[_0x9996f4(0x21e8)]()['userProfileId'],'sectionId':0x518});}]},'authenticate':!![],'permissionId':0x518,'bodyClass':_0x3e9942(0x1907)}),_0x38f5f9['addPart'](_0x3e9942(0x8e0));}angular['module']('app.integrations',[_0x5537c6(0x2770),_0x5537c6(0x2135),_0x5537c6(0x1260),'flow',_0x5537c6(0x18f6),_0x5537c6(0xd19),'ng-sortable',_0x5537c6(0x2ec),_0x5537c6(0x1890),'mdPickers','mwFormBuilder',_0x5537c6(0x1b65),_0x5537c6(0x2166),_0x5537c6(0x3b9),_0x5537c6(0x7c9),_0x5537c6(0xeb5),_0x5537c6(0x27af),_0x5537c6(0x13b6),'chart.js','angular.filter'])['config'](_0x199210)[_0x5537c6(0x6e5)](_0x5537c6(0x457),_0x282028)['controller']('DeskAccountsController',_0x132c73)[_0x5537c6(0x6e5)](_0x5537c6(0x1d72),_0x4843d0)[_0x5537c6(0x6e5)](_0x5537c6(0x29d2),_0x401aa9)['controller'](_0x5537c6(0x298d),_0x4d90ae)[_0x5537c6(0x6e5)]('DeskAccountController',_0x58d675)[_0x5537c6(0x6e5)]('CreateOrEditDynamics365AccountDialogController',_0x23154d)[_0x5537c6(0x6e5)]('Dynamics365AccountsController',_0x4eaeec)[_0x5537c6(0x6e5)](_0x5537c6(0x870),_0x5ec901)[_0x5537c6(0x6e5)](_0x5537c6(0x58f),_0xdecd5c)[_0x5537c6(0x6e5)](_0x5537c6(0x208),_0x1bfb94)[_0x5537c6(0x6e5)](_0x5537c6(0x20df),_0x79a345)[_0x5537c6(0x6e5)](_0x5537c6(0x2ca),_0x536e82)[_0x5537c6(0x6e5)](_0x5537c6(0x1ad8),_0x400822)[_0x5537c6(0x6e5)](_0x5537c6(0x24c9),_0x5ca787)[_0x5537c6(0x6e5)]('CreateOrEditFreshdeskAccountItemDialogController',_0x1e980e)['controller']('FreshdeskAccountController',_0x2ae30f)[_0x5537c6(0x6e5)](_0x5537c6(0xfaf),_0x514be3)[_0x5537c6(0x6e5)]('CreateOrEditFreshsalesAccountDialogController',_0x56b72a)[_0x5537c6(0x6e5)](_0x5537c6(0x4b7),_0x5416a1)[_0x5537c6(0x6e5)]('CreateOrEditFreshsalesConfigurationDialogController',_0x4e5155)[_0x5537c6(0x6e5)](_0x5537c6(0x18f0),_0x4682e9)[_0x5537c6(0x6e5)](_0x5537c6(0x2524),_0x399486)[_0x5537c6(0x6e5)](_0x5537c6(0x22ec),_0x165243)[_0x5537c6(0x6e5)](_0x5537c6(0x15e9),_0x4b78f4)['controller']('SalesforceAccountConfigurationsController',_0x5f2216)['controller'](_0x5537c6(0x2115),_0x9532a)['controller']('CreateOrEditSalesforceAccountItemDialogController',_0x523fea)['controller'](_0x5537c6(0xa3f),_0x525c1a)[_0x5537c6(0x6e5)](_0x5537c6(0x1266),_0x252395)[_0x5537c6(0x6e5)](_0x5537c6(0x131b),_0x5e6e1d)[_0x5537c6(0x6e5)](_0x5537c6(0x27b),_0x5dbd0f)[_0x5537c6(0x6e5)](_0x5537c6(0x11a5),_0x51d181)['controller']('CreateOrEditServicenowAccountItemDialogController',_0x406293)['controller']('ServicenowAccountController',_0x1f34b2)[_0x5537c6(0x6e5)](_0x5537c6(0x2602),_0x2f8c9e)[_0x5537c6(0x6e5)](_0x5537c6(0x9bd),_0x2f8ad9)[_0x5537c6(0x6e5)](_0x5537c6(0x2f4),_0x512264)[_0x5537c6(0x6e5)](_0x5537c6(0x96a),_0xc4dca2)[_0x5537c6(0x6e5)]('CreateOrEditSugarcrmAccountItemDialogController',_0x37d99a)[_0x5537c6(0x6e5)](_0x5537c6(0x23ac),_0x544d77)[_0x5537c6(0x6e5)](_0x5537c6(0x15df),_0x322d0d)[_0x5537c6(0x6e5)](_0x5537c6(0x1f62),_0x28d89f)['controller']('VtigerAccountConfigurationsController',_0x150ed0)[_0x5537c6(0x6e5)](_0x5537c6(0x36c),_0x348797)['controller'](_0x5537c6(0x1646),_0x125eb8)[_0x5537c6(0x6e5)](_0x5537c6(0x18ce),_0x134e65)[_0x5537c6(0x6e5)]('VtigerAccountsController',_0x259531)[_0x5537c6(0x6e5)](_0x5537c6(0x1e33),_0x4ad1b2)[_0x5537c6(0x6e5)](_0x5537c6(0x136e),_0x1ad9ac)[_0x5537c6(0x6e5)](_0x5537c6(0x27bd),_0x40db45)['controller']('ZendeskAccountConfigurationsController',_0x168bac)['controller'](_0x5537c6(0x2c3),_0x2a8632)[_0x5537c6(0x6e5)](_0x5537c6(0x139e),_0x4dc5a0)[_0x5537c6(0x6e5)](_0x5537c6(0x2427),_0xf54cef)[_0x5537c6(0x6e5)](_0x5537c6(0xa86),_0x55f046)['controller'](_0x5537c6(0x1952),_0x380aef)[_0x5537c6(0x6e5)]('CreateOrEditZohoAccountItemDialogController',_0x6b0f87)[_0x5537c6(0x6e5)]('ZohoAccountController',_0x2d76ab)[_0x5537c6(0x6e5)](_0x5537c6(0x2033),_0x3ebd4d);;const _0x21231e=_0x5074a3['p']+'src/js/modules/main/apps/settings/views/license/license.html/license.html';;const _0x22379e=_0x5074a3['p']+_0x5537c6(0x2640);;const _0x43816e=_0x5074a3['p']+'src/js/modules/main/apps/settings/views/license/request/dialog.html/dialog.html';;_0x23ea19[_0x5537c6(0x15b6)]=[_0x5537c6(0x22bf),_0x5537c6(0xcb9),_0x5537c6(0x406),_0x5537c6(0x8a5),'toasty','api'];function _0x23ea19(_0x3c2824,_0x45cc1e,_0x3558eb,_0x2b2112,_0x374b57,_0x23436c){const _0x32e996=_0x5537c6,_0x4e6509=this;_0x4e6509[_0x32e996(0x8a5)]=_0x2b2112||{},_0x4e6509['agents']=0x0,_0x4e6509[_0x32e996(0x1e30)]=0x0,_0x4e6509[_0x32e996(0x5f8)]=0x0,_0x4e6509[_0x32e996(0x24f1)]=[{'name':_0x32e996(0x1fd4),'icon':_0x32e996(0x412)},{'name':_0x32e996(0x174c),'icon':_0x32e996(0x2181)},{'name':_0x32e996(0x2651),'icon':_0x32e996(0xfbd)},{'name':_0x32e996(0x1e48),'icon':'icon-message-text'},{'name':_0x32e996(0x1944),'icon':_0x32e996(0x16d3)},{'name':'openchannel','icon':'icon-google-earth'},{'name':_0x32e996(0x2476),'icon':'icon-whatsapp'}],_0x4e6509[_0x32e996(0x98d)]=[{'name':'cm','icon':'icon-account-circle'},{'name':_0x32e996(0x14f7),'icon':_0x32e996(0x1920)},{'name':_0x32e996(0x1e98),'icon':_0x32e996(0x1737)}],_0x4e6509[_0x32e996(0x1922)]=[{'name':_0x32e996(0x110c),'icon':_0x32e996(0x2169)},{'name':_0x32e996(0x11f6),'icon':_0x32e996(0xe49)},{'name':_0x32e996(0x197c),'icon':_0x32e996(0x17dc)},{'name':'screenrecording','icon':'icon-video'},{'name':'ai','icon':_0x32e996(0x1704)}],_0x4e6509[_0x32e996(0x6d4)]=[{'name':_0x32e996(0xe18),'icon':_0x32e996(0x18cf),'restart':!![],'label':_0x32e996(0x24f1),'enabled':_0x32e996(0x1fd4)},{'name':_0x32e996(0x18ba),'icon':_0x32e996(0x13ba),'restart':![],'label':_0x32e996(0x6d4),'enabled':_0x32e996(0x174c)}],_0x4e6509[_0x32e996(0x1c41)]=[{'name':_0x32e996(0x687),'icon':'icon-cloud-download'}],_0x23436c['user']['get']({'fields':'id','role':'agent','online':!![]})[_0x32e996(0x1d77)][_0x32e996(0x1cb0)](function(_0x4d01b7){const _0x44cb3b=_0x32e996;return _0x4e6509['agents']=_0x4d01b7&&_0x4d01b7['count']?_0x4d01b7['count']:0x0,_0x23436c[_0x44cb3b(0xebe)][_0x44cb3b(0xbf7)]({'fields':'id','role':'user,admin'})[_0x44cb3b(0x1d77)];})[_0x32e996(0x1cb0)](function(_0x1f16df){const _0x58d7aa=_0x32e996;return _0x4e6509[_0x58d7aa(0x1e30)]=_0x1f16df&&_0x1f16df[_0x58d7aa(0x184d)]?_0x1f16df[_0x58d7aa(0x184d)]:0x0,_0x23436c[_0x58d7aa(0xebe)][_0x58d7aa(0xbf7)]({'fields':'id','role':_0x58d7aa(0x2922)})['$promise'];})['then'](function(_0x141b6f){const _0x25282d=_0x32e996;_0x4e6509[_0x25282d(0x5f8)]=_0x141b6f&&_0x141b6f[_0x25282d(0x184d)]?_0x141b6f['count']:0x0;})[_0x32e996(0x1c4)](function(_0x373602){const _0xb83640=_0x32e996;console[_0xb83640(0x1b4f)](_0x373602);});function _0x4e4586(_0x322b88){const _0x2410b1=_0x32e996;_0x45cc1e[_0x2410b1(0xe27)]({'controller':_0x2410b1(0x2201),'controllerAs':'vm','templateUrl':_0x22379e,'parent':angular['element'](_0x3c2824[_0x2410b1(0x1ed9)]),'targetEvent':_0x322b88,'clickOutsideToClose':!![],'locals':{'license':_0x2b2112}});}function _0xf4d497(_0x56e329){const _0x1fece2=_0x32e996;_0x45cc1e[_0x1fece2(0xe27)]({'controller':_0x1fece2(0x1d8c),'controllerAs':'vm','templateUrl':_0x43816e,'parent':angular[_0x1fece2(0x1853)](_0x3c2824[_0x1fece2(0x1ed9)]),'targetEvent':_0x56e329,'clickOutsideToClose':!![],'locals':{'license':_0x2b2112,'channels':_0x4e6509[_0x1fece2(0x24f1)],'modules':_0x4e6509[_0x1fece2(0x98d)],'addons':_0x4e6509[_0x1fece2(0x1922)],'sessions':_0x4e6509[_0x1fece2(0x6d4)],'others':_0x4e6509[_0x1fece2(0x1c41)]}});}function _0x7dfb4c(){const _0x109ffa=_0x32e996;_0x3558eb['go'](_0x109ffa(0x1cd9),{'tab':0x1});}_0x4e6509['requestLicense']=_0xf4d497,_0x4e6509[_0x32e996(0x2010)]=_0x4e4586,_0x4e6509[_0x32e996(0x910)]=_0x7dfb4c;}const _0x2ca3fc=_0x23ea19;;_0xa4abd3[_0x5537c6(0x15b6)]=['$mdDialog',_0x5537c6(0x9bf),_0x5537c6(0x8a5),_0x5537c6(0x24f1),_0x5537c6(0x98d),_0x5537c6(0x1922),_0x5537c6(0x6d4),_0x5537c6(0x1c41),'api','Auth',_0x5537c6(0x1ae)];function _0xa4abd3(_0x2e3030,_0x57fb23,_0x5358a9,_0xa464e5,_0x2bfe21,_0x515c75,_0x5c43b0,_0x280d7f,_0x521b72,_0x4f0830,_0x331d19){const _0x3cf125=_0x5537c6,_0x40a057=this;_0x40a057[_0x3cf125(0x1a7c)]=[],_0x40a057[_0x3cf125(0xebe)]=_0x4f0830[_0x3cf125(0x21e8)](),_0x40a057[_0x3cf125(0x8a5)]=angular[_0x3cf125(0x17fe)](_0x5358a9),_0x40a057['channels']=_0xa464e5,_0x40a057['modules']=_0x2bfe21,_0x40a057[_0x3cf125(0x1922)]=_0x515c75,_0x40a057[_0x3cf125(0x6d4)]=_0x5c43b0,_0x40a057['others']=_0x280d7f,_0x40a057[_0x3cf125(0x8a5)]['name']=_0x40a057[_0x3cf125(0xebe)][_0x3cf125(0x1d14)],_0x40a057['license'][_0x3cf125(0x1e19)]=_0x40a057[_0x3cf125(0xebe)][_0x3cf125(0x1e19)],_0x40a057[_0x3cf125(0xe12)]=_0x52d7b4,_0x40a057['closeDialog']=_0x7df003;function _0x52d7b4(){const _0x21605f=_0x3cf125;_0x40a057[_0x21605f(0x1a7c)]=[],_0x40a057['table']=_0x21605f(0x675);for(const _0xe22418 in _0x40a057['license']){typeof _0x40a057[_0x21605f(0x8a5)][_0xe22418]!==_0x21605f(0x16b5)&&!_0x39641b()[_0x21605f(0x250a)](['$promise',_0x21605f(0x248e)],_0xe22418)&&(_0x5358a9[_0xe22418]===_0x40a057['license'][_0xe22418]?_0x40a057[_0x21605f(0xc83)]+='|'+_0xe22418+'|'+(_0x5358a9[_0xe22418]!==undefined?_0x5358a9[_0xe22418]:'')+'|'+_0x40a057[_0x21605f(0x8a5)][_0xe22418]+'|\x0a':_0x40a057[_0x21605f(0xc83)]+='|'+_0xe22418+_0x21605f(0x14b4)+(_0x5358a9[_0xe22418]!==undefined?_0x5358a9[_0xe22418]:'')+'{color}|*'+_0x40a057[_0x21605f(0x8a5)][_0xe22418]+_0x21605f(0x197d));}_0x521b72[_0x21605f(0x231f)]['save']({'key':_0x21605f(0x76c),'summary':'Motion\x20License\x20Request','description':_0x40a057[_0x21605f(0xc83)],'issuetype':'License\x20Request'})['$promise'][_0x21605f(0x1cb0)](function(){const _0x2eb7a5=_0x21605f;_0x57fb23[_0x2eb7a5(0x829)]({'title':'Request\x20sent!','msg':_0x331d19[_0x2eb7a5(0x25cc)](_0x2eb7a5(0x55d)),'timeout':0x3a98}),_0x7df003();})[_0x21605f(0x1c4)](function(_0x1cefaa){const _0x4e66e5=_0x21605f;console[_0x4e66e5(0x218e)](_0x1cefaa),_0x40a057[_0x4e66e5(0x1a7c)]=[{'message':_0x331d19[_0x4e66e5(0x25cc)](_0x4e66e5(0x1edb)),'type':'api.jira'}];});}function _0x7df003(){const _0x394d54=_0x3cf125;_0x2e3030[_0x394d54(0x1426)]();}}const _0x25529f=_0xa4abd3;;_0x104fc2[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),_0x5537c6(0x9bf),'license','api'];function _0x104fc2(_0x61f2db,_0x20015e,_0x3187a8,_0x5a33dd){const _0x11aa35=_0x5537c6,_0x2ad9c7=this;_0x2ad9c7['errors']=[],_0x2ad9c7['license']=angular[_0x11aa35(0x17fe)](_0x3187a8),_0x2ad9c7[_0x11aa35(0x1bab)],_0x2ad9c7[_0x11aa35(0x2010)]=_0x4f36f7,_0x2ad9c7[_0x11aa35(0xda0)]=_0x1029b6;function _0x4f36f7(){const _0x41c2cf=_0x11aa35;return _0x5a33dd[_0x41c2cf(0x8a5)][_0x41c2cf(0x687)]({'id':0x1,'key':_0x2ad9c7['licenseKey']})['$promise'][_0x41c2cf(0x1cb0)](function(_0x14bfea){const _0x31b3eb=_0x41c2cf;_0x14bfea&&(_0x14bfea[_0x31b3eb(0x7d1)]?_0x20015e[_0x31b3eb(0x218e)]({'title':_0x31b3eb(0x6b0),'msg':_0x31b3eb(0x21c5)}):_0x20015e[_0x31b3eb(0x829)]({'title':_0x31b3eb(0x8ee),'msg':'The\x20license\x20has\x20been\x20updated!'}));})[_0x41c2cf(0x1c4)](function(_0x4c2ab3){const _0x3b32b1=_0x41c2cf;_0x20015e[_0x3b32b1(0x218e)]({'title':_0x4c2ab3[_0x3b32b1(0x291)]?_0x3b32b1(0xeb9)+_0x4c2ab3[_0x3b32b1(0x291)]+_0x3b32b1(0x1657)+_0x4c2ab3['statusText']:'SYSTEM:UPDATElicense','msg':_0x4c2ab3[_0x3b32b1(0x25c)]?JSON[_0x3b32b1(0x2701)](_0x4c2ab3[_0x3b32b1(0x25c)]):_0x4c2ab3[_0x3b32b1(0x147f)]()});});}function _0x1029b6(){_0x61f2db['hide']();}}const _0x4a8734=_0x104fc2;;_0xc6c0fd['$inject']=[_0x5537c6(0xbd6)];function _0xc6c0fd(_0x2450e5){const _0x9355ff=_0x5537c6;_0x2450e5[_0x9355ff(0x27e0)](_0x9355ff(0x120c),{'url':_0x9355ff(0x1775),'views':{'content@app':{'templateUrl':_0x21231e,'controller':_0x9355ff(0x9d7)}},'authenticate':!![],'permissionId':0x578,'bodyClass':_0x9355ff(0x285a)});}angular[_0x5537c6(0x9ab)](_0x5537c6(0x120c),[])[_0x5537c6(0xa60)](_0xc6c0fd)[_0x5537c6(0x6e5)](_0x5537c6(0x1ead),_0x2ca3fc)[_0x5537c6(0x6e5)](_0x5537c6(0x1d8c),_0x25529f)[_0x5537c6(0x6e5)](_0x5537c6(0x2201),_0x4a8734);;const _0x5cf0d3=_0x5074a3['p']+'src/js/modules/main/apps/settings/views/system/system.html/system.html';;_0x1c8e53[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x1714),_0x5537c6(0xcb9),'$state',_0x5537c6(0x7be),'processes',_0x5537c6(0x9bf),_0x5537c6(0x142b)];function _0x1c8e53(_0x20445d,_0x2dda7e,_0x5db2c3,_0x4d7efa,_0xec0f9b,_0x3dcd7f,_0x98dfb4,_0x528c38){const _0x132a9b=_0x5537c6,_0x406f8c=this;let _0x3c1acb=null;_0x406f8c[_0x132a9b(0x7be)]=_0xec0f9b||{},_0x406f8c['processes']=_0x3dcd7f?_0x3dcd7f[_0x132a9b(0x2214)]:[],_0x406f8c[_0x132a9b(0x93a)]=0x7,_0x406f8c[_0x132a9b(0x8ec)]=_0x4d7efa['params'][_0x132a9b(0x291e)]||0x0,_0x406f8c[_0x132a9b(0xb4f)]=_0x456445,_0x406f8c[_0x132a9b(0x2899)]=_0x29a4da,_0x406f8c[_0x132a9b(0x16f2)]=_0x142bc0,_0x406f8c[_0x132a9b(0x6e3)]=_0x358e79;function _0x142bc0(){const _0x2026d7=_0x132a9b;_0x2dda7e[_0x2026d7(0x696)](_0x3c1acb),_0x3c1acb=null;}function _0x358e79(_0x228dd0,_0x4e4b33,_0x2f5cda){const _0x5c9921=_0x132a9b,_0x522c8c=_0x5db2c3[_0x5c9921(0x1551)]()[_0x5c9921(0x1386)](_0x5c9921(0x28e9)+_0x4e4b33+'?')['textContent'](_0x5c9921(0x544)+_0x2f5cda['toUpperCase']())['ariaLabel'](_0x5c9921(0x28e1))[_0x5c9921(0x728)](_0x228dd0)['ok']('Ok')[_0x5c9921(0x696)](_0x5c9921(0xde1));_0x5db2c3[_0x5c9921(0xe27)](_0x522c8c)[_0x5c9921(0x1cb0)](function(){const _0x419865=_0x5c9921;return _0x528c38[_0x419865(0x2897)]['update']({'id':_0x4e4b33,'status':_0x2f5cda});})[_0x5c9921(0x1cb0)](function(){const _0x5eecff=_0x5c9921;_0x2dda7e(_0x406f8c[_0x5eecff(0x2899)],0x3e8,0x1);})[_0x5c9921(0x1cb0)](function(){const _0x35de32=_0x5c9921;_0x98dfb4[_0x35de32(0x829)]({'title':_0x35de32(0x22f5),'msg':_0x35de32(0x22d6)+_0x2f5cda});});}function _0x456445(){const _0x574717=_0x132a9b;return _0x528c38['system'][_0x574717(0xbf7)]()[_0x574717(0x1d77)][_0x574717(0x1cb0)](function(_0x527ca7){const _0x24b268=_0x574717;_0x39641b()['merge'](_0x406f8c[_0x24b268(0x7be)],_0x527ca7);})[_0x574717(0x1c4)](_0x406f8c[_0x574717(0x16f2)]);}function _0x29a4da(){const _0x25b1bd=_0x132a9b;return _0x528c38['pm2'][_0x25b1bd(0xbf7)]()[_0x25b1bd(0x1d77)]['then'](function(_0x4942c9){const _0x437d1b=_0x25b1bd;_0x39641b()[_0x437d1b(0x9c1)](_0x406f8c['processes'],_0x4942c9?_0x4942c9[_0x437d1b(0x2214)]:[]);})[_0x25b1bd(0x1c4)](_0x406f8c[_0x25b1bd(0x16f2)]);}_0x3c1acb=_0x2dda7e(_0x406f8c[_0x132a9b(0xb4f)],0x1388),_0x20445d[_0x132a9b(0x1d6)](_0x132a9b(0x291c),function(){const _0x1d093b=_0x132a9b;_0x406f8c[_0x1d093b(0x16f2)]();});}const _0x42c35c=_0x1c8e53;;_0x3cd0b5[_0x5537c6(0x15b6)]=[_0x5537c6(0xbd6)];function _0x3cd0b5(_0x5bd25a){const _0x14d4ed=_0x5537c6;_0x5bd25a['state'](_0x14d4ed(0x1cd9),{'url':_0x14d4ed(0x13ee),'views':{'content@app':{'templateUrl':_0x5cf0d3,'controller':_0x14d4ed(0x1a66)}},'resolve':{'system':['apiResolver',function(_0xa27516){return _0xa27516['resolve']('system@get');}],'processes':[_0x14d4ed(0x1e0b),function(_0x4444c2){const _0x5ae27b=_0x14d4ed;return _0x4444c2['resolve'](_0x5ae27b(0x109b));}]},'authenticate':!![],'permissionId':0x578,'bodyClass':_0x14d4ed(0x285a)});}angular[_0x5537c6(0x9ab)](_0x5537c6(0x1cd9),[])[_0x5537c6(0xa60)](_0x3cd0b5)[_0x5537c6(0x6e5)]('SystemController',_0x42c35c);;const _0x355469=_0x5074a3['p']+'src/js/modules/main/apps/settings/views/updates/updates.html/updates.html';;_0x3eeb8b[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),_0x5537c6(0x1714),_0x5537c6(0x1f10),_0x5537c6(0x2168),_0x5537c6(0x142b)];function _0x3eeb8b(_0x347ea6,_0x3349d9,_0x4c99fa,_0x4ddab5,_0x1f7981){const _0x4eaf18=_0x5537c6,_0x4a6be8=this;_0x4a6be8[_0x4eaf18(0x1386)]=_0x4eaf18(0x245e),_0x4a6be8[_0x4eaf18(0x22d9)]=0x0,_0x4a6be8['updating']=!![],_0x4a6be8[_0x4eaf18(0x259c)]=_0x4eaf18(0x127f),_0x4a6be8[_0x4eaf18(0x829)]=!![],_0x4a6be8[_0x4eaf18(0x1ac8)]=_0x3349d9(function(){const _0x4250cc=_0x4eaf18;_0x4a6be8['progress']<0x5a&&(_0x4a6be8[_0x4250cc(0x22d9)]+=0x1);},0x3e8),_0x1f7981['version']['fetch']()[_0x4eaf18(0x1d77)][_0x4eaf18(0x1cb0)](function(_0x670e55){const _0x579805=_0x4eaf18;return _0x4a6be8['output']=_0x670e55[_0x579805(0x259c)]+_0x579805(0x1e77),_0x1f7981[_0x579805(0x23cc)][_0x579805(0x28d5)]()[_0x579805(0x1d77)];})[_0x4eaf18(0x1cb0)](function(_0x5e04a0){const _0x48ef9c=_0x4eaf18;return _0x4a6be8[_0x48ef9c(0x259c)]=_0x5e04a0['output']+_0x48ef9c(0x1e77),_0x1f7981[_0x48ef9c(0x23cc)][_0x48ef9c(0x1d49)]()[_0x48ef9c(0x1d77)];})['then'](function(_0x57412d){const _0x6d4ed5=_0x4eaf18;return _0x4a6be8[_0x6d4ed5(0x259c)]=_0x57412d[_0x6d4ed5(0x259c)]+_0x6d4ed5(0x1e77),_0x1f7981[_0x6d4ed5(0x23cc)][_0x6d4ed5(0xfe1)]()[_0x6d4ed5(0x1d77)];})[_0x4eaf18(0x1cb0)](function(_0x2f462d){const _0x50ecb1=_0x4eaf18;return _0x4a6be8[_0x50ecb1(0x259c)]=_0x2f462d[_0x50ecb1(0x259c)]+_0x50ecb1(0x1e77),_0x1f7981[_0x50ecb1(0x23cc)][_0x50ecb1(0x1f5e)]()['$promise'];})[_0x4eaf18(0x1cb0)](function(){const _0x3174dc=_0x4eaf18;_0x4a6be8['output']=_0x3174dc(0x1021),_0x4ddab5(function(){const _0x5d0eeb=_0x3174dc;_0x4a6be8[_0x5d0eeb(0xec3)]();},0x7530);})['catch'](function(_0x598a8e){const _0x2e7c05=_0x4eaf18;_0x4a6be8[_0x2e7c05(0x829)]=![],_0x4a6be8['stopInterval'](),_0x4a6be8[_0x2e7c05(0x259c)]=JSON[_0x2e7c05(0x2701)](_0x598a8e);});function _0x24c464(){const _0x102db2=_0x4eaf18;angular[_0x102db2(0x13b8)](_0x4a6be8['interval'])&&(_0x3349d9[_0x102db2(0x696)](_0x4a6be8[_0x102db2(0x1ac8)]),_0x4a6be8[_0x102db2(0x1ac8)]=undefined),_0x4a6be8[_0x102db2(0x22d9)]=0x64,_0x4a6be8[_0x102db2(0x18e1)]=![];}function _0x21c611(){const _0x15c8a8=_0x4eaf18;_0x4c99fa[_0x15c8a8(0x2404)][_0x15c8a8(0x5b9)]();}function _0x35f534(){_0x347ea6['hide']();}_0x4a6be8['closeDialog']=_0x35f534,_0x4a6be8[_0x4eaf18(0x5b9)]=_0x21c611,_0x4a6be8[_0x4eaf18(0xec3)]=_0x24c464;}const _0x4d5e66=_0x3eeb8b;;const _0x4f826=_0x5074a3['p']+_0x5537c6(0x353);;_0x3b6225[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),_0x5537c6(0x1714),_0x5537c6(0x22bf),_0x5537c6(0x23cc)];function _0x3b6225(_0x52ffcc,_0x5b131e,_0x2f7d78,_0x16920c){const _0x4962ff=_0x5537c6,_0x489354=this;_0x489354[_0x4962ff(0x23cc)]=_0x16920c||{};function _0x1aae96(_0xf69521){const _0x45704e=_0x4962ff,_0x3ce4c0=_0x52ffcc[_0x45704e(0x1551)]()['title'](_0x45704e(0x22fa))[_0x45704e(0x49e)](_0x45704e(0x6a9))[_0x45704e(0x15ad)]('')[_0x45704e(0x728)](_0xf69521)[_0x45704e(0x27e1)](!![])[_0x45704e(0xbfb)](angular[_0x45704e(0x1853)](_0x2f7d78[_0x45704e(0x1ed9)]))['ok'](_0x45704e(0x1507))['cancel']('Disagree');_0x52ffcc[_0x45704e(0xe27)](_0x3ce4c0)[_0x45704e(0x1cb0)](function(){const _0x20a38a=_0x45704e;_0x52ffcc['show']({'controller':_0x20a38a(0x73b),'controllerAs':'vm','templateUrl':_0x4f826,'parent':angular['element'](_0x2f7d78['body']),'targetEvent':_0xf69521,'clickOutsideToClose':![]});});}_0x489354['updateConfirm']=_0x1aae96;}const _0x1df15=_0x3b6225;;_0x2990ac['$inject']=['$stateProvider'];function _0x2990ac(_0x34f8e9){const _0x133765=_0x5537c6;_0x34f8e9[_0x133765(0x27e0)](_0x133765(0x1ce2),{'url':_0x133765(0x2935),'views':{'content@app':{'templateUrl':_0x355469,'controller':_0x133765(0xf30)}},'resolve':{'version':[_0x133765(0x1e0b),function(_0x3f7ee3){const _0xbf821b=_0x133765;return _0x3f7ee3['resolve'](_0xbf821b(0x21ea),{'latest':!![]});}],'userProfile':['apiResolver','Auth',function(_0x5121af,_0x3a84a2){const _0x3b3a2c=_0x133765;return _0x5121af[_0x3b3a2c(0x19a3)](_0x3b3a2c(0x9ae),{'fields':'id,name,crudPermissions','id':_0x3a84a2['getCurrentUser']()[_0x3b3a2c(0x13c1)]});}],'userProfileSection':[_0x133765(0x1e0b),_0x133765(0x1774),function(_0x5695de,_0x32a9f3){const _0x5bf54b=_0x133765;return _0x5695de['resolve'](_0x5bf54b(0x2182),{'fields':_0x5bf54b(0x1f5f),'userProfileId':_0x32a9f3['getCurrentUser']()['userProfileId'],'sectionId':0x578});}]},'authenticate':!![],'permissionId':0x578,'bodyClass':'settings'});}angular[_0x5537c6(0x9ab)](_0x5537c6(0x1ce2),[])['config'](_0x2990ac)[_0x5537c6(0x6e5)](_0x5537c6(0x73b),_0x4d5e66)[_0x5537c6(0x6e5)](_0x5537c6(0x79e),_0x1df15);;const _0x39858c=_0x5074a3['p']+_0x5537c6(0x2269);;const _0x531e03=_0x5074a3['p']+_0x5537c6(0x279e);;const _0x16177e=_0x5074a3['p']+_0x5537c6(0x298);;const _0x47d6d1=_0x5074a3['p']+_0x5537c6(0x12ee);;const _0x7782ad=_0x5074a3['p']+_0x5537c6(0x1a54);;const _0x2ab188=_0x5074a3['p']+'src/js/modules/main/apps/settings/views/cloudProviders/create/dialog.html/dialog.html';;_0x54a54f[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$window','$state',_0x5537c6(0x417),'$mdDialog','$document',_0x5537c6(0x2168),_0x5537c6(0x1ae),'cloudProviders',_0x5537c6(0x142b),_0x5537c6(0xde8),'toasty',_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x54a54f(_0x106f19,_0x4f947d,_0x2339ae,_0x5f46d8,_0x1b8a8e,_0x53418e,_0x1f61bb,_0x18ed0d,_0x18b93e,_0x59f786,_0x26ef74,_0x3be5b3,_0x53fa1d,_0x4cf6b7,_0x39215f){const _0x5615d8=_0x5537c6,_0x4882bf=this;_0x4882bf[_0x5615d8(0x8a5)]=_0x4cf6b7,_0x4882bf['setting']=_0x39215f,_0x4882bf[_0x5615d8(0xe76)]=_0x53fa1d[_0x5615d8(0x21e8)](),_0x4882bf['cloudProviders']=_0x18b93e||{'count':0x0,'rows':[]},_0x4882bf[_0x5615d8(0xc83)]=_0x5615d8(0xfdc),_0x4882bf[_0x5615d8(0x1d20)]='',_0x4882bf[_0x5615d8(0x1cdf)]=null,_0x4882bf['selectedAiTools']=[],_0x4882bf[_0x5615d8(0xae2)]={'fields':_0x5615d8(0x1414),'sort':_0x5615d8(0x282),'limit':0xa,'page':0x1},_0x4882bf[_0x5615d8(0xf8c)]=_0x39641b()[_0x5615d8(0x2631)]([{'option':_0x5615d8(0xe2b),'value':_0x5615d8(0x1418)}],function(_0x2fdbc7){const _0x377efa=_0x5615d8;return _0x39641b()[_0x377efa(0x288f)](_0x2fdbc7['value'],new RegExp('\x27','g'),'');}),_0x4882bf[_0x5615d8(0xc93)]=_0x569349,_0x4882bf[_0x5615d8(0x27fe)]=_0x4a1ff5,_0x4882bf[_0x5615d8(0x829)]=_0x5cd1b2,_0x4882bf[_0x5615d8(0xfb7)]=_0x177c09,_0x4882bf[_0x5615d8(0x1cdc)]=_0x46e7af,_0x4882bf[_0x5615d8(0x1709)]=_0x177961,_0x4882bf['exportSelectedAiTools']=_0x5e94bd,_0x4882bf[_0x5615d8(0x1c07)]=_0x333d85,_0x4882bf['deselectAiTools']=_0x3510d2,_0x4882bf[_0x5615d8(0x1add)]=_0x41e9c0;function _0x569349(_0x235dfd,_0x211132){const _0x3a0050=_0x5615d8;_0x1b8a8e['show']({'controller':_0x3a0050(0x17f9),'controllerAs':'vm','templateUrl':_0x2ab188,'parent':angular[_0x3a0050(0x1853)](_0x53418e['body']),'targetEvent':_0x211132,'clickOutsideToClose':!![],'locals':{'cloudProvider':_0x235dfd,'cloudProviders':_0x4882bf[_0x3a0050(0xfdc)][_0x3a0050(0x2214)],'license':_0x4882bf['license'],'setting':null,'crudPermissions':_0x4882bf[_0x3a0050(0x1b1a)]}});}function _0x4a1ff5(_0x12ab1b,_0x2c7c32){const _0x2fc426=_0x5615d8,_0x2cab5d=_0x1b8a8e[_0x2fc426(0x1551)]()[_0x2fc426(0x1386)](_0x2fc426(0x140b)+_0x39641b()[_0x2fc426(0xa75)](_0x2fc426(0x1f41))+'?')[_0x2fc426(0x49e)](_0x2fc426(0x204d)+(_0x12ab1b[_0x2fc426(0x16b6)]||_0x2fc426(0x1f41))+_0x2fc426(0x1200)+'\x20will\x20be\x20deleted.')['ariaLabel'](_0x2fc426(0x154a))[_0x2fc426(0x728)](_0x2c7c32)['ok']('OK')['cancel'](_0x2fc426(0x24ba));_0x1b8a8e[_0x2fc426(0xe27)](_0x2cab5d)[_0x2fc426(0x1cb0)](function(){_0x177961(_0x12ab1b);},function(){const _0xddb1c6=_0x2fc426;console[_0xddb1c6(0x1b4f)](_0xddb1c6(0x24ba));});}let _0x34c047=!![],_0x5a29ec=0x1;_0x106f19[_0x5615d8(0x614)]('vm.query.filter',function(_0x101e2c,_0x1688db){const _0x266a17=_0x5615d8;_0x34c047?_0x1f61bb(function(){_0x34c047=![];}):(!_0x1688db&&(_0x5a29ec=_0x4882bf[_0x266a17(0xae2)][_0x266a17(0x1c7b)]),_0x101e2c!==_0x1688db&&(_0x4882bf[_0x266a17(0xae2)][_0x266a17(0x1c7b)]=0x1),!_0x101e2c&&(_0x4882bf['query'][_0x266a17(0x1c7b)]=_0x5a29ec),_0x4882bf[_0x266a17(0xfb7)]());});function _0x5cd1b2(_0x12c429){const _0x1485fe=_0x5615d8;_0x4882bf[_0x1485fe(0xfdc)]=_0x12c429||{'count':0x0,'rows':[]};}function _0x177c09(){const _0x1efa09=_0x5615d8;_0x4882bf[_0x1efa09(0xae2)][_0x1efa09(0x184b)]=(_0x4882bf[_0x1efa09(0xae2)][_0x1efa09(0x1c7b)]-0x1)*_0x4882bf[_0x1efa09(0xae2)][_0x1efa09(0x236)],_0x53fa1d['hasRole'](_0x1efa09(0x1c60))?_0x4882bf[_0x1efa09(0x2061)]=_0x59f786['cloudProvider'][_0x1efa09(0xbf7)](_0x4882bf[_0x1efa09(0xae2)],_0x5cd1b2)[_0x1efa09(0x1d77)]:(_0x4882bf[_0x1efa09(0xae2)]['id']=_0x4882bf[_0x1efa09(0x44a)]['id'],_0x4882bf[_0x1efa09(0xae2)][_0x1efa09(0x1f74)]=_0x1efa09(0x625),_0x4882bf[_0x1efa09(0x2061)]=_0x59f786[_0x1efa09(0x44a)][_0x1efa09(0x1810)](_0x4882bf['query'],_0x5cd1b2)[_0x1efa09(0x1d77)]);}function _0x46e7af(_0x4a9f98,_0x2f03d1){const _0x43e163=_0x5615d8;_0x1b8a8e[_0x43e163(0xe27)]({'controller':_0x43e163(0x17f9),'controllerAs':'vm','templateUrl':_0x2ab188,'parent':angular[_0x43e163(0x1853)](_0x53418e[_0x43e163(0x1ed9)]),'targetEvent':_0x4a9f98,'clickOutsideToClose':!![],'locals':{'cloudProvider':_0x2f03d1,'cloudProviders':_0x4882bf[_0x43e163(0xfdc)][_0x43e163(0x2214)],'license':_0x4882bf['license'],'setting':_0x4882bf[_0x43e163(0x9ca)],'crudPermissions':_0x4882bf[_0x43e163(0x1b1a)]}});}function _0x177961(_0x995f67){const _0x2d627f=_0x5615d8;_0x59f786[_0x2d627f(0x1f41)][_0x2d627f(0x111d)]({'id':_0x995f67['id']})[_0x2d627f(0x1d77)]['then'](function(){const _0x526b74=_0x2d627f;_0x39641b()[_0x526b74(0x152a)](_0x4882bf['cloudProviders']['rows'],{'id':_0x995f67['id']}),_0x4882bf['cloudProviders'][_0x526b74(0x184d)]-=0x1,!_0x4882bf[_0x526b74(0xfdc)]['rows'][_0x526b74(0xfd0)]&&_0x4882bf[_0x526b74(0xfb7)](),_0x3be5b3['success']({'title':_0x39641b()[_0x526b74(0xa75)](_0x526b74(0x1eea))+_0x526b74(0x2663),'msg':_0x995f67[_0x526b74(0x16b6)]?_0x995f67['name']+_0x526b74(0x3f5):''});})[_0x2d627f(0x1c4)](function(_0x486c6b){const _0x1e8ebe=_0x2d627f;if(_0x486c6b[_0x1e8ebe(0x25c)]&&_0x486c6b[_0x1e8ebe(0x25c)][_0x1e8ebe(0x1a7c)]&&_0x486c6b[_0x1e8ebe(0x25c)][_0x1e8ebe(0x1a7c)]['length']){_0x4882bf['errors']=_0x486c6b['data'][_0x1e8ebe(0x1a7c)]||[{'message':_0x486c6b[_0x1e8ebe(0x147f)](),'type':_0x1e8ebe(0x170f)}];for(let _0x7bbe2a=0x0;_0x7bbe2a<_0x486c6b[_0x1e8ebe(0x25c)][_0x1e8ebe(0x1a7c)][_0x1e8ebe(0xfd0)];_0x7bbe2a++){_0x3be5b3[_0x1e8ebe(0x218e)]({'title':_0x486c6b[_0x1e8ebe(0x25c)]['errors'][_0x7bbe2a][_0x1e8ebe(0x66a)],'msg':_0x486c6b['data'][_0x1e8ebe(0x1a7c)][_0x7bbe2a][_0x1e8ebe(0x155e)]});}}else _0x3be5b3[_0x1e8ebe(0x218e)]({'title':_0x486c6b['status']?_0x1e8ebe(0xeb9)+_0x486c6b[_0x1e8ebe(0x291)]+_0x1e8ebe(0x1657)+_0x486c6b[_0x1e8ebe(0xc22)]:_0x1e8ebe(0x170f),'msg':_0x486c6b[_0x1e8ebe(0x25c)]?JSON[_0x1e8ebe(0x2701)](_0x486c6b[_0x1e8ebe(0x25c)]['message']):_0x486c6b['message']||_0x486c6b['toString']()});});}function _0x5e94bd(){const _0x328f2a=_0x5615d8,_0x2eb03f=angular[_0x328f2a(0x17fe)](_0x4882bf[_0x328f2a(0xf01)]);return _0x4882bf[_0x328f2a(0xf01)]=[],_0x2eb03f;}function _0x333d85(_0x45c289){const _0x5366fc=_0x5615d8,_0x485c5f=_0x1b8a8e['confirm']()[_0x5366fc(0x1386)](_0x5366fc(0x6e9))[_0x5366fc(0x49e)](_0x5366fc(0x204d)+_0x4882bf['selectedAiTools']['length']+_0x5366fc(0x1d6c)+_0x5366fc(0x1b6))[_0x5366fc(0x15ad)](_0x5366fc(0x1307))['targetEvent'](_0x45c289)['ok']('OK')[_0x5366fc(0x696)](_0x5366fc(0x24ba));_0x1b8a8e['show'](_0x485c5f)[_0x5366fc(0x1cb0)](function(){const _0x2c1f3e=_0x5366fc;_0x4882bf['selectedAiTools']['forEach'](function(_0x277797){_0x177961(_0x277797);}),_0x4882bf[_0x2c1f3e(0xf01)]=[];});}function _0x3510d2(){const _0x297d8f=_0x5615d8;_0x4882bf[_0x297d8f(0xf01)]=[];}function _0x41e9c0(){const _0x2d05ca=_0x5615d8;_0x4882bf[_0x2d05ca(0xf01)]=_0x4882bf['cloudProviders'][_0x2d05ca(0x2214)];}}const _0x298b0a=_0x54a54f;;_0x35f74a[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),'$mdDialog','$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0xfdc),_0x5537c6(0x1f41),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x35f74a(_0x5bd994,_0x367fe4,_0x388270,_0x50157b,_0x45903e,_0xe7f1e8,_0x348e40,_0x428519,_0x285d88,_0x1a9da8,_0x4f41ec,_0x50c049,_0x2ec2a6){const _0x5d54c0=_0x5537c6,_0x3c4623=this;_0x3c4623['currentUser']=_0x4f41ec[_0x5d54c0(0x21e8)](),_0x3c4623[_0x5d54c0(0x1a7c)]=[],_0x3c4623[_0x5d54c0(0x9ca)]=_0x2ec2a6,_0x3c4623[_0x5d54c0(0x8a5)]=_0x50c049,_0x3c4623['hasModulePermissions']={},_0x3c4623[_0x5d54c0(0x1b0c)]=_0x3c4623[_0x5d54c0(0x9ca)]&&_0x3c4623[_0x5d54c0(0x9ca)][_0x5d54c0(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x3c4623[_0x5d54c0(0x1386)]=_0x5d54c0(0x2835),_0x3c4623[_0x5d54c0(0x1f41)]=angular['copy'](_0x285d88),_0x3c4623['cloudProviders']=_0x428519,_0x3c4623['newCloudProvider']=![];!_0x3c4623[_0x5d54c0(0x1f41)]&&(_0x3c4623[_0x5d54c0(0x1f41)]={},_0x3c4623['title']=_0x5d54c0(0x1f9f),_0x3c4623[_0x5d54c0(0x28ae)]=!![]);_0x3c4623[_0x5d54c0(0x320)]=_0x1ee88d,_0x3c4623['saveCloudProvider']=_0x2dcb63,_0x3c4623[_0x5d54c0(0x1709)]=_0x6f40a3,_0x3c4623[_0x5d54c0(0x2c4)]=_0x1f175a,_0x3c4623[_0x5d54c0(0xda0)]=_0x3def2b;function _0x1ee88d(){const _0x293673=_0x5d54c0;_0x3c4623[_0x293673(0x1a7c)]=[],_0x1a9da8['cloudProvider'][_0x293673(0x1c3f)](_0x3c4623[_0x293673(0x1f41)])['$promise'][_0x293673(0x1cb0)](function(_0x7240cd){const _0x3fc2b8=_0x293673;_0x3c4623[_0x3fc2b8(0xfdc)]['unshift'](_0x7240cd[_0x3fc2b8(0x19b2)]()),_0x348e40[_0x3fc2b8(0x829)]({'title':'CloudProvider\x20properly\x20created','msg':_0x3c4623[_0x3fc2b8(0x1f41)][_0x3fc2b8(0x16b6)]?_0x3c4623[_0x3fc2b8(0x1f41)][_0x3fc2b8(0x16b6)]+_0x3fc2b8(0x470):''}),_0x3def2b(_0x7240cd);})['catch'](function(_0xdf4a47){const _0x1bdc35=_0x293673;if(_0xdf4a47[_0x1bdc35(0x25c)]&&_0xdf4a47[_0x1bdc35(0x25c)]['errors']&&_0xdf4a47[_0x1bdc35(0x25c)]['errors'][_0x1bdc35(0xfd0)]){_0x3c4623[_0x1bdc35(0x1a7c)]=_0xdf4a47[_0x1bdc35(0x25c)][_0x1bdc35(0x1a7c)]||[{'message':_0xdf4a47[_0x1bdc35(0x147f)](),'type':_0x1bdc35(0x180c)}];for(let _0x31f577=0x0;_0x31f577<_0xdf4a47[_0x1bdc35(0x25c)][_0x1bdc35(0x1a7c)][_0x1bdc35(0xfd0)];_0x31f577+=0x1){_0x348e40[_0x1bdc35(0x218e)]({'title':_0xdf4a47[_0x1bdc35(0x25c)][_0x1bdc35(0x1a7c)][_0x31f577]['type'],'msg':_0xdf4a47[_0x1bdc35(0x25c)][_0x1bdc35(0x1a7c)][_0x31f577][_0x1bdc35(0x155e)]});}}else _0x348e40[_0x1bdc35(0x218e)]({'title':_0xdf4a47['status']?_0x1bdc35(0xeb9)+_0xdf4a47[_0x1bdc35(0x291)]+_0x1bdc35(0x1657)+_0xdf4a47[_0x1bdc35(0xc22)]:_0x1bdc35(0x180c),'msg':_0xdf4a47['data']?JSON[_0x1bdc35(0x2701)](_0xdf4a47[_0x1bdc35(0x25c)][_0x1bdc35(0x155e)]):_0xdf4a47['toString']()});});}function _0x2dcb63(){const _0x475263=_0x5d54c0;_0x3c4623['errors']=[],_0x1a9da8[_0x475263(0x1f41)][_0x475263(0x687)]({'id':_0x3c4623[_0x475263(0x1f41)]['id']},_0x3c4623[_0x475263(0x1f41)])[_0x475263(0x1d77)]['then'](function(_0x263e47){const _0x4791e5=_0x475263,_0x1a124e=_0x39641b()[_0x4791e5(0x13b4)](_0x3c4623['cloudProviders'],{'id':_0x263e47['id']});_0x1a124e&&_0x39641b()[_0x4791e5(0x9c1)](_0x1a124e,_0x39641b()[_0x4791e5(0x169b)](_0x263e47['toJSON'](),_0x39641b()['keys'](_0x1a124e))),_0x348e40[_0x4791e5(0x829)]({'title':_0x4791e5(0x276e),'msg':_0x3c4623[_0x4791e5(0x1f41)][_0x4791e5(0x16b6)]?_0x3c4623[_0x4791e5(0x1f41)]['name']+_0x4791e5(0xedb):''}),_0x3def2b(_0x263e47);})['catch'](function(_0x498f4b){const _0x4bf8aa=_0x475263;if(_0x498f4b['data']&&_0x498f4b[_0x4bf8aa(0x25c)][_0x4bf8aa(0x1a7c)]&&_0x498f4b['data'][_0x4bf8aa(0x1a7c)][_0x4bf8aa(0xfd0)]){_0x3c4623[_0x4bf8aa(0x1a7c)]=_0x498f4b['data'][_0x4bf8aa(0x1a7c)]||[{'message':_0x498f4b[_0x4bf8aa(0x147f)](),'type':'api.cloudProvider.update'}];for(let _0x7842c0=0x0;_0x7842c0<_0x498f4b[_0x4bf8aa(0x25c)]['errors'][_0x4bf8aa(0xfd0)];_0x7842c0++){_0x348e40[_0x4bf8aa(0x218e)]({'title':_0x498f4b[_0x4bf8aa(0x25c)][_0x4bf8aa(0x1a7c)][_0x7842c0][_0x4bf8aa(0x66a)],'msg':_0x498f4b[_0x4bf8aa(0x25c)]['errors'][_0x7842c0][_0x4bf8aa(0x155e)]});}}else _0x348e40['error']({'title':_0x498f4b[_0x4bf8aa(0x291)]?_0x4bf8aa(0xeb9)+_0x498f4b['status']+'\x20-\x20'+_0x498f4b['statusText']:_0x4bf8aa(0x1477),'msg':_0x498f4b[_0x4bf8aa(0x25c)]?JSON[_0x4bf8aa(0x2701)](_0x498f4b[_0x4bf8aa(0x25c)][_0x4bf8aa(0x155e)]):_0x498f4b['toString']()});});}function _0x6f40a3(_0x514dae){const _0x64bb3=_0x5d54c0;_0x3c4623[_0x64bb3(0x1a7c)]=[];const _0x374b90=_0x50157b[_0x64bb3(0x1551)]()[_0x64bb3(0x1386)](_0x64bb3(0x1a2e))[_0x64bb3(0x862)]('The\x20cloudProvider\x20will\x20be\x20deleted.')['ariaLabel'](_0x64bb3(0x22be))['ok'](_0x64bb3(0x2594))['cancel'](_0x64bb3(0xde1))['targetEvent'](_0x514dae);_0x50157b[_0x64bb3(0xe27)](_0x374b90)[_0x64bb3(0x1cb0)](function(){const _0x53068a=_0x64bb3;_0x1a9da8[_0x53068a(0x1f41)][_0x53068a(0x111d)]({'id':_0x3c4623[_0x53068a(0x1f41)]['id']})['$promise']['then'](function(){const _0x586f1c=_0x53068a;_0x39641b()[_0x586f1c(0x152a)](_0x3c4623[_0x586f1c(0xfdc)],{'id':_0x3c4623[_0x586f1c(0x1f41)]['id']}),_0x348e40[_0x586f1c(0x829)]({'title':_0x586f1c(0x153d),'msg':(_0x3c4623[_0x586f1c(0x1f41)]['name']||_0x586f1c(0x1f41))+_0x586f1c(0x3f5)}),_0x3def2b(_0x3c4623['cloudProvider']);})[_0x53068a(0x1c4)](function(_0x1f75d6){const _0x134afd=_0x53068a;if(_0x1f75d6[_0x134afd(0x25c)]&&_0x1f75d6[_0x134afd(0x25c)][_0x134afd(0x1a7c)]&&_0x1f75d6['data']['errors']['length']){_0x3c4623[_0x134afd(0x1a7c)]=_0x1f75d6[_0x134afd(0x25c)][_0x134afd(0x1a7c)]||[{'message':_0x1f75d6[_0x134afd(0x147f)](),'type':_0x134afd(0x22c8)}];for(let _0x13ab72=0x0;_0x13ab72<_0x1f75d6[_0x134afd(0x25c)][_0x134afd(0x1a7c)]['length'];_0x13ab72++){_0x348e40[_0x134afd(0x218e)]({'title':_0x1f75d6['data']['errors'][_0x13ab72][_0x134afd(0x66a)],'msg':_0x1f75d6[_0x134afd(0x25c)]['errors'][_0x13ab72][_0x134afd(0x155e)]});}}else _0x348e40['error']({'title':_0x1f75d6[_0x134afd(0x291)]?_0x134afd(0xeb9)+_0x1f75d6[_0x134afd(0x291)]+_0x134afd(0x1657)+_0x1f75d6[_0x134afd(0xc22)]:'api.cloudProvider.delete','msg':_0x1f75d6[_0x134afd(0x25c)]?JSON['stringify'](_0x1f75d6[_0x134afd(0x25c)]['message']):_0x1f75d6[_0x134afd(0x155e)]||_0x1f75d6[_0x134afd(0x147f)]()});});},function(){});}function _0x1f175a(_0x1e658a){return _0x1e658a===null?undefined:new Date(_0x1e658a);}function _0x3def2b(_0x470d67){const _0x3db558=_0x5d54c0;_0x50157b[_0x3db558(0x1426)](_0x470d67);}}const _0x19e65f=_0x35f74a;;_0x4bece0[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),'$location',_0x5537c6(0xcb9),'$q','$translate',_0x5537c6(0x9bf),_0x5537c6(0x1c32),_0x5537c6(0x136d),_0x5537c6(0x142b),'Auth',_0x5537c6(0x8a5),'setting',_0x5537c6(0x1b1a)];function _0x4bece0(_0x49a3a0,_0x3eec89,_0x1b9164,_0x2a7f0c,_0x1b70b8,_0x4502aa,_0x1fef69,_0x21353f,_0x2d5e57,_0x3b103f,_0x146f5b,_0x294137,_0x3a3210,_0x3cc03f){const _0x5793c1=_0x5537c6,_0x147357=this;_0x147357[_0x5793c1(0xe76)]=_0x146f5b['getCurrentUser'](),_0x147357[_0x5793c1(0x1a7c)]=[],_0x147357['setting']=_0x3a3210,_0x147357[_0x5793c1(0x8a5)]=_0x294137,_0x147357[_0x5793c1(0x1b1a)]=_0x3cc03f,_0x147357['hasModulePermissions']={},_0x147357[_0x5793c1(0x1b0c)]=_0x147357['setting']&&_0x147357[_0x5793c1(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x147357[_0x5793c1(0x1386)]=_0x5793c1(0xdd2),_0x147357['customization']=angular['copy'](_0x2d5e57),_0x147357[_0x5793c1(0x1c32)]=_0x21353f,_0x147357[_0x5793c1(0x238e)]=![];!_0x147357[_0x5793c1(0x136d)]&&(_0x147357['customization']={},_0x147357[_0x5793c1(0x1386)]=_0x5793c1(0xc07),_0x147357['newCustomization']=!![]);_0x147357[_0x5793c1(0xd7e)]=_0x483e01,_0x147357['saveCustomization']=_0x5768b8,_0x147357['deleteCustomization']=_0x95c5b5,_0x147357[_0x5793c1(0x2c4)]=_0x51d48c,_0x147357[_0x5793c1(0xda0)]=_0x49be63;function _0x483e01(){const _0x3a4237=_0x5793c1;_0x147357[_0x3a4237(0x1a7c)]=[],_0x3b103f[_0x3a4237(0x9ca)][_0x3a4237(0x1c3f)](_0x147357['customization'])[_0x3a4237(0x1d77)][_0x3a4237(0x1cb0)](function(_0x2fba3a){const _0x938577=_0x3a4237;_0x147357[_0x938577(0x1c32)][_0x938577(0xf63)](_0x2fba3a['toJSON']()),_0x1fef69[_0x938577(0x829)]({'title':'Customization\x20properly\x20created','msg':_0x147357['customization'][_0x938577(0x16b6)]?_0x147357[_0x938577(0x136d)][_0x938577(0x16b6)]+_0x938577(0x470):''}),_0x49be63(_0x2fba3a);})['catch'](function(_0xd703b2){const _0x175495=_0x3a4237;if(_0xd703b2['data']&&_0xd703b2[_0x175495(0x25c)]['errors']&&_0xd703b2['data']['errors'][_0x175495(0xfd0)]){_0x147357[_0x175495(0x1a7c)]=_0xd703b2[_0x175495(0x25c)][_0x175495(0x1a7c)]||[{'message':_0xd703b2[_0x175495(0x147f)](),'type':_0x175495(0x1271)}];for(let _0xac1847=0x0;_0xac1847<_0xd703b2[_0x175495(0x25c)][_0x175495(0x1a7c)][_0x175495(0xfd0)];_0xac1847+=0x1){_0x1fef69[_0x175495(0x218e)]({'title':_0xd703b2['data'][_0x175495(0x1a7c)][_0xac1847][_0x175495(0x66a)],'msg':_0xd703b2['data'][_0x175495(0x1a7c)][_0xac1847]['message']});}}else _0x1fef69[_0x175495(0x218e)]({'title':_0xd703b2[_0x175495(0x291)]?_0x175495(0xeb9)+_0xd703b2[_0x175495(0x291)]+_0x175495(0x1657)+_0xd703b2['statusText']:_0x175495(0x1271),'msg':_0xd703b2[_0x175495(0x25c)]?JSON[_0x175495(0x2701)](_0xd703b2[_0x175495(0x25c)][_0x175495(0x155e)]):_0xd703b2[_0x175495(0x147f)]()});});}function _0x5768b8(){const _0x1f25b9=_0x5793c1;_0x147357['errors']=[],_0x3b103f[_0x1f25b9(0x9ca)][_0x1f25b9(0x687)]({'id':_0x147357[_0x1f25b9(0x136d)]['id']},_0x147357[_0x1f25b9(0x136d)])[_0x1f25b9(0x1d77)][_0x1f25b9(0x1cb0)](function(_0x1b0db1){const _0x2fa7ba=_0x1f25b9,_0x56f5c7=_0x39641b()['find'](_0x147357[_0x2fa7ba(0x1c32)],{'id':_0x1b0db1['id']});_0x56f5c7&&_0x39641b()['merge'](_0x56f5c7,_0x39641b()['pick'](_0x1b0db1[_0x2fa7ba(0x19b2)](),_0x39641b()[_0x2fa7ba(0x1be5)](_0x56f5c7))),_0x1fef69[_0x2fa7ba(0x829)]({'title':'Customization\x20properly\x20saved!','msg':_0x147357['customization'][_0x2fa7ba(0x16b6)]?_0x147357[_0x2fa7ba(0x136d)]['name']+_0x2fa7ba(0xedb):''}),_0x49be63(_0x1b0db1);})[_0x1f25b9(0x1c4)](function(_0x34c279){const _0x558ae3=_0x1f25b9;if(_0x34c279[_0x558ae3(0x25c)]&&_0x34c279['data']['errors']&&_0x34c279[_0x558ae3(0x25c)][_0x558ae3(0x1a7c)][_0x558ae3(0xfd0)]){_0x147357['errors']=_0x34c279[_0x558ae3(0x25c)][_0x558ae3(0x1a7c)]||[{'message':_0x34c279[_0x558ae3(0x147f)](),'type':_0x558ae3(0x184c)}];for(let _0x54d934=0x0;_0x54d934<_0x34c279[_0x558ae3(0x25c)][_0x558ae3(0x1a7c)][_0x558ae3(0xfd0)];_0x54d934++){_0x1fef69[_0x558ae3(0x218e)]({'title':_0x34c279[_0x558ae3(0x25c)][_0x558ae3(0x1a7c)][_0x54d934][_0x558ae3(0x66a)],'msg':_0x34c279[_0x558ae3(0x25c)][_0x558ae3(0x1a7c)][_0x54d934][_0x558ae3(0x155e)]});}}else _0x1fef69[_0x558ae3(0x218e)]({'title':_0x34c279[_0x558ae3(0x291)]?'API:'+_0x34c279[_0x558ae3(0x291)]+_0x558ae3(0x1657)+_0x34c279[_0x558ae3(0xc22)]:_0x558ae3(0x184c),'msg':_0x34c279['data']?JSON[_0x558ae3(0x2701)](_0x34c279[_0x558ae3(0x25c)][_0x558ae3(0x155e)]):_0x34c279['toString']()});});}function _0x95c5b5(_0x25b739){const _0x525245=_0x5793c1;_0x147357['errors']=[];const _0x31f5ec=_0x2a7f0c[_0x525245(0x1551)]()[_0x525245(0x1386)](_0x525245(0x1a2e))['content']('The\x20customization\x20will\x20be\x20deleted.')[_0x525245(0x15ad)](_0x525245(0x7fc))['ok']('Delete')[_0x525245(0x696)](_0x525245(0xde1))['targetEvent'](_0x25b739);_0x2a7f0c['show'](_0x31f5ec)['then'](function(){const _0x4e5e9b=_0x525245;_0x3b103f[_0x4e5e9b(0x9ca)][_0x4e5e9b(0x111d)]({'id':_0x147357[_0x4e5e9b(0x136d)]['id']})[_0x4e5e9b(0x1d77)]['then'](function(){const _0x2d7cbc=_0x4e5e9b;_0x39641b()[_0x2d7cbc(0x152a)](_0x147357[_0x2d7cbc(0x1c32)],{'id':_0x147357[_0x2d7cbc(0x136d)]['id']}),_0x1fef69[_0x2d7cbc(0x829)]({'title':'Customization\x20properly\x20deleted!','msg':(_0x147357['customization'][_0x2d7cbc(0x16b6)]||_0x2d7cbc(0x136d))+_0x2d7cbc(0x3f5)}),_0x49be63(_0x147357[_0x2d7cbc(0x136d)]);})[_0x4e5e9b(0x1c4)](function(_0x3bba39){const _0x3d4401=_0x4e5e9b;if(_0x3bba39['data']&&_0x3bba39[_0x3d4401(0x25c)][_0x3d4401(0x1a7c)]&&_0x3bba39[_0x3d4401(0x25c)][_0x3d4401(0x1a7c)][_0x3d4401(0xfd0)]){_0x147357[_0x3d4401(0x1a7c)]=_0x3bba39[_0x3d4401(0x25c)][_0x3d4401(0x1a7c)]||[{'message':_0x3bba39[_0x3d4401(0x147f)](),'type':'api.setting.delete'}];for(let _0x3e9c4d=0x0;_0x3e9c4d<_0x3bba39[_0x3d4401(0x25c)][_0x3d4401(0x1a7c)]['length'];_0x3e9c4d++){_0x1fef69[_0x3d4401(0x218e)]({'title':_0x3bba39[_0x3d4401(0x25c)][_0x3d4401(0x1a7c)][_0x3e9c4d][_0x3d4401(0x66a)],'msg':_0x3bba39[_0x3d4401(0x25c)][_0x3d4401(0x1a7c)][_0x3e9c4d][_0x3d4401(0x155e)]});}}else _0x1fef69[_0x3d4401(0x218e)]({'title':_0x3bba39[_0x3d4401(0x291)]?_0x3d4401(0xeb9)+_0x3bba39[_0x3d4401(0x291)]+_0x3d4401(0x1657)+_0x3bba39[_0x3d4401(0xc22)]:'api.setting.delete','msg':_0x3bba39[_0x3d4401(0x25c)]?JSON['stringify'](_0x3bba39[_0x3d4401(0x25c)]['message']):_0x3bba39[_0x3d4401(0x155e)]||_0x3bba39[_0x3d4401(0x147f)]()});});},function(){});}function _0x51d48c(_0x4c5625){return _0x4c5625===null?undefined:new Date(_0x4c5625);}function _0x49be63(_0x109e13){const _0x9e6198=_0x5793c1;_0x2a7f0c[_0x9e6198(0x1426)](_0x109e13);}}const _0x4afd27=_0x4bece0;;_0x39f7ed[_0x5537c6(0x15b6)]=[_0x5537c6(0x1c32),'api',_0x5537c6(0x9bf),'license',_0x5537c6(0x1774)];function _0x39f7ed(_0x2c55fe,_0x438403,_0x1439a4,_0x337bc4,_0x22415c){const _0x12f782=_0x5537c6,_0x4f29d9=this;_0x4f29d9['currentUser']=_0x22415c[_0x12f782(0x21e8)](),_0x4f29d9['license']=_0x337bc4,_0x4f29d9[_0x12f782(0x1c32)]=_0x2c55fe,_0x4f29d9[_0x12f782(0x136d)]={};_0x4f29d9[_0x12f782(0x1c32)]&&_0x4f29d9[_0x12f782(0x1c32)][_0x12f782(0x2214)]&&_0x4f29d9[_0x12f782(0x1c32)][_0x12f782(0x2214)][_0x12f782(0xfd0)]&&(_0x4f29d9[_0x12f782(0x136d)]=_0x4f29d9[_0x12f782(0x1c32)][_0x12f782(0x2214)][0x0]);_0x4f29d9['saveCustomization']=_0x2f9bf5;function _0x2f9bf5(){const _0x25fb79=_0x12f782;_0x4f29d9[_0x25fb79(0x136d)]['id']?_0x438403[_0x25fb79(0x9ca)][_0x25fb79(0x687)]({'id':_0x4f29d9[_0x25fb79(0x136d)]['id']},_0x4f29d9[_0x25fb79(0x136d)])[_0x25fb79(0x1d77)][_0x25fb79(0x1cb0)](function(){const _0x17b1d4=_0x25fb79;_0x1439a4['success']({'title':'Customization\x20updated!','msg':_0x4f29d9[_0x17b1d4(0x136d)][_0x17b1d4(0x16b6)]?_0x4f29d9[_0x17b1d4(0x136d)][_0x17b1d4(0x16b6)]+'\x20has\x20been\x20updated!':''});})[_0x25fb79(0x1c4)](function(_0x2fe42b){const _0x4945eb=_0x25fb79;_0x1439a4[_0x4945eb(0x218e)]({'title':_0x2fe42b[_0x4945eb(0x291)]?_0x4945eb(0xeb9)+_0x2fe42b[_0x4945eb(0x291)]+_0x4945eb(0x1657)+_0x2fe42b[_0x4945eb(0xc22)]:_0x4945eb(0x1740),'msg':_0x2fe42b[_0x4945eb(0x25c)]?JSON[_0x4945eb(0x2701)](_0x2fe42b[_0x4945eb(0x25c)]):_0x2fe42b['toString']()});}):_0x438403['setting'][_0x25fb79(0x1c3f)](_0x4f29d9[_0x25fb79(0x136d)])[_0x25fb79(0x1d77)]['then'](function(_0x88e85b){const _0x3637e8=_0x25fb79;_0x39641b()[_0x3637e8(0x9c1)](_0x4f29d9[_0x3637e8(0x136d)],_0x88e85b),_0x1439a4[_0x3637e8(0x829)]({'title':_0x3637e8(0x2959),'msg':_0x4f29d9[_0x3637e8(0x136d)][_0x3637e8(0x16b6)]?_0x4f29d9[_0x3637e8(0x136d)][_0x3637e8(0x16b6)]+_0x3637e8(0x1068):''});})[_0x25fb79(0x1c4)](function(_0x1f1287){const _0x3c531d=_0x25fb79;_0x1439a4[_0x3c531d(0x218e)]({'title':_0x1f1287[_0x3c531d(0x291)]?_0x3c531d(0xeb9)+_0x1f1287[_0x3c531d(0x291)]+_0x3c531d(0x1657)+_0x1f1287[_0x3c531d(0xc22)]:_0x3c531d(0x1740),'msg':_0x1f1287['data']?JSON['stringify'](_0x1f1287[_0x3c531d(0x25c)]):_0x1f1287['toString']()});});}}const _0x158a34=_0x39f7ed;;_0x2f4a52[_0x5537c6(0x15b6)]=[_0x5537c6(0x173),_0x5537c6(0x9bf)];function _0x2f4a52(_0x35dd16,_0x2aa994){const _0x1485fc=_0x5537c6,_0x1568c4=this,_0x24e615=0x8,_0x57a724=_0x24e615*0x400*0x400;_0x1568c4['customization']={},_0x1568c4[_0x1485fc(0x15e8)]={'singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0x57a724,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x1568c4[_0x1485fc(0x125a)]={'flow':{}},_0x1568c4[_0x1485fc(0x18dc)]=![],_0x1568c4['fileAdded']=_0x6a1b5b,_0x1568c4[_0x1485fc(0x1c97)]=_0xf6f742,_0x1568c4[_0x1485fc(0x200f)]=_0x48cce3,_0x1568c4[_0x1485fc(0x1a8e)]=_0x1dd086;function _0x1dd086(_0x2adf20){const _0x24d709=_0x1485fc;_0x1568c4['customization']=angular[_0x24d709(0x17fe)](_0x2adf20),_0x1568c4[_0x24d709(0x15e8)]['target']=_0x24d709(0x1a4a)[_0x24d709(0x288f)](':id',_0x1568c4[_0x24d709(0x136d)]['id']),_0x1568c4[_0x24d709(0x136d)][_0x24d709(0x105c)]=[];}function _0x6a1b5b(_0x1eeb88){const _0x3a18e1=_0x1485fc,_0x450b16=['png',_0x3a18e1(0xb97),_0x3a18e1(0x2316)];if(!_0x39641b()[_0x3a18e1(0x250a)](_0x450b16,_0x1eeb88[_0x3a18e1(0x1cb1)]()))return _0x2aa994[_0x3a18e1(0x218e)]({'title':_0x3a18e1(0x14d4)+_0x1eeb88[_0x3a18e1(0x1cb1)](),'msg':_0x3a18e1(0x4d4)+_0x450b16[_0x3a18e1(0x1f66)]()}),![];if(_0x1eeb88[_0x3a18e1(0x1813)]>_0x57a724)return _0x2aa994[_0x3a18e1(0x218e)]({'title':'File\x20too\x20big','msg':'The\x20max\x20allowed\x20size\x20is\x20'+_0x24e615+'MB'}),![];const _0x3646fd={'id':_0x1eeb88[_0x3a18e1(0x27c2)],'file':_0x1eeb88,'type':_0x3a18e1(0x2989)};return _0x1568c4[_0x3a18e1(0x136d)]['images']['unshift'](_0x3646fd),!![];}function _0xf6f742(){const _0x10e06f=_0x1485fc;_0x1568c4[_0x10e06f(0x125a)][_0x10e06f(0xacf)]['opts'][_0x10e06f(0x5e7)]={'X-Requested-With':_0x10e06f(0x2711),'Authorization':_0x10e06f(0x1923)+_0x35dd16[_0x10e06f(0xbf7)](_0x10e06f(0x1b26))},_0x1568c4[_0x10e06f(0x125a)][_0x10e06f(0xacf)][_0x10e06f(0x1c97)]();}function _0x48cce3(_0x4817a9){const _0x4b64c5=_0x1485fc;angular[_0x4b64c5(0xf90)](_0x1568c4[_0x4b64c5(0x136d)]['images'],function(_0x574abd){const _0x519789=_0x4b64c5;if(_0x574abd['id']===_0x4817a9[_0x519789(0x27c2)]){const _0x148643=new FileReader();_0x148643[_0x519789(0x245d)](_0x574abd[_0x519789(0x1ac4)][_0x519789(0x1ac4)]),_0x148643[_0x519789(0x198d)]=function(_0x2330ab){const _0x34bce=_0x519789;_0x574abd[_0x34bce(0x135b)]=_0x2330ab[_0x34bce(0x251d)][_0x34bce(0x1205)];},_0x574abd['type']=_0x519789(0x499);}});}}const _0x5df1bb=_0x2f4a52;;_0x5e2d20[_0x5537c6(0x15b6)]=['$cookies',_0x5537c6(0x9bf)];function _0x5e2d20(_0x3dac85,_0x4b315f){const _0x42b900=_0x5537c6,_0x41a5d5=this,_0x18473d=0x8,_0x231251=_0x18473d*0x400*0x400;_0x41a5d5['customization']={},_0x41a5d5[_0x42b900(0x15e8)]={'singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0x231251,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x41a5d5[_0x42b900(0x125a)]={'flow':{}},_0x41a5d5[_0x42b900(0x18dc)]=![],_0x41a5d5[_0x42b900(0x15c2)]=_0x4ce38a,_0x41a5d5[_0x42b900(0x1c97)]=_0x41e2fe,_0x41a5d5[_0x42b900(0x200f)]=_0x24c814,_0x41a5d5[_0x42b900(0x1a8e)]=_0x2d119f;function _0x2d119f(_0x34f059){const _0x598643=_0x42b900;_0x41a5d5[_0x598643(0x136d)]=angular['copy'](_0x34f059),_0x41a5d5[_0x598643(0x15e8)][_0x598643(0x251d)]=_0x598643(0x3d6)[_0x598643(0x288f)](_0x598643(0xfa9),_0x41a5d5[_0x598643(0x136d)]['id']),_0x41a5d5[_0x598643(0x136d)][_0x598643(0x105c)]=[];}function _0x4ce38a(_0x1d3ae3){const _0x1bd9de=_0x42b900,_0x61d55d=[_0x1bd9de(0x844),_0x1bd9de(0xb97)];if(!_0x39641b()[_0x1bd9de(0x250a)](_0x61d55d,_0x1d3ae3[_0x1bd9de(0x1cb1)]()))return _0x4b315f[_0x1bd9de(0x218e)]({'title':_0x1bd9de(0x14d4)+_0x1d3ae3[_0x1bd9de(0x1cb1)](),'msg':_0x1bd9de(0x4d4)+_0x61d55d[_0x1bd9de(0x1f66)]()}),![];if(_0x1d3ae3[_0x1bd9de(0x1813)]>_0x231251)return _0x4b315f[_0x1bd9de(0x218e)]({'title':_0x1bd9de(0x2749),'msg':_0x1bd9de(0xe61)+_0x18473d+'MB'}),![];const _0x3688e9={'id':_0x1d3ae3[_0x1bd9de(0x27c2)],'file':_0x1d3ae3,'type':_0x1bd9de(0x2989)};return _0x41a5d5[_0x1bd9de(0x136d)][_0x1bd9de(0x105c)]['unshift'](_0x3688e9),!![];}function _0x41e2fe(){const _0x453ccd=_0x42b900;_0x41a5d5[_0x453ccd(0x125a)][_0x453ccd(0xacf)][_0x453ccd(0xd56)]['headers']={'X-Requested-With':_0x453ccd(0x2711),'Authorization':_0x453ccd(0x1923)+_0x3dac85[_0x453ccd(0xbf7)](_0x453ccd(0x1b26))},_0x41a5d5[_0x453ccd(0x125a)][_0x453ccd(0xacf)][_0x453ccd(0x1c97)]();}function _0x24c814(_0x10e0f6){const _0x1f0d3f=_0x42b900;angular[_0x1f0d3f(0xf90)](_0x41a5d5['customization']['images'],function(_0xe07a60){const _0x4c452c=_0x1f0d3f;if(_0xe07a60['id']===_0x10e0f6[_0x4c452c(0x27c2)]){const _0x481435=new FileReader();_0x481435[_0x4c452c(0x245d)](_0xe07a60[_0x4c452c(0x1ac4)]['file']),_0x481435[_0x4c452c(0x198d)]=function(_0x36c5fc){const _0x278971=_0x4c452c;_0xe07a60[_0x278971(0x135b)]=_0x36c5fc[_0x278971(0x251d)]['result'];},_0xe07a60[_0x4c452c(0x66a)]=_0x4c452c(0x499);}});}}const _0xd3526f=_0x5e2d20;;_0x4c5bf8[_0x5537c6(0x15b6)]=['$cookies','toasty'];function _0x4c5bf8(_0x3a1d55,_0xca3648){const _0x42fdad=_0x5537c6,_0x3da8f3=this,_0xe601d6=0x8,_0x114ec9=_0xe601d6*0x400*0x400;_0x3da8f3[_0x42fdad(0x136d)]={},_0x3da8f3[_0x42fdad(0x15e8)]={'singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0x114ec9,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x3da8f3['ngFlow']={'flow':{}},_0x3da8f3[_0x42fdad(0x18dc)]=![],_0x3da8f3[_0x42fdad(0x15c2)]=_0x1c5597,_0x3da8f3[_0x42fdad(0x1c97)]=_0x117c37,_0x3da8f3['fileSuccess']=_0x22f41a,_0x3da8f3[_0x42fdad(0x1a8e)]=_0x52b440;function _0x52b440(_0x566ef4){const _0x562100=_0x42fdad;_0x3da8f3['customization']=angular[_0x562100(0x17fe)](_0x566ef4),_0x3da8f3[_0x562100(0x15e8)][_0x562100(0x251d)]=_0x562100(0xe01)[_0x562100(0x288f)](_0x562100(0xfa9),_0x3da8f3[_0x562100(0x136d)]['id']),_0x3da8f3[_0x562100(0x136d)]['images']=[];}function _0x1c5597(_0x4485f8){const _0x22823f=_0x42fdad,_0x6eed6b=[_0x22823f(0x844),_0x22823f(0xb97)];if(!_0x39641b()[_0x22823f(0x250a)](_0x6eed6b,_0x4485f8[_0x22823f(0x1cb1)]()))return _0xca3648[_0x22823f(0x218e)]({'title':'Invalid\x20extension:\x20'+_0x4485f8[_0x22823f(0x1cb1)](),'msg':_0x22823f(0x4d4)+_0x6eed6b[_0x22823f(0x1f66)]()}),![];if(_0x4485f8[_0x22823f(0x1813)]>_0x114ec9)return _0xca3648[_0x22823f(0x218e)]({'title':_0x22823f(0x2749),'msg':'The\x20max\x20allowed\x20size\x20is\x20'+_0xe601d6+'MB'}),![];const _0x765263={'id':_0x4485f8[_0x22823f(0x27c2)],'file':_0x4485f8,'type':_0x22823f(0x2989)};return _0x3da8f3['customization'][_0x22823f(0x105c)][_0x22823f(0xf63)](_0x765263),!![];}function _0x117c37(){const _0x2de35a=_0x42fdad;_0x3da8f3[_0x2de35a(0x125a)]['flow'][_0x2de35a(0xd56)][_0x2de35a(0x5e7)]={'X-Requested-With':_0x2de35a(0x2711),'Authorization':_0x2de35a(0x1923)+_0x3a1d55[_0x2de35a(0xbf7)]('motion.token')},_0x3da8f3[_0x2de35a(0x125a)]['flow'][_0x2de35a(0x1c97)]();}function _0x22f41a(_0x262793){const _0x14ad87=_0x42fdad;angular[_0x14ad87(0xf90)](_0x3da8f3[_0x14ad87(0x136d)][_0x14ad87(0x105c)],function(_0x3358b5){const _0x1af8b8=_0x14ad87;if(_0x3358b5['id']===_0x262793['uniqueIdentifier']){const _0xe78816=new FileReader();_0xe78816[_0x1af8b8(0x245d)](_0x3358b5['file'][_0x1af8b8(0x1ac4)]),_0xe78816[_0x1af8b8(0x198d)]=function(_0x4773ef){const _0xd290dc=_0x1af8b8;_0x3358b5[_0xd290dc(0x135b)]=_0x4773ef['target'][_0xd290dc(0x1205)];},_0x3358b5[_0x1af8b8(0x66a)]=_0x1af8b8(0x499);}});}}const _0x16d8d2=_0x4c5bf8;;_0x1f090c[_0x5537c6(0x15b6)]=[_0x5537c6(0x173),_0x5537c6(0x9bf)];function _0x1f090c(_0x4219d0,_0x231414){const _0x5c44a2=_0x5537c6,_0x27f891=this,_0x246867=0x8,_0x102ea8=_0x246867*0x400*0x400;_0x27f891[_0x5c44a2(0x136d)]={},_0x27f891[_0x5c44a2(0x15e8)]={'singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0x102ea8,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x27f891['ngFlow']={'flow':{}},_0x27f891[_0x5c44a2(0x18dc)]=![],_0x27f891[_0x5c44a2(0x15c2)]=_0x5e2849,_0x27f891[_0x5c44a2(0x1c97)]=_0x5bc4ff,_0x27f891[_0x5c44a2(0x200f)]=_0x506689,_0x27f891['init']=_0x75ab98;function _0x75ab98(_0xf74d9a){const _0x83dd5c=_0x5c44a2;_0x27f891['customization']=angular['copy'](_0xf74d9a),_0x27f891[_0x83dd5c(0x15e8)][_0x83dd5c(0x251d)]=_0x83dd5c(0x1de8)[_0x83dd5c(0x288f)](':id',_0x27f891['customization']['id']),_0x27f891[_0x83dd5c(0x136d)][_0x83dd5c(0x105c)]=[];}function _0x5e2849(_0x5014ef){const _0x4911de=_0x5c44a2,_0x1899a7=[_0x4911de(0x844),_0x4911de(0xb97)];if(!_0x39641b()[_0x4911de(0x250a)](_0x1899a7,_0x5014ef[_0x4911de(0x1cb1)]()))return _0x231414['error']({'title':_0x4911de(0x14d4)+_0x5014ef[_0x4911de(0x1cb1)](),'msg':_0x4911de(0x4d4)+_0x1899a7[_0x4911de(0x1f66)]()}),![];if(_0x5014ef[_0x4911de(0x1813)]>_0x102ea8)return _0x231414['error']({'title':_0x4911de(0x2749),'msg':'The\x20max\x20allowed\x20size\x20is\x20'+_0x246867+'MB'}),![];const _0x3d40f2={'id':_0x5014ef['uniqueIdentifier'],'file':_0x5014ef,'type':'uploading'};return _0x27f891['customization'][_0x4911de(0x105c)]['unshift'](_0x3d40f2),!![];}function _0x5bc4ff(){const _0x1a374a=_0x5c44a2;_0x27f891[_0x1a374a(0x125a)][_0x1a374a(0xacf)][_0x1a374a(0xd56)][_0x1a374a(0x5e7)]={'X-Requested-With':_0x1a374a(0x2711),'Authorization':_0x1a374a(0x1923)+_0x4219d0[_0x1a374a(0xbf7)](_0x1a374a(0x1b26))},_0x27f891[_0x1a374a(0x125a)]['flow'][_0x1a374a(0x1c97)]();}function _0x506689(_0x243505){const _0xc0decb=_0x5c44a2;angular[_0xc0decb(0xf90)](_0x27f891['customization']['images'],function(_0x1ed703){const _0x2b9770=_0xc0decb;if(_0x1ed703['id']===_0x243505[_0x2b9770(0x27c2)]){const _0x1980a4=new FileReader();_0x1980a4[_0x2b9770(0x245d)](_0x1ed703[_0x2b9770(0x1ac4)][_0x2b9770(0x1ac4)]),_0x1980a4[_0x2b9770(0x198d)]=function(_0x14561e){const _0xf8cbb1=_0x2b9770;_0x1ed703['url']=_0x14561e[_0xf8cbb1(0x251d)]['result'];},_0x1ed703[_0x2b9770(0x66a)]=_0x2b9770(0x499);}});}}const _0x4f4717=_0x1f090c;;_0x4e1f8f[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x1f2d),_0x5537c6(0x261d),_0x5537c6(0x142b),_0x5537c6(0x1774),'license',_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x4e1f8f(_0xc59847,_0x9c2d20,_0x322031,_0x18caa6,_0x41cdfd,_0x3bebbe,_0x3050b5,_0x172adc,_0xeb9a34,_0x5b4dd2,_0x20e10e,_0x4c1cd2,_0x3eed27,_0x444d60){const _0x258ea6=_0x5537c6,_0x40983a=this;_0x40983a[_0x258ea6(0xe76)]=_0x20e10e['getCurrentUser'](),_0x40983a['errors']=[],_0x40983a[_0x258ea6(0x9ca)]=_0x3eed27,_0x40983a[_0x258ea6(0x8a5)]=_0x4c1cd2,_0x40983a[_0x258ea6(0x1b1a)]=_0x444d60,_0x40983a[_0x258ea6(0xf4c)]={},_0x40983a['passwordPattern']=_0x40983a[_0x258ea6(0x9ca)]&&_0x40983a[_0x258ea6(0x9ca)][_0x258ea6(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x40983a['title']=_0x258ea6(0xf5a),_0x40983a[_0x258ea6(0x261d)]=angular[_0x258ea6(0x17fe)](_0xeb9a34),_0x40983a[_0x258ea6(0x1f2d)]=_0x172adc,_0x40983a[_0x258ea6(0x2366)]=![];!_0x40983a[_0x258ea6(0x261d)]&&(_0x40983a[_0x258ea6(0x261d)]={'min_internal':0x3e8,'chatTimeout':0x1e,'phoneBarAutoUpdater':!![],'phoneBarAutoUpdaterUrl':_0x258ea6(0x24e2),'splitSizeCsv':0x1388,'splitSizePdf':0x3e8,'splitSizeXlsx':0x1388,'messagesAlignment':_0x258ea6(0x1d4a),'phoneBarRememberMeEnabled':!![],'allowedLoginAttempts':0x5,'blockDuration':0xa,'loginMailFrequency':0xa,'enforcePasswordHistory':!![],'passwordHistoryLimit':0x3},_0x40983a[_0x258ea6(0x1386)]=_0x258ea6(0x1574),_0x40983a[_0x258ea6(0x2366)]=!![]);_0x40983a[_0x258ea6(0x15db)]=_0x145a7f,_0x40983a[_0x258ea6(0x107b)]=_0x175c58,_0x40983a[_0x258ea6(0x1b9b)]=_0x13081c,_0x40983a[_0x258ea6(0x2c4)]=_0x747a06,_0x40983a[_0x258ea6(0xda0)]=_0x57008c,_0x5b4dd2[_0x258ea6(0x1f41)][_0x258ea6(0xbf7)]({'fields':'id,name','sort':_0x258ea6(0x16b6),'nolimit':_0x258ea6(0x44d)})[_0x258ea6(0x1d77)][_0x258ea6(0x1cb0)](function(_0x388d25){const _0xfad6a8=_0x258ea6;_0x40983a[_0xfad6a8(0xfdc)]=_0x388d25[_0xfad6a8(0x2214)]||[];})['catch'](function(_0x2d1de5){const _0x554c8b=_0x258ea6;_0x3050b5['error']({'title':_0x2d1de5['status']?_0x554c8b(0xeb9)+_0x2d1de5[_0x554c8b(0x291)]+'\x20-\x20'+_0x2d1de5['statusText']:_0x554c8b(0x13dc),'msg':_0x2d1de5[_0x554c8b(0x25c)]?JSON[_0x554c8b(0x2701)](_0x2d1de5[_0x554c8b(0x25c)]):_0x2d1de5['toString']()});});function _0x145a7f(){const _0x21a37d=_0x258ea6;_0x40983a[_0x21a37d(0x1a7c)]=[],_0x5b4dd2[_0x21a37d(0x9ca)][_0x21a37d(0x1c3f)](_0x40983a[_0x21a37d(0x261d)])['$promise'][_0x21a37d(0x1cb0)](function(_0x369d83){const _0x94dcb=_0x21a37d;_0x40983a[_0x94dcb(0x1f2d)]['unshift'](_0x369d83[_0x94dcb(0x19b2)]()),_0x3050b5['success']({'title':_0x94dcb(0x1f45),'msg':_0x40983a[_0x94dcb(0x261d)][_0x94dcb(0x16b6)]?_0x40983a[_0x94dcb(0x261d)][_0x94dcb(0x16b6)]+'\x20has\x20been\x20created!':''}),_0x57008c(_0x369d83);})[_0x21a37d(0x1c4)](function(_0x250258){const _0x55cc19=_0x21a37d;if(_0x250258[_0x55cc19(0x25c)]&&_0x250258[_0x55cc19(0x25c)][_0x55cc19(0x1a7c)]&&_0x250258[_0x55cc19(0x25c)][_0x55cc19(0x1a7c)][_0x55cc19(0xfd0)]){_0x40983a[_0x55cc19(0x1a7c)]=_0x250258['data']['errors']||[{'message':_0x250258['toString'](),'type':'api.setting.save'}];for(let _0xf6ce47=0x0;_0xf6ce47<_0x250258[_0x55cc19(0x25c)][_0x55cc19(0x1a7c)][_0x55cc19(0xfd0)];_0xf6ce47+=0x1){_0x3050b5[_0x55cc19(0x218e)]({'title':_0x250258[_0x55cc19(0x25c)][_0x55cc19(0x1a7c)][_0xf6ce47][_0x55cc19(0x66a)],'msg':_0x250258[_0x55cc19(0x25c)][_0x55cc19(0x1a7c)][_0xf6ce47][_0x55cc19(0x155e)]});}}else _0x3050b5[_0x55cc19(0x218e)]({'title':_0x250258['status']?_0x55cc19(0xeb9)+_0x250258['status']+'\x20-\x20'+_0x250258[_0x55cc19(0xc22)]:'api.setting.save','msg':_0x250258[_0x55cc19(0x25c)]?JSON[_0x55cc19(0x2701)](_0x250258[_0x55cc19(0x25c)]['message']):_0x250258[_0x55cc19(0x147f)]()});});}function _0x175c58(){const _0x3fc072=_0x258ea6;_0x40983a[_0x3fc072(0x1a7c)]=[],_0x5b4dd2[_0x3fc072(0x9ca)]['update']({'id':_0x40983a[_0x3fc072(0x261d)]['id']},_0x40983a[_0x3fc072(0x261d)])['$promise'][_0x3fc072(0x1cb0)](function(_0x469667){const _0x25d100=_0x3fc072,_0x50caf5=_0x39641b()[_0x25d100(0x13b4)](_0x40983a['generals'],{'id':_0x469667['id']});_0x50caf5&&_0x39641b()[_0x25d100(0x9c1)](_0x50caf5,_0x39641b()[_0x25d100(0x169b)](_0x469667[_0x25d100(0x19b2)](),_0x39641b()['keys'](_0x50caf5))),_0x3050b5[_0x25d100(0x829)]({'title':_0x25d100(0xb4c),'msg':_0x40983a['general'][_0x25d100(0x16b6)]?_0x40983a[_0x25d100(0x261d)][_0x25d100(0x16b6)]+_0x25d100(0xedb):''}),_0x57008c(_0x469667);})[_0x3fc072(0x1c4)](function(_0x376066){const _0xd7366b=_0x3fc072;if(_0x376066[_0xd7366b(0x25c)]&&_0x376066[_0xd7366b(0x25c)]['errors']&&_0x376066[_0xd7366b(0x25c)]['errors'][_0xd7366b(0xfd0)]){_0x40983a[_0xd7366b(0x1a7c)]=_0x376066[_0xd7366b(0x25c)][_0xd7366b(0x1a7c)]||[{'message':_0x376066[_0xd7366b(0x147f)](),'type':_0xd7366b(0x184c)}];for(let _0x24a0fe=0x0;_0x24a0fe<_0x376066[_0xd7366b(0x25c)]['errors'][_0xd7366b(0xfd0)];_0x24a0fe++){_0x3050b5[_0xd7366b(0x218e)]({'title':_0x376066[_0xd7366b(0x25c)][_0xd7366b(0x1a7c)][_0x24a0fe][_0xd7366b(0x66a)],'msg':_0x376066[_0xd7366b(0x25c)][_0xd7366b(0x1a7c)][_0x24a0fe][_0xd7366b(0x155e)]});}}else _0x3050b5[_0xd7366b(0x218e)]({'title':_0x376066['status']?_0xd7366b(0xeb9)+_0x376066[_0xd7366b(0x291)]+_0xd7366b(0x1657)+_0x376066['statusText']:_0xd7366b(0x184c),'msg':_0x376066[_0xd7366b(0x25c)]?JSON[_0xd7366b(0x2701)](_0x376066[_0xd7366b(0x25c)][_0xd7366b(0x155e)]):_0x376066[_0xd7366b(0x147f)]()});});}function _0x13081c(_0xb3c00d){const _0xae6056=_0x258ea6;_0x40983a[_0xae6056(0x1a7c)]=[];const _0x359300=_0x18caa6['confirm']()[_0xae6056(0x1386)]('Are\x20you\x20sure?')[_0xae6056(0x862)](_0xae6056(0x231c))['ariaLabel'](_0xae6056(0x17b1))['ok'](_0xae6056(0x2594))[_0xae6056(0x696)](_0xae6056(0xde1))[_0xae6056(0x728)](_0xb3c00d);_0x18caa6[_0xae6056(0xe27)](_0x359300)[_0xae6056(0x1cb0)](function(){const _0x7c3c17=_0xae6056;_0x5b4dd2[_0x7c3c17(0x9ca)][_0x7c3c17(0x111d)]({'id':_0x40983a[_0x7c3c17(0x261d)]['id']})['$promise'][_0x7c3c17(0x1cb0)](function(){const _0x4b84fc=_0x7c3c17;_0x39641b()[_0x4b84fc(0x152a)](_0x40983a[_0x4b84fc(0x1f2d)],{'id':_0x40983a[_0x4b84fc(0x261d)]['id']}),_0x3050b5['success']({'title':_0x4b84fc(0x11d3),'msg':(_0x40983a[_0x4b84fc(0x261d)][_0x4b84fc(0x16b6)]||_0x4b84fc(0x261d))+_0x4b84fc(0x3f5)}),_0x57008c(_0x40983a[_0x4b84fc(0x261d)]);})[_0x7c3c17(0x1c4)](function(_0x572d2b){const _0x5b516f=_0x7c3c17;if(_0x572d2b[_0x5b516f(0x25c)]&&_0x572d2b['data'][_0x5b516f(0x1a7c)]&&_0x572d2b[_0x5b516f(0x25c)][_0x5b516f(0x1a7c)][_0x5b516f(0xfd0)]){_0x40983a[_0x5b516f(0x1a7c)]=_0x572d2b[_0x5b516f(0x25c)][_0x5b516f(0x1a7c)]||[{'message':_0x572d2b[_0x5b516f(0x147f)](),'type':_0x5b516f(0x174e)}];for(let _0x5e4c3e=0x0;_0x5e4c3e<_0x572d2b[_0x5b516f(0x25c)][_0x5b516f(0x1a7c)]['length'];_0x5e4c3e++){_0x3050b5[_0x5b516f(0x218e)]({'title':_0x572d2b[_0x5b516f(0x25c)][_0x5b516f(0x1a7c)][_0x5e4c3e][_0x5b516f(0x66a)],'msg':_0x572d2b[_0x5b516f(0x25c)]['errors'][_0x5e4c3e][_0x5b516f(0x155e)]});}}else _0x3050b5[_0x5b516f(0x218e)]({'title':_0x572d2b[_0x5b516f(0x291)]?_0x5b516f(0xeb9)+_0x572d2b['status']+_0x5b516f(0x1657)+_0x572d2b[_0x5b516f(0xc22)]:_0x5b516f(0x174e),'msg':_0x572d2b[_0x5b516f(0x25c)]?JSON['stringify'](_0x572d2b[_0x5b516f(0x25c)][_0x5b516f(0x155e)]):_0x572d2b[_0x5b516f(0x155e)]||_0x572d2b[_0x5b516f(0x147f)]()});});},function(){});}function _0x747a06(_0x408e0b){return _0x408e0b===null?undefined:new Date(_0x408e0b);}function _0x57008c(_0x41645c){const _0xe24858=_0x258ea6;_0x18caa6[_0xe24858(0x1426)](_0x41645c);}}const _0x32edd5=_0x4e1f8f;;_0x4fc19d['$inject']=[_0x5537c6(0x1f2d),_0x5537c6(0x142b),'toasty','license',_0x5537c6(0x1774)];function _0x4fc19d(_0x1d0a2c,_0x2a71de,_0x2952c2,_0x131a83,_0x106c75){const _0x31bcf2=_0x5537c6,_0x2d2239=this;_0x2d2239[_0x31bcf2(0xe76)]=_0x106c75['getCurrentUser'](),_0x2d2239[_0x31bcf2(0x8a5)]=_0x131a83,_0x2d2239[_0x31bcf2(0x1f2d)]=_0x1d0a2c,_0x2d2239['general']={};_0x2d2239['generals']&&_0x2d2239[_0x31bcf2(0x1f2d)][_0x31bcf2(0x2214)]&&_0x2d2239[_0x31bcf2(0x1f2d)][_0x31bcf2(0x2214)][_0x31bcf2(0xfd0)]&&(_0x2d2239[_0x31bcf2(0x261d)]=_0x2d2239[_0x31bcf2(0x1f2d)]['rows'][0x0]);_0x2a71de['cloudProvider']['get']({'fields':_0x31bcf2(0x43c),'sort':_0x31bcf2(0x16b6),'nolimit':'true'})[_0x31bcf2(0x1d77)]['then'](function(_0x427335){const _0x3583aa=_0x31bcf2;_0x2d2239[_0x3583aa(0xfdc)]=_0x427335[_0x3583aa(0x2214)]||[];})[_0x31bcf2(0x1c4)](function(_0x3c4fd9){const _0x222c2e=_0x31bcf2;_0x2952c2[_0x222c2e(0x218e)]({'title':_0x3c4fd9[_0x222c2e(0x291)]?_0x222c2e(0xeb9)+_0x3c4fd9[_0x222c2e(0x291)]+_0x222c2e(0x1657)+_0x3c4fd9[_0x222c2e(0xc22)]:_0x222c2e(0x13dc),'msg':_0x3c4fd9[_0x222c2e(0x25c)]?JSON[_0x222c2e(0x2701)](_0x3c4fd9[_0x222c2e(0x25c)]):_0x3c4fd9[_0x222c2e(0x147f)]()});}),_0x2d2239[_0x31bcf2(0x107b)]=_0x698dfc;function _0x698dfc(){const _0x165214=_0x31bcf2;_0x2d2239['general']['id']?_0x2a71de[_0x165214(0x9ca)][_0x165214(0x687)]({'id':_0x2d2239['general']['id']},_0x2d2239[_0x165214(0x261d)])[_0x165214(0x1d77)][_0x165214(0x1cb0)](function(){const _0x1fe242=_0x165214;_0x2952c2[_0x1fe242(0x829)]({'title':_0x1fe242(0x19b7),'msg':_0x2d2239[_0x1fe242(0x261d)][_0x1fe242(0x16b6)]?_0x2d2239[_0x1fe242(0x261d)][_0x1fe242(0x16b6)]+_0x1fe242(0x1068):''});})[_0x165214(0x1c4)](function(_0x2b1bb5){const _0x3ab165=_0x165214;_0x2952c2['error']({'title':_0x2b1bb5[_0x3ab165(0x291)]?_0x3ab165(0xeb9)+_0x2b1bb5[_0x3ab165(0x291)]+_0x3ab165(0x1657)+_0x2b1bb5[_0x3ab165(0xc22)]:_0x3ab165(0x1740),'msg':_0x2b1bb5[_0x3ab165(0x25c)]?JSON['stringify'](_0x2b1bb5[_0x3ab165(0x25c)]):_0x2b1bb5[_0x3ab165(0x147f)]()});}):_0x2a71de['setting'][_0x165214(0x1c3f)](_0x2d2239[_0x165214(0x261d)])[_0x165214(0x1d77)][_0x165214(0x1cb0)](function(_0x3c7b18){const _0x3f256d=_0x165214;_0x39641b()[_0x3f256d(0x9c1)](_0x2d2239['general'],_0x3c7b18),_0x2952c2[_0x3f256d(0x829)]({'title':'General\x20updated!','msg':_0x2d2239[_0x3f256d(0x261d)]['name']?_0x2d2239[_0x3f256d(0x261d)][_0x3f256d(0x16b6)]+_0x3f256d(0x1068):''});})[_0x165214(0x1c4)](function(_0x1892d4){const _0x93bdcd=_0x165214;_0x2952c2['error']({'title':_0x1892d4[_0x93bdcd(0x291)]?_0x93bdcd(0xeb9)+_0x1892d4[_0x93bdcd(0x291)]+_0x93bdcd(0x1657)+_0x1892d4[_0x93bdcd(0xc22)]:_0x93bdcd(0x1740),'msg':_0x1892d4['data']?JSON[_0x93bdcd(0x2701)](_0x1892d4[_0x93bdcd(0x25c)]):_0x1892d4['toString']()});});}}const _0x293c24=_0x4fc19d;;_0x511325['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x406),'$location','$mdDialog','$q','$translate',_0x5537c6(0x9bf),_0x5537c6(0x2709),_0x5537c6(0x21cc),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting'];function _0x511325(_0x632dff,_0x33e3cf,_0x5b34d4,_0x1cd45d,_0x19f276,_0x538837,_0x4fedc4,_0x536213,_0x128312,_0x28751b,_0x1bfc58,_0x14b2e5,_0x258668){const _0x546d1e=_0x5537c6,_0x4247ff=this;_0x4247ff[_0x546d1e(0xe76)]=_0x1bfc58[_0x546d1e(0x21e8)](),_0x4247ff[_0x546d1e(0x1a7c)]=[],_0x4247ff[_0x546d1e(0x9ca)]=_0x258668,_0x4247ff[_0x546d1e(0x8a5)]=_0x14b2e5,_0x4247ff[_0x546d1e(0xf4c)]={},_0x4247ff[_0x546d1e(0x1b0c)]=_0x4247ff[_0x546d1e(0x9ca)]&&_0x4247ff[_0x546d1e(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x4247ff[_0x546d1e(0x1386)]=_0x546d1e(0xb42),_0x4247ff[_0x546d1e(0x21cc)]=angular[_0x546d1e(0x17fe)](_0x128312),_0x4247ff['networks']=_0x536213,_0x4247ff[_0x546d1e(0x19a4)]=![];!_0x4247ff[_0x546d1e(0x21cc)]&&(_0x4247ff['network']={'type':_0x546d1e(0x8b3)},_0x4247ff[_0x546d1e(0x1386)]='SETTINGS.NEW_NETWORK',_0x4247ff[_0x546d1e(0x19a4)]=!![]);_0x4247ff[_0x546d1e(0x25c6)]=_0x221270,_0x4247ff[_0x546d1e(0x1cbf)]=_0x44b034,_0x4247ff['deleteNetwork']=_0x4b555b,_0x4247ff['getDateFromString']=_0x49de7f,_0x4247ff[_0x546d1e(0xda0)]=_0x2751ea;function _0x221270(){const _0x55ea63=_0x546d1e;_0x4247ff[_0x55ea63(0x1a7c)]=[],_0x28751b[_0x55ea63(0x21cc)][_0x55ea63(0x1c3f)](_0x4247ff[_0x55ea63(0x21cc)])[_0x55ea63(0x1d77)][_0x55ea63(0x1cb0)](function(_0x20585f){const _0x1eaede=_0x55ea63;_0x4247ff[_0x1eaede(0x2709)][_0x1eaede(0xf63)](_0x20585f[_0x1eaede(0x19b2)]()),_0x4fedc4[_0x1eaede(0x829)]({'title':_0x1eaede(0x20a8),'msg':_0x4247ff['network'][_0x1eaede(0x16b6)]?_0x4247ff[_0x1eaede(0x21cc)][_0x1eaede(0x16b6)]+_0x1eaede(0x470):''}),_0x2751ea(_0x20585f);})['catch'](function(_0x37da3c){const _0x4b898a=_0x55ea63;if(_0x37da3c[_0x4b898a(0x25c)]&&_0x37da3c[_0x4b898a(0x25c)]['errors']&&_0x37da3c[_0x4b898a(0x25c)][_0x4b898a(0x1a7c)]['length']){_0x4247ff['errors']=_0x37da3c[_0x4b898a(0x25c)][_0x4b898a(0x1a7c)]||[{'message':_0x37da3c['toString'](),'type':'api.network.save'}];for(let _0x539e19=0x0;_0x539e19<_0x37da3c[_0x4b898a(0x25c)][_0x4b898a(0x1a7c)][_0x4b898a(0xfd0)];_0x539e19+=0x1){_0x4fedc4[_0x4b898a(0x218e)]({'title':_0x37da3c[_0x4b898a(0x25c)][_0x4b898a(0x1a7c)][_0x539e19][_0x4b898a(0x66a)],'msg':_0x37da3c['data'][_0x4b898a(0x1a7c)][_0x539e19][_0x4b898a(0x155e)]});}}else _0x4fedc4['error']({'title':_0x37da3c['status']?_0x4b898a(0xeb9)+_0x37da3c[_0x4b898a(0x291)]+_0x4b898a(0x1657)+_0x37da3c['statusText']:_0x4b898a(0x281a),'msg':_0x37da3c[_0x4b898a(0x25c)]?JSON[_0x4b898a(0x2701)](_0x37da3c[_0x4b898a(0x25c)]['message']):_0x37da3c[_0x4b898a(0x147f)]()});});}function _0x44b034(){const _0x20b13c=_0x546d1e;_0x4247ff[_0x20b13c(0x1a7c)]=[],_0x28751b[_0x20b13c(0x21cc)]['update']({'id':_0x4247ff['network']['id']},_0x4247ff[_0x20b13c(0x21cc)])[_0x20b13c(0x1d77)][_0x20b13c(0x1cb0)](function(_0x387524){const _0x497ae9=_0x20b13c,_0x105641=_0x39641b()[_0x497ae9(0x13b4)](_0x4247ff[_0x497ae9(0x2709)],{'id':_0x387524['id']});_0x105641&&_0x39641b()[_0x497ae9(0x9c1)](_0x105641,_0x39641b()[_0x497ae9(0x169b)](_0x387524[_0x497ae9(0x19b2)](),_0x39641b()[_0x497ae9(0x1be5)](_0x105641))),_0x4fedc4[_0x497ae9(0x829)]({'title':_0x497ae9(0x286c),'msg':_0x4247ff[_0x497ae9(0x21cc)][_0x497ae9(0x16b6)]?_0x4247ff['network'][_0x497ae9(0x16b6)]+'\x20has\x20been\x20saved!':''}),_0x2751ea(_0x387524);})[_0x20b13c(0x1c4)](function(_0x36da9a){const _0xff2ab5=_0x20b13c;if(_0x36da9a[_0xff2ab5(0x25c)]&&_0x36da9a[_0xff2ab5(0x25c)][_0xff2ab5(0x1a7c)]&&_0x36da9a['data']['errors']['length']){_0x4247ff[_0xff2ab5(0x1a7c)]=_0x36da9a[_0xff2ab5(0x25c)][_0xff2ab5(0x1a7c)]||[{'message':_0x36da9a[_0xff2ab5(0x147f)](),'type':_0xff2ab5(0xde6)}];for(let _0x168fd0=0x0;_0x168fd0<_0x36da9a[_0xff2ab5(0x25c)][_0xff2ab5(0x1a7c)]['length'];_0x168fd0++){_0x4fedc4[_0xff2ab5(0x218e)]({'title':_0x36da9a[_0xff2ab5(0x25c)][_0xff2ab5(0x1a7c)][_0x168fd0][_0xff2ab5(0x66a)],'msg':_0x36da9a['data'][_0xff2ab5(0x1a7c)][_0x168fd0][_0xff2ab5(0x155e)]});}}else _0x4fedc4[_0xff2ab5(0x218e)]({'title':_0x36da9a[_0xff2ab5(0x291)]?_0xff2ab5(0xeb9)+_0x36da9a['status']+_0xff2ab5(0x1657)+_0x36da9a[_0xff2ab5(0xc22)]:_0xff2ab5(0xde6),'msg':_0x36da9a['data']?JSON[_0xff2ab5(0x2701)](_0x36da9a[_0xff2ab5(0x25c)][_0xff2ab5(0x155e)]):_0x36da9a['toString']()});});}function _0x4b555b(_0xe3c084){const _0xa4a6f6=_0x546d1e;_0x4247ff[_0xa4a6f6(0x1a7c)]=[];const _0x40c44e=_0x1cd45d[_0xa4a6f6(0x1551)]()['title'](_0xa4a6f6(0x1a2e))[_0xa4a6f6(0x862)](_0xa4a6f6(0x3ad))[_0xa4a6f6(0x15ad)](_0xa4a6f6(0xa45))['ok'](_0xa4a6f6(0x2594))[_0xa4a6f6(0x696)](_0xa4a6f6(0xde1))[_0xa4a6f6(0x728)](_0xe3c084);_0x1cd45d[_0xa4a6f6(0xe27)](_0x40c44e)[_0xa4a6f6(0x1cb0)](function(){const _0x404d43=_0xa4a6f6;_0x28751b[_0x404d43(0x21cc)][_0x404d43(0x111d)]({'id':_0x4247ff['network']['id']})['$promise'][_0x404d43(0x1cb0)](function(){const _0x51bf09=_0x404d43;_0x39641b()[_0x51bf09(0x152a)](_0x4247ff[_0x51bf09(0x2709)],{'id':_0x4247ff[_0x51bf09(0x21cc)]['id']}),_0x4fedc4[_0x51bf09(0x829)]({'title':'Network\x20properly\x20deleted!','msg':(_0x4247ff[_0x51bf09(0x21cc)][_0x51bf09(0x16b6)]||_0x51bf09(0x21cc))+_0x51bf09(0x3f5)}),_0x2751ea(_0x4247ff[_0x51bf09(0x21cc)]);})[_0x404d43(0x1c4)](function(_0x495ea9){const _0x5d5eb6=_0x404d43;if(_0x495ea9['data']&&_0x495ea9[_0x5d5eb6(0x25c)][_0x5d5eb6(0x1a7c)]&&_0x495ea9[_0x5d5eb6(0x25c)][_0x5d5eb6(0x1a7c)][_0x5d5eb6(0xfd0)]){_0x4247ff[_0x5d5eb6(0x1a7c)]=_0x495ea9[_0x5d5eb6(0x25c)]['errors']||[{'message':_0x495ea9[_0x5d5eb6(0x147f)](),'type':_0x5d5eb6(0x21a7)}];for(let _0x449ab9=0x0;_0x449ab9<_0x495ea9[_0x5d5eb6(0x25c)][_0x5d5eb6(0x1a7c)][_0x5d5eb6(0xfd0)];_0x449ab9++){_0x4fedc4[_0x5d5eb6(0x218e)]({'title':_0x495ea9[_0x5d5eb6(0x25c)][_0x5d5eb6(0x1a7c)][_0x449ab9]['type'],'msg':_0x495ea9[_0x5d5eb6(0x25c)][_0x5d5eb6(0x1a7c)][_0x449ab9]['message']});}}else _0x4fedc4[_0x5d5eb6(0x218e)]({'title':_0x495ea9[_0x5d5eb6(0x291)]?_0x5d5eb6(0xeb9)+_0x495ea9[_0x5d5eb6(0x291)]+_0x5d5eb6(0x1657)+_0x495ea9['statusText']:_0x5d5eb6(0x21a7),'msg':_0x495ea9[_0x5d5eb6(0x25c)]?JSON[_0x5d5eb6(0x2701)](_0x495ea9[_0x5d5eb6(0x25c)][_0x5d5eb6(0x155e)]):_0x495ea9['message']||_0x495ea9['toString']()});});},function(){});}function _0x49de7f(_0x42cff8){return _0x42cff8===null?undefined:new Date(_0x42cff8);}function _0x2751ea(_0xdf8be7){const _0xd7111b=_0x546d1e;_0x1cd45d[_0xd7111b(0x1426)](_0xdf8be7);}}const _0xffb594=_0x511325;;const _0x5e21ae=_0x5074a3['p']+_0x5537c6(0xbb4);;_0xc97f6d[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),'$mdSidenav',_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x2168),_0x5537c6(0x1ae),'networks',_0x5537c6(0x142b),'msUtils',_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0xc97f6d(_0x20ab54,_0x3e366c,_0xdd3814,_0x2c45d7,_0x59eddd,_0x2a0d49,_0x51f7ee,_0x4698ab,_0x3cb08d,_0xb92ca,_0x18a370,_0x829b0e,_0x44361a,_0x2b0c63,_0x19550){const _0x5abe76=_0x5537c6,_0x4b036b=this;_0x4b036b['license']=_0x2b0c63,_0x4b036b[_0x5abe76(0x9ca)]=_0x19550,_0x4b036b[_0x5abe76(0xe76)]=_0x44361a[_0x5abe76(0x21e8)](),_0x4b036b[_0x5abe76(0x2709)]=_0x3cb08d||{'count':0x0,'rows':[]},_0x4b036b['table']=_0x5abe76(0x2709),_0x4b036b[_0x5abe76(0x1d20)]='',_0x4b036b[_0x5abe76(0x1cdf)]=null,_0x4b036b[_0x5abe76(0xe32)]=[],_0x4b036b['query']={'fields':_0x5abe76(0x301),'sort':'-updatedAt','limit':0xa,'page':0x1},_0x4b036b[_0x5abe76(0x101d)]=_0x39641b()[_0x5abe76(0x2631)]([{'option':_0x5abe76(0x2649),'value':_0x5abe76(0x566)},{'option':_0x5abe76(0x291b),'value':_0x5abe76(0xf88)},{'option':'Turn','value':_0x5abe76(0xaa8)},{'option':'Stun','value':_0x5abe76(0xd49)}],function(_0x3fd1a3){const _0x188be5=_0x5abe76;return _0x39641b()[_0x188be5(0x288f)](_0x3fd1a3[_0x188be5(0x327)],new RegExp('\x27','g'),'');}),_0x4b036b['editdialog']=_0x55c6d0,_0x4b036b[_0x5abe76(0x27fe)]=_0x6bd3f9,_0x4b036b[_0x5abe76(0x829)]=_0x48ee74,_0x4b036b[_0x5abe76(0x2df)]=_0x465f9f,_0x4b036b['createOrEditNetwork']=_0xec139c,_0x4b036b['deleteNetwork']=_0x53b516,_0x4b036b[_0x5abe76(0x2628)]=_0x35cb82,_0x4b036b[_0x5abe76(0xd12)]=_0x48bf25,_0x4b036b[_0x5abe76(0x986)]=_0x2cfe3d,_0x4b036b[_0x5abe76(0xea9)]=_0x35f30f;function _0x55c6d0(_0x435c4b,_0x374f94){const _0x1910d8=_0x5abe76;_0x59eddd[_0x1910d8(0xe27)]({'controller':_0x1910d8(0x4cd),'controllerAs':'vm','templateUrl':_0x5e21ae,'parent':angular['element'](_0x2a0d49[_0x1910d8(0x1ed9)]),'targetEvent':_0x374f94,'clickOutsideToClose':!![],'locals':{'network':_0x435c4b,'networks':_0x4b036b['networks'][_0x1910d8(0x2214)],'license':_0x4b036b['license'],'setting':null,'crudPermissions':_0x4b036b['crudPermissions']}});}function _0x6bd3f9(_0x43fd84,_0x28095b){const _0x15a99f=_0x5abe76,_0x39eb15=_0x59eddd[_0x15a99f(0x1551)]()['title'](_0x15a99f(0x140b)+_0x39641b()[_0x15a99f(0xa75)](_0x15a99f(0x21cc))+'?')['htmlContent'](''+(_0x43fd84[_0x15a99f(0x16b6)]||_0x15a99f(0x21cc))+_0x15a99f(0x1200)+_0x15a99f(0x1b6))[_0x15a99f(0x15ad)](_0x15a99f(0x1c5d))[_0x15a99f(0x728)](_0x28095b)['ok']('OK')[_0x15a99f(0x696)](_0x15a99f(0x24ba));_0x59eddd[_0x15a99f(0xe27)](_0x39eb15)[_0x15a99f(0x1cb0)](function(){_0x53b516(_0x43fd84);},function(){const _0x5cfdc6=_0x15a99f;console[_0x5cfdc6(0x1b4f)](_0x5cfdc6(0x24ba));});}let _0x4c4711=!![],_0x5de7cd=0x1;_0x20ab54[_0x5abe76(0x614)](_0x5abe76(0x957),function(_0x25aaa7,_0xe8c44){const _0x48b505=_0x5abe76;_0x4c4711?_0x51f7ee(function(){_0x4c4711=![];}):(!_0xe8c44&&(_0x5de7cd=_0x4b036b[_0x48b505(0xae2)]['page']),_0x25aaa7!==_0xe8c44&&(_0x4b036b[_0x48b505(0xae2)]['page']=0x1),!_0x25aaa7&&(_0x4b036b[_0x48b505(0xae2)]['page']=_0x5de7cd),_0x4b036b[_0x48b505(0x2df)]());});function _0x48ee74(_0x476864){_0x4b036b['networks']=_0x476864||{'count':0x0,'rows':[]};}function _0x465f9f(){const _0x4544b8=_0x5abe76;_0x4b036b[_0x4544b8(0xae2)][_0x4544b8(0x184b)]=(_0x4b036b['query'][_0x4544b8(0x1c7b)]-0x1)*_0x4b036b[_0x4544b8(0xae2)][_0x4544b8(0x236)],_0x44361a[_0x4544b8(0x22b6)](_0x4544b8(0x1c60))?_0x4b036b[_0x4544b8(0x2061)]=_0xb92ca[_0x4544b8(0x21cc)]['get'](_0x4b036b['query'],_0x48ee74)[_0x4544b8(0x1d77)]:(_0x4b036b[_0x4544b8(0xae2)]['id']=_0x4b036b[_0x4544b8(0x44a)]['id'],_0x4b036b[_0x4544b8(0xae2)][_0x4544b8(0x1f74)]=_0x4544b8(0x22af),_0x4b036b[_0x4544b8(0x2061)]=_0xb92ca[_0x4544b8(0x44a)]['getResources'](_0x4b036b[_0x4544b8(0xae2)],_0x48ee74)[_0x4544b8(0x1d77)]);}function _0xec139c(_0x451bbd,_0x3a5179){const _0x130b46=_0x5abe76;_0x59eddd[_0x130b46(0xe27)]({'controller':_0x130b46(0x4cd),'controllerAs':'vm','templateUrl':_0x5e21ae,'parent':angular['element'](_0x2a0d49[_0x130b46(0x1ed9)]),'targetEvent':_0x451bbd,'clickOutsideToClose':!![],'locals':{'network':_0x3a5179,'networks':_0x4b036b[_0x130b46(0x2709)][_0x130b46(0x2214)],'license':_0x4b036b[_0x130b46(0x8a5)],'setting':_0x4b036b[_0x130b46(0x9ca)],'crudPermissions':_0x4b036b[_0x130b46(0x1b1a)]}});}function _0x53b516(_0x140445){const _0x16c45f=_0x5abe76;_0xb92ca[_0x16c45f(0x21cc)][_0x16c45f(0x111d)]({'id':_0x140445['id']})[_0x16c45f(0x1d77)][_0x16c45f(0x1cb0)](function(){const _0x1041f2=_0x16c45f;_0x39641b()[_0x1041f2(0x152a)](_0x4b036b[_0x1041f2(0x2709)][_0x1041f2(0x2214)],{'id':_0x140445['id']}),_0x4b036b[_0x1041f2(0x2709)]['count']-=0x1,!_0x4b036b[_0x1041f2(0x2709)][_0x1041f2(0x2214)][_0x1041f2(0xfd0)]&&_0x4b036b[_0x1041f2(0x2df)](),_0x829b0e[_0x1041f2(0x829)]({'title':_0x39641b()[_0x1041f2(0xa75)](_0x1041f2(0x117b))+_0x1041f2(0x2663),'msg':_0x140445[_0x1041f2(0x16b6)]?_0x140445[_0x1041f2(0x16b6)]+_0x1041f2(0x3f5):''});})[_0x16c45f(0x1c4)](function(_0x3181d0){const _0x3604c8=_0x16c45f;if(_0x3181d0[_0x3604c8(0x25c)]&&_0x3181d0['data'][_0x3604c8(0x1a7c)]&&_0x3181d0['data'][_0x3604c8(0x1a7c)]['length']){_0x4b036b[_0x3604c8(0x1a7c)]=_0x3181d0[_0x3604c8(0x25c)][_0x3604c8(0x1a7c)]||[{'message':_0x3181d0['toString'](),'type':_0x3604c8(0x451)}];for(let _0x3f1ca0=0x0;_0x3f1ca0<_0x3181d0['data'][_0x3604c8(0x1a7c)][_0x3604c8(0xfd0)];_0x3f1ca0++){_0x829b0e[_0x3604c8(0x218e)]({'title':_0x3181d0[_0x3604c8(0x25c)][_0x3604c8(0x1a7c)][_0x3f1ca0][_0x3604c8(0x66a)],'msg':_0x3181d0[_0x3604c8(0x25c)][_0x3604c8(0x1a7c)][_0x3f1ca0]['message']});}}else _0x829b0e[_0x3604c8(0x218e)]({'title':_0x3181d0[_0x3604c8(0x291)]?_0x3604c8(0xeb9)+_0x3181d0[_0x3604c8(0x291)]+_0x3604c8(0x1657)+_0x3181d0['statusText']:_0x3604c8(0x451),'msg':_0x3181d0[_0x3604c8(0x25c)]?JSON[_0x3604c8(0x2701)](_0x3181d0[_0x3604c8(0x25c)]['message']):_0x3181d0[_0x3604c8(0x155e)]||_0x3181d0['toString']()});});}function _0x35cb82(){const _0x39044d=_0x5abe76,_0x46b2a7=angular['copy'](_0x4b036b[_0x39044d(0xe32)]);return _0x4b036b[_0x39044d(0xe32)]=[],_0x46b2a7;}function _0x48bf25(_0x48c83b){const _0x17e77f=_0x5abe76,_0x42053e=_0x59eddd[_0x17e77f(0x1551)]()[_0x17e77f(0x1386)](_0x17e77f(0x1b5a))[_0x17e77f(0x49e)](_0x17e77f(0x204d)+_0x4b036b[_0x17e77f(0xe32)][_0x17e77f(0xfd0)]+_0x17e77f(0x1d6c)+_0x17e77f(0x1b6))[_0x17e77f(0x15ad)]('delete\x20Networks')[_0x17e77f(0x728)](_0x48c83b)['ok']('OK')[_0x17e77f(0x696)](_0x17e77f(0x24ba));_0x59eddd[_0x17e77f(0xe27)](_0x42053e)[_0x17e77f(0x1cb0)](function(){const _0x52b97c=_0x17e77f;_0x4b036b[_0x52b97c(0xe32)][_0x52b97c(0xf90)](function(_0x484f09){_0x53b516(_0x484f09);}),_0x4b036b['selectedNetworks']=[];});}function _0x2cfe3d(){_0x4b036b['selectedNetworks']=[];}function _0x35f30f(){const _0x234dcc=_0x5abe76;_0x4b036b[_0x234dcc(0xe32)]=_0x4b036b[_0x234dcc(0x2709)]['rows'];}}const _0x25b378=_0xc97f6d;;_0x34171f[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$state',_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x2857),'smtp','api',_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca),'crudPermissions'];function _0x34171f(_0x30d524,_0x358861,_0x209a75,_0x155c27,_0x4c0b87,_0x44e908,_0x38ace4,_0xdedb76,_0x4fdb7c,_0x154c94,_0x410980,_0x2f7e26,_0x254a51,_0x55a69b){const _0x19f217=_0x5537c6,_0x4578fe=this;_0x4578fe[_0x19f217(0xe76)]=_0x410980['getCurrentUser'](),_0x4578fe['errors']=[],_0x4578fe[_0x19f217(0x9ca)]=_0x254a51,_0x4578fe[_0x19f217(0x8a5)]=_0x2f7e26,_0x4578fe[_0x19f217(0x1b1a)]=_0x55a69b,_0x4578fe[_0x19f217(0xf4c)]={},_0x4578fe[_0x19f217(0x1b0c)]=_0x4578fe[_0x19f217(0x9ca)]&&_0x4578fe[_0x19f217(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x4578fe[_0x19f217(0x1386)]=_0x19f217(0x771),_0x4578fe[_0x19f217(0x1d3c)]=angular['copy'](_0x4fdb7c),_0x4578fe['smtps']=_0xdedb76,_0x4578fe[_0x19f217(0x265a)]=![];!_0x4578fe[_0x19f217(0x1d3c)]&&(_0x4578fe[_0x19f217(0x1d3c)]={'service':!![]},_0x4578fe[_0x19f217(0x1386)]='SETTINGS.NEW_SMTP',_0x4578fe['newSmtp']=!![]);_0x4578fe[_0x19f217(0x1c98)]=_0x357908,_0x4578fe['saveSmtp']=_0x2899a2,_0x4578fe[_0x19f217(0x32a)]=_0x39963a,_0x4578fe[_0x19f217(0x2c4)]=_0x5f2dbf,_0x4578fe[_0x19f217(0xda0)]=_0x3c322a;function _0x357908(){const _0x35d356=_0x19f217;_0x4578fe['errors']=[],_0x154c94[_0x35d356(0x131c)][_0x35d356(0x1c3f)](_0x4578fe[_0x35d356(0x1d3c)])['$promise'][_0x35d356(0x1cb0)](function(_0x12bea2){const _0x18e5a3=_0x35d356;_0x4578fe[_0x18e5a3(0x2857)]['unshift'](_0x12bea2[_0x18e5a3(0x19b2)]()),_0x38ace4['success']({'title':_0x18e5a3(0xa98),'msg':_0x4578fe[_0x18e5a3(0x1d3c)][_0x18e5a3(0x16b6)]?_0x4578fe[_0x18e5a3(0x1d3c)][_0x18e5a3(0x16b6)]+'\x20has\x20been\x20created!':''}),_0x3c322a(_0x12bea2);})[_0x35d356(0x1c4)](function(_0x46b31d){const _0x3ec370=_0x35d356;if(_0x46b31d[_0x3ec370(0x25c)]&&_0x46b31d[_0x3ec370(0x25c)][_0x3ec370(0x1a7c)]&&_0x46b31d['data']['errors'][_0x3ec370(0xfd0)]){_0x4578fe[_0x3ec370(0x1a7c)]=_0x46b31d[_0x3ec370(0x25c)][_0x3ec370(0x1a7c)]||[{'message':_0x46b31d[_0x3ec370(0x147f)](),'type':_0x3ec370(0x2258)}];for(let _0x18cf3c=0x0;_0x18cf3c<_0x46b31d[_0x3ec370(0x25c)][_0x3ec370(0x1a7c)][_0x3ec370(0xfd0)];_0x18cf3c+=0x1){_0x38ace4['error']({'title':_0x46b31d[_0x3ec370(0x25c)][_0x3ec370(0x1a7c)][_0x18cf3c][_0x3ec370(0x66a)],'msg':_0x46b31d['data'][_0x3ec370(0x1a7c)][_0x18cf3c]['message']});}}else _0x38ace4['error']({'title':_0x46b31d['status']?'API:'+_0x46b31d['status']+_0x3ec370(0x1657)+_0x46b31d[_0x3ec370(0xc22)]:_0x3ec370(0x2258),'msg':_0x46b31d[_0x3ec370(0x25c)]?JSON[_0x3ec370(0x2701)](_0x46b31d[_0x3ec370(0x25c)][_0x3ec370(0x155e)]):_0x46b31d[_0x3ec370(0x147f)]()});});}function _0x2899a2(){const _0xd29733=_0x19f217;_0x4578fe[_0xd29733(0x1a7c)]=[],_0x154c94[_0xd29733(0x131c)]['update']({'id':_0x4578fe[_0xd29733(0x1d3c)]['id']},_0x4578fe['smtp'])[_0xd29733(0x1d77)][_0xd29733(0x1cb0)](function(_0x3588c0){const _0x4d49f5=_0xd29733,_0x6ec576=_0x39641b()[_0x4d49f5(0x13b4)](_0x4578fe[_0x4d49f5(0x2857)],{'id':_0x3588c0['id']});_0x6ec576&&_0x39641b()[_0x4d49f5(0x9c1)](_0x6ec576,_0x39641b()[_0x4d49f5(0x169b)](_0x3588c0[_0x4d49f5(0x19b2)](),_0x39641b()[_0x4d49f5(0x1be5)](_0x6ec576))),_0x38ace4['success']({'title':'Smtp\x20properly\x20saved!','msg':_0x4578fe[_0x4d49f5(0x1d3c)][_0x4d49f5(0x16b6)]?_0x4578fe[_0x4d49f5(0x1d3c)][_0x4d49f5(0x16b6)]+'\x20has\x20been\x20saved!':''}),_0x3c322a(_0x3588c0);})[_0xd29733(0x1c4)](function(_0xe96acb){const _0x1cd810=_0xd29733;if(_0xe96acb[_0x1cd810(0x25c)]&&_0xe96acb[_0x1cd810(0x25c)][_0x1cd810(0x1a7c)]&&_0xe96acb[_0x1cd810(0x25c)]['errors'][_0x1cd810(0xfd0)]){_0x4578fe[_0x1cd810(0x1a7c)]=_0xe96acb['data'][_0x1cd810(0x1a7c)]||[{'message':_0xe96acb[_0x1cd810(0x147f)](),'type':'api.mailAccount.update'}];for(let _0x1b8fc0=0x0;_0x1b8fc0<_0xe96acb[_0x1cd810(0x25c)]['errors'][_0x1cd810(0xfd0)];_0x1b8fc0++){_0x38ace4[_0x1cd810(0x218e)]({'title':_0xe96acb[_0x1cd810(0x25c)][_0x1cd810(0x1a7c)][_0x1b8fc0]['type'],'msg':_0xe96acb[_0x1cd810(0x25c)][_0x1cd810(0x1a7c)][_0x1b8fc0]['message']});}}else _0x38ace4[_0x1cd810(0x218e)]({'title':_0xe96acb['status']?_0x1cd810(0xeb9)+_0xe96acb[_0x1cd810(0x291)]+_0x1cd810(0x1657)+_0xe96acb['statusText']:'api.mailAccount.update','msg':_0xe96acb[_0x1cd810(0x25c)]?JSON['stringify'](_0xe96acb[_0x1cd810(0x25c)]['message']):_0xe96acb[_0x1cd810(0x147f)]()});});}function _0x39963a(_0x4e16ee){const _0x515e6f=_0x19f217;_0x4578fe[_0x515e6f(0x1a7c)]=[];const _0x372332=_0x155c27[_0x515e6f(0x1551)]()['title'](_0x515e6f(0x1a2e))[_0x515e6f(0x862)]('The\x20smtp\x20will\x20be\x20deleted.')['ariaLabel'](_0x515e6f(0x177c))['ok'](_0x515e6f(0x2594))[_0x515e6f(0x696)](_0x515e6f(0xde1))[_0x515e6f(0x728)](_0x4e16ee);_0x155c27['show'](_0x372332)[_0x515e6f(0x1cb0)](function(){const _0x368ef0=_0x515e6f;_0x154c94[_0x368ef0(0x131c)][_0x368ef0(0x111d)]({'id':_0x4578fe[_0x368ef0(0x1d3c)]['id']})[_0x368ef0(0x1d77)][_0x368ef0(0x1cb0)](function(){const _0x43c93b=_0x368ef0;_0x39641b()[_0x43c93b(0x152a)](_0x4578fe[_0x43c93b(0x2857)],{'id':_0x4578fe[_0x43c93b(0x1d3c)]['id']}),_0x38ace4[_0x43c93b(0x829)]({'title':_0x43c93b(0x83b),'msg':(_0x4578fe[_0x43c93b(0x1d3c)][_0x43c93b(0x16b6)]||_0x43c93b(0x1d3c))+'\x20has\x20been\x20deleted!'}),_0x3c322a(_0x4578fe[_0x43c93b(0x1d3c)]);})['catch'](function(_0x5b29e4){const _0x4cca1a=_0x368ef0;if(_0x5b29e4[_0x4cca1a(0x25c)]&&_0x5b29e4[_0x4cca1a(0x25c)]['errors']&&_0x5b29e4['data']['errors']['length']){_0x4578fe[_0x4cca1a(0x1a7c)]=_0x5b29e4[_0x4cca1a(0x25c)][_0x4cca1a(0x1a7c)]||[{'message':_0x5b29e4[_0x4cca1a(0x147f)](),'type':_0x4cca1a(0x19ca)}];for(let _0x5befbc=0x0;_0x5befbc<_0x5b29e4[_0x4cca1a(0x25c)]['errors'][_0x4cca1a(0xfd0)];_0x5befbc++){_0x38ace4[_0x4cca1a(0x218e)]({'title':_0x5b29e4[_0x4cca1a(0x25c)]['errors'][_0x5befbc][_0x4cca1a(0x66a)],'msg':_0x5b29e4[_0x4cca1a(0x25c)][_0x4cca1a(0x1a7c)][_0x5befbc]['message']});}}else _0x38ace4[_0x4cca1a(0x218e)]({'title':_0x5b29e4[_0x4cca1a(0x291)]?_0x4cca1a(0xeb9)+_0x5b29e4[_0x4cca1a(0x291)]+'\x20-\x20'+_0x5b29e4[_0x4cca1a(0xc22)]:_0x4cca1a(0x19ca),'msg':_0x5b29e4[_0x4cca1a(0x25c)]?JSON[_0x4cca1a(0x2701)](_0x5b29e4[_0x4cca1a(0x25c)][_0x4cca1a(0x155e)]):_0x5b29e4[_0x4cca1a(0x155e)]||_0x5b29e4['toString']()});});},function(){});}function _0x5f2dbf(_0x5983d1){return _0x5983d1===null?undefined:new Date(_0x5983d1);}function _0x3c322a(_0x23feb7){const _0x351521=_0x19f217;_0x155c27[_0x351521(0x1426)](_0x23feb7);}}const _0x4edac6=_0x34171f;;_0x5624b5[_0x5537c6(0x15b6)]=[_0x5537c6(0x2857),_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x8a5),'Auth'];function _0x5624b5(_0x34d333,_0x70e1cd,_0x256c05,_0x3f7208,_0x51ce1e){const _0x46e400=_0x5537c6,_0x3e75f4=this;_0x3e75f4[_0x46e400(0xe76)]=_0x51ce1e[_0x46e400(0x21e8)](),_0x3e75f4[_0x46e400(0x8a5)]=_0x3f7208,_0x3e75f4['smtps']=_0x34d333,_0x3e75f4[_0x46e400(0x1d3c)]={};_0x3e75f4[_0x46e400(0x2857)]&&_0x3e75f4[_0x46e400(0x2857)][_0x46e400(0x2214)]&&_0x3e75f4[_0x46e400(0x2857)][_0x46e400(0x2214)][_0x46e400(0xfd0)]?_0x3e75f4[_0x46e400(0x1d3c)]=_0x3e75f4[_0x46e400(0x2857)][_0x46e400(0x2214)][0x0]:_0x3e75f4[_0x46e400(0x1d3c)]={'service':!![],'Smtp':{'service':null}};_0x3e75f4['saveSmtp']=_0x6caea3,_0x3e75f4[_0x46e400(0x268a)]=_0x65cb85;function _0x65cb85(){const _0x1cc1e6=_0x46e400;_0x3e75f4[_0x1cc1e6(0x1d3c)]['id']&&_0x70e1cd['mailAccount'][_0x1cc1e6(0x268a)]({'id':_0x3e75f4[_0x1cc1e6(0x1d3c)]['id']})[_0x1cc1e6(0x1d77)]['then'](function(){const _0x549a00=_0x1cc1e6;_0x256c05['success']({'title':_0x549a00(0x411),'msg':_0x3e75f4[_0x549a00(0x1d3c)][_0x549a00(0x16b6)]?_0x3e75f4[_0x549a00(0x1d3c)]['name']+'\x20has\x20been\x20verified!':''});})[_0x1cc1e6(0x1c4)](function(_0x29c941){const _0x42b5b1=_0x1cc1e6;_0x256c05[_0x42b5b1(0x218e)]({'title':_0x42b5b1(0x1fc0),'msg':_0x29c941[_0x42b5b1(0x25c)]?JSON[_0x42b5b1(0x2701)](_0x29c941[_0x42b5b1(0x25c)]):_0x29c941[_0x42b5b1(0x147f)](),'timeout':0x2710});});}function _0x6caea3(){const _0x1aed7e=_0x46e400;_0x3e75f4['smtp']['id']?_0x70e1cd['mailAccount'][_0x1aed7e(0x687)]({'id':_0x3e75f4[_0x1aed7e(0x1d3c)]['id']},_0x3e75f4['smtp'])[_0x1aed7e(0x1d77)][_0x1aed7e(0x1cb0)](function(){const _0x28721d=_0x1aed7e;_0x256c05[_0x28721d(0x829)]({'title':'Smtp\x20updated!','msg':_0x3e75f4['smtp']['name']?_0x3e75f4[_0x28721d(0x1d3c)][_0x28721d(0x16b6)]+'\x20has\x20been\x20updated!':''});})[_0x1aed7e(0x1c4)](function(_0x2cfcfd){const _0x33dcc4=_0x1aed7e;_0x256c05[_0x33dcc4(0x218e)]({'title':_0x2cfcfd[_0x33dcc4(0x291)]?_0x33dcc4(0xeb9)+_0x2cfcfd[_0x33dcc4(0x291)]+_0x33dcc4(0x1657)+_0x2cfcfd['statusText']:_0x33dcc4(0x1d2a),'msg':_0x2cfcfd['data']?JSON[_0x33dcc4(0x2701)](_0x2cfcfd['data']):_0x2cfcfd['toString']()});}):_0x70e1cd['mailAccount'][_0x1aed7e(0x1c3f)](_0x3e75f4[_0x1aed7e(0x1d3c)])['$promise'][_0x1aed7e(0x1cb0)](function(_0x180cac){const _0x4ac820=_0x1aed7e;_0x39641b()['merge'](_0x3e75f4[_0x4ac820(0x1d3c)],_0x180cac),_0x256c05['success']({'title':_0x4ac820(0x1d2f),'msg':_0x3e75f4[_0x4ac820(0x1d3c)][_0x4ac820(0x16b6)]?_0x3e75f4[_0x4ac820(0x1d3c)]['name']+_0x4ac820(0x1068):''});})['catch'](function(_0x52e29){const _0x30ee5c=_0x1aed7e;_0x256c05[_0x30ee5c(0x218e)]({'title':_0x52e29[_0x30ee5c(0x291)]?_0x30ee5c(0xeb9)+_0x52e29[_0x30ee5c(0x291)]+_0x30ee5c(0x1657)+_0x52e29[_0x30ee5c(0xc22)]:'SYSTEM:GETmailAccount','msg':_0x52e29['data']?JSON[_0x30ee5c(0x2701)](_0x52e29['data']):_0x52e29[_0x30ee5c(0x147f)]()});});}}const _0x259b9c=_0x5624b5;;_0x2db644[_0x5537c6(0x15b6)]=[_0x5537c6(0xbd6),_0x5537c6(0x1f2a)];function _0x2db644(_0x31ba20,_0x55d1c7){const _0x1da83c=_0x5537c6;_0x31ba20[_0x1da83c(0x27e0)]('app.settings',{'abstract':!![],'url':_0x1da83c(0xdd7)})['state'](_0x1da83c(0x11eb),{'url':_0x1da83c(0x1ad0),'views':{'content@app':{'templateUrl':_0x39858c,'controller':_0x1da83c(0x104d)}},'resolve':{'generals':[_0x1da83c(0x1e0b),function(_0x1bdb44){const _0x292574=_0x1da83c;return _0x1bdb44[_0x292574(0x19a3)](_0x292574(0x657),{'fields':_0x292574(0x599),'limit':0xa,'offset':0x0});}]},'authenticate':!![],'permissionId':0x578,'bodyClass':'settings'})[_0x1da83c(0x27e0)](_0x1da83c(0x10bc),{'url':_0x1da83c(0x1f07),'views':{'content@app':{'templateUrl':_0x531e03,'controller':_0x1da83c(0x13b9)}},'resolve':{'smtps':[_0x1da83c(0x1e0b),function(_0x159738){const _0x3a3c0d=_0x1da83c;return _0x159738[_0x3a3c0d(0x19a3)]('mailAccount@get',{'fields':_0x3a3c0d(0x27c8),'service':'1','limit':0xa,'offset':0x0});}]},'authenticate':!![],'permissionId':0x578,'bodyClass':_0x1da83c(0x285a)})[_0x1da83c(0x27e0)](_0x1da83c(0x2906),{'url':_0x1da83c(0x19bb),'views':{'content@app':{'templateUrl':_0x16177e,'controller':_0x1da83c(0xcaf)}},'resolve':{'networks':['apiResolver',function(_0x43b3f6){const _0x34d863=_0x1da83c;return _0x43b3f6[_0x34d863(0x19a3)](_0x34d863(0x1bd0),{'fields':_0x34d863(0x301),'sort':_0x34d863(0x282),'limit':0xa,'offset':0x0});}]},'authenticate':!![],'permissionId':0x578,'bodyClass':_0x1da83c(0x285a)})[_0x1da83c(0x27e0)]('app.settings.customizations',{'url':_0x1da83c(0x415),'views':{'content@app':{'templateUrl':_0x47d6d1,'controller':_0x1da83c(0x9fc)}},'resolve':{'customizations':['apiResolver',function(_0x11c6d0){const _0x4c7c7a=_0x1da83c;return _0x11c6d0[_0x4c7c7a(0x19a3)](_0x4c7c7a(0x657),{'fields':_0x4c7c7a(0x369),'limit':0xa,'offset':0x0});}]},'authenticate':!![],'permissionId':0x578,'bodyClass':_0x1da83c(0x285a)})[_0x1da83c(0x27e0)](_0x1da83c(0x1c0),{'url':'/cloudProviders','views':{'content@app':{'templateUrl':_0x7782ad,'controller':_0x1da83c(0x237d)}},'resolve':{'cloudProviders':[_0x1da83c(0x1e0b),function(_0xd2a901){const _0x200100=_0x1da83c;return _0xd2a901[_0x200100(0x19a3)](_0x200100(0xdce),{'fields':_0x200100(0x1414),'sort':_0x200100(0x282),'limit':0xa,'offset':0x0});}]},'authenticate':!![],'permissionId':0x578,'bodyClass':_0x1da83c(0x285a)}),_0x55d1c7[_0x1da83c(0x4e7)](_0x1da83c(0x654));}angular[_0x5537c6(0x9ab)](_0x5537c6(0x1b84),[_0x5537c6(0x2770),'ngPassword',_0x5537c6(0x1260),_0x5537c6(0xacf),_0x5537c6(0x18f6),_0x5537c6(0xd19),_0x5537c6(0x44c),_0x5537c6(0x2ec),_0x5537c6(0x1890),_0x5537c6(0x167d),_0x5537c6(0x208f),'mwFormViewer','mwFormUtils','ngclipboard',_0x5537c6(0x7c9),_0x5537c6(0xeb5),_0x5537c6(0x27af),_0x5537c6(0x13b6),_0x5537c6(0x1cbd),_0x5537c6(0xd01),'app.settings.updates','app.settings.license',_0x5537c6(0x1cd9)])['config'](_0x2db644)[_0x5537c6(0x6e5)](_0x5537c6(0xdca),_0x298b0a)[_0x5537c6(0x6e5)](_0x5537c6(0x17f9),_0x19e65f)[_0x5537c6(0x6e5)](_0x5537c6(0xce8),_0x4afd27)['controller'](_0x5537c6(0x24da),_0x158a34)[_0x5537c6(0x6e5)]('CustomizationfaviconController',_0x5df1bb)['controller'](_0x5537c6(0x1514),_0xd3526f)[_0x5537c6(0x6e5)](_0x5537c6(0x1878),_0x16d8d2)[_0x5537c6(0x6e5)](_0x5537c6(0x1364),_0x4f4717)[_0x5537c6(0x6e5)]('CreateOrEditGeneralDialogController',_0x32edd5)[_0x5537c6(0x6e5)](_0x5537c6(0x1967),_0x293c24)[_0x5537c6(0x6e5)](_0x5537c6(0x4cd),_0xffb594)[_0x5537c6(0x6e5)](_0x5537c6(0x20d3),_0x25b378)['controller']('CreateOrEditSmtpDialogController',_0x4edac6)[_0x5537c6(0x6e5)]('SmtpController',_0x259b9c);;const _0x4fa45b=_0x5074a3['p']+'src/js/modules/main/apps/motiondialer/views/realtime/realtime.html/realtime.html';;const _0x524405=_0x5074a3['p']+'src/js/modules/main/apps/motiondialer/views/realtime/agents/view.html/view.html';;const _0x3c97b8=_0x5074a3['p']+_0x5537c6(0x1009);;const _0x416b40=_0x5074a3['p']+_0x5537c6(0x192);;const _0x352a71=_0x5074a3['p']+_0x5537c6(0x1158);;const _0x423cde=_0x5074a3['p']+_0x5537c6(0x1a23);;const _0x2b8c0f=_0x5074a3['p']+_0x5537c6(0x21c2);;const _0xbcf966=_0x5074a3['p']+_0x5537c6(0x1fed);;_0x261394['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x2168),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x1f10),_0x5537c6(0x1ae),_0x5537c6(0x142b),_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x1774),'socket',_0x5537c6(0x9bf),'agents',_0x5537c6(0xdf2)];function _0x261394(_0x5b745e,_0x810b2,_0x261f6b,_0x4b6f77,_0x14e240,_0x5988e8,_0x226677,_0x2955be,_0x4419f2,_0x3a8688,_0xd31318,_0x2bef9b,_0x56b6b8,_0x2357d9){const _0x46a66e=_0x5537c6,_0x292bbb=this;_0x292bbb[_0x46a66e(0xe76)]=_0x3a8688['getCurrentUser'](),_0x292bbb[_0x46a66e(0xc12)]=_0x56b6b8?_0x56b6b8:[],_0x292bbb[_0x46a66e(0xdf2)]=_0x2357d9,_0x292bbb[_0x46a66e(0x292f)]={'count':0x0,'rows':[]},_0x292bbb[_0x46a66e(0x16fe)]=![],_0x292bbb[_0x46a66e(0x576)]=!![],_0x292bbb[_0x46a66e(0x44a)]=_0x2955be,_0x292bbb[_0x46a66e(0x2199)]=_0x4419f2&&_0x4419f2[_0x46a66e(0x184d)]==0x1?_0x4419f2[_0x46a66e(0x2214)][0x0]:null,_0x292bbb[_0x46a66e(0x1b1a)]=_0x3a8688[_0x46a66e(0x14ea)](_0x292bbb[_0x46a66e(0x2199)]?_0x292bbb[_0x46a66e(0x2199)][_0x46a66e(0x1b1a)]:null),_0x292bbb[_0x46a66e(0xae2)]={'fields':_0x46a66e(0x66b),'type':_0x46a66e(0x895),'queuecallerexitreason':_0x46a66e(0x2021)+','+_0x46a66e(0x2778),'sort':_0x46a66e(0x282),'limit':0xa,'page':0x1},_0x292bbb[_0x46a66e(0x23a8)]=_0x28932a,_0x292bbb['refreshAbandonedCalls']=_0x58c8ea,_0x292bbb['assignTo']=_0x26b901,_0x292bbb[_0x46a66e(0xe1c)]=_0x166faf,_0x292bbb[_0x46a66e(0x14be)]=_0x2b5fcf,_0x292bbb[_0x46a66e(0x5c7)]=_0x50f689,_0xd31318[_0x46a66e(0x16b7)](_0x46a66e(0xaa0),_0x292bbb[_0x46a66e(0x14be)]),_0xd31318['on'](_0x46a66e(0x125e),_0x292bbb[_0x46a66e(0x5c7)]),_0x2b4d40();function _0x2b4d40(){const _0x2e99b7=_0x46a66e;let _0x3cd4e9=_0x14e240[_0x2e99b7(0x1b83)][_0x2e99b7(0x2091)](_0x2e99b7(0x161d)+_0x292bbb[_0x2e99b7(0xe76)]['id']);if(_0x3cd4e9)_0x3cd4e9=JSON[_0x2e99b7(0xefe)](_0x3cd4e9),_0x3cd4e9&&_0x3cd4e9[_0x2e99b7(0xae2)]&&(_0x292bbb[_0x2e99b7(0xae2)]=_0x39641b()[_0x2e99b7(0x9c1)](_0x3cd4e9[_0x2e99b7(0xae2)],_0x39641b()['omit'](_0x292bbb[_0x2e99b7(0xae2)],[_0x2e99b7(0x1381),_0x2e99b7(0x236),_0x2e99b7(0x1c7b)])),_0x292bbb[_0x2e99b7(0xae2)]=_0x39641b()[_0x2e99b7(0x1bd8)](_0x292bbb[_0x2e99b7(0xae2)],_0x2e99b7(0x1c99)));else{const _0x12401b={'$gte':_0x543b5a()()[_0x2e99b7(0x1be0)](_0x2e99b7(0x26ae))[_0x2e99b7(0x17d9)](0x0,!![])[_0x2e99b7(0x1f31)](),'$lte':_0x543b5a()()[_0x2e99b7(0x1b4)]('month')['utcOffset'](0x0,!![])[_0x2e99b7(0x1f31)]()};_0x292bbb[_0x2e99b7(0xae2)][_0x2e99b7(0x24cb)]=_0x12401b;}_0x3a8688[_0x2e99b7(0x22b6)](_0x2e99b7(0x1c60))?_0x226677['user'][_0x2e99b7(0x13e9)]({'id':_0x3a8688[_0x2e99b7(0x21e8)]()['id'],'fields':'id,name','channel':'voice','type':_0x2e99b7(0x895),'nolimit':!![]})[_0x2e99b7(0x1d77)]['then'](function(_0x36f34d){const _0xf12dc=_0x2e99b7;_0x292bbb['queues']=_0x36f34d||{'count':0x0,'rows':[]},_0x292bbb[_0xf12dc(0xb16)]=_0x39641b()[_0xf12dc(0x1db)](_0x39641b()[_0xf12dc(0x2631)](_0x292bbb[_0xf12dc(0x971)][_0xf12dc(0x2214)],_0xf12dc(0x16b6)),_0xf12dc(0x16b6));})[_0x2e99b7(0x1c4)](function(_0x164769){const _0x995b0d=_0x2e99b7;console[_0x995b0d(0x218e)](_0x164769);})['finally'](function(){const _0x1de562=_0x2e99b7;_0x292bbb[_0x1de562(0x789)]=_0x10c5a0(),_0x292bbb['getAbandonedCalls']();}):_0x226677[_0x2e99b7(0x44a)][_0x2e99b7(0x1810)]({'id':_0x292bbb[_0x2e99b7(0xe76)][_0x2e99b7(0x13c1)],'section':_0x2e99b7(0x1e28),'channel':'voice','type':_0x2e99b7(0x895),'sort':'-updatedAt','nolimit':!![]})[_0x2e99b7(0x1d77)][_0x2e99b7(0x1cb0)](function(_0xdc3c58){const _0xcc99ca=_0x2e99b7;_0x292bbb['queues']=_0xdc3c58||{'count':0x0,'rows':[]},_0x292bbb[_0xcc99ca(0xb16)]=_0x39641b()['mapValues'](_0x39641b()[_0xcc99ca(0x2631)](_0x292bbb['queues'][_0xcc99ca(0x2214)],_0xcc99ca(0x16b6)),_0xcc99ca(0x16b6));})[_0x2e99b7(0x1c4)](function(_0x4731a2){const _0x213873=_0x2e99b7;console[_0x213873(0x218e)](_0x4731a2);})[_0x2e99b7(0x2e0)](function(){_0x292bbb['quickFilters']=_0x10c5a0(),_0x292bbb['getAbandonedCalls']();});}function _0x10c5a0(){const _0x51f6d1=_0x46a66e;return[{'name':'Start\x20Date','key':_0x51f6d1(0x24cb),'type':_0x51f6d1(0x18ec),'label':_0x51f6d1(0xf5e)},{'name':_0x51f6d1(0x30e),'key':'lastAssignedTo','type':_0x51f6d1(0x220f),'label':'DASHBOARDS.SELECT_AGENT','options':_0x292bbb['agents'][_0x51f6d1(0x2214)],'customOptions':[{'value':_0x51f6d1(0x21de),'translate':_0x51f6d1(0x68f)},{'value':undefined,'translate':'DASHBOARDS.ALL'}]},{'name':_0x51f6d1(0xb34),'key':_0x51f6d1(0x11cf),'type':_0x51f6d1(0x1d50),'label':_0x51f6d1(0x519),'options':_0x292bbb[_0x51f6d1(0x971)][_0x51f6d1(0x2214)]}];}function _0x28932a(){const _0x3a3763=_0x46a66e;_0x292bbb[_0x3a3763(0x576)]=![],_0x292bbb[_0x3a3763(0x971)][_0x3a3763(0x184d)]>0x0?(_0x292bbb[_0x3a3763(0xae2)]['queue']=_0x292bbb['queues'][_0x3a3763(0x184d)]===0x1?_0x292bbb[_0x3a3763(0x971)][_0x3a3763(0x2214)][0x0]['name']:_0x292bbb[_0x3a3763(0xae2)][_0x3a3763(0x11cf)],_0x292bbb['query'][_0x3a3763(0x184b)]=(_0x292bbb[_0x3a3763(0xae2)][_0x3a3763(0x1c7b)]-0x1)*_0x292bbb[_0x3a3763(0xae2)][_0x3a3763(0x236)],_0x14e240[_0x3a3763(0x1b83)]['setItem']('motion2.realtime.abandoned.bull.abandonedCalls:'+_0x292bbb['currentUser']['id'],JSON['stringify']({'query':_0x39641b()[_0x3a3763(0x1bd8)](_0x292bbb[_0x3a3763(0xae2)],_0x3a3763(0x11cf))})),_0x292bbb[_0x3a3763(0x2061)]=_0x226677[_0x3a3763(0xc6f)][_0x3a3763(0x7dc)](_0x292bbb['query'],_0x45a12a)[_0x3a3763(0x1d77)]):_0x45a12a(null);}function _0x45a12a(_0x4e9d42){const _0x1ba6f9=_0x46a66e;_0x292bbb['abandonedCalls']=_0x4e9d42||{'count':0x0,'rows':[]},_0x292bbb[_0x1ba6f9(0x576)]=!![];}function _0x58c8ea(){const _0x5704b3=_0x46a66e;_0x292bbb[_0x5704b3(0x16fe)]=![],_0x292bbb['getAbandonedCalls']();}function _0x2b5fcf(_0x21e1f8){const _0x1b4428=_0x46a66e,_0x265300='outbound';_0x21e1f8&&_0x292bbb['queuesMap']&&_0x21e1f8[_0x1b4428(0x11cf)][_0x1b4428(0x66a)]===_0x265300&&_0x292bbb['queuesMap'][_0x21e1f8['queue']]&&(_0x292bbb[_0x1b4428(0x16fe)]=!![]);}function _0x50f689(_0x1b7c27){const _0x49287c=_0x46a66e;if(_0x1b7c27){const _0x490fb1=_0x39641b()[_0x49287c(0x3c2)](_0x292bbb[_0x49287c(0x292f)][_0x49287c(0x2214)],['id',_0x1b7c27['id']]);_0x490fb1>=0x0&&_0x39641b()[_0x49287c(0x9c1)](_0x292bbb['abandonedCalls']['rows'][_0x490fb1],_0x1b7c27);}}function _0x26b901(_0x351abc,_0x4782d9){const _0x3ed2f2=_0x46a66e;_0x351abc&&_0x226677[_0x3ed2f2(0xc6f)][_0x3ed2f2(0x687)]({'id':_0x351abc['id'],'lastAssignedTo':_0x4782d9?_0x4782d9[_0x3ed2f2(0x16b6)]:null,'assigned':_0x4782d9?!![]:![]})[_0x3ed2f2(0x1d77)][_0x3ed2f2(0x1c4)](function(_0x414149){const _0x197b37=_0x3ed2f2;console[_0x197b37(0x1b4f)](_0x414149);});}function _0x166faf(_0x73ac41){const _0x510bc5=_0x46a66e;_0x261f6b[_0x510bc5(0xe27)]({'controller':_0x510bc5(0x1c11),'controllerAs':'vm','templateUrl':_0xbcf966,'parent':angular[_0x510bc5(0x1853)](_0x4b6f77[_0x510bc5(0x1ed9)]),'targetEvent':_0x73ac41,'resolve':{'globalDispositions':[_0x510bc5(0x1e0b),function(_0x4a8ada){const _0x54f299=_0x510bc5;return _0x4a8ada['resolve'](_0x54f299(0x1c6f),{'MailAccountId':_0x54f299(0xd38),'FaxAccountId':_0x54f299(0xd38),'SmsAccountId':_0x54f299(0xd38),'WhatsappAccountId':_0x54f299(0xd38),'OpenchannelAccountId':_0x54f299(0xd38),'ChatWebsiteId':_0x54f299(0xd38),'ListId':_0x54f299(0xd38)});}]},'locals':{'dispositions':_0x292bbb[_0x510bc5(0xdf2)],'call':_0x73ac41}})[_0x510bc5(0x1cb0)](function(_0x4ec5fd){const _0x3eb991=_0x510bc5;if(_0x4ec5fd){const _0x131d06={'id':_0x73ac41['id'],'disposition':_0x4ec5fd['firstLevel']||null,'secondDisposition':_0x4ec5fd[_0x3eb991(0x11c2)]||null,'thirdDisposition':_0x4ec5fd['thirdLevel']||null};_0x226677['voiceQueueReport'][_0x3eb991(0x687)](_0x131d06)[_0x3eb991(0x1d77)]['catch'](function(_0x45cdaa){const _0x1c7a06=_0x3eb991;console[_0x1c7a06(0x1b4f)](_0x45cdaa);});}});}let _0x221824=!![],_0x11640b=0x1;_0x5b745e[_0x46a66e(0x614)](_0x46a66e(0x957),function(_0x288c99,_0x1bc05f){const _0x532f0b=_0x46a66e;_0x221824?_0x810b2(function(){_0x221824=![];}):(!_0x1bc05f&&(_0x11640b=_0x292bbb[_0x532f0b(0xae2)][_0x532f0b(0x1c7b)]),_0x288c99!==_0x1bc05f&&(_0x292bbb['query'][_0x532f0b(0x1c7b)]=0x1),!_0x288c99&&(_0x292bbb[_0x532f0b(0xae2)]['page']=_0x11640b),_0x292bbb[_0x532f0b(0x23a8)]());}),_0x5b745e[_0x46a66e(0x1d6)](_0x46a66e(0x291c),function(){const _0xc16d17=_0x46a66e;_0xd31318[_0xc16d17(0xfb8)](_0xc16d17(0xaa0)),_0xd31318['removeAllListeners'](_0xc16d17(0x125e));});}const _0x796fdb=_0x261394;;_0x4ce94f[_0x5537c6(0x15b6)]=['$scope','$q','$timeout',_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0xc34),_0x5537c6(0x8de),_0x5537c6(0xc12),'rpcAgents',_0x5537c6(0x142b),_0x5537c6(0x1986),_0x5537c6(0x9bf),_0x5537c6(0x125c),_0x5537c6(0x44a),'userProfileSection',_0x5537c6(0x1774),_0x5537c6(0x8a5),'$interval'];function _0x4ce94f(_0x22009d,_0x3298cc,_0x29ad88,_0x445ece,_0x1dff4a,_0x57e32d,_0x4a3fff,_0xabef95,_0x5b3e19,_0x44593d,_0x307adf,_0x1e068f,_0x2f9d7e,_0x535b80,_0x2241e6,_0x1083de,_0x443992,_0x1e434c){const _0x717f98=_0x5537c6,_0x59842a=this,_0x3f22d7=[_0x717f98(0x70a),_0x717f98(0xa28),_0x717f98(0x24a2),_0x717f98(0x25db),_0x717f98(0x16e6),_0x717f98(0x25db),_0x717f98(0xdbd),_0x717f98(0x16aa),_0x717f98(0xf0c),_0x717f98(0x1c7d),_0x717f98(0x2035),_0x717f98(0x22d3),_0x717f98(0x24ff),'faxPause',_0x717f98(0x2478),_0x717f98(0x1aee),_0x717f98(0x391),'mailCapacity',_0x717f98(0x3d0),_0x717f98(0x9cd),_0x717f98(0x2451),_0x717f98(0x132d),_0x717f98(0x1cd3),_0x717f98(0x2947),_0x717f98(0x2472),_0x717f98(0x700),_0x717f98(0x2336),_0x717f98(0x2208),'openchannelStatus',_0x717f98(0x11b2),_0x717f98(0xe82),_0x717f98(0x2453),_0x717f98(0x542),_0x717f98(0x2de),_0x717f98(0x1b11),_0x717f98(0x22a6),_0x717f98(0x568),_0x717f98(0x9eb),_0x717f98(0x2064),_0x717f98(0x1997),_0x717f98(0xc9c),'queue',_0x717f98(0x247),_0x717f98(0x24c5),_0x717f98(0x2569),_0x717f98(0x1f23)],_0x3e24a4=[_0x717f98(0xf0c),_0x717f98(0x1c7d),_0x717f98(0x2035),'smsPause','whatsappPause',_0x717f98(0x1ce),_0x717f98(0x2478),'online'];_0x59842a['currentUser']=_0x1083de[_0x717f98(0x21e8)](),_0x59842a[_0x717f98(0x46c)]=['ringing',_0x717f98(0x8d2),_0x717f98(0x5b2),_0x717f98(0x1e6f),'not_inuse'],_0x59842a['pauses']=_0x4a3fff||{'count':0x0,'rows':[]},_0x59842a[_0x717f98(0x8a5)]=_0x443992,_0x59842a['userProfile']=_0x535b80,_0x59842a[_0x717f98(0x2199)]=_0x2241e6&&_0x2241e6[_0x717f98(0x184d)]==0x1?_0x2241e6['rows'][0x0]:null,_0x59842a['crudPermissions']=_0x1083de[_0x717f98(0x14ea)](_0x59842a[_0x717f98(0x2199)]?_0x59842a[_0x717f98(0x2199)][_0x717f98(0x1b1a)]:null),_0x59842a['agents']=_0xabef95?_0x39641b()[_0x717f98(0x2631)](_0xabef95[_0x717f98(0x2214)]?_0xabef95[_0x717f98(0x2214)]:[],'id'):{},_0x59842a[_0x717f98(0x212d)]=_0x5b3e19?_0x39641b()[_0x717f98(0x2631)](_0x5b3e19[_0x717f98(0x2214)]?_0x5b3e19[_0x717f98(0x2214)]:[],'id'):{},_0x59842a['paginatedAgents']={},_0x59842a[_0x717f98(0x997)]=![],_0x59842a[_0x717f98(0x237f)]=[],_0x59842a[_0x717f98(0x1fdd)]={};for(const _0x1050d0 in _0x59842a[_0x717f98(0xc12)]){typeof _0x59842a[_0x717f98(0xc12)][_0x1050d0]!=='undefined'&&(_0x59842a[_0x717f98(0xc12)][_0x1050d0]['init']=!![]);}_0x59842a[_0x717f98(0xae2)]={'limit':0xa,'page':0x1,'order':_0x717f98(0x1d14),'globalStatusFilter':'','pauseTypeFilter':''},_0x59842a[_0x717f98(0x1366)]=_0x333a20,_0x59842a[_0x717f98(0x6ad)]=_0x5cc5d4,_0x59842a[_0x717f98(0x785)]=_0x45c4ce,_0x59842a[_0x717f98(0x715)]=_0x19913a,_0x59842a[_0x717f98(0x191a)]=_0x14c576,_0x59842a[_0x717f98(0x616)]=_0x54ab1e,_0x59842a['getAgentGlobalStatus']=_0x26346b,_0x59842a[_0x717f98(0x1090)]=_0x2594ee,_0x59842a[_0x717f98(0x225e)]=_0x1d3bf4,_0x59842a[_0x717f98(0x1bc4)]=_0x2c5037,_0x59842a[_0x717f98(0x294c)]=_0x23ac92,_0x59842a[_0x717f98(0x13e7)]=_0x474dd6,_0x59842a['onInit']=_0x56b1ff,_0x59842a[_0x717f98(0x1978)]=_0x403a2d,_0x59842a[_0x717f98(0x1b4a)]=_0x1286c0,_0x59842a[_0x717f98(0xd97)]=_0x246e9f,_0x307adf['on'](_0x717f98(0x2464),_0x59842a['onSave']),_0x307adf['on']('user:update',_0x59842a[_0x717f98(0x13e7)]),_0x307adf['on']('user:agentconnect',_0x59842a[_0x717f98(0x1978)]),_0x307adf['on'](_0x717f98(0x10b3),_0x59842a['onComplete']),_0x56b1ff();let _0x37dbf9=_0x1e434c(function(){_0x59842a['load']&&(_0x59842a['load']=![],_0x56b1ff());},0x3e7);function _0x56b1ff(){const _0x2f7775=_0x717f98;_0x59842a['load']=![];const _0x36a5f6=_0x3298cc['defer']();_0x59842a[_0x2f7775(0x2061)]=_0x36a5f6['promise'],_0x59842a[_0x2f7775(0xe0e)]=[],_0x59842a[_0x2f7775(0x237f)]=[],_0x39641b()['forIn'](_0x59842a[_0x2f7775(0xc12)],function(_0x1cde8f,_0x2ad187){const _0x4a9a0c=_0x2f7775;_0x59842a['rpcAgents'][_0x2ad187]&&_0x39641b()[_0x4a9a0c(0x9c1)](_0x1cde8f,_0x39641b()[_0x4a9a0c(0x169b)](_0x39641b()[_0x4a9a0c(0x1bd8)](_0x59842a[_0x4a9a0c(0x212d)][_0x2ad187],_0x3e24a4),_0x3f22d7)),_0x26346b(_0x1cde8f),_0x1cde8f[_0x4a9a0c(0xa28)]?(_0x59842a[_0x4a9a0c(0xe0e)][_0x4a9a0c(0x2785)](_0x1cde8f),_0x59842a[_0x4a9a0c(0x1fdd)][_0x1cde8f[_0x4a9a0c(0xdbd)]]=_0x2ad187):_0x1cde8f['globalStatusTime']=_0x39641b()['toNumber'](_0x543b5a()()[_0x4a9a0c(0x1f31)]('x'));});_0x59842a['query']['globalStatusFilter']&&_0x39641b()[_0x2f7775(0x152a)](_0x59842a[_0x2f7775(0xe0e)],function(_0x55161b){const _0x112a5e=_0x2f7775;return _0x55161b[_0x112a5e(0x1fbe)]!==_0x59842a[_0x112a5e(0xae2)][_0x112a5e(0x1ef1)];});_0x59842a[_0x2f7775(0xae2)][_0x2f7775(0x25ff)]&&_0x39641b()[_0x2f7775(0x152a)](_0x59842a[_0x2f7775(0xe0e)],function(_0x23a840){const _0x5c29d6=_0x2f7775;return _0x23a840[_0x5c29d6(0x16e6)]!==_0x59842a[_0x5c29d6(0xae2)]['pauseTypeFilter'];});_0x59842a[_0x2f7775(0xae2)][_0x2f7775(0x1c99)]&&_0x39641b()[_0x2f7775(0x152a)](_0x59842a[_0x2f7775(0xe0e)],function(_0x4f5560){const _0x4ae75e=_0x2f7775;return _0x4f5560['fullname'][_0x4ae75e(0x1680)]()[_0x4ae75e(0xd8a)](_0x59842a[_0x4ae75e(0xae2)][_0x4ae75e(0x1c99)][_0x4ae75e(0x1680)]())<0x0;});_0x59842a['filteredAgents']=_0x2f1c47(_0x59842a[_0x2f7775(0xe0e)]);const _0x43a62d=(_0x59842a[_0x2f7775(0xae2)]['page']-0x1)*_0x59842a['query'][_0x2f7775(0x236)];_0x59842a[_0x2f7775(0x260a)]=_0x39641b()[_0x2f7775(0x276f)](_0x59842a['filteredAgents'],_0x43a62d)['slice'](0x0,_0x59842a[_0x2f7775(0xae2)]['limit']);for(let _0x3fd17c=0x0;_0x3fd17c<_0x59842a['paginatedAgents']['length'];_0x3fd17c+=0x1){_0x59842a[_0x2f7775(0x237f)][_0x2f7775(0x2785)](_0x59842a[_0x2f7775(0x260a)][_0x3fd17c]['id']);}_0x36a5f6[_0x2f7775(0x19a3)](),_0x59842a[_0x2f7775(0x997)]=!![];}function _0x23ac92(_0x4d3148){const _0x47865a=_0x717f98;_0x59842a['agents'][_0x4d3148['id']]&&(_0x39641b()['merge'](_0x59842a['agents'][_0x4d3148['id']],_0x39641b()[_0x47865a(0x169b)](_0x39641b()['omit'](_0x4d3148,_0x3e24a4),_0x3f22d7)),_0x39641b()[_0x47865a(0x9c1)](_0x59842a['rpcAgents'][_0x4d3148['id']],_0x39641b()['pick'](_0x39641b()[_0x47865a(0x1bd8)](_0x4d3148,_0x3e24a4),_0x3f22d7)),_0x26346b(_0x59842a[_0x47865a(0xc12)][_0x4d3148['id']]));}function _0x474dd6(_0x502d49){const _0x106a93=_0x717f98;_0x59842a['agents'][_0x502d49['id']]&&(_0x39641b()['merge'](_0x59842a[_0x106a93(0xc12)][_0x502d49['id']],_0x39641b()[_0x106a93(0x169b)](_0x502d49,_0x3f22d7)),_0x39641b()[_0x106a93(0x9c1)](_0x59842a[_0x106a93(0x212d)][_0x502d49['id']],_0x39641b()[_0x106a93(0x169b)](_0x502d49,_0x3f22d7)),_0x502d49['lastPauseAt']&&(_0x59842a['agents'][_0x502d49['id']][_0x106a93(0xdb9)]=_0x502d49[_0x106a93(0x25db)],_0x59842a[_0x106a93(0x212d)][_0x502d49['id']][_0x106a93(0xdb9)]=_0x502d49[_0x106a93(0x25db)]),_0x26346b(_0x59842a[_0x106a93(0xc12)][_0x502d49['id']]));}function _0x403a2d(_0x2515c4){const _0x56fc81=_0x717f98;_0x59842a[_0x56fc81(0xc12)][_0x59842a[_0x56fc81(0x1fdd)][_0x2515c4['destaccountcode']]]&&(_0x39641b()['merge'](_0x59842a[_0x56fc81(0xc12)][_0x59842a[_0x56fc81(0x1fdd)][_0x2515c4[_0x56fc81(0x7ea)]]],_0x39641b()['pick'](_0x2515c4,[_0x56fc81(0x11cf),_0x56fc81(0x247)])),_0x39641b()[_0x56fc81(0x9c1)](_0x59842a[_0x56fc81(0x212d)][_0x59842a[_0x56fc81(0x1fdd)][_0x2515c4[_0x56fc81(0x7ea)]]],_0x39641b()['pick'](_0x2515c4,['queue',_0x56fc81(0x247)])));}function _0x1286c0(_0x2d47f8){const _0x2d9bd1=_0x717f98;_0x59842a[_0x2d9bd1(0xc12)][_0x59842a[_0x2d9bd1(0x1fdd)][_0x2d47f8['destaccountcode']]]&&(_0x59842a[_0x2d9bd1(0xc12)][_0x59842a[_0x2d9bd1(0x1fdd)][_0x2d47f8[_0x2d9bd1(0x7ea)]]]=_0x39641b()[_0x2d9bd1(0x1bd8)](_0x59842a[_0x2d9bd1(0xc12)][_0x59842a[_0x2d9bd1(0x1fdd)][_0x2d47f8['destaccountcode']]],[_0x2d9bd1(0x11cf),_0x2d9bd1(0x247)]),_0x59842a[_0x2d9bd1(0x212d)][_0x59842a[_0x2d9bd1(0x1fdd)][_0x2d47f8[_0x2d9bd1(0x7ea)]]]=_0x39641b()[_0x2d9bd1(0x1bd8)](_0x59842a[_0x2d9bd1(0x212d)][_0x59842a[_0x2d9bd1(0x1fdd)][_0x2d47f8[_0x2d9bd1(0x7ea)]]],[_0x2d9bd1(0x11cf),_0x2d9bd1(0x247)]));}function _0x333a20(_0x415044){const _0x217b18=_0x717f98;return _0x44593d[_0x217b18(0xebe)][_0x217b18(0x1366)]({'id':_0x415044['id'],'device':_0x217b18(0x217b),'agent_id':_0x415044['id'],'agent_name':_0x415044['name']})[_0x217b18(0x1d77)]['then'](function(){const _0x2048b3=_0x217b18;_0x1e068f[_0x2048b3(0x829)]({'title':_0x2048b3(0x23af),'msg':_0x415044[_0x2048b3(0x1d14)]+_0x2048b3(0x1540)}),_0x59842a[_0x2048b3(0xc12)][_0x415044['id']]&&(_0x59842a[_0x2048b3(0xc12)][_0x415044['id']][_0x2048b3(0xa28)]=![]),_0x59842a['rpcAgents'][_0x415044['id']]&&(_0x59842a['rpcAgents'][_0x415044['id']][_0x2048b3(0xa28)]=![]),_0x56b1ff();})['catch'](function(_0x425515){const _0x73bf06=_0x217b18;_0x1e068f[_0x73bf06(0x218e)]({'title':_0x425515[_0x73bf06(0x291)]?_0x73bf06(0xeb9)+_0x425515[_0x73bf06(0x291)]+_0x73bf06(0x1657)+_0x425515[_0x73bf06(0xc22)]:'api.staff.save','msg':_0x425515[_0x73bf06(0x25c)]?JSON[_0x73bf06(0x2701)](_0x425515['data']['message']):_0x425515[_0x73bf06(0x147f)]()});});}function _0x45c4ce(_0x177c79,_0x32ad5e){const _0x16d410=_0x717f98;return _0x44593d['user'][_0x16d410(0x785)]({'id':_0x177c79['id'],'type':_0x32ad5e})[_0x16d410(0x1d77)][_0x16d410(0x1cb0)](function(_0xf95d52){const _0x575da1=_0x16d410;_0x59842a[_0x575da1(0xc12)][_0x177c79['id']]&&_0x39641b()[_0x575da1(0x9c1)](_0x59842a[_0x575da1(0xc12)][_0x177c79['id']],_0x39641b()[_0x575da1(0x169b)](_0xf95d52,_0x3f22d7)),_0x59842a[_0x575da1(0x212d)][_0x177c79['id']]&&_0x39641b()[_0x575da1(0x9c1)](_0x59842a['rpcAgents'][_0x177c79['id']],_0x39641b()[_0x575da1(0x169b)](_0xf95d52,_0x3f22d7)),_0x26346b(_0x59842a[_0x575da1(0xc12)][_0x177c79['id']]);})[_0x16d410(0x1c4)](function(_0x245457){const _0x5609ef=_0x16d410;_0x1e068f[_0x5609ef(0x218e)]({'title':_0x245457[_0x5609ef(0x291)]?_0x5609ef(0xeb9)+_0x245457[_0x5609ef(0x291)]+_0x5609ef(0x1657)+_0x245457[_0x5609ef(0xc22)]:'api.staff.save','msg':_0x245457['data']?JSON[_0x5609ef(0x2701)](_0x245457[_0x5609ef(0x25c)]['message']):_0x245457[_0x5609ef(0x147f)]()});});}function _0x19913a(_0x227a05){const _0x186981=_0x717f98;return _0x44593d[_0x186981(0xebe)]['unpause']({'id':_0x227a05['id']})['$promise'][_0x186981(0x1cb0)](function(_0x18406e){const _0x2ecbf6=_0x186981;_0x59842a['agents'][_0x227a05['id']]&&_0x39641b()['merge'](_0x59842a[_0x2ecbf6(0xc12)][_0x227a05['id']],_0x39641b()[_0x2ecbf6(0x169b)](_0x18406e,_0x3f22d7)),_0x59842a[_0x2ecbf6(0x212d)][_0x227a05['id']]&&_0x39641b()[_0x2ecbf6(0x9c1)](_0x59842a['rpcAgents'][_0x227a05['id']],_0x39641b()[_0x2ecbf6(0x169b)](_0x18406e,_0x3f22d7)),_0x26346b(_0x59842a[_0x2ecbf6(0xc12)][_0x227a05['id']]);})[_0x186981(0x1c4)](function(_0x512f8f){const _0x3982ba=_0x186981;_0x1e068f[_0x3982ba(0x218e)]({'title':_0x512f8f['status']?_0x3982ba(0xeb9)+_0x512f8f['status']+_0x3982ba(0x1657)+_0x512f8f[_0x3982ba(0xc22)]:_0x3982ba(0xcd6),'msg':_0x512f8f[_0x3982ba(0x25c)]?JSON[_0x3982ba(0x2701)](_0x512f8f['data'][_0x3982ba(0x155e)]):_0x512f8f[_0x3982ba(0x147f)]()});});}function _0x14c576(_0x350d3d){const _0x13604f=_0x717f98;_0x445ece[_0x13604f(0xe27)](_0x445ece[_0x13604f(0x494)]()['clickOutsideToClose'](!![])[_0x13604f(0x1386)](_0x13604f(0x1bc1))[_0x13604f(0x49e)](_0x13604f(0x26a))['ok']('Ok')[_0x13604f(0x728)](_0x350d3d));}function _0x5cc5d4(_0x2c3829,_0x134345){const _0x20f84d=_0x717f98;_0x445ece['show']({'controller':_0x20f84d(0x7e6),'controllerAs':'vm','templateUrl':_0x535a6f,'parent':angular['element'](_0x1dff4a[_0x20f84d(0x1ed9)]),'targetEvent':_0x134345,'clickOutsideToClose':!![],'locals':{'agent':_0x2c3829,'agents':[],'channel':'voice','direction':_0x20f84d(0x895),'crudPermissions':_0x59842a[_0x20f84d(0x1b1a)]}});}function _0x2594ee(_0x6c81a8){const _0x7f8826=_0x717f98;return _0x6c81a8===_0x7f8826(0x785)||_0x6c81a8==='*pause';}function _0x1d3bf4(_0x23cf38){const _0x89dc6d=_0x717f98;return _0x23cf38===_0x89dc6d(0x785);}function _0x2c5037(_0x217ffd){return _0x217ffd==='*pause';}function _0x222a4f(_0xefd42b){const _0x49642d=_0x717f98;return _0xefd42b==='idle'||_0xefd42b===_0x49642d(0x1c9d)||_0xefd42b==='unavailable'||_0xefd42b===_0x49642d(0x1c7e)||_0x39641b()['isNil'](_0xefd42b);}function _0x54ab1e(_0x129ba1,_0x43a148){const _0x10585b=_0x717f98;if(_0x43a148==='voice'){if(_0x129ba1[_0x43a148+'Status']!==_0x10585b(0x8df))return _0x129ba1[_0x43a148+_0x10585b(0x938)];if(_0x129ba1[_0x43a148+_0x10585b(0x1e09)])return _0x129ba1[_0x43a148+_0x10585b(0x938)]=_0x10585b(0x785),_0x10585b(0x785);return _0x10585b(0x8df);}else{if(_0x129ba1[_0x43a148+_0x10585b(0x1e09)])return _0x129ba1[_0x43a148+'Status']=_0x10585b(0x785),'pause';return _0x129ba1[_0x43a148+_0x10585b(0x938)];}}function _0x26346b(_0x511845){const _0x2876bb=_0x717f98;let _0x51ece3=_0x2876bb(0x1c9d);if(_0x39641b()[_0x2876bb(0x1aa3)]([_0x54ab1e(_0x511845,_0x2876bb(0x1fd4)),_0x54ab1e(_0x511845,_0x2876bb(0x174c)),_0x54ab1e(_0x511845,'mail'),_0x54ab1e(_0x511845,_0x2876bb(0x15e0)),_0x54ab1e(_0x511845,_0x2876bb(0x689)),_0x54ab1e(_0x511845,_0x2876bb(0x2476)),_0x54ab1e(_0x511845,_0x2876bb(0x1944))],_0x2594ee))_0x51ece3=_0x2876bb(0x785);else{if(_0x39641b()[_0x2876bb(0x727)]([_0x54ab1e(_0x511845,_0x2876bb(0x1fd4)),_0x54ab1e(_0x511845,_0x2876bb(0x174c)),_0x54ab1e(_0x511845,_0x2876bb(0x2651)),_0x54ab1e(_0x511845,_0x2876bb(0x15e0)),_0x54ab1e(_0x511845,_0x2876bb(0x689)),_0x54ab1e(_0x511845,_0x2876bb(0x2476)),_0x54ab1e(_0x511845,_0x2876bb(0x1944))],_0x2594ee))_0x51ece3=_0x2876bb(0x1767);else _0x39641b()[_0x2876bb(0x1aa3)]([_0x54ab1e(_0x511845,'voice'),_0x54ab1e(_0x511845,'chat'),_0x54ab1e(_0x511845,_0x2876bb(0x2651)),_0x54ab1e(_0x511845,'openchannel'),_0x54ab1e(_0x511845,'sms'),_0x54ab1e(_0x511845,_0x2876bb(0x2476)),_0x54ab1e(_0x511845,'fax')],_0x222a4f)?_0x51ece3=_0x2876bb(0x8df):_0x51ece3=_0x2876bb(0x5b2);}_0x511845['globalStatus']!==_0x51ece3&&(_0x511845['globalStatus']=_0x51ece3,_0x511845['init']?(_0x511845[_0x2876bb(0x1a8e)]=![],_0x511845[_0x2876bb(0xdb9)]=_0x2594ee(_0x51ece3)?_0x39641b()[_0x2876bb(0x106d)](_0x543b5a()(_0x511845[_0x2876bb(0x25db)])[_0x2876bb(0x1f31)]('x')):_0x39641b()['max']([_0x511845[_0x2876bb(0xc9c)],_0x511845[_0x2876bb(0x1b11)],_0x511845['mailStatusTime'],_0x511845['smsStatusTime'],_0x511845[_0x2876bb(0x2064)],_0x511845[_0x2876bb(0x22a6)],_0x511845['faxStatusTime']])):_0x511845['globalStatusTime']=_0x39641b()[_0x2876bb(0x106d)](_0x543b5a()()['format']('x')));}function _0x2f1c47(_0x2303ee){const _0xad64f4=_0x717f98,_0x54edc2=_0x39641b()[_0xad64f4(0x1f2c)](_0x59842a['query'][_0xad64f4(0x237f)],'-')?'desc':_0xad64f4(0x24cc);return _0x39641b()[_0xad64f4(0x17ca)](_0x2303ee,[_0x59842a[_0xad64f4(0xae2)][_0xad64f4(0x237f)]['replace']('-','')],[_0x54edc2]);}function _0x25d0ef(){const _0x11147b=_0x717f98;_0x37dbf9&&(_0x1e434c[_0x11147b(0x696)](_0x37dbf9),_0x37dbf9=null);}function _0x246e9f(_0x4c86bb,_0x3f3d56){const _0x2decd6=_0x717f98;return _0x44593d[_0x2decd6(0xebe)]['update']({'id':_0x4c86bb['id']},{'screenrecording':_0x3f3d56})[_0x2decd6(0x1d77)][_0x2decd6(0x1c4)](function(_0x523329){const _0x21233f=_0x2decd6;_0x1e068f['error']({'title':_0x523329[_0x21233f(0x291)]?_0x21233f(0xeb9)+_0x523329[_0x21233f(0x291)]+_0x21233f(0x1657)+_0x523329[_0x21233f(0xc22)]:_0x21233f(0x498),'msg':_0x523329[_0x21233f(0x25c)]?JSON[_0x21233f(0x2701)](_0x523329[_0x21233f(0x25c)][_0x21233f(0x155e)]):_0x523329[_0x21233f(0x147f)]()});});}_0x22009d[_0x717f98(0x1d6)](_0x717f98(0x291c),function(){const _0x426a1f=_0x717f98;_0x307adf['removeAllListeners'](_0x426a1f(0x2464)),_0x307adf[_0x426a1f(0xfb8)](_0x426a1f(0x14c6)),_0x307adf[_0x426a1f(0xfb8)](_0x426a1f(0x2290)),_0x307adf['removeAllListeners'](_0x426a1f(0x10b3)),_0x25d0ef();});}const _0x500861=_0x4ce94f;;const _0x20c5fa=_0x5074a3['p']+_0x5537c6(0x934);;_0x2716cd['$inject']=['$scope',_0x5537c6(0x2168),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x1714),'rpcCampaigns',_0x5537c6(0xd5b),_0x5537c6(0x142b),_0x5537c6(0x1986),_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x1774)];function _0x2716cd(_0x4c77ec,_0x34ade0,_0x5c552d,_0x3ac356,_0x4a535c,_0x3b5914,_0x23ddff,_0x27863c,_0x69dbcb,_0x16a7dc,_0x4c4a53,_0x479284){const _0x5b1b81=_0x5537c6,_0x115dde=this,_0x4c65c8=['name','active','limitCalls',_0x5b1b81(0x155e),'originated',_0x5b1b81(0x1a60),_0x5b1b81(0x1544),_0x5b1b81(0xf67),_0x5b1b81(0x7ab),_0x5b1b81(0xd30),_0x5b1b81(0x100e),_0x5b1b81(0x285e),_0x5b1b81(0x2c1)];_0x115dde['currentUser']=_0x479284[_0x5b1b81(0x21e8)](),_0x115dde[_0x5b1b81(0x184d)]=_0x23ddff[_0x5b1b81(0x184d)],_0x115dde['campaigns']=_0x23ddff?_0x39641b()[_0x5b1b81(0x2631)](_0x23ddff[_0x5b1b81(0x2214)]?_0x23ddff['rows']:[],'id'):{},_0x115dde[_0x5b1b81(0x4db)]=_0x3b5914?_0x39641b()[_0x5b1b81(0x2631)](_0x3b5914[_0x5b1b81(0x2214)]?_0x3b5914[_0x5b1b81(0x2214)]:[],'id'):{},_0x115dde[_0x5b1b81(0x44a)]=_0x16a7dc,_0x115dde[_0x5b1b81(0x2199)]=_0x4c4a53&&_0x4c4a53['count']==0x1?_0x4c4a53['rows'][0x0]:null,_0x115dde[_0x5b1b81(0x1b1a)]=_0x479284[_0x5b1b81(0x14ea)](_0x115dde[_0x5b1b81(0x2199)]?_0x115dde[_0x5b1b81(0x2199)][_0x5b1b81(0x1b1a)]:null),_0x115dde['query']={'sort':_0x5b1b81(0x282),'limit':0xa,'page':0x1},_0x115dde[_0x5b1b81(0x829)]=_0x261753,_0x115dde[_0x5b1b81(0x420)]=_0x2128fb,_0x115dde[_0x5b1b81(0x294c)]=_0x11b582,_0x115dde['$onInit']=_0x3d5233,_0x115dde[_0x5b1b81(0xd21)]=_0x3848df,_0x69dbcb[_0x5b1b81(0x16b7)](_0x5b1b81(0xe9f),_0x115dde['onSave']),_0x115dde[_0x5b1b81(0x1ac8)]=_0x4a535c(function(){},0x3e7);function _0x3d5233(){const _0x1eb504=_0x5b1b81;_0x39641b()[_0x1eb504(0x1ebd)](_0x115dde['campaigns'],function(_0x6b186e,_0x371d50){const _0x48bff9=_0x1eb504;_0x115dde[_0x48bff9(0x4db)][_0x371d50]&&_0x39641b()[_0x48bff9(0x9c1)](_0x6b186e,_0x39641b()[_0x48bff9(0x169b)](_0x115dde[_0x48bff9(0x4db)][_0x371d50],_0x4c65c8));});}function _0x11b582(_0x2e7612){const _0x3f5e30=_0x5b1b81;_0x115dde[_0x3f5e30(0xd5b)][_0x2e7612['id']]&&(_0x39641b()[_0x3f5e30(0x9c1)](_0x115dde[_0x3f5e30(0xd5b)][_0x2e7612['id']],_0x39641b()[_0x3f5e30(0x169b)](_0x2e7612,_0x4c65c8)),_0x39641b()[_0x3f5e30(0x9c1)](_0x115dde[_0x3f5e30(0x4db)][_0x2e7612['id']],_0x39641b()['pick'](_0x2e7612,_0x4c65c8)));}let _0x128faf=!![],_0x5913f7=0x1;_0x4c77ec['$watch'](_0x5b1b81(0x957),function(_0x4def42,_0x151c6d){const _0xfc99e1=_0x5b1b81;_0x128faf?_0x34ade0(function(){_0x128faf=![];}):(!_0x151c6d&&(_0x5913f7=_0x115dde[_0xfc99e1(0xae2)][_0xfc99e1(0x1c7b)]),_0x4def42!==_0x151c6d&&(_0x115dde[_0xfc99e1(0xae2)][_0xfc99e1(0x1c7b)]=0x1),!_0x4def42&&(_0x115dde[_0xfc99e1(0xae2)]['page']=_0x5913f7),_0x115dde[_0xfc99e1(0x420)]());});function _0x261753(_0x538db1){const _0x262896=_0x5b1b81;_0x115dde[_0x262896(0x184d)]=_0x538db1[_0x262896(0x184d)],_0x115dde[_0x262896(0xd5b)]=_0x538db1?_0x39641b()[_0x262896(0x2631)](_0x538db1[_0x262896(0x2214)]?_0x538db1[_0x262896(0x2214)]:[],'id'):{},_0x3d5233();}function _0x2128fb(){const _0x1ffcd0=_0x5b1b81;_0x115dde[_0x1ffcd0(0xae2)][_0x1ffcd0(0x184b)]=(_0x115dde[_0x1ffcd0(0xae2)][_0x1ffcd0(0x1c7b)]-0x1)*_0x115dde['query']['limit'],_0x479284['hasRole'](_0x1ffcd0(0x1c60))?_0x115dde[_0x1ffcd0(0x2061)]=_0x27863c[_0x1ffcd0(0x218c)][_0x1ffcd0(0xbf7)](_0x115dde[_0x1ffcd0(0xae2)],_0x261753)[_0x1ffcd0(0x1d77)]:(_0x115dde[_0x1ffcd0(0xae2)]['id']=_0x115dde['userProfile']['id'],_0x115dde[_0x1ffcd0(0xae2)]['section']='IvrCampaigns',_0x115dde['promise']=_0x27863c[_0x1ffcd0(0x44a)][_0x1ffcd0(0x1810)](_0x115dde[_0x1ffcd0(0xae2)],_0x261753)[_0x1ffcd0(0x1d77)]);}function _0x3848df(_0xe990f0,_0x200a47){const _0x3c139f=_0x5b1b81;_0x5c552d[_0x3c139f(0xe27)]({'controller':_0x3c139f(0x1f29),'controllerAs':'vm','templateUrl':_0x20c5fa,'parent':angular[_0x3c139f(0x1853)](_0x3ac356[_0x3c139f(0x1ed9)]),'targetEvent':_0xe990f0,'clickOutsideToClose':!![],'locals':{'ivrCampaign':_0x200a47,'ivrCampaigns':_0x39641b()[_0x3c139f(0x27aa)](_0x115dde[_0x3c139f(0xd5b)]),'license':null,'setting':null,'crudPermissions':_0x115dde['crudPermissions']}});}_0x4c77ec[_0x5b1b81(0x1d6)](_0x5b1b81(0x291c),function(){const _0x118a29=_0x5b1b81;_0x69dbcb[_0x118a29(0xfb8)]('campaign:save'),_0x115dde[_0x118a29(0x1ac8)]&&_0x4a535c[_0x118a29(0x696)](_0x115dde['interval']);});}const _0x6037a7=_0x2716cd;;_0x5d787c[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$timeout',_0x5537c6(0xcb9),_0x5537c6(0xc12),_0x5537c6(0x971),'rpcVoiceQueuesChannels',_0x5537c6(0x142b),'socket',_0x5537c6(0x125c),_0x5537c6(0x9bf),'userProfile',_0x5537c6(0x2199),_0x5537c6(0x1774)];function _0x5d787c(_0x3d3200,_0x2ac1ff,_0x2cac31,_0x352c6f,_0x24db2d,_0x136783,_0x524212,_0x439214,_0x391ec9,_0x4e1c69,_0x48adb5,_0x268451,_0x3ddf88){const _0x24f35f=_0x5537c6,_0x3d09d4=this;_0x3d09d4['currentUser']=_0x3ddf88[_0x24f35f(0x21e8)](),_0x3d09d4[_0x24f35f(0xc12)]=_0x352c6f||{'count':0x0,'rows':[]},_0x3d09d4[_0x24f35f(0x971)]=_0x24db2d?_0x39641b()[_0x24f35f(0x2631)](_0x24db2d[_0x24f35f(0x2214)]?_0x24db2d[_0x24f35f(0x2214)]:[],'name'):{},_0x3d09d4[_0x24f35f(0x182d)]=_0x136783?_0x39641b()[_0x24f35f(0x2631)](_0x136783['rows']?_0x39641b()[_0x24f35f(0x1c99)](_0x136783[_0x24f35f(0x2214)],{'type':_0x24f35f(0x895)}):[],_0x24f35f(0x1425)):{},_0x3d09d4[_0x24f35f(0x4b1)]={},_0x3d09d4[_0x24f35f(0x44a)]=_0x48adb5,_0x3d09d4[_0x24f35f(0x2199)]=_0x268451&&_0x268451['count']==0x1?_0x268451['rows'][0x0]:null,_0x3d09d4[_0x24f35f(0x1b1a)]=_0x3ddf88[_0x24f35f(0x14ea)](_0x3d09d4[_0x24f35f(0x2199)]?_0x3d09d4['userProfileSection'][_0x24f35f(0x1b1a)]:null),_0x3d09d4['queryChannels']={'limit':0xa,'page':0x1},_0x3d09d4[_0x24f35f(0x265)]=_0x3ed0c9,_0x3d09d4[_0x24f35f(0x129c)]=_0x23483c,_0x3d09d4[_0x24f35f(0x294c)]=_0x2cd0f7,_0x3d09d4['onRemove']=_0x424f49,_0x3d09d4[_0x24f35f(0x15e3)]=_0x382254,_0x3d09d4[_0x24f35f(0xf9a)]=_0x35527d,_0x3d09d4[_0x24f35f(0x1f22)]=_0x573ec4,_0x439214['on']('voice_queue_channel:save',_0x3d09d4['onSave']),_0x439214['on']('voice_queue_channel:remove',_0x3d09d4[_0x24f35f(0x18c8)]);function _0x3ed0c9(_0x4e63a4){return _0x39641b()['size'](_0x4e63a4);}function _0x23483c(){const _0x4e2c73=_0x24f35f;_0x39641b()[_0x4e2c73(0x1ebd)](_0x3d09d4[_0x4e2c73(0x182d)],function(_0x8d6456,_0x526909){const _0x2bb6bc=_0x4e2c73;_0x39641b()[_0x2bb6bc(0x13b4)](_0x3d09d4[_0x2bb6bc(0x971)],{'name':_0x8d6456['name']})&&(_0x3d09d4[_0x2bb6bc(0x4b1)][_0x526909]=_0x8d6456);});}function _0x2cd0f7(_0x56c378){const _0x576c08=_0x24f35f;_0x56c378[_0x576c08(0x66a)]===_0x576c08(0x895)&&(_0x39641b()[_0x576c08(0x13b4)](_0x3d09d4[_0x576c08(0x971)],{'name':_0x56c378[_0x576c08(0x16b6)]})&&(_0x3d09d4['rpcVoiceQueuesChannels'][_0x56c378[_0x576c08(0x1425)]]=_0x56c378));}function _0x424f49(_0x1aef1e){const _0x25182d=_0x24f35f;_0x3d09d4[_0x25182d(0x4b1)][_0x1aef1e[_0x25182d(0x1425)]]&&delete _0x3d09d4['rpcVoiceQueuesChannels'][_0x1aef1e['uniqueid']];}function _0x382254(_0x2aab73){const _0x38ffb6=_0x24f35f;return _0x524212['rpc'][_0x38ffb6(0x2352)]({'uniqueid':_0x2aab73})[_0x38ffb6(0x1d77)]['then'](function(_0x280fa5){const _0x2f7491=_0x38ffb6;_0x4e1c69[_0x2f7491(0x829)]({'title':'Channel\x20'+_0x2aab73+_0x2f7491(0x2696),'msg':_0x280fa5[_0x2f7491(0x155e)]||''});})[_0x38ffb6(0x1c4)](function(_0x4ace37){const _0xb049d8=_0x38ffb6;_0x4e1c69[_0xb049d8(0x218e)]({'title':_0x4ace37[_0xb049d8(0x291)]+_0xb049d8(0x1657)+_0x4ace37['statusText'],'msg':JSON[_0xb049d8(0x2701)](_0x4ace37[_0xb049d8(0x25c)])||_0x4ace37[_0xb049d8(0x155e)][_0xb049d8(0x1f66)]('\x20')});});}function _0x35527d(_0x238ce6,_0xffe84a,_0x1c0c12){const _0x24e321=_0x24f35f;return _0x524212[_0x24e321(0x1a00)][_0x24e321(0xc58)]({'uniqueid':_0x238ce6,'exten':_0xffe84a,'context':_0x1c0c12})['$promise']['then'](function(_0xb02bd1){const _0x2cceef=_0x24e321;_0x4e1c69['success']({'title':'Channel\x20'+_0x238ce6+_0x2cceef(0x208c),'msg':_0xb02bd1[_0x2cceef(0x155e)]||''});})[_0x24e321(0x1c4)](function(_0x3dc2eb){const _0x4312db=_0x24e321;_0x4e1c69[_0x4312db(0x218e)]({'title':_0x3dc2eb['status']+_0x4312db(0x1657)+_0x3dc2eb[_0x4312db(0xc22)],'msg':JSON['stringify'](_0x3dc2eb[_0x4312db(0x25c)])||_0x3dc2eb[_0x4312db(0x155e)][_0x4312db(0x1f66)]('\x20')});});}function _0x573ec4(_0xbb515a,_0x330a81){const _0x23c775=_0x24f35f,_0x31defe=_0x2cac31[_0x23c775(0x2344)]()[_0x23c775(0x1386)](_0x23c775(0x252e))[_0x23c775(0x161f)](_0x23c775(0x1fef))[_0x23c775(0x728)](_0xbb515a)['ok']('Ok')['cancel'](_0x23c775(0xde1));return _0x2cac31['show'](_0x31defe)[_0x23c775(0x1cb0)](function(_0x750126){const _0xdb6501=_0x23c775;return _0x524212[_0xdb6501(0x1a00)][_0xdb6501(0xc58)]({'uniqueid':_0x330a81,'exten':_0x750126})['$promise'];})[_0x23c775(0x1cb0)](function(_0x1da1c0){const _0x54d225=_0x23c775;_0x4e1c69['success']({'title':_0x54d225(0x25af)+_0x330a81+_0x54d225(0x208c),'msg':_0x1da1c0[_0x54d225(0x155e)]||''});})['catch'](function(_0x28e31e){const _0x4e38fa=_0x23c775;_0x4e1c69[_0x4e38fa(0x218e)]({'title':_0x28e31e[_0x4e38fa(0x291)]+_0x4e38fa(0x1657)+_0x28e31e[_0x4e38fa(0xc22)],'msg':JSON[_0x4e38fa(0x2701)](_0x28e31e['data'])||_0x28e31e[_0x4e38fa(0x155e)][_0x4e38fa(0x1f66)]('\x20')});});}_0x3d3200['$on'](_0x24f35f(0x291c),function(){const _0x1709f8=_0x24f35f;_0x439214[_0x1709f8(0xfb8)](_0x1709f8(0x338)),_0x439214['removeAllListeners']('voice_queue_channel:remove');});}const _0x467fcf=_0x5d787c;;_0x116b67[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x2168),'$interval',_0x5537c6(0x971),'rpcQueues','api',_0x5537c6(0x1986),_0x5537c6(0x44a),'userProfileSection',_0x5537c6(0x1774)];function _0x116b67(_0x578e89,_0x382257,_0xeadc9d,_0x249fe1,_0x558533,_0x57e9a3,_0x4f536c,_0x5c6225,_0x28854f,_0x4e4d56){const _0x1b48ca=_0x5537c6,_0x561d28=this,_0x4a4500=[_0x1b48ca(0x181c),'answered',_0x1b48ca(0x1bfa),_0x1b48ca(0x9f8),'sumBillable','outboundNoAnswerCallsDay',_0x1b48ca(0x26af),'outboundCongestionCallsDay',_0x1b48ca(0x20e6),'outboundUnknownCallsDay',_0x1b48ca(0x2450),_0x1b48ca(0x27cb),_0x1b48ca(0x661),_0x1b48ca(0x14d6),_0x1b48ca(0x1f24),_0x1b48ca(0x2368),'outboundRejectCallsDay'];_0x561d28[_0x1b48ca(0x184d)]=_0x249fe1[_0x1b48ca(0x184d)],_0x561d28[_0x1b48ca(0x971)]=_0x249fe1?_0x39641b()['keyBy'](_0x249fe1[_0x1b48ca(0x2214)]?_0x249fe1[_0x1b48ca(0x2214)]:[],'id'):{},_0x561d28['rpcQueues']=_0x558533?_0x39641b()['keyBy'](_0x558533[_0x1b48ca(0x2214)]?_0x558533[_0x1b48ca(0x2214)]:[],'id'):{},_0x561d28[_0x1b48ca(0x44a)]=_0x5c6225,_0x561d28['userProfileSection']=_0x28854f&&_0x28854f['count']==0x1?_0x28854f['rows'][0x0]:null,_0x561d28[_0x1b48ca(0x1b1a)]=_0x4e4d56['parseCrudPermissions'](_0x561d28[_0x1b48ca(0x2199)]?_0x561d28[_0x1b48ca(0x2199)][_0x1b48ca(0x1b1a)]:null);_0x4e4d56[_0x1b48ca(0x22b6)](_0x1b48ca(0x1c60))?_0x561d28[_0x1b48ca(0xae2)]={'type':'outbound','fields':_0x1b48ca(0x43c),'sort':'-updatedAt','limit':0xa,'page':0x1}:_0x561d28['query']={'id':_0x4e4d56[_0x1b48ca(0x21e8)]()['id'],'channel':'voice','type':'outbound','fields':_0x1b48ca(0x43c),'sort':'-updatedAt','limit':0xa,'page':0x1};_0x561d28['success']=_0x4e9da8,_0x561d28[_0x1b48ca(0x13e9)]=_0x22271f,_0x561d28[_0x1b48ca(0x294c)]=_0x4df52d,_0x561d28[_0x1b48ca(0x129c)]=_0xd42236,_0x4f536c[_0x1b48ca(0x16b7)](_0x1b48ca(0x82a),_0x561d28['onSave']),_0x561d28['interval']=_0xeadc9d(function(){},0x3e7);function _0xd42236(){const _0x26fa1c=_0x1b48ca;_0x39641b()[_0x26fa1c(0x1ebd)](_0x561d28[_0x26fa1c(0x971)],function(_0x53de80,_0x481a27){const _0x4ca42c=_0x26fa1c;_0x561d28[_0x4ca42c(0x2255)][_0x481a27]&&_0x39641b()['merge'](_0x53de80,_0x39641b()[_0x4ca42c(0x169b)](_0x561d28[_0x4ca42c(0x2255)][_0x481a27],_0x4a4500));});}function _0x4df52d(_0x886500){const _0x228466=_0x1b48ca;_0x561d28[_0x228466(0x971)][_0x886500['id']]&&_0x39641b()[_0x228466(0x9c1)](_0x561d28[_0x228466(0x971)][_0x886500['id']],_0x39641b()[_0x228466(0x169b)](_0x886500,_0x4a4500));}let _0x2a4f50=!![],_0x280509=0x1;_0x578e89['$watch']('vm.query.filter',function(_0x26d31a,_0x408537){const _0x816b97=_0x1b48ca;_0x2a4f50?_0x382257(function(){_0x2a4f50=![];}):(!_0x408537&&(_0x280509=_0x561d28[_0x816b97(0xae2)][_0x816b97(0x1c7b)]),_0x26d31a!==_0x408537&&(_0x561d28['query']['page']=0x1),!_0x26d31a&&(_0x561d28['query'][_0x816b97(0x1c7b)]=_0x280509),_0x561d28[_0x816b97(0x13e9)]());});function _0x4e9da8(_0x2f935f){const _0x5cab34=_0x1b48ca;_0x561d28[_0x5cab34(0x184d)]=_0x2f935f[_0x5cab34(0x184d)],_0x561d28[_0x5cab34(0x971)]=_0x2f935f?_0x39641b()['keyBy'](_0x2f935f[_0x5cab34(0x2214)]?_0x2f935f[_0x5cab34(0x2214)]:[],'id'):{},_0xd42236();}function _0x22271f(){const _0x528c26=_0x1b48ca;_0x561d28[_0x528c26(0xae2)][_0x528c26(0x184b)]=(_0x561d28[_0x528c26(0xae2)][_0x528c26(0x1c7b)]-0x1)*_0x561d28[_0x528c26(0xae2)][_0x528c26(0x236)],_0x4e4d56[_0x528c26(0x22b6)](_0x528c26(0x1c60))?_0x561d28['promise']=_0x57e9a3['voiceQueue'][_0x528c26(0xbf7)](_0x561d28['query'],_0x4e9da8)[_0x528c26(0x1d77)]:(_0x561d28[_0x528c26(0xae2)]['id']=_0x561d28[_0x528c26(0x44a)]['id'],_0x561d28[_0x528c26(0xae2)][_0x528c26(0x1f74)]=_0x528c26(0x1e28),_0x561d28['promise']=_0x57e9a3['userProfile'][_0x528c26(0x1810)](_0x561d28['query'],_0x4e9da8)[_0x528c26(0x1d77)]);}_0x578e89[_0x1b48ca(0x1d6)]('$destroy',function(){const _0x56cee3=_0x1b48ca;_0x4f536c[_0x56cee3(0xfb8)]('voice_queue:save'),_0x561d28['interval']&&_0xeadc9d['cancel'](_0x561d28['interval']);});}const _0x154e45=_0x116b67;;const _0x810686=_0x5074a3['p']+_0x5537c6(0x2719);;const _0x5ae697=_0x5074a3['p']+'src/js/modules/main/apps/motiondialer/views/realtime/queues/predictive/dialog.html/dialog.html';;const _0x192df6=_0x5074a3['p']+_0x5537c6(0x1199);;_0x370cd4[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$timeout',_0x5537c6(0xcb9),'$q',_0x5537c6(0x22bf),_0x5537c6(0x1714),_0x5537c6(0x971),_0x5537c6(0x2255),_0x5537c6(0x142b),_0x5537c6(0x1986),'toasty',_0x5537c6(0x44a),'userProfileSection',_0x5537c6(0x1774)];function _0x370cd4(_0x39e7c7,_0x3cbe35,_0x29efe8,_0x497e3f,_0xeca83e,_0x5b615b,_0x4084d8,_0x222ca6,_0x28f2ad,_0x387f8a,_0x2655c3,_0x141add,_0x4f75e6,_0x3e8de4){const _0x4db2e7=_0x5537c6,_0x267865=this,_0x1786a5=[_0x4db2e7(0x22dc),_0x4db2e7(0x2803),'waiting','talking',_0x4db2e7(0xfb2),_0x4db2e7(0x2315),_0x4db2e7(0x155e),_0x4db2e7(0x737),_0x4db2e7(0xda8),_0x4db2e7(0xf67),'paused',_0x4db2e7(0x1b87),'startProgressive','erlangCalls',_0x4db2e7(0x15ac),_0x4db2e7(0x20a2),'erlangBusyFactor','dialPredictiveInterval',_0x4db2e7(0x124e),_0x4db2e7(0x171),_0x4db2e7(0x24d9),'predictiveIntervalTotalCalls',_0x4db2e7(0xdb2),_0x4db2e7(0x1840),_0x4db2e7(0x217e),_0x4db2e7(0x1ded),_0x4db2e7(0x2579)];_0x267865[_0x4db2e7(0xe76)]=_0x3e8de4['getCurrentUser'](),_0x267865['count']=_0x4084d8[_0x4db2e7(0x184d)]?_0x4084d8[_0x4db2e7(0x184d)]:0x0,_0x267865[_0x4db2e7(0x971)]=_0x4084d8?_0x39641b()[_0x4db2e7(0x2631)](_0x4084d8['rows']?_0x4084d8['rows']:[],'id'):{},_0x267865[_0x4db2e7(0x2255)]=_0x222ca6?_0x39641b()[_0x4db2e7(0x2631)](_0x222ca6['rows']?_0x222ca6[_0x4db2e7(0x2214)]:[],'id'):{},_0x267865['userProfile']=_0x141add,_0x267865[_0x4db2e7(0x2199)]=_0x4f75e6&&_0x4f75e6[_0x4db2e7(0x184d)]==0x1?_0x4f75e6['rows'][0x0]:null,_0x267865['crudPermissions']=_0x3e8de4[_0x4db2e7(0x14ea)](_0x267865[_0x4db2e7(0x2199)]?_0x267865[_0x4db2e7(0x2199)][_0x4db2e7(0x1b1a)]:null);_0x3e8de4[_0x4db2e7(0x22b6)]('admin')?_0x267865[_0x4db2e7(0xae2)]={'type':'outbound','sort':_0x4db2e7(0x282),'limit':0xa,'page':0x1}:_0x267865['query']={'id':_0x267865[_0x4db2e7(0xe76)]['id'],'channel':_0x4db2e7(0x1fd4),'type':_0x4db2e7(0x895),'sort':_0x4db2e7(0x282),'limit':0xa,'page':0x1};_0x267865[_0x4db2e7(0x829)]=_0x48b6d4,_0x267865[_0x4db2e7(0x13e9)]=_0x9ef491,_0x267865[_0x4db2e7(0x56d)]=_0x197f52,_0x267865[_0x4db2e7(0x20ec)]=_0x1584d6,_0x267865[_0x4db2e7(0x1be7)]=_0x84acca,_0x267865['updateQueue']=_0x324733,_0x267865['onSave']=_0x1ce8fa,_0x267865[_0x4db2e7(0xc88)]=_0x38957f,_0x267865[_0x4db2e7(0x18e4)]=_0x4c2119,_0x267865[_0x4db2e7(0x26c9)]=_0x4c4ced,_0x267865['onLogout']=_0x5f5d22,_0x267865[_0x4db2e7(0x129c)]=_0x3d8b8d,_0x267865['order']=[],_0x39641b()[_0x4db2e7(0x1ebd)](_0x267865[_0x4db2e7(0x971)],function(_0x3e2afe){_0x267865['order']['push'](_0x3e2afe['id']);}),_0x387f8a[_0x4db2e7(0x16b7)](_0x4db2e7(0x82a),_0x267865['onSave']),_0x267865['interval']=_0x5b615b(function(){},0x3e7),_0x387f8a['on'](_0x4db2e7(0x398),_0x267865[_0x4db2e7(0xc88)]),_0x387f8a['on'](_0x4db2e7(0x14c6),_0x267865[_0x4db2e7(0x18e4)]),_0x387f8a['on']('userVoiceQueue:remove',_0x267865[_0x4db2e7(0x3be)]),_0x387f8a['on'](_0x4db2e7(0x828),_0x267865['onLogin']);function _0x3d8b8d(){const _0x243323=_0x4db2e7,_0x2f2ec6=[];return _0x39641b()[_0x243323(0x1ebd)](_0x267865[_0x243323(0x971)],function(_0x4e6365,_0x3158da){const _0x4dcbb8=_0x243323;_0x4e6365[_0x4dcbb8(0x139c)]=0x0,_0x4e6365[_0x4dcbb8(0x29a2)]=0x0,_0x267865[_0x4dcbb8(0x971)][_0x3158da][_0x4dcbb8(0xc12)]={},_0x2f2ec6[_0x4dcbb8(0x2785)](_0x28f2ad[_0x4dcbb8(0x26b3)][_0x4dcbb8(0x11dd)]({'id':_0x3158da})[_0x4dcbb8(0x1d77)]),_0x267865[_0x4dcbb8(0x2255)][_0x3158da]&&_0x39641b()[_0x4dcbb8(0x9c1)](_0x4e6365,_0x39641b()[_0x4dcbb8(0x169b)](_0x267865[_0x4dcbb8(0x2255)][_0x3158da],_0x1786a5));}),_0x497e3f[_0x243323(0x223b)](_0x2f2ec6)['then'](function(_0x3373ac){const _0xff0670=_0x243323;for(let _0x322fbe=0x0;_0x322fbe<_0x3373ac[_0xff0670(0xfd0)];_0x322fbe+=0x1){for(let _0x5a2431=0x0;_0x5a2431<_0x3373ac[_0x322fbe]['rows'][_0xff0670(0xfd0)];_0x5a2431++){_0x3373ac[_0x322fbe]['rows'][_0x5a2431][_0xff0670(0x139c)]&&_0x267865[_0xff0670(0x971)][_0x3373ac[_0x322fbe][_0xff0670(0x2214)][_0x5a2431][_0xff0670(0x1116)]][_0xff0670(0x139c)]++,_0x267865[_0xff0670(0x971)][_0x3373ac[_0x322fbe][_0xff0670(0x2214)][_0x5a2431]['VoiceQueueId']][_0xff0670(0x29a2)]++,_0x267865[_0xff0670(0x971)][_0x3373ac[_0x322fbe][_0xff0670(0x2214)][_0x5a2431][_0xff0670(0x1116)]]['agents'][_0x3373ac[_0x322fbe][_0xff0670(0x2214)][_0x5a2431][_0xff0670(0x21ab)]]=_0x3373ac[_0x322fbe]['rows'][_0x5a2431][_0xff0670(0x1116)];}}})[_0x243323(0x1c4)](function(_0x1fb68e){console['error'](_0x1fb68e);});}function _0x1ce8fa(_0x86c6e5){const _0x244904=_0x4db2e7;_0x267865[_0x244904(0x971)][_0x86c6e5['id']]&&(_0x39641b()[_0x244904(0x9c1)](_0x267865['queues'][_0x86c6e5['id']],_0x39641b()['pick'](_0x86c6e5,_0x1786a5)),_0x39641b()[_0x244904(0x958)](_0x86c6e5[_0x244904(0x1b87)])&&(_0x267865[_0x244904(0x971)][_0x86c6e5['id']][_0x244904(0x1b87)]=undefined));}function _0x38957f(_0x3bb09d){const _0x4dfd56=_0x4db2e7;_0x267865[_0x4dfd56(0x971)][_0x3bb09d[_0x4dfd56(0x2240)]]&&_0x267865['queues'][_0x3bb09d[_0x4dfd56(0x2240)]][_0x4dfd56(0x29a2)]++;}function _0x4c2119(_0xce68d8){const _0x428063=_0x4db2e7;console[_0x428063(0x1b4f)]('onPause',_0xce68d8);if(_0xce68d8[_0x428063(0x2478)])_0x39641b()[_0x428063(0x1ebd)](_0x267865[_0x428063(0x971)],function(_0x16c50a){const _0x297bb0=_0x428063;_0x16c50a[_0x297bb0(0xc12)][_0xce68d8['id']]&&(_0x16c50a[_0x297bb0(0x139c)]+=0x1,console[_0x297bb0(0x1b4f)](_0x297bb0(0x785)));});else!_0xce68d8[_0x428063(0x2478)]&&_0x39641b()['forIn'](_0x267865[_0x428063(0x971)],function(_0x1259e0){const _0x3e6ebe=_0x428063;_0x1259e0[_0x3e6ebe(0xc12)][_0xce68d8['id']]&&(_0x1259e0[_0x3e6ebe(0x139c)]>0x0&&(_0x1259e0[_0x3e6ebe(0x139c)]-=0x1,console[_0x3e6ebe(0x1b4f)](_0x3e6ebe(0xf5d))));});}function _0x4c4ced(_0x4839e3){const _0x4f4de3=_0x4db2e7;console[_0x4f4de3(0x1b4f)](_0x4f4de3(0x2557),_0x4839e3),_0x267865[_0x4f4de3(0x971)][_0x4839e3[_0x4f4de3(0x1116)]]&&(_0x267865[_0x4f4de3(0x971)][_0x4839e3[_0x4f4de3(0x1116)]][_0x4f4de3(0x29a2)]+=0x1,_0x267865[_0x4f4de3(0x971)][_0x4839e3[_0x4f4de3(0x1116)]]['agents'][_0x4839e3[_0x4f4de3(0x21ab)]]=_0x4839e3[_0x4f4de3(0x1116)]);}function _0x5f5d22(_0x54399b){const _0x5081c5=_0x4db2e7;console['log']('onLogout',_0x54399b),_0x267865[_0x5081c5(0x971)][_0x54399b[_0x5081c5(0x1116)]]&&_0x267865[_0x5081c5(0x971)][_0x54399b[_0x5081c5(0x1116)]][_0x5081c5(0x29a2)]>0x0&&(_0x267865[_0x5081c5(0x971)][_0x54399b['VoiceQueueId']]['loggedInDb']-=0x1,delete _0x267865[_0x5081c5(0x971)][_0x54399b[_0x5081c5(0x1116)]][_0x5081c5(0xc12)][_0x54399b[_0x5081c5(0x21ab)]]);}let _0x152394=!![],_0x1b50b7=0x1;_0x39e7c7['$watch'](_0x4db2e7(0x957),function(_0x4b0ec8,_0x26a9ed){const _0x5146c4=_0x4db2e7;_0x152394?_0x3cbe35(function(){_0x152394=![];}):(!_0x26a9ed&&(_0x1b50b7=_0x267865[_0x5146c4(0xae2)][_0x5146c4(0x1c7b)]),_0x4b0ec8!==_0x26a9ed&&(_0x267865[_0x5146c4(0xae2)][_0x5146c4(0x1c7b)]=0x1),!_0x4b0ec8&&(_0x267865['query'][_0x5146c4(0x1c7b)]=_0x1b50b7),_0x267865[_0x5146c4(0x13e9)]());});function _0x48b6d4(_0x2ed07b){const _0x34b937=_0x4db2e7;_0x267865[_0x34b937(0x184d)]=_0x2ed07b[_0x34b937(0x184d)],_0x267865[_0x34b937(0x971)]=_0x2ed07b?_0x39641b()[_0x34b937(0x2631)](_0x2ed07b[_0x34b937(0x2214)]?_0x2ed07b[_0x34b937(0x2214)]:[],'id'):{};if(_0x2ed07b[_0x34b937(0x2214)]){_0x267865[_0x34b937(0x237f)]=[];for(let _0x4eb6c5=0x0;_0x4eb6c5<_0x2ed07b[_0x34b937(0x2214)][_0x34b937(0xfd0)];_0x4eb6c5++){_0x267865[_0x34b937(0x237f)][_0x34b937(0x2785)](_0x2ed07b[_0x34b937(0x2214)][_0x4eb6c5]['id']);}}_0x3d8b8d();}function _0x9ef491(){const _0x332cc9=_0x4db2e7;_0x267865[_0x332cc9(0xae2)][_0x332cc9(0x184b)]=(_0x267865['query'][_0x332cc9(0x1c7b)]-0x1)*_0x267865[_0x332cc9(0xae2)][_0x332cc9(0x236)],_0x3e8de4[_0x332cc9(0x22b6)](_0x332cc9(0x1c60))?_0x267865[_0x332cc9(0x2061)]=_0x28f2ad['voiceQueue'][_0x332cc9(0xbf7)](_0x267865[_0x332cc9(0xae2)],_0x48b6d4)[_0x332cc9(0x1d77)]:(_0x267865[_0x332cc9(0xae2)]['id']=_0x267865[_0x332cc9(0x44a)]['id'],_0x267865[_0x332cc9(0xae2)][_0x332cc9(0x1f74)]=_0x332cc9(0x1e28),_0x267865['promise']=_0x28f2ad[_0x332cc9(0x44a)][_0x332cc9(0x1810)](_0x267865['query'],_0x48b6d4)[_0x332cc9(0x1d77)]);}function _0x197f52(_0x2d86dd,_0x43ffd2){const _0x19a5b2=_0x4db2e7;_0x29efe8['show']({'controller':'CreateOrEditQueueCampaignDialogController','controllerAs':'vm','templateUrl':_0x810686,'parent':angular['element'](_0xeca83e[_0x19a5b2(0x1ed9)]),'targetEvent':_0x2d86dd,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0x43ffd2,'queueCampaigns':_0x39641b()[_0x19a5b2(0x27aa)](_0x267865['queues']),'license':null,'setting':null,'crudPermissions':_0x267865[_0x19a5b2(0x1b1a)]}});}function _0x1584d6(_0x4dd4e4,_0x2c5039){const _0xdf35d7=_0x4db2e7;_0x29efe8[_0xdf35d7(0xe27)]({'controller':_0xdf35d7(0x25a8),'controllerAs':'vm','templateUrl':_0x5ae697,'parent':angular[_0xdf35d7(0x1853)](_0xeca83e['body']),'targetEvent':_0x4dd4e4,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0x2c5039}});}function _0x84acca(_0x3088b9,_0x145115){const _0x7d38da=_0x4db2e7;_0x29efe8[_0x7d38da(0xe27)]({'controller':_0x7d38da(0xc10),'controllerAs':'vm','templateUrl':_0x192df6,'parent':angular[_0x7d38da(0x1853)](_0xeca83e[_0x7d38da(0x1ed9)]),'targetEvent':_0x3088b9,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0x145115,'queueCampaigns':_0x267865[_0x7d38da(0x971)]?_0x267865['queues']['rows']:[],'realtime':!![],'crudPermissions':_0x267865[_0x7d38da(0x1b1a)]}});}function _0x324733(_0x40c793){const _0x51894e=_0x4db2e7;return _0x28f2ad[_0x51894e(0x26b3)][_0x51894e(0x687)](_0x40c793)['$promise'][_0x51894e(0x1cb0)](function(){const _0x3b5b7d=_0x51894e;_0x2655c3['success']({'title':_0x3b5b7d(0x264c),'msg':_0x40c793[_0x3b5b7d(0x16b6)]?_0x40c793[_0x3b5b7d(0x16b6)]+_0x3b5b7d(0x1068):''});})[_0x51894e(0x1c4)](function(_0x32c9c7){const _0x345a1d=_0x51894e;_0x2655c3[_0x345a1d(0x218e)]({'title':_0x345a1d(0x454),'msg':_0x32c9c7[_0x345a1d(0x155e)]});});}_0x39e7c7[_0x4db2e7(0x1d6)]('$destroy',function(){const _0x5bb559=_0x4db2e7;_0x387f8a[_0x5bb559(0xfb8)](_0x5bb559(0x82a)),_0x267865[_0x5bb559(0x1ac8)]&&_0x5b615b[_0x5bb559(0x696)](_0x267865[_0x5bb559(0x1ac8)]),_0x387f8a[_0x5bb559(0xfb8)]('userVoiceQueueRt:save'),_0x387f8a['removeAllListeners']('user:update'),_0x387f8a['removeAllListeners'](_0x5bb559(0x201e)),_0x387f8a['removeAllListeners'](_0x5bb559(0x828));});}const _0xd5573e=_0x370cd4;;_0x1e4cfe[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),_0x5537c6(0x1714),_0x5537c6(0x17b8)];function _0x1e4cfe(_0x2d42e7,_0x2ccbad,_0x39c7da){const _0x21959a=_0x5537c6,_0x56f876=this;_0x56f876[_0x21959a(0x17b8)]=_0x39c7da,_0x56f876[_0x21959a(0x22fd)]=_0x50f20c,_0x56f876[_0x21959a(0x1365)]=_0x5c9ef6,_0x56f876[_0x21959a(0xda0)]=_0x274a61;function _0x50f20c(_0x127a3b,_0x18d2df){const _0x4a5b2e=_0x21959a;return _0x543b5a()(_0x127a3b)[_0x4a5b2e(0x17a1)]('m',_0x18d2df)[_0x4a5b2e(0x1f31)](_0x4a5b2e(0xec1));}function _0x5c9ef6(_0xd764ec){const _0x5eb04d=_0x21959a;return _0x543b5a()(_0xd764ec)['format'](_0x5eb04d(0xec1));}function _0x274a61(){const _0x27ab45=_0x21959a;_0x2d42e7[_0x27ab45(0x1426)]();}}const _0x5ca286=_0x1e4cfe;;function _0xa1ae52(){const _0x3ad5a0=_0x5537c6;return{'status':{'registered':_0x3ad5a0(0x1170),'unregistered':_0x3ad5a0(0x29a3),'lagged':_0x3ad5a0(0x29a3),'reachable':'green-300-fg\x20icon-checkbox-marked-circle','unreachable':_0x3ad5a0(0x29a3),'unknown':_0x3ad5a0(0xb74)},'state':{'unknown':'grey-fg\x20icon-phone-hangup','not_inuse':_0x3ad5a0(0x25c2),'inuse':_0x3ad5a0(0xd57),'busy':_0x3ad5a0(0x35b),'invalid':'grey-fg\x20icon-phone-hangup','unavailable':_0x3ad5a0(0x181),'ringing':'blue-300-fg\x20icon-phone-incoming','ringinuse':_0x3ad5a0(0x1c43),'onhold':_0x3ad5a0(0x1825)},'channelStatus':{'ring':_0x3ad5a0(0x1c43),'up':_0x3ad5a0(0x142f),'hangup':_0x3ad5a0(0xe67)},'channelStatusOut':{'ring':_0x3ad5a0(0x53c),'up':_0x3ad5a0(0x142f),'hangup':_0x3ad5a0(0xe67)}};}const _0x3ea4b4=_0xa1ae52;;_0x29f896[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x1986)];function _0x29f896(_0x448520,_0x42f603,_0x4d37cb){const _0x8b7594=_0x5537c6,_0xc0ffc5=this;_0x4d37cb[_0x8b7594(0x22e8)](),_0x4d37cb[_0x8b7594(0x8b0)](),_0xc0ffc5[_0x8b7594(0x8ec)]=0x0;switch(_0x42f603[_0x8b7594(0x1970)][_0x8b7594(0x16b6)]){case _0x8b7594(0x175a):_0xc0ffc5[_0x8b7594(0x8ec)]=0x0;break;case'app.motiondialer.realtime.queues':_0xc0ffc5[_0x8b7594(0x8ec)]=0x1;break;case _0x8b7594(0x48b):_0xc0ffc5[_0x8b7594(0x8ec)]=0x2;break;case _0x8b7594(0x1b31):_0xc0ffc5[_0x8b7594(0x8ec)]=0x3;break;case'app.motiondialer.realtime.queue_params':_0xc0ffc5[_0x8b7594(0x8ec)]=0x4;break;case _0x8b7594(0x1369):_0xc0ffc5[_0x8b7594(0x8ec)]=0x5;break;default:_0xc0ffc5[_0x8b7594(0x8ec)]=0x0,_0x42f603['go'](_0x8b7594(0x175a));}_0x448520[_0x8b7594(0x614)](_0x8b7594(0x1ced),function(_0x2f8547,_0x46af68){const _0xb6972a=_0x8b7594;if(_0x2f8547!==_0x46af68)switch(_0x2f8547){case 0x0:_0x42f603['go'](_0xb6972a(0x175a));break;case 0x1:_0x42f603['go'](_0xb6972a(0x7ba));break;case 0x2:_0x42f603['go'](_0xb6972a(0x48b));break;case 0x3:_0x42f603['go'](_0xb6972a(0x1b31));break;case 0x4:_0x42f603['go'](_0xb6972a(0xb9f));break;case 0x5:_0x42f603['go'](_0xb6972a(0x1369));break;default:_0x42f603['go'](_0xb6972a(0x175a));}});}const _0x1837f4=_0x29f896;;_0x1be2b3[_0x5537c6(0x15b6)]=[_0x5537c6(0xbd6)];function _0x1be2b3(_0x3fc8be){const _0x153452=_0x5537c6;_0x3fc8be[_0x153452(0x27e0)](_0x153452(0x1239),{'url':_0x153452(0x1625),'views':{'content@app':{'templateUrl':_0x4fa45b,'controller':_0x153452(0x1c20)}},'resolve':{'userProfile':[_0x153452(0x1e0b),'Auth',function(_0x3241e9,_0x1ecfe7){const _0x16e143=_0x153452;return _0x1ecfe7[_0x16e143(0x22b6)](_0x16e143(0x1c60))?null:_0x3241e9[_0x16e143(0x19a3)]('userProfile@get',{'fields':_0x16e143(0x279),'id':_0x1ecfe7[_0x16e143(0x21e8)]()['userProfileId']});}],'userProfileSection':[_0x153452(0x1e0b),'Auth',function(_0x16d26e,_0x561204){const _0x57e517=_0x153452;return _0x561204['hasRole']('admin')?null:_0x16d26e[_0x57e517(0x19a3)]('userProfileSection@get',{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x561204[_0x57e517(0x21e8)]()[_0x57e517(0x13c1)],'sectionId':0x5e6});}]},'authenticate':!![],'permissionId':0x5e6})[_0x153452(0x27e0)](_0x153452(0x175a),{'url':_0x153452(0xefc),'controller':_0x153452(0x27d1),'templateUrl':_0x524405,'resolve':{'pauses':[_0x153452(0x1e0b),function(_0x536f70){const _0x208fb8=_0x153452;return _0x536f70[_0x208fb8(0x19a3)](_0x208fb8(0xeeb),{'nolimit':!![]});}],'agents':[_0x153452(0x1e0b),_0x153452(0x1774),function(_0x409b6e,_0x25851c){const _0x5c2002=_0x153452;return _0x25851c['hasRole'](_0x5c2002(0x1c60))?_0x409b6e['resolve'](_0x5c2002(0x1c86),{'fields':_0x5c2002(0x1599),'role':_0x5c2002(0x1eff),'sort':_0x5c2002(0x1d14),'nolimit':!![]}):_0x409b6e[_0x5c2002(0x19a3)]('userProfile@getResources',{'id':_0x25851c[_0x5c2002(0x21e8)]()['userProfileId'],'section':_0x5c2002(0x2536),'fields':_0x5c2002(0x1599),'role':_0x5c2002(0x1eff),'sort':'fullname','nolimit':!![]});}],'userProfile':[_0x153452(0x1e0b),'Auth',function(_0x102b93,_0xdcd77a){const _0x53b85f=_0x153452;return _0xdcd77a['hasRole'](_0x53b85f(0x1c60))?null:_0x102b93[_0x53b85f(0x19a3)](_0x53b85f(0x9ae),{'fields':'id,name,crudPermissions','id':_0xdcd77a['getCurrentUser']()[_0x53b85f(0x13c1)]});}],'userProfileSection':[_0x153452(0x1e0b),_0x153452(0x1774),function(_0xa08ad2,_0x3e5586){const _0x34d9ba=_0x153452;return _0x3e5586[_0x34d9ba(0x22b6)]('admin')?null:_0xa08ad2[_0x34d9ba(0x19a3)](_0x34d9ba(0x2182),{'fields':_0x34d9ba(0x1f5f),'userProfileId':_0x3e5586[_0x34d9ba(0x21e8)]()[_0x34d9ba(0x13c1)],'sectionId':0xca});}],'rpcAgents':[_0x153452(0x1e0b),function(_0x5a86a9){const _0xbd6548=_0x153452;return _0x5a86a9[_0xbd6548(0x19a3)](_0xbd6548(0x12c0));}]},'authenticate':!![],'permissionId':0x5e6})[_0x153452(0x27e0)]('app.motiondialer.realtime.queues',{'url':_0x153452(0x1596),'controller':'QueuesMotionDialerRealtimeController\x20as\x20vm','templateUrl':_0x3c97b8,'resolve':{'queues':[_0x153452(0x1e0b),_0x153452(0x1774),function(_0xb2327e,_0x5e02fe){const _0x2233bc=_0x153452;return _0x5e02fe['hasRole'](_0x2233bc(0x1c60))?_0xb2327e['resolve'](_0x2233bc(0x1c4d),{'type':_0x2233bc(0x895),'sort':_0x2233bc(0x282),'limit':0xa,'offset':0x0}):_0xb2327e[_0x2233bc(0x19a3)](_0x2233bc(0x12da),{'id':_0x5e02fe[_0x2233bc(0x21e8)]()['userProfileId'],'section':_0x2233bc(0x1e28),'channel':_0x2233bc(0x1fd4),'type':_0x2233bc(0x895),'sort':_0x2233bc(0x282),'limit':0xa,'page':0x1});}],'userProfile':[_0x153452(0x1e0b),_0x153452(0x1774),function(_0x4b9492,_0x1e5a3e){const _0x173fe9=_0x153452;return _0x1e5a3e[_0x173fe9(0x22b6)](_0x173fe9(0x1c60))?null:_0x4b9492['resolve'](_0x173fe9(0x9ae),{'fields':'id,name,crudPermissions','id':_0x1e5a3e['getCurrentUser']()[_0x173fe9(0x13c1)]});}],'userProfileSection':[_0x153452(0x1e0b),_0x153452(0x1774),function(_0x256c5f,_0x3b44d3){const _0x43fac6=_0x153452;return _0x3b44d3['hasRole'](_0x43fac6(0x1c60))?null:_0x256c5f[_0x43fac6(0x19a3)](_0x43fac6(0x2182),{'fields':_0x43fac6(0x1f5f),'userProfileId':_0x3b44d3[_0x43fac6(0x21e8)]()[_0x43fac6(0x13c1)],'sectionId':0x5dd});}],'rpcQueues':['apiResolver',function(_0x338854){const _0x257da9=_0x153452;return _0x338854[_0x257da9(0x19a3)](_0x257da9(0xe6d));}]},'authenticate':!![],'permissionId':0x5e6})[_0x153452(0x27e0)](_0x153452(0x48b),{'url':_0x153452(0x30a),'controller':_0x153452(0x1966),'templateUrl':_0x416b40,'resolve':{'agents':[_0x153452(0x1e0b),_0x153452(0x1774),function(_0x58796c,_0x4ae88c){const _0xd94a27=_0x153452;return _0x4ae88c[_0xd94a27(0x22b6)](_0xd94a27(0x1c60))?_0x58796c[_0xd94a27(0x19a3)](_0xd94a27(0x1c86),{'fields':_0xd94a27(0xa40),'role':_0xd94a27(0x1eff),'nolimit':!![]}):_0x58796c[_0xd94a27(0x19a3)](_0xd94a27(0x12da),{'id':_0x4ae88c[_0xd94a27(0x21e8)]()[_0xd94a27(0x13c1)],'section':'Agents','fields':_0xd94a27(0xa40),'role':_0xd94a27(0x1eff),'nolimit':!![]});}],'queues':[_0x153452(0x1e0b),_0x153452(0x1774),function(_0x6f012e,_0x1d327b){const _0x16343f=_0x153452;return _0x1d327b[_0x16343f(0x22b6)](_0x16343f(0x1c60))?_0x6f012e[_0x16343f(0x19a3)]('voiceQueue@get',{'type':_0x16343f(0x895),'sort':_0x16343f(0x282),'nolimit':!![]}):_0x6f012e[_0x16343f(0x19a3)](_0x16343f(0x12da),{'id':_0x1d327b[_0x16343f(0x21e8)]()[_0x16343f(0x13c1)],'section':'QueueCampaigns','channel':_0x16343f(0x1fd4),'type':'outbound','sort':'-updatedAt','nolimit':!![]});}],'userProfile':[_0x153452(0x1e0b),'Auth',function(_0x372963,_0x53fff1){const _0x4d7a9c=_0x153452;return _0x53fff1[_0x4d7a9c(0x22b6)]('admin')?null:_0x372963[_0x4d7a9c(0x19a3)](_0x4d7a9c(0x9ae),{'fields':_0x4d7a9c(0x279),'id':_0x53fff1['getCurrentUser']()[_0x4d7a9c(0x13c1)]});}],'userProfileSection':['apiResolver','Auth',function(_0x1674db,_0x3c7e18){const _0x47326e=_0x153452;return _0x3c7e18[_0x47326e(0x22b6)](_0x47326e(0x1c60))?null:_0x1674db[_0x47326e(0x19a3)](_0x47326e(0x2182),{'fields':_0x47326e(0x1f5f),'userProfileId':_0x3c7e18[_0x47326e(0x21e8)]()[_0x47326e(0x13c1)],'sectionId':0x5dd});}],'rpcVoiceQueuesChannels':[_0x153452(0x1e0b),function(_0x134d5d){const _0x441785=_0x153452;return _0x134d5d['resolve'](_0x441785(0xd70));}]},'authenticate':!![],'permissionId':0x5e6})[_0x153452(0x27e0)](_0x153452(0x1b31),{'url':_0x153452(0x42a),'controller':_0x153452(0x2661),'templateUrl':_0x352a71,'resolve':{'agents':[_0x153452(0x1e0b),'Auth',function(_0x58c435,_0x29a7e3){const _0x310596=_0x153452;return _0x29a7e3[_0x310596(0x22b6)]('admin')?_0x58c435[_0x310596(0x19a3)](_0x310596(0x1c86),{'fields':_0x310596(0xa40),'role':_0x310596(0x1eff),'nolimit':!![]}):_0x58c435['resolve'](_0x310596(0x12da),{'id':_0x29a7e3['getCurrentUser']()[_0x310596(0x13c1)],'section':_0x310596(0x2536),'fields':_0x310596(0xa40),'role':_0x310596(0x1eff),'nolimit':!![]});}],'queues':[_0x153452(0x1e0b),_0x153452(0x1774),function(_0x1ef153,_0x1b244e){const _0x119af1=_0x153452;return _0x1b244e[_0x119af1(0x22b6)]('admin')?_0x1ef153[_0x119af1(0x19a3)](_0x119af1(0x1c4d),{'type':_0x119af1(0x895),'sort':_0x119af1(0x282),'nolimit':!![]}):_0x1ef153[_0x119af1(0x19a3)]('userProfile@getResources',{'id':_0x1b244e[_0x119af1(0x21e8)]()[_0x119af1(0x13c1)],'section':_0x119af1(0x1e28),'channel':_0x119af1(0x1fd4),'type':_0x119af1(0x895),'sort':_0x119af1(0x282),'nolimit':!![]});}],'dispositions':[_0x153452(0x1e0b),'Auth',function(_0x63e38d,_0x21351d){const _0x4891b9=_0x153452;return _0x21351d[_0x4891b9(0x22b6)](_0x4891b9(0x1c60))?_0x63e38d[_0x4891b9(0x19a3)](_0x4891b9(0x1c6f),{'fields':_0x4891b9(0xb83),'sort':_0x4891b9(0x282),'MailAccountId':_0x4891b9(0xd38),'FaxAccountId':_0x4891b9(0xd38),'SmsAccountId':_0x4891b9(0xd38),'WhatsappAccountId':_0x4891b9(0xd38),'OpenchannelAccountId':'null','ChatWebsiteId':'null','ListId':_0x4891b9(0xd38),'nolimit':!![]}):_0x63e38d[_0x4891b9(0x19a3)](_0x4891b9(0x12da),{'id':_0x21351d[_0x4891b9(0x21e8)]()[_0x4891b9(0x13c1)],'section':_0x4891b9(0x5d1),'fields':'createdAt,updatedAt,id,name','sort':'-updatedAt','MailAccountId':_0x4891b9(0xd38),'FaxAccountId':'null','SmsAccountId':_0x4891b9(0xd38),'WhatsappAccountId':_0x4891b9(0xd38),'OpenchannelAccountId':'null','ChatWebsiteId':_0x4891b9(0xd38),'ListId':_0x4891b9(0xd38),'nolimit':!![]});}],'userProfile':[_0x153452(0x1e0b),_0x153452(0x1774),function(_0x3a92ad,_0x3c17c7){const _0x59dbc5=_0x153452;return _0x3c17c7['hasRole'](_0x59dbc5(0x1c60))?null:_0x3a92ad[_0x59dbc5(0x19a3)]('userProfile@get',{'fields':_0x59dbc5(0x279),'id':_0x3c17c7[_0x59dbc5(0x21e8)]()['userProfileId']});}],'userProfileSection':[_0x153452(0x1e0b),_0x153452(0x1774),function(_0x5b7b58,_0x28f377){const _0x976319=_0x153452;return _0x28f377[_0x976319(0x22b6)](_0x976319(0x1c60))?null:_0x5b7b58[_0x976319(0x19a3)](_0x976319(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x28f377[_0x976319(0x21e8)]()[_0x976319(0x13c1)],'sectionId':0x5dd});}]},'authenticate':!![],'permissionId':0x5e6})['state'](_0x153452(0xb9f),{'url':_0x153452(0x25c5),'controller':_0x153452(0x7cf),'templateUrl':_0x423cde,'resolve':{'queues':['apiResolver',_0x153452(0x1774),function(_0x25c6ba,_0xeed571){const _0x25f003=_0x153452;return _0xeed571[_0x25f003(0x22b6)](_0x25f003(0x1c60))?_0x25c6ba[_0x25f003(0x19a3)](_0x25f003(0x1c4d),{'type':_0x25f003(0x895),'fields':'id,name','sort':'-updatedAt','limit':0xa,'offset':0x0}):_0x25c6ba['resolve'](_0x25f003(0x12da),{'id':_0xeed571[_0x25f003(0x21e8)]()['userProfileId'],'section':_0x25f003(0x1e28),'channel':_0x25f003(0x1fd4),'type':_0x25f003(0x895),'sort':_0x25f003(0x282),'nolimit':!![]});}],'userProfile':[_0x153452(0x1e0b),_0x153452(0x1774),function(_0x9fa4d0,_0x3f9236){const _0x575715=_0x153452;return _0x3f9236[_0x575715(0x22b6)](_0x575715(0x1c60))?null:_0x9fa4d0[_0x575715(0x19a3)]('userProfile@get',{'fields':_0x575715(0x279),'id':_0x3f9236['getCurrentUser']()[_0x575715(0x13c1)]});}],'userProfileSection':[_0x153452(0x1e0b),_0x153452(0x1774),function(_0x13a452,_0x4bc1fb){const _0x58e750=_0x153452;return _0x4bc1fb[_0x58e750(0x22b6)](_0x58e750(0x1c60))?null:_0x13a452[_0x58e750(0x19a3)](_0x58e750(0x2182),{'fields':_0x58e750(0x1f5f),'userProfileId':_0x4bc1fb['getCurrentUser']()[_0x58e750(0x13c1)],'sectionId':0x5dd});}],'rpcQueues':['apiResolver',function(_0x2aea5e){const _0x2d2221=_0x153452;return _0x2aea5e[_0x2d2221(0x19a3)](_0x2d2221(0xe6d));}]},'authenticate':!![],'permissionId':0x5e6})[_0x153452(0x27e0)]('app.motiondialer.realtime.ivr_campaigns',{'url':_0x153452(0xdb3),'controller':_0x153452(0x12b2),'templateUrl':_0x2b8c0f,'resolve':{'campaigns':[_0x153452(0x1e0b),_0x153452(0x1774),function(_0x576202,_0x1af6f5){const _0x2f8d09=_0x153452;return _0x1af6f5[_0x2f8d09(0x22b6)](_0x2f8d09(0x1c60))?_0x576202[_0x2f8d09(0x19a3)](_0x2f8d09(0xb29),{'sort':_0x2f8d09(0x282),'limit':0xa,'offset':0x0}):_0x576202['resolve'](_0x2f8d09(0x12da),{'id':_0x1af6f5[_0x2f8d09(0x21e8)]()[_0x2f8d09(0x13c1)],'section':_0x2f8d09(0x2525),'sort':_0x2f8d09(0x282),'limit':0xa,'offset':0x0});}],'userProfile':[_0x153452(0x1e0b),_0x153452(0x1774),function(_0x3af675,_0x8de25f){const _0x2f986d=_0x153452;return _0x8de25f[_0x2f986d(0x22b6)](_0x2f986d(0x1c60))?null:_0x3af675[_0x2f986d(0x19a3)](_0x2f986d(0x9ae),{'fields':_0x2f986d(0x279),'id':_0x8de25f[_0x2f986d(0x21e8)]()['userProfileId']});}],'userProfileSection':['apiResolver','Auth',function(_0x3a6996,_0x2d853e){const _0x31e5ba=_0x153452;return _0x2d853e[_0x31e5ba(0x22b6)]('admin')?null:_0x3a6996['resolve']('userProfileSection@get',{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x2d853e['getCurrentUser']()['userProfileId'],'sectionId':0x5de});}],'rpcCampaigns':['apiResolver',function(_0x315d09){const _0x322496=_0x153452;return _0x315d09[_0x322496(0x19a3)]('rpc@getCampaigns');}]},'authenticate':!![],'permissionId':0x5e6});}angular[_0x5537c6(0x9ab)](_0x5537c6(0x1239),[])[_0x5537c6(0xa60)](_0x1be2b3)['controller']('AbandonedCallsMotionDialerRealtimeController',_0x796fdb)[_0x5537c6(0x6e5)](_0x5537c6(0x1751),_0x500861)[_0x5537c6(0x6e5)]('IvrCampaignsMotionDialerRealtimeController',_0x6037a7)[_0x5537c6(0x6e5)](_0x5537c6(0x880),_0x467fcf)[_0x5537c6(0x6e5)](_0x5537c6(0x179),_0x154e45)['controller'](_0x5537c6(0x878),_0xd5573e)[_0x5537c6(0x6e5)](_0x5537c6(0x25a8),_0x5ca286)[_0x5537c6(0x234a)]('helperClasses',_0x3ea4b4)['controller'](_0x5537c6(0xa88),_0x1837f4);;const _0x3d2c08=_0x5074a3['p']+_0x5537c6(0x1b51);;const _0x1f4700=_0x5074a3['p']+_0x5537c6(0x1447);;const _0x49fbc1=_0x5074a3['p']+'src/js/modules/main/apps/motiondialer/views/ivrCampaigns/ivrCampaigns.html/ivrCampaigns.html';;const _0x5918b0=_0x5074a3['p']+'src/js/modules/main/apps/motiondialer/views/ivrCampaigns/edit/view.html/view.html';;_0x9dc9c7[_0x5537c6(0x15b6)]=['$scope','$state','$location',_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x1fda),_0x5537c6(0x1218),_0x5537c6(0x142b),_0x5537c6(0x1774),'license',_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x9dc9c7(_0x45caff,_0x21fe6e,_0x13b8be,_0x2ae862,_0x51c09b,_0x11666d,_0x495778,_0x2d2294,_0x87cc4b,_0x3e271e,_0x3b0a8d,_0x35c949,_0x4f7bf6,_0x32d81a){const _0x3f4e5e=_0x5537c6,_0x40f627=this;_0x40f627['currentUser']=_0x3b0a8d[_0x3f4e5e(0x21e8)](),_0x40f627[_0x3f4e5e(0x1a7c)]=[],_0x40f627['setting']=_0x4f7bf6,_0x40f627[_0x3f4e5e(0x8a5)]=_0x35c949,_0x40f627[_0x3f4e5e(0x1b1a)]=_0x32d81a,_0x40f627[_0x3f4e5e(0xf4c)]={},_0x40f627[_0x3f4e5e(0x1b0c)]=_0x40f627[_0x3f4e5e(0x9ca)]&&_0x40f627[_0x3f4e5e(0x9ca)][_0x3f4e5e(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x40f627[_0x3f4e5e(0x1386)]=_0x3f4e5e(0x127d),_0x40f627['ivrCampaign']=angular[_0x3f4e5e(0x17fe)](_0x87cc4b),_0x40f627[_0x3f4e5e(0x1fda)]=_0x2d2294,_0x40f627['newIvrCampaign']=![];!_0x40f627['ivrCampaign']&&(_0x40f627[_0x3f4e5e(0x1218)]={'active':!![],'type':_0x3f4e5e(0x242e),'dialCheckDuplicateType':_0x3f4e5e(0x2017),'dialCutDigit':0x0,'limitCalls':0x1e,'dialRandomLastDigitCallerIdNumber':0x0,'dialOriginateTimeout':0x1e,'dialGlobalMaxRetry':0x4,'dialCongestionMaxRetry':0x3,'dialCongestionRetryFrequency':0x96,'dialBusyMaxRetry':0x3,'dialBusyRetryFrequency':0x96,'dialNoAnswerMaxRetry':0x3,'dialNoAnswerRetryFrequency':0x96,'dialNoSuchNumberMaxRetry':0x3,'dialNoSuchNumberRetryFrequency':0x96,'dialDropMaxRetry':0x3,'dialDropRetryFrequency':0x96,'dialAbandonedMaxRetry':0x3,'dialAbandonedRetryFrequency':0x96,'dialMachineMaxRetry':0x3,'dialMachineRetryFrequency':0x96,'dialAgentRejectMaxRetry':0x3,'dialAgentRejectRetryFrequency':0x96,'dialOrderByScheduledAt':'ASC','dialGlobalInterval':_0x3f4e5e(0x164a),'dialAMDInitialSilence':0x9c4,'dialAMDGreeting':0x5dc,'dialAMDAfterGreetingSilence':0x320,'dialAMDTotalAnalysisTime':0x1388,'dialAMDMinWordLength':0x64,'dialAMDBetweenWordsSilence':0x32,'dialAMDMaximumNumberOfWords':0x3,'dialAMDSilenceThreshold':0x100,'dialAMDMaximumWordLength':0x1388},_0x40f627[_0x3f4e5e(0x1386)]=_0x3f4e5e(0x1465),_0x40f627[_0x3f4e5e(0x4bd)]=!![]);_0x40f627[_0x3f4e5e(0x4e0)]=_0x53619b,_0x40f627[_0x3f4e5e(0x2506)]=_0x1c9175,_0x40f627[_0x3f4e5e(0x6c5)]=_0x5d5644,_0x40f627[_0x3f4e5e(0x2c4)]=_0x545d3c,_0x40f627[_0x3f4e5e(0xda0)]=_0x40ef2d,_0x3b0a8d[_0x3f4e5e(0x22b6)](_0x3f4e5e(0x1c60))?_0x3e271e[_0x3f4e5e(0x1ae0)][_0x3f4e5e(0xbf7)]({'fields':_0x3f4e5e(0x43c),'sort':_0x3f4e5e(0x16b6),'table':_0x3f4e5e(0x44d)})['$promise'][_0x3f4e5e(0x1cb0)](function(_0x1e42fb){_0x40f627['trunks']=_0x1e42fb['rows']||[];})['catch'](function(_0x3fa393){const _0x15867e=_0x3f4e5e;_0x495778['error']({'title':_0x3fa393[_0x15867e(0x291)]?_0x15867e(0xeb9)+_0x3fa393['status']+_0x15867e(0x1657)+_0x3fa393[_0x15867e(0xc22)]:_0x15867e(0x14fc),'msg':_0x3fa393['data']?JSON['stringify'](_0x3fa393['data']):_0x3fa393[_0x15867e(0x147f)]()});}):_0x3e271e[_0x3f4e5e(0x1ae0)][_0x3f4e5e(0xbf7)]({'fields':'id,name','sort':_0x3f4e5e(0x16b6),'table':'true'})[_0x3f4e5e(0x1d77)][_0x3f4e5e(0x1cb0)](function(_0x2e88fb){const _0x2478ae=_0x3f4e5e;_0x40f627[_0x2478ae(0x1363)]=_0x2e88fb[_0x2478ae(0x2214)]||[];})['then'](function(){const _0x14045b=_0x3f4e5e;return _0x3e271e[_0x14045b(0x2199)][_0x14045b(0xbf7)]({'userProfileId':_0x40f627[_0x14045b(0xe76)][_0x14045b(0x13c1)],'sectionId':0x3f3})[_0x14045b(0x1d77)];})[_0x3f4e5e(0x1cb0)](function(_0x20e14c){const _0x1f6ca1=_0x3f4e5e,_0x6a8845=_0x20e14c&&_0x20e14c[_0x1f6ca1(0x2214)]?_0x20e14c[_0x1f6ca1(0x2214)][0x0]:null;if(!_0x6a8845)_0x40f627[_0x1f6ca1(0x1363)]=[];else{if(!_0x6a8845['autoAssociation'])return _0x3e271e[_0x1f6ca1(0x1198)][_0x1f6ca1(0xbf7)]({'sectionId':_0x6a8845['id']})[_0x1f6ca1(0x1d77)][_0x1f6ca1(0x1cb0)](function(_0x321c94){const _0x585b34=_0x1f6ca1,_0x29d550=_0x39641b()[_0x585b34(0x1de2)](_0x321c94[_0x585b34(0x2214)],function(_0x36349c){const _0x1f1539=_0x585b34;return _0x39641b()[_0x1f1539(0x13b4)](_0x40f627[_0x1f1539(0x1363)],{'id':_0x36349c[_0x1f1539(0x2982)]});});_0x40f627[_0x585b34(0x1363)][_0x585b34(0xf90)](function(_0x2d6b1d){const _0x520c27=_0x585b34;!_0x39641b()[_0x520c27(0x727)](_0x29d550,['id',_0x2d6b1d['id']])&&(_0x2d6b1d[_0x520c27(0x15da)]=![]),_0x29d550[_0x520c27(0x2785)](_0x2d6b1d);}),_0x40f627[_0x585b34(0x1363)]=_0x29d550;});}})[_0x3f4e5e(0x1c4)](function(_0x2b63d7){const _0x414578=_0x3f4e5e;_0x495778[_0x414578(0x218e)]({'title':_0x2b63d7[_0x414578(0x291)]?_0x414578(0xeb9)+_0x2b63d7['status']+_0x414578(0x1657)+_0x2b63d7[_0x414578(0xc22)]:'SYSTEM:GETtrunks','msg':_0x2b63d7['data']?JSON['stringify'](_0x2b63d7[_0x414578(0x25c)]):_0x2b63d7[_0x414578(0x147f)]()});}),_0x3b0a8d[_0x3f4e5e(0x22b6)]('admin')?_0x3e271e['squareProject']['get']({'fields':_0x3f4e5e(0x43c),'sort':_0x3f4e5e(0x16b6)})[_0x3f4e5e(0x1d77)][_0x3f4e5e(0x1cb0)](function(_0x22c6a7){const _0x44c5a1=_0x3f4e5e;_0x40f627[_0x44c5a1(0x2981)]=_0x22c6a7[_0x44c5a1(0x2214)]||[];})[_0x3f4e5e(0x1c4)](function(_0x16b22e){const _0x540c8a=_0x3f4e5e;_0x495778['error']({'title':_0x16b22e[_0x540c8a(0x291)]?_0x540c8a(0xeb9)+_0x16b22e[_0x540c8a(0x291)]+'\x20-\x20'+_0x16b22e[_0x540c8a(0xc22)]:_0x540c8a(0x214c),'msg':_0x16b22e['data']?JSON[_0x540c8a(0x2701)](_0x16b22e[_0x540c8a(0x25c)]):_0x16b22e[_0x540c8a(0x147f)]()});}):_0x3e271e[_0x3f4e5e(0xf61)]['get']({'fields':_0x3f4e5e(0x43c),'sort':_0x3f4e5e(0x16b6)})['$promise'][_0x3f4e5e(0x1cb0)](function(_0x12ca16){const _0x3ba889=_0x3f4e5e;_0x40f627['projects']=_0x12ca16[_0x3ba889(0x2214)]||[];})['then'](function(){const _0x1445ca=_0x3f4e5e;return _0x3e271e[_0x1445ca(0x2199)][_0x1445ca(0xbf7)]({'userProfileId':_0x40f627[_0x1445ca(0xe76)][_0x1445ca(0x13c1)],'sectionId':0x44f})[_0x1445ca(0x1d77)];})[_0x3f4e5e(0x1cb0)](function(_0xfdb750){const _0x45d7a4=_0x3f4e5e,_0x275cde=_0xfdb750&&_0xfdb750[_0x45d7a4(0x2214)]?_0xfdb750[_0x45d7a4(0x2214)][0x0]:null;if(!_0x275cde){const _0xdf8c4c=[];let _0x2a208c=null;_0x40f627['ivrCampaign']&&(_0x2a208c=_0x39641b()['find'](_0x40f627[_0x45d7a4(0x2981)],{'id':Number(_0x40f627[_0x45d7a4(0x1218)][_0x45d7a4(0x1544)])}));for(let _0x3ed816=0x0;_0x3ed816<_0x40f627[_0x45d7a4(0x2981)]['length'];_0x3ed816++){_0x2a208c&&_0x40f627['projects'][_0x3ed816]['id']===_0x2a208c['id']&&(_0x40f627[_0x45d7a4(0x2981)][_0x3ed816][_0x45d7a4(0x15da)]=![],_0xdf8c4c[_0x45d7a4(0x2785)](_0x40f627[_0x45d7a4(0x2981)][_0x3ed816]));}_0x40f627['projects']=_0xdf8c4c;}else{if(!_0x275cde[_0x45d7a4(0x12f4)])return _0x3e271e['userProfileResource']['get']({'sectionId':_0x275cde['id']})['$promise'][_0x45d7a4(0x1cb0)](function(_0x18155c){const _0xb0c0f5=_0x45d7a4,_0x43c245=_0x39641b()[_0xb0c0f5(0x1de2)](_0x18155c[_0xb0c0f5(0x2214)],function(_0x4b7464){const _0x2be4c2=_0xb0c0f5;return _0x39641b()[_0x2be4c2(0x13b4)](_0x40f627[_0x2be4c2(0x2981)],{'id':_0x4b7464[_0x2be4c2(0x2982)]});});let _0x44e9f2=null;_0x40f627['ivrCampaign']&&(_0x44e9f2=_0x39641b()[_0xb0c0f5(0x13b4)](_0x40f627[_0xb0c0f5(0x2981)],{'id':Number(_0x40f627[_0xb0c0f5(0x1218)][_0xb0c0f5(0x1544)])}));if(_0x44e9f2&&!_0x39641b()['some'](_0x43c245,['id',_0x44e9f2['id']])){const _0x26cb16=_0x39641b()[_0xb0c0f5(0x13b4)](_0x40f627[_0xb0c0f5(0x2981)],{'id':_0x44e9f2['id']});_0x26cb16['canSelect']=![],_0x43c245['push'](_0x26cb16);}_0x40f627['projects']=_0x43c245;});}})[_0x3f4e5e(0x1c4)](function(_0x4631dd){const _0x378218=_0x3f4e5e;_0x495778[_0x378218(0x218e)]({'title':_0x4631dd[_0x378218(0x291)]?_0x378218(0xeb9)+_0x4631dd['status']+_0x378218(0x1657)+_0x4631dd[_0x378218(0xc22)]:_0x378218(0x2101),'msg':_0x4631dd['data']?JSON[_0x378218(0x2701)](_0x4631dd[_0x378218(0x25c)]):_0x4631dd[_0x378218(0x147f)]()});}),_0x3b0a8d[_0x3f4e5e(0x22b6)]('admin')?_0x3e271e[_0x3f4e5e(0x1ac8)][_0x3f4e5e(0xbf7)]({'fields':_0x3f4e5e(0x43c),'sort':_0x3f4e5e(0x16b6),'IntervalId':_0x3f4e5e(0xd38)})[_0x3f4e5e(0x1d77)][_0x3f4e5e(0x1cb0)](function(_0x23d6a1){const _0x5cdc50=_0x3f4e5e;_0x40f627[_0x5cdc50(0x1ac8)]=_0x23d6a1[_0x5cdc50(0x2214)]||[];})[_0x3f4e5e(0x1c4)](function(_0x4eeea2){const _0x491cd0=_0x3f4e5e;_0x495778[_0x491cd0(0x218e)]({'title':_0x4eeea2[_0x491cd0(0x291)]?'API:'+_0x4eeea2[_0x491cd0(0x291)]+_0x491cd0(0x1657)+_0x4eeea2['statusText']:'SYSTEM:GET_INTERVAL','msg':_0x4eeea2[_0x491cd0(0x25c)]?JSON[_0x491cd0(0x2701)](_0x4eeea2[_0x491cd0(0x25c)]):_0x4eeea2[_0x491cd0(0x147f)]()});}):_0x3e271e[_0x3f4e5e(0x1ac8)][_0x3f4e5e(0xbf7)]({'fields':_0x3f4e5e(0x43c),'sort':_0x3f4e5e(0x16b6),'IntervalId':_0x3f4e5e(0xd38)})[_0x3f4e5e(0x1d77)][_0x3f4e5e(0x1cb0)](function(_0x28ebfd){const _0x442176=_0x3f4e5e;_0x40f627[_0x442176(0x1ac8)]=_0x28ebfd['rows']||[];})[_0x3f4e5e(0x1cb0)](function(){const _0x11ffaf=_0x3f4e5e;return _0x3e271e[_0x11ffaf(0x2199)][_0x11ffaf(0xbf7)]({'userProfileId':_0x40f627[_0x11ffaf(0xe76)][_0x11ffaf(0x13c1)],'sectionId':0x3ec})[_0x11ffaf(0x1d77)];})[_0x3f4e5e(0x1cb0)](function(_0xbd7a4c){const _0x332e08=_0x3f4e5e,_0x203979=_0xbd7a4c&&_0xbd7a4c[_0x332e08(0x2214)]?_0xbd7a4c[_0x332e08(0x2214)][0x0]:null;if(!_0x203979){const _0x5ae1a7=[];let _0x7b9321=null;_0x40f627[_0x332e08(0x1218)]&&(_0x7b9321=_0x39641b()[_0x332e08(0x13b4)](_0x40f627[_0x332e08(0x1ac8)],{'id':Number(_0x40f627['ivrCampaign'][_0x332e08(0x1a60)])}));for(let _0x54eba3=0x0;_0x54eba3<_0x40f627[_0x332e08(0x1ac8)][_0x332e08(0xfd0)];_0x54eba3++){_0x7b9321&&_0x40f627[_0x332e08(0x1ac8)][_0x54eba3]['id']===_0x7b9321['id']&&(_0x40f627[_0x332e08(0x1ac8)][_0x54eba3][_0x332e08(0x15da)]=![],_0x5ae1a7[_0x332e08(0x2785)](_0x40f627[_0x332e08(0x1ac8)][_0x54eba3]));}_0x40f627[_0x332e08(0x1ac8)]=_0x5ae1a7;}else{if(!_0x203979[_0x332e08(0x12f4)])return _0x3e271e[_0x332e08(0x1198)]['get']({'sectionId':_0x203979['id']})[_0x332e08(0x1d77)][_0x332e08(0x1cb0)](function(_0x2b31ae){const _0x61e460=_0x332e08,_0x32ea5a=_0x39641b()[_0x61e460(0x1de2)](_0x2b31ae['rows'],function(_0x2473db){const _0x34ef1e=_0x61e460;return _0x39641b()[_0x34ef1e(0x13b4)](_0x40f627['interval'],{'id':_0x2473db['resourceId']});});let _0x5665fd=null;_0x40f627[_0x61e460(0x1218)]&&(_0x5665fd=_0x39641b()[_0x61e460(0x13b4)](_0x40f627[_0x61e460(0x1ac8)],{'id':Number(_0x40f627['ivrCampaign'][_0x61e460(0x1a60)])}));if(_0x5665fd&&!_0x39641b()['some'](_0x32ea5a,['id',_0x5665fd['id']])){const _0x2cb0bb=_0x39641b()[_0x61e460(0x13b4)](_0x40f627['interval'],{'id':_0x5665fd['id']});_0x2cb0bb[_0x61e460(0x15da)]=![],_0x32ea5a[_0x61e460(0x2785)](_0x2cb0bb);}_0x40f627[_0x61e460(0x1ac8)]=_0x32ea5a;});}})['catch'](function(_0x56d207){const _0x21dd17=_0x3f4e5e;_0x495778[_0x21dd17(0x218e)]({'title':_0x56d207[_0x21dd17(0x291)]?_0x21dd17(0xeb9)+_0x56d207['status']+'\x20-\x20'+_0x56d207[_0x21dd17(0xc22)]:_0x21dd17(0x1b58),'msg':_0x56d207[_0x21dd17(0x25c)]?JSON[_0x21dd17(0x2701)](_0x56d207[_0x21dd17(0x25c)]):_0x56d207[_0x21dd17(0x147f)]()});});function _0x53619b(){const _0x16ec6d=_0x3f4e5e;_0x40f627['errors']=[],_0x3e271e[_0x16ec6d(0x218c)][_0x16ec6d(0x1c3f)](_0x40f627['ivrCampaign'])[_0x16ec6d(0x1d77)]['then'](function(_0x737e71){const _0x12c6a1=_0x16ec6d;_0x40f627[_0x12c6a1(0x1fda)][_0x12c6a1(0xf63)](_0x737e71['toJSON']()),_0x495778[_0x12c6a1(0x829)]({'title':_0x12c6a1(0x4aa),'msg':_0x40f627[_0x12c6a1(0x1218)]['name']?_0x40f627[_0x12c6a1(0x1218)][_0x12c6a1(0x16b6)]+_0x12c6a1(0x470):''}),_0x40ef2d(_0x737e71);})['catch'](function(_0x3cfc6e){const _0x99b2a6=_0x16ec6d;if(_0x3cfc6e[_0x99b2a6(0x25c)]&&_0x3cfc6e['data']['errors']&&_0x3cfc6e[_0x99b2a6(0x25c)]['errors'][_0x99b2a6(0xfd0)]){_0x40f627['errors']=_0x3cfc6e['data'][_0x99b2a6(0x1a7c)]||[{'message':_0x3cfc6e[_0x99b2a6(0x147f)](),'type':_0x99b2a6(0x17bf)}];for(let _0x3a35af=0x0;_0x3a35af<_0x3cfc6e[_0x99b2a6(0x25c)]['errors']['length'];_0x3a35af+=0x1){_0x495778[_0x99b2a6(0x218e)]({'title':_0x3cfc6e['data'][_0x99b2a6(0x1a7c)][_0x3a35af]['type'],'msg':_0x3cfc6e[_0x99b2a6(0x25c)][_0x99b2a6(0x1a7c)][_0x3a35af][_0x99b2a6(0x155e)]});}}else _0x495778['error']({'title':_0x3cfc6e[_0x99b2a6(0x291)]?_0x99b2a6(0xeb9)+_0x3cfc6e[_0x99b2a6(0x291)]+'\x20-\x20'+_0x3cfc6e[_0x99b2a6(0xc22)]:'api.campaign.save','msg':_0x3cfc6e['data']?JSON['stringify'](_0x3cfc6e[_0x99b2a6(0x25c)][_0x99b2a6(0x155e)]):_0x3cfc6e['toString']()});});}function _0x1c9175(){const _0x5d412c=_0x3f4e5e;_0x40f627[_0x5d412c(0x1a7c)]=[],_0x3e271e[_0x5d412c(0x218c)][_0x5d412c(0x687)]({'id':_0x40f627['ivrCampaign']['id']},_0x40f627[_0x5d412c(0x1218)])[_0x5d412c(0x1d77)][_0x5d412c(0x1cb0)](function(_0x55638e){const _0x3f9fc2=_0x5d412c,_0x5512df=_0x39641b()[_0x3f9fc2(0x13b4)](_0x40f627[_0x3f9fc2(0x1fda)],{'id':_0x55638e['id']});_0x5512df&&_0x39641b()[_0x3f9fc2(0x9c1)](_0x5512df,_0x39641b()[_0x3f9fc2(0x169b)](_0x55638e[_0x3f9fc2(0x19b2)](),_0x39641b()[_0x3f9fc2(0x1be5)](_0x5512df))),_0x495778['success']({'title':'IvrCampaign\x20properly\x20saved!','msg':_0x40f627['ivrCampaign']['name']?_0x40f627[_0x3f9fc2(0x1218)]['name']+_0x3f9fc2(0xedb):''}),_0x40ef2d(_0x55638e);})[_0x5d412c(0x1c4)](function(_0x473079){const _0x4b5828=_0x5d412c;if(_0x473079['data']&&_0x473079[_0x4b5828(0x25c)][_0x4b5828(0x1a7c)]&&_0x473079[_0x4b5828(0x25c)]['errors'][_0x4b5828(0xfd0)]){_0x40f627[_0x4b5828(0x1a7c)]=_0x473079[_0x4b5828(0x25c)][_0x4b5828(0x1a7c)]||[{'message':_0x473079['toString'](),'type':_0x4b5828(0x8ce)}];for(let _0x4a85bf=0x0;_0x4a85bf<_0x473079['data'][_0x4b5828(0x1a7c)][_0x4b5828(0xfd0)];_0x4a85bf++){_0x495778['error']({'title':_0x473079[_0x4b5828(0x25c)][_0x4b5828(0x1a7c)][_0x4a85bf][_0x4b5828(0x66a)],'msg':_0x473079[_0x4b5828(0x25c)][_0x4b5828(0x1a7c)][_0x4a85bf][_0x4b5828(0x155e)]});}}else _0x495778[_0x4b5828(0x218e)]({'title':_0x473079[_0x4b5828(0x291)]?_0x4b5828(0xeb9)+_0x473079['status']+_0x4b5828(0x1657)+_0x473079['statusText']:_0x4b5828(0x8ce),'msg':_0x473079[_0x4b5828(0x25c)]?JSON[_0x4b5828(0x2701)](_0x473079[_0x4b5828(0x25c)][_0x4b5828(0x155e)]):_0x473079['toString']()});});}function _0x5d5644(_0x970b86){const _0xbfbef6=_0x3f4e5e;_0x40f627['errors']=[];const _0x43bc54=_0x2ae862[_0xbfbef6(0x1551)]()[_0xbfbef6(0x1386)](_0xbfbef6(0x1a2e))['content']('The\x20ivrCampaign\x20will\x20be\x20deleted.')[_0xbfbef6(0x15ad)](_0xbfbef6(0x28cd))['ok'](_0xbfbef6(0x2594))[_0xbfbef6(0x696)](_0xbfbef6(0xde1))[_0xbfbef6(0x728)](_0x970b86);_0x2ae862['show'](_0x43bc54)[_0xbfbef6(0x1cb0)](function(){const _0x20b8f9=_0xbfbef6;_0x3e271e[_0x20b8f9(0x218c)][_0x20b8f9(0x111d)]({'id':_0x40f627[_0x20b8f9(0x1218)]['id']})[_0x20b8f9(0x1d77)]['then'](function(){const _0xdfb75c=_0x20b8f9;_0x39641b()['remove'](_0x40f627[_0xdfb75c(0x1fda)],{'id':_0x40f627['ivrCampaign']['id']}),_0x495778['success']({'title':_0xdfb75c(0xdf1),'msg':(_0x40f627['ivrCampaign'][_0xdfb75c(0x16b6)]||_0xdfb75c(0x1218))+_0xdfb75c(0x3f5)}),_0x40ef2d(_0x40f627['ivrCampaign']);})[_0x20b8f9(0x1c4)](function(_0x13806a){const _0x59e6ca=_0x20b8f9;if(_0x13806a[_0x59e6ca(0x25c)]&&_0x13806a[_0x59e6ca(0x25c)][_0x59e6ca(0x1a7c)]&&_0x13806a[_0x59e6ca(0x25c)][_0x59e6ca(0x1a7c)][_0x59e6ca(0xfd0)]){_0x40f627[_0x59e6ca(0x1a7c)]=_0x13806a[_0x59e6ca(0x25c)][_0x59e6ca(0x1a7c)]||[{'message':_0x13806a['toString'](),'type':_0x59e6ca(0x26c3)}];for(let _0x2a338a=0x0;_0x2a338a<_0x13806a[_0x59e6ca(0x25c)]['errors']['length'];_0x2a338a++){_0x495778[_0x59e6ca(0x218e)]({'title':_0x13806a[_0x59e6ca(0x25c)][_0x59e6ca(0x1a7c)][_0x2a338a][_0x59e6ca(0x66a)],'msg':_0x13806a[_0x59e6ca(0x25c)]['errors'][_0x2a338a][_0x59e6ca(0x155e)]});}}else _0x495778[_0x59e6ca(0x218e)]({'title':_0x13806a[_0x59e6ca(0x291)]?'API:'+_0x13806a[_0x59e6ca(0x291)]+_0x59e6ca(0x1657)+_0x13806a[_0x59e6ca(0xc22)]:_0x59e6ca(0x26c3),'msg':_0x13806a[_0x59e6ca(0x25c)]?JSON[_0x59e6ca(0x2701)](_0x13806a[_0x59e6ca(0x25c)][_0x59e6ca(0x155e)]):_0x13806a[_0x59e6ca(0x155e)]||_0x13806a[_0x59e6ca(0x147f)]()});});},function(){});}function _0x545d3c(_0x312f2e){return _0x312f2e===null?undefined:new Date(_0x312f2e);}function _0x40ef2d(_0x3fecdd){const _0x21d598=_0x3f4e5e;_0x2ae862[_0x21d598(0x1426)](_0x3fecdd);}}const _0x3d7546=_0x9dc9c7;;_0x456c6b[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),'api','ivrCampaign',_0x5537c6(0x1ae),_0x5537c6(0x2168),'Auth',_0x5537c6(0x1b1a)];function _0x456c6b(_0x514c5b,_0x177ab9,_0x50c9ec,_0x308c50,_0x4ce688,_0x5e3a78,_0x1d0537,_0x32304e,_0x1f2ab5){const _0x2decd3=_0x5537c6,_0x355624=this;_0x355624[_0x2decd3(0xe76)]=_0x32304e[_0x2decd3(0x21e8)](),_0x355624[_0x2decd3(0x1218)]=_0x4ce688,_0x355624['crudPermissions']=_0x1f2ab5,_0x355624[_0x2decd3(0x2847)]=_0x2decd3(0x26b3),_0x355624[_0x2decd3(0x21eb)]=_0x2decd3(0xe93),_0x355624[_0x2decd3(0x45a)]=_0x2decd3(0x13a3),_0x355624['removeApi']=_0x2decd3(0x1980),_0x355624[_0x2decd3(0x122f)]=[],_0x355624[_0x2decd3(0x1372)]=[],_0x355624['selectedItems']=[],_0x355624[_0x2decd3(0xbd5)]=[],_0x355624[_0x2decd3(0x1456)]=[],_0x355624[_0x2decd3(0x50c)]=![],_0x355624[_0x2decd3(0x2279)]=![],_0x355624[_0x2decd3(0x18c0)]={'readOnly':!_0x355624['crudPermissions'][_0x2decd3(0xb3d)],'allowedItems':[],'selectedItems':[],'showSelectAndDeselectAll':![],'orderBy':_0x2decd3(0x16b6),'line1':_0x2decd3(0x16b6),'line2':'','line3':'','labelAll':_0x5e3a78[_0x2decd3(0x25cc)](_0x2decd3(0x1a90)),'labelSelected':_0x5e3a78[_0x2decd3(0x25cc)](_0x2decd3(0x8f4)),'transferCallback':function(){const _0x58724c=_0x2decd3,_0x20fa39=_0x39641b()[_0x58724c(0x1423)](_0x355624[_0x58724c(0x1456)],_0x355624['selectedItems'],'id');_0x355624[_0x58724c(0x50c)]=_0x39641b()[_0x58724c(0xce9)](_0x20fa39)?![]:!![];}},_0x355624[_0x2decd3(0x1a34)]=_0x2f186d,_0x355624['saveLists']=_0x417280,_0x355624[_0x2decd3(0xda0)]=_0x5a0a7d;function _0x2f186d(){const _0x541aa0=_0x2decd3;return _0x32304e[_0x541aa0(0x22b6)](_0x541aa0(0x1c60))?_0x18da2e()['catch'](function(_0xbd899f){const _0x5550cb=_0x541aa0;_0x50c9ec[_0x5550cb(0x218e)]({'title':_0xbd899f['status']?_0x5550cb(0xeb9)+_0xbd899f[_0x5550cb(0x291)]+_0x5550cb(0x1657)+_0xbd899f[_0x5550cb(0xc22)]:_0x5550cb(0xfa5),'msg':_0xbd899f[_0x5550cb(0x291)]?JSON[_0x5550cb(0x2701)](_0xbd899f['data']):_0xbd899f[_0x5550cb(0x147f)]()});}):_0x54416c()[_0x541aa0(0x1cb0)](function(_0x14f203){const _0x1551db=_0x541aa0;return _0x355624[_0x1551db(0x1f74)]=_0x14f203,_0x18da2e();})[_0x541aa0(0x1c4)](function(_0x16d8cc){const _0x54e1de=_0x541aa0;_0x50c9ec['error']({'title':_0x16d8cc['status']?_0x54e1de(0xeb9)+_0x16d8cc[_0x54e1de(0x291)]+_0x54e1de(0x1657)+_0x16d8cc['statusText']:'SYSTEM:GETLISTS','msg':_0x16d8cc[_0x54e1de(0x291)]?JSON['stringify'](_0x16d8cc[_0x54e1de(0x25c)]):_0x16d8cc[_0x54e1de(0x147f)]()});});}function _0x54416c(){return _0x177ab9(function(_0x2467af,_0x8e3401){const _0x58a773=a0_0x5cbd;_0x308c50[_0x58a773(0x2199)]['get']({'userProfileId':_0x355624['currentUser']['userProfileId'],'name':_0x58a773(0x191f)})['$promise'][_0x58a773(0x1cb0)](function(_0x34732c){const _0x163e28=_0x58a773,_0x8406c4=_0x34732c&&_0x34732c['rows']?_0x34732c[_0x163e28(0x2214)][0x0]:null;_0x2467af(_0x8406c4);})[_0x58a773(0x1c4)](function(_0xac85a1){_0x8e3401(_0xac85a1);});});}function _0x18da2e(){return _0x177ab9(function(_0x2b3594,_0x26f92b){const _0x26489f=a0_0x5cbd;return _0x50d14e()[_0x26489f(0x1cb0)](function(_0x5b687f){const _0x4ff361=_0x26489f;return _0x355624[_0x4ff361(0x122f)]=_0x5b687f[_0x4ff361(0x2214)]?_0x5b687f['rows']:[],_0x32304e[_0x4ff361(0x22b6)]('admin')?_0x5b687f:_0x355624[_0x4ff361(0x1f74)]?_0x355624[_0x4ff361(0x1f74)]['autoAssociation']?_0x5b687f:_0x51f6b6():null;})[_0x26489f(0x1cb0)](function(_0x577513){const _0x333b0e=_0x26489f,_0x193b46=_0x577513&&_0x577513[_0x333b0e(0x2214)]?_0x577513[_0x333b0e(0x2214)]:[];return _0x355624[_0x333b0e(0x1372)]=_0x39641b()[_0x333b0e(0x1de2)](_0x193b46,function(_0x3bb45b){const _0x497113=_0x333b0e;return _0x39641b()[_0x497113(0x13b4)](_0x355624['items'],{'id':_0x32304e['hasRole']('admin')||_0x355624['section'][_0x497113(0x12f4)]?_0x3bb45b['id']:_0x3bb45b[_0x497113(0x2982)]});}),_0x355624['startingAllowedItems']=angular[_0x333b0e(0x17fe)](_0x355624[_0x333b0e(0x1372)]),_0x355624[_0x333b0e(0x122f)]['forEach'](function(_0x5410ff){const _0x5da36e=_0x333b0e,_0x13d691=_0x39641b()[_0x5da36e(0x13b4)](_0x355624[_0x5da36e(0x1372)],{'id':_0x5410ff['id']});_0x355624[_0x5da36e(0xe76)][_0x5da36e(0x26e6)]===_0x5da36e(0x1c60)?_0x5410ff[_0x5da36e(0x1a4f)]=!![]:_0x5410ff[_0x5da36e(0x1a4f)]=typeof _0x13d691!==_0x5da36e(0x16b5)?!![]:![];}),_0x5d7028();})[_0x26489f(0x1cb0)](function(_0x2b15a6){const _0x523e85=_0x26489f,_0x397454=_0x2b15a6&&_0x2b15a6[_0x523e85(0x2214)]?_0x2b15a6['rows']:[];_0x355624[_0x523e85(0x20bb)]=_0x39641b()[_0x523e85(0x1de2)](_0x397454,function(_0x5b0f59){const _0x3fbe7d=_0x523e85;return _0x39641b()[_0x3fbe7d(0x13b4)](_0x355624[_0x3fbe7d(0x122f)],{'id':_0x5b0f59['id']});}),_0x355624[_0x523e85(0x1456)]=angular[_0x523e85(0x17fe)](_0x355624[_0x523e85(0x20bb)]),_0x355624[_0x523e85(0x18c0)][_0x523e85(0x20bb)]=_0x355624[_0x523e85(0x20bb)],_0x355624[_0x523e85(0x18c0)][_0x523e85(0x122f)]=_0x39641b()[_0x523e85(0x2128)](_0x355624['allowedItems'],_0x355624[_0x523e85(0x18c0)][_0x523e85(0x20bb)],'id'),_0x2b3594();})[_0x26489f(0x1c4)](function(_0x37f1e2){_0x26f92b(_0x37f1e2);});});}function _0x51f6b6(){return _0x177ab9(function(_0xe845d0,_0x1f3c80){const _0x28183f=a0_0x5cbd;return _0x308c50['userProfileResource'][_0x28183f(0xbf7)]({'sectionId':_0x355624[_0x28183f(0x1f74)]['id'],'nolimit':!![]})['$promise'][_0x28183f(0x1cb0)](function(_0x3aea9f){_0xe845d0(_0x3aea9f);})[_0x28183f(0x1c4)](function(_0x5530e1){_0x1f3c80(_0x5530e1);});});}function _0x5d7028(){return _0x177ab9(function(_0x269f6a,_0x4452bf){const _0x1abd79=a0_0x5cbd,_0x5b90c9=_0x308c50[_0x355624['apiRoute']];return _0x5b90c9[_0x355624[_0x1abd79(0x21eb)]]({'id':_0x355624['ivrCampaign']['id'],'fields':_0x1abd79(0x43c),'nolimit':!![]})[_0x1abd79(0x1d77)][_0x1abd79(0x1cb0)](function(_0x297b99){_0x269f6a(_0x297b99);})[_0x1abd79(0x1c4)](function(_0x151e96){_0x4452bf(_0x151e96);});});}function _0x50d14e(){return _0x177ab9(function(_0x3d8136,_0x8ab03b){const _0x2729fd=a0_0x5cbd;return _0x308c50[_0x2729fd(0x22f2)]['get']({'fields':_0x2729fd(0x43c),'nolimit':!![]})[_0x2729fd(0x1d77)][_0x2729fd(0x1cb0)](function(_0x2b30fe){_0x3d8136(_0x2b30fe);})[_0x2729fd(0x1c4)](function(_0x53514c){_0x8ab03b(_0x53514c);});});}function _0xbd1632(_0x28e72b){return _0x177ab9(function(_0x5b4917,_0xc44bb6){const _0x3d147a=a0_0x5cbd;if(_0x39641b()['isEmpty'](_0x28e72b))_0x5b4917();else{const _0x52595f=_0x308c50[_0x355624[_0x3d147a(0x2847)]];_0x52595f[_0x355624[_0x3d147a(0x45a)]]({'id':_0x355624['ivrCampaign']['id'],'ids':_0x39641b()[_0x3d147a(0x1de2)](_0x28e72b,'id')})[_0x3d147a(0x1d77)][_0x3d147a(0x1cb0)](function(){_0x5b4917();})[_0x3d147a(0x1c4)](function(_0x49fca4){_0xc44bb6(_0x49fca4);});}});}function _0x3a8faa(_0x163dd0){return _0x177ab9(function(_0x38baba,_0x589673){const _0x56dc17=a0_0x5cbd;if(_0x39641b()[_0x56dc17(0xce9)](_0x163dd0))_0x38baba();else{const _0x4a58ff=_0x308c50[_0x355624[_0x56dc17(0x2847)]];_0x4a58ff[_0x355624[_0x56dc17(0x9f3)]]({'id':_0x355624['ivrCampaign']['id'],'ids':_0x39641b()['map'](_0x163dd0,'id')})['$promise'][_0x56dc17(0x1cb0)](function(){_0x38baba();})[_0x56dc17(0x1c4)](function(_0x2a27cb){_0x589673(_0x2a27cb);});}});}function _0x417280(){const _0x37c868=_0x2decd3;_0x355624[_0x37c868(0x2279)]=!![];const _0x47f805=_0x39641b()[_0x37c868(0x2128)](_0x355624[_0x37c868(0x1456)],_0x355624[_0x37c868(0x20bb)],'id'),_0x3a448a=_0x39641b()[_0x37c868(0x2128)](_0x355624[_0x37c868(0x20bb)],_0x355624[_0x37c868(0x1456)],'id');return _0x3a8faa(_0x47f805)[_0x37c868(0x1cb0)](function(){return _0xbd1632(_0x3a448a);})[_0x37c868(0x1cb0)](function(){const _0x35aee3=_0x37c868;_0x355624[_0x35aee3(0x50c)]=![],_0x355624[_0x35aee3(0xbd5)]=angular[_0x35aee3(0x17fe)](_0x355624[_0x35aee3(0x1372)]),_0x355624[_0x35aee3(0x1456)]=angular[_0x35aee3(0x17fe)](_0x355624[_0x35aee3(0x20bb)]),_0x50c9ec['success']({'title':_0x35aee3(0x201),'msg':_0x35aee3(0x1a03)});})[_0x37c868(0x1c4)](function(_0x410d92){const _0x572bfc=_0x37c868;_0x50c9ec[_0x572bfc(0x218e)]({'title':_0x410d92['status']?_0x572bfc(0xeb9)+_0x410d92[_0x572bfc(0x291)]+_0x572bfc(0x1657)+_0x410d92[_0x572bfc(0xc22)]:_0x572bfc(0x1221),'msg':_0x410d92[_0x572bfc(0x291)]?JSON[_0x572bfc(0x2701)](_0x410d92[_0x572bfc(0x25c)]):_0x410d92['toString']()});})['finally'](function(){const _0x2c1952=_0x37c868;_0x355624[_0x2c1952(0x2279)]=![];});}function _0x5a0a7d(){const _0x4d9652=_0x2decd3;_0x514c5b[_0x4d9652(0x1426)]();}}const _0x4b65bb=_0x456c6b;;_0x53739a['$inject']=[_0x5537c6(0xcb9),_0x5537c6(0x406),_0x5537c6(0x9bf),'api','ivrCampaign',_0x5537c6(0x1fda),_0x5537c6(0x1ae)];function _0x53739a(_0x39b032,_0x3acae5,_0x33e2dd,_0x550761,_0x267817,_0x31aafc,_0x443d79){const _0x50b1fb=_0x5537c6,_0xf5599f=this;_0xf5599f[_0x50b1fb(0x4bd)]=!![],_0xf5599f['ivrCampaign']=angular[_0x50b1fb(0x17fe)](_0x267817),_0xf5599f[_0x50b1fb(0x1fda)]=_0x31aafc,_0xf5599f['title']=_0x443d79['instant']('MOTIONDIALER.CLONE_IVRCAMPAIGN');_0x267817&&_0x267817[_0x50b1fb(0x16b6)]&&(_0xf5599f[_0x50b1fb(0x1386)]+=':\x20'+_0x267817[_0x50b1fb(0x16b6)]);_0xf5599f[_0x50b1fb(0xa2a)]=_0x278366,_0xf5599f[_0x50b1fb(0xda0)]=_0xd0a81b;function _0x278366(){const _0x5d9cc4=_0x50b1fb;return _0x550761['campaign']['clone']({'id':_0x267817['id']},_0xf5599f[_0x5d9cc4(0x1218)])[_0x5d9cc4(0x1d77)][_0x5d9cc4(0x1cb0)](function(_0x5a1dbd){const _0x4aaf8c=_0x5d9cc4;_0xf5599f[_0x4aaf8c(0x1fda)][_0x4aaf8c(0xf63)](_0x5a1dbd[_0x4aaf8c(0x19b2)]()),_0x33e2dd[_0x4aaf8c(0x829)]({'title':_0x4aaf8c(0x25e4),'msg':_0xf5599f['ivrCampaign'][_0x4aaf8c(0x16b6)]?_0xf5599f[_0x4aaf8c(0x1218)][_0x4aaf8c(0x16b6)]+_0x4aaf8c(0x2204):''}),_0x3acae5['go'](_0x4aaf8c(0x7cd),{'id':_0x5a1dbd['id']}),_0xd0a81b(_0x5a1dbd);})[_0x5d9cc4(0x1c4)](function(_0x2c3685){const _0x4b8e51=_0x5d9cc4;if(_0x2c3685[_0x4b8e51(0x25c)]&&_0x2c3685[_0x4b8e51(0x25c)][_0x4b8e51(0x1a7c)]&&_0x2c3685[_0x4b8e51(0x25c)][_0x4b8e51(0x1a7c)]['length']){_0xf5599f[_0x4b8e51(0x1a7c)]=_0x2c3685[_0x4b8e51(0x25c)][_0x4b8e51(0x1a7c)]||[{'message':_0x2c3685[_0x4b8e51(0x147f)](),'type':_0x4b8e51(0x253b)}];for(let _0x355d06=0x0;_0x355d06<_0x2c3685[_0x4b8e51(0x25c)][_0x4b8e51(0x1a7c)]['length'];_0x355d06+=0x1){_0x33e2dd['error']({'title':_0x2c3685[_0x4b8e51(0x25c)][_0x4b8e51(0x1a7c)][_0x355d06]['type'],'msg':_0x2c3685[_0x4b8e51(0x25c)][_0x4b8e51(0x1a7c)][_0x355d06][_0x4b8e51(0x155e)]});}}else _0x33e2dd[_0x4b8e51(0x218e)]({'title':_0x2c3685[_0x4b8e51(0x291)]?_0x4b8e51(0xeb9)+_0x2c3685[_0x4b8e51(0x291)]+_0x4b8e51(0x1657)+_0x2c3685[_0x4b8e51(0xc22)]:_0x4b8e51(0x253b),'msg':_0x2c3685[_0x4b8e51(0x25c)]?JSON[_0x4b8e51(0x2701)](_0x2c3685[_0x4b8e51(0x25c)]['message']):_0x2c3685[_0x4b8e51(0x147f)]()});});}function _0xd0a81b(_0x3c96d3){const _0x2e8baa=_0x50b1fb;_0x39b032[_0x2e8baa(0x1426)](_0x3c96d3);}}const _0x1beecc=_0x53739a;;_0x43d837['$inject']=[_0x5537c6(0x173),_0x5537c6(0x1463),_0x5537c6(0x406),'$q',_0x5537c6(0x1ae),'$timeout',_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x9bf),'api',_0x5537c6(0x1774)];function _0x43d837(_0xdaa0a3,_0x1a7cb4,_0x394ab5,_0x24537d,_0x4a3c70,_0x575e09,_0x4b7ad3,_0x3398fd,_0x37f6fd,_0x317642,_0x47221f){const _0xf1b3bf=_0x5537c6,_0xc0505c=this;_0xc0505c[_0xf1b3bf(0xe76)]=_0x47221f['getCurrentUser'](),_0xc0505c[_0xf1b3bf(0x1218)]={},_0xc0505c[_0xf1b3bf(0x27b5)]={'count':0x0,'rows':[]},_0xc0505c[_0xf1b3bf(0x160)]=[],_0xc0505c[_0xf1b3bf(0x1b1a)],_0xc0505c[_0xf1b3bf(0xae2)]={'fields':_0xf1b3bf(0x1be4),'sort':_0xf1b3bf(0x7d0),'limit':0xa,'page':0x1},_0xc0505c['init']=_0x3b25b1,_0xc0505c[_0xf1b3bf(0xb25)]=_0x1f66ed,_0xc0505c['success']=_0x5c7dcd,_0xc0505c[_0xf1b3bf(0xcd4)]=_0x1c628d,_0xc0505c[_0xf1b3bf(0x25c4)]=_0x5e1dd1,_0xc0505c['deleteIvrCampaignHopperBlack']=_0x4b6086,_0xc0505c[_0xf1b3bf(0x19cc)]=_0x2776c7;function _0x3b25b1(_0x4ae232,_0x48db26){const _0x5e068d=_0xf1b3bf;_0xc0505c['ivrCampaign']=_0x4ae232,_0xc0505c['crudPermissions']=typeof _0x48db26!=='undefined'?_0x48db26:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0xc0505c['query'][_0x5e068d(0x21c1)]=_0xc0505c[_0x5e068d(0x1218)]['id'],_0xc0505c[_0x5e068d(0xae2)]['id']=_0xc0505c[_0x5e068d(0x1218)]['id'],_0x1c628d();}function _0x1f66ed(_0x3ee8f5,_0xe091af){const _0x4fa39e=_0xf1b3bf,_0x1f99a0=_0x4b7ad3[_0x4fa39e(0x1551)]()[_0x4fa39e(0x1386)](_0x4fa39e(0x23a5))[_0x4fa39e(0x49e)](_0x4fa39e(0x204d)+(_0x3ee8f5[_0x4fa39e(0x16b6)]||_0x3ee8f5['id']&&_0x39641b()[_0x4fa39e(0x277)]('hopperBlack\x20#')+_0x3ee8f5['id']||_0x4fa39e(0x939))+_0x4fa39e(0x1200)+'\x20will\x20be\x20deleted.')[_0x4fa39e(0x15ad)](_0x4fa39e(0x2009))['targetEvent'](_0xe091af)['ok']('OK')['cancel']('CANCEL');_0x4b7ad3[_0x4fa39e(0xe27)](_0x1f99a0)[_0x4fa39e(0x1cb0)](function(){_0x4b6086(_0x3ee8f5);},function(){const _0x2e1a31=_0x4fa39e;console[_0x2e1a31(0x1b4f)](_0x2e1a31(0x24ba));});}function _0x5c7dcd(_0x3fd9aa){_0xc0505c['ivrCampaignHopperBlacks']=_0x3fd9aa||{'count':0x0,'rows':[]};}function _0x1c628d(){const _0x27f77f=_0xf1b3bf;_0xc0505c['query']['offset']=(_0xc0505c['query']['page']-0x1)*_0xc0505c['query'][_0x27f77f(0x236)],_0xc0505c[_0x27f77f(0x2061)]=_0x317642[_0x27f77f(0x218c)]['getHopperBlacks'](_0xc0505c[_0x27f77f(0xae2)],_0x5c7dcd)[_0x27f77f(0x1d77)];}function _0x4b6086(_0x568b74){const _0x526e2a=_0xf1b3bf;_0x317642['hopperBlack']['delete']({'id':_0x568b74['id']})['$promise'][_0x526e2a(0x1cb0)](function(){const _0x301856=_0x526e2a;_0x39641b()[_0x301856(0x152a)](_0xc0505c['ivrCampaignHopperBlacks'][_0x301856(0x2214)],{'id':_0x568b74['id']}),_0xc0505c[_0x301856(0x27b5)]['count']-=0x1,!_0xc0505c[_0x301856(0x27b5)]['rows'][_0x301856(0xfd0)]&&_0x1c628d(),_0x37f6fd[_0x301856(0x829)]({'title':_0x301856(0x1e0f),'msg':_0x568b74['name']?_0x568b74['name']+_0x301856(0x3f5):''});})[_0x526e2a(0x1c4)](function(_0x5021a5){const _0x189127=_0x526e2a;if(_0x5021a5['data']&&_0x5021a5[_0x189127(0x25c)]['errors']&&_0x5021a5['data'][_0x189127(0x1a7c)][_0x189127(0xfd0)]){_0xc0505c[_0x189127(0x1a7c)]=_0x5021a5[_0x189127(0x25c)][_0x189127(0x1a7c)]||[{'message':_0x5021a5['toString'](),'type':_0x189127(0x2049)}];for(let _0x3f5a91=0x0;_0x3f5a91<_0x5021a5[_0x189127(0x25c)][_0x189127(0x1a7c)][_0x189127(0xfd0)];_0x3f5a91++){_0x37f6fd[_0x189127(0x218e)]({'title':_0x5021a5[_0x189127(0x25c)][_0x189127(0x1a7c)][_0x3f5a91][_0x189127(0x66a)],'msg':_0x5021a5[_0x189127(0x25c)][_0x189127(0x1a7c)][_0x3f5a91][_0x189127(0x155e)]});}}else _0x37f6fd[_0x189127(0x218e)]({'title':_0x5021a5['status']?'API:'+_0x5021a5[_0x189127(0x291)]+_0x189127(0x1657)+_0x5021a5[_0x189127(0xc22)]:_0x189127(0x2049),'msg':_0x5021a5['data']?JSON[_0x189127(0x2701)](_0x5021a5[_0x189127(0x25c)][_0x189127(0x155e)]):_0x5021a5[_0x189127(0x155e)]||_0x5021a5[_0x189127(0x147f)]()});});}function _0x5e1dd1(){const _0x723a33=_0xf1b3bf,_0x45b0a1=angular[_0x723a33(0x17fe)](_0xc0505c[_0x723a33(0x160)]);return _0xc0505c[_0x723a33(0x160)]=[],_0x45b0a1;}function _0x2776c7(_0x5d37ba){const _0x40966c=_0xf1b3bf,_0x35ae62=_0x4b7ad3[_0x40966c(0x1551)]()[_0x40966c(0x1386)](_0x40966c(0x29bf))['htmlContent'](_0x40966c(0x204d)+_0xc0505c['selectedIvrCampaignHopperBlacks']['length']+_0x40966c(0x1d6c)+_0x40966c(0x1b6))[_0x40966c(0x15ad)]('delete\x20hopperBlacks')['targetEvent'](_0x5d37ba)['ok']('OK')['cancel'](_0x40966c(0x24ba));_0x4b7ad3[_0x40966c(0xe27)](_0x35ae62)[_0x40966c(0x1cb0)](function(){const _0x148933=_0x40966c;_0xc0505c[_0x148933(0x160)]['forEach'](function(_0x311cb6){_0x4b6086(_0x311cb6);}),_0xc0505c[_0x148933(0x160)]=[];});}let _0x14c0b6=!![],_0x46d701=0x1;_0x1a7cb4['$watch']('vm_dc.query.filter',function(_0x23201a,_0x1bc1a0){const _0x5872fd=_0xf1b3bf;_0x14c0b6?_0x575e09(function(){_0x14c0b6=![];}):(!_0x1bc1a0&&(_0x46d701=_0xc0505c[_0x5872fd(0xae2)]['page']),_0x23201a!==_0x1bc1a0&&(_0xc0505c[_0x5872fd(0xae2)][_0x5872fd(0x1c7b)]=0x1),!_0x23201a&&(_0xc0505c[_0x5872fd(0xae2)]['page']=_0x46d701),_0x1c628d());});}const _0x3062e4=_0x43d837;;_0x544f2e[_0x5537c6(0x15b6)]=[_0x5537c6(0x173),_0x5537c6(0x1463),'$state','$q',_0x5537c6(0x1ae),'$timeout',_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x9bf),'api','Auth'];function _0x544f2e(_0x3f7d71,_0x65973c,_0x5a905f,_0xeaed5a,_0x2ee521,_0x49ed74,_0x1dc2e6,_0xa86c59,_0x7138b4,_0x26369f,_0x2144bc){const _0xae4be4=_0x5537c6,_0x58a824=this;_0x58a824['currentUser']=_0x2144bc[_0xae4be4(0x21e8)](),_0x58a824['contacts']={},_0x58a824['ivrCampaign']={},_0x58a824[_0xae4be4(0x1ae8)]={'count':0x0,'rows':[]},_0x58a824[_0xae4be4(0x1d1d)]=[],_0x58a824[_0xae4be4(0x1b1a)],_0x58a824[_0xae4be4(0xae2)]={'fields':_0xae4be4(0x2153),'sort':_0xae4be4(0x7d0),'limit':0xa,'page':0x1},_0x58a824[_0xae4be4(0x1a8e)]=_0x1b0083,_0x58a824[_0xae4be4(0xb25)]=_0x4e9bde,_0x58a824['success']=_0x25628e,_0x58a824[_0xae4be4(0x279f)]=_0x39360f,_0x58a824['exportSelectedIvrCampaignHopperFinals']=_0x148c02,_0x58a824[_0xae4be4(0x19b5)]=_0x36a2bd,_0x58a824['deleteSelectedIvrCampaignHopperFinals']=_0x1b620a,_0x58a824[_0xae4be4(0xaa9)]=_0x5ae241,_0x58a824[_0xae4be4(0x98c)]=_0x499784,_0x58a824['countContactsIvrCampaignHopperFinal']=_0xd9c3a0,_0x58a824[_0xae4be4(0x505)]=_0x5e1467,_0x58a824[_0xae4be4(0x2295)]=_0x3b65af;function _0x1b0083(_0x148856,_0x4c3c83){const _0x34654b=_0xae4be4;_0x58a824[_0x34654b(0x1218)]=_0x148856,_0x58a824[_0x34654b(0x1b1a)]=typeof _0x4c3c83!==_0x34654b(0x16b5)?_0x4c3c83:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x58a824[_0x34654b(0xae2)][_0x34654b(0x21c1)]=_0x58a824[_0x34654b(0x1218)]['id'],_0x58a824[_0x34654b(0xae2)]['id']=_0x58a824[_0x34654b(0x1218)]['id'],_0x39360f();}function _0x4e9bde(_0x324b48,_0x48f1d2){const _0x56403a=_0xae4be4,_0x53b6ce=_0x1dc2e6[_0x56403a(0x1551)]()['title'](_0x56403a(0x264d))['htmlContent'](''+(_0x324b48[_0x56403a(0x16b6)]||_0x324b48['id']&&_0x39641b()[_0x56403a(0x277)](_0x56403a(0x252b))+_0x324b48['id']||_0x56403a(0x20dd))+_0x56403a(0x1200)+_0x56403a(0x1b6))[_0x56403a(0x15ad)](_0x56403a(0x25d8))['targetEvent'](_0x48f1d2)['ok']('OK')[_0x56403a(0x696)](_0x56403a(0x24ba));_0x1dc2e6[_0x56403a(0xe27)](_0x53b6ce)[_0x56403a(0x1cb0)](function(){_0x36a2bd(_0x324b48);},function(){const _0x5b3275=_0x56403a;console['log'](_0x5b3275(0x24ba));});}function _0x25628e(_0x2a1136){_0x58a824['ivrCampaignHopperFinals']=_0x2a1136||{'count':0x0,'rows':[]};}function _0x39360f(){const _0x54c9df=_0xae4be4;_0x58a824['query'][_0x54c9df(0x184b)]=(_0x58a824[_0x54c9df(0xae2)][_0x54c9df(0x1c7b)]-0x1)*_0x58a824['query'][_0x54c9df(0x236)],_0x58a824[_0x54c9df(0x2061)]=_0x26369f[_0x54c9df(0x218c)][_0x54c9df(0x64e)](_0x58a824['query'],_0x25628e)[_0x54c9df(0x1d77)];}function _0x36a2bd(_0x4f2aa1){const _0x5a63eb=_0xae4be4;_0x26369f[_0x5a63eb(0x20dd)][_0x5a63eb(0x111d)]({'id':_0x4f2aa1['id']})['$promise'][_0x5a63eb(0x1cb0)](function(){const _0xa1be22=_0x5a63eb;_0x39641b()['remove'](_0x58a824[_0xa1be22(0x1ae8)][_0xa1be22(0x2214)],{'id':_0x4f2aa1['id']}),_0x58a824[_0xa1be22(0x1ae8)][_0xa1be22(0x184d)]-=0x1,!_0x58a824[_0xa1be22(0x1ae8)][_0xa1be22(0x2214)][_0xa1be22(0xfd0)]&&_0x39360f(),_0x7138b4['success']({'title':_0xa1be22(0xc60),'msg':_0x4f2aa1[_0xa1be22(0x16b6)]?_0x4f2aa1['name']+_0xa1be22(0x3f5):''});})['catch'](function(_0x44f734){const _0x1fd701=_0x5a63eb;if(_0x44f734[_0x1fd701(0x25c)]&&_0x44f734[_0x1fd701(0x25c)][_0x1fd701(0x1a7c)]&&_0x44f734[_0x1fd701(0x25c)][_0x1fd701(0x1a7c)]['length']){_0x58a824[_0x1fd701(0x1a7c)]=_0x44f734[_0x1fd701(0x25c)]['errors']||[{'message':_0x44f734[_0x1fd701(0x147f)](),'type':_0x1fd701(0x2049)}];for(let _0x58cfea=0x0;_0x58cfea<_0x44f734[_0x1fd701(0x25c)][_0x1fd701(0x1a7c)]['length'];_0x58cfea++){_0x7138b4[_0x1fd701(0x218e)]({'title':_0x44f734[_0x1fd701(0x25c)][_0x1fd701(0x1a7c)][_0x58cfea][_0x1fd701(0x66a)],'msg':_0x44f734[_0x1fd701(0x25c)][_0x1fd701(0x1a7c)][_0x58cfea][_0x1fd701(0x155e)]});}}else _0x7138b4[_0x1fd701(0x218e)]({'title':_0x44f734['status']?_0x1fd701(0xeb9)+_0x44f734['status']+'\x20-\x20'+_0x44f734[_0x1fd701(0xc22)]:_0x1fd701(0x2049),'msg':_0x44f734[_0x1fd701(0x25c)]?JSON[_0x1fd701(0x2701)](_0x44f734[_0x1fd701(0x25c)][_0x1fd701(0x155e)]):_0x44f734[_0x1fd701(0x155e)]||_0x44f734[_0x1fd701(0x147f)]()});});}function _0x148c02(){const _0x235e71=_0xae4be4,_0x12eedb=angular['copy'](_0x58a824[_0x235e71(0x1d1d)]);return _0x58a824['selectedIvrCampaignHopperFinals']=[],_0x12eedb;}function _0x1b620a(_0x36c856){const _0xa1a7a8=_0xae4be4,_0x451e5f=_0x1dc2e6[_0xa1a7a8(0x1551)]()[_0xa1a7a8(0x1386)](_0xa1a7a8(0x943))[_0xa1a7a8(0x49e)](_0xa1a7a8(0x204d)+_0x58a824[_0xa1a7a8(0x1d1d)][_0xa1a7a8(0xfd0)]+'\x20selected'+'\x20will\x20be\x20deleted.')[_0xa1a7a8(0x15ad)]('delete\x20hopperFinals')[_0xa1a7a8(0x728)](_0x36c856)['ok']('OK')['cancel'](_0xa1a7a8(0x24ba));_0x1dc2e6[_0xa1a7a8(0xe27)](_0x451e5f)[_0xa1a7a8(0x1cb0)](function(){const _0x144c5b=_0xa1a7a8;_0x58a824[_0x144c5b(0x1d1d)][_0x144c5b(0xf90)](function(_0x471d9c){_0x36a2bd(_0x471d9c);}),_0x58a824[_0x144c5b(0x1d1d)]=[];});}function _0x499784(_0x4dafaf){const _0x494064=_0xae4be4;_0x26369f['cmHopperFinal'][_0x494064(0x98c)]({'id':_0x58a824[_0x494064(0xae2)]['id'],'state':_0x4dafaf})[_0x494064(0x1d77)][_0x494064(0x1cb0)](function(_0x3cf378){const _0x21e5eb=_0x494064;_0x39641b()[_0x21e5eb(0x958)](_0x3cf378)&&_0x39641b()[_0x21e5eb(0x958)](_0x3cf378[0x0])?_0x7138b4['info']({'title':_0x21e5eb(0x9ce),'msg':'0'}):_0x7138b4[_0x21e5eb(0x28c7)]({'title':'Restored\x20Contacts','msg':JSON[_0x21e5eb(0x2701)](_0x3cf378[0x0][_0x21e5eb(0xf17)])});})['catch'](function(_0x5edf2a){const _0x27b369=_0x494064;_0x7138b4['error']({'title':_0x5edf2a[_0x27b369(0x291)]?_0x27b369(0xeb9)+_0x5edf2a[_0x27b369(0x291)]+_0x27b369(0x1657)+_0x5edf2a[_0x27b369(0xc22)]:_0x27b369(0x272a),'msg':_0x5edf2a['data']?JSON[_0x27b369(0x2701)](_0x5edf2a[_0x27b369(0x25c)]['message']):_0x5edf2a[_0x27b369(0x155e)]||_0x5edf2a[_0x27b369(0x147f)]()});});}function _0xd9c3a0(_0x56499a){const _0x563f68=_0xae4be4;_0x26369f[_0x563f68(0x145f)][_0x563f68(0x27f6)]({'id':_0x56499a})[_0x563f68(0x1d77)][_0x563f68(0x1cb0)](function(_0x54bc7b){const _0xa59da8=_0x563f68;_0x39641b()[_0xa59da8(0x9c1)](_0x58a824[_0xa59da8(0x7eb)],_0x54bc7b[0x0]);})[_0x563f68(0x1c4)](function(_0x14350f){const _0x2ea7fc=_0x563f68;_0x7138b4[_0x2ea7fc(0x218e)]({'title':_0x14350f['status']?_0x2ea7fc(0xeb9)+_0x14350f[_0x2ea7fc(0x291)]+_0x2ea7fc(0x1657)+_0x14350f[_0x2ea7fc(0xc22)]:_0x2ea7fc(0x2049),'msg':_0x14350f[_0x2ea7fc(0x25c)]?JSON[_0x2ea7fc(0x2701)](_0x14350f[_0x2ea7fc(0x25c)][_0x2ea7fc(0x155e)]):_0x14350f[_0x2ea7fc(0x155e)]||_0x14350f['toString']()});});}function _0x3b65af(_0x3a6497){const _0x5e6351=_0xae4be4,_0x86fea3=_0x1dc2e6[_0x5e6351(0x1551)]()[_0x5e6351(0x1386)](_0x5e6351(0x2104))['htmlContent']('\x20Contacts\x20selected'+'\x20will\x20be\x20restored.')[_0x5e6351(0x15ad)](_0x5e6351(0x20b))[_0x5e6351(0x728)](_0x3a6497)['ok']('OK')[_0x5e6351(0x696)](_0x5e6351(0x24ba));_0x1dc2e6[_0x5e6351(0xe27)](_0x86fea3)['then'](function(){const _0x2bcd23=_0x5e6351;_0x58a824[_0x2bcd23(0x1d1d)][_0x2bcd23(0xf90)](function(_0x5b50d7){_0x5ae241(_0x5b50d7);}),_0x58a824[_0x2bcd23(0x1d1d)]=[];});}function _0x5e1467(_0x510e68,_0x34a532){const _0xb2fa51=_0xae4be4,_0x2567d3=_0x1dc2e6[_0xb2fa51(0x1551)]()[_0xb2fa51(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20restore\x20the\x20contact?')[_0xb2fa51(0x49e)](_0xb2fa51(0x204d)+'Contact'+_0xb2fa51(0x1200)+_0xb2fa51(0x1031))[_0xb2fa51(0x15ad)](_0xb2fa51(0x7a1))['targetEvent'](_0x34a532)['ok']('OK')[_0xb2fa51(0x696)](_0xb2fa51(0x24ba));_0x1dc2e6[_0xb2fa51(0xe27)](_0x2567d3)[_0xb2fa51(0x1cb0)](function(){_0x5ae241(_0x510e68);},function(){const _0x5a6d7b=_0xb2fa51;console[_0x5a6d7b(0x1b4f)](_0x5a6d7b(0x24ba));});}function _0x3b3ab8(_0x29bb33){const _0x16145b=_0xae4be4,_0x203e63={};return _0x203e63[_0x16145b(0x1340)]=_0x29bb33[_0x16145b(0x17d3)],_0x203e63[_0x16145b(0x2251)]=_0x543b5a()()['format'](_0x16145b(0x2e8)),_0x203e63[_0x16145b(0x1969)]=0x0,_0x203e63[_0x16145b(0x1c8b)]=0x0,_0x203e63[_0x16145b(0x23ba)]=0x0,_0x203e63[_0x16145b(0xace)]=0x0,_0x203e63[_0x16145b(0xb96)]=_0x29bb33['ContactId'],_0x203e63[_0x16145b(0x20a6)]=_0x29bb33[_0x16145b(0x20a6)],_0x203e63[_0x16145b(0x21ab)]=_0x29bb33[_0x16145b(0x1eec)]?null:_0x29bb33[_0x16145b(0x21ab)],_0x203e63[_0x16145b(0x1116)]=_0x29bb33['VoiceQueueId'],_0x203e63[_0x16145b(0x21c1)]=_0x29bb33['CampaignId'],_0x203e63[_0x16145b(0x521)]=_0x29bb33['recallme']?0x3:0x2,_0x203e63[_0x16145b(0x1858)]=_0x29bb33['recallme']?!![]:![],_0x203e63;}function _0x21a2f3(_0x3cf0d7){const _0x535254=_0xae4be4,_0x5f4cde={};return _0x5f4cde[_0x535254(0x17d3)]=_0x3cf0d7[_0x535254(0x17d3)],_0x5f4cde[_0x535254(0xbb5)]=_0x3cf0d7[_0x535254(0xbb5)],_0x5f4cde[_0x535254(0x2251)]=_0x543b5a()()[_0x535254(0x1f31)](_0x535254(0x2e8)),_0x5f4cde[_0x535254(0x1969)]=_0x3cf0d7['countbusyretry'],_0x5f4cde[_0x535254(0x1c8b)]=_0x3cf0d7[_0x535254(0x1c8b)],_0x5f4cde[_0x535254(0x23ba)]=_0x3cf0d7['countnoanswerretry'],_0x5f4cde[_0x535254(0xace)]=_0x3cf0d7[_0x535254(0xace)],_0x5f4cde[_0x535254(0xb96)]=_0x3cf0d7[_0x535254(0xb96)],_0x5f4cde['ListId']=_0x3cf0d7[_0x535254(0x20a6)],_0x5f4cde[_0x535254(0x21ab)]=_0x2144bc[_0x535254(0x21e8)]()['id'],_0x5f4cde['VoiceQueueId']=_0x3cf0d7['VoiceQueueId'],_0x5f4cde['CampaignId']=_0x3cf0d7[_0x535254(0x21c1)],_0x5f4cde[_0x535254(0x206e)]=_0x543b5a()()[_0x535254(0x1f31)](_0x535254(0x2e8)),_0x5f4cde[_0x535254(0xfc3)]=!![],_0x5f4cde['state']=0x19,_0x5f4cde[_0x535254(0x448)]=_0x535254(0x12f1),_0x5f4cde[_0x535254(0x218c)]=_0x3cf0d7[_0x535254(0x218c)],_0x5f4cde['campaigntype']=_0x3cf0d7['VoiceQueueId']?_0x535254(0x11cf):_0x535254(0x242e),_0x5f4cde;}function _0x5ae241(_0x87005a){const _0x1f354f=_0xae4be4;_0x26369f[_0x1f354f(0x145f)][_0x1f354f(0x1fb7)](_0x87005a)['$promise'][_0x1f354f(0x1cb0)](function(_0x1d0ecd){const _0x51e69e=_0x1f354f;_0x7138b4[_0x51e69e(0x28c7)]({'title':_0x51e69e(0x109a),'msg':'Contact\x20ID\x20'+_0x1d0ecd[_0x51e69e(0xb96)]});})[_0x1f354f(0x1c4)](function(_0x4093c7){const _0x5dab52=_0x1f354f;_0x4093c7[_0x5dab52(0x25c)]===_0x5dab52(0xd6d)?_0x26369f[_0x5dab52(0x26bc)][_0x5dab52(0x1c3f)](_0x3b3ab8(_0x87005a))[_0x5dab52(0x1d77)][_0x5dab52(0x1cb0)](function(_0x320188){const _0x5883b3=_0x5dab52;_0x7138b4[_0x5883b3(0x28c7)]({'title':_0x5883b3(0x2791),'msg':_0x5883b3(0x615)+_0x320188[_0x5883b3(0xb96)]}),_0x320188[_0x5883b3(0xb96)]&&_0x26369f['cmHopperHistory'][_0x5883b3(0x1c3f)](_0x21a2f3(_0x87005a));})[_0x5dab52(0x1c4)](function(_0x4f000d){const _0x292579=_0x5dab52;!_0x39641b()[_0x292579(0x958)](_0x4f000d['data'])&&_0x4f000d['data'][_0x292579(0x155e)]==='Validation\x20error'?_0x7138b4[_0x292579(0x28c7)]({'title':_0x292579(0x109a),'msg':_0x292579(0x615)+_0x87005a[_0x292579(0xb96)]}):_0x7138b4[_0x292579(0x218e)]({'title':_0x4f000d[_0x292579(0x291)]?_0x292579(0xeb9)+_0x4f000d[_0x292579(0x291)]+_0x292579(0x1657)+_0x4f000d[_0x292579(0xc22)]:'SYSTEM:GETvoiceQueue','msg':_0x4f000d[_0x292579(0x25c)]?JSON[_0x292579(0x2701)](_0x4f000d[_0x292579(0x25c)][_0x292579(0x155e)]):_0x4f000d[_0x292579(0x155e)]||_0x4f000d[_0x292579(0x147f)]()});}):_0x7138b4[_0x5dab52(0x218e)]({'title':_0x4093c7[_0x5dab52(0x291)]?'API:'+_0x4093c7[_0x5dab52(0x291)]+_0x5dab52(0x1657)+_0x4093c7[_0x5dab52(0xc22)]:_0x5dab52(0x272a),'msg':_0x4093c7[_0x5dab52(0x25c)]?JSON['stringify'](_0x4093c7[_0x5dab52(0x25c)][_0x5dab52(0x155e)]):_0x4093c7[_0x5dab52(0x155e)]||_0x4093c7[_0x5dab52(0x147f)]()});});}let _0x378df5=!![],_0x440071=0x1;_0x65973c['$watch'](_0xae4be4(0xeb6),function(_0x1bca67,_0x5301e9){const _0xbc3b75=_0xae4be4;_0x378df5?_0x49ed74(function(){_0x378df5=![];}):(!_0x5301e9&&(_0x440071=_0x58a824[_0xbc3b75(0xae2)][_0xbc3b75(0x1c7b)]),_0x1bca67!==_0x5301e9&&(_0x58a824[_0xbc3b75(0xae2)][_0xbc3b75(0x1c7b)]=0x1),!_0x1bca67&&(_0x58a824[_0xbc3b75(0xae2)][_0xbc3b75(0x1c7b)]=_0x440071),_0x39360f());});}const _0x5bf115=_0x544f2e;;_0x5e8e3a[_0x5537c6(0x15b6)]=[_0x5537c6(0x173),'$scope',_0x5537c6(0x406),'$q',_0x5537c6(0x1ae),_0x5537c6(0x2168),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x1774)];function _0x5e8e3a(_0x5b6694,_0x61952f,_0x139b7a,_0x80ec7,_0x4248bc,_0x5bfbbf,_0x7286f2,_0x30c09f,_0x35dd3a,_0x5bb2bd,_0x50ac92){const _0xd879a0=_0x5537c6,_0x8438b3=this;_0x8438b3[_0xd879a0(0xe76)]=_0x50ac92[_0xd879a0(0x21e8)](),_0x8438b3['ivrCampaign']={},_0x8438b3[_0xd879a0(0xdc6)]={'count':0x0,'rows':[]},_0x8438b3[_0xd879a0(0xdf0)]=[],_0x8438b3[_0xd879a0(0x1b1a)],_0x8438b3['query']={'fields':'createdAt,updatedAt,uniqueid,ContactId,calleridnum,calleridname,statedesc,scheduledat,starttime,responsetime,endtime','sort':_0xd879a0(0x7d0),'limit':0xa,'page':0x1},_0x8438b3[_0xd879a0(0x1a8e)]=_0x176594,_0x8438b3['deleteConfirm']=_0x39cace,_0x8438b3['success']=_0x2dc6c6,_0x8438b3[_0xd879a0(0x1015)]=_0x3e6d93,_0x8438b3[_0xd879a0(0x1b93)]=_0xa8ad9e,_0x8438b3['deleteIvrCampaignHopperHistory']=_0x1667df,_0x8438b3[_0xd879a0(0x1351)]=_0x40c997;function _0x176594(_0x30c76b,_0x2d446b){const _0x2f1a89=_0xd879a0;_0x8438b3[_0x2f1a89(0x1218)]=_0x30c76b,_0x8438b3[_0x2f1a89(0x1b1a)]=typeof _0x2d446b!==_0x2f1a89(0x16b5)?_0x2d446b:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x8438b3[_0x2f1a89(0xae2)][_0x2f1a89(0x21c1)]=_0x8438b3['ivrCampaign']['id'],_0x8438b3['query']['id']=_0x8438b3[_0x2f1a89(0x1218)]['id'],_0x3e6d93();}function _0x39cace(_0x24146f,_0x5e75f3){const _0x4ad1d2=_0xd879a0,_0x5096c5=_0x7286f2[_0x4ad1d2(0x1551)]()[_0x4ad1d2(0x1386)](_0x4ad1d2(0x5d6))[_0x4ad1d2(0x49e)](_0x4ad1d2(0x204d)+(_0x24146f['name']||_0x24146f['id']&&_0x39641b()['upperFirst'](_0x4ad1d2(0x7a8))+_0x24146f['id']||_0x4ad1d2(0x3db))+_0x4ad1d2(0x1200)+'\x20will\x20be\x20deleted.')[_0x4ad1d2(0x15ad)](_0x4ad1d2(0x16d9))[_0x4ad1d2(0x728)](_0x5e75f3)['ok']('OK')[_0x4ad1d2(0x696)]('CANCEL');_0x7286f2['show'](_0x5096c5)[_0x4ad1d2(0x1cb0)](function(){_0x1667df(_0x24146f);},function(){const _0x319292=_0x4ad1d2;console['log'](_0x319292(0x24ba));});}function _0x2dc6c6(_0x18d2d7){_0x8438b3['ivrCampaignHopperHistories']=_0x18d2d7||{'count':0x0,'rows':[]};}function _0x3e6d93(){const _0x46535c=_0xd879a0;_0x8438b3['query']['offset']=(_0x8438b3[_0x46535c(0xae2)][_0x46535c(0x1c7b)]-0x1)*_0x8438b3[_0x46535c(0xae2)][_0x46535c(0x236)],_0x8438b3['promise']=_0x5bb2bd[_0x46535c(0x218c)][_0x46535c(0x116a)](_0x8438b3[_0x46535c(0xae2)],_0x2dc6c6)[_0x46535c(0x1d77)];}function _0x1667df(_0x55365e){const _0x2d65ef=_0xd879a0;_0x5bb2bd['hopperHistory'][_0x2d65ef(0x111d)]({'id':_0x55365e['id']})[_0x2d65ef(0x1d77)][_0x2d65ef(0x1cb0)](function(){const _0x3d7e63=_0x2d65ef;_0x39641b()[_0x3d7e63(0x152a)](_0x8438b3[_0x3d7e63(0xdc6)]['rows'],{'id':_0x55365e['id']}),_0x8438b3['ivrCampaignHopperHistories'][_0x3d7e63(0x184d)]-=0x1,!_0x8438b3['ivrCampaignHopperHistories'][_0x3d7e63(0x2214)][_0x3d7e63(0xfd0)]&&_0x3e6d93(),_0x35dd3a[_0x3d7e63(0x829)]({'title':_0x3d7e63(0xe5b),'msg':_0x55365e[_0x3d7e63(0x16b6)]?_0x55365e[_0x3d7e63(0x16b6)]+_0x3d7e63(0x3f5):''});})[_0x2d65ef(0x1c4)](function(_0x31d787){const _0x2e6cb8=_0x2d65ef;if(_0x31d787[_0x2e6cb8(0x25c)]&&_0x31d787[_0x2e6cb8(0x25c)][_0x2e6cb8(0x1a7c)]&&_0x31d787[_0x2e6cb8(0x25c)]['errors'][_0x2e6cb8(0xfd0)]){_0x8438b3[_0x2e6cb8(0x1a7c)]=_0x31d787[_0x2e6cb8(0x25c)]['errors']||[{'message':_0x31d787['toString'](),'type':_0x2e6cb8(0x2049)}];for(let _0x1d9f2d=0x0;_0x1d9f2d<_0x31d787['data'][_0x2e6cb8(0x1a7c)][_0x2e6cb8(0xfd0)];_0x1d9f2d++){_0x35dd3a[_0x2e6cb8(0x218e)]({'title':_0x31d787['data']['errors'][_0x1d9f2d]['type'],'msg':_0x31d787['data']['errors'][_0x1d9f2d][_0x2e6cb8(0x155e)]});}}else _0x35dd3a[_0x2e6cb8(0x218e)]({'title':_0x31d787['status']?_0x2e6cb8(0xeb9)+_0x31d787[_0x2e6cb8(0x291)]+_0x2e6cb8(0x1657)+_0x31d787[_0x2e6cb8(0xc22)]:'SYSTEM:GETcampaign','msg':_0x31d787[_0x2e6cb8(0x25c)]?JSON[_0x2e6cb8(0x2701)](_0x31d787[_0x2e6cb8(0x25c)][_0x2e6cb8(0x155e)]):_0x31d787['message']||_0x31d787[_0x2e6cb8(0x147f)]()});});}function _0xa8ad9e(){const _0x3eac28=_0xd879a0,_0x1ecd15=angular[_0x3eac28(0x17fe)](_0x8438b3[_0x3eac28(0xdf0)]);return _0x8438b3[_0x3eac28(0xdf0)]=[],_0x1ecd15;}function _0x40c997(_0x5efdd6){const _0x2cc63a=_0xd879a0,_0x55849e=_0x7286f2[_0x2cc63a(0x1551)]()['title'](_0x2cc63a(0x295e))[_0x2cc63a(0x49e)](''+_0x8438b3['selectedIvrCampaignHopperHistories'][_0x2cc63a(0xfd0)]+'\x20selected'+_0x2cc63a(0x1b6))[_0x2cc63a(0x15ad)]('delete\x20hopperHistories')[_0x2cc63a(0x728)](_0x5efdd6)['ok']('OK')[_0x2cc63a(0x696)](_0x2cc63a(0x24ba));_0x7286f2['show'](_0x55849e)['then'](function(){const _0x159782=_0x2cc63a;_0x8438b3[_0x159782(0xdf0)][_0x159782(0xf90)](function(_0x1eb65e){_0x1667df(_0x1eb65e);}),_0x8438b3[_0x159782(0xdf0)]=[];});}let _0x580d3d=!![],_0x423d2a=0x1;_0x61952f[_0xd879a0(0x614)](_0xd879a0(0xeb6),function(_0x249488,_0x393940){const _0x3ada2a=_0xd879a0;_0x580d3d?_0x5bfbbf(function(){_0x580d3d=![];}):(!_0x393940&&(_0x423d2a=_0x8438b3[_0x3ada2a(0xae2)][_0x3ada2a(0x1c7b)]),_0x249488!==_0x393940&&(_0x8438b3[_0x3ada2a(0xae2)][_0x3ada2a(0x1c7b)]=0x1),!_0x249488&&(_0x8438b3[_0x3ada2a(0xae2)][_0x3ada2a(0x1c7b)]=_0x423d2a),_0x3e6d93());});}const _0x42ebfb=_0x5e8e3a;;_0x15bf16[_0x5537c6(0x15b6)]=[_0x5537c6(0x173),'$scope','$state','$q',_0x5537c6(0x1ae),_0x5537c6(0x2168),_0x5537c6(0xcb9),'$document',_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x1774)];function _0x15bf16(_0x2d6fd7,_0x4e0df2,_0xf315b5,_0xb988d3,_0x4af0f6,_0x56119b,_0x127407,_0x56e9bc,_0x235404,_0x264f3e,_0x24e7a1){const _0x5bb6f5=_0x5537c6,_0x4fdd3a=this;_0x4fdd3a[_0x5bb6f5(0xe76)]=_0x24e7a1[_0x5bb6f5(0x21e8)](),_0x4fdd3a['ivrCampaign']={},_0x4fdd3a[_0x5bb6f5(0xb0c)]={'count':0x0,'rows':[]},_0x4fdd3a['selectedIvrCampaignHoppers']=[],_0x4fdd3a[_0x5bb6f5(0x1b1a)],_0x4fdd3a[_0x5bb6f5(0xae2)]={'fields':_0x5bb6f5(0x2799),'sort':_0x5bb6f5(0x282),'limit':0xa,'page':0x1},_0x4fdd3a[_0x5bb6f5(0x234b)]=_0x39641b()[_0x5bb6f5(0x2631)]([{'option':_0x5bb6f5(0xc6c),'value':_0x5bb6f5(0x4d3)},{'option':_0x5bb6f5(0x1173),'value':_0x5bb6f5(0xf37)},{'option':'Medium','value':_0x5bb6f5(0x1f8d)},{'option':_0x5bb6f5(0x1ec),'value':_0x5bb6f5(0x11e4)},{'option':_0x5bb6f5(0x1d1c),'value':_0x5bb6f5(0xbbb)}],function(_0x37bcda){const _0x5e31a6=_0x5bb6f5;return _0x39641b()[_0x5e31a6(0x288f)](_0x37bcda['value'],new RegExp('\x27','g'),'');}),_0x4fdd3a[_0x5bb6f5(0x1a8e)]=_0x4cd088,_0x4fdd3a[_0x5bb6f5(0xb25)]=_0x451172,_0x4fdd3a[_0x5bb6f5(0x829)]=_0x571fb4,_0x4fdd3a[_0x5bb6f5(0x2047)]=_0x650961,_0x4fdd3a['createOrEditIvrCampaignHopper']=_0x47589e,_0x4fdd3a[_0x5bb6f5(0xfb0)]=_0xcbb297,_0x4fdd3a[_0x5bb6f5(0x2792)]=_0x58659f,_0x4fdd3a['deleteSelectedIvrCampaignHoppers']=_0x5d7575,_0x24e7a1[_0x5bb6f5(0x22b6)](_0x5bb6f5(0x1c60))?_0x264f3e[_0x5bb6f5(0xebe)]['get']({'fields':_0x5bb6f5(0x43c),'role':_0x5bb6f5(0x1eff),'sort':_0x5bb6f5(0x16b6),'nolimit':'true'})['$promise']['then'](function(_0xb37604){const _0xfdc712=_0x5bb6f5;_0x4fdd3a['agents']=_0xb37604[_0xfdc712(0x2214)]||[];})[_0x5bb6f5(0x1c4)](function(_0x42c34e){const _0x64d784=_0x5bb6f5;_0x235404[_0x64d784(0x218e)]({'title':_0x42c34e[_0x64d784(0x291)]?'API:'+_0x42c34e[_0x64d784(0x291)]+'\x20-\x20'+_0x42c34e[_0x64d784(0xc22)]:_0x64d784(0x799),'msg':_0x42c34e[_0x64d784(0x25c)]?JSON[_0x64d784(0x2701)](_0x42c34e[_0x64d784(0x25c)]):_0x42c34e[_0x64d784(0x147f)]()});}):_0x264f3e[_0x5bb6f5(0xebe)][_0x5bb6f5(0xbf7)]({'fields':'id,name','role':_0x5bb6f5(0x1eff),'sort':'name','nolimit':'true'})[_0x5bb6f5(0x1d77)][_0x5bb6f5(0x1cb0)](function(_0x3cd800){const _0x1689bb=_0x5bb6f5;_0x4fdd3a[_0x1689bb(0xc12)]=_0x3cd800['rows']||[];})[_0x5bb6f5(0x1cb0)](function(){const _0x5ba215=_0x5bb6f5;return _0x264f3e[_0x5ba215(0x2199)][_0x5ba215(0xbf7)]({'userProfileId':_0x4fdd3a[_0x5ba215(0xe76)][_0x5ba215(0x13c1)],'sectionId':0xca})[_0x5ba215(0x1d77)];})[_0x5bb6f5(0x1cb0)](function(_0x5e04c9){const _0x5e45c1=_0x5bb6f5,_0x115c1f=_0x5e04c9&&_0x5e04c9[_0x5e45c1(0x2214)]?_0x5e04c9['rows'][0x0]:null;if(!_0x115c1f)_0x4fdd3a[_0x5e45c1(0xc12)]=[];else{if(!_0x115c1f[_0x5e45c1(0x12f4)])return _0x264f3e[_0x5e45c1(0x1198)]['get']({'sectionId':_0x115c1f['id']})['$promise'][_0x5e45c1(0x1cb0)](function(_0x518d39){const _0x38a9a7=_0x5e45c1,_0x5bfc7d=_0x39641b()[_0x38a9a7(0x1de2)](_0x518d39[_0x38a9a7(0x2214)],function(_0x400d48){const _0xa7caec=_0x38a9a7;return _0x39641b()[_0xa7caec(0x13b4)](_0x4fdd3a[_0xa7caec(0xc12)],{'id':_0x400d48[_0xa7caec(0x2982)]});});_0x4fdd3a['agents'][_0x38a9a7(0xf90)](function(_0x40476c){const _0x5c4a82=_0x38a9a7;!_0x39641b()['some'](_0x5bfc7d,['id',_0x40476c['id']])&&(_0x40476c['canSelect']=![]),_0x5bfc7d[_0x5c4a82(0x2785)](_0x40476c);}),_0x4fdd3a[_0x38a9a7(0xc12)]=_0x5bfc7d;});}})[_0x5bb6f5(0x1c4)](function(_0x16c6f3){const _0x3db386=_0x5bb6f5;_0x235404[_0x3db386(0x218e)]({'title':_0x16c6f3[_0x3db386(0x291)]?_0x3db386(0xeb9)+_0x16c6f3[_0x3db386(0x291)]+'\x20-\x20'+_0x16c6f3[_0x3db386(0xc22)]:_0x3db386(0x2159),'msg':_0x16c6f3[_0x3db386(0x25c)]?JSON[_0x3db386(0x2701)](_0x16c6f3[_0x3db386(0x25c)]):_0x16c6f3[_0x3db386(0x147f)]()});});function _0x4cd088(_0x3c562f,_0x4ded87){const _0x3b3785=_0x5bb6f5;_0x4fdd3a[_0x3b3785(0x1218)]=_0x3c562f,_0x4fdd3a[_0x3b3785(0x1b1a)]=typeof _0x4ded87!==_0x3b3785(0x16b5)?_0x4ded87:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x4fdd3a[_0x3b3785(0xae2)][_0x3b3785(0x21c1)]=_0x4fdd3a[_0x3b3785(0x1218)]['id'],_0x4fdd3a['query']['id']=_0x4fdd3a[_0x3b3785(0x1218)]['id'],_0x650961();}function _0x451172(_0x3662ed,_0x4729fe){const _0x1cf92b=_0x5bb6f5,_0x262877=_0x127407[_0x1cf92b(0x1551)]()['title'](_0x1cf92b(0x82c))['htmlContent'](_0x1cf92b(0x204d)+(_0x3662ed[_0x1cf92b(0x16b6)]||_0x3662ed['id']&&_0x39641b()[_0x1cf92b(0x277)](_0x1cf92b(0xe3a))+_0x3662ed['id']||_0x1cf92b(0x2151))+''+_0x1cf92b(0x1b6))[_0x1cf92b(0x15ad)](_0x1cf92b(0xcf0))[_0x1cf92b(0x728)](_0x4729fe)['ok']('OK')[_0x1cf92b(0x696)]('CANCEL');_0x127407['show'](_0x262877)[_0x1cf92b(0x1cb0)](function(){_0x58659f(_0x3662ed);},function(){const _0x22f6e1=_0x1cf92b;console[_0x22f6e1(0x1b4f)]('CANCEL');});}function _0x571fb4(_0x5a9102){const _0xe38d3f=_0x5bb6f5;_0x4fdd3a[_0xe38d3f(0xb0c)]=_0x5a9102||{'count':0x0,'rows':[]};}function _0x650961(){const _0x9c156d=_0x5bb6f5;_0x4fdd3a[_0x9c156d(0xae2)]['offset']=(_0x4fdd3a[_0x9c156d(0xae2)][_0x9c156d(0x1c7b)]-0x1)*_0x4fdd3a[_0x9c156d(0xae2)][_0x9c156d(0x236)],_0x4fdd3a[_0x9c156d(0x2061)]=_0x264f3e[_0x9c156d(0x218c)][_0x9c156d(0xb46)](_0x4fdd3a['query'],_0x571fb4)[_0x9c156d(0x1d77)];}function _0x47589e(_0x359980,_0x53b3ac){const _0x4f760d=_0x5bb6f5;_0x127407[_0x4f760d(0xe27)]({'controller':'CreateOrEditHopperCampaignIdDialogController','controllerAs':'vm','templateUrl':_0xf0b411,'parent':angular[_0x4f760d(0x1853)](_0x56e9bc[_0x4f760d(0x1ed9)]),'targetEvent':_0x359980,'clickOutsideToClose':!![],'locals':{'ivrCampaign':_0x4fdd3a['ivrCampaign'],'hopper':_0x53b3ac,'hoppers':_0x4fdd3a[_0x4f760d(0xb0c)][_0x4f760d(0x2214)],'license':null,'setting':null,'crudPermissions':_0x4fdd3a[_0x4f760d(0x1b1a)]}});}function _0x58659f(_0x22a648){const _0x46638c=_0x5bb6f5;_0x264f3e[_0x46638c(0x26bc)]['delete']({'id':_0x22a648['id']})[_0x46638c(0x1d77)][_0x46638c(0x1cb0)](function(){const _0x25f79c=_0x46638c;_0x39641b()[_0x25f79c(0x152a)](_0x4fdd3a[_0x25f79c(0xb0c)][_0x25f79c(0x2214)],{'id':_0x22a648['id']}),_0x4fdd3a[_0x25f79c(0xb0c)][_0x25f79c(0x184d)]-=0x1,!_0x4fdd3a[_0x25f79c(0xb0c)][_0x25f79c(0x2214)]['length']&&_0x650961(),_0x235404[_0x25f79c(0x829)]({'title':_0x25f79c(0x1aaa),'msg':_0x22a648['name']?_0x22a648['name']+_0x25f79c(0x3f5):''});})['catch'](function(_0x1f84d7){const _0x3b3ae6=_0x46638c;if(_0x1f84d7[_0x3b3ae6(0x25c)]&&_0x1f84d7['data'][_0x3b3ae6(0x1a7c)]&&_0x1f84d7[_0x3b3ae6(0x25c)]['errors'][_0x3b3ae6(0xfd0)]){_0x4fdd3a[_0x3b3ae6(0x1a7c)]=_0x1f84d7[_0x3b3ae6(0x25c)][_0x3b3ae6(0x1a7c)]||[{'message':_0x1f84d7[_0x3b3ae6(0x147f)](),'type':_0x3b3ae6(0x2049)}];for(let _0x113919=0x0;_0x113919<_0x1f84d7[_0x3b3ae6(0x25c)]['errors'][_0x3b3ae6(0xfd0)];_0x113919++){_0x235404[_0x3b3ae6(0x218e)]({'title':_0x1f84d7['data']['errors'][_0x113919][_0x3b3ae6(0x66a)],'msg':_0x1f84d7[_0x3b3ae6(0x25c)][_0x3b3ae6(0x1a7c)][_0x113919][_0x3b3ae6(0x155e)]});}}else _0x235404[_0x3b3ae6(0x218e)]({'title':_0x1f84d7[_0x3b3ae6(0x291)]?'API:'+_0x1f84d7[_0x3b3ae6(0x291)]+'\x20-\x20'+_0x1f84d7[_0x3b3ae6(0xc22)]:'SYSTEM:GETcampaign','msg':_0x1f84d7['data']?JSON['stringify'](_0x1f84d7['data'][_0x3b3ae6(0x155e)]):_0x1f84d7[_0x3b3ae6(0x155e)]||_0x1f84d7[_0x3b3ae6(0x147f)]()});});}function _0xcbb297(){const _0x515101=_0x5bb6f5,_0x5d9a96=angular[_0x515101(0x17fe)](_0x4fdd3a[_0x515101(0x26a6)]);return _0x4fdd3a[_0x515101(0x26a6)]=[],_0x5d9a96;}function _0x5d7575(_0x103892){const _0xb7ed59=_0x5bb6f5,_0x2a2598=_0x127407[_0xb7ed59(0x1551)]()[_0xb7ed59(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20hoppers?')['htmlContent'](_0xb7ed59(0x204d)+_0x4fdd3a[_0xb7ed59(0x26a6)][_0xb7ed59(0xfd0)]+'\x20selected'+_0xb7ed59(0x1b6))['ariaLabel'](_0xb7ed59(0x28b9))[_0xb7ed59(0x728)](_0x103892)['ok']('OK')['cancel'](_0xb7ed59(0x24ba));_0x127407[_0xb7ed59(0xe27)](_0x2a2598)['then'](function(){const _0x4e8ab1=_0xb7ed59;_0x4fdd3a['selectedIvrCampaignHoppers']['forEach'](function(_0xefe33d){_0x58659f(_0xefe33d);}),_0x4fdd3a[_0x4e8ab1(0x26a6)]=[];});}let _0x477935=!![],_0x5201a3=0x1;_0x4e0df2[_0x5bb6f5(0x614)](_0x5bb6f5(0xeb6),function(_0x30432b,_0x3a3330){const _0x21b674=_0x5bb6f5;_0x477935?_0x56119b(function(){_0x477935=![];}):(!_0x3a3330&&(_0x5201a3=_0x4fdd3a['query'][_0x21b674(0x1c7b)]),_0x30432b!==_0x3a3330&&(_0x4fdd3a[_0x21b674(0xae2)]['page']=0x1),!_0x30432b&&(_0x4fdd3a[_0x21b674(0xae2)][_0x21b674(0x1c7b)]=_0x5201a3),_0x650961());});}const _0x3480ac=_0x15bf16;;_0x5ea22d[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),'$location',_0x5537c6(0xcb9),'$q','$translate',_0x5537c6(0x9bf),'hoppers',_0x5537c6(0x2151),_0x5537c6(0x142b),'Auth',_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x5ea22d(_0x1f4356,_0x380f7f,_0x216d12,_0x52119f,_0x3867a,_0x40748f,_0xe50ddf,_0x13d4e6,_0x49e8e6,_0x4814b6,_0xebf83f,_0xf5eaf4,_0x305b63,_0x211266){const _0x61a559=_0x5537c6,_0x360670=this;_0x360670['currentUser']=_0xebf83f[_0x61a559(0x21e8)](),_0x360670['errors']=[],_0x360670[_0x61a559(0x9ca)]=_0x305b63,_0x360670[_0x61a559(0x8a5)]=_0xf5eaf4,_0x360670[_0x61a559(0x1b1a)]=_0x211266,_0x360670[_0x61a559(0xf4c)]={},_0x360670[_0x61a559(0x1b0c)]=_0x360670[_0x61a559(0x9ca)]&&_0x360670['setting']['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x360670[_0x61a559(0x1386)]=_0x61a559(0x2285),_0x360670[_0x61a559(0x2151)]=angular[_0x61a559(0x17fe)](_0x49e8e6),_0x360670['hoppers']=_0x13d4e6,_0x360670['newHopper']=![];!_0x360670[_0x61a559(0x2151)]&&(_0x360670[_0x61a559(0x2151)]={},_0x360670[_0x61a559(0x1386)]=_0x61a559(0x2407),_0x360670[_0x61a559(0x25f0)]=!![]);_0x380f7f['params']['id']&&(_0x360670[_0x61a559(0x2151)]['CampaignId']=_0x380f7f[_0x61a559(0x1dfe)]['id']);_0x360670[_0x61a559(0x3fe)]=_0x2b2b95,_0x360670[_0x61a559(0x1f48)]=_0x364c07,_0x360670[_0x61a559(0xbb8)]=_0x5ce591,_0x360670['getDateFromString']=_0xedaef4,_0x360670[_0x61a559(0xda0)]=_0x171d02,_0xebf83f['hasRole'](_0x61a559(0x1c60))?_0x4814b6['user'][_0x61a559(0xbf7)]({'fields':_0x61a559(0x43c),'role':_0x61a559(0x1eff),'sort':_0x61a559(0x16b6),'nolimit':_0x61a559(0x44d)})[_0x61a559(0x1d77)]['then'](function(_0x1cf757){const _0x52e498=_0x61a559;_0x360670[_0x52e498(0xc12)]=_0x1cf757['rows']||[];})[_0x61a559(0x1c4)](function(_0x1e7642){const _0x55c78c=_0x61a559;_0xe50ddf['error']({'title':_0x1e7642[_0x55c78c(0x291)]?'API:'+_0x1e7642[_0x55c78c(0x291)]+_0x55c78c(0x1657)+_0x1e7642['statusText']:_0x55c78c(0x799),'msg':_0x1e7642['data']?JSON[_0x55c78c(0x2701)](_0x1e7642[_0x55c78c(0x25c)]):_0x1e7642[_0x55c78c(0x147f)]()});}):_0x4814b6['user'][_0x61a559(0xbf7)]({'fields':_0x61a559(0x43c),'role':_0x61a559(0x1eff),'sort':'name','nolimit':'true'})[_0x61a559(0x1d77)][_0x61a559(0x1cb0)](function(_0x148f60){const _0x1d5cb3=_0x61a559;_0x360670[_0x1d5cb3(0xc12)]=_0x148f60[_0x1d5cb3(0x2214)]||[];})[_0x61a559(0x1cb0)](function(){const _0x53d05c=_0x61a559;return _0x4814b6[_0x53d05c(0x2199)][_0x53d05c(0xbf7)]({'userProfileId':_0x360670['currentUser']['userProfileId'],'sectionId':0xca})[_0x53d05c(0x1d77)];})['then'](function(_0xe99756){const _0x51be68=_0x61a559,_0xdd8888=_0xe99756&&_0xe99756[_0x51be68(0x2214)]?_0xe99756[_0x51be68(0x2214)][0x0]:null;if(!_0xdd8888)_0x360670['agents']=[];else{if(!_0xdd8888[_0x51be68(0x12f4)])return _0x4814b6['userProfileResource'][_0x51be68(0xbf7)]({'sectionId':_0xdd8888['id']})[_0x51be68(0x1d77)][_0x51be68(0x1cb0)](function(_0x966f9d){const _0x3bf33e=_0x51be68,_0x24a084=_0x39641b()[_0x3bf33e(0x1de2)](_0x966f9d[_0x3bf33e(0x2214)],function(_0x200c54){const _0x4a15d3=_0x3bf33e;return _0x39641b()['find'](_0x360670[_0x4a15d3(0xc12)],{'id':_0x200c54['resourceId']});});_0x360670[_0x3bf33e(0xc12)][_0x3bf33e(0xf90)](function(_0x64bc0e){const _0xf8e39c=_0x3bf33e;!_0x39641b()[_0xf8e39c(0x727)](_0x24a084,['id',_0x64bc0e['id']])&&(_0x64bc0e[_0xf8e39c(0x15da)]=![]),_0x24a084['push'](_0x64bc0e);}),_0x360670['agents']=_0x24a084;});}})[_0x61a559(0x1c4)](function(_0x38512a){const _0x46c09f=_0x61a559;_0xe50ddf[_0x46c09f(0x218e)]({'title':_0x38512a['status']?'API:'+_0x38512a[_0x46c09f(0x291)]+'\x20-\x20'+_0x38512a[_0x46c09f(0xc22)]:_0x46c09f(0x2159),'msg':_0x38512a['data']?JSON[_0x46c09f(0x2701)](_0x38512a[_0x46c09f(0x25c)]):_0x38512a[_0x46c09f(0x147f)]()});});function _0x2b2b95(){const _0x5b8f91=_0x61a559;_0x360670[_0x5b8f91(0x1a7c)]=[],_0x4814b6['cmHopper'][_0x5b8f91(0x1c3f)](_0x360670['hopper'])[_0x5b8f91(0x1d77)][_0x5b8f91(0x1cb0)](function(_0x3c538e){const _0x21f818=_0x5b8f91;_0x360670[_0x21f818(0xe3c)]['unshift'](_0x3c538e['toJSON']()),_0xe50ddf[_0x21f818(0x829)]({'title':_0x21f818(0x255),'msg':_0x360670[_0x21f818(0x2151)][_0x21f818(0x16b6)]?_0x360670[_0x21f818(0x2151)][_0x21f818(0x16b6)]+_0x21f818(0x470):''}),_0x171d02(_0x3c538e);})[_0x5b8f91(0x1c4)](function(_0x4078e5){const _0x1b9905=_0x5b8f91;if(_0x4078e5[_0x1b9905(0x25c)]&&_0x4078e5[_0x1b9905(0x25c)]['errors']&&_0x4078e5['data'][_0x1b9905(0x1a7c)][_0x1b9905(0xfd0)]){_0x360670[_0x1b9905(0x1a7c)]=_0x4078e5[_0x1b9905(0x25c)][_0x1b9905(0x1a7c)]||[{'message':_0x4078e5[_0x1b9905(0x147f)](),'type':_0x1b9905(0x2e4)}];for(let _0x5c6c06=0x0;_0x5c6c06<_0x4078e5[_0x1b9905(0x25c)][_0x1b9905(0x1a7c)][_0x1b9905(0xfd0)];_0x5c6c06+=0x1){_0xe50ddf[_0x1b9905(0x218e)]({'title':_0x4078e5[_0x1b9905(0x25c)][_0x1b9905(0x1a7c)][_0x5c6c06][_0x1b9905(0x66a)],'msg':_0x4078e5[_0x1b9905(0x25c)][_0x1b9905(0x1a7c)][_0x5c6c06][_0x1b9905(0x155e)]});}}else _0xe50ddf[_0x1b9905(0x218e)]({'title':_0x4078e5[_0x1b9905(0x291)]?_0x1b9905(0xeb9)+_0x4078e5['status']+_0x1b9905(0x1657)+_0x4078e5[_0x1b9905(0xc22)]:'api.cmHopper.save','msg':_0x4078e5['data']?JSON[_0x1b9905(0x2701)](_0x4078e5[_0x1b9905(0x25c)][_0x1b9905(0x155e)]):_0x4078e5['toString']()});});}function _0x364c07(){const _0x4f6689=_0x61a559;_0x360670[_0x4f6689(0x1a7c)]=[];let _0x13e925,_0x3101f4,_0x3e70bd,_0xf86535;_0x360670['hopper'][_0x4f6689(0x21ab)]=_0x360670[_0x4f6689(0x2151)][_0x4f6689(0x1858)]?_0x360670[_0x4f6689(0x2151)][_0x4f6689(0x21ab)]:null,_0x360670[_0x4f6689(0x2151)][_0x4f6689(0x189b)]=_0xebf83f[_0x4f6689(0x21e8)]()['id'],_0x4814b6[_0x4f6689(0x26bc)]['get']({'id':_0x360670[_0x4f6689(0x2151)]['id'],'attributes':['scheduledat']})[_0x4f6689(0x1d77)][_0x4f6689(0x1cb0)](function(_0x291b38){const _0x103064=_0x4f6689;_0x39641b()['isNil'](_0x291b38)||_0x39641b()[_0x103064(0xce9)](_0x291b38)?_0x13e925=_0x543b5a()()['format']('YYYY-MM-DD\x20HH:mm:ss'):_0x13e925=_0x291b38[_0x103064(0x2251)];})[_0x4f6689(0x2e0)](function(){const _0x282085=_0x4f6689;_0x4814b6[_0x282085(0x26bc)][_0x282085(0x687)]({'id':_0x360670[_0x282085(0x2151)]['id']},_0x39641b()['omit'](_0x360670['hopper'],[_0x282085(0x1116),_0x282085(0x21c1),_0x282085(0xb96),_0x282085(0x20a6)]))[_0x282085(0x1d77)][_0x282085(0x1cb0)](function(_0x1268b1){const _0x126e64=_0x282085;_0x3101f4=_0x1268b1,_0x4814b6[_0x126e64(0xbe7)]['get']({'id':_0x3101f4[_0x126e64(0xb96)],'attributes':[_0x126e64(0x1491),'lastName']})[_0x126e64(0x1d77)][_0x126e64(0x1cb0)](function(_0x976f70){const _0x54dd45=_0x126e64;!_0x39641b()[_0x54dd45(0x958)](_0x976f70)&&!_0x39641b()[_0x54dd45(0x958)](_0x976f70[_0x54dd45(0x1491)])&&(_0x3e70bd=_0x976f70[_0x54dd45(0x1491)],!_0x39641b()[_0x54dd45(0x958)](_0x976f70[_0x54dd45(0x1fbb)])&&(_0x3e70bd+='\x20'+_0x976f70[_0x54dd45(0x1fbb)]));})[_0x126e64(0x1c4)](function(){_0x3e70bd=undefined;})[_0x126e64(0x2e0)](function(){const _0x215fac=_0x126e64;_0x4814b6[_0x215fac(0x218c)][_0x215fac(0xbf7)]({'raw':![],'id':_0x3101f4['CampaignId'],'attributes':[_0x215fac(0x16b6)]})[_0x215fac(0x1d77)][_0x215fac(0x1cb0)](function(_0x44c39f){const _0xebbec2=_0x215fac;_0xf86535=_0x44c39f[_0xebbec2(0x16b6)];})[_0x215fac(0x1c4)](function(){_0xf86535=undefined;})[_0x215fac(0x2e0)](function(){const _0x1f0647=_0x215fac;_0x4814b6['cmHopperHistory']['save']({'state':0x18,'statedesc':_0x1f0647(0x5ba),'scheduledat':_0x13e925,'calleridnum':_0x3101f4['phone'],'calleridname':_0x3e70bd,'campaigntype':_0x3101f4[_0x1f0647(0x1116)]?_0x1f0647(0x11cf):_0x1f0647(0x242e),'campaign':_0xf86535,'editedat':_0x543b5a()()[_0x1f0647(0x1f31)]('YYYY-MM-DD\x20HH:mm:ss'),'edited':!![],'ListId':_0x3101f4['ListId'],'UserId':_0xebf83f['getCurrentUser']()['id'],'VoiceQueueId':_0x3101f4['VoiceQueueId'],'CampaignId':_0x3101f4['CampaignId'],'ContactId':_0x3101f4[_0x1f0647(0xb96)],'callback':_0x3101f4[_0x1f0647(0x2170)],'callbackuniqueid':_0x3101f4[_0x1f0647(0x82e)],'countbusyretry':_0x3101f4['countbusyretry'],'countcongestionretry':_0x3101f4[_0x1f0647(0x1c8b)],'countglobal':_0x3101f4[_0x1f0647(0xace)],'countnoanswerretry':_0x3101f4[_0x1f0647(0x23ba)],'followuptime':_0x3101f4['followuptime'],'recallme':_0x3101f4[_0x1f0647(0x1858)]});});});const _0x5f4a52=_0x39641b()[_0x126e64(0x13b4)](_0x360670['hoppers'],{'id':_0x1268b1['id']});_0x5f4a52&&_0x39641b()[_0x126e64(0x9c1)](_0x5f4a52,_0x39641b()[_0x126e64(0x169b)](_0x1268b1[_0x126e64(0x19b2)](),_0x39641b()[_0x126e64(0x1be5)](_0x5f4a52))),_0xe50ddf[_0x126e64(0x829)]({'title':_0x126e64(0x1277),'msg':_0x360670[_0x126e64(0x2151)][_0x126e64(0x16b6)]?_0x360670[_0x126e64(0x2151)][_0x126e64(0x16b6)]+'\x20has\x20been\x20saved!':''}),_0x171d02(_0x1268b1);})[_0x282085(0x1c4)](function(_0x5e46d4){const _0x28cdcd=_0x282085;if(_0x5e46d4[_0x28cdcd(0x25c)]&&_0x5e46d4['data'][_0x28cdcd(0x1a7c)]&&_0x5e46d4['data'][_0x28cdcd(0x1a7c)][_0x28cdcd(0xfd0)]){_0x360670[_0x28cdcd(0x1a7c)]=_0x5e46d4['data']['errors']||[{'message':_0x5e46d4[_0x28cdcd(0x147f)](),'type':_0x28cdcd(0xfec)}];for(let _0x374ff0=0x0;_0x374ff0<_0x5e46d4[_0x28cdcd(0x25c)][_0x28cdcd(0x1a7c)]['length'];_0x374ff0++){_0xe50ddf[_0x28cdcd(0x218e)]({'title':_0x5e46d4[_0x28cdcd(0x25c)]['errors'][_0x374ff0][_0x28cdcd(0x66a)],'msg':_0x5e46d4[_0x28cdcd(0x25c)][_0x28cdcd(0x1a7c)][_0x374ff0][_0x28cdcd(0x155e)]});}}else _0xe50ddf[_0x28cdcd(0x218e)]({'title':_0x5e46d4[_0x28cdcd(0x291)]?_0x28cdcd(0xeb9)+_0x5e46d4[_0x28cdcd(0x291)]+'\x20-\x20'+_0x5e46d4[_0x28cdcd(0xc22)]:'api.cmHopper.update','msg':_0x5e46d4[_0x28cdcd(0x25c)]?JSON[_0x28cdcd(0x2701)](_0x5e46d4[_0x28cdcd(0x25c)]['message']):_0x5e46d4[_0x28cdcd(0x147f)]()});});});}function _0x5ce591(_0x1ff243){const _0x44ed90=_0x61a559;_0x360670[_0x44ed90(0x1a7c)]=[];const _0x221b9f=_0x52119f[_0x44ed90(0x1551)]()[_0x44ed90(0x1386)](_0x44ed90(0x1a2e))[_0x44ed90(0x862)](_0x44ed90(0x1188))[_0x44ed90(0x15ad)]('Delete\x20Hopper')['ok']('Delete')[_0x44ed90(0x696)](_0x44ed90(0xde1))[_0x44ed90(0x728)](_0x1ff243);_0x52119f['show'](_0x221b9f)[_0x44ed90(0x1cb0)](function(){const _0x177548=_0x44ed90;_0x4814b6['cmHopper'][_0x177548(0x111d)]({'id':_0x360670[_0x177548(0x2151)]['id']})[_0x177548(0x1d77)][_0x177548(0x1cb0)](function(){const _0x2e7820=_0x177548;_0x39641b()[_0x2e7820(0x152a)](_0x360670[_0x2e7820(0xe3c)],{'id':_0x360670[_0x2e7820(0x2151)]['id']}),_0xe50ddf[_0x2e7820(0x829)]({'title':'Hopper\x20properly\x20deleted!','msg':(_0x360670[_0x2e7820(0x2151)][_0x2e7820(0x16b6)]||'hopper')+_0x2e7820(0x3f5)}),_0x171d02(_0x360670['hopper']);})[_0x177548(0x1c4)](function(_0x16f740){const _0x113d3f=_0x177548;if(_0x16f740[_0x113d3f(0x25c)]&&_0x16f740['data']['errors']&&_0x16f740[_0x113d3f(0x25c)][_0x113d3f(0x1a7c)]['length']){_0x360670[_0x113d3f(0x1a7c)]=_0x16f740[_0x113d3f(0x25c)][_0x113d3f(0x1a7c)]||[{'message':_0x16f740[_0x113d3f(0x147f)](),'type':'api.cmHopper.delete'}];for(let _0x487040=0x0;_0x487040<_0x16f740[_0x113d3f(0x25c)]['errors'][_0x113d3f(0xfd0)];_0x487040++){_0xe50ddf[_0x113d3f(0x218e)]({'title':_0x16f740[_0x113d3f(0x25c)][_0x113d3f(0x1a7c)][_0x487040][_0x113d3f(0x66a)],'msg':_0x16f740['data'][_0x113d3f(0x1a7c)][_0x487040][_0x113d3f(0x155e)]});}}else _0xe50ddf['error']({'title':_0x16f740[_0x113d3f(0x291)]?_0x113d3f(0xeb9)+_0x16f740[_0x113d3f(0x291)]+_0x113d3f(0x1657)+_0x16f740[_0x113d3f(0xc22)]:_0x113d3f(0x9fd),'msg':_0x16f740[_0x113d3f(0x25c)]?JSON['stringify'](_0x16f740['data'][_0x113d3f(0x155e)]):_0x16f740[_0x113d3f(0x155e)]||_0x16f740[_0x113d3f(0x147f)]()});});},function(){});}function _0xedaef4(_0x47a00c){return _0x47a00c===null?undefined:new Date(_0x47a00c);}function _0x171d02(_0x5d682f){const _0x41469e=_0x61a559;_0x52119f[_0x41469e(0x1426)](_0x5d682f);}}const _0x3172fe=_0x5ea22d;;_0x78e7c4[_0x5537c6(0x15b6)]=['api','toasty'];function _0x78e7c4(_0x444868,_0x4010b0){const _0x12a55f=_0x5537c6,_0xaa624d=this;_0xaa624d[_0x12a55f(0x1218)]={},_0xaa624d['init']=_0x33eb9c;function _0x33eb9c(_0x1501ac){const _0x32c2da=_0x12a55f;_0xaa624d[_0x32c2da(0x1218)]=_0x1501ac,_0x444868[_0x32c2da(0x26bc)]['get']({'limit':0x0,'CampaignId':_0x1501ac['id']})[_0x32c2da(0x1d77)][_0x32c2da(0x1cb0)](function(_0x342135){const _0x392ff1=_0x32c2da;return _0xaa624d[_0x392ff1(0x181c)]=_0x342135['count']||0x0,_0x444868[_0x392ff1(0x26bc)][_0x392ff1(0xbf7)]({'limit':0x0,'CampaignId':_0x1501ac['id'],'active':![],'countbusyretry':0x0,'countcongestionretry':0x0,'countnoanswerretry':0x0})[_0x392ff1(0x1d77)];})['then'](function(_0x1ceda3){const _0x275ad8=_0x32c2da;return _0xaa624d[_0x275ad8(0xafe)]=_0x1ceda3[_0x275ad8(0x184d)]||0x0,_0x444868[_0x275ad8(0x145f)][_0x275ad8(0xbf7)]({'limit':0x0,'CampaignId':_0x1501ac['id']})[_0x275ad8(0x1d77)];})['then'](function(_0xb33e34){const _0x5139ad=_0x32c2da;_0xaa624d[_0x5139ad(0x22aa)]=_0xb33e34[_0x5139ad(0x184d)]||0x0;})[_0x32c2da(0x1c4)](function(_0x5e5549){const _0x5a9a1f=_0x32c2da;_0x4010b0[_0x5a9a1f(0x218e)]({'title':_0x5e5549['status']?_0x5a9a1f(0xeb9)+_0x5e5549[_0x5a9a1f(0x291)]+'\x20-\x20'+_0x5e5549[_0x5a9a1f(0xc22)]:'SYSTEM:GETTAGS','msg':_0x5e5549[_0x5a9a1f(0x25c)]?JSON['stringify'](_0x5e5549[_0x5a9a1f(0x25c)]):_0x5e5549[_0x5a9a1f(0x147f)]()});});}}const _0x4c5708=_0x78e7c4;;_0x5678d9[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q','toasty',_0x5537c6(0x142b),_0x5537c6(0x1218),'$translate',_0x5537c6(0x2168),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x5678d9(_0x18698a,_0x27dd62,_0x2f2e26,_0x3828a0,_0x355c9a,_0x5ca6c1,_0x4898ae,_0x4e85f0,_0x1c302f){const _0x394ec0=_0x5537c6,_0x53c90e=this;_0x53c90e['currentUser']=_0x4e85f0[_0x394ec0(0x21e8)](),_0x53c90e[_0x394ec0(0x1218)]=_0x355c9a,_0x53c90e[_0x394ec0(0x1b1a)]=_0x1c302f,_0x53c90e[_0x394ec0(0x2847)]=_0x394ec0(0x218c),_0x53c90e['getApi']=_0x394ec0(0x1fa7),_0x53c90e[_0x394ec0(0x45a)]=_0x394ec0(0x285),_0x53c90e[_0x394ec0(0x9f3)]=_0x394ec0(0x157b),_0x53c90e[_0x394ec0(0x122f)]=[],_0x53c90e[_0x394ec0(0x1372)]=[],_0x53c90e['selectedItems']=[],_0x53c90e[_0x394ec0(0xbd5)]=[],_0x53c90e[_0x394ec0(0x1456)]=[],_0x53c90e[_0x394ec0(0x50c)]=![],_0x53c90e[_0x394ec0(0x2279)]=![],_0x53c90e['dualMultiselectOptions']={'readOnly':!_0x53c90e[_0x394ec0(0x1b1a)][_0x394ec0(0xb3d)],'allowedItems':[],'selectedItems':[],'showSelectAndDeselectAll':![],'orderBy':'name','line1':_0x394ec0(0x16b6),'line2':'','line3':'','labelAll':_0x5ca6c1[_0x394ec0(0x25cc)]('MOTIONDIALER.ALL_LISTS'),'labelSelected':_0x5ca6c1['instant'](_0x394ec0(0x8f4)),'transferCallback':function(){const _0x2f47c3=_0x394ec0,_0x46d446=_0x39641b()[_0x2f47c3(0x1423)](_0x53c90e[_0x2f47c3(0x1456)],_0x53c90e[_0x2f47c3(0x20bb)],'id');_0x53c90e[_0x2f47c3(0x50c)]=_0x39641b()[_0x2f47c3(0xce9)](_0x46d446)?![]:!![];}},_0x53c90e[_0x394ec0(0x1a34)]=_0x4b6cdc,_0x53c90e[_0x394ec0(0x24f8)]=_0x490cdc,_0x53c90e['closeDialog']=_0x1e04a8;function _0x4b6cdc(){const _0x502a36=_0x394ec0;return _0x4e85f0['hasRole']('admin')?_0x3838bb()['catch'](function(_0x751498){const _0x2a2e72=a0_0x5cbd;_0x2f2e26[_0x2a2e72(0x218e)]({'title':_0x751498[_0x2a2e72(0x291)]?_0x2a2e72(0xeb9)+_0x751498['status']+_0x2a2e72(0x1657)+_0x751498[_0x2a2e72(0xc22)]:_0x2a2e72(0xfa5),'msg':_0x751498['status']?JSON[_0x2a2e72(0x2701)](_0x751498[_0x2a2e72(0x25c)]):_0x751498[_0x2a2e72(0x147f)]()});}):_0x43f800()[_0x502a36(0x1cb0)](function(_0x5e06cb){const _0x61e058=_0x502a36;return _0x53c90e[_0x61e058(0x1f74)]=_0x5e06cb,_0x3838bb();})[_0x502a36(0x1c4)](function(_0x3c1ab7){const _0x5bd6a2=_0x502a36;_0x2f2e26[_0x5bd6a2(0x218e)]({'title':_0x3c1ab7[_0x5bd6a2(0x291)]?_0x5bd6a2(0xeb9)+_0x3c1ab7[_0x5bd6a2(0x291)]+'\x20-\x20'+_0x3c1ab7['statusText']:_0x5bd6a2(0xfa5),'msg':_0x3c1ab7[_0x5bd6a2(0x291)]?JSON[_0x5bd6a2(0x2701)](_0x3c1ab7[_0x5bd6a2(0x25c)]):_0x3c1ab7[_0x5bd6a2(0x147f)]()});});}function _0x43f800(){return _0x27dd62(function(_0x167888,_0x587d0e){const _0x5e175c=a0_0x5cbd;_0x3828a0['userProfileSection']['get']({'userProfileId':_0x53c90e[_0x5e175c(0xe76)][_0x5e175c(0x13c1)],'name':_0x5e175c(0x191f)})[_0x5e175c(0x1d77)][_0x5e175c(0x1cb0)](function(_0x3e60e8){const _0x32bb40=_0x5e175c,_0x100c6c=_0x3e60e8&&_0x3e60e8[_0x32bb40(0x2214)]?_0x3e60e8['rows'][0x0]:null;_0x167888(_0x100c6c);})[_0x5e175c(0x1c4)](function(_0x4498d5){_0x587d0e(_0x4498d5);});});}function _0x3838bb(){return _0x27dd62(function(_0x36846f,_0x566665){const _0x123e07=a0_0x5cbd;return _0x4ffb95()[_0x123e07(0x1cb0)](function(_0x3c623c){const _0x28fd82=_0x123e07;return _0x53c90e[_0x28fd82(0x122f)]=_0x3c623c[_0x28fd82(0x2214)]?_0x3c623c[_0x28fd82(0x2214)]:[],_0x4e85f0[_0x28fd82(0x22b6)](_0x28fd82(0x1c60))?_0x3c623c:_0x53c90e[_0x28fd82(0x1f74)]?_0x53c90e[_0x28fd82(0x1f74)][_0x28fd82(0x12f4)]?_0x3c623c:_0x1474aa():null;})[_0x123e07(0x1cb0)](function(_0x2c94f8){const _0x4a6f3a=_0x123e07,_0x40eae3=_0x2c94f8&&_0x2c94f8[_0x4a6f3a(0x2214)]?_0x2c94f8[_0x4a6f3a(0x2214)]:[];return _0x53c90e[_0x4a6f3a(0x1372)]=_0x39641b()[_0x4a6f3a(0x1de2)](_0x40eae3,function(_0x283a7b){const _0xbdbb2f=_0x4a6f3a;return _0x39641b()[_0xbdbb2f(0x13b4)](_0x53c90e[_0xbdbb2f(0x122f)],{'id':_0x4e85f0[_0xbdbb2f(0x22b6)](_0xbdbb2f(0x1c60))||_0x53c90e[_0xbdbb2f(0x1f74)][_0xbdbb2f(0x12f4)]?_0x283a7b['id']:_0x283a7b[_0xbdbb2f(0x2982)]});}),_0x53c90e[_0x4a6f3a(0xbd5)]=angular[_0x4a6f3a(0x17fe)](_0x53c90e[_0x4a6f3a(0x1372)]),_0x53c90e[_0x4a6f3a(0x122f)][_0x4a6f3a(0xf90)](function(_0x4735e8){const _0x39a315=_0x4a6f3a,_0x56bfcd=_0x39641b()[_0x39a315(0x13b4)](_0x53c90e[_0x39a315(0x1372)],{'id':_0x4735e8['id']});_0x53c90e['currentUser'][_0x39a315(0x26e6)]===_0x39a315(0x1c60)?_0x4735e8[_0x39a315(0x1a4f)]=!![]:_0x4735e8[_0x39a315(0x1a4f)]=typeof _0x56bfcd!==_0x39a315(0x16b5)?!![]:![];}),_0x1e59e1();})[_0x123e07(0x1cb0)](function(_0xbc8987){const _0x1f91f0=_0x123e07,_0x8ec047=_0xbc8987&&_0xbc8987[_0x1f91f0(0x2214)]?_0xbc8987[_0x1f91f0(0x2214)]:[];_0x53c90e['selectedItems']=_0x39641b()[_0x1f91f0(0x1de2)](_0x8ec047,function(_0x45161a){const _0x3037ba=_0x1f91f0;return _0x39641b()['find'](_0x53c90e[_0x3037ba(0x122f)],{'id':_0x45161a['id']});}),_0x53c90e[_0x1f91f0(0x1456)]=angular[_0x1f91f0(0x17fe)](_0x53c90e[_0x1f91f0(0x20bb)]),_0x53c90e['dualMultiselectOptions'][_0x1f91f0(0x20bb)]=_0x53c90e[_0x1f91f0(0x20bb)],_0x53c90e['dualMultiselectOptions'][_0x1f91f0(0x122f)]=_0x39641b()[_0x1f91f0(0x2128)](_0x53c90e['allowedItems'],_0x53c90e[_0x1f91f0(0x18c0)][_0x1f91f0(0x20bb)],'id'),_0x36846f();})[_0x123e07(0x1c4)](function(_0x4ad1b9){_0x566665(_0x4ad1b9);});});}function _0x1474aa(){return _0x27dd62(function(_0xd6c8ea,_0x49d90f){const _0x30b2e=a0_0x5cbd;return _0x3828a0[_0x30b2e(0x1198)][_0x30b2e(0xbf7)]({'sectionId':_0x53c90e[_0x30b2e(0x1f74)]['id'],'nolimit':!![]})[_0x30b2e(0x1d77)][_0x30b2e(0x1cb0)](function(_0x50373a){_0xd6c8ea(_0x50373a);})[_0x30b2e(0x1c4)](function(_0x2390cd){_0x49d90f(_0x2390cd);});});}function _0x1e59e1(){return _0x27dd62(function(_0x6e185e,_0x2d2608){const _0x586af7=a0_0x5cbd,_0x1906c9=_0x3828a0[_0x53c90e[_0x586af7(0x2847)]];return _0x1906c9[_0x53c90e[_0x586af7(0x21eb)]]({'id':_0x53c90e['ivrCampaign']['id'],'fields':'id,name','nolimit':!![]})[_0x586af7(0x1d77)][_0x586af7(0x1cb0)](function(_0x296f49){_0x6e185e(_0x296f49);})[_0x586af7(0x1c4)](function(_0x338f00){_0x2d2608(_0x338f00);});});}function _0x4ffb95(){return _0x27dd62(function(_0x301347,_0x30cc46){const _0x580501=a0_0x5cbd;return _0x3828a0[_0x580501(0x22f2)][_0x580501(0xbf7)]({'fields':_0x580501(0x43c),'nolimit':!![]})['$promise'][_0x580501(0x1cb0)](function(_0x441a9b){_0x301347(_0x441a9b);})['catch'](function(_0x3f975e){_0x30cc46(_0x3f975e);});});}function _0x11d76a(_0xaba32d){return _0x27dd62(function(_0x1512ff,_0xf2582a){const _0x473c99=a0_0x5cbd;if(_0x39641b()[_0x473c99(0xce9)](_0xaba32d))_0x1512ff();else{const _0x587592=_0x3828a0[_0x53c90e[_0x473c99(0x2847)]];_0x587592[_0x53c90e['addApi']]({'id':_0x53c90e[_0x473c99(0x1218)]['id'],'ids':_0x39641b()[_0x473c99(0x1de2)](_0xaba32d,'id')})['$promise'][_0x473c99(0x1cb0)](function(){_0x1512ff();})[_0x473c99(0x1c4)](function(_0x34891b){_0xf2582a(_0x34891b);});}});}function _0x1dfe51(_0x57d92b){return _0x27dd62(function(_0x76a3b3,_0x3c0a8d){const _0x346ad2=a0_0x5cbd;if(_0x39641b()['isEmpty'](_0x57d92b))_0x76a3b3();else{const _0x3f7e1a=_0x3828a0[_0x53c90e[_0x346ad2(0x2847)]];_0x3f7e1a[_0x53c90e['removeApi']]({'id':_0x53c90e[_0x346ad2(0x1218)]['id'],'ids':_0x39641b()[_0x346ad2(0x1de2)](_0x57d92b,'id')})[_0x346ad2(0x1d77)][_0x346ad2(0x1cb0)](function(){_0x76a3b3();})[_0x346ad2(0x1c4)](function(_0x434da3){_0x3c0a8d(_0x434da3);});}});}function _0x490cdc(){const _0x3a2434=_0x394ec0;_0x53c90e[_0x3a2434(0x2279)]=!![];const _0x3218b7=_0x39641b()[_0x3a2434(0x2128)](_0x53c90e[_0x3a2434(0x1456)],_0x53c90e[_0x3a2434(0x20bb)],'id'),_0x58d189=_0x39641b()[_0x3a2434(0x2128)](_0x53c90e[_0x3a2434(0x20bb)],_0x53c90e[_0x3a2434(0x1456)],'id');return _0x1dfe51(_0x3218b7)[_0x3a2434(0x1cb0)](function(){return _0x11d76a(_0x58d189);})[_0x3a2434(0x1cb0)](function(){const _0x42a802=_0x3a2434;_0x53c90e[_0x42a802(0x50c)]=![],_0x53c90e[_0x42a802(0xbd5)]=angular['copy'](_0x53c90e['allowedItems']),_0x53c90e[_0x42a802(0x1456)]=angular['copy'](_0x53c90e[_0x42a802(0x20bb)]),_0x2f2e26['success']({'title':_0x42a802(0x201),'msg':_0x42a802(0x1a03)});})[_0x3a2434(0x1c4)](function(_0x106db6){const _0x53f671=_0x3a2434;_0x2f2e26['error']({'title':_0x106db6['status']?'API:'+_0x106db6[_0x53f671(0x291)]+_0x53f671(0x1657)+_0x106db6['statusText']:'SYSTEM:LISTS_ASSOCIATION','msg':_0x106db6[_0x53f671(0x291)]?JSON[_0x53f671(0x2701)](_0x106db6[_0x53f671(0x25c)]):_0x106db6[_0x53f671(0x147f)]()});})[_0x3a2434(0x2e0)](function(){const _0x1fe831=_0x3a2434;_0x53c90e[_0x1fe831(0x2279)]=![];});}function _0x1e04a8(){const _0x4907dc=_0x394ec0;_0x18698a[_0x4907dc(0x1426)]();}}const _0x14a5e8=_0x5678d9;;_0x180e17[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0xcb9),'toasty',_0x5537c6(0x142b),_0x5537c6(0x1218),_0x5537c6(0x1e06),_0x5537c6(0x2941),'$q'];function _0x180e17(_0x4a2283,_0x319dfb,_0x31a66e,_0x5373da,_0xec116d,_0x176780,_0x5960b1,_0x4f4266){const _0x2de7f9=_0x5537c6,_0x9da94f=this;_0x9da94f['selected']=[],_0x9da94f['items']=_0x176780?_0x176780:[],_0x9da94f[_0x2de7f9(0x2279)]=![],_0x9da94f[_0x2de7f9(0xda0)]=_0x394492,_0x9da94f[_0x2de7f9(0x23b2)]=_0x594a3e,_0x9da94f['toggle']=_0x56bbe5,_0x9da94f[_0x2de7f9(0x143f)]=_0x56a9c1,_0x9da94f['isChecked']=_0xb3d2b0,_0x9da94f[_0x2de7f9(0xe47)]=_0xb2cdf4,_0x9da94f[_0x2de7f9(0x1f57)]=_0x5ba271;function _0x56bbe5(_0x3f7385,_0x4df9fe){const _0x59dd41=_0x2de7f9,_0x56234b=_0x4df9fe[_0x59dd41(0xd8a)](_0x3f7385);_0x56234b>-0x1?_0x4df9fe[_0x59dd41(0x159c)](_0x56234b,0x1):_0x4df9fe['push'](_0x3f7385);}function _0x594a3e(_0x5c5203,_0x472c0a){const _0x8ccdf1=_0x2de7f9;return _0x472c0a[_0x8ccdf1(0xd8a)](_0x5c5203)>-0x1;}function _0x56a9c1(){const _0x33da99=_0x2de7f9;return _0x9da94f['selected'][_0x33da99(0xfd0)]!==0x0&&_0x9da94f[_0x33da99(0x1a9b)][_0x33da99(0xfd0)]!==_0x9da94f[_0x33da99(0x122f)][_0x33da99(0xfd0)];}function _0xb3d2b0(){const _0x4474e7=_0x2de7f9;return _0x9da94f[_0x4474e7(0x1a9b)][_0x4474e7(0xfd0)]===_0x9da94f['items'][_0x4474e7(0xfd0)];}function _0xb2cdf4(){const _0x448c11=_0x2de7f9;if(_0x9da94f['selected'][_0x448c11(0xfd0)]===_0x9da94f[_0x448c11(0x122f)][_0x448c11(0xfd0)])_0x9da94f[_0x448c11(0x1a9b)]=[];else(_0x9da94f[_0x448c11(0x1a9b)]['length']===0x0||_0x9da94f['selected']['length']>0x0)&&(_0x9da94f['selected']=_0x9da94f[_0x448c11(0x122f)]['slice'](0x0));}function _0x394492(){const _0x47efa7=_0x2de7f9;_0x319dfb[_0x47efa7(0x1426)]();}function _0x5ba271(){const _0x1bc7ec=_0x2de7f9;_0x9da94f[_0x1bc7ec(0x2279)]=!![];const _0x15bfda=_0x212981();_0x15bfda[_0x1bc7ec(0x2e0)](function(){const _0x4c47c7=_0x1bc7ec;_0x9da94f[_0x4c47c7(0x2279)]=![],_0x394492();});}function _0x212981(){return _0x4f4266(function(_0x380bb1){const _0x197142=a0_0x5cbd;for(let _0x35a76c=0x0;_0x35a76c<_0x9da94f[_0x197142(0x1a9b)][_0x197142(0xfd0)];_0x35a76c+=0x1){_0x352b70(_0xec116d['id'],_0x9da94f[_0x197142(0x1a9b)][_0x35a76c]);}_0x3b07d8(_0x380bb1);});}function _0x352b70(_0x3044d9,_0x509d04){const _0x2219fb=_0x2de7f9,_0xe85336=_0x509d04['id'];_0x5960b1[_0x2219fb(0x273)](function(){const _0x1d2dae=_0x2219fb;return _0x5373da[_0x1d2dae(0x218c)][_0x1d2dae(0x157b)]({'id':_0x3044d9,'ids':_0xe85336})['$promise']['then'](function(){const _0x275cab=_0x1d2dae;return _0x5373da[_0x275cab(0x218c)][_0x275cab(0x285)]({'id':_0x3044d9,'ids':_0xe85336,'dialCheckDuplicateType':_0x275cab(0x382)})[_0x275cab(0x1d77)];})[_0x1d2dae(0x1cb0)](function(){const _0x1b0aed=_0x1d2dae;_0x31a66e[_0x1b0aed(0x829)]({'title':_0x1b0aed(0xcf9),'msg':'List\x20'+_0x509d04[_0x1b0aed(0x16b6)]+_0x1b0aed(0x1e07)});})[_0x1d2dae(0x1c4)](function(_0x2a8100){const _0x12894a=_0x1d2dae;_0x31a66e['error']({'title':_0x2a8100['status']?_0x12894a(0xeb9)+_0x2a8100[_0x12894a(0x291)]+_0x12894a(0x1657)+_0x2a8100[_0x12894a(0xc22)]:_0x12894a(0x2587),'msg':_0x2a8100[_0x12894a(0x291)]?JSON[_0x12894a(0x2701)](_0x2a8100['data']):_0x2a8100[_0x12894a(0x147f)]()});});});}function _0x3b07d8(_0x2a7067){const _0x4a393d=_0x2de7f9;_0x5960b1[_0x4a393d(0x273)](function(){return _0x2a7067();});}}const _0x3c6c9f=_0x180e17;;const _0x1842af=_0x5074a3['p']+'src/js/modules/main/apps/motiondialer/views/ivrCampaigns/edit/clone/clone.html/clone.html';;const _0x1fdde4=_0x5074a3['p']+_0x5537c6(0x8bf);;const _0x2f59b7=_0x5074a3['p']+_0x5537c6(0xc03);;const _0x44178a=_0x5074a3['p']+_0x5537c6(0x22fe);;_0x116fbf[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),_0x5537c6(0x21c8),'$mdDialog','$document',_0x5537c6(0x1ae),_0x5537c6(0x8a5),'setting',_0x5537c6(0x142b),_0x5537c6(0x9bf),'Auth',_0x5537c6(0x1218),_0x5537c6(0x2199)];function _0x116fbf(_0x5429d1,_0x1b817a,_0x23a712,_0x1936b5,_0x2f12e8,_0x8256b7,_0x7278ae,_0x2007ad,_0x1b6881,_0x31e26c,_0x504240,_0x5ed60b){const _0x3f6cb2=_0x5537c6,_0x3a225c=this;_0x3a225c[_0x3f6cb2(0xe76)]=_0x31e26c[_0x3f6cb2(0x21e8)](),_0x3a225c[_0x3f6cb2(0x8a5)]=_0x8256b7,_0x3a225c[_0x3f6cb2(0x9ca)]=_0x7278ae,_0x3a225c[_0x3f6cb2(0x1b0c)]=_0x3a225c[_0x3f6cb2(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x3a225c[_0x3f6cb2(0x2404)]=_0x1b817a[_0x3f6cb2(0x2276)]()+_0x3f6cb2(0x138b)+_0x1b817a[_0x3f6cb2(0x17d8)](),_0x3a225c[_0x3f6cb2(0x1218)]=_0x504240||_0x5429d1['params']['ivrCampaign']||{},_0x3a225c[_0x3f6cb2(0x2199)]=_0x5ed60b&&_0x5ed60b[_0x3f6cb2(0x184d)]==0x1?_0x5ed60b['rows'][0x0]:null,_0x3a225c[_0x3f6cb2(0x1b1a)]=_0x31e26c[_0x3f6cb2(0x14ea)](_0x3a225c[_0x3f6cb2(0x2199)]?_0x3a225c['userProfileSection']['crudPermissions']:null),_0x3a225c['hasModulePermissions']={},_0x3a225c[_0x3f6cb2(0x8ec)]=_0x5429d1[_0x3f6cb2(0x1dfe)][_0x3f6cb2(0x291e)]||0x0,_0x3a225c[_0x3f6cb2(0x388)]=_0x3bd945,_0x3a225c[_0x3f6cb2(0xb80)]=_0x5bf511,_0x3a225c['blacklistadddialog']=_0x46e198,_0x3a225c[_0x3f6cb2(0x20c4)]=_0x8f0307,_0x3a225c[_0x3f6cb2(0x47d)]=_0x6afb4b,_0x3a225c[_0x3f6cb2(0x494)]=_0x1b6881['info'],_0x3a225c[_0x3f6cb2(0x1e2d)]=_0x1875af,_0x3a225c['saveIvrCampaign']=_0x132e28,_0x31e26c['hasRole'](_0x3f6cb2(0x1c60))?_0x2007ad[_0x3f6cb2(0x1ae0)][_0x3f6cb2(0xbf7)]({'fields':_0x3f6cb2(0x43c),'sort':'name'})[_0x3f6cb2(0x1d77)]['then'](function(_0x50e53a){const _0x410f5c=_0x3f6cb2;_0x3a225c['trunks']=_0x50e53a[_0x410f5c(0x2214)]||[];})[_0x3f6cb2(0x1c4)](function(_0x7bce19){const _0x554025=_0x3f6cb2;_0x1b6881['error']({'title':_0x7bce19[_0x554025(0x291)]?_0x554025(0xeb9)+_0x7bce19[_0x554025(0x291)]+_0x554025(0x1657)+_0x7bce19['statusText']:_0x554025(0x14fc),'msg':_0x7bce19['data']?JSON[_0x554025(0x2701)](_0x7bce19[_0x554025(0x25c)]):_0x7bce19[_0x554025(0x147f)]()});}):_0x2007ad['trunk']['get']({'fields':_0x3f6cb2(0x43c),'sort':_0x3f6cb2(0x16b6)})[_0x3f6cb2(0x1d77)]['then'](function(_0x5bf87b){const _0x14b8ca=_0x3f6cb2;_0x3a225c['trunks']=_0x5bf87b[_0x14b8ca(0x2214)]||[];})[_0x3f6cb2(0x1cb0)](function(){const _0x30fed1=_0x3f6cb2;return _0x2007ad['userProfileSection'][_0x30fed1(0xbf7)]({'userProfileId':_0x3a225c[_0x30fed1(0xe76)][_0x30fed1(0x13c1)],'sectionId':0x3f3})[_0x30fed1(0x1d77)];})['then'](function(_0x73f13b){const _0xffb358=_0x3f6cb2,_0xf2ae8b=_0x73f13b&&_0x73f13b[_0xffb358(0x2214)]?_0x73f13b[_0xffb358(0x2214)][0x0]:null;if(!_0xf2ae8b)_0x3a225c[_0xffb358(0x1363)]=[];else{if(!_0xf2ae8b[_0xffb358(0x12f4)])return _0x2007ad[_0xffb358(0x1198)][_0xffb358(0xbf7)]({'sectionId':_0xf2ae8b['id']})['$promise'][_0xffb358(0x1cb0)](function(_0x4c2989){const _0x3901c5=_0xffb358,_0x46e15b=_0x39641b()[_0x3901c5(0x1de2)](_0x4c2989[_0x3901c5(0x2214)],function(_0x2b649e){const _0x1a5f53=_0x3901c5;return _0x39641b()['find'](_0x3a225c['trunks'],{'id':_0x2b649e[_0x1a5f53(0x2982)]});});_0x3a225c['trunks'][_0x3901c5(0xf90)](function(_0x37e953){const _0x2ec295=_0x3901c5;!_0x39641b()[_0x2ec295(0x727)](_0x46e15b,['id',_0x37e953['id']])&&(_0x37e953[_0x2ec295(0x15da)]=![]),_0x46e15b[_0x2ec295(0x2785)](_0x37e953);}),_0x3a225c[_0x3901c5(0x1363)]=_0x46e15b;});}})[_0x3f6cb2(0x1c4)](function(_0x795bc8){const _0x249367=_0x3f6cb2;_0x1b6881[_0x249367(0x218e)]({'title':_0x795bc8[_0x249367(0x291)]?_0x249367(0xeb9)+_0x795bc8[_0x249367(0x291)]+_0x249367(0x1657)+_0x795bc8[_0x249367(0xc22)]:_0x249367(0x2040),'msg':_0x795bc8[_0x249367(0x25c)]?JSON[_0x249367(0x2701)](_0x795bc8['data']):_0x795bc8[_0x249367(0x147f)]()});}),_0x31e26c[_0x3f6cb2(0x22b6)](_0x3f6cb2(0x1c60))?_0x2007ad[_0x3f6cb2(0xf61)][_0x3f6cb2(0xbf7)]({'fields':_0x3f6cb2(0x43c),'sort':_0x3f6cb2(0x16b6)})['$promise']['then'](function(_0x3bb630){const _0x397d61=_0x3f6cb2;_0x3a225c[_0x397d61(0x2981)]=_0x3bb630[_0x397d61(0x2214)]||[];})[_0x3f6cb2(0x1c4)](function(_0x3fb5f0){const _0xd15d9a=_0x3f6cb2;_0x1b6881[_0xd15d9a(0x218e)]({'title':_0x3fb5f0[_0xd15d9a(0x291)]?_0xd15d9a(0xeb9)+_0x3fb5f0[_0xd15d9a(0x291)]+_0xd15d9a(0x1657)+_0x3fb5f0[_0xd15d9a(0xc22)]:_0xd15d9a(0x214c),'msg':_0x3fb5f0[_0xd15d9a(0x25c)]?JSON[_0xd15d9a(0x2701)](_0x3fb5f0[_0xd15d9a(0x25c)]):_0x3fb5f0[_0xd15d9a(0x147f)]()});}):_0x2007ad[_0x3f6cb2(0xf61)][_0x3f6cb2(0xbf7)]({'fields':'id,name','sort':_0x3f6cb2(0x16b6)})[_0x3f6cb2(0x1d77)]['then'](function(_0x1495c8){const _0xb2f571=_0x3f6cb2;_0x3a225c[_0xb2f571(0x2981)]=_0x1495c8['rows']||[];})['then'](function(){const _0x441ca4=_0x3f6cb2;return _0x2007ad[_0x441ca4(0x2199)][_0x441ca4(0xbf7)]({'userProfileId':_0x3a225c[_0x441ca4(0xe76)]['userProfileId'],'sectionId':0x44f})[_0x441ca4(0x1d77)];})[_0x3f6cb2(0x1cb0)](function(_0x1d2930){const _0x14235f=_0x3f6cb2,_0x9c3bbd=_0x1d2930&&_0x1d2930['rows']?_0x1d2930['rows'][0x0]:null;if(!_0x9c3bbd){const _0x38164b=[];let _0x3961cb=null;_0x3a225c[_0x14235f(0x1218)]&&(_0x3961cb=_0x39641b()[_0x14235f(0x13b4)](_0x3a225c[_0x14235f(0x2981)],{'id':Number(_0x3a225c[_0x14235f(0x1218)][_0x14235f(0x1544)])}));for(let _0x16f1ca=0x0;_0x16f1ca<_0x3a225c[_0x14235f(0x2981)][_0x14235f(0xfd0)];_0x16f1ca++){_0x3961cb&&_0x3a225c[_0x14235f(0x2981)][_0x16f1ca]['id']===_0x3961cb['id']&&(_0x3a225c[_0x14235f(0x2981)][_0x16f1ca]['canSelect']=![],_0x38164b[_0x14235f(0x2785)](_0x3a225c[_0x14235f(0x2981)][_0x16f1ca]));}_0x3a225c[_0x14235f(0x2981)]=_0x38164b;}else{if(!_0x9c3bbd[_0x14235f(0x12f4)])return _0x2007ad[_0x14235f(0x1198)][_0x14235f(0xbf7)]({'sectionId':_0x9c3bbd['id']})[_0x14235f(0x1d77)][_0x14235f(0x1cb0)](function(_0x260daa){const _0x5a89ec=_0x14235f,_0x36cfac=_0x39641b()[_0x5a89ec(0x1de2)](_0x260daa[_0x5a89ec(0x2214)],function(_0x3387ad){const _0x3d3d5e=_0x5a89ec;return _0x39641b()[_0x3d3d5e(0x13b4)](_0x3a225c['projects'],{'id':_0x3387ad[_0x3d3d5e(0x2982)]});});let _0xcb5468=null;_0x3a225c['ivrCampaign']&&(_0xcb5468=_0x39641b()[_0x5a89ec(0x13b4)](_0x3a225c[_0x5a89ec(0x2981)],{'id':Number(_0x3a225c[_0x5a89ec(0x1218)][_0x5a89ec(0x1544)])}));if(_0xcb5468&&!_0x39641b()[_0x5a89ec(0x727)](_0x36cfac,['id',_0xcb5468['id']])){const _0x15b00b=_0x39641b()[_0x5a89ec(0x13b4)](_0x3a225c[_0x5a89ec(0x2981)],{'id':_0xcb5468['id']});_0x15b00b[_0x5a89ec(0x15da)]=![],_0x36cfac[_0x5a89ec(0x2785)](_0x15b00b);}_0x3a225c[_0x5a89ec(0x2981)]=_0x36cfac;});}})[_0x3f6cb2(0x1c4)](function(_0x4711d6){const _0x3f5128=_0x3f6cb2;_0x1b6881[_0x3f5128(0x218e)]({'title':_0x4711d6[_0x3f5128(0x291)]?_0x3f5128(0xeb9)+_0x4711d6[_0x3f5128(0x291)]+_0x3f5128(0x1657)+_0x4711d6[_0x3f5128(0xc22)]:_0x3f5128(0x2101),'msg':_0x4711d6[_0x3f5128(0x25c)]?JSON[_0x3f5128(0x2701)](_0x4711d6[_0x3f5128(0x25c)]):_0x4711d6[_0x3f5128(0x147f)]()});}),_0x31e26c[_0x3f6cb2(0x22b6)](_0x3f6cb2(0x1c60))?_0x2007ad['interval'][_0x3f6cb2(0xbf7)]({'fields':_0x3f6cb2(0x43c),'sort':_0x3f6cb2(0x16b6),'IntervalId':_0x3f6cb2(0xd38)})['$promise']['then'](function(_0x208954){const _0x4b13b6=_0x3f6cb2;_0x3a225c[_0x4b13b6(0x1ac8)]=_0x208954['rows']||[];})[_0x3f6cb2(0x1c4)](function(_0x300931){const _0x19d70e=_0x3f6cb2;_0x1b6881[_0x19d70e(0x218e)]({'title':_0x300931['status']?'API:'+_0x300931[_0x19d70e(0x291)]+_0x19d70e(0x1657)+_0x300931[_0x19d70e(0xc22)]:_0x19d70e(0x1917),'msg':_0x300931[_0x19d70e(0x25c)]?JSON[_0x19d70e(0x2701)](_0x300931[_0x19d70e(0x25c)]):_0x300931['toString']()});}):_0x2007ad['interval'][_0x3f6cb2(0xbf7)]({'fields':_0x3f6cb2(0x43c),'sort':'name','IntervalId':_0x3f6cb2(0xd38)})['$promise'][_0x3f6cb2(0x1cb0)](function(_0x51a008){const _0x5ee164=_0x3f6cb2;_0x3a225c[_0x5ee164(0x1ac8)]=_0x51a008[_0x5ee164(0x2214)]||[];})[_0x3f6cb2(0x1cb0)](function(){const _0x803981=_0x3f6cb2;return _0x2007ad[_0x803981(0x2199)][_0x803981(0xbf7)]({'userProfileId':_0x3a225c[_0x803981(0xe76)][_0x803981(0x13c1)],'sectionId':0x3ec})['$promise'];})[_0x3f6cb2(0x1cb0)](function(_0xb8865a){const _0x16b307=_0x3f6cb2,_0x1ca552=_0xb8865a&&_0xb8865a[_0x16b307(0x2214)]?_0xb8865a['rows'][0x0]:null;if(!_0x1ca552){const _0x20d221=[];let _0x4be8a1=null;_0x3a225c[_0x16b307(0x1218)]&&(_0x4be8a1=_0x39641b()[_0x16b307(0x13b4)](_0x3a225c[_0x16b307(0x1ac8)],{'id':Number(_0x3a225c['ivrCampaign'][_0x16b307(0x1a60)])}));for(let _0x244331=0x0;_0x244331<_0x3a225c[_0x16b307(0x1ac8)][_0x16b307(0xfd0)];_0x244331++){_0x4be8a1&&_0x3a225c[_0x16b307(0x1ac8)][_0x244331]['id']===_0x4be8a1['id']&&(_0x3a225c[_0x16b307(0x1ac8)][_0x244331][_0x16b307(0x15da)]=![],_0x20d221[_0x16b307(0x2785)](_0x3a225c[_0x16b307(0x1ac8)][_0x244331]));}_0x3a225c[_0x16b307(0x1ac8)]=_0x20d221;}else{if(!_0x1ca552[_0x16b307(0x12f4)])return _0x2007ad['userProfileResource'][_0x16b307(0xbf7)]({'sectionId':_0x1ca552['id']})[_0x16b307(0x1d77)]['then'](function(_0x339eb4){const _0x34e96e=_0x16b307,_0x44cd3a=_0x39641b()['map'](_0x339eb4[_0x34e96e(0x2214)],function(_0x5d7b78){const _0x9de0a2=_0x34e96e;return _0x39641b()[_0x9de0a2(0x13b4)](_0x3a225c[_0x9de0a2(0x1ac8)],{'id':_0x5d7b78[_0x9de0a2(0x2982)]});});let _0x3efa10=null;_0x3a225c[_0x34e96e(0x1218)]&&(_0x3efa10=_0x39641b()[_0x34e96e(0x13b4)](_0x3a225c['interval'],{'id':Number(_0x3a225c[_0x34e96e(0x1218)]['IntervalId'])}));if(_0x3efa10&&!_0x39641b()[_0x34e96e(0x727)](_0x44cd3a,['id',_0x3efa10['id']])){const _0x2acf69=_0x39641b()[_0x34e96e(0x13b4)](_0x3a225c['interval'],{'id':_0x3efa10['id']});_0x2acf69[_0x34e96e(0x15da)]=![],_0x44cd3a['push'](_0x2acf69);}_0x3a225c[_0x34e96e(0x1ac8)]=_0x44cd3a;});}})['catch'](function(_0xc5395a){const _0x1f3fa3=_0x3f6cb2;_0x1b6881[_0x1f3fa3(0x218e)]({'title':_0xc5395a[_0x1f3fa3(0x291)]?_0x1f3fa3(0xeb9)+_0xc5395a['status']+_0x1f3fa3(0x1657)+_0xc5395a[_0x1f3fa3(0xc22)]:'SYSTEM:GETinterval','msg':_0xc5395a[_0x1f3fa3(0x25c)]?JSON[_0x1f3fa3(0x2701)](_0xc5395a[_0x1f3fa3(0x25c)]):_0xc5395a[_0x1f3fa3(0x147f)]()});});function _0x3bd945(_0x1d76bc,_0x267c80){const _0x276636=_0x3f6cb2;_0x23a712['show']({'controller':'IvrCampaigncloneController','controllerAs':'vm','templateUrl':_0x1842af,'parent':angular[_0x276636(0x1853)](_0x1936b5['body']),'targetEvent':_0x267c80,'clickOutsideToClose':!![],'locals':{'ivrCampaign':_0x1d76bc,'ivrCampaigns':_0x3a225c['ivrCampaigns']?_0x3a225c['ivrCampaigns'][_0x276636(0x2214)]:[],'crudPermissions':_0x3a225c[_0x276636(0x1b1a)]}});}function _0x5bf511(_0x4f54d7,_0x698da0){const _0x5b532d=_0x3f6cb2;_0x23a712['show']({'controller':_0x5b532d(0x1c8c),'controllerAs':'vm','templateUrl':_0x1fdde4,'parent':angular['element'](_0x1936b5[_0x5b532d(0x1ed9)]),'targetEvent':_0x698da0,'clickOutsideToClose':!![],'locals':{'ivrCampaign':_0x4f54d7,'ivrCampaigns':_0x3a225c['ivrCampaigns']?_0x3a225c['ivrCampaigns'][_0x5b532d(0x2214)]:[],'crudPermissions':_0x3a225c[_0x5b532d(0x1b1a)]}});}function _0x46e198(_0x18de60,_0x27f7a4){const _0x71c37a=_0x3f6cb2;_0x23a712[_0x71c37a(0xe27)]({'controller':_0x71c37a(0xc3b),'controllerAs':'vm','templateUrl':_0x2f59b7,'parent':angular[_0x71c37a(0x1853)](_0x1936b5['body']),'targetEvent':_0x27f7a4,'clickOutsideToClose':!![],'locals':{'ivrCampaign':_0x18de60,'ivrCampaigns':_0x3a225c[_0x71c37a(0x1fda)]?_0x3a225c['ivrCampaigns'][_0x71c37a(0x2214)]:[],'crudPermissions':_0x3a225c[_0x71c37a(0x1b1a)]}});}function _0x411cb9(_0x1319d0,_0x13c196){const _0x4f9da6=_0x3f6cb2,_0x42cf15=_0x13c196['id'];_0x2007ad[_0x4f9da6(0x218c)]['removeLists']({'id':_0x1319d0,'ids':_0x42cf15})[_0x4f9da6(0x1d77)][_0x4f9da6(0x1cb0)](function(){const _0x8a3f2d=_0x4f9da6;return _0x2007ad[_0x8a3f2d(0x218c)]['addLists']({'id':_0x1319d0,'ids':_0x42cf15,'dialCheckDuplicateType':_0x8a3f2d(0x382)})['$promise'];})['then'](function(){const _0x873fcd=_0x4f9da6;_0x1b6881['success']({'title':_0x873fcd(0xcf9),'msg':_0x873fcd(0x8ae)+_0x13c196[_0x873fcd(0x16b6)]+_0x873fcd(0x1e07)});})[_0x4f9da6(0x1c4)](function(_0x436c48){const _0x48bc85=_0x4f9da6;_0x1b6881[_0x48bc85(0x218e)]({'title':_0x436c48[_0x48bc85(0x291)]?_0x48bc85(0xeb9)+_0x436c48[_0x48bc85(0x291)]+_0x48bc85(0x1657)+_0x436c48[_0x48bc85(0xc22)]:'SYSTEM:ivrCampaign.REMOVELIST','msg':_0x436c48[_0x48bc85(0x291)]?JSON['stringify'](_0x436c48[_0x48bc85(0x25c)]):_0x436c48[_0x48bc85(0x147f)]()});});}function _0x8f0307(_0x2e0308,_0xfdfe70){const _0x1cab14=_0x3f6cb2;_0x2007ad[_0x1cab14(0x218c)]['getLists']({'id':_0x2e0308['id'],'fields':_0x1cab14(0x43c),'nolimit':!![]})[_0x1cab14(0x1d77)]['then'](function(_0x2a1989){const _0x51d520=_0x1cab14;if(_0x39641b()[_0x51d520(0x958)](_0x2a1989[_0x51d520(0x2214)])||_0x39641b()[_0x51d520(0xce9)](_0x2a1989[_0x51d520(0x2214)]))_0x1b6881['info']({'title':_0x51d520(0x430)});else{if(_0x2a1989[_0x51d520(0x2214)][_0x51d520(0xfd0)]===0x1){const _0x175894=_0x23a712['confirm']()[_0x51d520(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20reset\x20the\x20list\x20'+_0x2a1989['rows'][0x0][_0x51d520(0x16b6)]+'\x20?')[_0x51d520(0x49e)](_0x51d520(0x204d)+_0x2a1989['rows'][0x0][_0x51d520(0x16b6)]+_0x51d520(0x1200)+_0x51d520(0x1575))[_0x51d520(0x15ad)](_0x51d520(0x2794))[_0x51d520(0x728)](_0xfdfe70)['ok']('OK')['cancel']('CANCEL');_0x23a712[_0x51d520(0xe27)](_0x175894)[_0x51d520(0x1cb0)](function(){const _0x5a99fe=_0x51d520;_0x411cb9(_0x3a225c[_0x5a99fe(0x1218)]['id'],_0x2a1989[_0x5a99fe(0x2214)][0x0]);});}else _0x23a712[_0x51d520(0xe27)]({'controller':_0x51d520(0x1013),'controllerAs':'vm','templateUrl':_0x44178a,'parent':angular[_0x51d520(0x1853)](_0x1936b5['body']),'targetEvent':_0xfdfe70,'clickOutsideToClose':![],'locals':{'ivrCampaign':_0x2e0308,'listsCampaign':_0x2a1989?_0x2a1989['rows']:[]}});}})['catch'](function(_0x82c64d){const _0x4aa1cc=_0x1cab14;_0x1b6881[_0x4aa1cc(0x218e)]({'title':_0x82c64d[_0x4aa1cc(0x291)]?_0x4aa1cc(0xeb9)+_0x82c64d[_0x4aa1cc(0x291)]+'\x20-\x20'+_0x82c64d[_0x4aa1cc(0xc22)]:_0x4aa1cc(0xea5),'msg':_0x82c64d[_0x4aa1cc(0x291)]?JSON['stringify'](_0x82c64d[_0x4aa1cc(0x25c)]):_0x82c64d['toString']()});});}function _0x6afb4b(){const _0x4e0200=_0x3f6cb2;if(_0x31e26c['hasRole'](_0x4e0200(0x1c60)))_0x5429d1['go'](_0x4e0200(0x1369),{});else return _0x2007ad[_0x4e0200(0x2199)][_0x4e0200(0xbf7)]({'userProfileId':_0x31e26c['getCurrentUser']()[_0x4e0200(0x13c1)],'sectionId':0x5e6})[_0x4e0200(0x1d77)][_0x4e0200(0x1cb0)](function(_0x20ca8f){const _0xa7d040=_0x4e0200,_0x3370c0=_0x20ca8f&&_0x20ca8f[_0xa7d040(0x2214)]?_0x20ca8f[_0xa7d040(0x2214)][0x0]:null;_0x3370c0&&_0x3370c0['enabled']?_0x5429d1['go'](_0xa7d040(0x1369),{}):_0x1b6881[_0xa7d040(0x28c7)]({'title':_0x2f12e8[_0xa7d040(0x25cc)](_0xa7d040(0x370)),'msg':_0x2f12e8[_0xa7d040(0x25cc)]('STAFF.PERMISSIONS_UNAUTHORIZED_REDIRECT_MESSAGE')});})[_0x4e0200(0x1c4)](function(_0x13c1f2){const _0x27377c=_0x4e0200;_0x1b6881['error']({'title':_0x13c1f2['status']?_0x27377c(0xeb9)+_0x13c1f2[_0x27377c(0x291)]+_0x27377c(0x1657)+_0x13c1f2['statusText']:_0x27377c(0x17ba),'msg':_0x13c1f2[_0x27377c(0x291)]?JSON['stringify'](_0x13c1f2['data']):_0x13c1f2[_0x27377c(0x147f)]()});});}function _0x1875af(){const _0x44191a=_0x3f6cb2;_0x5429d1['go'](_0x44191a(0x712),{},{'reload':_0x44191a(0x712)});}function _0x132e28(){const _0x4e2691=_0x3f6cb2;_0x2007ad[_0x4e2691(0x218c)][_0x4e2691(0x687)]({'id':_0x3a225c[_0x4e2691(0x1218)]['id']},_0x3a225c[_0x4e2691(0x1218)])[_0x4e2691(0x1d77)][_0x4e2691(0x1cb0)](function(){const _0x312614=_0x4e2691;_0x1b6881[_0x312614(0x829)]({'title':_0x312614(0x5e1),'msg':_0x3a225c[_0x312614(0x1218)][_0x312614(0x16b6)]?_0x3a225c[_0x312614(0x1218)][_0x312614(0x16b6)]+_0x312614(0x1068):''});})['catch'](function(_0x19c9c0){const _0x252f76=_0x4e2691;_0x1b6881[_0x252f76(0x218e)]({'title':_0x19c9c0[_0x252f76(0x291)]?_0x252f76(0xeb9)+_0x19c9c0['status']+_0x252f76(0x1657)+_0x19c9c0['statusText']:_0x252f76(0x2049),'msg':_0x19c9c0[_0x252f76(0x25c)]?JSON[_0x252f76(0x2701)](_0x19c9c0[_0x252f76(0x25c)]):_0x19c9c0[_0x252f76(0x147f)]()});});}}const _0x367180=_0x116fbf;;_0x5a95bf[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),'$mdDialog','$document',_0x5537c6(0x2168),'$translate',_0x5537c6(0x1fda),'userProfile',_0x5537c6(0x2199),_0x5537c6(0x142b),_0x5537c6(0xde8),'toasty',_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x5a95bf(_0x2f2c74,_0x362ea4,_0x140cc9,_0x3ac418,_0x21607d,_0x2f3e86,_0x36dbea,_0x4e173f,_0x4b3bcf,_0x283b2,_0x526d7c,_0x2a2337,_0x340f0f,_0x4659ec,_0x4e5947,_0x1697c9,_0x40f8fd){const _0x3be93a=_0x5537c6,_0x256e9d=this;_0x256e9d[_0x3be93a(0x8a5)]=_0x1697c9,_0x256e9d[_0x3be93a(0x9ca)]=_0x40f8fd,_0x256e9d[_0x3be93a(0xe76)]=_0x4e5947['getCurrentUser'](),_0x256e9d[_0x3be93a(0x1fda)]=_0x4b3bcf||{'count':0x0,'rows':[]},_0x256e9d[_0x3be93a(0x44a)]=_0x283b2,_0x256e9d[_0x3be93a(0x2199)]=_0x526d7c&&_0x526d7c['count']==0x1?_0x526d7c['rows'][0x0]:null,_0x256e9d['crudPermissions']=_0x4e5947[_0x3be93a(0x14ea)](_0x256e9d[_0x3be93a(0x2199)]?_0x256e9d[_0x3be93a(0x2199)][_0x3be93a(0x1b1a)]:null),_0x256e9d[_0x3be93a(0xc83)]=_0x3be93a(0x1fda),_0x256e9d[_0x3be93a(0x1d20)]='',_0x256e9d['listOrderAsc']=null,_0x256e9d[_0x3be93a(0x619)]=[],_0x256e9d[_0x3be93a(0xae2)]={'fields':_0x3be93a(0x108d),'type':'ivr','sort':'-updatedAt','limit':0xa,'page':0x1},_0x256e9d[_0x3be93a(0xe8e)]=_0x39641b()['keyBy']([{'option':'ALWAYS','value':_0x3be93a(0x2a2)},{'option':'NEVER','value':_0x3be93a(0x1d2c)},{'option':_0x3be93a(0x1fa9),'value':_0x3be93a(0x1cdd)}],function(_0x1ccc42){const _0x1fd28f=_0x3be93a;return _0x39641b()[_0x1fd28f(0x288f)](_0x1ccc42[_0x1fd28f(0x327)],new RegExp('\x27','g'),'');}),_0x256e9d[_0x3be93a(0x1c24)]=_0x39641b()[_0x3be93a(0x2631)]([{'option':_0x3be93a(0x16b),'value':_0x3be93a(0x59a)},{'option':'ASC','value':'\x27ASC\x27'}],function(_0x58da47){const _0x3bbdae=_0x3be93a;return _0x39641b()[_0x3bbdae(0x288f)](_0x58da47[_0x3bbdae(0x327)],new RegExp('\x27','g'),'');}),_0x256e9d['editstate']=_0x54ae73,_0x256e9d[_0x3be93a(0x388)]=_0x51f4fd,_0x256e9d[_0x3be93a(0xb80)]=_0x104552,_0x256e9d[_0x3be93a(0x1345)]=_0x426678,_0x256e9d['deleteconfirm']=_0x5794af,_0x256e9d['gotorealtimegoto']=_0x5f2cf4,_0x256e9d['success']=_0x377a1d,_0x256e9d[_0x3be93a(0xe4b)]=_0xfddf4f,_0x256e9d[_0x3be93a(0xd21)]=_0x5606fa,_0x256e9d[_0x3be93a(0x6c5)]=_0x58f0d0,_0x256e9d[_0x3be93a(0xf3c)]=_0x35a041,_0x256e9d[_0x3be93a(0x28b)]=_0x145560,_0x256e9d[_0x3be93a(0x1e47)]=_0x518a91,_0x256e9d[_0x3be93a(0x17d5)]=_0x1b1a18,_0x4e5947['hasRole']('admin')?_0x2a2337[_0x3be93a(0x1ae0)]['get']({'fields':'id,name','sort':_0x3be93a(0x16b6),'table':_0x3be93a(0x44d)})[_0x3be93a(0x1d77)][_0x3be93a(0x1cb0)](function(_0x44ee1f){const _0x2228cf=_0x3be93a;_0x256e9d[_0x2228cf(0x1363)]=_0x44ee1f[_0x2228cf(0x2214)]||[];})[_0x3be93a(0x1c4)](function(_0x4bbac4){const _0x4f9bf5=_0x3be93a;_0x4659ec[_0x4f9bf5(0x218e)]({'title':_0x4bbac4[_0x4f9bf5(0x291)]?_0x4f9bf5(0xeb9)+_0x4bbac4[_0x4f9bf5(0x291)]+_0x4f9bf5(0x1657)+_0x4bbac4[_0x4f9bf5(0xc22)]:_0x4f9bf5(0x14fc),'msg':_0x4bbac4['data']?JSON[_0x4f9bf5(0x2701)](_0x4bbac4[_0x4f9bf5(0x25c)]):_0x4bbac4[_0x4f9bf5(0x147f)]()});}):_0x2a2337[_0x3be93a(0x1ae0)][_0x3be93a(0xbf7)]({'fields':_0x3be93a(0x43c),'sort':_0x3be93a(0x16b6),'table':'true'})[_0x3be93a(0x1d77)][_0x3be93a(0x1cb0)](function(_0x386978){const _0x54f268=_0x3be93a;_0x256e9d[_0x54f268(0x1363)]=_0x386978[_0x54f268(0x2214)]||[];})[_0x3be93a(0x1cb0)](function(){const _0x230a81=_0x3be93a;return _0x2a2337[_0x230a81(0x2199)][_0x230a81(0xbf7)]({'userProfileId':_0x256e9d[_0x230a81(0xe76)][_0x230a81(0x13c1)],'sectionId':0x3f3})[_0x230a81(0x1d77)];})[_0x3be93a(0x1cb0)](function(_0x5163e1){const _0x491f84=_0x3be93a,_0xf1e73d=_0x5163e1&&_0x5163e1['rows']?_0x5163e1[_0x491f84(0x2214)][0x0]:null;if(!_0xf1e73d)_0x256e9d[_0x491f84(0x1363)]=[];else{if(!_0xf1e73d[_0x491f84(0x12f4)])return _0x2a2337[_0x491f84(0x1198)][_0x491f84(0xbf7)]({'sectionId':_0xf1e73d['id']})[_0x491f84(0x1d77)][_0x491f84(0x1cb0)](function(_0x148841){const _0x3820ce=_0x491f84,_0x3fea47=_0x39641b()['map'](_0x148841[_0x3820ce(0x2214)],function(_0x54df6b){const _0x255201=_0x3820ce;return _0x39641b()[_0x255201(0x13b4)](_0x256e9d[_0x255201(0x1363)],{'id':_0x54df6b[_0x255201(0x2982)]});});_0x256e9d[_0x3820ce(0x1363)][_0x3820ce(0xf90)](function(_0x42200e){const _0x3e2753=_0x3820ce;!_0x39641b()['some'](_0x3fea47,['id',_0x42200e['id']])&&(_0x42200e[_0x3e2753(0x15da)]=![]),_0x3fea47[_0x3e2753(0x2785)](_0x42200e);}),_0x256e9d[_0x3820ce(0x1363)]=_0x3fea47;});}})['catch'](function(_0x237677){const _0x48273d=_0x3be93a;_0x4659ec[_0x48273d(0x218e)]({'title':_0x237677[_0x48273d(0x291)]?_0x48273d(0xeb9)+_0x237677[_0x48273d(0x291)]+_0x48273d(0x1657)+_0x237677[_0x48273d(0xc22)]:'SYSTEM:GETtrunks','msg':_0x237677[_0x48273d(0x25c)]?JSON[_0x48273d(0x2701)](_0x237677[_0x48273d(0x25c)]):_0x237677[_0x48273d(0x147f)]()});}),_0x4e5947[_0x3be93a(0x22b6)](_0x3be93a(0x1c60))?_0x2a2337[_0x3be93a(0xf61)][_0x3be93a(0xbf7)]({'fields':_0x3be93a(0x43c),'sort':_0x3be93a(0x16b6)})[_0x3be93a(0x1d77)]['then'](function(_0xd6bcd1){const _0x1ebb3d=_0x3be93a;_0x256e9d[_0x1ebb3d(0x2981)]=_0xd6bcd1[_0x1ebb3d(0x2214)]||[];})[_0x3be93a(0x1c4)](function(_0x43cace){const _0xb48e39=_0x3be93a;_0x4659ec['error']({'title':_0x43cace[_0xb48e39(0x291)]?'API:'+_0x43cace[_0xb48e39(0x291)]+_0xb48e39(0x1657)+_0x43cace['statusText']:_0xb48e39(0x214c),'msg':_0x43cace[_0xb48e39(0x25c)]?JSON[_0xb48e39(0x2701)](_0x43cace[_0xb48e39(0x25c)]):_0x43cace[_0xb48e39(0x147f)]()});}):_0x2a2337[_0x3be93a(0xf61)][_0x3be93a(0xbf7)]({'fields':_0x3be93a(0x43c),'sort':'name'})[_0x3be93a(0x1d77)][_0x3be93a(0x1cb0)](function(_0x36625d){const _0x33f374=_0x3be93a;_0x256e9d[_0x33f374(0x2981)]=_0x36625d[_0x33f374(0x2214)]||[];})[_0x3be93a(0x1cb0)](function(){const _0x3e04c9=_0x3be93a;return _0x2a2337[_0x3e04c9(0x2199)][_0x3e04c9(0xbf7)]({'userProfileId':_0x256e9d['currentUser']['userProfileId'],'sectionId':0x44f})[_0x3e04c9(0x1d77)];})['then'](function(_0x24cbe0){const _0x309197=_0x3be93a,_0x2da1db=_0x24cbe0&&_0x24cbe0[_0x309197(0x2214)]?_0x24cbe0[_0x309197(0x2214)][0x0]:null;if(!_0x2da1db){const _0x4a6831=[],_0x5ad0bc=[];_0x256e9d[_0x309197(0x1fda)][_0x309197(0x2214)][_0x309197(0xf90)](function(_0x495515){const _0x1f37af=_0x309197,_0x327d60=_0x39641b()[_0x1f37af(0x13b4)](_0x256e9d[_0x1f37af(0x2981)],{'id':Number(_0x495515[_0x1f37af(0x1544)])});_0x5ad0bc[_0x1f37af(0x2785)](_0x327d60);});for(let _0x3f45ae=0x0;_0x3f45ae<_0x256e9d[_0x309197(0x2981)][_0x309197(0xfd0)];_0x3f45ae++){const _0x30a34f=_0x39641b()['some'](_0x5ad0bc,{'id':_0x256e9d[_0x309197(0x2981)][_0x3f45ae]['id']});_0x30a34f&&(_0x256e9d[_0x309197(0x2981)][_0x3f45ae][_0x309197(0x15da)]=![],_0x4a6831[_0x309197(0x2785)](_0x256e9d[_0x309197(0x2981)][_0x3f45ae]));}_0x256e9d[_0x309197(0x2981)]=_0x4a6831;}else{if(!_0x2da1db['autoAssociation'])return _0x2a2337['userProfileResource'][_0x309197(0xbf7)]({'sectionId':_0x2da1db['id']})[_0x309197(0x1d77)]['then'](function(_0xe437ba){const _0x280cd0=_0x309197,_0x573364=_0x39641b()[_0x280cd0(0x1de2)](_0xe437ba[_0x280cd0(0x2214)],function(_0x272730){const _0x13f9c2=_0x280cd0;return _0x39641b()[_0x13f9c2(0x13b4)](_0x256e9d[_0x13f9c2(0x2981)],{'id':_0x272730['resourceId']});});let _0x3cf255=null;_0x3cf255=[],_0x256e9d['ivrCampaigns']['rows'][_0x280cd0(0xf90)](function(_0xecaa5d){const _0x56375a=_0x280cd0,_0x471eda=_0x39641b()['find'](_0x256e9d[_0x56375a(0x2981)],{'id':Number(_0xecaa5d['SquareProjectId'])});_0x3cf255[_0x56375a(0x2785)](_0x471eda);}),!_0x39641b()['isEmpty'](_0x3cf255)&&_0x3cf255[_0x280cd0(0xf90)](function(_0xd7bae2){const _0x45200a=_0x280cd0;if(!_0x39641b()[_0x45200a(0x727)](_0x573364,['id',_0xd7bae2['id']])){const _0x1f60f5=_0x39641b()['find'](_0x256e9d[_0x45200a(0x2981)],{'id':_0xd7bae2['id']});_0x1f60f5['canSelect']=![],_0x573364[_0x45200a(0x2785)](_0x1f60f5);}}),_0x256e9d[_0x280cd0(0x2981)]=_0x573364;});}})[_0x3be93a(0x1c4)](function(_0xad8792){const _0x4d89d3=_0x3be93a;_0x4659ec[_0x4d89d3(0x218e)]({'title':_0xad8792[_0x4d89d3(0x291)]?_0x4d89d3(0xeb9)+_0xad8792['status']+_0x4d89d3(0x1657)+_0xad8792[_0x4d89d3(0xc22)]:_0x4d89d3(0x2101),'msg':_0xad8792[_0x4d89d3(0x25c)]?JSON['stringify'](_0xad8792[_0x4d89d3(0x25c)]):_0xad8792['toString']()});}),_0x4e5947[_0x3be93a(0x22b6)](_0x3be93a(0x1c60))?_0x2a2337[_0x3be93a(0x1ac8)][_0x3be93a(0xbf7)]({'fields':'id,name','sort':'name','IntervalId':'null'})[_0x3be93a(0x1d77)][_0x3be93a(0x1cb0)](function(_0x502dc0){const _0x4b5db6=_0x3be93a;_0x256e9d[_0x4b5db6(0x1ac8)]=_0x502dc0[_0x4b5db6(0x2214)]||[];})[_0x3be93a(0x1c4)](function(_0x4f86ba){const _0x35727c=_0x3be93a;_0x4659ec[_0x35727c(0x218e)]({'title':_0x4f86ba[_0x35727c(0x291)]?'API:'+_0x4f86ba[_0x35727c(0x291)]+'\x20-\x20'+_0x4f86ba[_0x35727c(0xc22)]:_0x35727c(0x1917),'msg':_0x4f86ba[_0x35727c(0x25c)]?JSON['stringify'](_0x4f86ba[_0x35727c(0x25c)]):_0x4f86ba[_0x35727c(0x147f)]()});}):_0x2a2337[_0x3be93a(0x1ac8)][_0x3be93a(0xbf7)]({'fields':'id,name','sort':_0x3be93a(0x16b6),'IntervalId':'null'})[_0x3be93a(0x1d77)][_0x3be93a(0x1cb0)](function(_0x8043ff){const _0x43baff=_0x3be93a;_0x256e9d[_0x43baff(0x1ac8)]=_0x8043ff[_0x43baff(0x2214)]||[];})[_0x3be93a(0x1cb0)](function(){const _0x57eaad=_0x3be93a;return _0x2a2337[_0x57eaad(0x2199)][_0x57eaad(0xbf7)]({'userProfileId':_0x256e9d[_0x57eaad(0xe76)][_0x57eaad(0x13c1)],'sectionId':0x3ec})[_0x57eaad(0x1d77)];})[_0x3be93a(0x1cb0)](function(_0x25f8d8){const _0x3fbc3b=_0x3be93a,_0x566855=_0x25f8d8&&_0x25f8d8[_0x3fbc3b(0x2214)]?_0x25f8d8[_0x3fbc3b(0x2214)][0x0]:null;if(!_0x566855){const _0x543b4c=[];let _0x13f742=null;_0x256e9d[_0x3fbc3b(0x1218)]&&(_0x13f742=_0x39641b()[_0x3fbc3b(0x13b4)](_0x256e9d['interval'],{'id':Number(_0x256e9d[_0x3fbc3b(0x1218)][_0x3fbc3b(0x1a60)])}));for(let _0x444961=0x0;_0x444961<_0x256e9d['interval']['length'];_0x444961++){_0x13f742&&_0x256e9d[_0x3fbc3b(0x1ac8)][_0x444961]['id']===_0x13f742['id']&&(_0x256e9d[_0x3fbc3b(0x1ac8)][_0x444961]['canSelect']=![],_0x543b4c['push'](_0x256e9d[_0x3fbc3b(0x1ac8)][_0x444961]));}_0x256e9d[_0x3fbc3b(0x1ac8)]=_0x543b4c;}else{if(!_0x566855[_0x3fbc3b(0x12f4)])return _0x2a2337['userProfileResource']['get']({'sectionId':_0x566855['id']})[_0x3fbc3b(0x1d77)][_0x3fbc3b(0x1cb0)](function(_0x3162ab){const _0x58784e=_0x3fbc3b,_0x42cba1=_0x39641b()[_0x58784e(0x1de2)](_0x3162ab[_0x58784e(0x2214)],function(_0x53c3db){const _0x59d361=_0x58784e;return _0x39641b()[_0x59d361(0x13b4)](_0x256e9d[_0x59d361(0x1ac8)],{'id':_0x53c3db['resourceId']});});let _0x426704=null;_0x256e9d[_0x58784e(0x1218)]&&(_0x426704=_0x39641b()[_0x58784e(0x13b4)](_0x256e9d[_0x58784e(0x1ac8)],{'id':Number(_0x256e9d['ivrCampaign']['IntervalId'])}));if(_0x426704&&!_0x39641b()['some'](_0x42cba1,['id',_0x426704['id']])){const _0x596384=_0x39641b()[_0x58784e(0x13b4)](_0x256e9d[_0x58784e(0x1ac8)],{'id':_0x426704['id']});_0x596384[_0x58784e(0x15da)]=![],_0x42cba1['push'](_0x596384);}_0x256e9d['interval']=_0x42cba1;});}})[_0x3be93a(0x1c4)](function(_0x332874){const _0x31c3e1=_0x3be93a;_0x4659ec[_0x31c3e1(0x218e)]({'title':_0x332874['status']?'API:'+_0x332874['status']+_0x31c3e1(0x1657)+_0x332874['statusText']:'SYSTEM:GETinterval','msg':_0x332874[_0x31c3e1(0x25c)]?JSON['stringify'](_0x332874[_0x31c3e1(0x25c)]):_0x332874['toString']()});});function _0x54ae73(_0x298a9e){_0x140cc9['go']('app.motiondialer.ivrCampaigns.edit',{'id':_0x298a9e['id'],'ivrCampaign':_0x298a9e,'crudPermissions':_0x256e9d['crudPermissions']});}function _0x51f4fd(_0x16ba29,_0x132e67){const _0x123767=_0x3be93a;_0x21607d['show']({'controller':_0x123767(0x1c3d),'controllerAs':'vm','templateUrl':_0x1842af,'parent':angular[_0x123767(0x1853)](_0x2f3e86[_0x123767(0x1ed9)]),'targetEvent':_0x132e67,'clickOutsideToClose':!![],'locals':{'ivrCampaign':_0x16ba29,'ivrCampaigns':_0x256e9d['ivrCampaigns']?_0x256e9d[_0x123767(0x1fda)]['rows']:[],'crudPermissions':_0x256e9d['crudPermissions']}});}function _0x104552(_0x20bd5f,_0x2f7cfd){const _0x5e09b1=_0x3be93a;_0x21607d['show']({'controller':_0x5e09b1(0x1c8c),'controllerAs':'vm','templateUrl':_0x1fdde4,'parent':angular['element'](_0x2f3e86[_0x5e09b1(0x1ed9)]),'targetEvent':_0x2f7cfd,'clickOutsideToClose':!![],'locals':{'ivrCampaign':_0x20bd5f,'ivrCampaigns':_0x256e9d['ivrCampaigns']?_0x256e9d[_0x5e09b1(0x1fda)][_0x5e09b1(0x2214)]:[],'crudPermissions':_0x256e9d[_0x5e09b1(0x1b1a)]}});}function _0x426678(_0x27c1d5,_0x5922b8){const _0x3e25f9=_0x3be93a;_0x21607d[_0x3e25f9(0xe27)]({'controller':_0x3e25f9(0xc3b),'controllerAs':'vm','templateUrl':_0x2f59b7,'parent':angular[_0x3e25f9(0x1853)](_0x2f3e86[_0x3e25f9(0x1ed9)]),'targetEvent':_0x5922b8,'clickOutsideToClose':!![],'locals':{'ivrCampaign':_0x27c1d5,'ivrCampaigns':_0x256e9d[_0x3e25f9(0x1fda)]?_0x256e9d[_0x3e25f9(0x1fda)][_0x3e25f9(0x2214)]:[],'crudPermissions':_0x256e9d['crudPermissions']}});}function _0x5794af(_0x13dbb7,_0x3916be){const _0x5b14f9=_0x3be93a,_0xb1b571=_0x21607d['confirm']()[_0x5b14f9(0x1386)](_0x5b14f9(0x140b)+_0x39641b()[_0x5b14f9(0xa75)]('ivrCampaign')+'?')['htmlContent'](_0x5b14f9(0x204d)+(_0x13dbb7['name']||_0x5b14f9(0x1218))+''+'\x20will\x20be\x20deleted.')[_0x5b14f9(0x15ad)](_0x5b14f9(0x1bc9))[_0x5b14f9(0x728)](_0x3916be)['ok']('OK')[_0x5b14f9(0x696)](_0x5b14f9(0x24ba));_0x21607d['show'](_0xb1b571)['then'](function(){_0x58f0d0(_0x13dbb7);},function(){const _0x4ba370=_0x5b14f9;console[_0x4ba370(0x1b4f)](_0x4ba370(0x24ba));});}function _0x5f2cf4(){const _0x4afc60=_0x3be93a;if(_0x4e5947[_0x4afc60(0x22b6)]('admin'))_0x140cc9['go'](_0x4afc60(0x1369),{});else return _0x2a2337[_0x4afc60(0x2199)]['get']({'userProfileId':_0x4e5947[_0x4afc60(0x21e8)]()['userProfileId'],'sectionId':0x5e6})['$promise'][_0x4afc60(0x1cb0)](function(_0x307a7f){const _0x4d93d1=_0x4afc60,_0x1505f9=_0x307a7f&&_0x307a7f['rows']?_0x307a7f[_0x4d93d1(0x2214)][0x0]:null;_0x1505f9&&_0x1505f9[_0x4d93d1(0x281c)]?_0x140cc9['go']('app.motiondialer.realtime.ivr_campaigns',{}):_0x4659ec[_0x4d93d1(0x28c7)]({'title':_0x4e173f[_0x4d93d1(0x25cc)](_0x4d93d1(0x370)),'msg':_0x4e173f['instant']('STAFF.PERMISSIONS_UNAUTHORIZED_REDIRECT_MESSAGE')});})[_0x4afc60(0x1c4)](function(_0x55de1d){const _0x2e7e43=_0x4afc60;_0x4659ec[_0x2e7e43(0x218e)]({'title':_0x55de1d[_0x2e7e43(0x291)]?_0x2e7e43(0xeb9)+_0x55de1d['status']+_0x2e7e43(0x1657)+_0x55de1d['statusText']:_0x2e7e43(0x17ba),'msg':_0x55de1d[_0x2e7e43(0x291)]?JSON['stringify'](_0x55de1d[_0x2e7e43(0x25c)]):_0x55de1d[_0x2e7e43(0x147f)]()});});}let _0x5de7cc=!![],_0x3c935a=0x1;_0x2f2c74[_0x3be93a(0x614)]('vm.query.filter',function(_0xeff2d8,_0x153dee){const _0x4e1e38=_0x3be93a;_0x5de7cc?_0x36dbea(function(){_0x5de7cc=![];}):(!_0x153dee&&(_0x3c935a=_0x256e9d[_0x4e1e38(0xae2)][_0x4e1e38(0x1c7b)]),_0xeff2d8!==_0x153dee&&(_0x256e9d['query'][_0x4e1e38(0x1c7b)]=0x1),!_0xeff2d8&&(_0x256e9d['query']['page']=_0x3c935a),_0x256e9d[_0x4e1e38(0xe4b)]());});function _0x377a1d(_0xe28fbd){const _0xd428e2=_0x3be93a;_0x256e9d[_0xd428e2(0x1fda)]=_0xe28fbd||{'count':0x0,'rows':[]};}function _0xfddf4f(){const _0x366915=_0x3be93a;_0x256e9d[_0x366915(0xae2)]['offset']=(_0x256e9d[_0x366915(0xae2)][_0x366915(0x1c7b)]-0x1)*_0x256e9d[_0x366915(0xae2)]['limit'],_0x4e5947[_0x366915(0x22b6)](_0x366915(0x1c60))?_0x256e9d[_0x366915(0x2061)]=_0x2a2337[_0x366915(0x218c)]['get'](_0x256e9d[_0x366915(0xae2)],_0x377a1d)[_0x366915(0x1d77)]:(_0x256e9d[_0x366915(0xae2)]['id']=_0x256e9d['userProfile']['id'],_0x256e9d['query'][_0x366915(0x1f74)]=_0x366915(0x2525),_0x256e9d['promise']=_0x2a2337[_0x366915(0x44a)][_0x366915(0x1810)](_0x256e9d[_0x366915(0xae2)],_0x377a1d)['$promise']);}function _0x5606fa(_0x3f7552,_0x2287f4){const _0x4bef95=_0x3be93a;_0x21607d[_0x4bef95(0xe27)]({'controller':_0x4bef95(0x1f29),'controllerAs':'vm','templateUrl':_0x20c5fa,'parent':angular[_0x4bef95(0x1853)](_0x2f3e86[_0x4bef95(0x1ed9)]),'targetEvent':_0x3f7552,'clickOutsideToClose':!![],'locals':{'ivrCampaign':_0x2287f4,'ivrCampaigns':_0x256e9d[_0x4bef95(0x1fda)][_0x4bef95(0x2214)],'license':_0x256e9d[_0x4bef95(0x8a5)],'setting':_0x256e9d[_0x4bef95(0x9ca)],'crudPermissions':_0x256e9d[_0x4bef95(0x1b1a)]}});}function _0x58f0d0(_0x4a9c76){const _0x3b1820=_0x3be93a;_0x2a2337[_0x3b1820(0x218c)]['delete']({'id':_0x4a9c76['id']})[_0x3b1820(0x1d77)]['then'](function(){const _0x161fee=_0x3b1820;_0x39641b()[_0x161fee(0x152a)](_0x256e9d['ivrCampaigns']['rows'],{'id':_0x4a9c76['id']}),_0x256e9d[_0x161fee(0x1fda)][_0x161fee(0x184d)]-=0x1,!_0x256e9d['ivrCampaigns']['rows']['length']&&_0x256e9d[_0x161fee(0xe4b)](),_0x4659ec['success']({'title':_0x39641b()['startCase'](_0x161fee(0x3c0))+_0x161fee(0x2663),'msg':_0x4a9c76[_0x161fee(0x16b6)]?_0x4a9c76[_0x161fee(0x16b6)]+_0x161fee(0x3f5):''});})[_0x3b1820(0x1c4)](function(_0x33d7b9){const _0x15d999=_0x3b1820;if(_0x33d7b9[_0x15d999(0x25c)]&&_0x33d7b9[_0x15d999(0x25c)]['errors']&&_0x33d7b9[_0x15d999(0x25c)][_0x15d999(0x1a7c)]['length']){_0x256e9d[_0x15d999(0x1a7c)]=_0x33d7b9[_0x15d999(0x25c)][_0x15d999(0x1a7c)]||[{'message':_0x33d7b9[_0x15d999(0x147f)](),'type':_0x15d999(0x20a1)}];for(let _0x453da3=0x0;_0x453da3<_0x33d7b9[_0x15d999(0x25c)]['errors'][_0x15d999(0xfd0)];_0x453da3++){_0x4659ec[_0x15d999(0x218e)]({'title':_0x33d7b9['data']['errors'][_0x453da3]['type'],'msg':_0x33d7b9[_0x15d999(0x25c)][_0x15d999(0x1a7c)][_0x453da3][_0x15d999(0x155e)]});}}else _0x4659ec[_0x15d999(0x218e)]({'title':_0x33d7b9['status']?'API:'+_0x33d7b9[_0x15d999(0x291)]+'\x20-\x20'+_0x33d7b9[_0x15d999(0xc22)]:_0x15d999(0x20a1),'msg':_0x33d7b9[_0x15d999(0x25c)]?JSON['stringify'](_0x33d7b9[_0x15d999(0x25c)]['message']):_0x33d7b9[_0x15d999(0x155e)]||_0x33d7b9[_0x15d999(0x147f)]()});});}function _0x35a041(){const _0x23f247=_0x3be93a,_0x2c49b4=angular['copy'](_0x256e9d[_0x23f247(0x619)]);return _0x256e9d[_0x23f247(0x619)]=[],_0x2c49b4;}function _0x145560(_0x17bc78){const _0x3996b2=_0x3be93a,_0x532803=_0x21607d['confirm']()[_0x3996b2(0x1386)](_0x3996b2(0x292b))[_0x3996b2(0x49e)](_0x3996b2(0x204d)+_0x256e9d['selectedIvrCampaigns'][_0x3996b2(0xfd0)]+'\x20selected'+_0x3996b2(0x1b6))[_0x3996b2(0x15ad)]('delete\x20IvrCampaigns')[_0x3996b2(0x728)](_0x17bc78)['ok']('OK')[_0x3996b2(0x696)](_0x3996b2(0x24ba));_0x21607d[_0x3996b2(0xe27)](_0x532803)[_0x3996b2(0x1cb0)](function(){const _0x4a5c77=_0x3996b2;_0x256e9d[_0x4a5c77(0x619)]['forEach'](function(_0x554e61){_0x58f0d0(_0x554e61);}),_0x256e9d[_0x4a5c77(0x619)]=[];});}function _0x518a91(){const _0x1ae191=_0x3be93a;_0x256e9d[_0x1ae191(0x619)]=[];}function _0x1b1a18(){const _0x19d995=_0x3be93a;_0x256e9d[_0x19d995(0x619)]=_0x256e9d[_0x19d995(0x1fda)]['rows'];}}const _0x37a751=_0x5a95bf;;_0x243c44['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x406),'$location','$mdDialog','$q','$translate',_0x5537c6(0x9bf),_0x5537c6(0x1f65),_0x5537c6(0x17b8),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x243c44(_0x2fb1be,_0x492fc1,_0x150312,_0x273306,_0x362cbd,_0x5ae812,_0x1ed132,_0x10bcf7,_0x193026,_0x3c61c7,_0x342971,_0x565f5,_0x25ad41,_0x43e233){const _0x2b5975=_0x5537c6,_0x41689b=this;_0x41689b['currentUser']=_0x342971['getCurrentUser'](),_0x41689b[_0x2b5975(0x1a7c)]=[],_0x41689b[_0x2b5975(0x9ca)]=_0x25ad41,_0x41689b[_0x2b5975(0x8a5)]=_0x565f5,_0x41689b[_0x2b5975(0x1b1a)]=_0x43e233,_0x41689b['hasModulePermissions']={},_0x41689b[_0x2b5975(0x1b0c)]=_0x41689b[_0x2b5975(0x9ca)]&&_0x41689b[_0x2b5975(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x41689b[_0x2b5975(0x1386)]='MOTIONDIALER.EDIT_QUEUECAMPAIGN',_0x41689b[_0x2b5975(0x17b8)]=angular[_0x2b5975(0x17fe)](_0x193026),_0x41689b[_0x2b5975(0x1f65)]=_0x10bcf7,_0x41689b[_0x2b5975(0x158c)]=![];!_0x41689b[_0x2b5975(0x17b8)]&&(_0x41689b[_0x2b5975(0x17b8)]={'dialActive':!![],'type':_0x2b5975(0x895),'dialCheckDuplicateType':_0x2b5975(0x2017),'dialCutDigit':0x0,'autopause':'no','ringinuse':'no','timeoutrestart':'no','setinterfacevar':'no','setqueuevar':'no','setqueueentryvar':'no','dialOrderByScheduledAt':_0x2b5975(0x1a52),'dialGlobalInterval':'07:00-22:00,*,*,*','dialAMDInitialSilence':0x9c4,'dialAMDGreeting':0x5dc,'dialAMDAfterGreetingSilence':0x320,'dialAMDTotalAnalysisTime':0x1388,'dialAMDMinWordLength':0x64,'dialAMDBetweenWordsSilence':0x32,'dialAMDMaximumNumberOfWords':0x3,'dialAMDSilenceThreshold':0x100,'dialAMDMaximumWordLength':0x1388,'dialMethod':_0x2b5975(0x875),'dialPowerLevel':0x1,'dialPredictiveOptimization':_0x2b5975(0xa99),'dialPredictiveOptimizationPercentage':0x3,'dialPredictiveInterval':0xa,'dialLimitQueue':0x0,'dialRandomLastDigitCallerIdNumber':0x0,'dialOriginateTimeout':0x1e,'dialQueueOptions':_0x2b5975(0x18e7),'dialQueueTimeout':0x3,'strategy':_0x2b5975(0x199),'timeout':0x3,'retry':0x3,'weight':0x1,'dialGlobalMaxRetry':0x4,'dialCongestionMaxRetry':0x3,'dialCongestionRetryFrequency':0x96,'dialBusyMaxRetry':0x3,'dialBusyRetryFrequency':0x96,'dialNoAnswerMaxRetry':0x3,'dialNoAnswerRetryFrequency':0x96,'dialNoSuchNumberMaxRetry':0x3,'dialNoSuchNumberRetryFrequency':0x96,'dialDropMaxRetry':0x3,'dialDropRetryFrequency':0x96,'dialAbandonedMaxRetry':0x3,'dialAbandonedRetryFrequency':0x96,'dialMachineMaxRetry':0x3,'dialMachineRetryFrequency':0x96,'dialAgentRejectMaxRetry':0x3,'dialAgentRejectRetryFrequency':0x96,'dialPredictiveIntervalMaxThreshold':0x14,'dialPredictiveIntervalMinThreshold':0xa},_0x41689b[_0x2b5975(0x1386)]=_0x2b5975(0x1efb),_0x41689b[_0x2b5975(0x158c)]=!![]);_0x41689b[_0x2b5975(0x1a5f)]=_0x7c5a29,_0x41689b[_0x2b5975(0x70e)]=_0x44b845,_0x41689b[_0x2b5975(0x42f)]=_0x587a0e,_0x41689b['getDateFromString']=_0xf1db4a,_0x41689b[_0x2b5975(0xda0)]=_0x1e334a,_0x342971[_0x2b5975(0x22b6)](_0x2b5975(0x1c60))?_0x3c61c7[_0x2b5975(0x1ae0)][_0x2b5975(0xbf7)]({'fields':_0x2b5975(0x43c),'sort':_0x2b5975(0x16b6)})[_0x2b5975(0x1d77)][_0x2b5975(0x1cb0)](function(_0x2a4a5c){const _0x12d8d0=_0x2b5975;_0x41689b[_0x12d8d0(0x1363)]=_0x2a4a5c[_0x12d8d0(0x2214)]||[];})[_0x2b5975(0x1c4)](function(_0x1c9b1e){const _0x33745b=_0x2b5975;_0x1ed132[_0x33745b(0x218e)]({'title':_0x1c9b1e[_0x33745b(0x291)]?_0x33745b(0xeb9)+_0x1c9b1e[_0x33745b(0x291)]+_0x33745b(0x1657)+_0x1c9b1e[_0x33745b(0xc22)]:_0x33745b(0x14fc),'msg':_0x1c9b1e[_0x33745b(0x25c)]?JSON[_0x33745b(0x2701)](_0x1c9b1e[_0x33745b(0x25c)]):_0x1c9b1e[_0x33745b(0x147f)]()});}):_0x3c61c7[_0x2b5975(0x1ae0)][_0x2b5975(0xbf7)]({'fields':_0x2b5975(0x43c),'sort':_0x2b5975(0x16b6)})[_0x2b5975(0x1d77)][_0x2b5975(0x1cb0)](function(_0x335ebd){const _0x2404f3=_0x2b5975;_0x41689b[_0x2404f3(0x1363)]=_0x335ebd['rows']||[];})['then'](function(){const _0x1a4241=_0x2b5975;return _0x3c61c7[_0x1a4241(0x2199)][_0x1a4241(0xbf7)]({'userProfileId':_0x41689b[_0x1a4241(0xe76)][_0x1a4241(0x13c1)],'sectionId':0x3f3})[_0x1a4241(0x1d77)];})[_0x2b5975(0x1cb0)](function(_0x2f3ad1){const _0x40e6d3=_0x2b5975,_0x1a74a7=_0x2f3ad1&&_0x2f3ad1['rows']?_0x2f3ad1['rows'][0x0]:null;if(!_0x1a74a7){const _0xcff3df=[];let _0x436c71=null;_0x41689b[_0x40e6d3(0x17b8)]&&(_0x436c71=_0x39641b()[_0x40e6d3(0x13b4)](_0x41689b[_0x40e6d3(0x1363)],{'id':Number(_0x41689b[_0x40e6d3(0x17b8)][_0x40e6d3(0x7ab)])}));for(let _0x1944bc=0x0;_0x1944bc<_0x41689b[_0x40e6d3(0x1363)][_0x40e6d3(0xfd0)];_0x1944bc++){_0x436c71&&_0x41689b[_0x40e6d3(0x1363)][_0x1944bc]['id']===_0x436c71['id']&&(_0x41689b['trunks'][_0x1944bc]['canSelect']=![],_0xcff3df['push'](_0x41689b[_0x40e6d3(0x1363)][_0x1944bc]));}_0x41689b[_0x40e6d3(0x1363)]=_0xcff3df;}else{if(!_0x1a74a7['autoAssociation'])return _0x3c61c7['userProfileResource'][_0x40e6d3(0xbf7)]({'sectionId':_0x1a74a7['id']})['$promise'][_0x40e6d3(0x1cb0)](function(_0x573ede){const _0x651ea5=_0x40e6d3,_0x155fa0=_0x39641b()[_0x651ea5(0x1de2)](_0x573ede[_0x651ea5(0x2214)],function(_0x2f1767){const _0x26f1bb=_0x651ea5;return _0x39641b()[_0x26f1bb(0x13b4)](_0x41689b[_0x26f1bb(0x1363)],{'id':_0x2f1767[_0x26f1bb(0x2982)]});});let _0x49afa6=null;_0x41689b['queueCampaign']&&(_0x49afa6=_0x39641b()[_0x651ea5(0x13b4)](_0x41689b[_0x651ea5(0x1363)],{'id':Number(_0x41689b[_0x651ea5(0x17b8)]['TrunkId'])}));if(_0x49afa6&&!_0x39641b()[_0x651ea5(0x727)](_0x155fa0,['id',_0x49afa6['id']])){const _0x218b4b=_0x39641b()[_0x651ea5(0x13b4)](_0x41689b[_0x651ea5(0x1363)],{'id':_0x49afa6['id']});_0x218b4b[_0x651ea5(0x15da)]=![],_0x155fa0[_0x651ea5(0x2785)](_0x218b4b);}_0x41689b['trunks']=_0x155fa0;});}})['catch'](function(_0x3f1c4f){const _0x255d31=_0x2b5975;_0x1ed132['error']({'title':_0x3f1c4f[_0x255d31(0x291)]?_0x255d31(0xeb9)+_0x3f1c4f['status']+_0x255d31(0x1657)+_0x3f1c4f['statusText']:'SYSTEM:GETtrunks','msg':_0x3f1c4f[_0x255d31(0x25c)]?JSON['stringify'](_0x3f1c4f['data']):_0x3f1c4f[_0x255d31(0x147f)]()});}),_0x342971[_0x2b5975(0x22b6)](_0x2b5975(0x1c60))?_0x3c61c7[_0x2b5975(0x1ac8)][_0x2b5975(0xbf7)]({'fields':'id,name','sort':'name','IntervalId':_0x2b5975(0xd38)})[_0x2b5975(0x1d77)]['then'](function(_0x5e3a26){const _0x531fdd=_0x2b5975;_0x41689b[_0x531fdd(0x1ac8)]=_0x5e3a26[_0x531fdd(0x2214)]||[];})[_0x2b5975(0x1c4)](function(_0x18dbf9){const _0x2fab60=_0x2b5975;_0x1ed132['error']({'title':_0x18dbf9[_0x2fab60(0x291)]?'API:'+_0x18dbf9[_0x2fab60(0x291)]+_0x2fab60(0x1657)+_0x18dbf9['statusText']:'SYSTEM:GET_INTERVAL','msg':_0x18dbf9[_0x2fab60(0x25c)]?JSON[_0x2fab60(0x2701)](_0x18dbf9['data']):_0x18dbf9[_0x2fab60(0x147f)]()});}):_0x3c61c7[_0x2b5975(0x1ac8)][_0x2b5975(0xbf7)]({'fields':_0x2b5975(0x43c),'sort':_0x2b5975(0x16b6),'IntervalId':_0x2b5975(0xd38)})[_0x2b5975(0x1d77)]['then'](function(_0x4ea345){const _0x4afd0a=_0x2b5975;_0x41689b[_0x4afd0a(0x1ac8)]=_0x4ea345[_0x4afd0a(0x2214)]||[];})['then'](function(){const _0x27f129=_0x2b5975;return _0x3c61c7[_0x27f129(0x2199)][_0x27f129(0xbf7)]({'userProfileId':_0x41689b[_0x27f129(0xe76)]['userProfileId'],'sectionId':0x3ec})[_0x27f129(0x1d77)];})[_0x2b5975(0x1cb0)](function(_0x53d938){const _0x3d54d3=_0x2b5975,_0x381231=_0x53d938&&_0x53d938[_0x3d54d3(0x2214)]?_0x53d938['rows'][0x0]:null;if(!_0x381231){const _0x2c4157=[];let _0x2e8e6d=null;_0x41689b[_0x3d54d3(0x17b8)]&&(_0x2e8e6d=_0x39641b()[_0x3d54d3(0x13b4)](_0x41689b[_0x3d54d3(0x1ac8)],{'id':Number(_0x41689b[_0x3d54d3(0x17b8)][_0x3d54d3(0x1a60)])}));for(let _0x13fdd7=0x0;_0x13fdd7<_0x41689b[_0x3d54d3(0x1ac8)]['length'];_0x13fdd7++){_0x2e8e6d&&_0x41689b[_0x3d54d3(0x1ac8)][_0x13fdd7]['id']===_0x2e8e6d['id']&&(_0x41689b['interval'][_0x13fdd7]['canSelect']=![],_0x2c4157[_0x3d54d3(0x2785)](_0x41689b[_0x3d54d3(0x1ac8)][_0x13fdd7]));}_0x41689b[_0x3d54d3(0x1ac8)]=_0x2c4157;}else{if(!_0x381231[_0x3d54d3(0x12f4)])return _0x3c61c7[_0x3d54d3(0x1198)][_0x3d54d3(0xbf7)]({'sectionId':_0x381231['id']})[_0x3d54d3(0x1d77)][_0x3d54d3(0x1cb0)](function(_0x4a3e85){const _0x3cf10b=_0x3d54d3,_0x3a8cc1=_0x39641b()[_0x3cf10b(0x1de2)](_0x4a3e85[_0x3cf10b(0x2214)],function(_0x379420){const _0x1f1dc5=_0x3cf10b;return _0x39641b()[_0x1f1dc5(0x13b4)](_0x41689b[_0x1f1dc5(0x1ac8)],{'id':_0x379420[_0x1f1dc5(0x2982)]});});let _0x171c64=null;_0x41689b[_0x3cf10b(0x17b8)]&&(_0x171c64=_0x39641b()[_0x3cf10b(0x13b4)](_0x41689b[_0x3cf10b(0x1ac8)],{'id':Number(_0x41689b[_0x3cf10b(0x17b8)]['IntervalId'])}));if(_0x171c64&&!_0x39641b()['some'](_0x3a8cc1,['id',_0x171c64['id']])){const _0x9d90bc=_0x39641b()[_0x3cf10b(0x13b4)](_0x41689b[_0x3cf10b(0x1ac8)],{'id':_0x171c64['id']});_0x9d90bc[_0x3cf10b(0x15da)]=![],_0x3a8cc1[_0x3cf10b(0x2785)](_0x9d90bc);}_0x41689b[_0x3cf10b(0x1ac8)]=_0x3a8cc1;});}})[_0x2b5975(0x1c4)](function(_0x1c6bcb){const _0x192608=_0x2b5975;_0x1ed132[_0x192608(0x218e)]({'title':_0x1c6bcb['status']?'API:'+_0x1c6bcb['status']+_0x192608(0x1657)+_0x1c6bcb[_0x192608(0xc22)]:_0x192608(0x1b58),'msg':_0x1c6bcb[_0x192608(0x25c)]?JSON[_0x192608(0x2701)](_0x1c6bcb[_0x192608(0x25c)]):_0x1c6bcb[_0x192608(0x147f)]()});});function _0x7c5a29(){const _0x2a41bc=_0x2b5975;_0x41689b[_0x2a41bc(0x1a7c)]=[],_0x3c61c7[_0x2a41bc(0x26b3)][_0x2a41bc(0x1c3f)](_0x41689b[_0x2a41bc(0x17b8)])[_0x2a41bc(0x1d77)][_0x2a41bc(0x1cb0)](function(_0x1303f8){const _0x243d97=_0x2a41bc;_0x41689b[_0x243d97(0x1f65)]['unshift'](_0x1303f8[_0x243d97(0x19b2)]()),_0x1ed132['success']({'title':'QueueCampaign\x20properly\x20created','msg':_0x41689b[_0x243d97(0x17b8)][_0x243d97(0x16b6)]?_0x41689b[_0x243d97(0x17b8)][_0x243d97(0x16b6)]+'\x20has\x20been\x20created!':''}),_0x1e334a(_0x1303f8);})[_0x2a41bc(0x1c4)](function(_0x398bd3){const _0x24ebcf=_0x2a41bc;if(_0x398bd3[_0x24ebcf(0x25c)]&&_0x398bd3[_0x24ebcf(0x25c)]['errors']&&_0x398bd3[_0x24ebcf(0x25c)][_0x24ebcf(0x1a7c)][_0x24ebcf(0xfd0)]){_0x41689b[_0x24ebcf(0x1a7c)]=_0x398bd3[_0x24ebcf(0x25c)]['errors']||[{'message':_0x398bd3[_0x24ebcf(0x147f)](),'type':'api.voiceQueue.save'}];for(let _0x5d8e8e=0x0;_0x5d8e8e<_0x398bd3[_0x24ebcf(0x25c)]['errors'][_0x24ebcf(0xfd0)];_0x5d8e8e+=0x1){_0x1ed132[_0x24ebcf(0x218e)]({'title':_0x398bd3['data'][_0x24ebcf(0x1a7c)][_0x5d8e8e][_0x24ebcf(0x66a)],'msg':_0x398bd3['data'][_0x24ebcf(0x1a7c)][_0x5d8e8e]['message']});}}else _0x1ed132[_0x24ebcf(0x218e)]({'title':_0x398bd3[_0x24ebcf(0x291)]?_0x24ebcf(0xeb9)+_0x398bd3[_0x24ebcf(0x291)]+'\x20-\x20'+_0x398bd3[_0x24ebcf(0xc22)]:_0x24ebcf(0x1bb),'msg':_0x398bd3[_0x24ebcf(0x25c)]?JSON[_0x24ebcf(0x2701)](_0x398bd3[_0x24ebcf(0x25c)][_0x24ebcf(0x155e)]):_0x398bd3[_0x24ebcf(0x147f)]()});});}function _0x44b845(){const _0x30bba7=_0x2b5975;_0x41689b[_0x30bba7(0x1a7c)]=[],_0x3c61c7[_0x30bba7(0x26b3)][_0x30bba7(0x687)]({'id':_0x41689b[_0x30bba7(0x17b8)]['id']},_0x41689b[_0x30bba7(0x17b8)])['$promise'][_0x30bba7(0x1cb0)](function(_0x3eb232){const _0x5c74a7=_0x30bba7,_0x28552e=_0x39641b()[_0x5c74a7(0x13b4)](_0x41689b['queueCampaigns'],{'id':_0x3eb232['id']});_0x28552e&&_0x39641b()['merge'](_0x28552e,_0x39641b()['pick'](_0x3eb232[_0x5c74a7(0x19b2)](),_0x39641b()[_0x5c74a7(0x1be5)](_0x28552e))),_0x1ed132[_0x5c74a7(0x829)]({'title':_0x5c74a7(0x28d3),'msg':_0x41689b[_0x5c74a7(0x17b8)][_0x5c74a7(0x16b6)]?_0x41689b[_0x5c74a7(0x17b8)]['name']+'\x20has\x20been\x20saved!':''}),_0x1e334a(_0x3eb232);})['catch'](function(_0x19840f){const _0x22215d=_0x30bba7;if(_0x19840f[_0x22215d(0x25c)]&&_0x19840f['data']['errors']&&_0x19840f[_0x22215d(0x25c)]['errors'][_0x22215d(0xfd0)]){_0x41689b[_0x22215d(0x1a7c)]=_0x19840f[_0x22215d(0x25c)][_0x22215d(0x1a7c)]||[{'message':_0x19840f['toString'](),'type':'api.voiceQueue.update'}];for(let _0x9e3c83=0x0;_0x9e3c83<_0x19840f['data'][_0x22215d(0x1a7c)][_0x22215d(0xfd0)];_0x9e3c83++){_0x1ed132[_0x22215d(0x218e)]({'title':_0x19840f[_0x22215d(0x25c)][_0x22215d(0x1a7c)][_0x9e3c83][_0x22215d(0x66a)],'msg':_0x19840f['data'][_0x22215d(0x1a7c)][_0x9e3c83][_0x22215d(0x155e)]});}}else _0x1ed132['error']({'title':_0x19840f['status']?_0x22215d(0xeb9)+_0x19840f[_0x22215d(0x291)]+_0x22215d(0x1657)+_0x19840f[_0x22215d(0xc22)]:_0x22215d(0x2396),'msg':_0x19840f[_0x22215d(0x25c)]?JSON['stringify'](_0x19840f[_0x22215d(0x25c)][_0x22215d(0x155e)]):_0x19840f['toString']()});});}function _0x587a0e(_0x2bfc37){const _0x55d947=_0x2b5975;_0x41689b[_0x55d947(0x1a7c)]=[];const _0x117991=_0x273306[_0x55d947(0x1551)]()['title']('Are\x20you\x20sure?')[_0x55d947(0x862)](_0x55d947(0x88a))['ariaLabel'](_0x55d947(0x3f6))['ok'](_0x55d947(0x2594))[_0x55d947(0x696)](_0x55d947(0xde1))['targetEvent'](_0x2bfc37);_0x273306[_0x55d947(0xe27)](_0x117991)[_0x55d947(0x1cb0)](function(){const _0x194be9=_0x55d947;_0x3c61c7[_0x194be9(0x26b3)]['delete']({'id':_0x41689b[_0x194be9(0x17b8)]['id']})[_0x194be9(0x1d77)]['then'](function(){const _0x2c73a1=_0x194be9;_0x39641b()[_0x2c73a1(0x152a)](_0x41689b['queueCampaigns'],{'id':_0x41689b['queueCampaign']['id']}),_0x1ed132[_0x2c73a1(0x829)]({'title':_0x2c73a1(0x2971),'msg':(_0x41689b['queueCampaign'][_0x2c73a1(0x16b6)]||'queueCampaign')+'\x20has\x20been\x20deleted!'}),_0x1e334a(_0x41689b[_0x2c73a1(0x17b8)]);})[_0x194be9(0x1c4)](function(_0x96b4e9){const _0x490c0c=_0x194be9;if(_0x96b4e9[_0x490c0c(0x25c)]&&_0x96b4e9['data'][_0x490c0c(0x1a7c)]&&_0x96b4e9[_0x490c0c(0x25c)][_0x490c0c(0x1a7c)]['length']){_0x41689b[_0x490c0c(0x1a7c)]=_0x96b4e9['data']['errors']||[{'message':_0x96b4e9[_0x490c0c(0x147f)](),'type':_0x490c0c(0x1cb2)}];for(let _0xa9472=0x0;_0xa9472<_0x96b4e9[_0x490c0c(0x25c)][_0x490c0c(0x1a7c)][_0x490c0c(0xfd0)];_0xa9472++){_0x1ed132['error']({'title':_0x96b4e9[_0x490c0c(0x25c)][_0x490c0c(0x1a7c)][_0xa9472]['type'],'msg':_0x96b4e9['data']['errors'][_0xa9472]['message']});}}else _0x1ed132['error']({'title':_0x96b4e9[_0x490c0c(0x291)]?'API:'+_0x96b4e9[_0x490c0c(0x291)]+_0x490c0c(0x1657)+_0x96b4e9['statusText']:_0x490c0c(0x1cb2),'msg':_0x96b4e9[_0x490c0c(0x25c)]?JSON[_0x490c0c(0x2701)](_0x96b4e9['data'][_0x490c0c(0x155e)]):_0x96b4e9['message']||_0x96b4e9[_0x490c0c(0x147f)]()});});},function(){});}function _0xf1db4a(_0x4bbb80){return _0x4bbb80===null?undefined:new Date(_0x4bbb80);}function _0x1e334a(_0x920e28){const _0x406215=_0x2b5975;_0x273306[_0x406215(0x1426)](_0x920e28);}}const _0x28804f=_0x243c44;;_0x24b0f4[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q','toasty','api',_0x5537c6(0x17b8),_0x5537c6(0x1f65),_0x5537c6(0x217b),_0x5537c6(0x1ae),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x24b0f4(_0x3ff0ef,_0x4a8ba6,_0x96da09,_0x3cda8a,_0x21e9c7,_0x4a3b24,_0x239b86,_0xd54927,_0x3a89b2,_0x3ff071){const _0x5cb83b=_0x5537c6,_0x2ebaf0=this;_0x2ebaf0[_0x5cb83b(0xe76)]=_0x3a89b2[_0x5cb83b(0x21e8)](),_0x2ebaf0['queueCampaign']=_0x21e9c7,_0x2ebaf0[_0x5cb83b(0x1b1a)]=_0x3ff071,_0x2ebaf0[_0x5cb83b(0x1cbc)]=0x0,_0x2ebaf0['realtime']=_0x239b86,_0x2ebaf0['items']=[],_0x2ebaf0[_0x5cb83b(0x1372)]=[],_0x2ebaf0[_0x5cb83b(0x20bb)]=[],_0x2ebaf0[_0x5cb83b(0xbd5)]=[],_0x2ebaf0[_0x5cb83b(0x1456)]=[],_0x2ebaf0[_0x5cb83b(0x50c)]=![],_0x2ebaf0[_0x5cb83b(0x1a34)]=_0x2d2a25,_0x2ebaf0[_0x5cb83b(0x1dd5)]=_0xcaab7e,_0x2ebaf0[_0x5cb83b(0xda0)]=_0x21b263,_0x2ebaf0['dualMultiselectOptions']={'readOnly':!_0x2ebaf0[_0x5cb83b(0x1b1a)][_0x5cb83b(0xb3d)],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x5cb83b(0x16b6),'line1':_0x5cb83b(0x1d14),'line2':[_0x5cb83b(0x16b6),'internal'],'line3':_0x5cb83b(0x1cbc),'labelAll':_0xd54927[_0x5cb83b(0x25cc)](_0x5cb83b(0x21e7)),'labelSelected':_0xd54927[_0x5cb83b(0x25cc)](_0x5cb83b(0x1cd5)),'transferCallback':function(_0x5f568f,_0x647eb6){const _0x25394f=_0x5cb83b;_0x647eb6?_0x5f568f['forEach'](function(_0x1ef65d){const _0x2c7d11=a0_0x5cbd;_0x1ef65d[_0x2c7d11(0x1cbc)]=undefined;}):_0x5f568f[_0x25394f(0xf90)](function(_0x5c7b02){const _0x5e40a1=_0x25394f;_0x5c7b02[_0x5e40a1(0x1cbc)]=_0x5e40a1(0x455)+_0x2ebaf0[_0x5e40a1(0x1cbc)];});const _0x2b2335=_0x39641b()[_0x25394f(0x218a)](_0x2ebaf0[_0x25394f(0x1456)],_0x2ebaf0[_0x25394f(0x20bb)],function(_0x2add7d,_0x1b988e){const _0x51eb65=_0x25394f;return _0x2add7d['id']===_0x1b988e['id']&&_0x2add7d[_0x51eb65(0x1cbc)]===_0x1b988e[_0x51eb65(0x1cbc)];});_0x2ebaf0[_0x25394f(0x50c)]=_0x39641b()[_0x25394f(0xce9)](_0x2b2335)?![]:!![];}};function _0x2d2a25(){const _0x1ac602=_0x5cb83b;return _0x3a89b2['hasRole'](_0x1ac602(0x1c60))?_0x40c737()[_0x1ac602(0x1c4)](function(_0x5984a7){const _0x122cdb=_0x1ac602;_0x96da09[_0x122cdb(0x218e)]({'title':_0x5984a7[_0x122cdb(0x291)]?'API:'+_0x5984a7[_0x122cdb(0x291)]+_0x122cdb(0x1657)+_0x5984a7['statusText']:_0x122cdb(0x799),'msg':_0x5984a7[_0x122cdb(0x291)]?JSON[_0x122cdb(0x2701)](_0x5984a7[_0x122cdb(0x25c)]):_0x5984a7['toString']()});}):_0x25fc91()[_0x1ac602(0x1cb0)](function(_0x6190fe){const _0x406ac2=_0x1ac602;return _0x2ebaf0[_0x406ac2(0x1f74)]=_0x6190fe,_0x40c737();})[_0x1ac602(0x1c4)](function(_0x43bb8b){const _0x389948=_0x1ac602;_0x96da09['error']({'title':_0x43bb8b[_0x389948(0x291)]?'API:'+_0x43bb8b['status']+_0x389948(0x1657)+_0x43bb8b[_0x389948(0xc22)]:_0x389948(0x799),'msg':_0x43bb8b[_0x389948(0x291)]?JSON[_0x389948(0x2701)](_0x43bb8b[_0x389948(0x25c)]):_0x43bb8b[_0x389948(0x147f)]()});});}function _0x25fc91(){return _0x4a8ba6(function(_0x2f78d1,_0x19a8c2){const _0xcc3ba1=a0_0x5cbd;_0x3cda8a['userProfileSection'][_0xcc3ba1(0xbf7)]({'userProfileId':_0x2ebaf0[_0xcc3ba1(0xe76)][_0xcc3ba1(0x13c1)],'name':_0xcc3ba1(0x2536)})['$promise'][_0xcc3ba1(0x1cb0)](function(_0x296c57){const _0x1678f4=_0xcc3ba1,_0x1c22f8=_0x296c57&&_0x296c57[_0x1678f4(0x2214)]?_0x296c57['rows'][0x0]:null;_0x2f78d1(_0x1c22f8);})[_0xcc3ba1(0x1c4)](function(_0x4d333d){_0x19a8c2(_0x4d333d);});});}function _0x40c737(){return _0x4a8ba6(function(_0x37dc58,_0x4cdc1e){const _0x5ade15=a0_0x5cbd;return _0x4f11ee()['then'](function(_0x35397c){const _0x45c618=a0_0x5cbd;return _0x2ebaf0['items']=_0x35397c[_0x45c618(0x2214)]?_0x35397c[_0x45c618(0x2214)]:[],_0x3a89b2['hasRole']('admin')?_0x35397c:_0x2ebaf0[_0x45c618(0x1f74)]?_0x2ebaf0[_0x45c618(0x1f74)]['autoAssociation']?_0x35397c:_0x51fe0f():null;})['then'](function(_0x3e9dc5){const _0x8e1cb6=a0_0x5cbd,_0x24216b=_0x3e9dc5&&_0x3e9dc5[_0x8e1cb6(0x2214)]?_0x3e9dc5['rows']:[];return _0x2ebaf0[_0x8e1cb6(0x1372)]=_0x39641b()[_0x8e1cb6(0x1de2)](_0x24216b,function(_0x287bc5){const _0x5d926f=_0x8e1cb6;return _0x39641b()['find'](_0x2ebaf0[_0x5d926f(0x122f)],{'id':_0x3a89b2[_0x5d926f(0x22b6)](_0x5d926f(0x1c60))||_0x2ebaf0[_0x5d926f(0x1f74)][_0x5d926f(0x12f4)]?_0x287bc5['id']:_0x287bc5[_0x5d926f(0x2982)]});}),_0x2ebaf0[_0x8e1cb6(0xbd5)]=angular[_0x8e1cb6(0x17fe)](_0x2ebaf0[_0x8e1cb6(0x1372)]),_0x2ebaf0[_0x8e1cb6(0x122f)]['forEach'](function(_0x30ac2a){const _0x8deed6=_0x8e1cb6,_0x5c58b8=_0x39641b()[_0x8deed6(0x13b4)](_0x2ebaf0[_0x8deed6(0x1372)],{'id':_0x30ac2a['id']});_0x3a89b2[_0x8deed6(0x22b6)]('admin')?_0x30ac2a[_0x8deed6(0x1a4f)]=!![]:_0x30ac2a[_0x8deed6(0x1a4f)]=typeof _0x5c58b8!==_0x8deed6(0x16b5)?!![]:![];}),_0x3533e3();})[_0x5ade15(0x1cb0)](function(_0x3e9ddd){const _0x578998=_0x5ade15,_0x3fdc94=_0x3e9ddd&&_0x3e9ddd[_0x578998(0x2214)]?_0x3e9ddd[_0x578998(0x2214)]:[];_0x2ebaf0['selectedItems']=_0x39641b()[_0x578998(0x1de2)](_0x3fdc94,function(_0x244c7a){const _0x1ed924=_0x578998,_0x3fe72b=_0x39641b()[_0x1ed924(0x13b4)](_0x2ebaf0['items'],{'id':_0x244c7a['id']});return _0x3fe72b['penalty']=_0x244c7a['UserVoiceQueue']?_0x1ed924(0x455)+_0x244c7a['UserVoiceQueue']['penalty']:'',_0x3fe72b[_0x1ed924(0xdbd)]=typeof _0x244c7a['internal']!==_0x1ed924(0x16b5)?'<'+_0x244c7a[_0x1ed924(0xdbd)]+'>':'',_0x3fe72b;}),_0x2ebaf0[_0x578998(0x1456)]=angular[_0x578998(0x17fe)](_0x2ebaf0[_0x578998(0x20bb)]),_0x2ebaf0['dualMultiselectOptions'][_0x578998(0x20bb)]=_0x2ebaf0[_0x578998(0x20bb)],_0x2ebaf0[_0x578998(0x18c0)][_0x578998(0x122f)]=_0x39641b()[_0x578998(0x2128)](_0x2ebaf0[_0x578998(0x1372)],_0x2ebaf0['dualMultiselectOptions'][_0x578998(0x20bb)],'id'),_0x37dc58();})[_0x5ade15(0x1c4)](function(_0x51210a){_0x4cdc1e(_0x51210a);});});}function _0x51fe0f(){return _0x4a8ba6(function(_0x43fa63,_0x62869){const _0x5864d7=a0_0x5cbd;return _0x3cda8a[_0x5864d7(0x1198)][_0x5864d7(0xbf7)]({'sectionId':_0x2ebaf0['section']['id'],'nolimit':!![]})[_0x5864d7(0x1d77)][_0x5864d7(0x1cb0)](function(_0x278a56){_0x43fa63(_0x278a56);})[_0x5864d7(0x1c4)](function(_0x43be54){_0x62869(_0x43be54);});});}function _0x3533e3(){return _0x4a8ba6(function(_0x17621d,_0x2b6543){const _0x39d09e=a0_0x5cbd;return _0x3cda8a['voiceQueue'][_0x39d09e(0x2348)]({'id':_0x2ebaf0['queueCampaign']['id'],'fields':_0x39d09e(0x251e),'nolimit':!![],'role':_0x39d09e(0x1eff)})[_0x39d09e(0x1d77)][_0x39d09e(0x1cb0)](function(_0x48b1d4){_0x17621d(_0x48b1d4);})[_0x39d09e(0x1c4)](function(_0x5601eb){_0x2b6543(_0x5601eb);});});}function _0x4f11ee(){return _0x4a8ba6(function(_0x5025d5,_0x311208){const _0x1a7607=a0_0x5cbd;return _0x3cda8a['user'][_0x1a7607(0xbf7)]({'fields':_0x1a7607(0x251e),'nolimit':!![],'role':_0x1a7607(0x1eff)})['$promise'][_0x1a7607(0x1cb0)](function(_0x3793eb){_0x5025d5(_0x3793eb);})['catch'](function(_0x3ee4b5){_0x311208(_0x3ee4b5);});});}function _0x591474(_0x1d19e0){return _0x4a8ba6(function(_0x197ec6,_0x132b7a){const _0x1ad26c=a0_0x5cbd;if(_0x39641b()[_0x1ad26c(0xce9)](_0x1d19e0))_0x197ec6();else{const _0x53e806=[],_0x2574cd=_0x39641b()[_0x1ad26c(0x1374)](_0x1d19e0,_0x1ad26c(0x1cbc));for(let _0x19d183=0x0;_0x19d183'+'\x20will\x20be\x20deleted.')[_0x5d128b(0x15ad)](_0x5d128b(0x72e))[_0x5d128b(0x728)](_0xf4538a)['ok']('OK')[_0x5d128b(0x696)](_0x5d128b(0x24ba));_0x3539cd['show'](_0x1257e4)[_0x5d128b(0x1cb0)](function(){const _0xf31fa7=_0x5d128b;_0x57bafe[_0xf31fa7(0x1a32)][_0xf31fa7(0xf90)](function(_0x5f0f73){_0x7e3b07(_0x5f0f73);}),_0x57bafe[_0xf31fa7(0x1a32)]=[];});}let _0x4ce00b=!![],_0x2e94d2=0x1;_0x176876[_0x46cf50(0x614)](_0x46cf50(0xeb6),function(_0x46e289,_0x2f4f76){const _0x50c965=_0x46cf50;_0x4ce00b?_0x398acd(function(){_0x4ce00b=![];}):(!_0x2f4f76&&(_0x2e94d2=_0x57bafe[_0x50c965(0xae2)][_0x50c965(0x1c7b)]),_0x46e289!==_0x2f4f76&&(_0x57bafe[_0x50c965(0xae2)][_0x50c965(0x1c7b)]=0x1),!_0x46e289&&(_0x57bafe[_0x50c965(0xae2)][_0x50c965(0x1c7b)]=_0x2e94d2),_0x50f5ff());});}const _0x2ca263=_0x23f48f;;_0x5471c3['$inject']=['$cookies','$scope','$state','$q','$translate',_0x5537c6(0x2168),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x1774)];function _0x5471c3(_0x1317c0,_0x3caba1,_0x2fd87f,_0x5e1ce7,_0x94692f,_0x562c80,_0x29839b,_0x3a13a6,_0x208e40,_0x3d0829,_0x20c17f){const _0x290e14=_0x5537c6,_0x21a12a=this;_0x21a12a[_0x290e14(0xe76)]=_0x20c17f[_0x290e14(0x21e8)](),_0x21a12a[_0x290e14(0x7eb)]={},_0x21a12a['queueCampaign']={},_0x21a12a[_0x290e14(0xff6)]={'count':0x0,'rows':[]},_0x21a12a[_0x290e14(0x242f)]=[],_0x21a12a['crudPermissions'],_0x21a12a[_0x290e14(0xae2)]={'fields':_0x290e14(0x97c),'sort':_0x290e14(0x7d0),'limit':0xa,'page':0x1},_0x21a12a[_0x290e14(0x1a8e)]=_0x5c06fd,_0x21a12a[_0x290e14(0xb25)]=_0x48f7ac,_0x21a12a['success']=_0xac0ea3,_0x21a12a['getQueueCampaignHopperFinals']=_0x52b860,_0x21a12a[_0x290e14(0x2116)]=_0x3973e7,_0x21a12a['deleteQueueCampaignHopperFinal']=_0x52baf1,_0x21a12a[_0x290e14(0x293b)]=_0x82ffb6,_0x21a12a[_0x290e14(0x25cf)]=_0x769993,_0x21a12a[_0x290e14(0x11cd)]=_0x49372c,_0x21a12a[_0x290e14(0x2938)]=_0x19e7b4,_0x21a12a[_0x290e14(0x505)]=_0x4dc67c,_0x21a12a[_0x290e14(0x1fb)]=_0x9193f5;function _0x5c06fd(_0x22c90f,_0x194fcf){const _0x13a30c=_0x290e14;_0x21a12a[_0x13a30c(0x17b8)]=_0x22c90f,_0x21a12a[_0x13a30c(0x1b1a)]=typeof _0x194fcf!==_0x13a30c(0x16b5)?_0x194fcf:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x21a12a[_0x13a30c(0xae2)]['VoiceQueueId']=_0x21a12a[_0x13a30c(0x17b8)]['id'],_0x21a12a[_0x13a30c(0xae2)]['id']=_0x21a12a[_0x13a30c(0x17b8)]['id'],_0x52b860();}function _0x48f7ac(_0x57b953,_0x478011){const _0x9d912d=_0x290e14,_0x36e678=_0x29839b[_0x9d912d(0x1551)]()['title'](_0x9d912d(0x264d))[_0x9d912d(0x49e)](_0x9d912d(0x204d)+(_0x57b953[_0x9d912d(0x16b6)]||_0x57b953['id']&&_0x39641b()[_0x9d912d(0x277)]('hopperFinal\x20#')+_0x57b953['id']||'hopperFinal')+_0x9d912d(0x1200)+_0x9d912d(0x1b6))[_0x9d912d(0x15ad)]('delete\x20hopperFinal')[_0x9d912d(0x728)](_0x478011)['ok']('OK')[_0x9d912d(0x696)](_0x9d912d(0x24ba));_0x29839b[_0x9d912d(0xe27)](_0x36e678)['then'](function(){_0x52baf1(_0x57b953);},function(){const _0x2f3b43=_0x9d912d;console[_0x2f3b43(0x1b4f)](_0x2f3b43(0x24ba));});}function _0xac0ea3(_0x4eb2d9){_0x21a12a['queueCampaignHopperFinals']=_0x4eb2d9||{'count':0x0,'rows':[]};}function _0x52b860(){const _0x1fc08d=_0x290e14;_0x21a12a['query'][_0x1fc08d(0x184b)]=(_0x21a12a[_0x1fc08d(0xae2)]['page']-0x1)*_0x21a12a[_0x1fc08d(0xae2)][_0x1fc08d(0x236)],_0x21a12a[_0x1fc08d(0x2061)]=_0x3d0829['voiceQueue'][_0x1fc08d(0x64e)](_0x21a12a[_0x1fc08d(0xae2)],_0xac0ea3)[_0x1fc08d(0x1d77)];}function _0x52baf1(_0x2d948a){const _0x150381=_0x290e14;_0x3d0829[_0x150381(0x20dd)][_0x150381(0x111d)]({'id':_0x2d948a['id']})['$promise']['then'](function(){const _0x54afa1=_0x150381;_0x39641b()[_0x54afa1(0x152a)](_0x21a12a['queueCampaignHopperFinals']['rows'],{'id':_0x2d948a['id']}),_0x21a12a['queueCampaignHopperFinals'][_0x54afa1(0x184d)]-=0x1,!_0x21a12a[_0x54afa1(0xff6)][_0x54afa1(0x2214)][_0x54afa1(0xfd0)]&&_0x52b860(),_0x208e40[_0x54afa1(0x829)]({'title':_0x54afa1(0xc60),'msg':_0x2d948a[_0x54afa1(0x16b6)]?_0x2d948a[_0x54afa1(0x16b6)]+'\x20has\x20been\x20deleted!':''});})[_0x150381(0x1c4)](function(_0xd07fff){const _0x4fc9d5=_0x150381;if(_0xd07fff['data']&&_0xd07fff[_0x4fc9d5(0x25c)]['errors']&&_0xd07fff[_0x4fc9d5(0x25c)][_0x4fc9d5(0x1a7c)][_0x4fc9d5(0xfd0)]){_0x21a12a[_0x4fc9d5(0x1a7c)]=_0xd07fff[_0x4fc9d5(0x25c)][_0x4fc9d5(0x1a7c)]||[{'message':_0xd07fff[_0x4fc9d5(0x147f)](),'type':_0x4fc9d5(0x272a)}];for(let _0x12e306=0x0;_0x12e306<_0xd07fff[_0x4fc9d5(0x25c)][_0x4fc9d5(0x1a7c)][_0x4fc9d5(0xfd0)];_0x12e306++){_0x208e40[_0x4fc9d5(0x218e)]({'title':_0xd07fff['data'][_0x4fc9d5(0x1a7c)][_0x12e306][_0x4fc9d5(0x66a)],'msg':_0xd07fff[_0x4fc9d5(0x25c)]['errors'][_0x12e306][_0x4fc9d5(0x155e)]});}}else _0x208e40[_0x4fc9d5(0x218e)]({'title':_0xd07fff['status']?_0x4fc9d5(0xeb9)+_0xd07fff[_0x4fc9d5(0x291)]+_0x4fc9d5(0x1657)+_0xd07fff[_0x4fc9d5(0xc22)]:_0x4fc9d5(0x272a),'msg':_0xd07fff['data']?JSON[_0x4fc9d5(0x2701)](_0xd07fff[_0x4fc9d5(0x25c)][_0x4fc9d5(0x155e)]):_0xd07fff[_0x4fc9d5(0x155e)]||_0xd07fff[_0x4fc9d5(0x147f)]()});});}function _0x3973e7(){const _0x3e316d=_0x290e14,_0x2cd65c=angular[_0x3e316d(0x17fe)](_0x21a12a[_0x3e316d(0x242f)]);return _0x21a12a[_0x3e316d(0x242f)]=[],_0x2cd65c;}function _0x82ffb6(_0x57bf22){const _0x246a98=_0x290e14,_0x56738c=_0x29839b[_0x246a98(0x1551)]()[_0x246a98(0x1386)](_0x246a98(0x943))['htmlContent'](''+_0x21a12a[_0x246a98(0x242f)][_0x246a98(0xfd0)]+_0x246a98(0x1d6c)+_0x246a98(0x1b6))['ariaLabel'](_0x246a98(0x578))['targetEvent'](_0x57bf22)['ok']('OK')[_0x246a98(0x696)]('CANCEL');_0x29839b[_0x246a98(0xe27)](_0x56738c)['then'](function(){const _0x51e0ef=_0x246a98;_0x21a12a[_0x51e0ef(0x242f)][_0x51e0ef(0xf90)](function(_0x589731){_0x52baf1(_0x589731);}),_0x21a12a[_0x51e0ef(0x242f)]=[];});}function _0x49372c(_0x5f5bad){const _0x14cc1b=_0x290e14;_0x3d0829[_0x14cc1b(0x145f)]['moveContactsQueueCampaignHopperFinal']({'id':_0x21a12a[_0x14cc1b(0xae2)]['id'],'state':_0x5f5bad})[_0x14cc1b(0x1d77)][_0x14cc1b(0x1cb0)](function(_0x5f4eb3){const _0x505677=_0x14cc1b;_0x39641b()[_0x505677(0x958)](_0x5f4eb3)&&_0x39641b()[_0x505677(0x958)](_0x5f4eb3[0x0])?_0x208e40['info']({'title':_0x505677(0x9ce),'msg':'0'}):_0x208e40[_0x505677(0x28c7)]({'title':_0x505677(0x9ce),'msg':JSON['stringify'](_0x5f4eb3[0x0][_0x505677(0xf17)])});})['catch'](function(_0x2f0e2f){const _0x7dde07=_0x14cc1b;_0x208e40[_0x7dde07(0x218e)]({'title':_0x2f0e2f[_0x7dde07(0x291)]?_0x7dde07(0xeb9)+_0x2f0e2f[_0x7dde07(0x291)]+_0x7dde07(0x1657)+_0x2f0e2f['statusText']:_0x7dde07(0x272a),'msg':_0x2f0e2f[_0x7dde07(0x25c)]?JSON[_0x7dde07(0x2701)](_0x2f0e2f[_0x7dde07(0x25c)][_0x7dde07(0x155e)]):_0x2f0e2f[_0x7dde07(0x155e)]||_0x2f0e2f[_0x7dde07(0x147f)]()});});}function _0x19e7b4(_0xc5da4a){const _0x5ddccf=_0x290e14;_0x3d0829[_0x5ddccf(0x145f)][_0x5ddccf(0x2938)]({'id':_0xc5da4a})[_0x5ddccf(0x1d77)][_0x5ddccf(0x1cb0)](function(_0x5ed83c){const _0x1ddec3=_0x5ddccf;_0x39641b()[_0x1ddec3(0x9c1)](_0x21a12a[_0x1ddec3(0x7eb)],_0x5ed83c[0x0]);})['catch'](function(_0x5411c4){const _0x269b85=_0x5ddccf;_0x208e40[_0x269b85(0x218e)]({'title':_0x5411c4[_0x269b85(0x291)]?_0x269b85(0xeb9)+_0x5411c4[_0x269b85(0x291)]+'\x20-\x20'+_0x5411c4[_0x269b85(0xc22)]:'SYSTEM:GETvoiceQueue','msg':_0x5411c4['data']?JSON[_0x269b85(0x2701)](_0x5411c4[_0x269b85(0x25c)][_0x269b85(0x155e)]):_0x5411c4['message']||_0x5411c4['toString']()});});}function _0x9193f5(_0x39a458){const _0x5f34f5=_0x290e14,_0xc1fbaf=_0x29839b[_0x5f34f5(0x1551)]()['title']('Are\x20you\x20sure\x20want\x20to\x20restore\x20the\x20selected\x20contacts?')['htmlContent'](_0x5f34f5(0x210d)+'\x20will\x20be\x20restored.')[_0x5f34f5(0x15ad)](_0x5f34f5(0x20b))['targetEvent'](_0x39a458)['ok']('OK')['cancel'](_0x5f34f5(0x24ba));_0x29839b[_0x5f34f5(0xe27)](_0xc1fbaf)[_0x5f34f5(0x1cb0)](function(){const _0x20a718=_0x5f34f5;_0x21a12a[_0x20a718(0x242f)][_0x20a718(0xf90)](function(_0xd742de){_0x769993(_0xd742de);}),_0x21a12a['selectedQueueCampaignHopperFinals']=[];});}function _0x4dc67c(_0x3d53c8,_0xadb39f){const _0x1347fb=_0x290e14,_0x5ad0b7=_0x29839b['confirm']()[_0x1347fb(0x1386)](_0x1347fb(0x1901))['htmlContent'](_0x1347fb(0x204d)+'Contact'+_0x1347fb(0x1200)+_0x1347fb(0x1031))[_0x1347fb(0x15ad)](_0x1347fb(0x7a1))[_0x1347fb(0x728)](_0xadb39f)['ok']('OK')[_0x1347fb(0x696)](_0x1347fb(0x24ba));_0x29839b['show'](_0x5ad0b7)['then'](function(){_0x769993(_0x3d53c8);},function(){const _0x56366c=_0x1347fb;console['log'](_0x56366c(0x24ba));});}function _0x572951(_0x3af6e6){const _0x49782f=_0x290e14,_0x28d8f5={};return _0x28d8f5[_0x49782f(0x1340)]=_0x3af6e6[_0x49782f(0x17d3)],_0x28d8f5[_0x49782f(0x2251)]=_0x543b5a()()[_0x49782f(0x1f31)](_0x49782f(0x2e8)),_0x28d8f5['countbusyretry']=0x0,_0x28d8f5['countcongestionretry']=0x0,_0x28d8f5[_0x49782f(0x23ba)]=0x0,_0x28d8f5[_0x49782f(0xace)]=0x0,_0x28d8f5['ContactId']=_0x3af6e6[_0x49782f(0xb96)],_0x28d8f5['ListId']=_0x3af6e6[_0x49782f(0x20a6)],_0x28d8f5[_0x49782f(0x21ab)]=_0x3af6e6['deleted']?null:_0x3af6e6['UserId'],_0x28d8f5['VoiceQueueId']=_0x3af6e6[_0x49782f(0x1116)],_0x28d8f5[_0x49782f(0x21c1)]=_0x3af6e6[_0x49782f(0x21c1)],_0x28d8f5['priority']=_0x3af6e6[_0x49782f(0x1858)]?0x3:0x2,_0x28d8f5['recallme']=_0x3af6e6[_0x49782f(0x1858)]?!![]:![],_0x28d8f5;}function _0x1f281d(_0x1e3e63){const _0x160041=_0x290e14,_0x38b8fe={};return _0x38b8fe[_0x160041(0x17d3)]=_0x1e3e63['calleridnum'],_0x38b8fe[_0x160041(0xbb5)]=_0x1e3e63[_0x160041(0xbb5)],_0x38b8fe[_0x160041(0x2251)]=_0x543b5a()()[_0x160041(0x1f31)](_0x160041(0x2e8)),_0x38b8fe[_0x160041(0x1969)]=_0x1e3e63[_0x160041(0x1969)],_0x38b8fe[_0x160041(0x1c8b)]=_0x1e3e63[_0x160041(0x1c8b)],_0x38b8fe[_0x160041(0x23ba)]=_0x1e3e63[_0x160041(0x23ba)],_0x38b8fe[_0x160041(0xace)]=_0x1e3e63[_0x160041(0xace)],_0x38b8fe[_0x160041(0xb96)]=_0x1e3e63[_0x160041(0xb96)],_0x38b8fe['ListId']=_0x1e3e63[_0x160041(0x20a6)],_0x38b8fe[_0x160041(0x21ab)]=_0x20c17f[_0x160041(0x21e8)]()['id'],_0x38b8fe[_0x160041(0x1116)]=_0x1e3e63['VoiceQueueId'],_0x38b8fe[_0x160041(0x21c1)]=_0x1e3e63[_0x160041(0x21c1)],_0x38b8fe[_0x160041(0x206e)]=_0x543b5a()()[_0x160041(0x1f31)]('YYYY-MM-DD\x20HH:mm:ss'),_0x38b8fe[_0x160041(0xfc3)]=!![],_0x38b8fe['state']=0x19,_0x38b8fe[_0x160041(0x448)]='Restored',_0x38b8fe[_0x160041(0x218c)]=_0x1e3e63[_0x160041(0x218c)],_0x38b8fe[_0x160041(0x1605)]=_0x1e3e63[_0x160041(0x1116)]?_0x160041(0x11cf):_0x160041(0x242e),_0x38b8fe;}function _0x769993(_0x528ae2){const _0x22c2cb=_0x290e14;_0x3d0829[_0x22c2cb(0x145f)]['checkContactHopper'](_0x528ae2)['$promise'][_0x22c2cb(0x1cb0)](function(_0xd7342){const _0x24b96c=_0x22c2cb;_0x208e40['info']({'title':_0x24b96c(0x109a),'msg':_0x24b96c(0x615)+_0xd7342[_0x24b96c(0xb96)]});})['catch'](function(_0x4fa252){const _0x487d4a=_0x22c2cb;_0x4fa252[_0x487d4a(0x25c)]==='Not\x20Found'?_0x3d0829['cmHopper'][_0x487d4a(0x1c3f)](_0x572951(_0x528ae2))['$promise']['then'](function(_0xab2c05){const _0x4bb5ad=_0x487d4a;_0x208e40['info']({'title':'Restored\x20Contact','msg':'Contact\x20ID\x20'+_0xab2c05[_0x4bb5ad(0xb96)]}),_0xab2c05[_0x4bb5ad(0xb96)]&&_0x3d0829[_0x4bb5ad(0x1e15)]['save'](_0x1f281d(_0x528ae2));})[_0x487d4a(0x1c4)](function(_0x13410c){const _0x4638aa=_0x487d4a;!_0x39641b()['isNil'](_0x13410c[_0x4638aa(0x25c)])&&_0x13410c['data']['message']===_0x4638aa(0xb89)?_0x208e40[_0x4638aa(0x28c7)]({'title':_0x4638aa(0x109a),'msg':_0x4638aa(0x615)+_0x528ae2[_0x4638aa(0xb96)]}):_0x208e40[_0x4638aa(0x218e)]({'title':_0x13410c['status']?_0x4638aa(0xeb9)+_0x13410c[_0x4638aa(0x291)]+'\x20-\x20'+_0x13410c[_0x4638aa(0xc22)]:'SYSTEM:GETvoiceQueue','msg':_0x13410c['data']?JSON[_0x4638aa(0x2701)](_0x13410c[_0x4638aa(0x25c)][_0x4638aa(0x155e)]):_0x13410c['message']||_0x13410c[_0x4638aa(0x147f)]()});}):_0x208e40[_0x487d4a(0x218e)]({'title':_0x4fa252['status']?_0x487d4a(0xeb9)+_0x4fa252[_0x487d4a(0x291)]+_0x487d4a(0x1657)+_0x4fa252[_0x487d4a(0xc22)]:_0x487d4a(0x272a),'msg':_0x4fa252[_0x487d4a(0x25c)]?JSON[_0x487d4a(0x2701)](_0x4fa252['data']['message']):_0x4fa252[_0x487d4a(0x155e)]||_0x4fa252['toString']()});});}let _0x259a67=!![],_0x2665cc=0x1;_0x3caba1['$watch'](_0x290e14(0xeb6),function(_0x4add7b,_0x477662){const _0x24bb73=_0x290e14;_0x259a67?_0x562c80(function(){_0x259a67=![];}):(!_0x477662&&(_0x2665cc=_0x21a12a[_0x24bb73(0xae2)][_0x24bb73(0x1c7b)]),_0x4add7b!==_0x477662&&(_0x21a12a['query'][_0x24bb73(0x1c7b)]=0x1),!_0x4add7b&&(_0x21a12a[_0x24bb73(0xae2)]['page']=_0x2665cc),_0x52b860());});}const _0x349af5=_0x5471c3;;_0x42b20f['$inject']=[_0x5537c6(0x173),_0x5537c6(0x1463),_0x5537c6(0x406),'$q',_0x5537c6(0x1ae),_0x5537c6(0x2168),_0x5537c6(0xcb9),'$document','toasty',_0x5537c6(0x142b),_0x5537c6(0x1774)];function _0x42b20f(_0x275e7d,_0xe776d7,_0x3ec53a,_0x51a6d4,_0x489e66,_0x48e312,_0x35e408,_0x401021,_0x16243f,_0x55bc31,_0x27c754){const _0x211306=_0x5537c6,_0x55c97f=this;_0x55c97f[_0x211306(0xe76)]=_0x27c754[_0x211306(0x21e8)](),_0x55c97f[_0x211306(0x17b8)]={},_0x55c97f[_0x211306(0x1d8e)]={'count':0x0,'rows':[]},_0x55c97f[_0x211306(0x4ac)]=[],_0x55c97f['crudPermissions'],_0x55c97f['query']={'fields':_0x211306(0xaff),'sort':_0x211306(0x7d0),'limit':0xa,'page':0x1},_0x55c97f[_0x211306(0x1a8e)]=_0x598caf,_0x55c97f['deleteConfirm']=_0x22463c,_0x55c97f[_0x211306(0x829)]=_0x11eaf5,_0x55c97f[_0x211306(0xeca)]=_0x355493,_0x55c97f[_0x211306(0x2011)]=_0x46dcbf,_0x55c97f[_0x211306(0x8c7)]=_0x23568d,_0x55c97f['deleteSelectedQueueCampaignHopperHistories']=_0x4c611b;function _0x598caf(_0x57a4a0,_0x541419){const _0x1b91ed=_0x211306;_0x55c97f[_0x1b91ed(0x17b8)]=_0x57a4a0,_0x55c97f[_0x1b91ed(0x1b1a)]=typeof _0x541419!=='undefined'?_0x541419:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x55c97f[_0x1b91ed(0xae2)]['VoiceQueueId']=_0x55c97f['queueCampaign']['id'],_0x55c97f[_0x1b91ed(0xae2)]['id']=_0x55c97f[_0x1b91ed(0x17b8)]['id'],_0x355493();}function _0x22463c(_0x4a7f50,_0x4fce1d){const _0x487196=_0x211306,_0x3f1464=_0x35e408[_0x487196(0x1551)]()[_0x487196(0x1386)](_0x487196(0x5d6))[_0x487196(0x49e)](''+(_0x4a7f50[_0x487196(0x16b6)]||_0x4a7f50['id']&&_0x39641b()[_0x487196(0x277)](_0x487196(0x7a8))+_0x4a7f50['id']||'hopperHistory')+_0x487196(0x1200)+'\x20will\x20be\x20deleted.')[_0x487196(0x15ad)](_0x487196(0x16d9))[_0x487196(0x728)](_0x4fce1d)['ok']('OK')[_0x487196(0x696)](_0x487196(0x24ba));_0x35e408[_0x487196(0xe27)](_0x3f1464)[_0x487196(0x1cb0)](function(){_0x23568d(_0x4a7f50);},function(){const _0xfc1868=_0x487196;console[_0xfc1868(0x1b4f)](_0xfc1868(0x24ba));});}function _0x11eaf5(_0x2f0700){const _0x4a6320=_0x211306;_0x55c97f[_0x4a6320(0x1d8e)]=_0x2f0700||{'count':0x0,'rows':[]};}function _0x355493(){const _0x12bf5f=_0x211306;_0x55c97f[_0x12bf5f(0xae2)][_0x12bf5f(0x184b)]=(_0x55c97f[_0x12bf5f(0xae2)][_0x12bf5f(0x1c7b)]-0x1)*_0x55c97f[_0x12bf5f(0xae2)]['limit'],_0x55c97f[_0x12bf5f(0x2061)]=_0x55bc31['voiceQueue'][_0x12bf5f(0x116a)](_0x55c97f['query'],_0x11eaf5)[_0x12bf5f(0x1d77)];}function _0x23568d(_0x2787de){const _0x557099=_0x211306;_0x55bc31[_0x557099(0x3db)][_0x557099(0x111d)]({'id':_0x2787de['id']})[_0x557099(0x1d77)][_0x557099(0x1cb0)](function(){const _0x16619f=_0x557099;_0x39641b()[_0x16619f(0x152a)](_0x55c97f['queueCampaignHopperHistories']['rows'],{'id':_0x2787de['id']}),_0x55c97f[_0x16619f(0x1d8e)][_0x16619f(0x184d)]-=0x1,!_0x55c97f[_0x16619f(0x1d8e)][_0x16619f(0x2214)][_0x16619f(0xfd0)]&&_0x355493(),_0x16243f[_0x16619f(0x829)]({'title':'HopperHistory\x20deleted!','msg':_0x2787de['name']?_0x2787de[_0x16619f(0x16b6)]+_0x16619f(0x3f5):''});})[_0x557099(0x1c4)](function(_0xafbc34){const _0xf6fd30=_0x557099;if(_0xafbc34[_0xf6fd30(0x25c)]&&_0xafbc34[_0xf6fd30(0x25c)]['errors']&&_0xafbc34[_0xf6fd30(0x25c)][_0xf6fd30(0x1a7c)][_0xf6fd30(0xfd0)]){_0x55c97f['errors']=_0xafbc34[_0xf6fd30(0x25c)][_0xf6fd30(0x1a7c)]||[{'message':_0xafbc34[_0xf6fd30(0x147f)](),'type':_0xf6fd30(0x272a)}];for(let _0x336fce=0x0;_0x336fce<_0xafbc34[_0xf6fd30(0x25c)][_0xf6fd30(0x1a7c)][_0xf6fd30(0xfd0)];_0x336fce++){_0x16243f['error']({'title':_0xafbc34[_0xf6fd30(0x25c)][_0xf6fd30(0x1a7c)][_0x336fce]['type'],'msg':_0xafbc34[_0xf6fd30(0x25c)][_0xf6fd30(0x1a7c)][_0x336fce][_0xf6fd30(0x155e)]});}}else _0x16243f[_0xf6fd30(0x218e)]({'title':_0xafbc34[_0xf6fd30(0x291)]?_0xf6fd30(0xeb9)+_0xafbc34[_0xf6fd30(0x291)]+_0xf6fd30(0x1657)+_0xafbc34[_0xf6fd30(0xc22)]:'SYSTEM:GETvoiceQueue','msg':_0xafbc34['data']?JSON['stringify'](_0xafbc34[_0xf6fd30(0x25c)][_0xf6fd30(0x155e)]):_0xafbc34[_0xf6fd30(0x155e)]||_0xafbc34['toString']()});});}function _0x46dcbf(){const _0x500f8b=_0x211306,_0xc4d888=angular[_0x500f8b(0x17fe)](_0x55c97f[_0x500f8b(0x4ac)]);return _0x55c97f[_0x500f8b(0x4ac)]=[],_0xc4d888;}function _0x4c611b(_0x7ff517){const _0x571b30=_0x211306,_0x1c324f=_0x35e408[_0x571b30(0x1551)]()[_0x571b30(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20hopperHistories?')[_0x571b30(0x49e)](''+_0x55c97f[_0x571b30(0x4ac)][_0x571b30(0xfd0)]+_0x571b30(0x1d6c)+_0x571b30(0x1b6))[_0x571b30(0x15ad)](_0x571b30(0x1bb5))[_0x571b30(0x728)](_0x7ff517)['ok']('OK')[_0x571b30(0x696)]('CANCEL');_0x35e408[_0x571b30(0xe27)](_0x1c324f)['then'](function(){const _0x4f017c=_0x571b30;_0x55c97f['selectedQueueCampaignHopperHistories'][_0x4f017c(0xf90)](function(_0xfa18ac){_0x23568d(_0xfa18ac);}),_0x55c97f[_0x4f017c(0x4ac)]=[];});}let _0x41c6a8=!![],_0x544307=0x1;_0xe776d7[_0x211306(0x614)](_0x211306(0xeb6),function(_0xff012,_0x21ad1b){const _0x3118ac=_0x211306;_0x41c6a8?_0x48e312(function(){_0x41c6a8=![];}):(!_0x21ad1b&&(_0x544307=_0x55c97f[_0x3118ac(0xae2)][_0x3118ac(0x1c7b)]),_0xff012!==_0x21ad1b&&(_0x55c97f[_0x3118ac(0xae2)]['page']=0x1),!_0xff012&&(_0x55c97f[_0x3118ac(0xae2)]['page']=_0x544307),_0x355493());});}const _0x3daa54=_0x42b20f;;_0x2a391c[_0x5537c6(0x15b6)]=[_0x5537c6(0x173),_0x5537c6(0x1463),'$state','$q',_0x5537c6(0x1ae),'$timeout',_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x1774)];function _0x2a391c(_0x33c915,_0x1fbdf8,_0x315947,_0x10046b,_0x3ec7c1,_0x326394,_0x16df63,_0x427565,_0x36a8e5,_0x311420,_0x56d9e0){const _0x1e4264=_0x5537c6,_0x560fa1=this;_0x560fa1['currentUser']=_0x56d9e0['getCurrentUser'](),_0x560fa1['queueCampaign']={},_0x560fa1[_0x1e4264(0x25e1)]={'count':0x0,'rows':[]},_0x560fa1[_0x1e4264(0xcf7)]=[],_0x560fa1['crudPermissions'],_0x560fa1[_0x1e4264(0xae2)]={'fields':'createdAt,updatedAt,id,ContactId,phone,countcongestionretry,countbusyretry,countnoanswerretry,countnosuchnumberretry,countdropretry,countabandonedretry,countmachineretry,countagentrejectretry,scheduledat,priority,recallme,UserId','sort':_0x1e4264(0x282),'limit':0xa,'page':0x1},_0x560fa1['arraypriority']=_0x39641b()[_0x1e4264(0x2631)]([{'option':_0x1e4264(0xc6c),'value':'\x274\x27'},{'option':_0x1e4264(0x1173),'value':_0x1e4264(0xf37)},{'option':_0x1e4264(0x274b),'value':'\x272\x27'},{'option':_0x1e4264(0x1ec),'value':_0x1e4264(0x11e4)},{'option':_0x1e4264(0x1d1c),'value':_0x1e4264(0xbbb)}],function(_0x32e058){const _0x1faee2=_0x1e4264;return _0x39641b()[_0x1faee2(0x288f)](_0x32e058['value'],new RegExp('\x27','g'),'');}),_0x560fa1[_0x1e4264(0x1a8e)]=_0x4fe253,_0x560fa1[_0x1e4264(0xb25)]=_0x3a5d49,_0x560fa1[_0x1e4264(0x829)]=_0x4e5c49,_0x560fa1[_0x1e4264(0x22b8)]=_0x41b45f,_0x560fa1['createOrEditQueueCampaignHopper']=_0x42ad43,_0x560fa1[_0x1e4264(0x27eb)]=_0x19e0cd,_0x560fa1['deleteQueueCampaignHopper']=_0x5507b1,_0x560fa1['deleteSelectedQueueCampaignHoppers']=_0x2c445f,_0x56d9e0[_0x1e4264(0x22b6)](_0x1e4264(0x1c60))?_0x311420['user'][_0x1e4264(0xbf7)]({'fields':_0x1e4264(0x43c),'role':_0x1e4264(0x1eff),'sort':_0x1e4264(0x16b6),'nolimit':_0x1e4264(0x44d)})[_0x1e4264(0x1d77)][_0x1e4264(0x1cb0)](function(_0x2480fc){const _0x1ed398=_0x1e4264;_0x560fa1[_0x1ed398(0xc12)]=_0x2480fc[_0x1ed398(0x2214)]||[];})['catch'](function(_0x18d2ee){const _0x1a4b07=_0x1e4264;_0x36a8e5[_0x1a4b07(0x218e)]({'title':_0x18d2ee[_0x1a4b07(0x291)]?'API:'+_0x18d2ee['status']+'\x20-\x20'+_0x18d2ee['statusText']:_0x1a4b07(0x799),'msg':_0x18d2ee[_0x1a4b07(0x25c)]?JSON[_0x1a4b07(0x2701)](_0x18d2ee['data']):_0x18d2ee['toString']()});}):_0x311420[_0x1e4264(0xebe)]['get']({'fields':_0x1e4264(0x43c),'role':'agent','sort':_0x1e4264(0x16b6),'nolimit':'true'})['$promise'][_0x1e4264(0x1cb0)](function(_0x52b485){const _0x5e5293=_0x1e4264;_0x560fa1['agents']=_0x52b485[_0x5e5293(0x2214)]||[];})[_0x1e4264(0x1cb0)](function(){const _0x58ad86=_0x1e4264;return _0x311420[_0x58ad86(0x2199)][_0x58ad86(0xbf7)]({'userProfileId':_0x560fa1[_0x58ad86(0xe76)]['userProfileId'],'sectionId':0xca})['$promise'];})['then'](function(_0x5bb74c){const _0x3efa33=_0x1e4264,_0x3f9d70=_0x5bb74c&&_0x5bb74c[_0x3efa33(0x2214)]?_0x5bb74c['rows'][0x0]:null;if(!_0x3f9d70){const _0x577804=[];let _0x12a28b=null;_0x560fa1['queueCampaign']&&(_0x12a28b=_0x39641b()[_0x3efa33(0x13b4)](_0x560fa1[_0x3efa33(0xc12)],{'id':Number(_0x560fa1[_0x3efa33(0x17b8)][_0x3efa33(0x21ab)])}));for(let _0x555a07=0x0;_0x555a07<_0x560fa1[_0x3efa33(0xc12)][_0x3efa33(0xfd0)];_0x555a07++){_0x12a28b&&_0x560fa1['agents'][_0x555a07]['id']===_0x12a28b['id']&&(_0x560fa1[_0x3efa33(0xc12)][_0x555a07][_0x3efa33(0x15da)]=![],_0x577804[_0x3efa33(0x2785)](_0x560fa1[_0x3efa33(0xc12)][_0x555a07]));}_0x560fa1[_0x3efa33(0xc12)]=_0x577804;}else{if(!_0x3f9d70['autoAssociation'])return _0x311420[_0x3efa33(0x1198)][_0x3efa33(0xbf7)]({'sectionId':_0x3f9d70['id']})['$promise'][_0x3efa33(0x1cb0)](function(_0x1cca78){const _0x157fc0=_0x3efa33,_0x1747fc=_0x39641b()[_0x157fc0(0x1de2)](_0x1cca78['rows'],function(_0x4cd624){const _0x23137e=_0x157fc0;return _0x39641b()[_0x23137e(0x13b4)](_0x560fa1['agents'],{'id':_0x4cd624[_0x23137e(0x2982)]});});let _0x559cad=null;_0x560fa1[_0x157fc0(0x17b8)]&&(_0x559cad=_0x39641b()[_0x157fc0(0x13b4)](_0x560fa1['agents'],{'id':Number(_0x560fa1[_0x157fc0(0x17b8)]['UserId'])}));if(_0x559cad&&!_0x39641b()[_0x157fc0(0x727)](_0x1747fc,['id',_0x559cad['id']])){const _0x352cfd=_0x39641b()[_0x157fc0(0x13b4)](_0x560fa1[_0x157fc0(0xc12)],{'id':_0x559cad['id']});_0x352cfd['canSelect']=![],_0x1747fc[_0x157fc0(0x2785)](_0x352cfd);}_0x560fa1['agents']=_0x1747fc;});}})['catch'](function(_0x36bd14){const _0x37dd6d=_0x1e4264;_0x36a8e5[_0x37dd6d(0x218e)]({'title':_0x36bd14[_0x37dd6d(0x291)]?_0x37dd6d(0xeb9)+_0x36bd14[_0x37dd6d(0x291)]+_0x37dd6d(0x1657)+_0x36bd14[_0x37dd6d(0xc22)]:_0x37dd6d(0x2159),'msg':_0x36bd14['data']?JSON[_0x37dd6d(0x2701)](_0x36bd14[_0x37dd6d(0x25c)]):_0x36bd14[_0x37dd6d(0x147f)]()});});function _0x4fe253(_0x23e46d,_0x36b215){const _0x7f19ff=_0x1e4264;_0x560fa1[_0x7f19ff(0x17b8)]=_0x23e46d,_0x560fa1[_0x7f19ff(0x1b1a)]=typeof _0x36b215!==_0x7f19ff(0x16b5)?_0x36b215:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x560fa1[_0x7f19ff(0xae2)][_0x7f19ff(0x1116)]=_0x560fa1['queueCampaign']['id'],_0x560fa1[_0x7f19ff(0xae2)]['id']=_0x560fa1['queueCampaign']['id'],_0x41b45f();}function _0x3a5d49(_0x180a42,_0x27901c){const _0x40c820=_0x1e4264,_0x391338=_0x16df63[_0x40c820(0x1551)]()['title'](_0x40c820(0x82c))[_0x40c820(0x49e)](''+(_0x180a42[_0x40c820(0x16b6)]||_0x180a42['id']&&_0x39641b()['upperFirst']('hopper\x20#')+_0x180a42['id']||'hopper')+_0x40c820(0x1200)+_0x40c820(0x1b6))[_0x40c820(0x15ad)]('delete\x20hopper')['targetEvent'](_0x27901c)['ok']('OK')[_0x40c820(0x696)]('CANCEL');_0x16df63[_0x40c820(0xe27)](_0x391338)[_0x40c820(0x1cb0)](function(){_0x5507b1(_0x180a42);},function(){const _0x24dc16=_0x40c820;console[_0x24dc16(0x1b4f)](_0x24dc16(0x24ba));});}function _0x4e5c49(_0x593739){_0x560fa1['queueCampaignHoppers']=_0x593739||{'count':0x0,'rows':[]};}function _0x41b45f(){const _0x582da2=_0x1e4264;_0x560fa1[_0x582da2(0xae2)]['offset']=(_0x560fa1[_0x582da2(0xae2)][_0x582da2(0x1c7b)]-0x1)*_0x560fa1[_0x582da2(0xae2)][_0x582da2(0x236)],_0x560fa1[_0x582da2(0x2061)]=_0x311420[_0x582da2(0x26b3)][_0x582da2(0xb46)](_0x560fa1[_0x582da2(0xae2)],_0x4e5c49)[_0x582da2(0x1d77)];}function _0x42ad43(_0x42011f,_0x52d694){const _0x3efafd=_0x1e4264;_0x16df63[_0x3efafd(0xe27)]({'controller':'CreateOrEditHopperVoiceQueueIdDialogController','controllerAs':'vm','templateUrl':_0x30626d,'parent':angular['element'](_0x427565[_0x3efafd(0x1ed9)]),'targetEvent':_0x42011f,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0x560fa1['queueCampaign'],'hopper':_0x52d694,'hoppers':_0x560fa1['queueCampaignHoppers'][_0x3efafd(0x2214)],'license':null,'setting':null,'crudPermissions':_0x560fa1['crudPermissions']}});}function _0x5507b1(_0x388c54){const _0x475f14=_0x1e4264;_0x311420[_0x475f14(0x26bc)][_0x475f14(0x111d)]({'id':_0x388c54['id']})[_0x475f14(0x1d77)][_0x475f14(0x1cb0)](function(){const _0x392038=_0x475f14;_0x39641b()['remove'](_0x560fa1[_0x392038(0x25e1)]['rows'],{'id':_0x388c54['id']}),_0x560fa1[_0x392038(0x25e1)][_0x392038(0x184d)]-=0x1,!_0x560fa1[_0x392038(0x25e1)][_0x392038(0x2214)][_0x392038(0xfd0)]&&_0x41b45f(),_0x36a8e5[_0x392038(0x829)]({'title':_0x392038(0x1aaa),'msg':_0x388c54[_0x392038(0x16b6)]?_0x388c54[_0x392038(0x16b6)]+_0x392038(0x3f5):''});})['catch'](function(_0x4d73e0){const _0x2cce59=_0x475f14;if(_0x4d73e0['data']&&_0x4d73e0[_0x2cce59(0x25c)]['errors']&&_0x4d73e0['data'][_0x2cce59(0x1a7c)]['length']){_0x560fa1[_0x2cce59(0x1a7c)]=_0x4d73e0['data']['errors']||[{'message':_0x4d73e0[_0x2cce59(0x147f)](),'type':_0x2cce59(0x272a)}];for(let _0x12d5a9=0x0;_0x12d5a9<_0x4d73e0[_0x2cce59(0x25c)]['errors'][_0x2cce59(0xfd0)];_0x12d5a9++){_0x36a8e5[_0x2cce59(0x218e)]({'title':_0x4d73e0['data'][_0x2cce59(0x1a7c)][_0x12d5a9]['type'],'msg':_0x4d73e0[_0x2cce59(0x25c)]['errors'][_0x12d5a9][_0x2cce59(0x155e)]});}}else _0x36a8e5['error']({'title':_0x4d73e0['status']?_0x2cce59(0xeb9)+_0x4d73e0[_0x2cce59(0x291)]+_0x2cce59(0x1657)+_0x4d73e0[_0x2cce59(0xc22)]:'SYSTEM:GETvoiceQueue','msg':_0x4d73e0[_0x2cce59(0x25c)]?JSON[_0x2cce59(0x2701)](_0x4d73e0['data']['message']):_0x4d73e0[_0x2cce59(0x155e)]||_0x4d73e0[_0x2cce59(0x147f)]()});});}function _0x19e0cd(){const _0x3cb894=_0x1e4264,_0x11e1e7=angular['copy'](_0x560fa1['selectedQueueCampaignHoppers']);return _0x560fa1[_0x3cb894(0xcf7)]=[],_0x11e1e7;}function _0x2c445f(_0x5416b6){const _0x20ffa3=_0x1e4264,_0xe5d1ec=_0x16df63[_0x20ffa3(0x1551)]()[_0x20ffa3(0x1386)](_0x20ffa3(0x1a6))[_0x20ffa3(0x49e)](''+_0x560fa1[_0x20ffa3(0xcf7)][_0x20ffa3(0xfd0)]+_0x20ffa3(0x1d6c)+_0x20ffa3(0x1b6))[_0x20ffa3(0x15ad)](_0x20ffa3(0x28b9))['targetEvent'](_0x5416b6)['ok']('OK')[_0x20ffa3(0x696)]('CANCEL');_0x16df63[_0x20ffa3(0xe27)](_0xe5d1ec)[_0x20ffa3(0x1cb0)](function(){const _0x2e7e20=_0x20ffa3;_0x560fa1['selectedQueueCampaignHoppers'][_0x2e7e20(0xf90)](function(_0x27ecd3){_0x5507b1(_0x27ecd3);}),_0x560fa1[_0x2e7e20(0xcf7)]=[];});}let _0x232273=!![],_0xf1eba0=0x1;_0x1fbdf8[_0x1e4264(0x614)](_0x1e4264(0xeb6),function(_0x23fe90,_0x3a49fc){const _0x14d619=_0x1e4264;_0x232273?_0x326394(function(){_0x232273=![];}):(!_0x3a49fc&&(_0xf1eba0=_0x560fa1[_0x14d619(0xae2)][_0x14d619(0x1c7b)]),_0x23fe90!==_0x3a49fc&&(_0x560fa1[_0x14d619(0xae2)][_0x14d619(0x1c7b)]=0x1),!_0x23fe90&&(_0x560fa1[_0x14d619(0xae2)]['page']=_0xf1eba0),_0x41b45f());});}const _0x6f7acc=_0x2a391c;;_0x23a244[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0xe3c),_0x5537c6(0x2151),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x23a244(_0x30a417,_0x3f2081,_0x1a5c5a,_0x1aea8a,_0x2fb46b,_0x2124ce,_0x599fd8,_0x39e6f2,_0x3ad7a7,_0x4f59cf,_0x47012e,_0x1d9c8e,_0x3a1f73,_0x43bf62){const _0x9c0eb6=_0x5537c6,_0x4a0a92=this;_0x4a0a92[_0x9c0eb6(0xe76)]=_0x47012e['getCurrentUser'](),_0x4a0a92['errors']=[],_0x4a0a92['setting']=_0x3a1f73,_0x4a0a92[_0x9c0eb6(0x8a5)]=_0x1d9c8e,_0x4a0a92[_0x9c0eb6(0x1b1a)]=_0x43bf62,_0x4a0a92[_0x9c0eb6(0xf4c)]={},_0x4a0a92[_0x9c0eb6(0x1b0c)]=_0x4a0a92['setting']&&_0x4a0a92[_0x9c0eb6(0x9ca)][_0x9c0eb6(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x4a0a92[_0x9c0eb6(0x1386)]=_0x9c0eb6(0x2285),_0x4a0a92['hopper']=angular[_0x9c0eb6(0x17fe)](_0x3ad7a7),_0x4a0a92[_0x9c0eb6(0xe3c)]=_0x39e6f2,_0x4a0a92[_0x9c0eb6(0x25f0)]=![];!_0x4a0a92[_0x9c0eb6(0x2151)]&&(_0x4a0a92[_0x9c0eb6(0x2151)]={},_0x4a0a92[_0x9c0eb6(0x1386)]=_0x9c0eb6(0x2407),_0x4a0a92['newHopper']=!![]);_0x3f2081[_0x9c0eb6(0x1dfe)]['id']&&(_0x4a0a92[_0x9c0eb6(0x2151)]['VoiceQueueId']=_0x3f2081[_0x9c0eb6(0x1dfe)]['id']);_0x4a0a92[_0x9c0eb6(0x3fe)]=_0x26789b,_0x4a0a92[_0x9c0eb6(0x1f48)]=_0x3d90a8,_0x4a0a92['deleteHopper']=_0x1201e4,_0x4a0a92[_0x9c0eb6(0x2c4)]=_0x3c4ea9,_0x4a0a92['closeDialog']=_0x294faa,_0x47012e['hasRole'](_0x9c0eb6(0x1c60))?_0x4f59cf[_0x9c0eb6(0xebe)]['get']({'fields':'id,name','role':_0x9c0eb6(0x1eff),'sort':_0x9c0eb6(0x16b6),'nolimit':_0x9c0eb6(0x44d)})[_0x9c0eb6(0x1d77)][_0x9c0eb6(0x1cb0)](function(_0x2a9634){const _0x4a9d84=_0x9c0eb6;_0x4a0a92[_0x4a9d84(0xc12)]=_0x2a9634[_0x4a9d84(0x2214)]||[];})['catch'](function(_0x32657a){const _0xaf00ea=_0x9c0eb6;_0x599fd8['error']({'title':_0x32657a[_0xaf00ea(0x291)]?_0xaf00ea(0xeb9)+_0x32657a['status']+_0xaf00ea(0x1657)+_0x32657a[_0xaf00ea(0xc22)]:_0xaf00ea(0x799),'msg':_0x32657a[_0xaf00ea(0x25c)]?JSON['stringify'](_0x32657a[_0xaf00ea(0x25c)]):_0x32657a[_0xaf00ea(0x147f)]()});}):_0x4f59cf[_0x9c0eb6(0xebe)]['get']({'fields':_0x9c0eb6(0x43c),'role':_0x9c0eb6(0x1eff),'sort':_0x9c0eb6(0x16b6),'nolimit':_0x9c0eb6(0x44d)})[_0x9c0eb6(0x1d77)]['then'](function(_0x552781){const _0x793197=_0x9c0eb6;_0x4a0a92['agents']=_0x552781[_0x793197(0x2214)]||[];})['then'](function(){const _0x317ab5=_0x9c0eb6;return _0x4f59cf[_0x317ab5(0x2199)][_0x317ab5(0xbf7)]({'userProfileId':_0x4a0a92[_0x317ab5(0xe76)][_0x317ab5(0x13c1)],'sectionId':0xca})[_0x317ab5(0x1d77)];})[_0x9c0eb6(0x1cb0)](function(_0x21419a){const _0x415e0e=_0x9c0eb6,_0x422446=_0x21419a&&_0x21419a[_0x415e0e(0x2214)]?_0x21419a['rows'][0x0]:null;if(!_0x422446){const _0x55d20f=[];let _0x508b8e=null;_0x4a0a92['hopper']&&(_0x508b8e=_0x39641b()[_0x415e0e(0x13b4)](_0x4a0a92['agents'],{'id':Number(_0x4a0a92[_0x415e0e(0x2151)][_0x415e0e(0x21ab)])}));for(let _0x404754=0x0;_0x404754<_0x4a0a92[_0x415e0e(0xc12)][_0x415e0e(0xfd0)];_0x404754++){_0x508b8e&&_0x4a0a92[_0x415e0e(0xc12)][_0x404754]['id']===_0x508b8e['id']&&(_0x4a0a92[_0x415e0e(0xc12)][_0x404754][_0x415e0e(0x15da)]=![],_0x55d20f[_0x415e0e(0x2785)](_0x4a0a92[_0x415e0e(0xc12)][_0x404754]));}_0x4a0a92[_0x415e0e(0xc12)]=_0x55d20f;}else{if(!_0x422446[_0x415e0e(0x12f4)])return _0x4f59cf[_0x415e0e(0x1198)][_0x415e0e(0xbf7)]({'sectionId':_0x422446['id']})[_0x415e0e(0x1d77)]['then'](function(_0x5a7ced){const _0x11b536=_0x415e0e,_0x4a1cba=_0x39641b()[_0x11b536(0x1de2)](_0x5a7ced[_0x11b536(0x2214)],function(_0x839cf3){const _0x4861e9=_0x11b536;return _0x39641b()[_0x4861e9(0x13b4)](_0x4a0a92[_0x4861e9(0xc12)],{'id':_0x839cf3[_0x4861e9(0x2982)]});});let _0x419c6c=null;_0x4a0a92[_0x11b536(0x2151)]&&(_0x419c6c=_0x39641b()['find'](_0x4a0a92[_0x11b536(0xc12)],{'id':Number(_0x4a0a92[_0x11b536(0x2151)][_0x11b536(0x21ab)])}));if(_0x419c6c&&!_0x39641b()[_0x11b536(0x727)](_0x4a1cba,['id',_0x419c6c['id']])){const _0x1c47df=_0x39641b()[_0x11b536(0x13b4)](_0x4a0a92[_0x11b536(0xc12)],{'id':_0x419c6c['id']});_0x1c47df['canSelect']=![],_0x4a1cba[_0x11b536(0x2785)](_0x1c47df);}_0x4a0a92[_0x11b536(0xc12)]=_0x4a1cba;});}})['catch'](function(_0x2ff6a0){const _0x5b20b2=_0x9c0eb6;_0x599fd8[_0x5b20b2(0x218e)]({'title':_0x2ff6a0[_0x5b20b2(0x291)]?'API:'+_0x2ff6a0[_0x5b20b2(0x291)]+_0x5b20b2(0x1657)+_0x2ff6a0[_0x5b20b2(0xc22)]:_0x5b20b2(0x2159),'msg':_0x2ff6a0[_0x5b20b2(0x25c)]?JSON[_0x5b20b2(0x2701)](_0x2ff6a0[_0x5b20b2(0x25c)]):_0x2ff6a0['toString']()});});function _0x26789b(){const _0x42435b=_0x9c0eb6;_0x4a0a92['errors']=[],_0x4f59cf[_0x42435b(0x26bc)][_0x42435b(0x1c3f)](_0x4a0a92[_0x42435b(0x2151)])[_0x42435b(0x1d77)][_0x42435b(0x1cb0)](function(_0x2eba1a){const _0x37ef5a=_0x42435b;_0x4a0a92[_0x37ef5a(0xe3c)][_0x37ef5a(0xf63)](_0x2eba1a[_0x37ef5a(0x19b2)]()),_0x599fd8['success']({'title':'Hopper\x20properly\x20created','msg':_0x4a0a92[_0x37ef5a(0x2151)][_0x37ef5a(0x16b6)]?_0x4a0a92[_0x37ef5a(0x2151)][_0x37ef5a(0x16b6)]+'\x20has\x20been\x20created!':''}),_0x294faa(_0x2eba1a);})['catch'](function(_0x3f52a1){const _0x32262f=_0x42435b;if(_0x3f52a1[_0x32262f(0x25c)]&&_0x3f52a1['data'][_0x32262f(0x1a7c)]&&_0x3f52a1['data'][_0x32262f(0x1a7c)][_0x32262f(0xfd0)]){_0x4a0a92[_0x32262f(0x1a7c)]=_0x3f52a1['data'][_0x32262f(0x1a7c)]||[{'message':_0x3f52a1['toString'](),'type':_0x32262f(0x2e4)}];for(let _0x27f3d3=0x0;_0x27f3d3<_0x3f52a1[_0x32262f(0x25c)][_0x32262f(0x1a7c)]['length'];_0x27f3d3+=0x1){_0x599fd8[_0x32262f(0x218e)]({'title':_0x3f52a1[_0x32262f(0x25c)][_0x32262f(0x1a7c)][_0x27f3d3]['type'],'msg':_0x3f52a1[_0x32262f(0x25c)]['errors'][_0x27f3d3][_0x32262f(0x155e)]});}}else _0x599fd8[_0x32262f(0x218e)]({'title':_0x3f52a1[_0x32262f(0x291)]?'API:'+_0x3f52a1[_0x32262f(0x291)]+_0x32262f(0x1657)+_0x3f52a1[_0x32262f(0xc22)]:_0x32262f(0x2e4),'msg':_0x3f52a1[_0x32262f(0x25c)]?JSON[_0x32262f(0x2701)](_0x3f52a1[_0x32262f(0x25c)]['message']):_0x3f52a1['toString']()});});}function _0x3d90a8(){const _0x16e05d=_0x9c0eb6;_0x4a0a92[_0x16e05d(0x1a7c)]=[];let _0x2f079c,_0x2a2ef0,_0x3d8979,_0x58b3a4;_0x4a0a92[_0x16e05d(0x2151)][_0x16e05d(0x21ab)]=_0x4a0a92['hopper'][_0x16e05d(0x1858)]?_0x4a0a92[_0x16e05d(0x2151)][_0x16e05d(0x21ab)]:null,_0x4a0a92[_0x16e05d(0x2151)][_0x16e05d(0x189b)]=_0x47012e[_0x16e05d(0x21e8)]()['id'],_0x4f59cf[_0x16e05d(0x26bc)][_0x16e05d(0xbf7)]({'id':_0x4a0a92[_0x16e05d(0x2151)]['id'],'attributes':[_0x16e05d(0x2251)]})[_0x16e05d(0x1d77)][_0x16e05d(0x1cb0)](function(_0x471fda){const _0x54cfb9=_0x16e05d;_0x39641b()[_0x54cfb9(0x958)](_0x471fda)||_0x39641b()[_0x54cfb9(0xce9)](_0x471fda)?_0x2f079c=_0x543b5a()()[_0x54cfb9(0x1f31)]('YYYY-MM-DD\x20HH:mm:ss'):_0x2f079c=_0x471fda[_0x54cfb9(0x2251)];})['finally'](function(){const _0x5e7e15=_0x16e05d;_0x4f59cf['cmHopper'][_0x5e7e15(0x687)]({'id':_0x4a0a92['hopper']['id']},_0x39641b()[_0x5e7e15(0x1bd8)](_0x4a0a92[_0x5e7e15(0x2151)],[_0x5e7e15(0x1116),_0x5e7e15(0x21c1),_0x5e7e15(0xb96),'ListId']))['$promise']['then'](function(_0x51c1de){const _0x1d8a17=_0x5e7e15;_0x2a2ef0=_0x51c1de,_0x4f59cf['cmContact'][_0x1d8a17(0xbf7)]({'id':_0x2a2ef0['ContactId'],'attributes':[_0x1d8a17(0x1491),_0x1d8a17(0x1fbb)]})['$promise'][_0x1d8a17(0x1cb0)](function(_0x1f5bef){const _0x44a48b=_0x1d8a17;!_0x39641b()[_0x44a48b(0x958)](_0x1f5bef)&&!_0x39641b()['isNil'](_0x1f5bef['firstName'])&&(_0x3d8979=_0x1f5bef[_0x44a48b(0x1491)],!_0x39641b()['isNil'](_0x1f5bef[_0x44a48b(0x1fbb)])&&(_0x3d8979+='\x20'+_0x1f5bef[_0x44a48b(0x1fbb)]));})[_0x1d8a17(0x1c4)](function(){_0x3d8979=undefined;})[_0x1d8a17(0x2e0)](function(){const _0x46f798=_0x1d8a17;_0x4f59cf[_0x46f798(0x26b3)][_0x46f798(0xbf7)]({'raw':![],'id':_0x2a2ef0['VoiceQueueId'],'attributes':[_0x46f798(0x16b6)]})[_0x46f798(0x1d77)]['then'](function(_0x8fa546){const _0x840987=_0x46f798;_0x58b3a4=_0x8fa546[_0x840987(0x16b6)];})['catch'](function(){_0x58b3a4=undefined;})['finally'](function(){const _0x519bf4=_0x46f798;_0x4f59cf['cmHopperHistory'][_0x519bf4(0x1c3f)]({'state':0x18,'statedesc':_0x519bf4(0x5ba),'scheduledat':_0x2f079c,'calleridnum':_0x2a2ef0[_0x519bf4(0x1340)],'calleridname':_0x3d8979,'campaigntype':_0x2a2ef0[_0x519bf4(0x1116)]?_0x519bf4(0x11cf):_0x519bf4(0x242e),'campaign':_0x58b3a4,'editedat':_0x543b5a()()[_0x519bf4(0x1f31)](_0x519bf4(0x2e8)),'edited':!![],'ListId':_0x2a2ef0['ListId'],'UserId':_0x47012e[_0x519bf4(0x21e8)]()['id'],'VoiceQueueId':_0x2a2ef0['VoiceQueueId'],'CampaignId':_0x2a2ef0[_0x519bf4(0x21c1)],'ContactId':_0x2a2ef0[_0x519bf4(0xb96)],'callback':_0x2a2ef0[_0x519bf4(0x2170)],'callbackuniqueid':_0x2a2ef0[_0x519bf4(0x82e)],'countbusyretry':_0x2a2ef0[_0x519bf4(0x1969)],'countcongestionretry':_0x2a2ef0[_0x519bf4(0x1c8b)],'countglobal':_0x2a2ef0[_0x519bf4(0xace)],'countnoanswerretry':_0x2a2ef0[_0x519bf4(0x23ba)],'followuptime':_0x2a2ef0[_0x519bf4(0x2458)],'recallme':_0x2a2ef0[_0x519bf4(0x1858)]});});});const _0x5cc602=_0x39641b()[_0x1d8a17(0x13b4)](_0x4a0a92[_0x1d8a17(0xe3c)],{'id':_0x51c1de['id']});_0x5cc602&&_0x39641b()['merge'](_0x5cc602,_0x39641b()['pick'](_0x51c1de['toJSON'](),_0x39641b()[_0x1d8a17(0x1be5)](_0x5cc602))),_0x599fd8[_0x1d8a17(0x829)]({'title':_0x1d8a17(0x1277),'msg':_0x4a0a92[_0x1d8a17(0x2151)]['name']?_0x4a0a92[_0x1d8a17(0x2151)][_0x1d8a17(0x16b6)]+_0x1d8a17(0xedb):''}),_0x294faa(_0x51c1de);})[_0x5e7e15(0x1c4)](function(_0x4eaadc){const _0xf2f14c=_0x5e7e15;if(_0x4eaadc[_0xf2f14c(0x25c)]&&_0x4eaadc[_0xf2f14c(0x25c)][_0xf2f14c(0x1a7c)]&&_0x4eaadc['data'][_0xf2f14c(0x1a7c)][_0xf2f14c(0xfd0)]){_0x4a0a92[_0xf2f14c(0x1a7c)]=_0x4eaadc['data']['errors']||[{'message':_0x4eaadc[_0xf2f14c(0x147f)](),'type':_0xf2f14c(0xfec)}];for(let _0x4f7ae0=0x0;_0x4f7ae0<_0x4eaadc[_0xf2f14c(0x25c)][_0xf2f14c(0x1a7c)][_0xf2f14c(0xfd0)];_0x4f7ae0++){_0x599fd8['error']({'title':_0x4eaadc[_0xf2f14c(0x25c)][_0xf2f14c(0x1a7c)][_0x4f7ae0][_0xf2f14c(0x66a)],'msg':_0x4eaadc['data']['errors'][_0x4f7ae0][_0xf2f14c(0x155e)]});}}else _0x599fd8[_0xf2f14c(0x218e)]({'title':_0x4eaadc[_0xf2f14c(0x291)]?'API:'+_0x4eaadc[_0xf2f14c(0x291)]+_0xf2f14c(0x1657)+_0x4eaadc[_0xf2f14c(0xc22)]:_0xf2f14c(0xfec),'msg':_0x4eaadc[_0xf2f14c(0x25c)]?JSON['stringify'](_0x4eaadc['data'][_0xf2f14c(0x155e)]):_0x4eaadc[_0xf2f14c(0x147f)]()});});});}function _0x1201e4(_0x1fc554){const _0x51c6cb=_0x9c0eb6;_0x4a0a92[_0x51c6cb(0x1a7c)]=[];const _0x105ef8=_0x1aea8a['confirm']()[_0x51c6cb(0x1386)]('Are\x20you\x20sure?')['content']('The\x20hopper\x20will\x20be\x20deleted.')[_0x51c6cb(0x15ad)]('Delete\x20Hopper')['ok']('Delete')[_0x51c6cb(0x696)](_0x51c6cb(0xde1))[_0x51c6cb(0x728)](_0x1fc554);_0x1aea8a['show'](_0x105ef8)[_0x51c6cb(0x1cb0)](function(){const _0x7ac447=_0x51c6cb;_0x4f59cf[_0x7ac447(0x26bc)][_0x7ac447(0x111d)]({'id':_0x4a0a92['hopper']['id']})[_0x7ac447(0x1d77)][_0x7ac447(0x1cb0)](function(){const _0x1534fb=_0x7ac447;_0x39641b()[_0x1534fb(0x152a)](_0x4a0a92[_0x1534fb(0xe3c)],{'id':_0x4a0a92['hopper']['id']}),_0x599fd8[_0x1534fb(0x829)]({'title':_0x1534fb(0x6ee),'msg':(_0x4a0a92[_0x1534fb(0x2151)][_0x1534fb(0x16b6)]||_0x1534fb(0x2151))+_0x1534fb(0x3f5)}),_0x294faa(_0x4a0a92['hopper']);})[_0x7ac447(0x1c4)](function(_0x9a7455){const _0x2e6389=_0x7ac447;if(_0x9a7455[_0x2e6389(0x25c)]&&_0x9a7455[_0x2e6389(0x25c)]['errors']&&_0x9a7455[_0x2e6389(0x25c)][_0x2e6389(0x1a7c)][_0x2e6389(0xfd0)]){_0x4a0a92[_0x2e6389(0x1a7c)]=_0x9a7455[_0x2e6389(0x25c)]['errors']||[{'message':_0x9a7455[_0x2e6389(0x147f)](),'type':'api.cmHopper.delete'}];for(let _0x173fb3=0x0;_0x173fb3<_0x9a7455['data']['errors'][_0x2e6389(0xfd0)];_0x173fb3++){_0x599fd8[_0x2e6389(0x218e)]({'title':_0x9a7455['data'][_0x2e6389(0x1a7c)][_0x173fb3][_0x2e6389(0x66a)],'msg':_0x9a7455['data']['errors'][_0x173fb3][_0x2e6389(0x155e)]});}}else _0x599fd8[_0x2e6389(0x218e)]({'title':_0x9a7455[_0x2e6389(0x291)]?_0x2e6389(0xeb9)+_0x9a7455[_0x2e6389(0x291)]+_0x2e6389(0x1657)+_0x9a7455[_0x2e6389(0xc22)]:_0x2e6389(0x9fd),'msg':_0x9a7455[_0x2e6389(0x25c)]?JSON['stringify'](_0x9a7455[_0x2e6389(0x25c)][_0x2e6389(0x155e)]):_0x9a7455['message']||_0x9a7455[_0x2e6389(0x147f)]()});});},function(){});}function _0x3c4ea9(_0x1d9af4){return _0x1d9af4===null?undefined:new Date(_0x1d9af4);}function _0x294faa(_0x4924ea){const _0x480971=_0x9c0eb6;_0x1aea8a[_0x480971(0x1426)](_0x4924ea);}}const _0x43f365=_0x23a244;;_0x176b48[_0x5537c6(0x15b6)]=[_0x5537c6(0x142b),_0x5537c6(0x9bf)];function _0x176b48(_0x4a3b56,_0x4869d1){const _0x3ef7c4=_0x5537c6,_0x141903=this;_0x141903[_0x3ef7c4(0x17b8)]={},_0x141903[_0x3ef7c4(0x1a8e)]=_0x3b7d61;function _0x3b7d61(_0x2d5d9d){const _0x1ba241=_0x3ef7c4;_0x141903[_0x1ba241(0x17b8)]=_0x2d5d9d,_0x4a3b56['cmHopper'][_0x1ba241(0xbf7)]({'limit':0x0,'VoiceQueueId':_0x2d5d9d['id']})[_0x1ba241(0x1d77)][_0x1ba241(0x1cb0)](function(_0x2a1497){const _0x3968bd=_0x1ba241;return _0x141903[_0x3968bd(0x181c)]=_0x2a1497[_0x3968bd(0x184d)]||0x0,_0x4a3b56['cmHopper'][_0x3968bd(0xbf7)]({'limit':0x0,'VoiceQueueId':_0x2d5d9d['id'],'active':![],'countbusyretry':0x0,'countcongestionretry':0x0,'countnoanswerretry':0x0})[_0x3968bd(0x1d77)];})[_0x1ba241(0x1cb0)](function(_0x15ec68){const _0x1f69bb=_0x1ba241;return _0x141903['fresh']=_0x15ec68[_0x1f69bb(0x184d)]||0x0,_0x4a3b56[_0x1f69bb(0x145f)][_0x1f69bb(0xbf7)]({'limit':0x0,'VoiceQueueId':_0x2d5d9d['id']})[_0x1f69bb(0x1d77)];})[_0x1ba241(0x1cb0)](function(_0xf13ce0){const _0x8ed3d=_0x1ba241;_0x141903[_0x8ed3d(0x22aa)]=_0xf13ce0[_0x8ed3d(0x184d)]||0x0;})[_0x1ba241(0x1c4)](function(_0xa14b5a){const _0x1d0776=_0x1ba241;_0x4869d1[_0x1d0776(0x218e)]({'title':_0xa14b5a[_0x1d0776(0x291)]?_0x1d0776(0xeb9)+_0xa14b5a[_0x1d0776(0x291)]+'\x20-\x20'+_0xa14b5a['statusText']:'SYSTEM:GETTAGS','msg':_0xa14b5a['data']?JSON[_0x1d0776(0x2701)](_0xa14b5a[_0x1d0776(0x25c)]):_0xa14b5a[_0x1d0776(0x147f)]()});});}}const _0xa1b039=_0x176b48;;_0x1e6de1['$inject']=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),'api',_0x5537c6(0x17b8),_0x5537c6(0x1ae),_0x5537c6(0x2168),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x1e6de1(_0x574f91,_0x3ceff6,_0xd2e887,_0x467f39,_0x37e88a,_0x3a1741,_0x4794ae,_0x9dc97a,_0x46fbb5){const _0x4d9650=_0x5537c6,_0x59f73f=this;_0x59f73f[_0x4d9650(0xe76)]=_0x9dc97a[_0x4d9650(0x21e8)](),_0x59f73f[_0x4d9650(0x17b8)]=_0x37e88a,_0x59f73f[_0x4d9650(0x1b1a)]=_0x46fbb5,_0x59f73f[_0x4d9650(0x2847)]=_0x4d9650(0x26b3),_0x59f73f[_0x4d9650(0x21eb)]='getLists',_0x59f73f[_0x4d9650(0x45a)]=_0x4d9650(0x285),_0x59f73f[_0x4d9650(0x9f3)]='removeLists',_0x59f73f['items']=[],_0x59f73f[_0x4d9650(0x1372)]=[],_0x59f73f[_0x4d9650(0x20bb)]=[],_0x59f73f[_0x4d9650(0xbd5)]=[],_0x59f73f[_0x4d9650(0x1456)]=[],_0x59f73f[_0x4d9650(0x50c)]=![],_0x59f73f[_0x4d9650(0x2279)]=![],_0x59f73f[_0x4d9650(0x18c0)]={'readOnly':!_0x59f73f[_0x4d9650(0x1b1a)][_0x4d9650(0xb3d)],'allowedItems':[],'selectedItems':[],'showSelectAndDeselectAll':![],'orderBy':_0x4d9650(0x16b6),'line1':'name','line2':'','line3':'','labelAll':_0x3a1741['instant'](_0x4d9650(0x1a90)),'labelSelected':_0x3a1741['instant'](_0x4d9650(0x8f4)),'transferCallback':function(){const _0x291dd1=_0x4d9650,_0x577f7c=_0x39641b()['xorBy'](_0x59f73f['startingSelectedItems'],_0x59f73f['selectedItems'],'id');_0x59f73f[_0x291dd1(0x50c)]=_0x39641b()[_0x291dd1(0xce9)](_0x577f7c)?![]:!![];}},_0x59f73f[_0x4d9650(0x1a34)]=_0x4d1a46,_0x59f73f[_0x4d9650(0x24f8)]=_0x4698cd,_0x59f73f['closeDialog']=_0x50a9f6;function _0x4d1a46(){const _0x5b9279=_0x4d9650;return _0x9dc97a[_0x5b9279(0x22b6)]('admin')?_0x37c2e6()[_0x5b9279(0x1c4)](function(_0x18b4df){const _0x38a406=_0x5b9279;_0xd2e887['error']({'title':_0x18b4df[_0x38a406(0x291)]?_0x38a406(0xeb9)+_0x18b4df[_0x38a406(0x291)]+'\x20-\x20'+_0x18b4df[_0x38a406(0xc22)]:_0x38a406(0xfa5),'msg':_0x18b4df[_0x38a406(0x291)]?JSON[_0x38a406(0x2701)](_0x18b4df[_0x38a406(0x25c)]):_0x18b4df[_0x38a406(0x147f)]()});}):_0x1a0bf8()['then'](function(_0x48ce9b){const _0xb7013=_0x5b9279;return _0x59f73f[_0xb7013(0x1f74)]=_0x48ce9b,_0x37c2e6();})[_0x5b9279(0x1c4)](function(_0x5e47de){const _0x5efc2a=_0x5b9279;_0xd2e887[_0x5efc2a(0x218e)]({'title':_0x5e47de[_0x5efc2a(0x291)]?_0x5efc2a(0xeb9)+_0x5e47de[_0x5efc2a(0x291)]+_0x5efc2a(0x1657)+_0x5e47de[_0x5efc2a(0xc22)]:_0x5efc2a(0xfa5),'msg':_0x5e47de[_0x5efc2a(0x291)]?JSON[_0x5efc2a(0x2701)](_0x5e47de['data']):_0x5e47de[_0x5efc2a(0x147f)]()});});}function _0x1a0bf8(){return _0x3ceff6(function(_0x18281f,_0x132ec5){const _0x986f63=a0_0x5cbd;_0x467f39[_0x986f63(0x2199)][_0x986f63(0xbf7)]({'userProfileId':_0x59f73f[_0x986f63(0xe76)][_0x986f63(0x13c1)],'name':_0x986f63(0x191f)})[_0x986f63(0x1d77)][_0x986f63(0x1cb0)](function(_0x33ee2d){const _0x12835e=_0x986f63,_0x3a0c77=_0x33ee2d&&_0x33ee2d[_0x12835e(0x2214)]?_0x33ee2d[_0x12835e(0x2214)][0x0]:null;_0x18281f(_0x3a0c77);})[_0x986f63(0x1c4)](function(_0x4a0883){_0x132ec5(_0x4a0883);});});}function _0x37c2e6(){return _0x3ceff6(function(_0x43626d,_0x1f360e){const _0x3f2fac=a0_0x5cbd;return _0x11030a()[_0x3f2fac(0x1cb0)](function(_0x680e31){const _0x562211=_0x3f2fac;return _0x59f73f['items']=_0x680e31[_0x562211(0x2214)]?_0x680e31[_0x562211(0x2214)]:[],_0x9dc97a[_0x562211(0x22b6)]('admin')?_0x680e31:_0x59f73f[_0x562211(0x1f74)]?_0x59f73f[_0x562211(0x1f74)][_0x562211(0x12f4)]?_0x680e31:_0x52c55e():null;})[_0x3f2fac(0x1cb0)](function(_0x447a1d){const _0x1736b=_0x3f2fac,_0x1778c5=_0x447a1d&&_0x447a1d[_0x1736b(0x2214)]?_0x447a1d['rows']:[];return _0x59f73f[_0x1736b(0x1372)]=_0x39641b()[_0x1736b(0x1de2)](_0x1778c5,function(_0x425315){const _0x9fbda6=_0x1736b;return _0x39641b()[_0x9fbda6(0x13b4)](_0x59f73f['items'],{'id':_0x9dc97a['hasRole'](_0x9fbda6(0x1c60))||_0x59f73f['section'][_0x9fbda6(0x12f4)]?_0x425315['id']:_0x425315[_0x9fbda6(0x2982)]});}),_0x59f73f['startingAllowedItems']=angular[_0x1736b(0x17fe)](_0x59f73f['allowedItems']),_0x59f73f[_0x1736b(0x122f)]['forEach'](function(_0x1568fb){const _0x49a209=_0x1736b,_0x16ff8f=_0x39641b()[_0x49a209(0x13b4)](_0x59f73f['allowedItems'],{'id':_0x1568fb['id']});_0x59f73f['currentUser'][_0x49a209(0x26e6)]===_0x49a209(0x1c60)?_0x1568fb[_0x49a209(0x1a4f)]=!![]:_0x1568fb[_0x49a209(0x1a4f)]=typeof _0x16ff8f!=='undefined'?!![]:![];}),_0x425509();})[_0x3f2fac(0x1cb0)](function(_0x1853f2){const _0x1fe20c=_0x3f2fac,_0x2f90a5=_0x1853f2&&_0x1853f2['rows']?_0x1853f2[_0x1fe20c(0x2214)]:[];_0x59f73f[_0x1fe20c(0x20bb)]=_0x39641b()['map'](_0x2f90a5,function(_0x5baaa5){const _0x41008e=_0x1fe20c;return _0x39641b()['find'](_0x59f73f[_0x41008e(0x122f)],{'id':_0x5baaa5['id']});}),_0x59f73f['startingSelectedItems']=angular['copy'](_0x59f73f['selectedItems']),_0x59f73f[_0x1fe20c(0x18c0)]['selectedItems']=_0x59f73f['selectedItems'],_0x59f73f[_0x1fe20c(0x18c0)][_0x1fe20c(0x122f)]=_0x39641b()[_0x1fe20c(0x2128)](_0x59f73f[_0x1fe20c(0x1372)],_0x59f73f[_0x1fe20c(0x18c0)][_0x1fe20c(0x20bb)],'id'),_0x43626d();})['catch'](function(_0x12821a){_0x1f360e(_0x12821a);});});}function _0x52c55e(){return _0x3ceff6(function(_0x47c038,_0x2352e9){const _0x4da61c=a0_0x5cbd;return _0x467f39[_0x4da61c(0x1198)][_0x4da61c(0xbf7)]({'sectionId':_0x59f73f[_0x4da61c(0x1f74)]['id'],'nolimit':!![]})[_0x4da61c(0x1d77)]['then'](function(_0x146314){_0x47c038(_0x146314);})['catch'](function(_0x4562b8){_0x2352e9(_0x4562b8);});});}function _0x425509(){return _0x3ceff6(function(_0x59da59,_0x28db98){const _0x1b7aea=a0_0x5cbd,_0x3425ab=_0x467f39[_0x59f73f['apiRoute']];return _0x3425ab[_0x59f73f[_0x1b7aea(0x21eb)]]({'id':_0x59f73f[_0x1b7aea(0x17b8)]['id'],'fields':_0x1b7aea(0x43c),'nolimit':!![]})[_0x1b7aea(0x1d77)]['then'](function(_0x4d409a){_0x59da59(_0x4d409a);})['catch'](function(_0x3c5c6e){_0x28db98(_0x3c5c6e);});});}function _0x11030a(){return _0x3ceff6(function(_0x3af47b,_0x6535c3){const _0x2e8cbe=a0_0x5cbd;return _0x467f39[_0x2e8cbe(0x22f2)]['get']({'fields':_0x2e8cbe(0x43c),'nolimit':!![]})[_0x2e8cbe(0x1d77)][_0x2e8cbe(0x1cb0)](function(_0x522d3e){_0x3af47b(_0x522d3e);})['catch'](function(_0x3db3ef){_0x6535c3(_0x3db3ef);});});}function _0x3e4adc(_0x34e5fc){return _0x3ceff6(function(_0x4efc3b,_0x21149d){const _0x543bad=a0_0x5cbd;if(_0x39641b()[_0x543bad(0xce9)](_0x34e5fc))_0x4efc3b();else{const _0x675e16=_0x467f39[_0x59f73f[_0x543bad(0x2847)]];_0x675e16[_0x59f73f[_0x543bad(0x45a)]]({'id':_0x59f73f[_0x543bad(0x17b8)]['id'],'ids':_0x39641b()[_0x543bad(0x1de2)](_0x34e5fc,'id')})['$promise'][_0x543bad(0x1cb0)](function(){_0x4efc3b();})['catch'](function(_0x3cdf39){_0x21149d(_0x3cdf39);});}});}function _0x3c23e2(_0x3bc933){return _0x3ceff6(function(_0x567089,_0x1b64c0){const _0x1277e0=a0_0x5cbd;if(_0x39641b()['isEmpty'](_0x3bc933))_0x567089();else{const _0x1dfd30=_0x467f39[_0x59f73f[_0x1277e0(0x2847)]];_0x1dfd30[_0x59f73f[_0x1277e0(0x9f3)]]({'id':_0x59f73f[_0x1277e0(0x17b8)]['id'],'ids':_0x39641b()[_0x1277e0(0x1de2)](_0x3bc933,'id')})[_0x1277e0(0x1d77)]['then'](function(){_0x567089();})[_0x1277e0(0x1c4)](function(_0x5ed413){_0x1b64c0(_0x5ed413);});}});}function _0x4698cd(){const _0x42968e=_0x4d9650;_0x59f73f['loading']=!![];const _0x55b79f=_0x39641b()[_0x42968e(0x2128)](_0x59f73f['startingSelectedItems'],_0x59f73f[_0x42968e(0x20bb)],'id'),_0x5c6db8=_0x39641b()['differenceBy'](_0x59f73f[_0x42968e(0x20bb)],_0x59f73f[_0x42968e(0x1456)],'id');return _0x3c23e2(_0x55b79f)[_0x42968e(0x1cb0)](function(){return _0x3e4adc(_0x5c6db8);})[_0x42968e(0x1cb0)](function(){const _0x45c31c=_0x42968e;_0x59f73f['pendingChanges']=![],_0x59f73f[_0x45c31c(0xbd5)]=angular[_0x45c31c(0x17fe)](_0x59f73f[_0x45c31c(0x1372)]),_0x59f73f[_0x45c31c(0x1456)]=angular[_0x45c31c(0x17fe)](_0x59f73f['selectedItems']),_0xd2e887[_0x45c31c(0x829)]({'title':_0x45c31c(0x201),'msg':_0x45c31c(0x1a03)});})[_0x42968e(0x1c4)](function(_0x4c30fe){const _0x6123c=_0x42968e;_0xd2e887[_0x6123c(0x218e)]({'title':_0x4c30fe['status']?_0x6123c(0xeb9)+_0x4c30fe['status']+_0x6123c(0x1657)+_0x4c30fe[_0x6123c(0xc22)]:_0x6123c(0x1221),'msg':_0x4c30fe['status']?JSON[_0x6123c(0x2701)](_0x4c30fe[_0x6123c(0x25c)]):_0x4c30fe[_0x6123c(0x147f)]()});})[_0x42968e(0x2e0)](function(){const _0x94ba28=_0x42968e;_0x59f73f[_0x94ba28(0x2279)]=![];});}function _0x50a9f6(){const _0x368b3b=_0x4d9650;_0x574f91[_0x368b3b(0x1426)]();}}const _0x345fdb=_0x1e6de1;;_0x1750c4['$inject']=[_0x5537c6(0x1463),_0x5537c6(0xcb9),_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x17b8),_0x5537c6(0x1e06),'Sequence','$q'];function _0x1750c4(_0x585897,_0x102530,_0x1382b8,_0x49caae,_0x221cdd,_0x519f45,_0x31a4c1,_0x56e97b){const _0x44af59=_0x5537c6,_0x4046dd=this;_0x4046dd[_0x44af59(0x1a9b)]=[],_0x4046dd[_0x44af59(0x122f)]=_0x519f45?_0x519f45:[],_0x4046dd['loading']=![],_0x4046dd['closeDialog']=_0x361b66,_0x4046dd[_0x44af59(0x23b2)]=_0x4b187a,_0x4046dd[_0x44af59(0x2322)]=_0x18c644,_0x4046dd[_0x44af59(0x143f)]=_0x238622,_0x4046dd[_0x44af59(0x5f6)]=_0x33e92c,_0x4046dd['toggleAll']=_0x5a8942,_0x4046dd[_0x44af59(0x1f57)]=_0x29d924;function _0x18c644(_0x32827f,_0x219f6d){const _0x4d8634=_0x44af59,_0x2132a9=_0x219f6d['indexOf'](_0x32827f);_0x2132a9>-0x1?_0x219f6d[_0x4d8634(0x159c)](_0x2132a9,0x1):_0x219f6d[_0x4d8634(0x2785)](_0x32827f);}function _0x4b187a(_0x1546d9,_0x5cbd69){const _0x453a05=_0x44af59;return _0x5cbd69[_0x453a05(0xd8a)](_0x1546d9)>-0x1;}function _0x238622(){const _0x4fe1d9=_0x44af59;return _0x4046dd[_0x4fe1d9(0x1a9b)]['length']!==0x0&&_0x4046dd[_0x4fe1d9(0x1a9b)]['length']!==_0x4046dd[_0x4fe1d9(0x122f)][_0x4fe1d9(0xfd0)];}function _0x33e92c(){const _0x29761b=_0x44af59;return _0x4046dd[_0x29761b(0x1a9b)][_0x29761b(0xfd0)]===_0x4046dd[_0x29761b(0x122f)]['length'];}function _0x5a8942(){const _0x5de231=_0x44af59;if(_0x4046dd[_0x5de231(0x1a9b)]['length']===_0x4046dd[_0x5de231(0x122f)]['length'])_0x4046dd[_0x5de231(0x1a9b)]=[];else(_0x4046dd['selected'][_0x5de231(0xfd0)]===0x0||_0x4046dd[_0x5de231(0x1a9b)][_0x5de231(0xfd0)]>0x0)&&(_0x4046dd[_0x5de231(0x1a9b)]=_0x4046dd[_0x5de231(0x122f)][_0x5de231(0x14cb)](0x0));}function _0x361b66(){const _0x13bc11=_0x44af59;_0x102530[_0x13bc11(0x1426)]();}function _0x29d924(){const _0x2cee98=_0x44af59;_0x4046dd[_0x2cee98(0x2279)]=!![];const _0x52cc94=_0x3c8865();_0x52cc94[_0x2cee98(0x2e0)](function(){const _0x906fc3=_0x2cee98;_0x4046dd[_0x906fc3(0x2279)]=![],_0x361b66();});}function _0x3c8865(){return _0x56e97b(function(_0x54d93f){for(let _0x2ba7f7=0x0;_0x2ba7f7<_0x4046dd['selected']['length'];_0x2ba7f7+=0x1){_0x37e6cf(_0x221cdd['id'],_0x4046dd['selected'][_0x2ba7f7]);}_0x259dac(_0x54d93f);});}function _0x37e6cf(_0x2ecc1b,_0x1ba85a){const _0xd4d98b=_0x44af59,_0x1e6d70=_0x1ba85a['id'];_0x31a4c1[_0xd4d98b(0x273)](function(){const _0x326011=_0xd4d98b;return _0x49caae[_0x326011(0x26b3)][_0x326011(0x157b)]({'id':_0x2ecc1b,'ids':_0x1e6d70})['$promise']['then'](function(){const _0x220cad=_0x326011;return _0x49caae[_0x220cad(0x26b3)][_0x220cad(0x285)]({'id':_0x2ecc1b,'ids':_0x1e6d70,'dialCheckDuplicateType':_0x220cad(0x382)})[_0x220cad(0x1d77)];})[_0x326011(0x1cb0)](function(){const _0x44c3d7=_0x326011;_0x1382b8[_0x44c3d7(0x829)]({'title':_0x44c3d7(0xcf9),'msg':_0x44c3d7(0x8ae)+_0x1ba85a[_0x44c3d7(0x16b6)]+_0x44c3d7(0x1e07)});})[_0x326011(0x1c4)](function(_0x5820b3){const _0x38a958=_0x326011;_0x1382b8[_0x38a958(0x218e)]({'title':_0x5820b3[_0x38a958(0x291)]?_0x38a958(0xeb9)+_0x5820b3[_0x38a958(0x291)]+'\x20-\x20'+_0x5820b3[_0x38a958(0xc22)]:_0x38a958(0x2587),'msg':_0x5820b3[_0x38a958(0x291)]?JSON[_0x38a958(0x2701)](_0x5820b3[_0x38a958(0x25c)]):_0x5820b3[_0x38a958(0x147f)]()});});});}function _0x259dac(_0x1f3e2f){_0x31a4c1['enqueue'](function(){return _0x1f3e2f();});}}const _0x2c29ef=_0x1750c4;;_0x37897c[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q','toasty',_0x5537c6(0x142b),'queueCampaign',_0x5537c6(0x1ae),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x37897c(_0x56f6e5,_0x50bd31,_0x1954fd,_0x3f060d,_0x8e695a,_0x5ed939,_0x3a1884,_0x369bc7){const _0xfd9d45=_0x5537c6,_0x4dd2d8=this;_0x4dd2d8['currentUser']=_0x3a1884['getCurrentUser'](),_0x4dd2d8[_0xfd9d45(0x17b8)]=_0x8e695a,_0x4dd2d8['crudPermissions']=_0x369bc7,_0x4dd2d8[_0xfd9d45(0x1cbc)]=0x0,_0x4dd2d8[_0xfd9d45(0x122f)]=[],_0x4dd2d8[_0xfd9d45(0x1372)]=[],_0x4dd2d8[_0xfd9d45(0x20bb)]=[],_0x4dd2d8[_0xfd9d45(0xbd5)]=[],_0x4dd2d8[_0xfd9d45(0x1456)]=[],_0x4dd2d8['pendingChanges']=![],_0x4dd2d8[_0xfd9d45(0x18c0)]={'readOnly':!_0x4dd2d8[_0xfd9d45(0x1b1a)][_0xfd9d45(0xb3d)],'allowedItems':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0xfd9d45(0x16b6),'line1':_0xfd9d45(0x16b6),'line2':'','line3':'','labelAll':_0x5ed939[_0xfd9d45(0x25cc)](_0xfd9d45(0x1f3)),'labelSelected':_0x5ed939[_0xfd9d45(0x25cc)](_0xfd9d45(0x1b5f)),'transferCallback':function(_0x1f6263,_0x1c836d){const _0x57693c=_0xfd9d45;_0x1c836d?_0x1f6263[_0x57693c(0xf90)](function(_0x268e3a){const _0xd72075=_0x57693c;_0x268e3a[_0xd72075(0x1cbc)]=undefined;}):_0x1f6263['forEach'](function(_0xb5fccd){const _0x29a41f=_0x57693c;_0xb5fccd[_0x29a41f(0x1cbc)]=_0x29a41f(0x455)+_0x4dd2d8[_0x29a41f(0x1cbc)];});const _0x22c5bb=_0x39641b()[_0x57693c(0x1423)](_0x4dd2d8[_0x57693c(0x1456)],_0x4dd2d8[_0x57693c(0x20bb)],'id');_0x4dd2d8[_0x57693c(0x50c)]=_0x39641b()['isEmpty'](_0x22c5bb)?![]:!![];}},_0x4dd2d8[_0xfd9d45(0x1a34)]=_0x41d0bf,_0x4dd2d8[_0xfd9d45(0x1c56)]=_0x34311f,_0x4dd2d8[_0xfd9d45(0xda0)]=_0x28d3fd;function _0x41d0bf(){const _0x10a774=_0xfd9d45;return _0x3a1884['hasRole']('admin')?_0x33b960()['catch'](function(_0x26f455){const _0x252ab7=a0_0x5cbd;_0x1954fd[_0x252ab7(0x218e)]({'title':_0x26f455['status']?_0x252ab7(0xeb9)+_0x26f455['status']+_0x252ab7(0x1657)+_0x26f455[_0x252ab7(0xc22)]:_0x252ab7(0x2206),'msg':_0x26f455[_0x252ab7(0x291)]?JSON[_0x252ab7(0x2701)](_0x26f455[_0x252ab7(0x25c)]):_0x26f455[_0x252ab7(0x147f)]()});}):_0x5c68d3()[_0x10a774(0x1cb0)](function(_0x2f1995){const _0x514f20=_0x10a774;return _0x4dd2d8[_0x514f20(0x1f74)]=_0x2f1995,_0x33b960();})[_0x10a774(0x1c4)](function(_0x281362){const _0x2b4d7e=_0x10a774;_0x1954fd[_0x2b4d7e(0x218e)]({'title':_0x281362[_0x2b4d7e(0x291)]?_0x2b4d7e(0xeb9)+_0x281362[_0x2b4d7e(0x291)]+'\x20-\x20'+_0x281362[_0x2b4d7e(0xc22)]:_0x2b4d7e(0x2206),'msg':_0x281362['status']?JSON[_0x2b4d7e(0x2701)](_0x281362[_0x2b4d7e(0x25c)]):_0x281362[_0x2b4d7e(0x147f)]()});});}function _0x5c68d3(){return _0x50bd31(function(_0x124b78,_0x52741){const _0x2bd1bb=a0_0x5cbd;_0x3f060d[_0x2bd1bb(0x2199)][_0x2bd1bb(0xbf7)]({'userProfileId':_0x4dd2d8['currentUser'][_0x2bd1bb(0x13c1)],'name':_0x2bd1bb(0x492)})[_0x2bd1bb(0x1d77)]['then'](function(_0x21f80b){const _0x3500ed=_0x2bd1bb,_0x525dbc=_0x21f80b&&_0x21f80b[_0x3500ed(0x2214)]?_0x21f80b[_0x3500ed(0x2214)][0x0]:null;_0x124b78(_0x525dbc);})[_0x2bd1bb(0x1c4)](function(_0x28a103){_0x52741(_0x28a103);});});}function _0x33b960(){return _0x50bd31(function(_0x15fc7a,_0x17f637){const _0x75de41=a0_0x5cbd;return _0x35ef99()[_0x75de41(0x1cb0)](function(_0x4f0905){const _0xc380d9=_0x75de41;return _0x4dd2d8['items']=_0x4f0905[_0xc380d9(0x2214)]?_0x4f0905[_0xc380d9(0x2214)]:[],_0x3a1884['hasRole'](_0xc380d9(0x1c60))?_0x4f0905:_0x4dd2d8['section']?_0x4dd2d8['section'][_0xc380d9(0x12f4)]?_0x4f0905:_0x2ebf33():null;})[_0x75de41(0x1cb0)](function(_0x1b438a){const _0x254bac=_0x75de41,_0x1c1659=_0x1b438a&&_0x1b438a['rows']?_0x1b438a['rows']:[];return _0x4dd2d8[_0x254bac(0x1372)]=_0x39641b()[_0x254bac(0x1de2)](_0x1c1659,function(_0x9454f2){const _0x4a5741=_0x254bac;return _0x39641b()[_0x4a5741(0x13b4)](_0x4dd2d8[_0x4a5741(0x122f)],{'id':_0x3a1884[_0x4a5741(0x22b6)](_0x4a5741(0x1c60))||_0x4dd2d8[_0x4a5741(0x1f74)]['autoAssociation']?_0x9454f2['id']:_0x9454f2[_0x4a5741(0x2982)]});}),_0x4dd2d8[_0x254bac(0x122f)][_0x254bac(0xf90)](function(_0x34b7f5){const _0x159a61=_0x254bac,_0x5e0956=_0x39641b()[_0x159a61(0x13b4)](_0x4dd2d8['allowedItems'],{'id':_0x34b7f5['id']});_0x3a1884[_0x159a61(0x22b6)](_0x159a61(0x1c60))?_0x34b7f5[_0x159a61(0x1a4f)]=!![]:_0x34b7f5[_0x159a61(0x1a4f)]=typeof _0x5e0956!==_0x159a61(0x16b5)?!![]:![];}),_0x44d175();})[_0x75de41(0x1cb0)](function(_0x3c5f53){const _0x38ad44=_0x75de41,_0x47fb9b=_0x3c5f53&&_0x3c5f53['rows']?_0x3c5f53[_0x38ad44(0x2214)]:[];_0x4dd2d8[_0x38ad44(0x20bb)]=_0x39641b()['map'](_0x47fb9b,function(_0x2c6afc){const _0x2c46d5=_0x38ad44;return _0x39641b()[_0x2c46d5(0x13b4)](_0x4dd2d8['items'],{'id':_0x2c6afc['id']});}),_0x4dd2d8[_0x38ad44(0x1456)]=angular[_0x38ad44(0x17fe)](_0x4dd2d8[_0x38ad44(0x20bb)]),_0x4dd2d8[_0x38ad44(0x18c0)][_0x38ad44(0x20bb)]=_0x4dd2d8[_0x38ad44(0x20bb)],_0x4dd2d8[_0x38ad44(0x18c0)][_0x38ad44(0x122f)]=_0x39641b()[_0x38ad44(0x2128)](_0x4dd2d8[_0x38ad44(0x1372)],_0x4dd2d8['dualMultiselectOptions']['selectedItems'],'id'),_0x15fc7a();})[_0x75de41(0x1c4)](function(_0x493043){_0x17f637(_0x493043);});});}function _0x2ebf33(){return _0x50bd31(function(_0x504ac2,_0x3d48e0){const _0x85c1ea=a0_0x5cbd;return _0x3f060d['userProfileResource'][_0x85c1ea(0xbf7)]({'sectionId':_0x4dd2d8[_0x85c1ea(0x1f74)]['id'],'nolimit':!![]})[_0x85c1ea(0x1d77)]['then'](function(_0x1bb172){_0x504ac2(_0x1bb172);})['catch'](function(_0x4a40aa){_0x3d48e0(_0x4a40aa);});});}function _0x44d175(){return _0x50bd31(function(_0x445c4c,_0xbcaa3f){const _0x2a8498=a0_0x5cbd;return _0x3f060d[_0x2a8498(0x26b3)][_0x2a8498(0x1407)]({'id':_0x4dd2d8[_0x2a8498(0x17b8)]['id'],'fields':_0x2a8498(0x43c),'nolimit':!![]})[_0x2a8498(0x1d77)][_0x2a8498(0x1cb0)](function(_0x25b3b3){_0x445c4c(_0x25b3b3);})[_0x2a8498(0x1c4)](function(_0x7a661a){_0xbcaa3f(_0x7a661a);});});}function _0x35ef99(){return _0x50bd31(function(_0x40bfaf,_0x181fa6){const _0x572aad=a0_0x5cbd;return _0x3f060d[_0x572aad(0xdfd)][_0x572aad(0xbf7)]({'fields':_0x572aad(0x43c),'nolimit':!![]})[_0x572aad(0x1d77)][_0x572aad(0x1cb0)](function(_0x2e4d88){_0x40bfaf(_0x2e4d88);})['catch'](function(_0x353bec){_0x181fa6(_0x353bec);});});}function _0x3852f7(_0x1a7f09){return _0x50bd31(function(_0x3b3342,_0x20979e){const _0x12f995=a0_0x5cbd;if(_0x39641b()[_0x12f995(0xce9)](_0x1a7f09))_0x3b3342();else{const _0x586930=_0x39641b()['map'](_0x1a7f09,function(_0x7692ef){const _0x331e34=_0x12f995;return{'id':_0x7692ef['id'],'penalty':_0x39641b()[_0x331e34(0x1730)](_0x7692ef[_0x331e34(0x1cbc)],'penalty\x20')};});return _0x3f060d[_0x12f995(0x26b3)][_0x12f995(0x28d9)]({'id':_0x4dd2d8[_0x12f995(0x17b8)]['id'],'teams':_0x586930})[_0x12f995(0x1d77)][_0x12f995(0x1cb0)](function(){_0x3b3342();})['catch'](function(_0x10f06d){_0x20979e(_0x10f06d);});}});}function _0x40b3b6(_0x5aafcc){return _0x50bd31(function(_0x1904fb,_0x4ceb65){const _0x5c22df=a0_0x5cbd;_0x39641b()[_0x5c22df(0xce9)](_0x5aafcc)?_0x1904fb():_0x3f060d[_0x5c22df(0x26b3)][_0x5c22df(0xfcc)]({'id':_0x4dd2d8['queueCampaign']['id'],'ids':_0x39641b()[_0x5c22df(0x1de2)](_0x5aafcc,'id')})[_0x5c22df(0x1d77)][_0x5c22df(0x1cb0)](function(){_0x1904fb();})[_0x5c22df(0x1c4)](function(_0x7ebf85){_0x4ceb65(_0x7ebf85);});});}function _0x34311f(){const _0xb99525=_0xfd9d45,_0x8d63ca=_0x39641b()[_0xb99525(0x2128)](_0x4dd2d8[_0xb99525(0x1456)],_0x4dd2d8['selectedItems'],'id'),_0x58edfd=_0x39641b()[_0xb99525(0x2128)](_0x4dd2d8[_0xb99525(0x20bb)],_0x4dd2d8['startingSelectedItems'],'id');return _0x40b3b6(_0x8d63ca)[_0xb99525(0x1cb0)](function(){return _0x3852f7(_0x58edfd);})['then'](function(){const _0x126c3=_0xb99525;_0x4dd2d8[_0x126c3(0x50c)]=![],_0x4dd2d8[_0x126c3(0xbd5)]=angular[_0x126c3(0x17fe)](_0x4dd2d8[_0x126c3(0x1372)]),_0x4dd2d8[_0x126c3(0x1456)]=angular['copy'](_0x4dd2d8[_0x126c3(0x20bb)]),_0x1954fd[_0x126c3(0x829)]({'title':'SUCCESS','msg':'Teams\x20association\x20has\x20been\x20updated!'});})[_0xb99525(0x1c4)](function(_0x518c53){const _0x2d7464=_0xb99525;_0x1954fd[_0x2d7464(0x218e)]({'title':_0x518c53[_0x2d7464(0x291)]?_0x2d7464(0xeb9)+_0x518c53['status']+'\x20-\x20'+_0x518c53[_0x2d7464(0xc22)]:_0x2d7464(0x1221),'msg':_0x518c53[_0x2d7464(0x291)]?JSON[_0x2d7464(0x2701)](_0x518c53[_0x2d7464(0x25c)]):_0x518c53['toString']()});});}function _0x28d3fd(){const _0x5acd49=_0xfd9d45;_0x56f6e5[_0x5acd49(0x1426)]();}}const _0x459587=_0x37897c;;const _0x58c80c=_0x5074a3['p']+'src/js/modules/main/apps/motiondialer/views/queueCampaigns/edit/clone/clone.html/clone.html';;const _0x3b2b2d=_0x5074a3['p']+_0x5537c6(0x277a);;const _0x350739=_0x5074a3['p']+_0x5537c6(0xd47);;const _0x47738e=_0x5074a3['p']+_0x5537c6(0x2400);;const _0xbdcff2=_0x5074a3['p']+'src/js/modules/main/apps/motiondialer/views/queueCampaigns/edit/resetlist/resetlist.html/resetlist.html';;_0x3da3fb['$inject']=[_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x22bf),'$translate',_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x17b8),_0x5537c6(0x2199)];function _0x3da3fb(_0x51b825,_0x1a8686,_0x3767c4,_0x3af08f,_0x2668c2,_0x284b13,_0xd4929e,_0x39e37e,_0x3b072f,_0x481787,_0xc2f39c,_0x19bbef){const _0x5d8548=_0x5537c6,_0x14d4db=this;_0x14d4db[_0x5d8548(0xe76)]=_0x481787[_0x5d8548(0x21e8)](),_0x14d4db['license']=_0x284b13,_0x14d4db['setting']=_0xd4929e,_0x14d4db[_0x5d8548(0x1b0c)]=_0x14d4db['setting'][_0x5d8548(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x14d4db['location']=_0x1a8686[_0x5d8548(0x2276)]()+_0x5d8548(0x138b)+_0x1a8686[_0x5d8548(0x17d8)](),_0x14d4db['queueCampaign']=_0xc2f39c||_0x51b825[_0x5d8548(0x1dfe)][_0x5d8548(0x17b8)]||{},_0x14d4db[_0x5d8548(0x2199)]=_0x19bbef&&_0x19bbef['count']==0x1?_0x19bbef[_0x5d8548(0x2214)][0x0]:null,_0x14d4db['crudPermissions']=_0x481787[_0x5d8548(0x14ea)](_0x14d4db[_0x5d8548(0x2199)]?_0x14d4db[_0x5d8548(0x2199)][_0x5d8548(0x1b1a)]:null),_0x14d4db[_0x5d8548(0xf4c)]={},_0x14d4db[_0x5d8548(0x8ec)]=_0x51b825[_0x5d8548(0x1dfe)][_0x5d8548(0x291e)]||0x0,_0x14d4db[_0x5d8548(0x388)]=_0x1f0250,_0x14d4db[_0x5d8548(0xb80)]=_0xf466d1,_0x14d4db['blacklistadddialog']=_0x58d90b,_0x14d4db[_0x5d8548(0x1d63)]=_0x53c05c,_0x14d4db['agentadddialog']=_0x592a80,_0x14d4db[_0x5d8548(0x20c4)]=_0x1708bd,_0x14d4db['gotogoto']=_0x557816,_0x14d4db[_0x5d8548(0x494)]=_0x3b072f[_0x5d8548(0x28c7)],_0x14d4db[_0x5d8548(0x26ea)]=_0x562aeb,_0x14d4db[_0x5d8548(0x70e)]=_0x6633e1,_0x481787[_0x5d8548(0x22b6)](_0x5d8548(0x1c60))?_0x39e37e['voiceMusicOnHold'][_0x5d8548(0xbf7)]({'fields':_0x5d8548(0x43c),'nolimit':_0x5d8548(0x44d)})[_0x5d8548(0x1d77)][_0x5d8548(0x1cb0)](function(_0x26c02e){const _0x19c52b=_0x5d8548;_0x14d4db[_0x19c52b(0x1bd2)]=_0x26c02e[_0x19c52b(0x2214)]||[];})[_0x5d8548(0x1c4)](function(_0x461645){const _0x1e8cda=_0x5d8548;_0x3b072f[_0x1e8cda(0x218e)]({'title':_0x461645[_0x1e8cda(0x291)]?_0x1e8cda(0xeb9)+_0x461645[_0x1e8cda(0x291)]+_0x1e8cda(0x1657)+_0x461645[_0x1e8cda(0xc22)]:_0x1e8cda(0x341),'msg':_0x461645[_0x1e8cda(0x25c)]?JSON[_0x1e8cda(0x2701)](_0x461645[_0x1e8cda(0x25c)]):_0x461645[_0x1e8cda(0x147f)]()});}):_0x39e37e[_0x5d8548(0x157d)][_0x5d8548(0xbf7)]({'fields':'id,name','nolimit':_0x5d8548(0x44d)})['$promise']['then'](function(_0x1e8960){const _0xcfdc04=_0x5d8548;_0x14d4db[_0xcfdc04(0x1bd2)]=_0x1e8960[_0xcfdc04(0x2214)]||[];})[_0x5d8548(0x1cb0)](function(){const _0x5caf2d=_0x5d8548;return _0x39e37e[_0x5caf2d(0x2199)][_0x5caf2d(0xbf7)]({'userProfileId':_0x14d4db[_0x5caf2d(0xe76)][_0x5caf2d(0x13c1)],'sectionId':0x197})['$promise'];})[_0x5d8548(0x1cb0)](function(_0x1a8fa2){const _0x368583=_0x5d8548,_0x4ab75a=_0x1a8fa2&&_0x1a8fa2[_0x368583(0x2214)]?_0x1a8fa2[_0x368583(0x2214)][0x0]:null;if(!_0x4ab75a)return _0x39e37e['voiceMusicOnHold'][_0x368583(0xbf7)]({'fields':'id,name','nolimit':'true','defaultEntry':0x1})['$promise'][_0x368583(0x1cb0)](function(_0x37e629){const _0x1a8de9=_0x368583;_0x14d4db['musiconholds']=_0x37e629[_0x1a8de9(0x2214)]||[];});else{if(!_0x4ab75a[_0x368583(0x12f4)])return _0x39e37e[_0x368583(0x1198)][_0x368583(0xbf7)]({'sectionId':_0x4ab75a['id']})[_0x368583(0x1d77)][_0x368583(0x1cb0)](function(_0x5b973d){const _0x4b964f=_0x368583,_0x2de3c8=_0x39641b()['map'](_0x5b973d[_0x4b964f(0x2214)],function(_0x4c0801){const _0x307c3a=_0x4b964f;return _0x39641b()['find'](_0x14d4db[_0x307c3a(0x1bd2)],{'id':_0x4c0801[_0x307c3a(0x2982)]});});let _0x273f1c=null;_0x14d4db[_0x4b964f(0x17b8)]&&(_0x273f1c=_0x39641b()[_0x4b964f(0x13b4)](_0x14d4db['musiconholds'],{'name':_0x14d4db['queueCampaign'][_0x4b964f(0x15ae)]}));if(_0x273f1c&&!_0x39641b()['some'](_0x2de3c8,['id',_0x273f1c['id']])){const _0x1ade95=_0x39641b()[_0x4b964f(0x13b4)](_0x14d4db['musiconholds'],{'id':_0x273f1c['id']});_0x1ade95[_0x4b964f(0x15da)]=![],_0x2de3c8[_0x4b964f(0x2785)](_0x1ade95);}_0x14d4db[_0x4b964f(0x1bd2)]=_0x2de3c8;});}})[_0x5d8548(0x1c4)](function(_0x4766db){const _0x2ae51a=_0x5d8548;_0x3b072f[_0x2ae51a(0x218e)]({'title':_0x4766db['status']?_0x2ae51a(0xeb9)+_0x4766db[_0x2ae51a(0x291)]+_0x2ae51a(0x1657)+_0x4766db[_0x2ae51a(0xc22)]:_0x2ae51a(0x2477),'msg':_0x4766db[_0x2ae51a(0x25c)]?JSON['stringify'](_0x4766db['data']):_0x4766db[_0x2ae51a(0x147f)]()});}),_0x481787['hasRole'](_0x5d8548(0x1c60))?_0x39e37e['trunk'][_0x5d8548(0xbf7)]({'fields':'id,name','sort':_0x5d8548(0x16b6)})[_0x5d8548(0x1d77)]['then'](function(_0x3bfe0f){const _0x14f847=_0x5d8548;_0x14d4db[_0x14f847(0x1363)]=_0x3bfe0f[_0x14f847(0x2214)]||[];})['catch'](function(_0x21da7e){const _0x591765=_0x5d8548;_0x3b072f[_0x591765(0x218e)]({'title':_0x21da7e[_0x591765(0x291)]?_0x591765(0xeb9)+_0x21da7e[_0x591765(0x291)]+_0x591765(0x1657)+_0x21da7e['statusText']:_0x591765(0x14fc),'msg':_0x21da7e[_0x591765(0x25c)]?JSON[_0x591765(0x2701)](_0x21da7e[_0x591765(0x25c)]):_0x21da7e[_0x591765(0x147f)]()});}):_0x39e37e['trunk']['get']({'fields':'id,name','sort':'name'})[_0x5d8548(0x1d77)][_0x5d8548(0x1cb0)](function(_0xfe3bcc){const _0x2343fb=_0x5d8548;_0x14d4db[_0x2343fb(0x1363)]=_0xfe3bcc['rows']||[];})[_0x5d8548(0x1cb0)](function(){const _0x462c59=_0x5d8548;return _0x39e37e[_0x462c59(0x2199)][_0x462c59(0xbf7)]({'userProfileId':_0x14d4db[_0x462c59(0xe76)][_0x462c59(0x13c1)],'sectionId':0x3f3})['$promise'];})[_0x5d8548(0x1cb0)](function(_0x3f4475){const _0x408b76=_0x5d8548,_0x5bc5fa=_0x3f4475&&_0x3f4475[_0x408b76(0x2214)]?_0x3f4475['rows'][0x0]:null;if(!_0x5bc5fa){const _0x62a38a=[];let _0x4aae58=null;_0x14d4db['queueCampaign']&&(_0x4aae58=_0x39641b()[_0x408b76(0x13b4)](_0x14d4db[_0x408b76(0x1363)],{'id':Number(_0x14d4db[_0x408b76(0x17b8)]['TrunkId'])}));for(let _0x8c58f2=0x0;_0x8c58f2<_0x14d4db['trunks'][_0x408b76(0xfd0)];_0x8c58f2++){_0x4aae58&&_0x14d4db[_0x408b76(0x1363)][_0x8c58f2]['id']===_0x4aae58['id']&&(_0x14d4db[_0x408b76(0x1363)][_0x8c58f2][_0x408b76(0x15da)]=![],_0x62a38a[_0x408b76(0x2785)](_0x14d4db[_0x408b76(0x1363)][_0x8c58f2]));}_0x14d4db['trunks']=_0x62a38a;}else{if(!_0x5bc5fa[_0x408b76(0x12f4)])return _0x39e37e[_0x408b76(0x1198)][_0x408b76(0xbf7)]({'sectionId':_0x5bc5fa['id']})['$promise']['then'](function(_0xe8b6a){const _0x450c00=_0x408b76,_0x57b7d5=_0x39641b()[_0x450c00(0x1de2)](_0xe8b6a[_0x450c00(0x2214)],function(_0x5874c0){const _0x4543d2=_0x450c00;return _0x39641b()[_0x4543d2(0x13b4)](_0x14d4db[_0x4543d2(0x1363)],{'id':_0x5874c0[_0x4543d2(0x2982)]});});let _0x58b794=null;_0x14d4db[_0x450c00(0x17b8)]&&(_0x58b794=_0x39641b()[_0x450c00(0x13b4)](_0x14d4db[_0x450c00(0x1363)],{'id':Number(_0x14d4db['queueCampaign'][_0x450c00(0x7ab)])}));if(_0x58b794&&!_0x39641b()['some'](_0x57b7d5,['id',_0x58b794['id']])){const _0x367b72=_0x39641b()['find'](_0x14d4db[_0x450c00(0x1363)],{'id':_0x58b794['id']});_0x367b72[_0x450c00(0x15da)]=![],_0x57b7d5[_0x450c00(0x2785)](_0x367b72);}_0x14d4db[_0x450c00(0x1363)]=_0x57b7d5;});}})[_0x5d8548(0x1c4)](function(_0x50e91e){const _0x46d756=_0x5d8548;_0x3b072f[_0x46d756(0x218e)]({'title':_0x50e91e['status']?_0x46d756(0xeb9)+_0x50e91e['status']+_0x46d756(0x1657)+_0x50e91e[_0x46d756(0xc22)]:_0x46d756(0x2040),'msg':_0x50e91e[_0x46d756(0x25c)]?JSON[_0x46d756(0x2701)](_0x50e91e[_0x46d756(0x25c)]):_0x50e91e[_0x46d756(0x147f)]()});}),_0x481787[_0x5d8548(0x22b6)](_0x5d8548(0x1c60))?_0x39e37e['squareProject'][_0x5d8548(0xbf7)]({'fields':'id,name','sort':_0x5d8548(0x16b6)})[_0x5d8548(0x1d77)]['then'](function(_0x5c6151){const _0x442b5d=_0x5d8548;_0x14d4db[_0x442b5d(0x2981)]=_0x5c6151[_0x442b5d(0x2214)]||[];})[_0x5d8548(0x1c4)](function(_0x20e717){const _0x292176=_0x5d8548;_0x3b072f[_0x292176(0x218e)]({'title':_0x20e717[_0x292176(0x291)]?_0x292176(0xeb9)+_0x20e717['status']+'\x20-\x20'+_0x20e717[_0x292176(0xc22)]:'SYSTEM:GET_PROJECTS','msg':_0x20e717[_0x292176(0x25c)]?JSON['stringify'](_0x20e717[_0x292176(0x25c)]):_0x20e717[_0x292176(0x147f)]()});}):_0x39e37e[_0x5d8548(0xf61)][_0x5d8548(0xbf7)]({'fields':_0x5d8548(0x43c),'sort':'name'})[_0x5d8548(0x1d77)][_0x5d8548(0x1cb0)](function(_0x49db78){const _0x245480=_0x5d8548;_0x14d4db[_0x245480(0x2981)]=_0x49db78[_0x245480(0x2214)]||[];})[_0x5d8548(0x1cb0)](function(){const _0x9cf4a=_0x5d8548;return _0x39e37e['userProfileSection'][_0x9cf4a(0xbf7)]({'userProfileId':_0x14d4db['currentUser'][_0x9cf4a(0x13c1)],'sectionId':0x44f})[_0x9cf4a(0x1d77)];})['then'](function(_0x3660d1){const _0x331f94=_0x5d8548,_0x4ba065=_0x3660d1&&_0x3660d1[_0x331f94(0x2214)]?_0x3660d1['rows'][0x0]:null;if(!_0x4ba065){const _0x4288ca=[];let _0x448f23=null;_0x14d4db[_0x331f94(0x17b8)]&&(_0x448f23=_0x39641b()[_0x331f94(0x13b4)](_0x14d4db[_0x331f94(0x2981)],{'name':_0x14d4db['queueCampaign'][_0x331f94(0x100e)]}));for(let _0x55bc65=0x0;_0x55bc65<_0x14d4db['projects']['length'];_0x55bc65++){_0x448f23&&_0x14d4db['projects'][_0x55bc65]['id']===_0x448f23['id']&&(_0x14d4db[_0x331f94(0x2981)][_0x55bc65][_0x331f94(0x15da)]=![],_0x4288ca[_0x331f94(0x2785)](_0x14d4db['projects'][_0x55bc65]));}_0x14d4db[_0x331f94(0x2981)]=_0x4288ca;}else{if(!_0x4ba065[_0x331f94(0x12f4)])return _0x39e37e['userProfileResource']['get']({'sectionId':_0x4ba065['id']})[_0x331f94(0x1d77)][_0x331f94(0x1cb0)](function(_0x44a8c1){const _0x2933a3=_0x331f94,_0x1040ad=_0x39641b()[_0x2933a3(0x1de2)](_0x44a8c1[_0x2933a3(0x2214)],function(_0x46108d){const _0x38c071=_0x2933a3;return _0x39641b()[_0x38c071(0x13b4)](_0x14d4db[_0x38c071(0x2981)],{'id':_0x46108d[_0x38c071(0x2982)]});});let _0x403e41=null;_0x14d4db[_0x2933a3(0x17b8)]&&(_0x403e41=_0x39641b()[_0x2933a3(0x13b4)](_0x14d4db['projects'],{'name':_0x14d4db[_0x2933a3(0x17b8)]['dialQueueProject']}));if(_0x403e41&&!_0x39641b()['some'](_0x1040ad,['id',_0x403e41['id']])){const _0x338db9=_0x39641b()[_0x2933a3(0x13b4)](_0x14d4db[_0x2933a3(0x2981)],{'id':_0x403e41['id']});_0x338db9[_0x2933a3(0x15da)]=![],_0x1040ad['push'](_0x338db9);}_0x14d4db[_0x2933a3(0x2981)]=_0x1040ad;});}})['catch'](function(_0x1dc794){const _0x483d8c=_0x5d8548;_0x3b072f['error']({'title':_0x1dc794[_0x483d8c(0x291)]?_0x483d8c(0xeb9)+_0x1dc794[_0x483d8c(0x291)]+'\x20-\x20'+_0x1dc794[_0x483d8c(0xc22)]:'SYSTEM:GETprojects','msg':_0x1dc794[_0x483d8c(0x25c)]?JSON[_0x483d8c(0x2701)](_0x1dc794[_0x483d8c(0x25c)]):_0x1dc794['toString']()});}),_0x481787[_0x5d8548(0x22b6)]('admin')?_0x39e37e[_0x5d8548(0x1ac8)][_0x5d8548(0xbf7)]({'fields':_0x5d8548(0x43c),'sort':_0x5d8548(0x16b6),'IntervalId':_0x5d8548(0xd38)})[_0x5d8548(0x1d77)][_0x5d8548(0x1cb0)](function(_0x591fd5){_0x14d4db['interval']=_0x591fd5['rows']||[];})[_0x5d8548(0x1c4)](function(_0x16b9f6){const _0x3f0512=_0x5d8548;_0x3b072f[_0x3f0512(0x218e)]({'title':_0x16b9f6['status']?'API:'+_0x16b9f6[_0x3f0512(0x291)]+_0x3f0512(0x1657)+_0x16b9f6[_0x3f0512(0xc22)]:_0x3f0512(0x1917),'msg':_0x16b9f6[_0x3f0512(0x25c)]?JSON[_0x3f0512(0x2701)](_0x16b9f6[_0x3f0512(0x25c)]):_0x16b9f6[_0x3f0512(0x147f)]()});}):_0x39e37e['interval'][_0x5d8548(0xbf7)]({'fields':_0x5d8548(0x43c),'sort':_0x5d8548(0x16b6),'IntervalId':_0x5d8548(0xd38)})[_0x5d8548(0x1d77)][_0x5d8548(0x1cb0)](function(_0x520e72){const _0x2e5ae2=_0x5d8548;_0x14d4db[_0x2e5ae2(0x1ac8)]=_0x520e72[_0x2e5ae2(0x2214)]||[];})[_0x5d8548(0x1cb0)](function(){const _0x548da2=_0x5d8548;return _0x39e37e[_0x548da2(0x2199)][_0x548da2(0xbf7)]({'userProfileId':_0x14d4db[_0x548da2(0xe76)][_0x548da2(0x13c1)],'sectionId':0x3ec})[_0x548da2(0x1d77)];})[_0x5d8548(0x1cb0)](function(_0x54aa8e){const _0x472199=_0x5d8548,_0x1fd1f5=_0x54aa8e&&_0x54aa8e[_0x472199(0x2214)]?_0x54aa8e[_0x472199(0x2214)][0x0]:null;if(!_0x1fd1f5){const _0x8a5cd8=[];let _0x21ff7c=null;_0x14d4db[_0x472199(0x17b8)]&&(_0x21ff7c=_0x39641b()[_0x472199(0x13b4)](_0x14d4db['interval'],{'id':Number(_0x14d4db[_0x472199(0x17b8)][_0x472199(0x1a60)])}));for(let _0x1abce0=0x0;_0x1abce0<_0x14d4db[_0x472199(0x1ac8)][_0x472199(0xfd0)];_0x1abce0++){_0x21ff7c&&_0x14d4db[_0x472199(0x1ac8)][_0x1abce0]['id']===_0x21ff7c['id']&&(_0x14d4db[_0x472199(0x1ac8)][_0x1abce0][_0x472199(0x15da)]=![],_0x8a5cd8[_0x472199(0x2785)](_0x14d4db[_0x472199(0x1ac8)][_0x1abce0]));}_0x14d4db[_0x472199(0x1ac8)]=_0x8a5cd8;}else{if(!_0x1fd1f5['autoAssociation'])return _0x39e37e[_0x472199(0x1198)][_0x472199(0xbf7)]({'sectionId':_0x1fd1f5['id']})[_0x472199(0x1d77)][_0x472199(0x1cb0)](function(_0x18f63a){const _0x2d97e4=_0x472199,_0x484a0a=_0x39641b()[_0x2d97e4(0x1de2)](_0x18f63a[_0x2d97e4(0x2214)],function(_0x1d38f8){const _0xc0cbd9=_0x2d97e4;return _0x39641b()[_0xc0cbd9(0x13b4)](_0x14d4db['interval'],{'id':_0x1d38f8[_0xc0cbd9(0x2982)]});});let _0x2d1b08=null;_0x14d4db[_0x2d97e4(0x17b8)]&&(_0x2d1b08=_0x39641b()['find'](_0x14d4db['interval'],{'id':Number(_0x14d4db[_0x2d97e4(0x17b8)]['IntervalId'])}));if(_0x2d1b08&&!_0x39641b()[_0x2d97e4(0x727)](_0x484a0a,['id',_0x2d1b08['id']])){const _0x2097cd=_0x39641b()[_0x2d97e4(0x13b4)](_0x14d4db[_0x2d97e4(0x1ac8)],{'id':_0x2d1b08['id']});_0x2097cd[_0x2d97e4(0x15da)]=![],_0x484a0a[_0x2d97e4(0x2785)](_0x2097cd);}_0x14d4db[_0x2d97e4(0x1ac8)]=_0x484a0a;});}})[_0x5d8548(0x1c4)](function(_0x46e17b){const _0x3e46df=_0x5d8548;_0x3b072f[_0x3e46df(0x218e)]({'title':_0x46e17b[_0x3e46df(0x291)]?'API:'+_0x46e17b[_0x3e46df(0x291)]+_0x3e46df(0x1657)+_0x46e17b[_0x3e46df(0xc22)]:'SYSTEM:GETinterval','msg':_0x46e17b[_0x3e46df(0x25c)]?JSON[_0x3e46df(0x2701)](_0x46e17b[_0x3e46df(0x25c)]):_0x46e17b[_0x3e46df(0x147f)]()});}),_0x481787[_0x5d8548(0x22b6)](_0x5d8548(0x1c60))?_0x39e37e[_0x5d8548(0x785)][_0x5d8548(0xbf7)]({'fields':_0x5d8548(0x791),'sort':'name','nolimit':_0x5d8548(0x44d)})['$promise'][_0x5d8548(0x1cb0)](function(_0x272aae){const _0x536617=_0x5d8548;_0x14d4db[_0x536617(0x8de)]=_0x272aae[_0x536617(0x2214)]||[];})['catch'](function(_0x25aa54){const _0x35d2cf=_0x5d8548;_0x3b072f[_0x35d2cf(0x218e)]({'title':_0x25aa54[_0x35d2cf(0x291)]?_0x35d2cf(0xeb9)+_0x25aa54['status']+'\x20-\x20'+_0x25aa54[_0x35d2cf(0xc22)]:_0x35d2cf(0x1589),'msg':_0x25aa54[_0x35d2cf(0x25c)]?JSON[_0x35d2cf(0x2701)](_0x25aa54[_0x35d2cf(0x25c)]):_0x25aa54['toString']()});}):_0x39e37e[_0x5d8548(0x785)][_0x5d8548(0xbf7)]({'fields':_0x5d8548(0x791),'sort':_0x5d8548(0x16b6),'nolimit':'true'})[_0x5d8548(0x1d77)][_0x5d8548(0x1cb0)](function(_0x2463ea){const _0x5c735b=_0x5d8548;_0x14d4db[_0x5c735b(0x8de)]=_0x2463ea[_0x5c735b(0x2214)]||[];})['then'](function(){const _0x1815e0=_0x5d8548;return _0x39e37e[_0x1815e0(0x2199)]['get']({'userProfileId':_0x14d4db[_0x1815e0(0xe76)][_0x1815e0(0x13c1)],'sectionId':0x3ed})[_0x1815e0(0x1d77)];})[_0x5d8548(0x1cb0)](function(_0x4ca000){const _0x583e94=_0x5d8548,_0x1c409e=_0x4ca000&&_0x4ca000['rows']?_0x4ca000[_0x583e94(0x2214)][0x0]:null;if(!_0x1c409e)_0x14d4db[_0x583e94(0x8de)]=[];else{if(!_0x1c409e[_0x583e94(0x12f4)])return _0x39e37e[_0x583e94(0x1198)][_0x583e94(0xbf7)]({'sectionId':_0x1c409e['id']})[_0x583e94(0x1d77)][_0x583e94(0x1cb0)](function(_0x1904b3){const _0x29ff45=_0x583e94,_0x3c97b4=_0x39641b()['map'](_0x1904b3[_0x29ff45(0x2214)],function(_0x2e5aa1){const _0x1586cc=_0x29ff45;return _0x39641b()[_0x1586cc(0x13b4)](_0x14d4db[_0x1586cc(0x8de)],{'id':_0x2e5aa1[_0x1586cc(0x2982)]});});_0x14d4db['pauses'][_0x29ff45(0xf90)](function(_0x4a983c){const _0xac0d47=_0x29ff45;!_0x39641b()[_0xac0d47(0x727)](_0x3c97b4,['id',_0x4a983c['id']])&&(_0x4a983c[_0xac0d47(0x15da)]=![]),_0x3c97b4[_0xac0d47(0x2785)](_0x4a983c);}),_0x14d4db[_0x29ff45(0x8de)]=_0x3c97b4;});}})['catch'](function(_0x39bc40){const _0x29eab1=_0x5d8548;_0x3b072f[_0x29eab1(0x218e)]({'title':_0x39bc40[_0x29eab1(0x291)]?_0x29eab1(0xeb9)+_0x39bc40[_0x29eab1(0x291)]+_0x29eab1(0x1657)+_0x39bc40[_0x29eab1(0xc22)]:'SYSTEM:GETpauses','msg':_0x39bc40[_0x29eab1(0x25c)]?JSON[_0x29eab1(0x2701)](_0x39bc40[_0x29eab1(0x25c)]):_0x39bc40[_0x29eab1(0x147f)]()});});function _0x1f0250(_0x5c6bd0,_0x5824db){const _0x3b2e07=_0x5d8548;_0x3767c4[_0x3b2e07(0xe27)]({'controller':_0x3b2e07(0x8e6),'controllerAs':'vm','templateUrl':_0x58c80c,'parent':angular[_0x3b2e07(0x1853)](_0x3af08f[_0x3b2e07(0x1ed9)]),'targetEvent':_0x5824db,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0x5c6bd0,'queueCampaigns':_0x14d4db['queueCampaigns']?_0x14d4db[_0x3b2e07(0x1f65)]['rows']:[],'crudPermissions':_0x14d4db[_0x3b2e07(0x1b1a)]}});}function _0xf466d1(_0x16bd4a,_0x3c701){const _0x296dba=_0x5d8548;_0x3767c4['show']({'controller':_0x296dba(0x9b6),'controllerAs':'vm','templateUrl':_0x3b2b2d,'parent':angular[_0x296dba(0x1853)](_0x3af08f[_0x296dba(0x1ed9)]),'targetEvent':_0x3c701,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0x16bd4a,'queueCampaigns':_0x14d4db[_0x296dba(0x1f65)]?_0x14d4db[_0x296dba(0x1f65)][_0x296dba(0x2214)]:[],'crudPermissions':_0x14d4db[_0x296dba(0x1b1a)]}});}function _0x58d90b(_0x2266d9,_0x255c94){const _0x300919=_0x5d8548;_0x3767c4[_0x300919(0xe27)]({'controller':'QueueCampaignblacklistaddController','controllerAs':'vm','templateUrl':_0x350739,'parent':angular['element'](_0x3af08f[_0x300919(0x1ed9)]),'targetEvent':_0x255c94,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0x2266d9,'queueCampaigns':_0x14d4db[_0x300919(0x1f65)]?_0x14d4db[_0x300919(0x1f65)]['rows']:[],'crudPermissions':_0x14d4db['crudPermissions']}});}function _0x53c05c(_0x2f8e5d,_0x56626a){const _0x146f94=_0x5d8548;_0x3767c4['show']({'controller':_0x146f94(0x2884),'controllerAs':'vm','templateUrl':_0x47738e,'parent':angular[_0x146f94(0x1853)](_0x3af08f[_0x146f94(0x1ed9)]),'targetEvent':_0x56626a,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0x2f8e5d,'queueCampaigns':_0x14d4db[_0x146f94(0x1f65)]?_0x14d4db['queueCampaigns']['rows']:[],'crudPermissions':_0x14d4db[_0x146f94(0x1b1a)]}});}function _0x592a80(_0x438dc0,_0x338293){const _0x41a480=_0x5d8548;_0x3767c4[_0x41a480(0xe27)]({'controller':'QueueCampaignagentaddController','controllerAs':'vm','templateUrl':_0x192df6,'parent':angular[_0x41a480(0x1853)](_0x3af08f[_0x41a480(0x1ed9)]),'targetEvent':_0x338293,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0x438dc0,'queueCampaigns':_0x14d4db[_0x41a480(0x1f65)]?_0x14d4db[_0x41a480(0x1f65)]['rows']:[],'crudPermissions':_0x14d4db[_0x41a480(0x1b1a)],'realtime':![]}});}function _0x1d8575(_0x330f64,_0x4eebd0){const _0x529315=_0x5d8548,_0x377f24=_0x4eebd0['id'];_0x39e37e[_0x529315(0x26b3)][_0x529315(0x157b)]({'id':_0x330f64,'ids':_0x377f24})[_0x529315(0x1d77)][_0x529315(0x1cb0)](function(){const _0xd57017=_0x529315;return _0x39e37e[_0xd57017(0x26b3)][_0xd57017(0x285)]({'id':_0x330f64,'ids':_0x377f24,'dialCheckDuplicateType':_0xd57017(0x382)})[_0xd57017(0x1d77)];})[_0x529315(0x1cb0)](function(){const _0x57dbeb=_0x529315;_0x3b072f[_0x57dbeb(0x829)]({'title':_0x57dbeb(0xcf9),'msg':_0x57dbeb(0x8ae)+_0x4eebd0[_0x57dbeb(0x16b6)]+_0x57dbeb(0x1e07)});})['catch'](function(_0x5d01d2){const _0xd4f70a=_0x529315;_0x3b072f[_0xd4f70a(0x218e)]({'title':_0x5d01d2[_0xd4f70a(0x291)]?'API:'+_0x5d01d2[_0xd4f70a(0x291)]+_0xd4f70a(0x1657)+_0x5d01d2[_0xd4f70a(0xc22)]:_0xd4f70a(0x29a4),'msg':_0x5d01d2[_0xd4f70a(0x291)]?JSON['stringify'](_0x5d01d2[_0xd4f70a(0x25c)]):_0x5d01d2[_0xd4f70a(0x147f)]()});});}function _0x1708bd(_0x459b53,_0x5364cc){const _0x2eaaee=_0x5d8548;_0x39e37e[_0x2eaaee(0x26b3)]['getLists']({'id':_0x459b53['id'],'fields':'id,name','nolimit':!![]})[_0x2eaaee(0x1d77)][_0x2eaaee(0x1cb0)](function(_0x17d195){const _0x92d9fa=_0x2eaaee;if(_0x39641b()[_0x92d9fa(0x958)](_0x17d195[_0x92d9fa(0x2214)])||_0x39641b()[_0x92d9fa(0xce9)](_0x17d195['rows']))_0x3b072f[_0x92d9fa(0x28c7)]({'title':'Campaign\x20Without\x20Lists'});else{if(_0x17d195[_0x92d9fa(0x2214)][_0x92d9fa(0xfd0)]===0x1){const _0x1247f3=_0x3767c4['confirm']()[_0x92d9fa(0x1386)](_0x92d9fa(0xf4f)+_0x17d195[_0x92d9fa(0x2214)][0x0][_0x92d9fa(0x16b6)]+'\x20?')[_0x92d9fa(0x49e)](_0x92d9fa(0x204d)+_0x17d195[_0x92d9fa(0x2214)][0x0][_0x92d9fa(0x16b6)]+_0x92d9fa(0x1200)+_0x92d9fa(0x1575))[_0x92d9fa(0x15ad)](_0x92d9fa(0x2794))[_0x92d9fa(0x728)](_0x5364cc)['ok']('OK')['cancel'](_0x92d9fa(0x24ba));_0x3767c4['show'](_0x1247f3)['then'](function(){const _0x26c74b=_0x92d9fa;_0x1d8575(_0x14d4db[_0x26c74b(0x17b8)]['id'],_0x17d195['rows'][0x0]);});}else _0x3767c4['show']({'controller':_0x92d9fa(0x277d),'controllerAs':'vm','templateUrl':_0xbdcff2,'parent':angular['element'](_0x3af08f[_0x92d9fa(0x1ed9)]),'targetEvent':_0x5364cc,'clickOutsideToClose':![],'locals':{'queueCampaign':_0x459b53,'listsCampaign':_0x17d195?_0x17d195[_0x92d9fa(0x2214)]:[]}});}})[_0x2eaaee(0x1c4)](function(_0xd88d85){const _0x3f7efc=_0x2eaaee;_0x3b072f[_0x3f7efc(0x218e)]({'title':_0xd88d85[_0x3f7efc(0x291)]?'API:'+_0xd88d85[_0x3f7efc(0x291)]+'\x20-\x20'+_0xd88d85[_0x3f7efc(0xc22)]:_0x3f7efc(0xea5),'msg':_0xd88d85[_0x3f7efc(0x291)]?JSON[_0x3f7efc(0x2701)](_0xd88d85[_0x3f7efc(0x25c)]):_0xd88d85[_0x3f7efc(0x147f)]()});});}function _0x557816(){const _0x252ec5=_0x5d8548;if(_0x481787[_0x252ec5(0x22b6)](_0x252ec5(0x1c60)))_0x51b825['go'](_0x252ec5(0x7ba),{});else return _0x39e37e[_0x252ec5(0x2199)][_0x252ec5(0xbf7)]({'userProfileId':_0x481787[_0x252ec5(0x21e8)]()[_0x252ec5(0x13c1)],'sectionId':0x5e6})[_0x252ec5(0x1d77)]['then'](function(_0x44230b){const _0x235db0=_0x252ec5,_0xc3b1ae=_0x44230b&&_0x44230b[_0x235db0(0x2214)]?_0x44230b[_0x235db0(0x2214)][0x0]:null;_0xc3b1ae&&_0xc3b1ae[_0x235db0(0x281c)]?_0x51b825['go'](_0x235db0(0x7ba),{}):_0x3b072f[_0x235db0(0x28c7)]({'title':_0x2668c2[_0x235db0(0x25cc)](_0x235db0(0x370)),'msg':_0x2668c2['instant'](_0x235db0(0x33a))});})[_0x252ec5(0x1c4)](function(_0x1b5038){const _0x201532=_0x252ec5;_0x3b072f[_0x201532(0x218e)]({'title':_0x1b5038[_0x201532(0x291)]?_0x201532(0xeb9)+_0x1b5038[_0x201532(0x291)]+'\x20-\x20'+_0x1b5038[_0x201532(0xc22)]:'USERPROFILE:GET_SECTION','msg':_0x1b5038[_0x201532(0x291)]?JSON[_0x201532(0x2701)](_0x1b5038[_0x201532(0x25c)]):_0x1b5038[_0x201532(0x147f)]()});});}function _0x562aeb(){const _0x50e9f2=_0x5d8548;_0x51b825['go'](_0x50e9f2(0x2556),{},{'reload':_0x50e9f2(0x2556)});}function _0x6633e1(){const _0x3723f5=_0x5d8548;_0x39e37e[_0x3723f5(0x26b3)][_0x3723f5(0x687)]({'id':_0x14d4db[_0x3723f5(0x17b8)]['id']},_0x14d4db[_0x3723f5(0x17b8)])[_0x3723f5(0x1d77)]['then'](function(){const _0x1f1d04=_0x3723f5;_0x3b072f[_0x1f1d04(0x829)]({'title':'QueueCampaign\x20updated!','msg':_0x14d4db['queueCampaign'][_0x1f1d04(0x16b6)]?_0x14d4db[_0x1f1d04(0x17b8)][_0x1f1d04(0x16b6)]+_0x1f1d04(0x1068):''});})[_0x3723f5(0x1c4)](function(_0x532f08){const _0x31c0e1=_0x3723f5;_0x3b072f[_0x31c0e1(0x218e)]({'title':_0x532f08[_0x31c0e1(0x291)]?_0x31c0e1(0xeb9)+_0x532f08[_0x31c0e1(0x291)]+'\x20-\x20'+_0x532f08['statusText']:_0x31c0e1(0x272a),'msg':_0x532f08['data']?JSON[_0x31c0e1(0x2701)](_0x532f08[_0x31c0e1(0x25c)]):_0x532f08[_0x31c0e1(0x147f)]()});});}}const _0x5aa7a4=_0x3da3fb;;_0x6d35c4[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0x1f65),'userProfile',_0x5537c6(0x2199),_0x5537c6(0x142b),'msUtils','toasty',_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x6d35c4(_0xf6d135,_0x21a9e9,_0x789f4,_0x235100,_0x220655,_0x10be20,_0x53dbe3,_0x3e83cd,_0x539ae2,_0xbce034,_0x34a3ca,_0x1bc62b,_0x2bcc32,_0x5eba4f,_0x3b77c9,_0x1c1694,_0x535dd4){const _0x6ec143=_0x5537c6,_0x5e9948=this;_0x5e9948[_0x6ec143(0x8a5)]=_0x1c1694,_0x5e9948[_0x6ec143(0x9ca)]=_0x535dd4,_0x5e9948[_0x6ec143(0xe76)]=_0x3b77c9['getCurrentUser'](),_0x5e9948[_0x6ec143(0x1f65)]=_0x539ae2||{'count':0x0,'rows':[]},_0x5e9948[_0x6ec143(0x44a)]=_0xbce034,_0x5e9948[_0x6ec143(0x2199)]=_0x34a3ca&&_0x34a3ca[_0x6ec143(0x184d)]==0x1?_0x34a3ca[_0x6ec143(0x2214)][0x0]:null,_0x5e9948[_0x6ec143(0x1b1a)]=_0x3b77c9['parseCrudPermissions'](_0x5e9948['userProfileSection']?_0x5e9948[_0x6ec143(0x2199)][_0x6ec143(0x1b1a)]:null),_0x5e9948['table']=_0x6ec143(0x1f65),_0x5e9948[_0x6ec143(0x1d20)]='',_0x5e9948[_0x6ec143(0x1cdf)]=null,_0x5e9948['selectedQueueCampaigns']=[],_0x5e9948[_0x6ec143(0xae2)]={'fields':'createdAt,updatedAt,id,name,dialActive,TrunkId,TrunkBackupId,type,IntervalId,dialCheckDuplicateType,dialCutDigit,acw,acwTimeout,autopause,ringinuse,memberdelay,timeoutrestart,monitor_format,context,setinterfacevar,setqueuevar,setqueueentryvar,dialOrderByScheduledAt,dialRecallMeTimeout,dialRecallInQueue,dialGlobalInterval,dialTimezone,dialAMDActive,dialAMDInitialSilence,dialAMDGreeting,dialAMDAfterGreetingSilence,dialAMDTotalAnalysisTime,dialAMDMinWordLength,dialAMDBetweenWordsSilence,dialAMDMaximumNumberOfWords,dialAMDSilenceThreshold,dialAMDMaximumWordLength,dialMethod,dialPowerLevel,dialPredictiveOptimization,dialPredictiveOptimizationPercentage,dialPredictiveInterval,dialLimitQueue,dialOriginateCallerIdName,dialOriginateCallerIdNumber,dialRandomLastDigitCallerIdNumber,dialOriginateTimeout,dialPrefix,dialQueueOptions,dialQueueTimeout,strategy,timeout,retry,wrapuptime,weight,musiconhold,dialQueueProject,dialQueueProject2,dialAgiAfterHangupAgent,dialGlobalMaxRetry,dialCongestionMaxRetry,dialCongestionRetryFrequency,dialBusyMaxRetry,dialBusyRetryFrequency,dialNoAnswerMaxRetry,dialNoAnswerRetryFrequency,dialNoSuchNumberMaxRetry,dialNoSuchNumberRetryFrequency,dialDropMaxRetry,dialDropRetryFrequency,dialAbandonedMaxRetry,dialAbandonedRetryFrequency,dialMachineMaxRetry,dialMachineRetryFrequency,dialAgentRejectMaxRetry,dialAgentRejectRetryFrequency,description,mandatoryDisposition,mandatoryDispositionPauseId,dialPredictiveIntervalMaxThreshold,dialPredictiveIntervalMinThreshold','type':_0x6ec143(0x895),'sort':_0x6ec143(0x282),'channel':'voice','limit':0xa,'page':0x1},_0x5e9948['arraydialCheckDuplicateType']=_0x39641b()[_0x6ec143(0x2631)]([{'option':_0x6ec143(0x10f9),'value':_0x6ec143(0x2a2)},{'option':_0x6ec143(0x6b9),'value':_0x6ec143(0x1d2c)},{'option':'ONLY_IF_OPEN','value':_0x6ec143(0x1cdd)}],function(_0x3bc67a){const _0x2c3903=_0x6ec143;return _0x39641b()[_0x2c3903(0x288f)](_0x3bc67a['value'],new RegExp('\x27','g'),'');}),_0x5e9948['arrayautopause']=_0x39641b()[_0x6ec143(0x2631)]([{'option':_0x6ec143(0x1ab8),'value':_0x6ec143(0xe4d)},{'option':'No','value':_0x6ec143(0x15b9)}],function(_0x114798){const _0xf91f08=_0x6ec143;return _0x39641b()[_0xf91f08(0x288f)](_0x114798[_0xf91f08(0x327)],new RegExp('\x27','g'),'');}),_0x5e9948[_0x6ec143(0x2200)]=_0x39641b()[_0x6ec143(0x2631)]([{'option':_0x6ec143(0x1ab8),'value':_0x6ec143(0x27e4)},{'option':'No','value':'\x27no\x27'}],function(_0x4af548){const _0x1de124=_0x6ec143;return _0x39641b()[_0x1de124(0x288f)](_0x4af548[_0x1de124(0x327)],new RegExp('\x27','g'),'');}),_0x5e9948['arraytimeoutrestart']=_0x39641b()[_0x6ec143(0x2631)]([{'option':_0x6ec143(0x1ab8),'value':'\x27yes\x27'},{'option':'No','value':'\x27no\x27'}],function(_0x100699){const _0x23c8f7=_0x6ec143;return _0x39641b()[_0x23c8f7(0x288f)](_0x100699[_0x23c8f7(0x327)],new RegExp('\x27','g'),'');}),_0x5e9948[_0x6ec143(0xe10)]=_0x39641b()[_0x6ec143(0x2631)]([{'option':_0x6ec143(0x210b),'value':'\x27\x27'},{'option':_0x6ec143(0xcab),'value':_0x6ec143(0x2329)},{'option':_0x6ec143(0x1f63),'value':_0x6ec143(0x1e04)},{'option':_0x6ec143(0x2331),'value':_0x6ec143(0x24ce)}],function(_0x282ed7){const _0x571de7=_0x6ec143;return _0x39641b()[_0x571de7(0x288f)](_0x282ed7['value'],new RegExp('\x27','g'),'');}),_0x5e9948[_0x6ec143(0x1bb7)]=_0x39641b()[_0x6ec143(0x2631)]([{'option':_0x6ec143(0x1ab8),'value':'\x27yes\x27'},{'option':'No','value':_0x6ec143(0x15b9)}],function(_0x547bfa){const _0x1ec631=_0x6ec143;return _0x39641b()[_0x1ec631(0x288f)](_0x547bfa[_0x1ec631(0x327)],new RegExp('\x27','g'),'');}),_0x5e9948[_0x6ec143(0x1964)]=_0x39641b()[_0x6ec143(0x2631)]([{'option':_0x6ec143(0x1ab8),'value':'\x27yes\x27'},{'option':'No','value':_0x6ec143(0x15b9)}],function(_0x13d65e){const _0x448708=_0x6ec143;return _0x39641b()[_0x448708(0x288f)](_0x13d65e[_0x448708(0x327)],new RegExp('\x27','g'),'');}),_0x5e9948[_0x6ec143(0x1f00)]=_0x39641b()['keyBy']([{'option':_0x6ec143(0x1ab8),'value':_0x6ec143(0x27e4)},{'option':'No','value':'\x27no\x27'}],function(_0x32a0bc){return _0x39641b()['replace'](_0x32a0bc['value'],new RegExp('\x27','g'),'');}),_0x5e9948['arraydialOrderByScheduledAt']=_0x39641b()[_0x6ec143(0x2631)]([{'option':_0x6ec143(0x16b),'value':'\x27DESC\x27'},{'option':_0x6ec143(0x1a52),'value':_0x6ec143(0x2658)}],function(_0x35114c){const _0x401cef=_0x6ec143;return _0x39641b()[_0x401cef(0x288f)](_0x35114c[_0x401cef(0x327)],new RegExp('\x27','g'),'');}),_0x5e9948[_0x6ec143(0x485)]=_0x39641b()[_0x6ec143(0x2631)]([{'option':'Progressive','value':_0x6ec143(0xabf)},{'option':_0x6ec143(0x2928),'value':_0x6ec143(0x27ad)},{'option':_0x6ec143(0x2507),'value':_0x6ec143(0x1a0c)},{'option':'Preview','value':_0x6ec143(0x2037)},{'option':_0x6ec143(0xf19),'value':'\x27booked\x27'}],function(_0x3932fe){const _0x4b1f28=_0x6ec143;return _0x39641b()['replace'](_0x3932fe[_0x4b1f28(0x327)],new RegExp('\x27','g'),'');}),_0x5e9948[_0x6ec143(0x13c6)]=_0x39641b()[_0x6ec143(0x2631)]([{'option':_0x6ec143(0x283f),'value':_0x6ec143(0x217c)},{'option':_0x6ec143(0x2274),'value':'\x27dropRate\x27'}],function(_0x4dd327){const _0x383e49=_0x6ec143;return _0x39641b()[_0x383e49(0x288f)](_0x4dd327[_0x383e49(0x327)],new RegExp('\x27','g'),'');}),_0x5e9948[_0x6ec143(0xa7e)]=_0x39641b()[_0x6ec143(0x2631)]([{'option':_0x6ec143(0xaf3),'value':_0x6ec143(0x15b5)},{'option':_0x6ec143(0x150f),'value':_0x6ec143(0x13c2),'ngIf':_0x6ec143(0xb5a)},{'option':_0x6ec143(0x1a55),'value':'\x27leastrecent\x27','ngIf':_0x6ec143(0x1729)},{'option':_0x6ec143(0x2679),'value':'\x27fewestcalls\x27','ngIf':_0x6ec143(0x1729)},{'option':_0x6ec143(0x1cb3),'value':_0x6ec143(0x3f7),'ngIf':_0x6ec143(0x1729)},{'option':'Linear','value':_0x6ec143(0x230b),'ngIf':_0x6ec143(0x1729)},{'option':_0x6ec143(0x10c6),'value':'\x27wrandom\x27','ngIf':_0x6ec143(0x1729)}],function(_0x106d88){const _0x43cd11=_0x6ec143;return _0x39641b()[_0x43cd11(0x288f)](_0x106d88[_0x43cd11(0x327)],new RegExp('\x27','g'),'');}),_0x5e9948[_0x6ec143(0x235d)]=_0x5e598c,_0x5e9948['clonedialog']=_0x244bac,_0x5e9948[_0x6ec143(0xb80)]=_0x2aa6f0,_0x5e9948['blacklistadddialog']=_0x30c05e,_0x5e9948['teamadddialog']=_0x4d5570,_0x5e9948['agentadddialog']=_0x1b88e1,_0x5e9948[_0x6ec143(0x27fe)]=_0x3b32eb,_0x5e9948[_0x6ec143(0x649)]=_0x134608,_0x5e9948[_0x6ec143(0x829)]=_0x32ea89,_0x5e9948[_0x6ec143(0x274e)]=_0x31e6ce,_0x5e9948['createOrEditQueueCampaign']=_0x16f1f1,_0x5e9948['deleteQueueCampaign']=_0xa3266c,_0x5e9948[_0x6ec143(0x2217)]=_0x260560,_0x5e9948[_0x6ec143(0x261b)]=_0xfa03dc,_0x5e9948['deselectQueueCampaigns']=_0x271b68,_0x5e9948[_0x6ec143(0x216d)]=_0xb1df71,_0x3b77c9[_0x6ec143(0x22b6)](_0x6ec143(0x1c60))?_0x1bc62b[_0x6ec143(0x1ae0)]['get']({'fields':'id,name','sort':_0x6ec143(0x16b6)})[_0x6ec143(0x1d77)][_0x6ec143(0x1cb0)](function(_0x506f5b){const _0x507505=_0x6ec143;_0x5e9948[_0x507505(0x1363)]=_0x506f5b['rows']||[];})[_0x6ec143(0x1c4)](function(_0xcddfce){const _0x1159a0=_0x6ec143;_0x5eba4f[_0x1159a0(0x218e)]({'title':_0xcddfce[_0x1159a0(0x291)]?'API:'+_0xcddfce[_0x1159a0(0x291)]+'\x20-\x20'+_0xcddfce[_0x1159a0(0xc22)]:_0x1159a0(0x14fc),'msg':_0xcddfce[_0x1159a0(0x25c)]?JSON[_0x1159a0(0x2701)](_0xcddfce[_0x1159a0(0x25c)]):_0xcddfce[_0x1159a0(0x147f)]()});}):_0x1bc62b['trunk'][_0x6ec143(0xbf7)]({'fields':'id,name','sort':_0x6ec143(0x16b6)})[_0x6ec143(0x1d77)][_0x6ec143(0x1cb0)](function(_0x45be47){const _0x4ea2a0=_0x6ec143;_0x5e9948[_0x4ea2a0(0x1363)]=_0x45be47[_0x4ea2a0(0x2214)]||[];})[_0x6ec143(0x1cb0)](function(){const _0x1378e3=_0x6ec143;return _0x1bc62b['userProfileSection'][_0x1378e3(0xbf7)]({'userProfileId':_0x5e9948['currentUser']['userProfileId'],'sectionId':0x3f3})[_0x1378e3(0x1d77)];})[_0x6ec143(0x1cb0)](function(_0x57ed13){const _0x4c9866=_0x6ec143,_0x2435b8=_0x57ed13&&_0x57ed13[_0x4c9866(0x2214)]?_0x57ed13[_0x4c9866(0x2214)][0x0]:null;if(!_0x2435b8){const _0x22b15e=[],_0x479925=[];_0x5e9948['queueCampaigns'][_0x4c9866(0x2214)][_0x4c9866(0xf90)](function(_0x14d537){const _0x14fd97=_0x4c9866,_0x3f7615=_0x39641b()['find'](_0x5e9948[_0x14fd97(0x1363)],{'id':Number(_0x14d537[_0x14fd97(0x7ab)])});_0x479925['push'](_0x3f7615);});for(let _0x2409ca=0x0;_0x2409ca<_0x5e9948['trunks'][_0x4c9866(0xfd0)];_0x2409ca++){const _0x5cb852=_0x39641b()[_0x4c9866(0x727)](_0x479925,{'id':_0x5e9948[_0x4c9866(0x1363)][_0x2409ca]['id']});_0x5cb852&&(_0x5e9948[_0x4c9866(0x1363)][_0x2409ca][_0x4c9866(0x15da)]=![],_0x22b15e[_0x4c9866(0x2785)](_0x5e9948[_0x4c9866(0x1363)][_0x2409ca]));}_0x5e9948[_0x4c9866(0x1363)]=_0x22b15e;}else{if(!_0x2435b8[_0x4c9866(0x12f4)])return _0x1bc62b[_0x4c9866(0x1198)][_0x4c9866(0xbf7)]({'sectionId':_0x2435b8['id']})[_0x4c9866(0x1d77)]['then'](function(_0x510907){const _0x3b7285=_0x4c9866,_0x5311e2=_0x39641b()[_0x3b7285(0x1de2)](_0x510907[_0x3b7285(0x2214)],function(_0x1d0e66){const _0x4272cd=_0x3b7285;return _0x39641b()[_0x4272cd(0x13b4)](_0x5e9948['trunks'],{'id':_0x1d0e66['resourceId']});});let _0x742434=null;_0x742434=[],_0x5e9948[_0x3b7285(0x1f65)][_0x3b7285(0x2214)][_0x3b7285(0xf90)](function(_0xb85f83){const _0x5d5c3d=_0x3b7285,_0x3a7e21=_0x39641b()[_0x5d5c3d(0x13b4)](_0x5e9948['trunks'],{'id':Number(_0xb85f83[_0x5d5c3d(0x7ab)])});_0x742434[_0x5d5c3d(0x2785)](_0x3a7e21);}),!_0x39641b()[_0x3b7285(0xce9)](_0x742434)&&_0x742434[_0x3b7285(0xf90)](function(_0x35d08b){const _0xefaf0=_0x3b7285;if(!_0x39641b()[_0xefaf0(0x727)](_0x5311e2,['id',_0x35d08b['id']])){const _0x407fb0=_0x39641b()[_0xefaf0(0x13b4)](_0x5e9948[_0xefaf0(0x1363)],{'id':_0x35d08b['id']});_0x407fb0[_0xefaf0(0x15da)]=![],_0x5311e2[_0xefaf0(0x2785)](_0x407fb0);}}),_0x5e9948[_0x3b7285(0x1363)]=_0x5311e2;});}})['catch'](function(_0x31bc45){const _0x43d5c4=_0x6ec143;_0x5eba4f[_0x43d5c4(0x218e)]({'title':_0x31bc45['status']?'API:'+_0x31bc45[_0x43d5c4(0x291)]+_0x43d5c4(0x1657)+_0x31bc45[_0x43d5c4(0xc22)]:'SYSTEM:GETtrunks','msg':_0x31bc45[_0x43d5c4(0x25c)]?JSON[_0x43d5c4(0x2701)](_0x31bc45[_0x43d5c4(0x25c)]):_0x31bc45['toString']()});}),_0x3b77c9[_0x6ec143(0x22b6)](_0x6ec143(0x1c60))?_0x1bc62b[_0x6ec143(0x1ac8)][_0x6ec143(0xbf7)]({'fields':_0x6ec143(0x43c),'sort':_0x6ec143(0x16b6),'IntervalId':_0x6ec143(0xd38)})[_0x6ec143(0x1d77)][_0x6ec143(0x1cb0)](function(_0x34e980){_0x5e9948['interval']=_0x34e980['rows']||[];})[_0x6ec143(0x1c4)](function(_0x2a211b){const _0x51e214=_0x6ec143;_0x5eba4f[_0x51e214(0x218e)]({'title':_0x2a211b[_0x51e214(0x291)]?_0x51e214(0xeb9)+_0x2a211b[_0x51e214(0x291)]+_0x51e214(0x1657)+_0x2a211b[_0x51e214(0xc22)]:_0x51e214(0x1917),'msg':_0x2a211b[_0x51e214(0x25c)]?JSON[_0x51e214(0x2701)](_0x2a211b[_0x51e214(0x25c)]):_0x2a211b[_0x51e214(0x147f)]()});}):_0x1bc62b[_0x6ec143(0x1ac8)][_0x6ec143(0xbf7)]({'fields':'id,name','sort':_0x6ec143(0x16b6),'IntervalId':'null'})['$promise'][_0x6ec143(0x1cb0)](function(_0x366ac0){const _0x521a1c=_0x6ec143;_0x5e9948[_0x521a1c(0x1ac8)]=_0x366ac0[_0x521a1c(0x2214)]||[];})[_0x6ec143(0x1cb0)](function(){const _0x1b3cd4=_0x6ec143;return _0x1bc62b[_0x1b3cd4(0x2199)][_0x1b3cd4(0xbf7)]({'userProfileId':_0x5e9948[_0x1b3cd4(0xe76)][_0x1b3cd4(0x13c1)],'sectionId':0x3ec})[_0x1b3cd4(0x1d77)];})[_0x6ec143(0x1cb0)](function(_0x335246){const _0x817505=_0x6ec143,_0x4fa3ab=_0x335246&&_0x335246[_0x817505(0x2214)]?_0x335246[_0x817505(0x2214)][0x0]:null;if(!_0x4fa3ab){const _0x32d0c9=[];let _0x3cd04c=null;_0x5e9948[_0x817505(0x17b8)]&&(_0x3cd04c=_0x39641b()[_0x817505(0x13b4)](_0x5e9948['interval'],{'id':Number(_0x5e9948[_0x817505(0x17b8)][_0x817505(0x1a60)])}));for(let _0x442ee6=0x0;_0x442ee6<_0x5e9948[_0x817505(0x1ac8)][_0x817505(0xfd0)];_0x442ee6++){_0x3cd04c&&_0x5e9948[_0x817505(0x1ac8)][_0x442ee6]['id']===_0x3cd04c['id']&&(_0x5e9948[_0x817505(0x1ac8)][_0x442ee6][_0x817505(0x15da)]=![],_0x32d0c9[_0x817505(0x2785)](_0x5e9948['interval'][_0x442ee6]));}_0x5e9948[_0x817505(0x1ac8)]=_0x32d0c9;}else{if(!_0x4fa3ab[_0x817505(0x12f4)])return _0x1bc62b[_0x817505(0x1198)][_0x817505(0xbf7)]({'sectionId':_0x4fa3ab['id']})[_0x817505(0x1d77)][_0x817505(0x1cb0)](function(_0x2222db){const _0x45058f=_0x817505,_0x4e8c2e=_0x39641b()[_0x45058f(0x1de2)](_0x2222db[_0x45058f(0x2214)],function(_0x188df7){const _0x2179ee=_0x45058f;return _0x39641b()[_0x2179ee(0x13b4)](_0x5e9948[_0x2179ee(0x1ac8)],{'id':_0x188df7['resourceId']});});let _0x544b11=null;_0x5e9948[_0x45058f(0x17b8)]&&(_0x544b11=_0x39641b()[_0x45058f(0x13b4)](_0x5e9948['interval'],{'id':Number(_0x5e9948[_0x45058f(0x17b8)][_0x45058f(0x1a60)])}));if(_0x544b11&&!_0x39641b()['some'](_0x4e8c2e,['id',_0x544b11['id']])){const _0x14ca80=_0x39641b()['find'](_0x5e9948[_0x45058f(0x1ac8)],{'id':_0x544b11['id']});_0x14ca80['canSelect']=![],_0x4e8c2e[_0x45058f(0x2785)](_0x14ca80);}_0x5e9948[_0x45058f(0x1ac8)]=_0x4e8c2e;});}})['catch'](function(_0x280351){const _0x108fcd=_0x6ec143;_0x5eba4f[_0x108fcd(0x218e)]({'title':_0x280351[_0x108fcd(0x291)]?_0x108fcd(0xeb9)+_0x280351[_0x108fcd(0x291)]+_0x108fcd(0x1657)+_0x280351['statusText']:_0x108fcd(0x1b58),'msg':_0x280351[_0x108fcd(0x25c)]?JSON[_0x108fcd(0x2701)](_0x280351[_0x108fcd(0x25c)]):_0x280351['toString']()});});function _0x5e598c(_0x229eb7){const _0x567126=_0x6ec143;_0x789f4['go'](_0x567126(0x1467),{'id':_0x229eb7['id'],'queueCampaign':_0x229eb7,'crudPermissions':_0x5e9948[_0x567126(0x1b1a)]});}function _0x244bac(_0x588232,_0x27fde8){const _0x115423=_0x6ec143;_0x220655[_0x115423(0xe27)]({'controller':_0x115423(0x8e6),'controllerAs':'vm','templateUrl':_0x58c80c,'parent':angular[_0x115423(0x1853)](_0x10be20[_0x115423(0x1ed9)]),'targetEvent':_0x27fde8,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0x588232,'queueCampaigns':_0x5e9948[_0x115423(0x1f65)]?_0x5e9948[_0x115423(0x1f65)][_0x115423(0x2214)]:[],'crudPermissions':_0x5e9948['crudPermissions']}});}function _0x2aa6f0(_0x259b1d,_0x5133c7){const _0x53c41c=_0x6ec143;_0x220655[_0x53c41c(0xe27)]({'controller':'QueueCampaignlistaddController','controllerAs':'vm','templateUrl':_0x3b2b2d,'parent':angular[_0x53c41c(0x1853)](_0x10be20['body']),'targetEvent':_0x5133c7,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0x259b1d,'queueCampaigns':_0x5e9948['queueCampaigns']?_0x5e9948[_0x53c41c(0x1f65)][_0x53c41c(0x2214)]:[],'crudPermissions':_0x5e9948[_0x53c41c(0x1b1a)]}});}function _0x30c05e(_0x310a12,_0x2a4275){const _0x384e78=_0x6ec143;_0x220655['show']({'controller':_0x384e78(0x389),'controllerAs':'vm','templateUrl':_0x350739,'parent':angular[_0x384e78(0x1853)](_0x10be20[_0x384e78(0x1ed9)]),'targetEvent':_0x2a4275,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0x310a12,'queueCampaigns':_0x5e9948[_0x384e78(0x1f65)]?_0x5e9948[_0x384e78(0x1f65)][_0x384e78(0x2214)]:[],'crudPermissions':_0x5e9948[_0x384e78(0x1b1a)]}});}function _0x4d5570(_0x268706,_0x27c71c){const _0x54f96a=_0x6ec143;_0x220655[_0x54f96a(0xe27)]({'controller':_0x54f96a(0x2884),'controllerAs':'vm','templateUrl':_0x47738e,'parent':angular[_0x54f96a(0x1853)](_0x10be20['body']),'targetEvent':_0x27c71c,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0x268706,'queueCampaigns':_0x5e9948[_0x54f96a(0x1f65)]?_0x5e9948[_0x54f96a(0x1f65)][_0x54f96a(0x2214)]:[],'crudPermissions':_0x5e9948[_0x54f96a(0x1b1a)]}});}function _0x1b88e1(_0x5bdce0,_0x59a383){const _0x12008b=_0x6ec143;_0x220655[_0x12008b(0xe27)]({'controller':'QueueCampaignagentaddController','controllerAs':'vm','templateUrl':_0x192df6,'parent':angular[_0x12008b(0x1853)](_0x10be20[_0x12008b(0x1ed9)]),'targetEvent':_0x59a383,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0x5bdce0,'queueCampaigns':_0x5e9948[_0x12008b(0x1f65)]?_0x5e9948['queueCampaigns']['rows']:[],'crudPermissions':_0x5e9948[_0x12008b(0x1b1a)],'realtime':![]}});}function _0x3b32eb(_0x13fb3f,_0x2da7b5){const _0x4df42d=_0x6ec143,_0x59f7bb=_0x220655[_0x4df42d(0x1551)]()[_0x4df42d(0x1386)](_0x4df42d(0x140b)+_0x39641b()[_0x4df42d(0xa75)](_0x4df42d(0x17b8))+'?')[_0x4df42d(0x49e)](_0x4df42d(0x204d)+(_0x13fb3f[_0x4df42d(0x16b6)]||_0x4df42d(0x17b8))+_0x4df42d(0x1200)+_0x4df42d(0x1b6))[_0x4df42d(0x15ad)](_0x4df42d(0x1ba3))[_0x4df42d(0x728)](_0x2da7b5)['ok']('OK')[_0x4df42d(0x696)](_0x4df42d(0x24ba));_0x220655[_0x4df42d(0xe27)](_0x59f7bb)[_0x4df42d(0x1cb0)](function(){_0xa3266c(_0x13fb3f);},function(){const _0x168592=_0x4df42d;console[_0x168592(0x1b4f)](_0x168592(0x24ba));});}function _0x134608(){const _0x21c76a=_0x6ec143;if(_0x3b77c9[_0x21c76a(0x22b6)](_0x21c76a(0x1c60)))_0x789f4['go']('app.motiondialer.realtime.queues',{});else return _0x1bc62b[_0x21c76a(0x2199)]['get']({'userProfileId':_0x3b77c9[_0x21c76a(0x21e8)]()['userProfileId'],'sectionId':0x5e6})['$promise'][_0x21c76a(0x1cb0)](function(_0x344ec9){const _0x2ffc70=_0x21c76a,_0x32d4dd=_0x344ec9&&_0x344ec9[_0x2ffc70(0x2214)]?_0x344ec9[_0x2ffc70(0x2214)][0x0]:null;_0x32d4dd&&_0x32d4dd[_0x2ffc70(0x281c)]?_0x789f4['go']('app.motiondialer.realtime.queues',{}):_0x5eba4f[_0x2ffc70(0x28c7)]({'title':_0x3e83cd[_0x2ffc70(0x25cc)](_0x2ffc70(0x370)),'msg':_0x3e83cd[_0x2ffc70(0x25cc)](_0x2ffc70(0x33a))});})[_0x21c76a(0x1c4)](function(_0x519785){const _0x2907ee=_0x21c76a;_0x5eba4f['error']({'title':_0x519785['status']?_0x2907ee(0xeb9)+_0x519785[_0x2907ee(0x291)]+'\x20-\x20'+_0x519785[_0x2907ee(0xc22)]:_0x2907ee(0x17ba),'msg':_0x519785[_0x2907ee(0x291)]?JSON['stringify'](_0x519785[_0x2907ee(0x25c)]):_0x519785[_0x2907ee(0x147f)]()});});}let _0x47761a=!![],_0x1762f3=0x1;_0xf6d135['$watch']('vm.query.filter',function(_0x8533e4,_0x26e2ea){const _0x1c1196=_0x6ec143;_0x47761a?_0x53dbe3(function(){_0x47761a=![];}):(!_0x26e2ea&&(_0x1762f3=_0x5e9948['query']['page']),_0x8533e4!==_0x26e2ea&&(_0x5e9948[_0x1c1196(0xae2)]['page']=0x1),!_0x8533e4&&(_0x5e9948[_0x1c1196(0xae2)][_0x1c1196(0x1c7b)]=_0x1762f3),_0x5e9948['getQueueCampaigns']());});function _0x32ea89(_0x394b30){const _0x3a64a9=_0x6ec143;_0x5e9948[_0x3a64a9(0x1f65)]=_0x394b30||{'count':0x0,'rows':[]};}function _0x31e6ce(){const _0x3e4eae=_0x6ec143;_0x5e9948['query'][_0x3e4eae(0x184b)]=(_0x5e9948[_0x3e4eae(0xae2)]['page']-0x1)*_0x5e9948[_0x3e4eae(0xae2)][_0x3e4eae(0x236)],_0x3b77c9[_0x3e4eae(0x22b6)](_0x3e4eae(0x1c60))?_0x5e9948[_0x3e4eae(0x2061)]=_0x1bc62b[_0x3e4eae(0x26b3)][_0x3e4eae(0xbf7)](_0x5e9948[_0x3e4eae(0xae2)],_0x32ea89)[_0x3e4eae(0x1d77)]:(_0x5e9948[_0x3e4eae(0xae2)]['id']=_0x5e9948[_0x3e4eae(0x44a)]['id'],_0x5e9948['query']['section']=_0x3e4eae(0x1e28),_0x5e9948[_0x3e4eae(0x2061)]=_0x1bc62b[_0x3e4eae(0x44a)][_0x3e4eae(0x1810)](_0x5e9948['query'],_0x32ea89)[_0x3e4eae(0x1d77)]);}function _0x16f1f1(_0x12def7,_0xbe468){const _0x16aaec=_0x6ec143;_0x220655[_0x16aaec(0xe27)]({'controller':_0x16aaec(0x1c3),'controllerAs':'vm','templateUrl':_0x810686,'parent':angular[_0x16aaec(0x1853)](_0x10be20[_0x16aaec(0x1ed9)]),'targetEvent':_0x12def7,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0xbe468,'queueCampaigns':_0x5e9948[_0x16aaec(0x1f65)][_0x16aaec(0x2214)],'license':_0x5e9948[_0x16aaec(0x8a5)],'setting':_0x5e9948[_0x16aaec(0x9ca)],'crudPermissions':_0x5e9948[_0x16aaec(0x1b1a)]}});}function _0xa3266c(_0x9fd63f){const _0x3be22e=_0x6ec143;_0x1bc62b['voiceQueue']['delete']({'id':_0x9fd63f['id']})[_0x3be22e(0x1d77)]['then'](function(){const _0x22b1fe=_0x3be22e;_0x39641b()[_0x22b1fe(0x152a)](_0x5e9948['queueCampaigns']['rows'],{'id':_0x9fd63f['id']}),_0x5e9948[_0x22b1fe(0x1f65)][_0x22b1fe(0x184d)]-=0x1,!_0x5e9948[_0x22b1fe(0x1f65)][_0x22b1fe(0x2214)]['length']&&_0x5e9948['getQueueCampaigns'](),_0x5eba4f[_0x22b1fe(0x829)]({'title':_0x39641b()[_0x22b1fe(0xa75)](_0x22b1fe(0x2433))+'\x20deleted!','msg':_0x9fd63f['name']?_0x9fd63f[_0x22b1fe(0x16b6)]+_0x22b1fe(0x3f5):''});})[_0x3be22e(0x1c4)](function(_0x4aafa4){const _0x3cb670=_0x3be22e;if(_0x4aafa4['data']&&_0x4aafa4['data'][_0x3cb670(0x1a7c)]&&_0x4aafa4[_0x3cb670(0x25c)][_0x3cb670(0x1a7c)][_0x3cb670(0xfd0)]){_0x5e9948[_0x3cb670(0x1a7c)]=_0x4aafa4[_0x3cb670(0x25c)][_0x3cb670(0x1a7c)]||[{'message':_0x4aafa4['toString'](),'type':_0x3cb670(0x12af)}];for(let _0x2e17c4=0x0;_0x2e17c4<_0x4aafa4[_0x3cb670(0x25c)][_0x3cb670(0x1a7c)][_0x3cb670(0xfd0)];_0x2e17c4++){_0x5eba4f[_0x3cb670(0x218e)]({'title':_0x4aafa4['data'][_0x3cb670(0x1a7c)][_0x2e17c4][_0x3cb670(0x66a)],'msg':_0x4aafa4[_0x3cb670(0x25c)][_0x3cb670(0x1a7c)][_0x2e17c4]['message']});}}else _0x5eba4f[_0x3cb670(0x218e)]({'title':_0x4aafa4['status']?_0x3cb670(0xeb9)+_0x4aafa4[_0x3cb670(0x291)]+_0x3cb670(0x1657)+_0x4aafa4[_0x3cb670(0xc22)]:_0x3cb670(0x12af),'msg':_0x4aafa4[_0x3cb670(0x25c)]?JSON['stringify'](_0x4aafa4[_0x3cb670(0x25c)][_0x3cb670(0x155e)]):_0x4aafa4[_0x3cb670(0x155e)]||_0x4aafa4[_0x3cb670(0x147f)]()});});}function _0x260560(){const _0x33ae16=_0x6ec143,_0x132426=angular[_0x33ae16(0x17fe)](_0x5e9948[_0x33ae16(0xd3d)]);return _0x5e9948['selectedQueueCampaigns']=[],_0x132426;}function _0xfa03dc(_0x14c808){const _0x107d34=_0x6ec143,_0x57b8d6=_0x220655[_0x107d34(0x1551)]()['title'](_0x107d34(0x18d9))[_0x107d34(0x49e)](_0x107d34(0x204d)+_0x5e9948['selectedQueueCampaigns']['length']+_0x107d34(0x1d6c)+_0x107d34(0x1b6))[_0x107d34(0x15ad)](_0x107d34(0xbe9))[_0x107d34(0x728)](_0x14c808)['ok']('OK')[_0x107d34(0x696)](_0x107d34(0x24ba));_0x220655['show'](_0x57b8d6)[_0x107d34(0x1cb0)](function(){const _0x532cf9=_0x107d34;_0x5e9948[_0x532cf9(0xd3d)][_0x532cf9(0xf90)](function(_0x2fe944){_0xa3266c(_0x2fe944);}),_0x5e9948['selectedQueueCampaigns']=[];});}function _0x271b68(){const _0x1ee4cc=_0x6ec143;_0x5e9948[_0x1ee4cc(0xd3d)]=[];}function _0xb1df71(){const _0xb01472=_0x6ec143;_0x5e9948[_0xb01472(0xd3d)]=_0x5e9948[_0xb01472(0x1f65)][_0xb01472(0x2214)];}}const _0x222ce3=_0x6d35c4;;_0x363ad3[_0x5537c6(0x15b6)]=[_0x5537c6(0xbd6),_0x5537c6(0x1f2a)];function _0x363ad3(_0x7d554e,_0x4ccecc){const _0x56085d=_0x5537c6;_0x7d554e[_0x56085d(0x27e0)](_0x56085d(0xd64),{'abstract':!![],'url':'/motiondialer'})['state'](_0x56085d(0x2556),{'url':_0x56085d(0x262f),'views':{'content@app':{'templateUrl':_0x3d2c08,'controller':'QueueCampaignsController\x20as\x20vm'}},'resolve':{'queueCampaigns':[_0x56085d(0x1e0b),_0x56085d(0x1774),function(_0x103cd6,_0x4d80a8){const _0x196068=_0x56085d;return _0x4d80a8[_0x196068(0x22b6)](_0x196068(0x1c60))?_0x103cd6[_0x196068(0x19a3)](_0x196068(0x1c4d),{'fields':_0x196068(0x2444),'type':_0x196068(0x895),'sort':_0x196068(0x282),'channel':_0x196068(0x1fd4),'limit':0xa,'offset':0x0}):_0x103cd6['resolve'](_0x196068(0x12da),{'id':_0x4d80a8[_0x196068(0x21e8)]()[_0x196068(0x13c1)],'section':_0x196068(0x1e28),'fields':'createdAt,updatedAt,id,name,dialActive,TrunkId,TrunkBackupId,type,IntervalId,dialCheckDuplicateType,dialCutDigit,acw,acwTimeout,autopause,ringinuse,memberdelay,timeoutrestart,monitor_format,context,setinterfacevar,setqueuevar,setqueueentryvar,dialOrderByScheduledAt,dialRecallMeTimeout,dialRecallInQueue,dialGlobalInterval,dialTimezone,dialAMDActive,dialAMDInitialSilence,dialAMDGreeting,dialAMDAfterGreetingSilence,dialAMDTotalAnalysisTime,dialAMDMinWordLength,dialAMDBetweenWordsSilence,dialAMDMaximumNumberOfWords,dialAMDSilenceThreshold,dialAMDMaximumWordLength,dialMethod,dialPowerLevel,dialPredictiveOptimization,dialPredictiveOptimizationPercentage,dialPredictiveInterval,dialLimitQueue,dialOriginateCallerIdName,dialOriginateCallerIdNumber,dialRandomLastDigitCallerIdNumber,dialOriginateTimeout,dialPrefix,dialQueueOptions,dialQueueTimeout,strategy,timeout,retry,wrapuptime,weight,musiconhold,dialQueueProject,dialQueueProject2,dialAgiAfterHangupAgent,dialGlobalMaxRetry,dialCongestionMaxRetry,dialCongestionRetryFrequency,dialBusyMaxRetry,dialBusyRetryFrequency,dialNoAnswerMaxRetry,dialNoAnswerRetryFrequency,dialNoSuchNumberMaxRetry,dialNoSuchNumberRetryFrequency,dialDropMaxRetry,dialDropRetryFrequency,dialAbandonedMaxRetry,dialAbandonedRetryFrequency,dialMachineMaxRetry,dialMachineRetryFrequency,dialAgentRejectMaxRetry,dialAgentRejectRetryFrequency,description,mandatoryDisposition,mandatoryDispositionPauseId,dialPredictiveIntervalMaxThreshold,dialPredictiveIntervalMinThreshold','type':_0x196068(0x895),'sort':'-updatedAt','channel':_0x196068(0x1fd4),'limit':0xa,'offset':0x0});}],'userProfile':[_0x56085d(0x1e0b),_0x56085d(0x1774),function(_0xd4d91b,_0x2eb672){const _0x2d8bbf=_0x56085d;return _0x2eb672[_0x2d8bbf(0x22b6)](_0x2d8bbf(0x1c60))?null:_0xd4d91b[_0x2d8bbf(0x19a3)]('userProfile@get',{'fields':_0x2d8bbf(0x279),'id':_0x2eb672[_0x2d8bbf(0x21e8)]()[_0x2d8bbf(0x13c1)]});}],'userProfileSection':['apiResolver',_0x56085d(0x1774),function(_0x4dc635,_0x974d87){const _0x2ccd31=_0x56085d;return _0x974d87[_0x2ccd31(0x22b6)](_0x2ccd31(0x1c60))?null:_0x4dc635['resolve']('userProfileSection@get',{'fields':_0x2ccd31(0x1f5f),'userProfileId':_0x974d87[_0x2ccd31(0x21e8)]()['userProfileId'],'sectionId':0x5dd});}]},'authenticate':!![],'permissionId':0x5dd,'bodyClass':_0x56085d(0x13ac)})[_0x56085d(0x27e0)](_0x56085d(0x1467),{'url':'/:id?tab','params':{'queueCampaign':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x1f4700,'controller':'QueueCampaignController\x20as\x20vm'}},'resolve':{'queueCampaign':[_0x56085d(0x1e0b),_0x56085d(0x225c),function(_0x474cd9,_0xfeecc){const _0x5a0b1d=_0x56085d;return _0x474cd9[_0x5a0b1d(0x19a3)](_0x5a0b1d(0x1c4d),{'fields':'createdAt,updatedAt,id,name,dialActive,TrunkId,TrunkBackupId,type,IntervalId,dialCheckDuplicateType,dialCutDigit,acw,acwTimeout,autopause,ringinuse,memberdelay,timeoutrestart,monitor_format,context,setinterfacevar,setqueuevar,setqueueentryvar,dialOrderByScheduledAt,dialRecallMeTimeout,dialRecallInQueue,dialGlobalInterval,dialTimezone,dialAMDActive,dialAMDInitialSilence,dialAMDGreeting,dialAMDAfterGreetingSilence,dialAMDTotalAnalysisTime,dialAMDMinWordLength,dialAMDBetweenWordsSilence,dialAMDMaximumNumberOfWords,dialAMDSilenceThreshold,dialAMDMaximumWordLength,dialMethod,dialPowerLevel,dialPredictiveOptimization,dialPredictiveOptimizationPercentage,dialPredictiveInterval,dialLimitQueue,dialOriginateCallerIdName,dialOriginateCallerIdNumber,dialRandomLastDigitCallerIdNumber,dialOriginateTimeout,dialPrefix,dialQueueOptions,dialQueueTimeout,strategy,timeout,retry,wrapuptime,weight,musiconhold,dialQueueProject,dialQueueProject2,dialAgiAfterHangupAgent,dialGlobalMaxRetry,dialCongestionMaxRetry,dialCongestionRetryFrequency,dialBusyMaxRetry,dialBusyRetryFrequency,dialNoAnswerMaxRetry,dialNoAnswerRetryFrequency,dialNoSuchNumberMaxRetry,dialNoSuchNumberRetryFrequency,dialDropMaxRetry,dialDropRetryFrequency,dialAbandonedMaxRetry,dialAbandonedRetryFrequency,dialMachineMaxRetry,dialMachineRetryFrequency,dialAgentRejectMaxRetry,dialAgentRejectRetryFrequency,description,mandatoryDisposition,mandatoryDispositionPauseId,dialPredictiveIntervalMaxThreshold,dialPredictiveIntervalMinThreshold','id':_0xfeecc['id']});}],'userProfileSection':[_0x56085d(0x1e0b),_0x56085d(0x1774),function(_0xc96b24,_0xa0b03b){const _0x49ae08=_0x56085d;return _0xc96b24[_0x49ae08(0x19a3)](_0x49ae08(0x2182),{'fields':_0x49ae08(0x1f5f),'userProfileId':_0xa0b03b[_0x49ae08(0x21e8)]()[_0x49ae08(0x13c1)],'sectionId':0x5dd});}]},'authenticate':!![],'permissionId':0x5dd,'bodyClass':_0x56085d(0x13ac)})['state']('app.motiondialer.ivrCampaigns',{'url':_0x56085d(0x2645),'views':{'content@app':{'templateUrl':_0x49fbc1,'controller':_0x56085d(0x20d7)}},'resolve':{'ivrCampaigns':[_0x56085d(0x1e0b),'Auth',function(_0x49c445,_0x330def){const _0x4a4b63=_0x56085d;return _0x330def[_0x4a4b63(0x22b6)]('admin')?_0x49c445[_0x4a4b63(0x19a3)](_0x4a4b63(0xb29),{'fields':'createdAt,updatedAt,id,name,active,TrunkId,TrunkBackupId,type,IntervalId,dialCheckDuplicateType,dialCutDigit,SquareProjectId,limitCalls,description,dialOriginateCallerIdName,dialOriginateCallerIdNumber,dialRandomLastDigitCallerIdNumber,dialOriginateTimeout,dialGlobalMaxRetry,dialCongestionMaxRetry,dialCongestionRetryFrequency,dialBusyMaxRetry,dialBusyRetryFrequency,dialNoAnswerMaxRetry,dialNoAnswerRetryFrequency,dialNoSuchNumberMaxRetry,dialNoSuchNumberRetryFrequency,dialDropMaxRetry,dialDropRetryFrequency,dialAbandonedMaxRetry,dialAbandonedRetryFrequency,dialMachineMaxRetry,dialMachineRetryFrequency,dialAgentRejectMaxRetry,dialAgentRejectRetryFrequency,dialOrderByScheduledAt,dialGlobalInterval,dialTimezone,dialPrefix,dialAMDActive,dialAMDInitialSilence,dialAMDGreeting,dialAMDAfterGreetingSilence,dialAMDTotalAnalysisTime,dialAMDMinWordLength,dialAMDBetweenWordsSilence,dialAMDMaximumNumberOfWords,dialAMDSilenceThreshold,dialAMDMaximumWordLength','type':_0x4a4b63(0x242e),'sort':_0x4a4b63(0x282),'limit':0xa,'offset':0x0}):_0x49c445[_0x4a4b63(0x19a3)]('userProfile@getResources',{'id':_0x330def[_0x4a4b63(0x21e8)]()[_0x4a4b63(0x13c1)],'section':_0x4a4b63(0x2525),'fields':'createdAt,updatedAt,id,name,active,TrunkId,TrunkBackupId,type,IntervalId,dialCheckDuplicateType,dialCutDigit,SquareProjectId,limitCalls,description,dialOriginateCallerIdName,dialOriginateCallerIdNumber,dialRandomLastDigitCallerIdNumber,dialOriginateTimeout,dialGlobalMaxRetry,dialCongestionMaxRetry,dialCongestionRetryFrequency,dialBusyMaxRetry,dialBusyRetryFrequency,dialNoAnswerMaxRetry,dialNoAnswerRetryFrequency,dialNoSuchNumberMaxRetry,dialNoSuchNumberRetryFrequency,dialDropMaxRetry,dialDropRetryFrequency,dialAbandonedMaxRetry,dialAbandonedRetryFrequency,dialMachineMaxRetry,dialMachineRetryFrequency,dialAgentRejectMaxRetry,dialAgentRejectRetryFrequency,dialOrderByScheduledAt,dialGlobalInterval,dialTimezone,dialPrefix,dialAMDActive,dialAMDInitialSilence,dialAMDGreeting,dialAMDAfterGreetingSilence,dialAMDTotalAnalysisTime,dialAMDMinWordLength,dialAMDBetweenWordsSilence,dialAMDMaximumNumberOfWords,dialAMDSilenceThreshold,dialAMDMaximumWordLength','type':_0x4a4b63(0x242e),'sort':'-updatedAt','limit':0xa,'offset':0x0});}],'userProfile':['apiResolver',_0x56085d(0x1774),function(_0x3eefbe,_0x206b92){const _0x23961b=_0x56085d;return _0x206b92[_0x23961b(0x22b6)](_0x23961b(0x1c60))?null:_0x3eefbe[_0x23961b(0x19a3)](_0x23961b(0x9ae),{'fields':_0x23961b(0x279),'id':_0x206b92[_0x23961b(0x21e8)]()['userProfileId']});}],'userProfileSection':[_0x56085d(0x1e0b),'Auth',function(_0x45484e,_0xf689d2){const _0x2aaaaf=_0x56085d;return _0xf689d2[_0x2aaaaf(0x22b6)](_0x2aaaaf(0x1c60))?null:_0x45484e['resolve'](_0x2aaaaf(0x2182),{'fields':_0x2aaaaf(0x1f5f),'userProfileId':_0xf689d2[_0x2aaaaf(0x21e8)]()[_0x2aaaaf(0x13c1)],'sectionId':0x5de});}]},'authenticate':!![],'permissionId':0x5de,'bodyClass':_0x56085d(0x13ac)})[_0x56085d(0x27e0)](_0x56085d(0x7cd),{'url':_0x56085d(0x1bf2),'params':{'ivrCampaign':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x5918b0,'controller':_0x56085d(0x2831)}},'resolve':{'ivrCampaign':[_0x56085d(0x1e0b),_0x56085d(0x225c),function(_0x1931d4,_0x25871b){const _0x1fe4d5=_0x56085d;return _0x1931d4[_0x1fe4d5(0x19a3)](_0x1fe4d5(0xb29),{'fields':'createdAt,updatedAt,id,name,active,TrunkId,TrunkBackupId,type,IntervalId,dialCheckDuplicateType,dialCutDigit,SquareProjectId,limitCalls,description,dialOriginateCallerIdName,dialOriginateCallerIdNumber,dialRandomLastDigitCallerIdNumber,dialOriginateTimeout,dialGlobalMaxRetry,dialCongestionMaxRetry,dialCongestionRetryFrequency,dialBusyMaxRetry,dialBusyRetryFrequency,dialNoAnswerMaxRetry,dialNoAnswerRetryFrequency,dialNoSuchNumberMaxRetry,dialNoSuchNumberRetryFrequency,dialDropMaxRetry,dialDropRetryFrequency,dialAbandonedMaxRetry,dialAbandonedRetryFrequency,dialMachineMaxRetry,dialMachineRetryFrequency,dialAgentRejectMaxRetry,dialAgentRejectRetryFrequency,dialOrderByScheduledAt,dialGlobalInterval,dialTimezone,dialPrefix,dialAMDActive,dialAMDInitialSilence,dialAMDGreeting,dialAMDAfterGreetingSilence,dialAMDTotalAnalysisTime,dialAMDMinWordLength,dialAMDBetweenWordsSilence,dialAMDMaximumNumberOfWords,dialAMDSilenceThreshold,dialAMDMaximumWordLength','id':_0x25871b['id']});}],'userProfileSection':[_0x56085d(0x1e0b),'Auth',function(_0x156452,_0x28cd4d){const _0xbf4eb5=_0x56085d;return _0x156452[_0xbf4eb5(0x19a3)]('userProfileSection@get',{'fields':_0xbf4eb5(0x1f5f),'userProfileId':_0x28cd4d[_0xbf4eb5(0x21e8)]()[_0xbf4eb5(0x13c1)],'sectionId':0x5de});}]},'authenticate':!![],'permissionId':0x5de,'bodyClass':_0x56085d(0x13ac)}),_0x4ccecc[_0x56085d(0x4e7)](_0x56085d(0xc94));}angular['module']('app.motiondialer',[_0x5537c6(0x2770),_0x5537c6(0x2135),_0x5537c6(0x1260),_0x5537c6(0xacf),_0x5537c6(0x18f6),'ckeditor',_0x5537c6(0x44c),_0x5537c6(0x2ec),_0x5537c6(0x1890),_0x5537c6(0x167d),'mwFormBuilder',_0x5537c6(0x1b65),'mwFormUtils',_0x5537c6(0x3b9),_0x5537c6(0x7c9),_0x5537c6(0xeb5),_0x5537c6(0x27af),_0x5537c6(0x13b6),_0x5537c6(0x1cbd),'angular.filter',_0x5537c6(0x1239)])[_0x5537c6(0xa60)](_0x363ad3)[_0x5537c6(0x6e5)](_0x5537c6(0x1f29),_0x3d7546)[_0x5537c6(0x6e5)](_0x5537c6(0xc3b),_0x4b65bb)['controller'](_0x5537c6(0x1c3d),_0x1beecc)[_0x5537c6(0x6e5)](_0x5537c6(0x23bf),_0x3062e4)[_0x5537c6(0x6e5)]('IvrCampaignHopperFinalsController',_0x5bf115)[_0x5537c6(0x6e5)](_0x5537c6(0x460),_0x42ebfb)[_0x5537c6(0x6e5)](_0x5537c6(0x2697),_0x3480ac)['controller'](_0x5537c6(0x1601),_0x3172fe)[_0x5537c6(0x6e5)](_0x5537c6(0x7f5),_0x4c5708)[_0x5537c6(0x6e5)](_0x5537c6(0x1c8c),_0x14a5e8)[_0x5537c6(0x6e5)](_0x5537c6(0x1013),_0x3c6c9f)[_0x5537c6(0x6e5)](_0x5537c6(0x135c),_0x367180)[_0x5537c6(0x6e5)]('IvrCampaignsController',_0x37a751)[_0x5537c6(0x6e5)](_0x5537c6(0x1c3),_0x28804f)['controller'](_0x5537c6(0xc10),_0xabd899)[_0x5537c6(0x6e5)]('QueueCampaignblacklistaddController',_0x2c064b)['controller'](_0x5537c6(0x8e6),_0x466df6)[_0x5537c6(0x6e5)](_0x5537c6(0x1cbe),_0x2ca263)[_0x5537c6(0x6e5)](_0x5537c6(0x1b64),_0x349af5)[_0x5537c6(0x6e5)]('QueueCampaignHopperHistoriesController',_0x3daa54)[_0x5537c6(0x6e5)](_0x5537c6(0x10c8),_0x6f7acc)[_0x5537c6(0x6e5)](_0x5537c6(0x1acc),_0x43f365)[_0x5537c6(0x6e5)](_0x5537c6(0x25b5),_0xa1b039)[_0x5537c6(0x6e5)](_0x5537c6(0x9b6),_0x345fdb)[_0x5537c6(0x6e5)]('QueueCampaignresetlistController',_0x2c29ef)['controller'](_0x5537c6(0x2884),_0x459587)[_0x5537c6(0x6e5)]('QueueCampaignController',_0x5aa7a4)[_0x5537c6(0x6e5)](_0x5537c6(0x1a12),_0x222ce3);;const _0x5e93e7=_0x5074a3['p']+_0x5537c6(0x23c2);;_0x294e8b[_0x5537c6(0x15b6)]=[_0x5537c6(0x28c7),_0x5537c6(0x8a5),_0x5537c6(0x142b)];function _0x35aae4(_0x8c4464){const _0x34d075=_0x5537c6,_0x381748=_0x543b5a()(_0x8c4464);return _0x381748[_0x34d075(0x1a4f)]()?_0x381748[_0x34d075(0x1f31)](_0x34d075(0x12ec)):'';}function _0x294e8b(_0x13d58a,_0x4519a0,_0x38cf8e){const _0x33b1dc=_0x5537c6,_0x14b94b=this;_0x14b94b[_0x33b1dc(0x29ae)]=_0x38cf8e[_0x33b1dc(0x29ae)],_0x14b94b[_0x33b1dc(0x28c7)]={..._0x13d58a,'buildDate':_0x35aae4(_0x13d58a['buildDate']),'commitDate':_0x35aae4(_0x13d58a[_0x33b1dc(0x1ab)]),'client':{'name':_0x33b1dc(0x18c6),'version':_0x33b1dc(0xee4),'shortHash':_0x33b1dc(0x1c78),'branch':_0x33b1dc(0x344),'tag':'','committedOn':_0x33b1dc(0xe3d),'buildDate':_0x33b1dc(0x1f81)}},_0x14b94b['license']=_0x4519a0;}const _0x2c0dc4=_0x294e8b;;_0x1ca2c4[_0x5537c6(0x15b6)]=[_0x5537c6(0xbd6)];function _0x1ca2c4(_0x4762a7){const _0x42945=_0x5537c6;_0x4762a7[_0x42945(0x27e0)]('app.help.about',{'url':_0x42945(0xc31),'views':{'content@app':{'templateUrl':_0x5e93e7,'controller':_0x42945(0x3d9)}},'resolve':{'info':[_0x42945(0x1e0b),function(_0x215dc6){const _0x1dff4d=_0x42945;return _0x215dc6['resolve'](_0x1dff4d(0x21ea));}]},'authenticate':!![],'permissionId':0x640,'bodyClass':'help'});}angular[_0x5537c6(0x9ab)](_0x5537c6(0x19a1),[])[_0x5537c6(0xa60)](_0x1ca2c4)[_0x5537c6(0x6e5)](_0x5537c6(0xdc5),_0x2c0dc4);;_0x91f8fe[_0x5537c6(0x15b6)]=['$stateProvider',_0x5537c6(0x1f2a)];function _0x91f8fe(_0x51c141,_0x30572a){const _0x4165aa=_0x5537c6;_0x51c141[_0x4165aa(0x27e0)](_0x4165aa(0x82b),{'abstract':!![],'url':_0x4165aa(0x1dbf)}),_0x30572a[_0x4165aa(0x4e7)](_0x4165aa(0xedd));}angular[_0x5537c6(0x9ab)]('app.help',[_0x5537c6(0x2770),_0x5537c6(0x2135),_0x5537c6(0x1260),_0x5537c6(0xacf),_0x5537c6(0x18f6),_0x5537c6(0xd19),_0x5537c6(0x44c),_0x5537c6(0x2ec),_0x5537c6(0x1890),_0x5537c6(0x167d),_0x5537c6(0x208f),_0x5537c6(0x1b65),_0x5537c6(0x2166),_0x5537c6(0x3b9),'angular-cron-jobs',_0x5537c6(0xeb5),_0x5537c6(0x27af),'material.components.expansionPanels','chart.js',_0x5537c6(0xd01),_0x5537c6(0x19a1)])['config'](_0x91f8fe);;const _0x24521d=_0x5074a3['p']+_0x5537c6(0x2268);;const _0x678024=_0x5074a3['p']+_0x5537c6(0x4d5);;_0x38acd3[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$state','$location',_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x2981),_0x5537c6(0x12a7),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting',_0x5537c6(0x1b1a)];function _0x38acd3(_0x1c06e3,_0x2dbbd3,_0x3ba929,_0x538460,_0x2f5dde,_0x4920da,_0x394e85,_0x552858,_0x3829e4,_0x14e5b4,_0x26bba5,_0x2c3d8b,_0x5b2eff,_0x4616c2){const _0x3e23aa=_0x5537c6,_0x403ca7=this;_0x403ca7[_0x3e23aa(0xe76)]=_0x26bba5[_0x3e23aa(0x21e8)](),_0x403ca7[_0x3e23aa(0x1a7c)]=[],_0x403ca7[_0x3e23aa(0x9ca)]=_0x5b2eff,_0x403ca7[_0x3e23aa(0x8a5)]=_0x2c3d8b,_0x403ca7[_0x3e23aa(0x1b1a)]=_0x4616c2,_0x403ca7[_0x3e23aa(0xf4c)]={},_0x403ca7[_0x3e23aa(0x1b0c)]=_0x403ca7[_0x3e23aa(0x9ca)]&&_0x403ca7[_0x3e23aa(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x403ca7[_0x3e23aa(0x1386)]='JSCRIPTY.EDIT_PROJECT',_0x403ca7[_0x3e23aa(0x12a7)]=angular['copy'](_0x3829e4),_0x403ca7[_0x3e23aa(0x2981)]=_0x552858,_0x403ca7[_0x3e23aa(0xdc9)]=![];!_0x403ca7['project']&&(_0x403ca7[_0x3e23aa(0x12a7)]={'enableUncompleteSave':!![],'sendUnpauseOnSubmit':!![]},_0x403ca7[_0x3e23aa(0x1386)]=_0x3e23aa(0x21b),_0x403ca7[_0x3e23aa(0xdc9)]=!![]);_0x403ca7[_0x3e23aa(0xd7c)]=_0x5e77fd,_0x403ca7[_0x3e23aa(0xeb3)]=_0x2ef32b,_0x403ca7[_0x3e23aa(0x1e66)]=_0x256fed,_0x403ca7[_0x3e23aa(0x2c4)]=_0x1e33ff,_0x403ca7['closeDialog']=_0x4b7883;function _0x5e77fd(){const _0x426199=_0x3e23aa;_0x403ca7[_0x426199(0x1a7c)]=[],_0x14e5b4['jscriptyProject'][_0x426199(0x1c3f)](_0x403ca7['project'])['$promise'][_0x426199(0x1cb0)](function(_0x4c67da){const _0x5404d6=_0x426199;_0x403ca7['projects'][_0x5404d6(0xf63)](_0x4c67da[_0x5404d6(0x19b2)]()),_0x394e85[_0x5404d6(0x829)]({'title':_0x5404d6(0xc28),'msg':_0x403ca7[_0x5404d6(0x12a7)]['name']?_0x403ca7[_0x5404d6(0x12a7)][_0x5404d6(0x16b6)]+_0x5404d6(0x470):''}),_0x4b7883(_0x4c67da);})[_0x426199(0x1c4)](function(_0xb851f3){const _0x12999d=_0x426199;if(_0xb851f3[_0x12999d(0x25c)]&&_0xb851f3[_0x12999d(0x25c)][_0x12999d(0x1a7c)]&&_0xb851f3['data'][_0x12999d(0x1a7c)][_0x12999d(0xfd0)]){_0x403ca7[_0x12999d(0x1a7c)]=_0xb851f3[_0x12999d(0x25c)]['errors']||[{'message':_0xb851f3[_0x12999d(0x147f)](),'type':_0x12999d(0x64d)}];for(let _0x1701e5=0x0;_0x1701e5<_0xb851f3['data']['errors']['length'];_0x1701e5+=0x1){_0x394e85[_0x12999d(0x218e)]({'title':_0xb851f3[_0x12999d(0x25c)][_0x12999d(0x1a7c)][_0x1701e5][_0x12999d(0x66a)],'msg':_0xb851f3[_0x12999d(0x25c)][_0x12999d(0x1a7c)][_0x1701e5][_0x12999d(0x155e)]});}}else _0x394e85['error']({'title':_0xb851f3[_0x12999d(0x291)]?_0x12999d(0xeb9)+_0xb851f3['status']+'\x20-\x20'+_0xb851f3[_0x12999d(0xc22)]:_0x12999d(0x64d),'msg':_0xb851f3['data']?JSON[_0x12999d(0x2701)](_0xb851f3[_0x12999d(0x25c)][_0x12999d(0x155e)]):_0xb851f3[_0x12999d(0x147f)]()});});}function _0x2ef32b(){const _0x4cec6d=_0x3e23aa;_0x403ca7[_0x4cec6d(0x1a7c)]=[],_0x14e5b4[_0x4cec6d(0x1c21)]['update']({'id':_0x403ca7[_0x4cec6d(0x12a7)]['id']},_0x403ca7[_0x4cec6d(0x12a7)])[_0x4cec6d(0x1d77)][_0x4cec6d(0x1cb0)](function(_0x4d49ab){const _0x3503d3=_0x4cec6d,_0x2f49b7=_0x39641b()[_0x3503d3(0x13b4)](_0x403ca7['projects'],{'id':_0x4d49ab['id']});_0x2f49b7&&_0x39641b()['merge'](_0x2f49b7,_0x39641b()['pick'](_0x4d49ab[_0x3503d3(0x19b2)](),_0x39641b()[_0x3503d3(0x1be5)](_0x2f49b7))),_0x394e85[_0x3503d3(0x829)]({'title':'Project\x20properly\x20saved!','msg':_0x403ca7[_0x3503d3(0x12a7)][_0x3503d3(0x16b6)]?_0x403ca7[_0x3503d3(0x12a7)][_0x3503d3(0x16b6)]+_0x3503d3(0xedb):''}),_0x4b7883(_0x4d49ab);})[_0x4cec6d(0x1c4)](function(_0x3fb63a){const _0x507fec=_0x4cec6d;if(_0x3fb63a[_0x507fec(0x25c)]&&_0x3fb63a[_0x507fec(0x25c)][_0x507fec(0x1a7c)]&&_0x3fb63a['data'][_0x507fec(0x1a7c)][_0x507fec(0xfd0)]){_0x403ca7[_0x507fec(0x1a7c)]=_0x3fb63a[_0x507fec(0x25c)]['errors']||[{'message':_0x3fb63a[_0x507fec(0x147f)](),'type':'api.jscriptyProject.update'}];for(let _0x248002=0x0;_0x248002<_0x3fb63a[_0x507fec(0x25c)][_0x507fec(0x1a7c)][_0x507fec(0xfd0)];_0x248002++){_0x394e85[_0x507fec(0x218e)]({'title':_0x3fb63a[_0x507fec(0x25c)][_0x507fec(0x1a7c)][_0x248002]['type'],'msg':_0x3fb63a[_0x507fec(0x25c)][_0x507fec(0x1a7c)][_0x248002][_0x507fec(0x155e)]});}}else _0x394e85[_0x507fec(0x218e)]({'title':_0x3fb63a[_0x507fec(0x291)]?_0x507fec(0xeb9)+_0x3fb63a[_0x507fec(0x291)]+_0x507fec(0x1657)+_0x3fb63a[_0x507fec(0xc22)]:'api.jscriptyProject.update','msg':_0x3fb63a[_0x507fec(0x25c)]?JSON[_0x507fec(0x2701)](_0x3fb63a['data'][_0x507fec(0x155e)]):_0x3fb63a[_0x507fec(0x147f)]()});});}function _0x256fed(_0x374200){const _0x543e6b=_0x3e23aa;_0x403ca7[_0x543e6b(0x1a7c)]=[];const _0x1bb3f6=_0x538460[_0x543e6b(0x1551)]()['title'](_0x543e6b(0x1a2e))['content'](_0x543e6b(0x12e0))[_0x543e6b(0x15ad)](_0x543e6b(0x1c03))['ok'](_0x543e6b(0x2594))[_0x543e6b(0x696)](_0x543e6b(0xde1))[_0x543e6b(0x728)](_0x374200);_0x538460['show'](_0x1bb3f6)[_0x543e6b(0x1cb0)](function(){const _0x33b9ed=_0x543e6b;_0x14e5b4['jscriptyProject'][_0x33b9ed(0x111d)]({'id':_0x403ca7[_0x33b9ed(0x12a7)]['id']})['$promise']['then'](function(){const _0x549f08=_0x33b9ed;_0x39641b()['remove'](_0x403ca7[_0x549f08(0x2981)],{'id':_0x403ca7['project']['id']}),_0x394e85['success']({'title':_0x549f08(0x1300),'msg':(_0x403ca7[_0x549f08(0x12a7)][_0x549f08(0x16b6)]||_0x549f08(0x12a7))+_0x549f08(0x3f5)}),_0x4b7883(_0x403ca7['project']);})['catch'](function(_0x3270cc){const _0x4dae4c=_0x33b9ed;if(_0x3270cc[_0x4dae4c(0x25c)]&&_0x3270cc[_0x4dae4c(0x25c)]['errors']&&_0x3270cc[_0x4dae4c(0x25c)][_0x4dae4c(0x1a7c)][_0x4dae4c(0xfd0)]){_0x403ca7[_0x4dae4c(0x1a7c)]=_0x3270cc[_0x4dae4c(0x25c)]['errors']||[{'message':_0x3270cc[_0x4dae4c(0x147f)](),'type':'api.jscriptyProject.delete'}];for(let _0xa49696=0x0;_0xa49696<_0x3270cc[_0x4dae4c(0x25c)][_0x4dae4c(0x1a7c)][_0x4dae4c(0xfd0)];_0xa49696++){_0x394e85[_0x4dae4c(0x218e)]({'title':_0x3270cc[_0x4dae4c(0x25c)][_0x4dae4c(0x1a7c)][_0xa49696][_0x4dae4c(0x66a)],'msg':_0x3270cc['data'][_0x4dae4c(0x1a7c)][_0xa49696][_0x4dae4c(0x155e)]});}}else _0x394e85[_0x4dae4c(0x218e)]({'title':_0x3270cc[_0x4dae4c(0x291)]?_0x4dae4c(0xeb9)+_0x3270cc[_0x4dae4c(0x291)]+_0x4dae4c(0x1657)+_0x3270cc[_0x4dae4c(0xc22)]:_0x4dae4c(0x229d),'msg':_0x3270cc[_0x4dae4c(0x25c)]?JSON[_0x4dae4c(0x2701)](_0x3270cc[_0x4dae4c(0x25c)][_0x4dae4c(0x155e)]):_0x3270cc[_0x4dae4c(0x155e)]||_0x3270cc['toString']()});});},function(){});}function _0x1e33ff(_0x5e9848){return _0x5e9848===null?undefined:new Date(_0x5e9848);}function _0x4b7883(_0x267c45){_0x538460['hide'](_0x267c45);}}const _0x5be484=_0x38acd3;;_0xf22590[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$document','$window',_0x5537c6(0x142b),_0x5537c6(0x9bf),'dateFilterLocalizationFactory'];function _0xf22590(_0x39c977,_0x210c23,_0x57c0cd,_0x3b5626,_0x47732f,_0x2c3865){const _0x54c1a4=_0x5537c6,_0x405c32=this;_0x405c32[_0x54c1a4(0x12a7)]={},_0x405c32[_0x54c1a4(0xd31)]=_0x2c3865;const _0x108b34=new Date();_0x108b34['setHours'](0x0,0x0,0x0,0x0),_0x405c32[_0x54c1a4(0x1fb2)]={'dateStart':new Date(_0x108b34[_0x54c1a4(0x2516)](),_0x108b34[_0x54c1a4(0x1c4c)](),0x1),'dateEnd':new Date(_0x108b34[_0x54c1a4(0x2516)](),_0x108b34[_0x54c1a4(0x1c4c)]()+0x1,0x0),'selectedTemplate':'TM'},_0x405c32[_0x54c1a4(0x1a8e)]=_0x1217b2,_0x405c32['getSummary']=_0x5e65a9,_0x405c32[_0x54c1a4(0x1ec5)]=_0x24f8ae;function _0x24f8ae(){const _0x426de9=_0x54c1a4;return _0x405c32[_0x426de9(0x2860)]=![],_0x3b5626[_0x426de9(0x1c21)][_0x426de9(0x1ec5)]({'id':_0x405c32['project']['id'],'sort':_0x426de9(0x537),'createdAt':{'$gte':_0x543b5a()(_0x405c32['pickerModel'][_0x426de9(0x5fc)])[_0x426de9(0x17a1)](0x0,_0x426de9(0x1de0))[_0x426de9(0x17a1)](0x0,_0x426de9(0x22d))['add'](0x0,'seconds')[_0x426de9(0x17d9)](0x0,!![])['format'](),'$lte':_0x543b5a()(_0x405c32['pickerModel'][_0x426de9(0x5a8)])[_0x426de9(0x17a1)](0x17,_0x426de9(0x1de0))[_0x426de9(0x17a1)](0x3b,_0x426de9(0x22d))[_0x426de9(0x17a1)](0x3b,_0x426de9(0x479))[_0x426de9(0x17d9)](0x0,!![])[_0x426de9(0x1f31)]()},'nolimit':!![]})[_0x426de9(0x1d77)][_0x426de9(0x1cb0)](function(_0x493cea){const _0x36f164=_0x426de9;if(_0x493cea&&_0x493cea[_0x36f164(0x2214)]&&typeof _0x493cea[_0x36f164(0x184d)]!=='undefined'){_0x405c32['answers']={};for(let _0x5d989f=0x0,_0x17c717=_0x493cea['count'];_0x5d989f<_0x17c717;_0x5d989f++){_0x493cea['rows'][_0x5d989f][_0x36f164(0x2438)]&&(_0x405c32[_0x36f164(0x2860)]=!![],typeof _0x405c32[_0x36f164(0x2814)][_0x493cea[_0x36f164(0x2214)][_0x5d989f][_0x36f164(0x2438)]]==='undefined'&&(_0x405c32[_0x36f164(0x2814)][_0x493cea['rows'][_0x5d989f][_0x36f164(0x2438)]]={'question':_0x493cea[_0x36f164(0x2214)][_0x5d989f][_0x36f164(0x2823)],'answers':{},'sum':0x0}),_0x493cea[_0x36f164(0x2214)][_0x5d989f][_0x36f164(0x24d5)]===''&&(_0x493cea['rows'][_0x5d989f]['answer']=_0x36f164(0x21ce)),_0x405c32[_0x36f164(0x2814)][_0x493cea[_0x36f164(0x2214)][_0x5d989f][_0x36f164(0x2438)]][_0x36f164(0x2814)][_0x493cea['rows'][_0x5d989f][_0x36f164(0x24d5)]]?_0x405c32[_0x36f164(0x2814)][_0x493cea[_0x36f164(0x2214)][_0x5d989f][_0x36f164(0x2438)]][_0x36f164(0x2814)][_0x493cea[_0x36f164(0x2214)][_0x5d989f][_0x36f164(0x24d5)]]+=0x1:_0x405c32[_0x36f164(0x2814)][_0x493cea[_0x36f164(0x2214)][_0x5d989f][_0x36f164(0x2438)]][_0x36f164(0x2814)][_0x493cea[_0x36f164(0x2214)][_0x5d989f][_0x36f164(0x24d5)]]=0x1,_0x405c32['answers'][_0x493cea[_0x36f164(0x2214)][_0x5d989f][_0x36f164(0x2438)]][_0x36f164(0x1e23)]+=0x1);}}})['catch'](function(_0x4d766c){const _0x1a7d53=_0x426de9;_0x47732f['error']({'title':_0x4d766c[_0x1a7d53(0x291)]?_0x1a7d53(0xeb9)+_0x4d766c[_0x1a7d53(0x291)]+'\x20-\x20'+_0x4d766c[_0x1a7d53(0xc22)]:_0x1a7d53(0x9f6),'msg':_0x4d766c[_0x1a7d53(0x25c)]?JSON[_0x1a7d53(0x2701)](_0x4d766c['data']):_0x4d766c[_0x1a7d53(0x147f)]()});});}function _0x1217b2(_0x1382f2){const _0x3493e5=_0x54c1a4;_0x405c32[_0x3493e5(0x12a7)]=_0x1382f2,_0x405c32[_0x3493e5(0x1ec5)]();}function _0x5e65a9(){const _0x149586=_0x54c1a4;return _0x3b5626[_0x149586(0x1c21)][_0x149586(0x14d3)]({'id':_0x405c32['project']['id'],'startTime':{'$gte':_0x405c32['pickerModel'][_0x149586(0x5fc)],'$lte':_0x543b5a()(_0x405c32[_0x149586(0x1fb2)][_0x149586(0x5a8)])[_0x149586(0x17a1)](0x17,_0x149586(0x1de0))['add'](0x3b,_0x149586(0x22d))['add'](0x3b,'seconds')[_0x149586(0x1f31)]()},'nolimit':!![]})[_0x149586(0x1d77)][_0x149586(0x1cb0)](function(_0x380622){const _0x1c96e4=_0x149586;if(typeof _0x380622[_0x1c96e4(0xfd0)]!==_0x1c96e4(0x16b5)){const _0x37ac2b=[_0x380622[_0x1c96e4(0xef0)]],_0x2c1f29=new Blob(_0x37ac2b,{'type':_0x380622[_0x1c96e4(0x66a)]}),_0x593855=window[_0x1c96e4(0x1db8)][_0x1c96e4(0x8c6)]('a');_0x593855[_0x1c96e4(0x23b9)](_0x1c96e4(0x105b),URL[_0x1c96e4(0x2247)](_0x2c1f29)),_0x593855[_0x1c96e4(0x23b9)](_0x1c96e4(0x26ec),'jscriptyProjectSummary_'+_0x405c32[_0x1c96e4(0x12a7)]['id']+_0x1c96e4(0x285c)),_0x593855[_0x1c96e4(0x20b8)]();}else _0x47732f[_0x1c96e4(0x28c7)]({'title':_0x1c96e4(0x19f0),'msg':_0x1c96e4(0x608)});})[_0x149586(0x1c4)](function(_0x53f002){const _0x50db7d=_0x149586;_0x47732f[_0x50db7d(0x218e)]({'title':_0x53f002[_0x50db7d(0x291)]?_0x50db7d(0xeb9)+_0x53f002[_0x50db7d(0x291)]+_0x50db7d(0x1657)+_0x53f002[_0x50db7d(0xc22)]:_0x50db7d(0xf7f),'msg':_0x53f002['data']?JSON['stringify'](_0x53f002['data']):_0x53f002[_0x50db7d(0x147f)]()});});}}const _0x4554e2=_0xf22590;;function _0x12c700(){const _0x589ae7=_0x5537c6,_0x24627b=this;_0x24627b[_0x589ae7(0x12a7)]={},_0x24627b[_0x589ae7(0x1e4d)]={'questionTypes':[_0x589ae7(0x19d3),_0x589ae7(0x2424),_0x589ae7(0x24a5),'checkbox',_0x589ae7(0x220f),'number',_0x589ae7(0x18ec),_0x589ae7(0x161a),_0x589ae7(0x1e19),_0x589ae7(0x124b),_0x589ae7(0x135b)],'elementTypes':[_0x589ae7(0x2823),_0x589ae7(0x3ba)]},_0x24627b[_0x589ae7(0x1a8e)]=_0x47e125,_0x24627b['isJsonString']=_0x813d8d;function _0x813d8d(_0x5f0890){const _0xca55a6=_0x589ae7;if(_0x39641b()['isNil'](_0x5f0890))return![];try{JSON[_0xca55a6(0xefe)](_0x5f0890);}catch(_0x3e5f2c){return![];}return!![];}function _0x47e125(_0x5560bd){const _0x20df91=_0x589ae7;_0x24627b[_0x20df91(0x12a7)]=_0x5560bd;if(_0x39641b()['isObjectLike'](_0x5560bd[_0x20df91(0x1b8c)]))_0x24627b['project']['formData']=_0x5560bd['formData'];else _0x24627b[_0x20df91(0xe62)](_0x5560bd[_0x20df91(0x1b8c)])?_0x24627b[_0x20df91(0x12a7)][_0x20df91(0x1b8c)]=JSON[_0x20df91(0xefe)](_0x5560bd[_0x20df91(0x1b8c)]):_0x24627b[_0x20df91(0x12a7)][_0x20df91(0x1b8c)]={};}}const _0x2dc363=_0x12c700;;_0x20af71['$inject']=[_0x5537c6(0xcb9),_0x5537c6(0x406),'toasty','api',_0x5537c6(0x12a7),'projects','$translate'];function _0x20af71(_0x5f2d9d,_0x426c31,_0x37dc99,_0x478ae5,_0x200cad,_0xfc367a,_0x3eb82d){const _0x1397d6=_0x5537c6,_0x199523=this;_0x199523['newProject']=!![],_0x199523[_0x1397d6(0x12a7)]=angular[_0x1397d6(0x17fe)](_0x200cad),_0x199523[_0x1397d6(0x2981)]=_0xfc367a,_0x199523[_0x1397d6(0x1386)]=_0x3eb82d[_0x1397d6(0x25cc)](_0x1397d6(0xb6e));_0x200cad&&_0x200cad[_0x1397d6(0x16b6)]&&(_0x199523[_0x1397d6(0x1386)]+=':\x20'+_0x200cad[_0x1397d6(0x16b6)]);_0x199523[_0x1397d6(0x2054)]=_0x11f262,_0x199523['closeDialog']=_0x257198;function _0x11f262(){const _0x4c8e66=_0x1397d6;return _0x478ae5['jscriptyProject'][_0x4c8e66(0x11bc)]({'id':_0x200cad['id']},_0x199523[_0x4c8e66(0x12a7)])[_0x4c8e66(0x1d77)][_0x4c8e66(0x1cb0)](function(_0x44bbe2){const _0x34fbd9=_0x4c8e66;_0x199523[_0x34fbd9(0x2981)]['unshift'](_0x44bbe2[_0x34fbd9(0x19b2)]()),_0x37dc99[_0x34fbd9(0x829)]({'title':_0x34fbd9(0x1793),'msg':_0x199523[_0x34fbd9(0x12a7)][_0x34fbd9(0x16b6)]?_0x199523[_0x34fbd9(0x12a7)][_0x34fbd9(0x16b6)]+'\x20has\x20been\x20cloned!':''}),_0x426c31['go'](_0x34fbd9(0x16f4),{'id':_0x44bbe2['id']}),_0x257198(_0x44bbe2);})['catch'](function(_0xa2d260){const _0x3161d2=_0x4c8e66;if(_0xa2d260[_0x3161d2(0x25c)]&&_0xa2d260[_0x3161d2(0x25c)][_0x3161d2(0x1a7c)]&&_0xa2d260['data'][_0x3161d2(0x1a7c)]['length']){_0x199523[_0x3161d2(0x1a7c)]=_0xa2d260[_0x3161d2(0x25c)][_0x3161d2(0x1a7c)]||[{'message':_0xa2d260['toString'](),'type':_0x3161d2(0x848)}];for(let _0x1fdcb4=0x0;_0x1fdcb4<_0xa2d260['data'][_0x3161d2(0x1a7c)][_0x3161d2(0xfd0)];_0x1fdcb4+=0x1){_0x37dc99[_0x3161d2(0x218e)]({'title':_0xa2d260['data'][_0x3161d2(0x1a7c)][_0x1fdcb4]['type'],'msg':_0xa2d260[_0x3161d2(0x25c)][_0x3161d2(0x1a7c)][_0x1fdcb4]['message']});}}else _0x37dc99['error']({'title':_0xa2d260[_0x3161d2(0x291)]?_0x3161d2(0xeb9)+_0xa2d260[_0x3161d2(0x291)]+_0x3161d2(0x1657)+_0xa2d260[_0x3161d2(0xc22)]:'api.jscriptyProject.clone','msg':_0xa2d260[_0x3161d2(0x25c)]?JSON['stringify'](_0xa2d260['data'][_0x3161d2(0x155e)]):_0xa2d260[_0x3161d2(0x147f)]()});});}function _0x257198(_0x29c581){const _0x343185=_0x1397d6;_0x5f2d9d[_0x343185(0x1426)](_0x29c581);}}const _0x4b45d4=_0x20af71;;_0x24d7e5['$inject']=['$q',_0x5537c6(0xcb9),_0x5537c6(0x1463)];function _0x24d7e5(_0xe9f6f6,_0x181ebf,_0x376bc5){const _0x80e3b5=_0x5537c6,_0x258cd4=this;_0x258cd4[_0x80e3b5(0x12a7)]={},_0x258cd4[_0x80e3b5(0x1ea1)]={},_0x258cd4[_0x80e3b5(0xf4e)]={},_0x258cd4[_0x80e3b5(0x1a8e)]=_0xe505d9,_0x258cd4[_0x80e3b5(0x1160)]=_0x301783,_0x258cd4[_0x80e3b5(0x2130)]=_0x482eab;function _0xe505d9(_0x2162cc){const _0x3a825b=_0x80e3b5;_0x258cd4[_0x3a825b(0x12a7)]=_0x2162cc,_0x376bc5[_0x3a825b(0x1d6)](_0x3a825b(0x2748),function(_0x21609f,_0x3063e0){const _0x370494=_0x3a825b;if(_0x3063e0[_0x370494(0x46a)]&&_0x258cd4[_0x370494(0x12a7)][_0x370494(0x1b8c)]&&_0x258cd4[_0x370494(0x12a7)][_0x370494(0x1b8c)][_0x370494(0x1064)])for(let _0x1a82db=0x0,_0x2a21ff=_0x258cd4[_0x370494(0x12a7)][_0x370494(0x1b8c)]['pages'][_0x370494(0xfd0)];_0x1a82db<_0x2a21ff;_0x1a82db++){if(_0x3063e0[_0x370494(0x46a)]['number']<_0x1a82db+0x1&&_0x258cd4[_0x370494(0x12a7)]['formData'][_0x370494(0x1064)][_0x1a82db][_0x370494(0x263)])for(let _0x49210b=0x0,_0x7f060f=_0x258cd4[_0x370494(0x12a7)][_0x370494(0x1b8c)]['pages'][_0x1a82db]['elements'][_0x370494(0xfd0)];_0x49210b<_0x7f060f;_0x49210b++){_0x258cd4[_0x370494(0x12a7)]['formData'][_0x370494(0x1064)][_0x1a82db][_0x370494(0x263)][_0x49210b][_0x370494(0x66a)]===_0x370494(0x2823)&&_0x258cd4['project'][_0x370494(0x1b8c)]['pages'][_0x1a82db]['elements'][_0x49210b][_0x370494(0x2823)]&&delete _0x258cd4[_0x370494(0x1ea1)][_0x258cd4[_0x370494(0x12a7)][_0x370494(0x1b8c)]['pages'][_0x1a82db][_0x370494(0x263)][_0x49210b]['question']['id']];}}});}function _0x301783(_0x49be98){const _0x41d681=_0x80e3b5,_0x31d879=_0xe9f6f6[_0x41d681(0x11f4)](),_0x30100f=_0x181ebf[_0x41d681(0x1551)]()[_0x41d681(0x1386)](_0x41d681(0x1542))[_0x41d681(0x1152)]('')[_0x41d681(0x15ad)](_0x41d681(0xbce))['targetEvent'](_0x49be98)['ok'](_0x41d681(0xe6f))['cancel'](_0x41d681(0x24ba));return _0x181ebf[_0x41d681(0xe27)](_0x30100f)[_0x41d681(0x1cb0)](function(){const _0x3501f8=_0x41d681;_0x31d879[_0x3501f8(0x19a3)](!![]);},function(){_0x31d879['reject']();}),_0x31d879[_0x41d681(0x2061)];}function _0x482eab(){const _0x3d25ec=_0x80e3b5;_0x258cd4[_0x3d25ec(0xf4e)][_0x3d25ec(0x28d5)]&&_0x258cd4[_0x3d25ec(0xf4e)][_0x3d25ec(0x28d5)]();}}const _0x1d0cdb=_0x24d7e5;;const _0x195a71=_0x5074a3['p']+_0x5537c6(0x80c);;_0xb73648[_0x5537c6(0x15b6)]=['$cookies','$scope',_0x5537c6(0x406),'$q','$translate',_0x5537c6(0x2168),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x9bf),_0x5537c6(0x142b),'Auth'];function _0xb73648(_0x5c374a,_0x539c32,_0x2fb562,_0x4f9645,_0x491011,_0x3a5d87,_0x3b8d03,_0x52e96d,_0x238996,_0x5ee03d,_0x24a380){const _0x26d5b8=_0x5537c6,_0x8d390=this;_0x8d390[_0x26d5b8(0xe76)]=_0x24a380[_0x26d5b8(0x21e8)](),_0x8d390[_0x26d5b8(0x12a7)]={},_0x8d390[_0x26d5b8(0x10d0)]={'count':0x0,'rows':[]},_0x8d390[_0x26d5b8(0xa70)]=[],_0x8d390[_0x26d5b8(0x1b1a)],_0x8d390[_0x26d5b8(0xae2)]={'fields':_0x26d5b8(0x1702),'sort':_0x26d5b8(0x104c),'limit':0xa,'page':0x1},_0x8d390[_0x26d5b8(0x1a8e)]=_0x36550f,_0x8d390[_0x26d5b8(0xb25)]=_0x4f59d6,_0x8d390[_0x26d5b8(0x829)]=_0x181d94,_0x8d390[_0x26d5b8(0x14c1)]=_0x1f2f69,_0x8d390[_0x26d5b8(0x2c6)]=_0x4d9914,_0x8d390['showQuestionsProjectSession']=_0x103626,_0x8d390[_0x26d5b8(0x2531)]=_0x344969,_0x8d390['deleteProjectSession']=_0x12b642,_0x8d390['deleteSelectedProjectSessions']=_0x20c01a;function _0x36550f(_0x1a6217,_0x401a39){const _0x2e8508=_0x26d5b8;_0x8d390[_0x2e8508(0x12a7)]=_0x1a6217,_0x8d390[_0x2e8508(0x1b1a)]=typeof _0x401a39!==_0x2e8508(0x16b5)?_0x401a39:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x8d390[_0x2e8508(0xae2)]['id']=_0x8d390[_0x2e8508(0x12a7)]['id'],_0x1f2f69();}function _0x4f59d6(_0x20c220,_0xd78202){const _0x151a87=_0x26d5b8,_0x335e75=_0x3b8d03[_0x151a87(0x1551)]()[_0x151a87(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20session?')[_0x151a87(0x49e)](''+(_0x20c220['name']||_0x20c220['id']&&_0x39641b()[_0x151a87(0x277)](_0x151a87(0x18d0))+_0x20c220['id']||_0x151a87(0xb77))+_0x151a87(0x1200)+'\x20will\x20be\x20deleted.')[_0x151a87(0x15ad)](_0x151a87(0xaa3))['targetEvent'](_0xd78202)['ok']('OK')[_0x151a87(0x696)]('CANCEL');_0x3b8d03[_0x151a87(0xe27)](_0x335e75)[_0x151a87(0x1cb0)](function(){_0x12b642(_0x20c220);},function(){const _0x2e16d0=_0x151a87;console[_0x2e16d0(0x1b4f)](_0x2e16d0(0x24ba));});}function _0x181d94(_0x1ce169){const _0x102108=_0x26d5b8;_0x8d390[_0x102108(0x10d0)]=_0x1ce169||{'count':0x0,'rows':[]};}function _0x1f2f69(){const _0x34ec73=_0x26d5b8;_0x8d390[_0x34ec73(0xae2)][_0x34ec73(0x184b)]=(_0x8d390[_0x34ec73(0xae2)]['page']-0x1)*_0x8d390[_0x34ec73(0xae2)]['limit'],_0x8d390[_0x34ec73(0x2061)]=_0x5ee03d['jscriptyProject'][_0x34ec73(0x1ee6)](_0x8d390[_0x34ec73(0xae2)],_0x181d94)[_0x34ec73(0x1d77)];}function _0x4d9914(_0x234361,_0x5dca6b){const _0x289303=_0x26d5b8;_0x3b8d03[_0x289303(0xe27)]({'controller':'CreateOrEditSessionDialogController','controllerAs':'vm','templateUrl':_0x195a71,'parent':angular[_0x289303(0x1853)](_0x52e96d['body']),'targetEvent':_0x234361,'clickOutsideToClose':!![],'locals':{'project':_0x8d390[_0x289303(0x12a7)],'session':_0x5dca6b,'sessions':_0x8d390[_0x289303(0x10d0)]['rows'],'license':null,'setting':null,'crudPermissions':_0x8d390[_0x289303(0x1b1a)]}});}function _0x103626(_0x1f76d5,_0x4b83b5){const _0x1f1500=_0x26d5b8;_0x3b8d03[_0x1f1500(0xe27)]({'controller':_0x1f1500(0x16a2),'controllerAs':'vm','templateUrl':_0x195a71,'parent':angular[_0x1f1500(0x1853)](_0x52e96d[_0x1f1500(0x1ed9)]),'targetEvent':_0x1f76d5,'clickOutsideToClose':!![],'resolve':{'questions':[_0x1f1500(0x1e0b),function(_0x1c9046){const _0x51cda7=_0x1f1500;return _0x1c9046['resolve'](_0x51cda7(0x2432),{'fields':_0x51cda7(0x7bc),'sort':'id','id':_0x4b83b5['id']});}]},'locals':{'project':_0x8d390['project'],'session':_0x4b83b5}});}function _0x12b642(_0xd1f851){const _0x4a102e=_0x26d5b8;_0x5ee03d[_0x4a102e(0x425)][_0x4a102e(0x111d)]({'id':_0xd1f851['id']})[_0x4a102e(0x1d77)]['then'](function(){const _0x2a327b=_0x4a102e;_0x39641b()['remove'](_0x8d390[_0x2a327b(0x10d0)][_0x2a327b(0x2214)],{'id':_0xd1f851['id']}),_0x8d390[_0x2a327b(0x10d0)][_0x2a327b(0x184d)]-=0x1,!_0x8d390[_0x2a327b(0x10d0)][_0x2a327b(0x2214)]['length']&&_0x1f2f69(),_0x238996['success']({'title':_0x2a327b(0xee6),'msg':_0xd1f851[_0x2a327b(0x16b6)]?_0xd1f851[_0x2a327b(0x16b6)]+_0x2a327b(0x3f5):''});})[_0x4a102e(0x1c4)](function(_0x3a228e){const _0x553212=_0x4a102e;if(_0x3a228e[_0x553212(0x25c)]&&_0x3a228e[_0x553212(0x25c)]['errors']&&_0x3a228e[_0x553212(0x25c)]['errors'][_0x553212(0xfd0)]){_0x8d390['errors']=_0x3a228e[_0x553212(0x25c)][_0x553212(0x1a7c)]||[{'message':_0x3a228e['toString'](),'type':_0x553212(0x1433)}];for(let _0x127716=0x0;_0x127716<_0x3a228e['data']['errors'][_0x553212(0xfd0)];_0x127716++){_0x238996[_0x553212(0x218e)]({'title':_0x3a228e['data'][_0x553212(0x1a7c)][_0x127716][_0x553212(0x66a)],'msg':_0x3a228e[_0x553212(0x25c)]['errors'][_0x127716]['message']});}}else _0x238996['error']({'title':_0x3a228e['status']?_0x553212(0xeb9)+_0x3a228e['status']+_0x553212(0x1657)+_0x3a228e[_0x553212(0xc22)]:_0x553212(0x1433),'msg':_0x3a228e['data']?JSON[_0x553212(0x2701)](_0x3a228e['data'][_0x553212(0x155e)]):_0x3a228e['message']||_0x3a228e['toString']()});});}function _0x344969(){const _0x2c65f7=_0x26d5b8,_0x496836=angular[_0x2c65f7(0x17fe)](_0x8d390['selectedProjectSessions']);return _0x8d390['selectedProjectSessions']=[],_0x496836;}function _0x20c01a(_0x3bed87){const _0x3f902e=_0x26d5b8,_0x31e493=_0x3b8d03['confirm']()[_0x3f902e(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20sessions?')['htmlContent'](_0x3f902e(0x204d)+_0x8d390[_0x3f902e(0xa70)][_0x3f902e(0xfd0)]+_0x3f902e(0x1d6c)+_0x3f902e(0x1b6))[_0x3f902e(0x15ad)]('delete\x20sessions')[_0x3f902e(0x728)](_0x3bed87)['ok']('OK')[_0x3f902e(0x696)](_0x3f902e(0x24ba));_0x3b8d03[_0x3f902e(0xe27)](_0x31e493)[_0x3f902e(0x1cb0)](function(){const _0x1af73f=_0x3f902e;_0x8d390['selectedProjectSessions'][_0x1af73f(0xf90)](function(_0x297713){_0x12b642(_0x297713);}),_0x8d390[_0x1af73f(0xa70)]=[];});}let _0x357c24=!![],_0x15f397=0x1;_0x539c32[_0x26d5b8(0x614)](_0x26d5b8(0xeb6),function(_0x59611b,_0x8c47d5){const _0x152798=_0x26d5b8;_0x357c24?_0x3a5d87(function(){_0x357c24=![];}):(!_0x8c47d5&&(_0x15f397=_0x8d390[_0x152798(0xae2)][_0x152798(0x1c7b)]),_0x59611b!==_0x8c47d5&&(_0x8d390[_0x152798(0xae2)][_0x152798(0x1c7b)]=0x1),!_0x59611b&&(_0x8d390[_0x152798(0xae2)]['page']=_0x15f397),_0x1f2f69());});}const _0x13f6d1=_0xb73648;;_0x6578d3[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x9bf),_0x5537c6(0xb77),_0x5537c6(0xb84),'project'];function _0x6578d3(_0x342090,_0x331c15,_0x4fa244,_0x5a3ece,_0x4f0656,_0x52ea89,_0x377cea,_0x1cb3e1){const _0x5be8ac=_0x5537c6,_0xc14a1f=this;_0xc14a1f[_0x5be8ac(0x1386)]=_0x5be8ac(0x206c),_0xc14a1f[_0x5be8ac(0xb77)]=_0x52ea89,_0xc14a1f[_0x5be8ac(0xb84)]=_0x377cea,_0xc14a1f[_0x5be8ac(0x12a7)]=_0x1cb3e1;function _0x54cf5d(_0x4a3045){_0x5a3ece['hide'](_0x4a3045);}_0xc14a1f[_0x5be8ac(0xda0)]=_0x54cf5d;}const _0x43fa4c=_0x6578d3;;const _0x121e50=_0x5074a3['p']+_0x5537c6(0x90c);;_0x3f68fa[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),'$location',_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x1ae),'license','setting',_0x5537c6(0x142b),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x12a7),_0x5537c6(0x2199)];function _0x3f68fa(_0xe723cc,_0x537785,_0xdfa66d,_0x261837,_0x1b3164,_0x5abba3,_0x3bf1f0,_0x2573d6,_0x3e0b30,_0x44c24d,_0x67b764,_0x570267){const _0x264514=_0x5537c6,_0x4023ac=this;_0x4023ac['currentUser']=_0x44c24d[_0x264514(0x21e8)](),_0x4023ac[_0x264514(0x8a5)]=_0x5abba3,_0x4023ac[_0x264514(0x9ca)]=_0x3bf1f0,_0x4023ac['passwordPattern']=_0x4023ac['setting'][_0x264514(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x4023ac['location']=_0x537785[_0x264514(0x2276)]()+_0x264514(0x138b)+_0x537785[_0x264514(0x17d8)](),_0x4023ac[_0x264514(0x12a7)]=_0x67b764||_0xe723cc['params']['project']||{},_0x4023ac[_0x264514(0x2199)]=_0x570267&&_0x570267['count']==0x1?_0x570267['rows'][0x0]:null,_0x4023ac['crudPermissions']=_0x44c24d['parseCrudPermissions'](_0x4023ac[_0x264514(0x2199)]?_0x4023ac[_0x264514(0x2199)][_0x264514(0x1b1a)]:null),_0x4023ac[_0x264514(0xf4c)]={},_0x4023ac[_0x264514(0x8ec)]=_0xe723cc[_0x264514(0x1dfe)][_0x264514(0x291e)]||0x0,_0x4023ac['clonedialog']=_0x453506,_0x4023ac[_0x264514(0x494)]=_0x3e0b30[_0x264514(0x28c7)],_0x4023ac[_0x264514(0x1fcd)]=_0x1c1e79,_0x4023ac[_0x264514(0xeb3)]=_0x24eec7;function _0x453506(_0x1689e1,_0xcfe587){const _0x2d9c6b=_0x264514;_0xdfa66d[_0x2d9c6b(0xe27)]({'controller':_0x2d9c6b(0xcc0),'controllerAs':'vm','templateUrl':_0x121e50,'parent':angular['element'](_0x261837['body']),'targetEvent':_0xcfe587,'clickOutsideToClose':!![],'locals':{'project':_0x1689e1,'projects':_0x4023ac[_0x2d9c6b(0x2981)]?_0x4023ac['projects'][_0x2d9c6b(0x2214)]:[],'crudPermissions':_0x4023ac[_0x2d9c6b(0x1b1a)]}});}function _0x1c1e79(){const _0x45a864=_0x264514;_0xe723cc['go'](_0x45a864(0xa79),{},{'reload':_0x45a864(0xa79)});}function _0x24eec7(){const _0x56847a=_0x264514;_0x2573d6[_0x56847a(0x1c21)]['update']({'id':_0x4023ac[_0x56847a(0x12a7)]['id']},_0x4023ac[_0x56847a(0x12a7)])['$promise'][_0x56847a(0x1cb0)](function(){const _0x276c6d=_0x56847a;_0x3e0b30[_0x276c6d(0x829)]({'title':_0x276c6d(0xc29),'msg':_0x4023ac['project'][_0x276c6d(0x16b6)]?_0x4023ac[_0x276c6d(0x12a7)][_0x276c6d(0x16b6)]+'\x20has\x20been\x20updated!':''});})[_0x56847a(0x1c4)](function(_0x65a0b6){const _0x16f1c7=_0x56847a;_0x3e0b30[_0x16f1c7(0x218e)]({'title':_0x65a0b6[_0x16f1c7(0x291)]?_0x16f1c7(0xeb9)+_0x65a0b6[_0x16f1c7(0x291)]+'\x20-\x20'+_0x65a0b6[_0x16f1c7(0xc22)]:'SYSTEM:GETjscriptyProject','msg':_0x65a0b6[_0x16f1c7(0x25c)]?JSON[_0x16f1c7(0x2701)](_0x65a0b6[_0x16f1c7(0x25c)]):_0x65a0b6[_0x16f1c7(0x147f)]()});});}}const _0x13aea7=_0x3f68fa;;const _0x20276e=_0x5074a3['p']+_0x5537c6(0xa81);;_0x4205de[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),'$document','$timeout','$translate',_0x5537c6(0x2981),_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x142b),_0x5537c6(0xde8),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x4205de(_0x537455,_0x184f87,_0x346e6b,_0x4a1a98,_0x22333f,_0x1d0838,_0x4cdfa7,_0x14ed24,_0x41f8da,_0x2c7f86,_0x215299,_0x4abde1,_0x4a4dd7,_0x1732dd,_0x775a26,_0x3047ab,_0x329cb2){const _0x545589=_0x5537c6,_0x5202a0=this;_0x5202a0[_0x545589(0x8a5)]=_0x3047ab,_0x5202a0[_0x545589(0x9ca)]=_0x329cb2,_0x5202a0['currentUser']=_0x775a26['getCurrentUser'](),_0x5202a0[_0x545589(0x2981)]=_0x41f8da||{'count':0x0,'rows':[]},_0x5202a0['userProfile']=_0x2c7f86,_0x5202a0[_0x545589(0x2199)]=_0x215299&&_0x215299['count']==0x1?_0x215299[_0x545589(0x2214)][0x0]:null,_0x5202a0[_0x545589(0x1b1a)]=_0x775a26[_0x545589(0x14ea)](_0x5202a0['userProfileSection']?_0x5202a0['userProfileSection'][_0x545589(0x1b1a)]:null),_0x5202a0['table']='projects',_0x5202a0[_0x545589(0x1d20)]='',_0x5202a0[_0x545589(0x1cdf)]=null,_0x5202a0[_0x545589(0x166a)]=[],_0x5202a0[_0x545589(0xae2)]={'fields':'createdAt,updatedAt,id,name,enableUncompleteSave,sendUnpauseOnSubmit,description,formData','sort':_0x545589(0x282),'limit':0xa,'page':0x1},_0x5202a0[_0x545589(0x235d)]=_0xd2e1dd,_0x5202a0[_0x545589(0x388)]=_0x4be87d,_0x5202a0['sessionsgoto']=_0x4e5f03,_0x5202a0[_0x545589(0x27fe)]=_0x39b1b3,_0x5202a0['success']=_0x389e1c,_0x5202a0[_0x545589(0x1aff)]=_0x35157f,_0x5202a0['createOrEditProject']=_0x1f0072,_0x5202a0['deleteProject']=_0x3788bf,_0x5202a0['exportSelectedProjects']=_0x353440,_0x5202a0[_0x545589(0x1e78)]=_0x583538,_0x5202a0[_0x545589(0x706)]=_0x418752,_0x5202a0[_0x545589(0x19cd)]=_0x3ee0e3;function _0xd2e1dd(_0x6cc749){const _0x141fe1=_0x545589;_0x346e6b['go']('app.jscripty.projects.edit',{'id':_0x6cc749['id'],'project':_0x6cc749,'crudPermissions':_0x5202a0[_0x141fe1(0x1b1a)]});}function _0x4be87d(_0x46bfb2,_0x3d4150){const _0x2b7711=_0x545589;_0x22333f[_0x2b7711(0xe27)]({'controller':_0x2b7711(0xcc0),'controllerAs':'vm','templateUrl':_0x121e50,'parent':angular[_0x2b7711(0x1853)](_0x1d0838[_0x2b7711(0x1ed9)]),'targetEvent':_0x3d4150,'clickOutsideToClose':!![],'locals':{'project':_0x46bfb2,'projects':_0x5202a0[_0x2b7711(0x2981)]?_0x5202a0['projects'][_0x2b7711(0x2214)]:[],'crudPermissions':_0x5202a0[_0x2b7711(0x1b1a)]}});}function _0x4e5f03(_0x2b350b){const _0xaa5604=_0x545589;_0x346e6b['go'](_0xaa5604(0x16f4),{'id':_0x2b350b['id'],'tab':0x3});}function _0x39b1b3(_0x3a688f,_0x1234e6){const _0x349bb8=_0x545589,_0x33edfa=_0x22333f['confirm']()[_0x349bb8(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20'+_0x39641b()[_0x349bb8(0xa75)](_0x349bb8(0x12a7))+'?')[_0x349bb8(0x49e)](''+(_0x3a688f[_0x349bb8(0x16b6)]||'project')+_0x349bb8(0x1200)+'\x20will\x20be\x20deleted.')[_0x349bb8(0x15ad)](_0x349bb8(0x19d))[_0x349bb8(0x728)](_0x1234e6)['ok']('OK')[_0x349bb8(0x696)](_0x349bb8(0x24ba));_0x22333f[_0x349bb8(0xe27)](_0x33edfa)['then'](function(){_0x3788bf(_0x3a688f);},function(){const _0x33138b=_0x349bb8;console[_0x33138b(0x1b4f)](_0x33138b(0x24ba));});}let _0x4e4d9e=!![],_0x4a8440=0x1;_0x537455[_0x545589(0x614)](_0x545589(0x957),function(_0x493031,_0xddd7c2){const _0x47fcda=_0x545589;_0x4e4d9e?_0x4cdfa7(function(){_0x4e4d9e=![];}):(!_0xddd7c2&&(_0x4a8440=_0x5202a0['query'][_0x47fcda(0x1c7b)]),_0x493031!==_0xddd7c2&&(_0x5202a0[_0x47fcda(0xae2)]['page']=0x1),!_0x493031&&(_0x5202a0[_0x47fcda(0xae2)][_0x47fcda(0x1c7b)]=_0x4a8440),_0x5202a0[_0x47fcda(0x1aff)]());});function _0x389e1c(_0x54a926){const _0x2ac186=_0x545589;_0x5202a0[_0x2ac186(0x2981)]=_0x54a926||{'count':0x0,'rows':[]};}function _0x35157f(){const _0x37c5a8=_0x545589;_0x5202a0['query'][_0x37c5a8(0x184b)]=(_0x5202a0[_0x37c5a8(0xae2)][_0x37c5a8(0x1c7b)]-0x1)*_0x5202a0[_0x37c5a8(0xae2)][_0x37c5a8(0x236)],_0x775a26[_0x37c5a8(0x22b6)](_0x37c5a8(0x1c60))?_0x5202a0[_0x37c5a8(0x2061)]=_0x4abde1['jscriptyProject']['get'](_0x5202a0[_0x37c5a8(0xae2)],_0x389e1c)[_0x37c5a8(0x1d77)]:(_0x5202a0['query']['id']=_0x5202a0['userProfile']['id'],_0x5202a0[_0x37c5a8(0xae2)][_0x37c5a8(0x1f74)]=_0x37c5a8(0x871),_0x5202a0[_0x37c5a8(0x2061)]=_0x4abde1[_0x37c5a8(0x44a)][_0x37c5a8(0x1810)](_0x5202a0[_0x37c5a8(0xae2)],_0x389e1c)['$promise']);}function _0x1f0072(_0x52c883,_0x45378e){const _0x2eba32=_0x545589;_0x22333f[_0x2eba32(0xe27)]({'controller':_0x2eba32(0x1c37),'controllerAs':'vm','templateUrl':_0x20276e,'parent':angular[_0x2eba32(0x1853)](_0x1d0838[_0x2eba32(0x1ed9)]),'targetEvent':_0x52c883,'clickOutsideToClose':!![],'locals':{'project':_0x45378e,'projects':_0x5202a0[_0x2eba32(0x2981)]['rows'],'license':_0x5202a0[_0x2eba32(0x8a5)],'setting':_0x5202a0[_0x2eba32(0x9ca)],'crudPermissions':_0x5202a0[_0x2eba32(0x1b1a)]}});}function _0x3788bf(_0x272698){const _0x1926a6=_0x545589;_0x4abde1[_0x1926a6(0x1c21)]['delete']({'id':_0x272698['id']})[_0x1926a6(0x1d77)]['then'](function(){const _0x2d9a6f=_0x1926a6;_0x39641b()[_0x2d9a6f(0x152a)](_0x5202a0['projects'][_0x2d9a6f(0x2214)],{'id':_0x272698['id']}),_0x5202a0[_0x2d9a6f(0x2981)]['count']-=0x1,!_0x5202a0[_0x2d9a6f(0x2981)][_0x2d9a6f(0x2214)][_0x2d9a6f(0xfd0)]&&_0x5202a0['getProjects'](),_0x1732dd['success']({'title':_0x39641b()[_0x2d9a6f(0xa75)]('Project')+_0x2d9a6f(0x2663),'msg':_0x272698[_0x2d9a6f(0x16b6)]?_0x272698[_0x2d9a6f(0x16b6)]+_0x2d9a6f(0x3f5):''});})['catch'](function(_0x811d83){const _0x2aba94=_0x1926a6;if(_0x811d83['data']&&_0x811d83['data']['errors']&&_0x811d83[_0x2aba94(0x25c)][_0x2aba94(0x1a7c)][_0x2aba94(0xfd0)]){_0x5202a0['errors']=_0x811d83[_0x2aba94(0x25c)][_0x2aba94(0x1a7c)]||[{'message':_0x811d83[_0x2aba94(0x147f)](),'type':_0x2aba94(0x4bf)}];for(let _0x18771e=0x0;_0x18771e<_0x811d83[_0x2aba94(0x25c)][_0x2aba94(0x1a7c)]['length'];_0x18771e++){_0x1732dd[_0x2aba94(0x218e)]({'title':_0x811d83['data']['errors'][_0x18771e][_0x2aba94(0x66a)],'msg':_0x811d83[_0x2aba94(0x25c)][_0x2aba94(0x1a7c)][_0x18771e][_0x2aba94(0x155e)]});}}else _0x1732dd['error']({'title':_0x811d83['status']?_0x2aba94(0xeb9)+_0x811d83[_0x2aba94(0x291)]+_0x2aba94(0x1657)+_0x811d83[_0x2aba94(0xc22)]:_0x2aba94(0x4bf),'msg':_0x811d83[_0x2aba94(0x25c)]?JSON[_0x2aba94(0x2701)](_0x811d83[_0x2aba94(0x25c)][_0x2aba94(0x155e)]):_0x811d83[_0x2aba94(0x155e)]||_0x811d83['toString']()});});}function _0x353440(){const _0xd0d242=_0x545589,_0x4db065=angular['copy'](_0x5202a0[_0xd0d242(0x166a)]);return _0x5202a0['selectedProjects']=[],_0x4db065;}function _0x583538(_0x266f07){const _0x3f8cf6=_0x545589,_0x380485=_0x22333f[_0x3f8cf6(0x1551)]()[_0x3f8cf6(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20projects?')[_0x3f8cf6(0x49e)](''+_0x5202a0['selectedProjects']['length']+_0x3f8cf6(0x1d6c)+_0x3f8cf6(0x1b6))['ariaLabel']('delete\x20Projects')[_0x3f8cf6(0x728)](_0x266f07)['ok']('OK')['cancel'](_0x3f8cf6(0x24ba));_0x22333f['show'](_0x380485)[_0x3f8cf6(0x1cb0)](function(){const _0x75db90=_0x3f8cf6;_0x5202a0['selectedProjects']['forEach'](function(_0x5afcc7){_0x3788bf(_0x5afcc7);}),_0x5202a0[_0x75db90(0x166a)]=[];});}function _0x418752(){const _0x4cad24=_0x545589;_0x5202a0[_0x4cad24(0x166a)]=[];}function _0x3ee0e3(){const _0x5cacd3=_0x545589;_0x5202a0[_0x5cacd3(0x166a)]=_0x5202a0[_0x5cacd3(0x2981)]['rows'];}}const _0x5c5efd=_0x4205de;;_0x2e3c20[_0x5537c6(0x15b6)]=[_0x5537c6(0xbd6),'$translatePartialLoaderProvider'];function _0x2e3c20(_0x46e5e4,_0x54db6c){const _0x1a702d=_0x5537c6;_0x46e5e4[_0x1a702d(0x27e0)](_0x1a702d(0xfca),{'abstract':!![],'url':_0x1a702d(0x12cb)})[_0x1a702d(0x27e0)](_0x1a702d(0xa79),{'url':_0x1a702d(0x286a),'views':{'content@app':{'templateUrl':_0x24521d,'controller':_0x1a702d(0xaec)}},'resolve':{'projects':[_0x1a702d(0x1e0b),_0x1a702d(0x1774),function(_0x1d975a,_0x5a9ca0){const _0x225c51=_0x1a702d;return _0x5a9ca0[_0x225c51(0x22b6)]('admin')?_0x1d975a[_0x225c51(0x19a3)]('jscriptyProject@get',{'fields':_0x225c51(0xbaf),'sort':_0x225c51(0x282),'limit':0xa,'offset':0x0}):_0x1d975a[_0x225c51(0x19a3)](_0x225c51(0x12da),{'id':_0x5a9ca0[_0x225c51(0x21e8)]()[_0x225c51(0x13c1)],'section':_0x225c51(0x871),'fields':'createdAt,updatedAt,id,name,enableUncompleteSave,sendUnpauseOnSubmit,description,formData','sort':_0x225c51(0x282),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver','Auth',function(_0x611ef,_0x124c24){const _0x3ae8d2=_0x1a702d;return _0x124c24[_0x3ae8d2(0x22b6)](_0x3ae8d2(0x1c60))?null:_0x611ef['resolve'](_0x3ae8d2(0x9ae),{'fields':'id,name,crudPermissions','id':_0x124c24[_0x3ae8d2(0x21e8)]()[_0x3ae8d2(0x13c1)]});}],'userProfileSection':['apiResolver',_0x1a702d(0x1774),function(_0x56cb81,_0x33afec){const _0x5da0dd=_0x1a702d;return _0x33afec[_0x5da0dd(0x22b6)](_0x5da0dd(0x1c60))?null:_0x56cb81[_0x5da0dd(0x19a3)](_0x5da0dd(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x33afec[_0x5da0dd(0x21e8)]()[_0x5da0dd(0x13c1)],'sectionId':0x6a5});}]},'authenticate':!![],'permissionId':0x6a5,'bodyClass':_0x1a702d(0x1e98)})['state'](_0x1a702d(0x16f4),{'url':_0x1a702d(0x1bf2),'params':{'project':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x678024,'controller':_0x1a702d(0xa26)}},'resolve':{'project':['apiResolver',_0x1a702d(0x225c),function(_0x4c5821,_0x6f02e8){const _0x4662bf=_0x1a702d;return _0x4c5821['resolve'](_0x4662bf(0x792),{'fields':_0x4662bf(0xbaf),'id':_0x6f02e8['id']});}],'userProfileSection':['apiResolver',_0x1a702d(0x1774),function(_0xeb45d5,_0x23e611){const _0x48e52f=_0x1a702d;return _0xeb45d5[_0x48e52f(0x19a3)]('userProfileSection@get',{'fields':_0x48e52f(0x1f5f),'userProfileId':_0x23e611[_0x48e52f(0x21e8)]()['userProfileId'],'sectionId':0x6a5});}]},'authenticate':!![],'permissionId':0x6a5,'bodyClass':_0x1a702d(0x1e98)}),_0x54db6c[_0x1a702d(0x4e7)](_0x1a702d(0x1f8));}angular['module'](_0x5537c6(0xfca),['ngCsv',_0x5537c6(0x2135),_0x5537c6(0x1260),_0x5537c6(0xacf),'mdColorPicker',_0x5537c6(0xd19),_0x5537c6(0x44c),_0x5537c6(0x2ec),'ngAnimate',_0x5537c6(0x167d),_0x5537c6(0x208f),_0x5537c6(0x1b65),_0x5537c6(0x2166),_0x5537c6(0x3b9),_0x5537c6(0x7c9),_0x5537c6(0xeb5),_0x5537c6(0x27af),_0x5537c6(0x13b6),'chart.js',_0x5537c6(0xd01)])[_0x5537c6(0xa60)](_0x2e3c20)['controller'](_0x5537c6(0x1c37),_0x5be484)[_0x5537c6(0x6e5)](_0x5537c6(0xfa8),_0x4554e2)[_0x5537c6(0x6e5)](_0x5537c6(0x180f),_0x2dc363)[_0x5537c6(0x6e5)]('ProjectcloneController',_0x4b45d4)[_0x5537c6(0x6e5)](_0x5537c6(0x16e7),_0x1d0cdb)[_0x5537c6(0x6e5)](_0x5537c6(0x88f),_0x13f6d1)[_0x5537c6(0x6e5)](_0x5537c6(0x16a2),_0x43fa4c)[_0x5537c6(0x6e5)](_0x5537c6(0x729),_0x13aea7)[_0x5537c6(0x6e5)]('JscriptyProjectsController',_0x5c5efd);;const _0x45dbfa=_0x5074a3['p']+_0x5537c6(0x11c5);;const _0x3b3dcf=_0x5074a3['p']+_0x5537c6(0x16cc);;_0x1e45be[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$state',_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),'plugins',_0x5537c6(0xfd2),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting',_0x5537c6(0x1b1a)];function _0x1e45be(_0xebbc21,_0x38d667,_0xfc220d,_0x4d6ccc,_0x567f24,_0x3b0ddb,_0x15b20c,_0x30df47,_0x158b6c,_0x4a0476,_0x563851,_0x243961,_0x468732,_0x95f8b3){const _0x33fff1=_0x5537c6,_0x5aa366=this;_0x5aa366[_0x33fff1(0xe76)]=_0x563851['getCurrentUser'](),_0x5aa366['errors']=[],_0x5aa366[_0x33fff1(0x9ca)]=_0x468732,_0x5aa366['license']=_0x243961,_0x5aa366[_0x33fff1(0x1b1a)]=_0x95f8b3,_0x5aa366[_0x33fff1(0xf4c)]={},_0x5aa366[_0x33fff1(0x1b0c)]=_0x5aa366[_0x33fff1(0x9ca)]&&_0x5aa366['setting'][_0x33fff1(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x5aa366[_0x33fff1(0x1386)]=_0x33fff1(0x9be),_0x5aa366['plugin']=angular[_0x33fff1(0x17fe)](_0x158b6c),_0x5aa366[_0x33fff1(0x14e0)]=_0x30df47,_0x5aa366[_0x33fff1(0x1222)]=![];!_0x5aa366[_0x33fff1(0xfd2)]&&(_0x5aa366['plugin']={},_0x5aa366[_0x33fff1(0x1386)]=_0x33fff1(0x1659),_0x5aa366[_0x33fff1(0x1222)]=!![]);_0x5aa366[_0x33fff1(0x1739)]=_0x3d7f08,_0x5aa366[_0x33fff1(0x573)]=_0x4013d0,_0x5aa366[_0x33fff1(0x2905)]=_0x36b7c3,_0x5aa366[_0x33fff1(0x2c4)]=_0x550bd5,_0x5aa366[_0x33fff1(0xda0)]=_0x2eaecf;function _0x3d7f08(){const _0x548538=_0x33fff1;_0x5aa366['errors']=[],_0x4a0476[_0x548538(0xfd2)][_0x548538(0x1c3f)](_0x5aa366[_0x548538(0xfd2)])['$promise'][_0x548538(0x1cb0)](function(_0x37f01d){const _0x15e7af=_0x548538;_0x5aa366[_0x15e7af(0x14e0)][_0x15e7af(0xf63)](_0x37f01d['toJSON']()),_0x15b20c[_0x15e7af(0x829)]({'title':'Plugin\x20properly\x20created','msg':_0x5aa366[_0x15e7af(0xfd2)][_0x15e7af(0x16b6)]?_0x5aa366[_0x15e7af(0xfd2)][_0x15e7af(0x16b6)]+_0x15e7af(0x470):''}),_0x2eaecf(_0x37f01d);})[_0x548538(0x1c4)](function(_0x3f64ec){const _0xbe9a9b=_0x548538;if(_0x3f64ec[_0xbe9a9b(0x25c)]&&_0x3f64ec[_0xbe9a9b(0x25c)]['errors']&&_0x3f64ec[_0xbe9a9b(0x25c)][_0xbe9a9b(0x1a7c)][_0xbe9a9b(0xfd0)]){_0x5aa366[_0xbe9a9b(0x1a7c)]=_0x3f64ec[_0xbe9a9b(0x25c)][_0xbe9a9b(0x1a7c)]||[{'message':_0x3f64ec[_0xbe9a9b(0x147f)](),'type':'api.plugin.save'}];for(let _0x54271a=0x0;_0x54271a<_0x3f64ec[_0xbe9a9b(0x25c)][_0xbe9a9b(0x1a7c)]['length'];_0x54271a+=0x1){_0x15b20c[_0xbe9a9b(0x218e)]({'title':_0x3f64ec['data']['errors'][_0x54271a]['type'],'msg':_0x3f64ec[_0xbe9a9b(0x25c)][_0xbe9a9b(0x1a7c)][_0x54271a][_0xbe9a9b(0x155e)]});}}else _0x15b20c['error']({'title':_0x3f64ec[_0xbe9a9b(0x291)]?_0xbe9a9b(0xeb9)+_0x3f64ec['status']+_0xbe9a9b(0x1657)+_0x3f64ec['statusText']:_0xbe9a9b(0x14eb),'msg':_0x3f64ec['data']?JSON[_0xbe9a9b(0x2701)](_0x3f64ec[_0xbe9a9b(0x25c)][_0xbe9a9b(0x155e)]):_0x3f64ec[_0xbe9a9b(0x147f)]()});});}function _0x4013d0(){const _0xba650a=_0x33fff1;_0x5aa366[_0xba650a(0x1a7c)]=[],_0x4a0476[_0xba650a(0xfd2)][_0xba650a(0x687)]({'id':_0x5aa366[_0xba650a(0xfd2)]['id']},_0x5aa366[_0xba650a(0xfd2)])[_0xba650a(0x1d77)]['then'](function(_0x26ced7){const _0x4d2ca5=_0xba650a,_0x12dfcd=_0x39641b()[_0x4d2ca5(0x13b4)](_0x5aa366[_0x4d2ca5(0x14e0)],{'id':_0x26ced7['id']});_0x12dfcd&&_0x39641b()['merge'](_0x12dfcd,_0x39641b()[_0x4d2ca5(0x169b)](_0x26ced7['toJSON'](),_0x39641b()[_0x4d2ca5(0x1be5)](_0x12dfcd))),_0x15b20c[_0x4d2ca5(0x829)]({'title':_0x4d2ca5(0x2306),'msg':_0x5aa366[_0x4d2ca5(0xfd2)]['name']?_0x5aa366[_0x4d2ca5(0xfd2)][_0x4d2ca5(0x16b6)]+_0x4d2ca5(0xedb):''}),_0x2eaecf(_0x26ced7);})[_0xba650a(0x1c4)](function(_0x50afc2){const _0x3ba73c=_0xba650a;if(_0x50afc2['data']&&_0x50afc2[_0x3ba73c(0x25c)][_0x3ba73c(0x1a7c)]&&_0x50afc2[_0x3ba73c(0x25c)][_0x3ba73c(0x1a7c)][_0x3ba73c(0xfd0)]){_0x5aa366[_0x3ba73c(0x1a7c)]=_0x50afc2['data'][_0x3ba73c(0x1a7c)]||[{'message':_0x50afc2[_0x3ba73c(0x147f)](),'type':_0x3ba73c(0x1950)}];for(let _0x392a83=0x0;_0x392a83<_0x50afc2[_0x3ba73c(0x25c)][_0x3ba73c(0x1a7c)][_0x3ba73c(0xfd0)];_0x392a83++){_0x15b20c[_0x3ba73c(0x218e)]({'title':_0x50afc2['data'][_0x3ba73c(0x1a7c)][_0x392a83][_0x3ba73c(0x66a)],'msg':_0x50afc2['data']['errors'][_0x392a83][_0x3ba73c(0x155e)]});}}else _0x15b20c['error']({'title':_0x50afc2[_0x3ba73c(0x291)]?_0x3ba73c(0xeb9)+_0x50afc2[_0x3ba73c(0x291)]+_0x3ba73c(0x1657)+_0x50afc2['statusText']:_0x3ba73c(0x1950),'msg':_0x50afc2[_0x3ba73c(0x25c)]?JSON[_0x3ba73c(0x2701)](_0x50afc2[_0x3ba73c(0x25c)]['message']):_0x50afc2[_0x3ba73c(0x147f)]()});});}function _0x36b7c3(_0xd8319){const _0x531574=_0x33fff1;_0x5aa366['errors']=[];const _0x59818e=_0x4d6ccc['confirm']()['title'](_0x531574(0x1a2e))[_0x531574(0x862)](_0x531574(0x207f))[_0x531574(0x15ad)](_0x531574(0x7f0))['ok'](_0x531574(0x2594))[_0x531574(0x696)](_0x531574(0xde1))[_0x531574(0x728)](_0xd8319);_0x4d6ccc[_0x531574(0xe27)](_0x59818e)['then'](function(){const _0x18d6e5=_0x531574;_0x4a0476[_0x18d6e5(0xfd2)][_0x18d6e5(0x111d)]({'id':_0x5aa366[_0x18d6e5(0xfd2)]['id']})[_0x18d6e5(0x1d77)][_0x18d6e5(0x1cb0)](function(){const _0x5d4863=_0x18d6e5;_0x39641b()[_0x5d4863(0x152a)](_0x5aa366['plugins'],{'id':_0x5aa366[_0x5d4863(0xfd2)]['id']}),_0x15b20c['success']({'title':_0x5d4863(0x77e),'msg':(_0x5aa366['plugin'][_0x5d4863(0x16b6)]||'plugin')+'\x20has\x20been\x20deleted!'}),_0x2eaecf(_0x5aa366[_0x5d4863(0xfd2)]);})[_0x18d6e5(0x1c4)](function(_0x5d640b){const _0x29a2b8=_0x18d6e5;if(_0x5d640b[_0x29a2b8(0x25c)]&&_0x5d640b['data'][_0x29a2b8(0x1a7c)]&&_0x5d640b['data'][_0x29a2b8(0x1a7c)][_0x29a2b8(0xfd0)]){_0x5aa366['errors']=_0x5d640b['data'][_0x29a2b8(0x1a7c)]||[{'message':_0x5d640b[_0x29a2b8(0x147f)](),'type':_0x29a2b8(0x1395)}];for(let _0x3b402b=0x0;_0x3b402b<_0x5d640b[_0x29a2b8(0x25c)][_0x29a2b8(0x1a7c)]['length'];_0x3b402b++){_0x15b20c[_0x29a2b8(0x218e)]({'title':_0x5d640b[_0x29a2b8(0x25c)]['errors'][_0x3b402b][_0x29a2b8(0x66a)],'msg':_0x5d640b['data'][_0x29a2b8(0x1a7c)][_0x3b402b][_0x29a2b8(0x155e)]});}}else _0x15b20c['error']({'title':_0x5d640b[_0x29a2b8(0x291)]?_0x29a2b8(0xeb9)+_0x5d640b['status']+_0x29a2b8(0x1657)+_0x5d640b['statusText']:'api.plugin.delete','msg':_0x5d640b[_0x29a2b8(0x25c)]?JSON[_0x29a2b8(0x2701)](_0x5d640b[_0x29a2b8(0x25c)][_0x29a2b8(0x155e)]):_0x5d640b[_0x29a2b8(0x155e)]||_0x5d640b[_0x29a2b8(0x147f)]()});});},function(){});}function _0x550bd5(_0x1e3a24){return _0x1e3a24===null?undefined:new Date(_0x1e3a24);}function _0x2eaecf(_0x124434){const _0xbb54d2=_0x33fff1;_0x4d6ccc[_0xbb54d2(0x1426)](_0x124434);}}const _0x2951ca=_0x1e45be;;_0x1d10b9[_0x5537c6(0x15b6)]=['$state',_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$document',_0x5537c6(0x1ae),_0x5537c6(0x8a5),_0x5537c6(0x9ca),'api',_0x5537c6(0x9bf),'Auth','plugin',_0x5537c6(0x2199)];function _0x1d10b9(_0x32a23d,_0x2b376b,_0x1fa470,_0x48e3f2,_0x5b6889,_0x42112a,_0x11b668,_0x176524,_0x5e1084,_0x54638a,_0x163f79,_0x7148da){const _0x257fd5=_0x5537c6,_0xe568d8=this;_0xe568d8[_0x257fd5(0xe76)]=_0x54638a[_0x257fd5(0x21e8)](),_0xe568d8[_0x257fd5(0x8a5)]=_0x42112a,_0xe568d8['setting']=_0x11b668,_0xe568d8[_0x257fd5(0x1b0c)]=_0xe568d8['setting']['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0xe568d8[_0x257fd5(0x2404)]=_0x2b376b[_0x257fd5(0x2276)]()+_0x257fd5(0x138b)+_0x2b376b['host'](),_0xe568d8[_0x257fd5(0xfd2)]=_0x163f79||_0x32a23d['params'][_0x257fd5(0xfd2)]||{},_0xe568d8['userProfileSection']=_0x7148da&&_0x7148da[_0x257fd5(0x184d)]==0x1?_0x7148da['rows'][0x0]:null,_0xe568d8[_0x257fd5(0x1b1a)]=_0x54638a['parseCrudPermissions'](_0xe568d8[_0x257fd5(0x2199)]?_0xe568d8[_0x257fd5(0x2199)][_0x257fd5(0x1b1a)]:null),_0xe568d8[_0x257fd5(0xf4c)]={},_0xe568d8['selectedTab']=_0x32a23d[_0x257fd5(0x1dfe)][_0x257fd5(0x291e)]||0x0,_0xe568d8[_0x257fd5(0x494)]=_0x5e1084[_0x257fd5(0x28c7)],_0xe568d8[_0x257fd5(0x1220)]=_0x30e7da,_0xe568d8['savePlugin']=_0x5a365a;function _0x30e7da(){const _0x28f8a0=_0x257fd5;_0x32a23d['go'](_0x28f8a0(0x174),{},{'reload':_0x28f8a0(0x174)});}function _0x5a365a(){const _0x4a6b2b=_0x257fd5;_0x176524[_0x4a6b2b(0xfd2)][_0x4a6b2b(0x687)]({'id':_0xe568d8['plugin']['id']},_0xe568d8[_0x4a6b2b(0xfd2)])['$promise'][_0x4a6b2b(0x1cb0)](function(){const _0x59cc93=_0x4a6b2b;_0x5e1084[_0x59cc93(0x829)]({'title':_0x59cc93(0x23b6),'msg':_0xe568d8[_0x59cc93(0xfd2)][_0x59cc93(0x16b6)]?_0xe568d8[_0x59cc93(0xfd2)]['name']+_0x59cc93(0x1068):''});})[_0x4a6b2b(0x1c4)](function(_0x20bfc8){const _0x297b38=_0x4a6b2b;_0x5e1084[_0x297b38(0x218e)]({'title':_0x20bfc8['status']?_0x297b38(0xeb9)+_0x20bfc8[_0x297b38(0x291)]+_0x297b38(0x1657)+_0x20bfc8['statusText']:_0x297b38(0x1e44),'msg':_0x20bfc8['data']?JSON[_0x297b38(0x2701)](_0x20bfc8[_0x297b38(0x25c)]):_0x20bfc8[_0x297b38(0x147f)]()});});}}const _0x2f6add=_0x1d10b9;;const _0x4c649c=_0x5074a3['p']+_0x5537c6(0x923);;const _0x3f7803=_0x5074a3['p']+_0x5537c6(0x1432);;_0x10ab7c[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),_0x5537c6(0x417),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0x14e0),'userProfile',_0x5537c6(0x2199),'api','msUtils',_0x5537c6(0x9bf),_0x5537c6(0x1774),'license',_0x5537c6(0x9ca)];function _0x10ab7c(_0x20331f,_0xf25a25,_0x5dec7a,_0x4d0a56,_0x3ff5af,_0x11f10e,_0x2ab2d7,_0x1888c1,_0x5691e1,_0x294b08,_0x56022d,_0x141cca,_0x88a12c,_0x2070ae,_0x31a717,_0xb62511,_0x56f3b5){const _0x2db93f=_0x5537c6,_0x11f327=this;_0x11f327[_0x2db93f(0x8a5)]=_0xb62511,_0x11f327['setting']=_0x56f3b5,_0x11f327[_0x2db93f(0xe76)]=_0x31a717[_0x2db93f(0x21e8)](),_0x11f327[_0x2db93f(0x14e0)]=_0x5691e1||{'count':0x0,'rows':[]},_0x11f327['userProfile']=_0x294b08,_0x11f327['userProfileSection']=_0x56022d&&_0x56022d['count']==0x1?_0x56022d[_0x2db93f(0x2214)][0x0]:null,_0x11f327[_0x2db93f(0x1b1a)]=_0x31a717[_0x2db93f(0x14ea)](_0x11f327[_0x2db93f(0x2199)]?_0x11f327[_0x2db93f(0x2199)][_0x2db93f(0x1b1a)]:null),_0x11f327[_0x2db93f(0xc83)]=_0x2db93f(0x14e0),_0x11f327[_0x2db93f(0x1d20)]='',_0x11f327[_0x2db93f(0x1cdf)]=null,_0x11f327[_0x2db93f(0x235a)]=[],_0x11f327[_0x2db93f(0xae2)]={'fields':_0x2db93f(0x13ad),'sort':_0x2db93f(0x16b6),'limit':0xa,'page':0x1},_0x11f327[_0x2db93f(0x2997)]=![],_0x11f327[_0x2db93f(0xc57)]=_0x39641b()['keyBy']([{'option':_0x2db93f(0x1288),'value':_0x2db93f(0x2a2)},{'option':'Admin\x20Only','value':'\x27adminOnly\x27'},{'option':_0x2db93f(0xbee),'value':_0x2db93f(0x224e)},{'option':_0x2db93f(0x358),'value':_0x2db93f(0x1d2c)}],function(_0x4473c6){const _0x386771=_0x2db93f;return _0x39641b()[_0x386771(0x288f)](_0x4473c6['value'],new RegExp('\x27','g'),'');}),_0x11f327[_0x2db93f(0x1910)]=_0x47992d,_0x11f327[_0x2db93f(0x235d)]=_0x14c4f2,_0x11f327[_0x2db93f(0x1eb9)]=_0x2db368,_0x11f327['restartplugin']=_0x2f4d37,_0x11f327[_0x2db93f(0x1610)]=_0xa918f9,_0x11f327[_0x2db93f(0x1d89)]=_0x46ae58,_0x11f327[_0x2db93f(0x27fe)]=_0x36c301,_0x11f327[_0x2db93f(0x829)]=_0x4fa5ad,_0x11f327[_0x2db93f(0x100d)]=_0x2958df,_0x11f327['createOrEditPlugin']=_0x2e76e0,_0x11f327[_0x2db93f(0x2905)]=_0x1c6499,_0x11f327['exportSelectedPlugins']=_0x2eca02,_0x11f327[_0x2db93f(0x14d7)]=_0x280c54,_0x11f327[_0x2db93f(0x4c5)]=_0x423d05,_0x11f327[_0x2db93f(0x29c5)]=_0x131af8,_0x11f327[_0x2db93f(0x17d2)]=_0x77df94;function _0x47992d(_0x4fd5f){const _0x326804=_0x2db93f;if(_0x4fd5f[_0x326804(0x66a)]===_0x326804(0x1fe8))_0x11f327[_0x326804(0x2997)]=!![],_0x141cca[_0x326804(0x2897)][_0x326804(0x1c3f)]({'name':_0x4fd5f[_0x326804(0x79f)],'script':_0x4fd5f[_0x326804(0x1fe)]+'/'+_0x4fd5f['scriptPath']})['$promise'][_0x326804(0x1cb0)](function(){const _0x418caf=_0x326804;return _0x4fd5f['active']=!![],_0x141cca[_0x418caf(0xfd2)][_0x418caf(0x687)]({'id':_0x4fd5f['id']},_0x4fd5f)['$promise'];})['then'](function(){const _0x287d35=_0x326804;_0x11f327[_0x287d35(0x2997)]=![],_0x2070ae[_0x287d35(0x829)]({'title':_0x287d35(0x1268),'msg':_0x4fd5f[_0x287d35(0x16b6)]?_0x4fd5f['name']+_0x287d35(0x228b):''});})[_0x326804(0x1c4)](function(_0x723dc3){const _0x82d2f8=_0x326804;if(_0x723dc3[_0x82d2f8(0x25c)]&&_0x723dc3[_0x82d2f8(0x25c)]['errors']&&_0x723dc3[_0x82d2f8(0x25c)][_0x82d2f8(0x1a7c)][_0x82d2f8(0xfd0)]){_0x11f327['errors']=_0x723dc3['data']['errors']||[{'message':_0x723dc3[_0x82d2f8(0x147f)](),'type':_0x82d2f8(0x27dd)}];for(let _0xe58aa4=0x0;_0xe58aa4<_0x723dc3['data']['errors'][_0x82d2f8(0xfd0)];_0xe58aa4++){_0x2070ae[_0x82d2f8(0x218e)]({'title':_0x723dc3[_0x82d2f8(0x25c)]['errors'][_0xe58aa4][_0x82d2f8(0x66a)],'msg':_0x723dc3['data'][_0x82d2f8(0x1a7c)][_0xe58aa4][_0x82d2f8(0x155e)]});}}else _0x2070ae[_0x82d2f8(0x218e)]({'title':_0x723dc3[_0x82d2f8(0x291)]?_0x82d2f8(0xeb9)+_0x723dc3[_0x82d2f8(0x291)]+'\x20-\x20'+_0x723dc3[_0x82d2f8(0xc22)]:_0x82d2f8(0x27dd),'msg':_0x723dc3[_0x82d2f8(0x25c)]?JSON[_0x82d2f8(0x2701)](_0x723dc3['data']['message']):_0x723dc3[_0x82d2f8(0x155e)]||_0x723dc3[_0x82d2f8(0x147f)]()});});else return _0x4fd5f[_0x326804(0x1a4b)]=!![],_0x141cca[_0x326804(0xfd2)]['update']({'id':_0x4fd5f['id']},_0x4fd5f)[_0x326804(0x1d77)][_0x326804(0x1cb0)](function(){const _0x16bdc8=_0x326804;_0x2070ae[_0x16bdc8(0x829)]({'title':_0x16bdc8(0x1268),'msg':_0x4fd5f[_0x16bdc8(0x16b6)]?_0x4fd5f['name']+'\x20has\x20been\x20installed!':''});})[_0x326804(0x1c4)](function(_0x1eca64){const _0x1edd40=_0x326804;if(_0x1eca64[_0x1edd40(0x25c)]&&_0x1eca64['data']['errors']&&_0x1eca64[_0x1edd40(0x25c)][_0x1edd40(0x1a7c)][_0x1edd40(0xfd0)]){_0x11f327[_0x1edd40(0x1a7c)]=_0x1eca64[_0x1edd40(0x25c)][_0x1edd40(0x1a7c)]||[{'message':_0x1eca64[_0x1edd40(0x147f)](),'type':_0x1edd40(0x1950)}];for(let _0x3f7336=0x0;_0x3f7336<_0x1eca64['data'][_0x1edd40(0x1a7c)]['length'];_0x3f7336++){_0x2070ae[_0x1edd40(0x218e)]({'title':_0x1eca64[_0x1edd40(0x25c)]['errors'][_0x3f7336]['type'],'msg':_0x1eca64[_0x1edd40(0x25c)]['errors'][_0x3f7336][_0x1edd40(0x155e)]});}}else _0x2070ae[_0x1edd40(0x218e)]({'title':_0x1eca64[_0x1edd40(0x291)]?_0x1edd40(0xeb9)+_0x1eca64[_0x1edd40(0x291)]+_0x1edd40(0x1657)+_0x1eca64['statusText']:'api.plugin.update','msg':_0x1eca64[_0x1edd40(0x25c)]?JSON[_0x1edd40(0x2701)](_0x1eca64[_0x1edd40(0x25c)][_0x1edd40(0x155e)]):_0x1eca64[_0x1edd40(0x155e)]||_0x1eca64[_0x1edd40(0x147f)]()});});}function _0x14c4f2(_0x40e64f){const _0x727445=_0x2db93f;_0x5dec7a['go'](_0x727445(0x1a85),{'id':_0x40e64f['id'],'plugin':_0x40e64f,'crudPermissions':_0x11f327['crudPermissions']});}function _0x2db368(_0x55cb3d,_0x41908d){const _0x3be54b=_0x2db93f,_0x63358=_0x3ff5af[_0x3be54b(0x1551)]()[_0x3be54b(0x1386)](_0x3be54b(0x28a2))['htmlContent'](_0x3be54b(0x204d)+(_0x55cb3d[_0x3be54b(0x16b6)]||_0x3be54b(0xfd2))+_0x3be54b(0x1200)+_0x3be54b(0x19f4))[_0x3be54b(0x15ad)](_0x3be54b(0x1eca))[_0x3be54b(0x728)](_0x41908d)['ok']('OK')[_0x3be54b(0x696)](_0x3be54b(0x24ba));_0x3ff5af[_0x3be54b(0xe27)](_0x63358)[_0x3be54b(0x1cb0)](function(){_0x451977(_0x55cb3d);},function(){const _0x2c709b=_0x3be54b;console[_0x2c709b(0x1b4f)](_0x2c709b(0x24ba));});}function _0x451977(_0x5a6d4a){const _0x4a529f=_0x2db93f;_0x141cca[_0x4a529f(0x2897)]['get']()['$promise'][_0x4a529f(0x1cb0)](function(_0x424c0e){const _0x21730c=_0x4a529f;if(!_0x424c0e||!_0x424c0e[_0x21730c(0x2214)])throw new Error(_0x21730c(0x1252));const _0x2bb481=_0x39641b()[_0x21730c(0x13b4)](_0x424c0e[_0x21730c(0x2214)],{'name':_0x5a6d4a[_0x21730c(0x79f)]});if(!_0x2bb481)throw new Error('No\x20corresponding\x20process\x20found');_0x141cca['pm2']['update']({'id':_0x2bb481[_0x21730c(0x6a7)],'status':_0x21730c(0x1b98)})[_0x21730c(0x1d77)]['then'](function(){const _0x26494d=_0x21730c;_0x2070ae[_0x26494d(0x829)]({'title':_0x26494d(0x2246),'msg':_0x26494d(0x12f2)});});})['catch'](function(_0x5de14f){const _0x55bba1=_0x4a529f;if(_0x5de14f[_0x55bba1(0x25c)]&&_0x5de14f[_0x55bba1(0x25c)][_0x55bba1(0x1a7c)]&&_0x5de14f[_0x55bba1(0x25c)][_0x55bba1(0x1a7c)][_0x55bba1(0xfd0)]){_0x11f327[_0x55bba1(0x1a7c)]=_0x5de14f['data']['errors']||[{'message':_0x5de14f[_0x55bba1(0x147f)](),'type':_0x55bba1(0x1ddc)}];for(let _0x541fcf=0x0;_0x541fcf<_0x5de14f[_0x55bba1(0x25c)][_0x55bba1(0x1a7c)][_0x55bba1(0xfd0)];_0x541fcf++){_0x2070ae[_0x55bba1(0x218e)]({'title':_0x5de14f[_0x55bba1(0x25c)][_0x55bba1(0x1a7c)][_0x541fcf][_0x55bba1(0x66a)],'msg':_0x5de14f['data']['errors'][_0x541fcf]['message']});}}else _0x2070ae[_0x55bba1(0x218e)]({'title':_0x5de14f['status']?_0x55bba1(0xeb9)+_0x5de14f[_0x55bba1(0x291)]+_0x55bba1(0x1657)+_0x5de14f[_0x55bba1(0xc22)]:_0x55bba1(0x1ddc),'msg':_0x5de14f[_0x55bba1(0x25c)]?JSON['stringify'](_0x5de14f[_0x55bba1(0x25c)]['message']):_0x5de14f[_0x55bba1(0x155e)]||_0x5de14f[_0x55bba1(0x147f)]()});});}function _0x2f4d37(_0x5576e6,_0x9d174c){const _0xd613bd=_0x2db93f,_0x47f4af=_0x3ff5af[_0xd613bd(0x1551)]()[_0xd613bd(0x1386)](_0xd613bd(0xec5))['htmlContent'](_0xd613bd(0x204d)+(_0x5576e6[_0xd613bd(0x16b6)]||'plugin')+_0xd613bd(0x1200)+_0xd613bd(0x9ad))[_0xd613bd(0x15ad)](_0xd613bd(0x31f))[_0xd613bd(0x728)](_0x9d174c)['ok']('OK')['cancel'](_0xd613bd(0x24ba));_0x3ff5af[_0xd613bd(0xe27)](_0x47f4af)[_0xd613bd(0x1cb0)](function(){_0x2c85e8(_0x5576e6);},function(){const _0x3761fb=_0xd613bd;console[_0x3761fb(0x1b4f)](_0x3761fb(0x24ba));});}function _0x2c85e8(_0x5a530b){const _0x47a8fd=_0x2db93f;_0x141cca[_0x47a8fd(0x2897)][_0x47a8fd(0xbf7)]()[_0x47a8fd(0x1d77)][_0x47a8fd(0x1cb0)](function(_0x3c4616){const _0x1391dc=_0x47a8fd;if(!_0x3c4616||!_0x3c4616[_0x1391dc(0x2214)])throw new Error(_0x1391dc(0x1252));const _0x4fee71=_0x39641b()[_0x1391dc(0x13b4)](_0x3c4616[_0x1391dc(0x2214)],{'name':_0x5a530b[_0x1391dc(0x79f)]});if(!_0x4fee71)throw new Error(_0x1391dc(0x6fd));_0x141cca['pm2'][_0x1391dc(0x687)]({'id':_0x4fee71[_0x1391dc(0x6a7)],'status':_0x1391dc(0xa28)})['$promise']['then'](function(){const _0x5cf194=_0x1391dc;_0x2070ae[_0x5cf194(0x829)]({'title':'Process\x20restarted!','msg':_0x5cf194(0x1757)});});})['catch'](function(_0x57971c){const _0x30d8a1=_0x47a8fd;if(_0x57971c[_0x30d8a1(0x25c)]&&_0x57971c[_0x30d8a1(0x25c)]['errors']&&_0x57971c[_0x30d8a1(0x25c)]['errors']['length']){_0x11f327['errors']=_0x57971c[_0x30d8a1(0x25c)][_0x30d8a1(0x1a7c)]||[{'message':_0x57971c[_0x30d8a1(0x147f)](),'type':_0x30d8a1(0x1ddc)}];for(let _0x228df8=0x0;_0x228df8<_0x57971c[_0x30d8a1(0x25c)][_0x30d8a1(0x1a7c)][_0x30d8a1(0xfd0)];_0x228df8++){_0x2070ae[_0x30d8a1(0x218e)]({'title':_0x57971c[_0x30d8a1(0x25c)][_0x30d8a1(0x1a7c)][_0x228df8][_0x30d8a1(0x66a)],'msg':_0x57971c[_0x30d8a1(0x25c)][_0x30d8a1(0x1a7c)][_0x228df8][_0x30d8a1(0x155e)]});}}else _0x2070ae[_0x30d8a1(0x218e)]({'title':_0x57971c['status']?_0x30d8a1(0xeb9)+_0x57971c['status']+_0x30d8a1(0x1657)+_0x57971c['statusText']:_0x30d8a1(0x1ddc),'msg':_0x57971c[_0x30d8a1(0x25c)]?JSON[_0x30d8a1(0x2701)](_0x57971c[_0x30d8a1(0x25c)][_0x30d8a1(0x155e)]):_0x57971c[_0x30d8a1(0x155e)]||_0x57971c[_0x30d8a1(0x147f)]()});});}function _0xa918f9(_0x8f8f14){const _0x94724f=_0x2db93f;return _0x141cca[_0x94724f(0xfd2)][_0x94724f(0x26ec)]({'id':_0x8f8f14['id']})[_0x94724f(0x1d77)][_0x94724f(0x1cb0)](function(_0x2f006c){const _0x4bd7a9=_0x94724f,_0x31a162=[_0x2f006c[_0x4bd7a9(0xef0)]];let _0x126f8d=_0x4bd7a9(0x26ec);const _0x168090=new Blob(_0x31a162,{'type':_0x2f006c[_0x4bd7a9(0x66a)]});_0x126f8d=_0x8f8f14[_0x4bd7a9(0x16b6)]['replace']('\x20','_')['toLowerCase']()+_0x4bd7a9(0x1b16);const _0x529794=window[_0x4bd7a9(0x1db8)][_0x4bd7a9(0x8c6)]('a');_0x529794['setAttribute']('href',URL['createObjectURL'](_0x168090)),_0x529794[_0x4bd7a9(0x23b9)](_0x4bd7a9(0x26ec),_0x126f8d),document['body'][_0x4bd7a9(0x23de)](_0x529794),_0x529794[_0x4bd7a9(0x20b8)]();})[_0x94724f(0x1c4)](function(_0x1b82a3){const _0x564cf4=_0x94724f;if(_0x1b82a3[_0x564cf4(0x25c)]&&_0x1b82a3[_0x564cf4(0x25c)][_0x564cf4(0x1a7c)]&&_0x1b82a3[_0x564cf4(0x25c)][_0x564cf4(0x1a7c)][_0x564cf4(0xfd0)])for(let _0x48761a=0x0;_0x48761a<_0x1b82a3[_0x564cf4(0x25c)][_0x564cf4(0x1a7c)][_0x564cf4(0xfd0)];_0x48761a++){_0x2070ae[_0x564cf4(0x218e)]({'title':_0x1b82a3[_0x564cf4(0x25c)][_0x564cf4(0x1a7c)][_0x48761a][_0x564cf4(0x66a)],'msg':_0x1b82a3['data'][_0x564cf4(0x1a7c)][_0x48761a][_0x564cf4(0x155e)]});}else _0x2070ae[_0x564cf4(0x218e)]({'title':_0x1b82a3['status']?_0x564cf4(0xeb9)+_0x1b82a3[_0x564cf4(0x291)]+'\x20-\x20'+_0x1b82a3[_0x564cf4(0xc22)]:_0x564cf4(0x14eb),'msg':_0x1b82a3['data']?JSON[_0x564cf4(0x2701)](_0x1b82a3['data'][_0x564cf4(0x155e)]):_0x1b82a3[_0x564cf4(0x147f)]()});});}function _0x46ae58(_0x5a1ffd,_0x4409a6){const _0x3a0b1f=_0x2db93f,_0x598a65=_0x3ff5af['confirm']()[_0x3a0b1f(0x1386)](_0x3a0b1f(0x1c2c))[_0x3a0b1f(0x49e)](_0x3a0b1f(0x204d)+(_0x5a1ffd[_0x3a0b1f(0x16b6)]||_0x3a0b1f(0xfd2))+_0x3a0b1f(0x1200)+'\x20will\x20be\x20uninstalled.')['ariaLabel'](_0x3a0b1f(0x28bf))[_0x3a0b1f(0x728)](_0x4409a6)['ok']('OK')[_0x3a0b1f(0x696)]('CANCEL');_0x3ff5af[_0x3a0b1f(0xe27)](_0x598a65)[_0x3a0b1f(0x1cb0)](function(){_0x396506(_0x5a1ffd);},function(){const _0x31adc8=_0x3a0b1f;console[_0x31adc8(0x1b4f)](_0x31adc8(0x24ba));});}function _0x396506(_0x1d5f8b){const _0x37d37d=_0x2db93f;return _0x1d5f8b['type']===_0x37d37d(0x1fe8)&&_0x141cca['pm2'][_0x37d37d(0xbf7)]()[_0x37d37d(0x1d77)][_0x37d37d(0x1cb0)](function(_0x1d20b5){const _0x4e97d2=_0x37d37d;if(!_0x1d20b5||!_0x1d20b5['rows'])throw new Error(_0x4e97d2(0x1252));const _0x2df5c2=_0x39641b()['find'](_0x1d20b5[_0x4e97d2(0x2214)],{'name':_0x1d5f8b[_0x4e97d2(0x79f)]});if(!_0x2df5c2)throw new Error(_0x4e97d2(0x6fd));_0x141cca[_0x4e97d2(0x2897)][_0x4e97d2(0x111d)]({'id':_0x2df5c2['pm_id'],'name':_0x1d5f8b['scriptName']})['$promise'];})[_0x37d37d(0x1c4)](function(_0x487e39){const _0x31d805=_0x37d37d;_0x2070ae[_0x31d805(0x218e)]({'title':_0x487e39[_0x31d805(0x291)]?'API:'+_0x487e39[_0x31d805(0x291)]+_0x31d805(0x1657)+_0x487e39[_0x31d805(0xc22)]:_0x31d805(0xa2b),'msg':_0x487e39[_0x31d805(0x25c)]?JSON[_0x31d805(0x2701)](_0x487e39[_0x31d805(0x25c)][_0x31d805(0x155e)]):_0x487e39['message']||_0x487e39['toString']()});}),_0x1d5f8b[_0x37d37d(0x1a4b)]=![],_0x141cca[_0x37d37d(0xfd2)]['update']({'id':_0x1d5f8b['id']},_0x1d5f8b)[_0x37d37d(0x1d77)][_0x37d37d(0x1cb0)](function(){const _0x508a17=_0x37d37d;_0x2070ae[_0x508a17(0x829)]({'title':_0x508a17(0x535),'msg':_0x1d5f8b[_0x508a17(0x16b6)]?_0x1d5f8b[_0x508a17(0x16b6)]+_0x508a17(0xd4d):''});})[_0x37d37d(0x1c4)](function(_0x2f75d6){const _0x24a759=_0x37d37d;if(_0x2f75d6[_0x24a759(0x25c)]&&_0x2f75d6[_0x24a759(0x25c)][_0x24a759(0x1a7c)]&&_0x2f75d6[_0x24a759(0x25c)][_0x24a759(0x1a7c)][_0x24a759(0xfd0)]){_0x11f327[_0x24a759(0x1a7c)]=_0x2f75d6['data'][_0x24a759(0x1a7c)]||[{'message':_0x2f75d6[_0x24a759(0x147f)](),'type':_0x24a759(0x1950)}];for(let _0x27900a=0x0;_0x27900a<_0x2f75d6[_0x24a759(0x25c)][_0x24a759(0x1a7c)][_0x24a759(0xfd0)];_0x27900a++){_0x2070ae['error']({'title':_0x2f75d6[_0x24a759(0x25c)]['errors'][_0x27900a][_0x24a759(0x66a)],'msg':_0x2f75d6['data'][_0x24a759(0x1a7c)][_0x27900a][_0x24a759(0x155e)]});}}else _0x2070ae[_0x24a759(0x218e)]({'title':_0x2f75d6['status']?'API:'+_0x2f75d6[_0x24a759(0x291)]+_0x24a759(0x1657)+_0x2f75d6[_0x24a759(0xc22)]:_0x24a759(0x1950),'msg':_0x2f75d6[_0x24a759(0x25c)]?JSON['stringify'](_0x2f75d6['data']['message']):_0x2f75d6['message']||_0x2f75d6[_0x24a759(0x147f)]()});});}function _0x36c301(_0xf52a10,_0x131804){const _0x537e98=_0x2db93f,_0x3c1e4c=_0x3ff5af[_0x537e98(0x1551)]()[_0x537e98(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20'+_0x39641b()[_0x537e98(0xa75)](_0x537e98(0xfd2))+'?')[_0x537e98(0x49e)](_0x537e98(0x204d)+(_0xf52a10[_0x537e98(0x16b6)]||_0x537e98(0xfd2))+''+_0x537e98(0x1b6))[_0x537e98(0x15ad)](_0x537e98(0x65f))[_0x537e98(0x728)](_0x131804)['ok']('OK')['cancel'](_0x537e98(0x24ba));_0x3ff5af[_0x537e98(0xe27)](_0x3c1e4c)[_0x537e98(0x1cb0)](function(){_0x1c6499(_0xf52a10);},function(){const _0x5643a2=_0x537e98;console['log'](_0x5643a2(0x24ba));});}let _0x1e80c8=!![],_0x2898c4=0x1;_0x20331f[_0x2db93f(0x614)](_0x2db93f(0x957),function(_0x45c4ed,_0xf58c8e){const _0x356359=_0x2db93f;_0x1e80c8?_0x2ab2d7(function(){_0x1e80c8=![];}):(!_0xf58c8e&&(_0x2898c4=_0x11f327[_0x356359(0xae2)][_0x356359(0x1c7b)]),_0x45c4ed!==_0xf58c8e&&(_0x11f327[_0x356359(0xae2)][_0x356359(0x1c7b)]=0x1),!_0x45c4ed&&(_0x11f327[_0x356359(0xae2)]['page']=_0x2898c4),_0x11f327[_0x356359(0x100d)]());});function _0x4fa5ad(_0x18c84d){const _0x32cf34=_0x2db93f;_0x11f327[_0x32cf34(0x14e0)]=_0x18c84d||{'count':0x0,'rows':[]};}function _0x2958df(){const _0xd30535=_0x2db93f;_0x11f327[_0xd30535(0xae2)][_0xd30535(0x184b)]=(_0x11f327[_0xd30535(0xae2)][_0xd30535(0x1c7b)]-0x1)*_0x11f327[_0xd30535(0xae2)][_0xd30535(0x236)],_0x31a717[_0xd30535(0x22b6)](_0xd30535(0x1c60))?_0x11f327[_0xd30535(0x2061)]=_0x141cca[_0xd30535(0xfd2)][_0xd30535(0xbf7)](_0x11f327[_0xd30535(0xae2)],_0x4fa5ad)[_0xd30535(0x1d77)]:(_0x11f327[_0xd30535(0xae2)]['id']=_0x11f327['userProfile']['id'],_0x11f327[_0xd30535(0xae2)][_0xd30535(0x1f74)]=_0xd30535(0x27e6),_0x11f327[_0xd30535(0x2061)]=_0x141cca['userProfile'][_0xd30535(0x1810)](_0x11f327[_0xd30535(0xae2)],_0x4fa5ad)[_0xd30535(0x1d77)]);}function _0x2e76e0(_0x57a775,_0x2df7e4){const _0x416556=_0x2db93f;_0x3ff5af[_0x416556(0xe27)]({'controller':_0x416556(0x1039),'controllerAs':'vm','templateUrl':_0x4c649c,'parent':angular[_0x416556(0x1853)](_0x11f10e[_0x416556(0x1ed9)]),'targetEvent':_0x57a775,'clickOutsideToClose':!![],'locals':{'plugin':_0x2df7e4,'plugins':_0x11f327[_0x416556(0x14e0)][_0x416556(0x2214)],'license':_0x11f327['license'],'setting':_0x11f327['setting'],'crudPermissions':_0x11f327[_0x416556(0x1b1a)]}});}function _0x1c6499(_0x1c0ac6){const _0x32e1f1=_0x2db93f;_0x1c0ac6[_0x32e1f1(0x1a4b)]&&_0x1c0ac6[_0x32e1f1(0x66a)]=='script'&&_0x141cca['pm2'][_0x32e1f1(0xbf7)]()[_0x32e1f1(0x1d77)][_0x32e1f1(0x1cb0)](function(_0x515470){const _0x42dcc4=_0x32e1f1;if(!_0x515470||!_0x515470['rows'])return _0x2070ae['error']({'title':_0x42dcc4(0x173c),'msg':'Failed\x20to\x20retrieve\x20pm2\x20processes'});const _0xdf1f22=_0x39641b()['find'](_0x515470[_0x42dcc4(0x2214)],{'name':_0x1c0ac6['scriptName']});if(!_0xdf1f22){console[_0x42dcc4(0x1b4f)](_0x42dcc4(0x1a87),_0x1c0ac6['scriptName']);return;}_0x141cca[_0x42dcc4(0x2897)]['delete']({'id':_0xdf1f22['pm_id'],'name':_0x1c0ac6[_0x42dcc4(0x79f)]})[_0x42dcc4(0x1d77)];})['catch'](function(){const _0x5b2d8b=_0x32e1f1;return _0x2070ae['error']({'title':_0x5b2d8b(0x1c2a),'msg':'Failed\x20to\x20delete\x20the\x20attached\x20pm2\x20process'});}),_0x141cca[_0x32e1f1(0xfd2)][_0x32e1f1(0x111d)]({'id':_0x1c0ac6['id']})[_0x32e1f1(0x1d77)][_0x32e1f1(0x1cb0)](function(){const _0x3fe02e=_0x32e1f1;_0x39641b()[_0x3fe02e(0x152a)](_0x11f327[_0x3fe02e(0x14e0)][_0x3fe02e(0x2214)],{'id':_0x1c0ac6['id']}),_0x11f327[_0x3fe02e(0x14e0)]['count']-=0x1,!_0x11f327[_0x3fe02e(0x14e0)][_0x3fe02e(0x2214)][_0x3fe02e(0xfd0)]&&_0x11f327[_0x3fe02e(0x100d)](),_0x2070ae[_0x3fe02e(0x829)]({'title':_0x39641b()[_0x3fe02e(0xa75)](_0x3fe02e(0x2002))+'\x20deleted!','msg':_0x1c0ac6[_0x3fe02e(0x16b6)]?_0x1c0ac6[_0x3fe02e(0x16b6)]+'\x20has\x20been\x20deleted!':''});})[_0x32e1f1(0x1c4)](function(_0x1a844f){const _0x277170=_0x32e1f1;if(_0x1a844f['data']&&_0x1a844f['data'][_0x277170(0x1a7c)]&&_0x1a844f[_0x277170(0x25c)]['errors'][_0x277170(0xfd0)]){_0x11f327[_0x277170(0x1a7c)]=_0x1a844f['data']['errors']||[{'message':_0x1a844f['toString'](),'type':_0x277170(0x990)}];for(let _0x1a7656=0x0;_0x1a7656<_0x1a844f[_0x277170(0x25c)][_0x277170(0x1a7c)][_0x277170(0xfd0)];_0x1a7656++){_0x2070ae[_0x277170(0x218e)]({'title':_0x1a844f[_0x277170(0x25c)][_0x277170(0x1a7c)][_0x1a7656][_0x277170(0x66a)],'msg':_0x1a844f[_0x277170(0x25c)][_0x277170(0x1a7c)][_0x1a7656][_0x277170(0x155e)]});}}else _0x2070ae[_0x277170(0x218e)]({'title':_0x1a844f[_0x277170(0x291)]?'API:'+_0x1a844f['status']+'\x20-\x20'+_0x1a844f[_0x277170(0xc22)]:'SYSTEM:DELETEplugin','msg':_0x1a844f[_0x277170(0x25c)]?JSON[_0x277170(0x2701)](_0x1a844f[_0x277170(0x25c)][_0x277170(0x155e)]):_0x1a844f[_0x277170(0x155e)]||_0x1a844f['toString']()});});}function _0x2eca02(){const _0xa8d586=_0x2db93f,_0x1a6b02=angular[_0xa8d586(0x17fe)](_0x11f327[_0xa8d586(0x235a)]);return _0x11f327[_0xa8d586(0x235a)]=[],_0x1a6b02;}function _0x280c54(_0x30319f){const _0x870dc8=_0x2db93f,_0x5c5d1a=_0x3ff5af['confirm']()[_0x870dc8(0x1386)](_0x870dc8(0x146d))[_0x870dc8(0x49e)](_0x870dc8(0x204d)+_0x11f327['selectedPlugins'][_0x870dc8(0xfd0)]+_0x870dc8(0x1d6c)+_0x870dc8(0x1b6))[_0x870dc8(0x15ad)]('delete\x20Plugins')[_0x870dc8(0x728)](_0x30319f)['ok']('OK')[_0x870dc8(0x696)](_0x870dc8(0x24ba));_0x3ff5af[_0x870dc8(0xe27)](_0x5c5d1a)[_0x870dc8(0x1cb0)](function(){const _0x55ab16=_0x870dc8;_0x11f327['selectedPlugins'][_0x55ab16(0xf90)](function(_0x173a55){_0x1c6499(_0x173a55);}),_0x11f327[_0x55ab16(0x235a)]=[];});}function _0x423d05(){_0x11f327['selectedPlugins']=[];}function _0x131af8(){const _0x3ecd08=_0x2db93f;_0x11f327[_0x3ecd08(0x235a)]=_0x11f327[_0x3ecd08(0x14e0)][_0x3ecd08(0x2214)];}function _0x77df94(_0x408c04){const _0x2fdf45=_0x2db93f;_0x3ff5af[_0x2fdf45(0xe27)]({'controller':_0x2fdf45(0xb94),'controllerAs':'vm','templateUrl':_0x3f7803,'parent':angular[_0x2fdf45(0x1853)](_0x11f10e[_0x2fdf45(0x1ed9)]),'targetEvent':_0x408c04,'clickOutsideToClose':!![],'locals':{'plugins':_0x11f327[_0x2fdf45(0x14e0)][_0x2fdf45(0x2214)]}});}}const _0x140c97=_0x10ab7c;;_0x42f382[_0x5537c6(0x15b6)]=['$cookies','$mdDialog',_0x5537c6(0x9bf),'plugins',_0x5537c6(0x142b),_0x5537c6(0x1774)];function _0x42f382(_0x1865bb,_0x1d9ea3,_0x5af8b4,_0x5f4ef5,_0x5e6fdc,_0x389a3d){const _0x4a3cdc=_0x5537c6,_0x5676ff=this,_0x2c7b91=0x2,_0xcfe8d4=_0x2c7b91*0x400*0x400;_0x5676ff[_0x4a3cdc(0xe76)]=_0x389a3d[_0x4a3cdc(0x21e8)](),_0x5676ff[_0x4a3cdc(0x1a7c)]=[],_0x5676ff[_0x4a3cdc(0x1386)]='MARKETPLACE.UPLOAD_PLUGIN',_0x5676ff['plugins']=_0x5f4ef5,_0x5676ff['uploadPlugins']=[],_0x5676ff[_0x4a3cdc(0xcc9)]=_0x4a3cdc(0x13b1),_0x5676ff[_0x4a3cdc(0xfd2)]={},_0x5676ff[_0x4a3cdc(0x15e8)]={'maxChunkRetries':0x1,'chunkSize':_0xcfe8d4,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![],'target':'api/plugins'},_0x5676ff['ngFlow']={'flow':{}},_0x5676ff[_0x4a3cdc(0x18dc)]=![],_0x5676ff[_0x4a3cdc(0x15c2)]=_0x4d9a9e,_0x5676ff[_0x4a3cdc(0x1c97)]=_0x6dc87c,_0x5676ff[_0x4a3cdc(0x200f)]=_0x134d38,_0x5676ff['uploadError']=_0x5e180e,_0x5676ff['uploadComplete']=_0xee8629,_0x5676ff['closeDialog']=_0x4c8a2b;function _0x4d9a9e(_0x5d853d){const _0x2a4d3a=_0x4a3cdc,_0x143fa1=[_0x2a4d3a(0x11b1)];if(!_0x39641b()[_0x2a4d3a(0x250a)](_0x143fa1,_0x5d853d[_0x2a4d3a(0x1cb1)]()))return _0x5af8b4['error']({'title':_0x2a4d3a(0x14d4)+_0x5d853d[_0x2a4d3a(0x1cb1)](),'msg':_0x2a4d3a(0x4d4)+_0x143fa1[_0x2a4d3a(0x1f66)]()}),![];if(_0x5d853d[_0x2a4d3a(0x1813)]>_0xcfe8d4)return _0x5af8b4[_0x2a4d3a(0x218e)]({'title':_0x2a4d3a(0x2749),'msg':_0x2a4d3a(0xe61)+_0x2c7b91+'MB'}),![];return _0x5676ff[_0x2a4d3a(0xfd2)]={'id':_0x5d853d['uniqueIdentifier'],'file':_0x5d853d},_0x5676ff['uploadPlugins'][_0x2a4d3a(0xf63)](_0x5676ff[_0x2a4d3a(0xfd2)]),!![];}function _0x6dc87c(){const _0x1eb653=_0x4a3cdc;_0x5676ff['uploadState']='uploading',_0x5676ff[_0x1eb653(0x125a)][_0x1eb653(0xacf)]['opts']['headers']={'X-Requested-With':_0x1eb653(0x2711),'Authorization':_0x1eb653(0x1923)+_0x1865bb[_0x1eb653(0xbf7)](_0x1eb653(0x1b26))},_0x5676ff[_0x1eb653(0x125a)][_0x1eb653(0xacf)]['opts'][_0x1eb653(0xae2)]={'role':_0x5676ff['currentUser'][_0x1eb653(0x26e6)],'userProfileId':_0x5676ff['currentUser']['userProfileId']},_0x5676ff[_0x1eb653(0x125a)][_0x1eb653(0xacf)][_0x1eb653(0x1c97)]();}function _0x134d38(_0x39eac1,_0x57a127){const _0x165cc2=_0x4a3cdc;_0x5676ff[_0x165cc2(0x14e0)][_0x165cc2(0xf63)](JSON[_0x165cc2(0xefe)](_0x57a127)),angular['forEach'](_0x5676ff['uploadPlugins'],function(_0x566028){const _0x433055=_0x165cc2;if(_0x566028['id']===_0x39eac1[_0x433055(0x27c2)]){const _0x7602dd=new FileReader();_0x7602dd[_0x433055(0x245d)](_0x566028[_0x433055(0x1ac4)][_0x433055(0x1ac4)]),_0x7602dd[_0x433055(0x198d)]=function(_0x51b7bf){const _0x262b87=_0x433055;_0x566028[_0x262b87(0x135b)]=_0x51b7bf[_0x262b87(0x251d)][_0x262b87(0x1205)];};}});}function _0x5e180e(){const _0x922ac=_0x4a3cdc;_0x5676ff[_0x922ac(0x125a)]['flow'][_0x922ac(0x696)](),_0x5af8b4[_0x922ac(0x218e)]({'title':_0x922ac(0x29f),'msg':_0x922ac(0x267a)}),_0x5676ff['uploadState']=_0x922ac(0x1460);}function _0xee8629(){const _0x118c99=_0x4a3cdc;_0x5676ff[_0x118c99(0xcc9)]!=='failed'&&(_0x5676ff[_0x118c99(0xcc9)]=_0x118c99(0x1274),_0x5af8b4['success']({'title':_0x118c99(0x1a51),'msg':_0x5676ff[_0x118c99(0xfd2)][_0x118c99(0x1ac4)][_0x118c99(0x16b6)]+_0x118c99(0xdeb)}));}function _0x4c8a2b(){const _0x907c3a=_0x4a3cdc;_0x1d9ea3[_0x907c3a(0x1426)]();}}const _0x46275d=_0x42f382;;_0x3be1ac['$inject']=[_0x5537c6(0xbd6),_0x5537c6(0x1f2a)];function _0x3be1ac(_0x3b8635,_0xd80013){const _0x6f8848=_0x5537c6;_0x3b8635[_0x6f8848(0x27e0)](_0x6f8848(0x1484),{'abstract':!![],'url':'/marketplace'})['state'](_0x6f8848(0x174),{'url':_0x6f8848(0x709),'views':{'content@app':{'templateUrl':_0x45dbfa,'controller':_0x6f8848(0x104e)}},'resolve':{'plugins':['apiResolver',_0x6f8848(0x1774),function(_0x2d7559,_0x21e2fa){const _0x241717=_0x6f8848;return _0x21e2fa[_0x241717(0x22b6)](_0x241717(0x1c60))?_0x2d7559[_0x241717(0x19a3)](_0x241717(0x21fa),{'fields':'createdAt,updatedAt,id,name,type,active,description,icon,sidebar,path,adminUrl,agentUrl,scriptName,scriptPath,version','sort':_0x241717(0x16b6),'limit':0xa,'offset':0x0}):_0x2d7559[_0x241717(0x19a3)](_0x241717(0x12da),{'id':_0x21e2fa[_0x241717(0x21e8)]()[_0x241717(0x13c1)],'section':_0x241717(0x27e6),'fields':_0x241717(0x13ad),'sort':_0x241717(0x16b6),'limit':0xa,'offset':0x0});}],'userProfile':[_0x6f8848(0x1e0b),'Auth',function(_0x370c42,_0x33b689){const _0x1a8b5d=_0x6f8848;return _0x33b689[_0x1a8b5d(0x22b6)](_0x1a8b5d(0x1c60))?null:_0x370c42['resolve'](_0x1a8b5d(0x9ae),{'fields':_0x1a8b5d(0x279),'id':_0x33b689[_0x1a8b5d(0x21e8)]()[_0x1a8b5d(0x13c1)]});}],'userProfileSection':['apiResolver',_0x6f8848(0x1774),function(_0x5e81cc,_0x210927){const _0x59c4a1=_0x6f8848;return _0x210927[_0x59c4a1(0x22b6)](_0x59c4a1(0x1c60))?null:_0x5e81cc[_0x59c4a1(0x19a3)](_0x59c4a1(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x210927['getCurrentUser']()['userProfileId'],'sectionId':0x709});}]},'authenticate':!![],'permissionId':0x709,'bodyClass':_0x6f8848(0x270c)})['state']('app.marketplace.plugins.edit',{'url':_0x6f8848(0x1bf2),'params':{'plugin':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x3b3dcf,'controller':_0x6f8848(0x807)}},'resolve':{'plugin':[_0x6f8848(0x1e0b),'$stateParams',function(_0x183efc,_0x22febe){const _0x322b8b=_0x6f8848;return _0x183efc['resolve'](_0x322b8b(0x21fa),{'fields':_0x322b8b(0x13ad),'id':_0x22febe['id']});}],'userProfileSection':['apiResolver','Auth',function(_0x4f64eb,_0x566d66){const _0x10c73e=_0x6f8848;return _0x4f64eb[_0x10c73e(0x19a3)]('userProfileSection@get',{'fields':_0x10c73e(0x1f5f),'userProfileId':_0x566d66[_0x10c73e(0x21e8)]()['userProfileId'],'sectionId':0x709});}]},'authenticate':!![],'permissionId':0x709,'bodyClass':_0x6f8848(0x270c)}),_0xd80013[_0x6f8848(0x4e7)]('app/main/apps/marketplace');}angular[_0x5537c6(0x9ab)](_0x5537c6(0x1484),['ngCsv','ngPassword',_0x5537c6(0x1260),'flow',_0x5537c6(0x18f6),_0x5537c6(0xd19),_0x5537c6(0x44c),_0x5537c6(0x2ec),'ngAnimate',_0x5537c6(0x167d),_0x5537c6(0x208f),_0x5537c6(0x1b65),_0x5537c6(0x2166),_0x5537c6(0x3b9),_0x5537c6(0x7c9),'ngEmbed','angularMaterialFormBuilder','material.components.expansionPanels','chart.js',_0x5537c6(0xd01)])['config'](_0x3be1ac)['controller'](_0x5537c6(0x1039),_0x2951ca)[_0x5537c6(0x6e5)]('MarketplacePluginController',_0x2f6add)[_0x5537c6(0x6e5)](_0x5537c6(0x233c),_0x140c97)[_0x5537c6(0x6e5)]('UploadPluginDialogController',_0x46275d);;const _0x4ad37c=_0x5074a3['p']+'src/js/modules/main/apps/plugins/views/plugin/plugin.html/plugin.html';;_0x1f620a[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),_0x5537c6(0x1463),_0x5537c6(0x254f),_0x5537c6(0x142b),_0x5537c6(0x1986),_0x5537c6(0x1774)];function _0x1f620a(_0x59975d,_0x2c4ed4,_0x2e4815,_0x41135c,_0x527fe9,_0x3c8959){const _0x45d842=_0x5537c6,_0x21b06a=this;_0x21b06a[_0x45d842(0xe76)]=_0x3c8959[_0x45d842(0x21e8)](),_0x21b06a[_0x45d842(0xfd2)]={},_0x21b06a[_0x45d842(0xee2)]=_0x485181,_0x41135c[_0x45d842(0xfd2)][_0x45d842(0xbf7)]({'id':_0x59975d['params']['id']})[_0x45d842(0x1d77)][_0x45d842(0x1cb0)](function(_0x1d61b4){const _0x264383=_0x45d842;if(_0x1d61b4)return _0x21b06a[_0x264383(0xfd2)]=_0x1d61b4,_0x41135c[_0x264383(0xfd2)][_0x264383(0xbf7)]({'id':_0x21b06a['plugin']['id']})[_0x264383(0x1d77)];})[_0x45d842(0x1c4)](function(){const _0x131e36=_0x45d842;_0x21b06a[_0x131e36(0xfd2)]=undefined;});function _0x485181(){const _0x6ba6ca=_0x45d842;return _0x2e4815['trustAsResourceUrl'](_0x21b06a[_0x6ba6ca(0xfd2)][_0x6ba6ca(0x2c2)]);}}const _0x1a6a7a=_0x1f620a;;_0x463529[_0x5537c6(0x15b6)]=[_0x5537c6(0xbd6)];function _0x463529(_0x53f26c){const _0x2c5634=_0x5537c6;_0x53f26c[_0x2c5634(0x27e0)](_0x2c5634(0x26b5),{'url':'/plugin/:id','views':{'content@app':{'templateUrl':_0x4ad37c,'controller':'PluginController\x20as\x20vm'}},'resolve':{},'authenticate':!![],'bodyClass':'plugins'});}angular[_0x5537c6(0x9ab)]('app.plugins.plugin',[])[_0x5537c6(0xa60)](_0x463529)[_0x5537c6(0x6e5)](_0x5537c6(0x1061),_0x1a6a7a);;_0x4d48f9[_0x5537c6(0x15b6)]=[_0x5537c6(0xbd6),_0x5537c6(0x1f2a)];function _0x4d48f9(_0x390ca8,_0x29be10){const _0x27efcc=_0x5537c6;_0x390ca8[_0x27efcc(0x27e0)]('app.plugins',{'abstract':!![],'url':_0x27efcc(0x709)}),_0x29be10[_0x27efcc(0x4e7)](_0x27efcc(0xd03));}angular[_0x5537c6(0x9ab)]('app.plugins',[_0x5537c6(0x2770),_0x5537c6(0x2135),_0x5537c6(0x1260),_0x5537c6(0xacf),'mdColorPicker',_0x5537c6(0xd19),_0x5537c6(0x44c),_0x5537c6(0x2ec),_0x5537c6(0x1890),_0x5537c6(0x167d),_0x5537c6(0x208f),'mwFormViewer',_0x5537c6(0x2166),_0x5537c6(0x3b9),_0x5537c6(0x7c9),_0x5537c6(0xeb5),'angularMaterialFormBuilder','material.components.expansionPanels',_0x5537c6(0x1cbd),'angular.filter',_0x5537c6(0x26b5)])[_0x5537c6(0xa60)](_0x4d48f9);;const _0x24dbe2=_0x5074a3['p']+_0x5537c6(0x23c1);;_0x55469d[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x540),_0x5537c6(0xd97),'api',_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca),_0x5537c6(0x1b1a)];function _0x55469d(_0x5708be,_0x1aa6c6,_0xa97fd5,_0x5f0580,_0x9378f7,_0x57947f,_0x443f00,_0x52cf75,_0x496681,_0x1207d2,_0x1c7a5f,_0x1ad20d,_0xe6faf2,_0x4289bb){const _0x32bfe0=_0x5537c6,_0x41bf8c=this;_0x41bf8c[_0x32bfe0(0xe76)]=_0x1c7a5f['getCurrentUser'](),_0x41bf8c[_0x32bfe0(0x1a7c)]=[],_0x41bf8c['setting']=_0xe6faf2,_0x41bf8c[_0x32bfe0(0x8a5)]=_0x1ad20d,_0x41bf8c[_0x32bfe0(0x1b1a)]=_0x4289bb,_0x41bf8c[_0x32bfe0(0xf4c)]={},_0x41bf8c['passwordPattern']=_0x41bf8c[_0x32bfe0(0x9ca)]&&_0x41bf8c[_0x32bfe0(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x41bf8c['title']=_0x32bfe0(0x2c0),_0x41bf8c['screenRecording']=angular[_0x32bfe0(0x17fe)](_0x496681),_0x41bf8c[_0x32bfe0(0x540)]=_0x52cf75,_0x41bf8c[_0x32bfe0(0x2312)]=![];!_0x41bf8c[_0x32bfe0(0xd97)]&&(_0x41bf8c['screenRecording']={},_0x41bf8c[_0x32bfe0(0x1386)]=_0x32bfe0(0xd61),_0x41bf8c[_0x32bfe0(0x2312)]=!![]);_0x41bf8c[_0x32bfe0(0x2880)]=_0x247e4c,_0x41bf8c[_0x32bfe0(0x1dc8)]=_0x2adf7c,_0x41bf8c[_0x32bfe0(0x947)]=_0x21d812,_0x41bf8c[_0x32bfe0(0x2c4)]=_0x2c74ff,_0x41bf8c[_0x32bfe0(0xda0)]=_0x35c9ca,_0x1207d2[_0x32bfe0(0xebe)]['get']({'fields':_0x32bfe0(0x1d34),'sort':_0x32bfe0(0x16b6),'nolimit':_0x32bfe0(0x44d)})[_0x32bfe0(0x1d77)][_0x32bfe0(0x1cb0)](function(_0x19f13f){const _0xf90baa=_0x32bfe0;_0x41bf8c['users']=_0x19f13f[_0xf90baa(0x2214)]||[];})[_0x32bfe0(0x1c4)](function(_0x3e2ce8){const _0x45268b=_0x32bfe0;_0x443f00[_0x45268b(0x218e)]({'title':_0x3e2ce8['status']?_0x45268b(0xeb9)+_0x3e2ce8[_0x45268b(0x291)]+'\x20-\x20'+_0x3e2ce8[_0x45268b(0xc22)]:_0x45268b(0x124f),'msg':_0x3e2ce8[_0x45268b(0x25c)]?JSON['stringify'](_0x3e2ce8[_0x45268b(0x25c)]):_0x3e2ce8[_0x45268b(0x147f)]()});});function _0x247e4c(){const _0x344479=_0x32bfe0;_0x41bf8c[_0x344479(0x1a7c)]=[],_0x1207d2[_0x344479(0xd97)]['save'](_0x41bf8c[_0x344479(0xd97)])['$promise'][_0x344479(0x1cb0)](function(_0x62e9fa){const _0x2feac6=_0x344479;_0x41bf8c['screenRecordings'][_0x2feac6(0xf63)](_0x62e9fa[_0x2feac6(0x19b2)]()),_0x443f00[_0x2feac6(0x829)]({'title':_0x2feac6(0x1620),'msg':_0x41bf8c[_0x2feac6(0xd97)][_0x2feac6(0x16b6)]?_0x41bf8c[_0x2feac6(0xd97)][_0x2feac6(0x16b6)]+'\x20has\x20been\x20created!':''}),_0x35c9ca(_0x62e9fa);})[_0x344479(0x1c4)](function(_0x4ae63e){const _0x2c7e97=_0x344479;if(_0x4ae63e[_0x2c7e97(0x25c)]&&_0x4ae63e[_0x2c7e97(0x25c)][_0x2c7e97(0x1a7c)]&&_0x4ae63e[_0x2c7e97(0x25c)][_0x2c7e97(0x1a7c)][_0x2c7e97(0xfd0)]){_0x41bf8c[_0x2c7e97(0x1a7c)]=_0x4ae63e['data'][_0x2c7e97(0x1a7c)]||[{'message':_0x4ae63e[_0x2c7e97(0x147f)](),'type':_0x2c7e97(0x1d29)}];for(let _0x44cb49=0x0;_0x44cb49<_0x4ae63e['data']['errors']['length'];_0x44cb49+=0x1){_0x443f00[_0x2c7e97(0x218e)]({'title':_0x4ae63e[_0x2c7e97(0x25c)][_0x2c7e97(0x1a7c)][_0x44cb49][_0x2c7e97(0x66a)],'msg':_0x4ae63e[_0x2c7e97(0x25c)][_0x2c7e97(0x1a7c)][_0x44cb49][_0x2c7e97(0x155e)]});}}else _0x443f00['error']({'title':_0x4ae63e[_0x2c7e97(0x291)]?_0x2c7e97(0xeb9)+_0x4ae63e[_0x2c7e97(0x291)]+_0x2c7e97(0x1657)+_0x4ae63e[_0x2c7e97(0xc22)]:_0x2c7e97(0x1d29),'msg':_0x4ae63e[_0x2c7e97(0x25c)]?JSON[_0x2c7e97(0x2701)](_0x4ae63e[_0x2c7e97(0x25c)][_0x2c7e97(0x155e)]):_0x4ae63e[_0x2c7e97(0x147f)]()});});}function _0x2adf7c(){const _0x19e223=_0x32bfe0;_0x41bf8c[_0x19e223(0x1a7c)]=[],_0x1207d2['screenRecording'][_0x19e223(0x687)]({'id':_0x41bf8c[_0x19e223(0xd97)]['id']},_0x41bf8c['screenRecording'])[_0x19e223(0x1d77)][_0x19e223(0x1cb0)](function(_0x2304f7){const _0x4b4231=_0x19e223,_0x50a5d1=_0x39641b()['find'](_0x41bf8c['screenRecordings'],{'id':_0x2304f7['id']});_0x50a5d1&&_0x39641b()[_0x4b4231(0x9c1)](_0x50a5d1,_0x39641b()[_0x4b4231(0x169b)](_0x2304f7[_0x4b4231(0x19b2)](),_0x39641b()[_0x4b4231(0x1be5)](_0x50a5d1))),_0x443f00['success']({'title':'screenRecording\x20properly\x20saved!','msg':_0x41bf8c[_0x4b4231(0xd97)][_0x4b4231(0x16b6)]?_0x41bf8c[_0x4b4231(0xd97)][_0x4b4231(0x16b6)]+'\x20has\x20been\x20saved!':''}),_0x35c9ca(_0x2304f7);})['catch'](function(_0x283e24){const _0x47403d=_0x19e223;if(_0x283e24[_0x47403d(0x25c)]&&_0x283e24[_0x47403d(0x25c)][_0x47403d(0x1a7c)]&&_0x283e24[_0x47403d(0x25c)][_0x47403d(0x1a7c)][_0x47403d(0xfd0)]){_0x41bf8c[_0x47403d(0x1a7c)]=_0x283e24[_0x47403d(0x25c)][_0x47403d(0x1a7c)]||[{'message':_0x283e24[_0x47403d(0x147f)](),'type':_0x47403d(0x245a)}];for(let _0x1cf91a=0x0;_0x1cf91a<_0x283e24[_0x47403d(0x25c)][_0x47403d(0x1a7c)][_0x47403d(0xfd0)];_0x1cf91a++){_0x443f00[_0x47403d(0x218e)]({'title':_0x283e24[_0x47403d(0x25c)][_0x47403d(0x1a7c)][_0x1cf91a][_0x47403d(0x66a)],'msg':_0x283e24['data'][_0x47403d(0x1a7c)][_0x1cf91a][_0x47403d(0x155e)]});}}else _0x443f00[_0x47403d(0x218e)]({'title':_0x283e24[_0x47403d(0x291)]?'API:'+_0x283e24['status']+'\x20-\x20'+_0x283e24[_0x47403d(0xc22)]:_0x47403d(0x245a),'msg':_0x283e24[_0x47403d(0x25c)]?JSON[_0x47403d(0x2701)](_0x283e24[_0x47403d(0x25c)][_0x47403d(0x155e)]):_0x283e24[_0x47403d(0x147f)]()});});}function _0x21d812(_0x4b9adc){const _0x206e0c=_0x32bfe0;_0x41bf8c[_0x206e0c(0x1a7c)]=[];const _0x2a6f64=_0x5f0580[_0x206e0c(0x1551)]()[_0x206e0c(0x1386)](_0x206e0c(0x1a2e))[_0x206e0c(0x862)]('The\x20screenRecording\x20will\x20be\x20deleted.')[_0x206e0c(0x15ad)]('Delete\x20screenRecording')['ok'](_0x206e0c(0x2594))[_0x206e0c(0x696)]('Cancel')[_0x206e0c(0x728)](_0x4b9adc);_0x5f0580[_0x206e0c(0xe27)](_0x2a6f64)[_0x206e0c(0x1cb0)](function(){const _0x5c45e3=_0x206e0c;_0x1207d2['screenRecording']['delete']({'id':_0x41bf8c[_0x5c45e3(0xd97)]['id']})[_0x5c45e3(0x1d77)][_0x5c45e3(0x1cb0)](function(){const _0x53adc4=_0x5c45e3;_0x39641b()[_0x53adc4(0x152a)](_0x41bf8c[_0x53adc4(0x540)],{'id':_0x41bf8c[_0x53adc4(0xd97)]['id']}),_0x443f00[_0x53adc4(0x829)]({'title':_0x53adc4(0x1057),'msg':(_0x41bf8c[_0x53adc4(0xd97)][_0x53adc4(0x16b6)]||_0x53adc4(0xd97))+_0x53adc4(0x3f5)}),_0x35c9ca(_0x41bf8c[_0x53adc4(0xd97)]);})[_0x5c45e3(0x1c4)](function(_0x4fcbd0){const _0x3eb49d=_0x5c45e3;if(_0x4fcbd0[_0x3eb49d(0x25c)]&&_0x4fcbd0[_0x3eb49d(0x25c)][_0x3eb49d(0x1a7c)]&&_0x4fcbd0['data'][_0x3eb49d(0x1a7c)][_0x3eb49d(0xfd0)]){_0x41bf8c[_0x3eb49d(0x1a7c)]=_0x4fcbd0['data'][_0x3eb49d(0x1a7c)]||[{'message':_0x4fcbd0['toString'](),'type':_0x3eb49d(0x4cc)}];for(let _0xc22050=0x0;_0xc22050<_0x4fcbd0[_0x3eb49d(0x25c)][_0x3eb49d(0x1a7c)][_0x3eb49d(0xfd0)];_0xc22050++){_0x443f00[_0x3eb49d(0x218e)]({'title':_0x4fcbd0[_0x3eb49d(0x25c)][_0x3eb49d(0x1a7c)][_0xc22050]['type'],'msg':_0x4fcbd0[_0x3eb49d(0x25c)][_0x3eb49d(0x1a7c)][_0xc22050][_0x3eb49d(0x155e)]});}}else _0x443f00[_0x3eb49d(0x218e)]({'title':_0x4fcbd0[_0x3eb49d(0x291)]?_0x3eb49d(0xeb9)+_0x4fcbd0[_0x3eb49d(0x291)]+_0x3eb49d(0x1657)+_0x4fcbd0[_0x3eb49d(0xc22)]:_0x3eb49d(0x4cc),'msg':_0x4fcbd0[_0x3eb49d(0x25c)]?JSON[_0x3eb49d(0x2701)](_0x4fcbd0[_0x3eb49d(0x25c)][_0x3eb49d(0x155e)]):_0x4fcbd0[_0x3eb49d(0x155e)]||_0x4fcbd0['toString']()});});},function(){});}function _0x2c74ff(_0x307703){return _0x307703===null?undefined:new Date(_0x307703);}function _0x35c9ca(_0x48663){const _0x3d1c73=_0x32bfe0;_0x5f0580[_0x3d1c73(0x1426)](_0x48663);}}const _0x4ca68a=_0x55469d;;_0x21e88b[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0xcb9),_0x5537c6(0x9bf),'api','recording',_0x5537c6(0x2310),_0x5537c6(0x10f8),_0x5537c6(0x669),'elementTag','$q'];function _0x21e88b(_0x811847,_0x1d5710,_0x2fb4ee,_0x26d027,_0xc0e674,_0x5a2d4b,_0x4f275e,_0x48404c,_0x2db4dc,_0xc099d0){const _0x5af27f=_0x5537c6,_0x563d1d=this;_0x563d1d[_0x5af27f(0x2279)]=![],_0x563d1d['recording']=Object[_0x5af27f(0xfad)]({},_0xc0e674),_0x563d1d[_0x5af27f(0x15d0)]=_0x5af27f(0xd97),_0x563d1d[_0x5af27f(0x1853)]=_0x2db4dc,_0x563d1d[_0x5af27f(0x2310)]=Object['assign']({},_0x5a2d4b),_0x563d1d[_0x5af27f(0x1fe)]=_0x48404c,_0x563d1d[_0x5af27f(0x10f8)]=_0x4f275e,_0x563d1d['app']='video',_0x563d1d[_0x5af27f(0xf72)]=_0x5af27f(0xd97),console[_0x5af27f(0x1b4f)](_0x5af27f(0x2888),_0x563d1d[_0x5af27f(0x1fe)]),console[_0x5af27f(0x1b4f)](_0x5af27f(0x27a7),_0x563d1d[_0x5af27f(0x1b6f)][_0x563d1d['path']]),_0x563d1d[_0x5af27f(0x179a)]=_0x563d1d[_0x5af27f(0x1b6f)][_0x563d1d[_0x5af27f(0x1fe)]][_0x5af27f(0xd8a)]('/')>-0x1?_0x563d1d[_0x5af27f(0x1b6f)][_0x563d1d['path']][_0x5af27f(0x2371)](_0x563d1d[_0x5af27f(0x1b6f)][_0x563d1d[_0x5af27f(0x1fe)]][_0x5af27f(0x142c)]('/')+0x1):_0x563d1d[_0x5af27f(0x1b6f)][_0x563d1d[_0x5af27f(0x1fe)]][_0x5af27f(0xd8a)]('\x5c')>-0x1?_0x563d1d['recording'][_0x563d1d[_0x5af27f(0x1fe)]]['substring'](_0x563d1d[_0x5af27f(0x1b6f)][_0x563d1d[_0x5af27f(0x1fe)]][_0x5af27f(0x142c)]('\x5c')+0x1):_0x563d1d[_0x5af27f(0x1b6f)][_0x563d1d['path']],_0x563d1d[_0x5af27f(0x1f31)]=![];_0x39641b()[_0x5af27f(0x958)](_0x563d1d[_0x5af27f(0x1b6f)][_0x5af27f(0x1f31)])&&(_0x563d1d[_0x5af27f(0x1b6f)][_0x5af27f(0x1f31)]=_0x563d1d[_0x5af27f(0x179a)][_0x5af27f(0x14cb)](_0x563d1d[_0x5af27f(0x179a)][_0x5af27f(0x142c)]('.')));(_0x563d1d[_0x5af27f(0x1b6f)]['format']===_0x5af27f(0x211c)&&_0x563d1d['element']===_0x5af27f(0x297d)||_0x563d1d[_0x5af27f(0x1b6f)]['format']===_0x5af27f(0x2006)&&_0x563d1d[_0x5af27f(0x1853)]===_0x5af27f(0x121f))&&(_0x563d1d[_0x5af27f(0x1f31)]=!![]);_0x563d1d[_0x5af27f(0x18d3)]=!![];_0x563d1d['format']&&_0x42dd67();function _0x42dd67(){const _0x4950aa=_0x5af27f,_0x1193fb=_0xc099d0[_0x4950aa(0x11f4)]();return _0x26d027[_0x4950aa(0xd97)][_0x4950aa(0x26ec)]({'id':_0x563d1d[_0x4950aa(0x1b6f)]['id'],'path':String(_0x563d1d[_0x4950aa(0x1fe)])})[_0x4950aa(0x1d77)]['then'](function(){const _0x28c02b=_0x4950aa;_0x563d1d[_0x28c02b(0x1f31)]=!![],_0x563d1d[_0x28c02b(0x18d3)]=!![],_0x1193fb['resolve']();})[_0x4950aa(0x1c4)](function(){const _0x5124e1=_0x4950aa;console[_0x5124e1(0x1b4f)](_0x5124e1(0x12d5)),_0x563d1d['format']=![],_0x563d1d[_0x5124e1(0x18d3)]=![],_0x1193fb[_0x5124e1(0x19a3)]();}),_0x1193fb[_0x4950aa(0x2061)];}if(!_0x39641b()['isNil'](_0x5a2d4b[_0x5af27f(0x238b)])&&_0x5a2d4b[_0x5af27f(0x238b)]){_0x563d1d['properties']=Object[_0x5af27f(0xfad)]({},_0xc0e674);const _0x193d90=Object[_0x5af27f(0x1be5)](_0xc0e674);_0x193d90[_0x5af27f(0xf90)](function(_0x58a087){const _0x2b9041=_0x5af27f;String(_0x58a087[0x0][_0x2b9041(0x2335)]())===String(_0x58a087[0x0])&&delete _0x563d1d[_0x2b9041(0x238b)][_0x58a087];}),_0x563d1d[_0x5af27f(0x2898)]={};if(!_0x39641b()[_0x5af27f(0x958)](_0x5a2d4b[_0x5af27f(0x17fb)])&&_0x5a2d4b[_0x5af27f(0x17fb)]['length']>0x0){_0x563d1d['propertiesDefault']=Object[_0x5af27f(0xfad)]({},_0xc0e674);const _0x235445=_0x5a2d4b[_0x5af27f(0x17fb)],_0x43cf56=Object['keys'](_0xc0e674);let _0x237c1b=!![];_0x43cf56[_0x5af27f(0xf90)](function(_0x7aab15){const _0xbe28d6=_0x5af27f;_0x237c1b=!![],_0x235445['forEach'](function(_0x4acc09){String(_0x7aab15)===String(_0x4acc09)&&(_0x237c1b=![]);}),_0x237c1b&&delete _0x563d1d[_0xbe28d6(0x2898)][_0x7aab15];}),_0x563d1d[_0x5af27f(0x238b)]=_0x563d1d[_0x5af27f(0x2898)];}if(!_0x39641b()['isNil'](_0x5a2d4b[_0x5af27f(0x1881)])&&_0x5a2d4b[_0x5af27f(0x1881)][_0x5af27f(0xfd0)]>0x0){_0x563d1d['propertiesExtra']={};const _0x43c87a=_0x5a2d4b['extraData'];for(let _0x12c8f4=0x0;_0x12c8f4<_0x43c87a['length'];_0x12c8f4++){const _0x2a4d5a=_0x43c87a[_0x12c8f4][_0x5af27f(0x27aa)],_0xe0eae8=_0x43c87a[_0x12c8f4][_0x5af27f(0xf72)];for(let _0x77a65c=0x0;_0x77a65c<_0x2a4d5a[_0x5af27f(0xfd0)];_0x77a65c++){_0x563d1d[_0x5af27f(0x206)][_0x2a4d5a[_0x77a65c][_0x5af27f(0x19d3)]]=_0xc0e674[_0xe0eae8][_0x2a4d5a[_0x77a65c]['key']];}}_0x563d1d['properties']=Object[_0x5af27f(0xfad)]({},_0x563d1d[_0x5af27f(0x2898)],_0x563d1d[_0x5af27f(0x206)]);}}_0x563d1d[_0x5af27f(0xda0)]=_0x49d5e2;function _0x49d5e2(){const _0x459cc8=_0x5af27f;_0x1d5710[_0x459cc8(0x1426)]();}}const _0x373157=_0x21e88b;;const _0x52b3f8=_0x5074a3['p']+_0x5537c6(0x2727);;const _0x548fdc=_0x5074a3['p']+'src/js/modules/main/apps/video/views/screenRecordings/partialViews/play.html/play.html';;_0x4afe7b['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x1f10),_0x5537c6(0x406),'$mdSidenav',_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0x540),_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x142b),_0x5537c6(0xde8),_0x5537c6(0x9bf),_0x5537c6(0x1774),'license',_0x5537c6(0x9ca)];function _0x4afe7b(_0x56e0b9,_0x44b567,_0x2dd644,_0x2633b3,_0x2434ad,_0x324f96,_0x5ebd3d,_0x30a93c,_0x40b830,_0x536664,_0x467f98,_0x369ef3,_0x1bbaa4,_0x57dc52,_0x1fe9eb,_0x4912d0,_0x23903a){const _0x51dd62=_0x5537c6,_0x23fd72=this;_0x23fd72['license']=_0x4912d0,_0x23fd72[_0x51dd62(0x9ca)]=_0x23903a,_0x23fd72[_0x51dd62(0xe76)]=_0x1fe9eb[_0x51dd62(0x21e8)](),_0x23fd72['screenRecordings']=_0x40b830||{'count':0x0,'rows':[]},_0x23fd72[_0x51dd62(0x44a)]=_0x536664,_0x23fd72['userProfileSection']=_0x467f98&&_0x467f98['count']==0x1?_0x467f98[_0x51dd62(0x2214)][0x0]:null,_0x23fd72[_0x51dd62(0x1b1a)]=_0x1fe9eb[_0x51dd62(0x14ea)](_0x23fd72['userProfileSection']?_0x23fd72[_0x51dd62(0x2199)][_0x51dd62(0x1b1a)]:null),_0x23fd72[_0x51dd62(0xc83)]=_0x51dd62(0x540),_0x23fd72[_0x51dd62(0x1d20)]='',_0x23fd72[_0x51dd62(0x1cdf)]=null,_0x23fd72['selectedScreenRecordings']=[],_0x23fd72[_0x51dd62(0xae2)]={'fields':_0x51dd62(0x242c),'createdAt':'@msUtils.firstLastDayMonth()','includeAll':_0x51dd62(0x44d),'sort':_0x51dd62(0x282),'limit':0xa,'page':0x1},_0x23fd72['query'][_0x51dd62(0x24cb)]=_0x1bbaa4[_0x51dd62(0xa1e)](),_0x23fd72['quickFilters']=_0x2091d3();function _0x2091d3(){const _0x1b6318=_0x51dd62;return[{'name':_0x1b6318(0x68d),'key':'createdAt','type':_0x1b6318(0x18ec),'label':_0x1b6318(0x2186)}];}_0x23fd72[_0x51dd62(0xcd7)]=_0x2b81e5,_0x23fd72[_0x51dd62(0x1610)]=_0x2a94f3,_0x23fd72['deleteconfirm']=_0x342485,_0x23fd72[_0x51dd62(0x829)]=_0x58f2fd,_0x23fd72['getScreenRecordings']=_0x2018fd,_0x23fd72[_0x51dd62(0xfea)]=_0xabd4cd,_0x23fd72[_0x51dd62(0x947)]=_0x459763,_0x23fd72['exportSelectedScreenRecordings']=_0x5a2dbb,_0x23fd72[_0x51dd62(0x11b8)]=_0x534917,_0x23fd72['deselectScreenRecordings']=_0x49f683,_0x23fd72[_0x51dd62(0x1142)]=_0x4b8eea,_0x23fd72[_0x51dd62(0x553)]=_0x1c3d7,_0x369ef3[_0x51dd62(0xebe)][_0x51dd62(0xbf7)]({'fields':_0x51dd62(0x1d34),'sort':_0x51dd62(0x16b6),'nolimit':_0x51dd62(0x44d)})[_0x51dd62(0x1d77)]['then'](function(_0x79c40b){const _0x2cc7b7=_0x51dd62;_0x23fd72[_0x2cc7b7(0x1e30)]=_0x79c40b['rows']||[];})[_0x51dd62(0x1c4)](function(_0x67de68){const _0x1ae40d=_0x51dd62;_0x57dc52[_0x1ae40d(0x218e)]({'title':_0x67de68[_0x1ae40d(0x291)]?'API:'+_0x67de68[_0x1ae40d(0x291)]+_0x1ae40d(0x1657)+_0x67de68[_0x1ae40d(0xc22)]:_0x1ae40d(0x124f),'msg':_0x67de68['data']?JSON[_0x1ae40d(0x2701)](_0x67de68[_0x1ae40d(0x25c)]):_0x67de68[_0x1ae40d(0x147f)]()});});function _0x2b81e5(_0x2c8055,_0x32fc61,_0x498add,_0x32c985,_0x46d715){const _0x2a77b7=_0x51dd62,_0x23bf54={'upperTitle':![],'lowerTitle':!![],'properties':!![],'propertiesIcon':_0x2a77b7(0x11ab),'propertiesList':['format',_0x2a77b7(0x1472),_0x2a77b7(0x2483),_0x2a77b7(0x88c),_0x2a77b7(0xecd),_0x2a77b7(0x24cb)],'extraData':[{'model':_0x2a77b7(0x1597),'values':[{'key':'name','text':_0x2a77b7(0x1b12)}]}]},_0x5ffa8d={};_0x5ffa8d['route']=_0x2a77b7(0xd97),_0x5ffa8d['name']='screenRecordings',_0x5ffa8d[_0x2a77b7(0xf72)]=_0x2a77b7(0xd97),_0x5ffa8d[_0x2a77b7(0x1334)]=_0x2a77b7(0xd97),_0x32c985=String(_0x32c985);const _0x38ca8c=String(_0x498add);console[_0x2a77b7(0x1b4f)](_0x2a77b7(0xc5e),String(_0x498add)),_0x2434ad[_0x2a77b7(0xe27)]({'controller':'ScreenRecordingplayController','controllerAs':'vm','templateUrl':_0x548fdc,'parent':angular[_0x2a77b7(0x1853)](_0x324f96[_0x2a77b7(0x1ed9)]),'targetEvent':_0x32fc61,'clickOutsideToClose':!![],'locals':{'recording':_0x2c8055,'recordings':_0x23fd72['screenRecordings'][_0x2a77b7(0x2214)],'license':_0x23fd72[_0x2a77b7(0x8a5)],'setting':null,'item':_0x5ffa8d,'actionOptions':_0x23bf54,'label':_0x32c985,'varPath':_0x38ca8c,'elementTag':String(_0x46d715)}});}function _0x2a94f3(_0x37f207){const _0x4c0695=_0x51dd62;let _0x3dd30f=![];const _0x3dc74b=!_0x39641b()[_0x4c0695(0x958)](_0x37f207['format'])?_0x37f207['format']:_0x37f207[_0x4c0695(0x327)]['substring'](_0x37f207[_0x4c0695(0x327)][_0x4c0695(0x142c)]('.'));_0x3dd30f=_0x3dc74b==='.mp4'?!![]:![];const _0x41153d=_0x37f207[_0x4c0695(0x327)]['lastIndexOf']('/')>-0x1?_0x37f207['value'][_0x4c0695(0x2371)](_0x37f207[_0x4c0695(0x327)]['lastIndexOf']('/')+0x1):_0x37f207[_0x4c0695(0x327)][_0x4c0695(0x142c)]('\x5c')>-0x1?_0x37f207['value']['substring'](_0x37f207[_0x4c0695(0x327)][_0x4c0695(0x142c)]('\x5c')+0x1):_0x37f207[_0x4c0695(0x327)];return _0x369ef3['screenRecording']['download']({'id':_0x37f207['id']})[_0x4c0695(0x1d77)][_0x4c0695(0x1cb0)](function(_0x858a7b){const _0x4fada0=_0x4c0695,_0x2fdc7a=[_0x858a7b[_0x4fada0(0xef0)]];let _0x298100=_0x4fada0(0x26ec);const _0x2f2c28=new Blob(_0x2fdc7a,{'type':_0x858a7b[_0x4fada0(0x66a)]});_0x298100=_0x41153d;const _0x6761f6=window[_0x4fada0(0x1db8)]['createElement']('a');_0x6761f6[_0x4fada0(0x23b9)](_0x4fada0(0x105b),URL[_0x4fada0(0x2247)](_0x2f2c28)),_0x6761f6[_0x4fada0(0x23b9)](_0x4fada0(0x26ec),_0x298100),document['body'][_0x4fada0(0x23de)](_0x6761f6),_0x6761f6['click']();})[_0x4c0695(0x1c4)](function(_0x5a8478){const _0x570240=_0x4c0695;if(_0x5a8478[_0x570240(0x25c)]&&_0x5a8478[_0x570240(0x25c)]['errors']&&_0x5a8478[_0x570240(0x25c)]['errors'][_0x570240(0xfd0)])for(let _0x1622b7=0x0;_0x1622b7<_0x5a8478[_0x570240(0x25c)][_0x570240(0x1a7c)]['length'];_0x1622b7++){_0x57dc52[_0x570240(0x218e)]({'title':_0x5a8478['data']['errors'][_0x1622b7][_0x570240(0x66a)],'msg':_0x5a8478[_0x570240(0x25c)][_0x570240(0x1a7c)][_0x1622b7]['message']});}else{if(String(_0x5a8478[_0x570240(0x291)])==='404'&&_0x3dd30f===![])_0x57dc52[_0x570240(0x28c7)]({'title':_0x30a93c[_0x570240(0x25cc)]('APP.CANT_DOWNLOAD_THIS_FILE'),'msg':_0x30a93c[_0x570240(0x25cc)]('APP.WRONG_EXTENSION')});else String(_0x5a8478['status'])==='404'?_0x57dc52['info']({'title':_0x30a93c[_0x570240(0x25cc)]('APP.CANT_DOWNLOAD_THIS_FILE'),'msg':_0x30a93c[_0x570240(0x25cc)](_0x570240(0x869))}):_0x57dc52[_0x570240(0x218e)]({'title':_0x5a8478[_0x570240(0x291)]?'API:'+_0x5a8478[_0x570240(0x291)]+_0x570240(0x1657)+_0x5a8478['statusText']:_0x570240(0x1d29),'msg':_0x5a8478[_0x570240(0x25c)]?JSON[_0x570240(0x2701)](_0x5a8478[_0x570240(0x25c)]['message']):_0x5a8478['toString']()});}});}function _0x342485(_0xc1b492,_0x483fa9){const _0x9fb3a3=_0x51dd62,_0x47c4c0=_0x2434ad['confirm']()[_0x9fb3a3(0x1386)](_0x9fb3a3(0x140b)+_0x39641b()[_0x9fb3a3(0xa75)](_0x9fb3a3(0xd97))+'?')[_0x9fb3a3(0x49e)](_0x9fb3a3(0x204d)+(_0xc1b492['name']||_0x9fb3a3(0xd97))+_0x9fb3a3(0x1200)+_0x9fb3a3(0x1b6))[_0x9fb3a3(0x15ad)]('delete\x20screenRecording')['targetEvent'](_0x483fa9)['ok']('OK')['cancel'](_0x9fb3a3(0x24ba));_0x2434ad[_0x9fb3a3(0xe27)](_0x47c4c0)[_0x9fb3a3(0x1cb0)](function(){_0x459763(_0xc1b492);},function(){console['log']('CANCEL');});}let _0x3bb2ce=!![],_0xa78776=0x1;_0x56e0b9[_0x51dd62(0x614)](_0x51dd62(0x957),function(_0x5252d1,_0x39fb90){const _0x30ca7f=_0x51dd62;_0x3bb2ce?_0x5ebd3d(function(){_0x3bb2ce=![];}):(!_0x39fb90&&(_0xa78776=_0x23fd72['query']['page']),_0x5252d1!==_0x39fb90&&(_0x23fd72[_0x30ca7f(0xae2)]['page']=0x1),!_0x5252d1&&(_0x23fd72[_0x30ca7f(0xae2)][_0x30ca7f(0x1c7b)]=_0xa78776),_0x23fd72[_0x30ca7f(0x17b5)]());});function _0x1c3d7(_0x4bc1ea){const _0x50c808=_0x51dd62,_0x4582ec=Math[_0x50c808(0x3aa)](_0x4bc1ea/0x3e8%0x3c),_0x22029a=Math['floor'](_0x4bc1ea/(0x3e8*0x3c)%0x3c),_0x32c760=Math[_0x50c808(0x3aa)](_0x4bc1ea/(0x3e8*0x3c*0x3c)%0x18),_0xc7e236=_0x32c760<0xa?'0'+String(_0x32c760):String(_0x32c760),_0x403343=_0x22029a<0xa?'0'+String(_0x22029a):String(_0x22029a),_0x4b7206=_0x4582ec<0xa?'0'+String(_0x4582ec):String(_0x4582ec);return _0xc7e236+':'+_0x403343+':'+_0x4b7206;}function _0x58f2fd(_0x3f2380){const _0x16d86f=_0x51dd62;_0x23fd72[_0x16d86f(0x540)]=_0x3f2380||{'count':0x0,'rows':[]};}function _0x2018fd(){const _0x3e60a3=_0x51dd62;_0x23fd72[_0x3e60a3(0xae2)][_0x3e60a3(0x184b)]=(_0x23fd72['query'][_0x3e60a3(0x1c7b)]-0x1)*_0x23fd72[_0x3e60a3(0xae2)]['limit'],_0x1fe9eb[_0x3e60a3(0x22b6)](_0x3e60a3(0x1c60))?_0x23fd72[_0x3e60a3(0x2061)]=_0x369ef3[_0x3e60a3(0xd97)]['get'](_0x23fd72[_0x3e60a3(0xae2)],_0x58f2fd)[_0x3e60a3(0x1d77)]:(_0x23fd72['query']['id']=_0x23fd72[_0x3e60a3(0x44a)]['id'],_0x23fd72[_0x3e60a3(0xae2)][_0x3e60a3(0x1f74)]=_0x3e60a3(0x1a83),_0x23fd72[_0x3e60a3(0x2061)]=_0x369ef3[_0x3e60a3(0x44a)]['getResources'](_0x23fd72[_0x3e60a3(0xae2)],_0x58f2fd)['$promise']);}function _0xabd4cd(_0x1b3209,_0x528cb2){const _0x336c69=_0x51dd62;_0x2434ad[_0x336c69(0xe27)]({'controller':_0x336c69(0x14af),'controllerAs':'vm','templateUrl':_0x52b3f8,'parent':angular[_0x336c69(0x1853)](_0x324f96[_0x336c69(0x1ed9)]),'targetEvent':_0x1b3209,'clickOutsideToClose':!![],'locals':{'screenRecording':_0x528cb2,'screenRecordings':_0x23fd72[_0x336c69(0x540)][_0x336c69(0x2214)],'license':_0x23fd72[_0x336c69(0x8a5)],'setting':_0x23fd72[_0x336c69(0x9ca)],'crudPermissions':_0x23fd72['crudPermissions']}});}function _0x459763(_0x41b5e7){const _0x18145a=_0x51dd62;_0x369ef3[_0x18145a(0xd97)]['delete']({'id':_0x41b5e7['id']})[_0x18145a(0x1d77)][_0x18145a(0x1cb0)](function(){const _0x33fd22=_0x18145a;_0x39641b()['remove'](_0x23fd72['screenRecordings']['rows'],{'id':_0x41b5e7['id']}),_0x23fd72[_0x33fd22(0x540)][_0x33fd22(0x184d)]-=0x1,!_0x23fd72[_0x33fd22(0x540)][_0x33fd22(0x2214)]['length']&&_0x23fd72[_0x33fd22(0x17b5)](),_0x57dc52[_0x33fd22(0x829)]({'title':_0x39641b()[_0x33fd22(0xa75)](_0x33fd22(0xd97))+_0x33fd22(0x2663),'msg':_0x41b5e7[_0x33fd22(0x16b6)]?_0x41b5e7['name']+_0x33fd22(0x3f5):''});})[_0x18145a(0x1c4)](function(_0x113315){const _0x2aaa90=_0x18145a;if(_0x113315['data']&&_0x113315[_0x2aaa90(0x25c)][_0x2aaa90(0x1a7c)]&&_0x113315[_0x2aaa90(0x25c)]['errors'][_0x2aaa90(0xfd0)]){_0x23fd72[_0x2aaa90(0x1a7c)]=_0x113315[_0x2aaa90(0x25c)][_0x2aaa90(0x1a7c)]||[{'message':_0x113315['toString'](),'type':_0x2aaa90(0xe0a)}];for(let _0x256687=0x0;_0x256687<_0x113315[_0x2aaa90(0x25c)][_0x2aaa90(0x1a7c)][_0x2aaa90(0xfd0)];_0x256687++){_0x57dc52[_0x2aaa90(0x218e)]({'title':_0x113315[_0x2aaa90(0x25c)]['errors'][_0x256687]['type'],'msg':_0x113315[_0x2aaa90(0x25c)]['errors'][_0x256687][_0x2aaa90(0x155e)]});}}else _0x57dc52[_0x2aaa90(0x218e)]({'title':_0x113315[_0x2aaa90(0x291)]?_0x2aaa90(0xeb9)+_0x113315['status']+_0x2aaa90(0x1657)+_0x113315[_0x2aaa90(0xc22)]:_0x2aaa90(0xe0a),'msg':_0x113315[_0x2aaa90(0x25c)]?JSON[_0x2aaa90(0x2701)](_0x113315[_0x2aaa90(0x25c)][_0x2aaa90(0x155e)]):_0x113315[_0x2aaa90(0x155e)]||_0x113315[_0x2aaa90(0x147f)]()});});}function _0x5a2dbb(){const _0xd415f1=_0x51dd62,_0x12161e=angular[_0xd415f1(0x17fe)](_0x23fd72[_0xd415f1(0xadd)]);return _0x23fd72[_0xd415f1(0xadd)]=[],_0x12161e;}function _0x534917(_0x2fafb4){const _0x3ec413=_0x51dd62,_0x39ae39=_0x2434ad['confirm']()[_0x3ec413(0x1386)](_0x3ec413(0x26d8))[_0x3ec413(0x49e)](_0x3ec413(0x204d)+_0x23fd72['selectedScreenRecordings'][_0x3ec413(0xfd0)]+_0x3ec413(0x1d6c)+'\x20will\x20be\x20deleted.')[_0x3ec413(0x15ad)](_0x3ec413(0xb5f))['targetEvent'](_0x2fafb4)['ok']('OK')[_0x3ec413(0x696)](_0x3ec413(0x24ba));_0x2434ad[_0x3ec413(0xe27)](_0x39ae39)['then'](function(){const _0x5a6a55=_0x3ec413;_0x23fd72['selectedScreenRecordings'][_0x5a6a55(0xf90)](function(_0xf4e044){_0x459763(_0xf4e044);}),_0x23fd72['selectedScreenRecordings']=[];});}function _0x49f683(){const _0x15835e=_0x51dd62;_0x23fd72[_0x15835e(0xadd)]=[];}function _0x4b8eea(){const _0x280ad1=_0x51dd62;_0x23fd72[_0x280ad1(0xadd)]=_0x23fd72['screenRecordings']['rows'];}}const _0x26d7d2=_0x4afe7b;;_0x1dce25['$inject']=[_0x5537c6(0xbd6),'$translatePartialLoaderProvider'];function _0x1dce25(_0x4010f2,_0x59ea10){const _0x28b5b0=_0x5537c6;_0x4010f2[_0x28b5b0(0x27e0)](_0x28b5b0(0x1f28),{'abstract':!![],'url':_0x28b5b0(0x1335)})[_0x28b5b0(0x27e0)](_0x28b5b0(0x1339),{'url':_0x28b5b0(0x26a5),'views':{'content@app':{'templateUrl':_0x24dbe2,'controller':_0x28b5b0(0x186a)}},'resolve':{'screenRecordings':[_0x28b5b0(0x1e0b),_0x28b5b0(0x1774),_0x28b5b0(0xde8),function(_0xb773b4,_0x267f23,_0x186cae){const _0x4ea733=_0x28b5b0;return _0x267f23[_0x4ea733(0x22b6)](_0x4ea733(0x1c60))?_0xb773b4[_0x4ea733(0x19a3)]('screenRecording@get',{'fields':_0x4ea733(0x242c),'createdAt':_0x186cae[_0x4ea733(0xa1e)](),'includeAll':_0x4ea733(0x44d),'sort':_0x4ea733(0x282),'limit':0xa,'offset':0x0}):_0xb773b4[_0x4ea733(0x19a3)]('userProfile@getResources',{'id':_0x267f23[_0x4ea733(0x21e8)]()[_0x4ea733(0x13c1)],'section':_0x4ea733(0x1a83),'fields':_0x4ea733(0x242c),'createdAt':_0x186cae[_0x4ea733(0xa1e)](),'includeAll':'true','sort':_0x4ea733(0x282),'limit':0xa,'offset':0x0});}],'userProfile':[_0x28b5b0(0x1e0b),_0x28b5b0(0x1774),function(_0x4971c4,_0x4f2cdd){const _0x167e4f=_0x28b5b0;return _0x4f2cdd['hasRole'](_0x167e4f(0x1c60))?null:_0x4971c4['resolve'](_0x167e4f(0x9ae),{'fields':_0x167e4f(0x279),'id':_0x4f2cdd[_0x167e4f(0x21e8)]()[_0x167e4f(0x13c1)]});}],'userProfileSection':[_0x28b5b0(0x1e0b),_0x28b5b0(0x1774),function(_0x4f5695,_0x567f5d){const _0x29a2f3=_0x28b5b0;return _0x567f5d[_0x29a2f3(0x22b6)](_0x29a2f3(0x1c60))?null:_0x4f5695[_0x29a2f3(0x19a3)](_0x29a2f3(0x2182),{'fields':_0x29a2f3(0x1f5f),'userProfileId':_0x567f5d[_0x29a2f3(0x21e8)]()['userProfileId'],'sectionId':0x7d1});}]},'authenticate':!![],'permissionId':0x7d1,'bodyClass':'video'}),_0x59ea10[_0x28b5b0(0x4e7)](_0x28b5b0(0x1042));}angular[_0x5537c6(0x9ab)](_0x5537c6(0x1f28),[_0x5537c6(0x2770),_0x5537c6(0x2135),_0x5537c6(0x1260),_0x5537c6(0xacf),_0x5537c6(0x18f6),_0x5537c6(0xd19),_0x5537c6(0x44c),_0x5537c6(0x2ec),_0x5537c6(0x1890),'mdPickers',_0x5537c6(0x208f),_0x5537c6(0x1b65),'mwFormUtils',_0x5537c6(0x3b9),'angular-cron-jobs','ngEmbed','angularMaterialFormBuilder',_0x5537c6(0x13b6),'chart.js',_0x5537c6(0xd01)])[_0x5537c6(0xa60)](_0x1dce25)[_0x5537c6(0x6e5)](_0x5537c6(0x14af),_0x4ca68a)['controller'](_0x5537c6(0x13d9),_0x373157)['controller'](_0x5537c6(0x39d),_0x26d7d2);;const _0x605b1c=_0x5074a3['p']+_0x5537c6(0x220b);;const _0x38968c=_0x5074a3['p']+_0x5537c6(0x17ec);;const _0x1e7e06=_0x5074a3['p']+_0x5537c6(0x823);;_0x2fe6a4[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),'$q','$timeout',_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0xc34),_0x5537c6(0x8de),_0x5537c6(0xc12),_0x5537c6(0x212d),_0x5537c6(0x142b),_0x5537c6(0x1986),_0x5537c6(0x9bf),_0x5537c6(0x125c),_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x1714)];function _0x2fe6a4(_0x2f824c,_0x5e1ced,_0x46ba88,_0x3eed2d,_0x4c0c60,_0x3eef8f,_0x112b85,_0x1aa823,_0xdb3b28,_0x2ce79d,_0x308527,_0x445766,_0x11101e,_0x1d602d,_0x27745f,_0x460070,_0x3ba9a8,_0x5bd506){const _0x5860cf=_0x5537c6,_0x642892=this,_0x4ab298=[_0x5860cf(0x70a),_0x5860cf(0xa28),_0x5860cf(0x24a2),'lastPauseAt',_0x5860cf(0x16e6),_0x5860cf(0x25db),_0x5860cf(0xdbd),_0x5860cf(0x16aa),_0x5860cf(0xf0c),_0x5860cf(0x1c7d),_0x5860cf(0x2035),_0x5860cf(0x22d3),'whatsappPause',_0x5860cf(0x1ce),_0x5860cf(0x2478),_0x5860cf(0x1aee),_0x5860cf(0x391),_0x5860cf(0x2789),_0x5860cf(0x3d0),_0x5860cf(0x9cd),_0x5860cf(0x2451),_0x5860cf(0x132d),_0x5860cf(0x1cd3),_0x5860cf(0x2947),_0x5860cf(0x2472),'whatsappCurrentCapacity',_0x5860cf(0x2336),_0x5860cf(0x2208),'openchannelStatus',_0x5860cf(0x11b2),_0x5860cf(0xe82),_0x5860cf(0x2453),'faxStatus','voiceStatus',_0x5860cf(0x1b11),'openchannelStatusTime',_0x5860cf(0x568),_0x5860cf(0x9eb),'whatsappStatusTime',_0x5860cf(0x1997),_0x5860cf(0xc9c),_0x5860cf(0x11cf),_0x5860cf(0x247),_0x5860cf(0x24c5),_0x5860cf(0x2569),_0x5860cf(0x1f23)],_0x2ee724=[_0x5860cf(0xf0c),_0x5860cf(0x1c7d),_0x5860cf(0x2035),_0x5860cf(0x22d3),_0x5860cf(0x24ff),_0x5860cf(0x1ce),_0x5860cf(0x2478),_0x5860cf(0xa28)];_0x642892['currentUser']=_0x460070[_0x5860cf(0x21e8)](),_0x642892[_0x5860cf(0x46c)]=[_0x5860cf(0x1c7e),_0x5860cf(0x8d2),_0x5860cf(0x5b2),_0x5860cf(0x1e6f),_0x5860cf(0x1abd)],_0x642892[_0x5860cf(0x8de)]=_0x112b85||{'count':0x0,'rows':[]},_0x642892[_0x5860cf(0x8a5)]=_0x3ba9a8,_0x642892[_0x5860cf(0x44a)]=_0x1d602d,_0x642892[_0x5860cf(0x2199)]=_0x27745f&&_0x27745f[_0x5860cf(0x184d)]==0x1?_0x27745f[_0x5860cf(0x2214)][0x0]:null,_0x642892['crudPermissions']=_0x460070[_0x5860cf(0x14ea)](_0x642892['userProfileSection']?_0x642892[_0x5860cf(0x2199)]['crudPermissions']:null),_0x642892[_0x5860cf(0xc12)]=_0x1aa823?_0x39641b()[_0x5860cf(0x2631)](_0x1aa823[_0x5860cf(0x2214)]?_0x1aa823[_0x5860cf(0x2214)]:[],'id'):{},_0x642892[_0x5860cf(0x212d)]=_0xdb3b28?_0x39641b()[_0x5860cf(0x2631)](_0xdb3b28[_0x5860cf(0x2214)]?_0xdb3b28[_0x5860cf(0x2214)]:[],'id'):{},_0x642892[_0x5860cf(0x260a)]={},_0x642892[_0x5860cf(0x997)]=![],_0x642892[_0x5860cf(0x237f)]=[],_0x642892['agentInternal']={};for(const _0x25eda6 in _0x642892[_0x5860cf(0xc12)]){typeof _0x642892[_0x5860cf(0xc12)][_0x25eda6]!==_0x5860cf(0x16b5)&&(_0x642892[_0x5860cf(0xc12)][_0x25eda6][_0x5860cf(0x1a8e)]=!![]);}_0x642892['query']={'limit':0xa,'page':0x1,'order':_0x5860cf(0x1d14),'globalStatusFilter':'','pauseTypeFilter':''},_0x642892[_0x5860cf(0x1366)]=_0x2822ee,_0x642892[_0x5860cf(0x6ad)]=_0x3bce4c,_0x642892['pause']=_0x27b897,_0x642892[_0x5860cf(0x715)]=_0x5d18e8,_0x642892['showInfo']=_0x2e455e,_0x642892[_0x5860cf(0x616)]=_0x165597,_0x642892[_0x5860cf(0xfe7)]=_0xae4448,_0x642892[_0x5860cf(0x1090)]=_0x4898e1,_0x642892[_0x5860cf(0x225e)]=_0x2bf01b,_0x642892[_0x5860cf(0x1bc4)]=_0x356396,_0x642892['onSave']=_0xe4c04a,_0x642892[_0x5860cf(0x13e7)]=_0x15a85b,_0x642892[_0x5860cf(0x1a34)]=_0x39aa49,_0x642892[_0x5860cf(0x1978)]=_0x564c4b,_0x642892['onComplete']=_0x76582e,_0x642892[_0x5860cf(0xd97)]=_0x259fc3,_0x308527['on'](_0x5860cf(0x2464),_0x642892['onSave']),_0x308527['on'](_0x5860cf(0x14c6),_0x642892[_0x5860cf(0x13e7)]),_0x308527['on'](_0x5860cf(0x2290),_0x642892[_0x5860cf(0x1978)]),_0x308527['on']('user:agentcomplete',_0x642892[_0x5860cf(0x1b4a)]),_0x39aa49();let _0x48b381=_0x5bd506(function(){const _0x3545fc=_0x5860cf;_0x642892['load']&&(_0x642892[_0x3545fc(0x997)]=![],_0x39aa49());},0x3e7);function _0x39aa49(){const _0x1c3879=_0x5860cf;_0x642892['load']=![];const _0xdf3adf=_0x5e1ced[_0x1c3879(0x11f4)]();_0x642892[_0x1c3879(0x2061)]=_0xdf3adf[_0x1c3879(0x2061)],_0x642892[_0x1c3879(0xe0e)]=[],_0x642892['order']=[],_0x39641b()[_0x1c3879(0x1ebd)](_0x642892['agents'],function(_0x47c8a7,_0x10f6a1){const _0x1f4f7f=_0x1c3879;_0x642892[_0x1f4f7f(0x212d)][_0x10f6a1]&&_0x39641b()[_0x1f4f7f(0x9c1)](_0x47c8a7,_0x39641b()[_0x1f4f7f(0x169b)](_0x39641b()['omit'](_0x642892[_0x1f4f7f(0x212d)][_0x10f6a1],_0x2ee724),_0x4ab298)),_0xae4448(_0x47c8a7),_0x47c8a7['online']?(_0x642892[_0x1f4f7f(0xe0e)][_0x1f4f7f(0x2785)](_0x47c8a7),_0x642892['agentInternal'][_0x47c8a7[_0x1f4f7f(0xdbd)]]=_0x10f6a1):_0x47c8a7[_0x1f4f7f(0xdb9)]=_0x39641b()['toNumber'](_0x543b5a()()[_0x1f4f7f(0x1f31)]('x'));});_0x642892[_0x1c3879(0xae2)][_0x1c3879(0x1ef1)]&&_0x39641b()[_0x1c3879(0x152a)](_0x642892[_0x1c3879(0xe0e)],function(_0x248c0d){const _0xaeb309=_0x1c3879;return _0x248c0d[_0xaeb309(0x1fbe)]!==_0x642892[_0xaeb309(0xae2)][_0xaeb309(0x1ef1)];});_0x642892[_0x1c3879(0xae2)][_0x1c3879(0x25ff)]&&_0x39641b()[_0x1c3879(0x152a)](_0x642892['filteredAgents'],function(_0x4653fc){const _0x3558af=_0x1c3879;return _0x4653fc[_0x3558af(0x16e6)]!==_0x642892[_0x3558af(0xae2)]['pauseTypeFilter'];});_0x642892[_0x1c3879(0xae2)][_0x1c3879(0x1c99)]&&_0x39641b()['remove'](_0x642892[_0x1c3879(0xe0e)],function(_0x1dc0ac){const _0x23514e=_0x1c3879;return _0x1dc0ac[_0x23514e(0x1d14)][_0x23514e(0x1680)]()[_0x23514e(0xd8a)](_0x642892[_0x23514e(0xae2)][_0x23514e(0x1c99)]['toLowerCase']())<0x0;});_0x642892['filteredAgents']=_0x129547(_0x642892['filteredAgents']);const _0x166d64=(_0x642892[_0x1c3879(0xae2)]['page']-0x1)*_0x642892[_0x1c3879(0xae2)][_0x1c3879(0x236)];_0x642892[_0x1c3879(0x260a)]=_0x39641b()['drop'](_0x642892[_0x1c3879(0xe0e)],_0x166d64)['slice'](0x0,_0x642892[_0x1c3879(0xae2)][_0x1c3879(0x236)]);for(let _0x139f38=0x0;_0x139f38<_0x642892['paginatedAgents']['length'];_0x139f38+=0x1){_0x642892[_0x1c3879(0x237f)]['push'](_0x642892[_0x1c3879(0x260a)][_0x139f38]['id']);}_0xdf3adf[_0x1c3879(0x19a3)](),_0x642892[_0x1c3879(0x997)]=!![];}function _0xe4c04a(_0x19c683){const _0x3df1a6=_0x5860cf;_0x642892[_0x3df1a6(0xc12)][_0x19c683['id']]&&(_0x39641b()[_0x3df1a6(0x9c1)](_0x642892['agents'][_0x19c683['id']],_0x39641b()['pick'](_0x39641b()[_0x3df1a6(0x1bd8)](_0x19c683,_0x2ee724),_0x4ab298)),_0x39641b()[_0x3df1a6(0x9c1)](_0x642892[_0x3df1a6(0x212d)][_0x19c683['id']],_0x39641b()['pick'](_0x39641b()[_0x3df1a6(0x1bd8)](_0x19c683,_0x2ee724),_0x4ab298)),_0xae4448(_0x642892[_0x3df1a6(0xc12)][_0x19c683['id']]));}function _0x15a85b(_0x397c03){const _0x23f53f=_0x5860cf;_0x642892[_0x23f53f(0xc12)][_0x397c03['id']]&&(_0x39641b()['merge'](_0x642892[_0x23f53f(0xc12)][_0x397c03['id']],_0x39641b()['pick'](_0x397c03,_0x4ab298)),_0x39641b()[_0x23f53f(0x9c1)](_0x642892[_0x23f53f(0x212d)][_0x397c03['id']],_0x39641b()[_0x23f53f(0x169b)](_0x397c03,_0x4ab298)),_0x397c03['lastPauseAt']&&(_0x642892[_0x23f53f(0xc12)][_0x397c03['id']]['globalStatusTime']=_0x397c03[_0x23f53f(0x25db)],_0x642892['rpcAgents'][_0x397c03['id']][_0x23f53f(0xdb9)]=_0x397c03[_0x23f53f(0x25db)]),_0xae4448(_0x642892[_0x23f53f(0xc12)][_0x397c03['id']]));}function _0x564c4b(_0x4d5728){const _0x3f4510=_0x5860cf;_0x642892['agents'][_0x642892['agentInternal'][_0x4d5728[_0x3f4510(0x7ea)]]]&&(_0x39641b()[_0x3f4510(0x9c1)](_0x642892[_0x3f4510(0xc12)][_0x642892[_0x3f4510(0x1fdd)][_0x4d5728[_0x3f4510(0x7ea)]]],_0x39641b()['pick'](_0x4d5728,[_0x3f4510(0x11cf),_0x3f4510(0x247)])),_0x39641b()[_0x3f4510(0x9c1)](_0x642892[_0x3f4510(0x212d)][_0x642892['agentInternal'][_0x4d5728[_0x3f4510(0x7ea)]]],_0x39641b()[_0x3f4510(0x169b)](_0x4d5728,['queue',_0x3f4510(0x247)])));}function _0x76582e(_0x5281b9){const _0x3f0ff2=_0x5860cf;_0x642892[_0x3f0ff2(0xc12)][_0x642892[_0x3f0ff2(0x1fdd)][_0x5281b9[_0x3f0ff2(0x7ea)]]]&&(_0x642892[_0x3f0ff2(0xc12)][_0x642892[_0x3f0ff2(0x1fdd)][_0x5281b9[_0x3f0ff2(0x7ea)]]]=_0x39641b()['omit'](_0x642892[_0x3f0ff2(0xc12)][_0x642892[_0x3f0ff2(0x1fdd)][_0x5281b9[_0x3f0ff2(0x7ea)]]],[_0x3f0ff2(0x11cf),_0x3f0ff2(0x247)]),_0x642892[_0x3f0ff2(0x212d)][_0x642892[_0x3f0ff2(0x1fdd)][_0x5281b9['destaccountcode']]]=_0x39641b()[_0x3f0ff2(0x1bd8)](_0x642892[_0x3f0ff2(0x212d)][_0x642892[_0x3f0ff2(0x1fdd)][_0x5281b9[_0x3f0ff2(0x7ea)]]],[_0x3f0ff2(0x11cf),_0x3f0ff2(0x247)]));}function _0x2822ee(_0xa95999){const _0x32c0bb=_0x5860cf;return _0x2ce79d[_0x32c0bb(0xebe)][_0x32c0bb(0x1366)]({'id':_0xa95999['id'],'device':_0x32c0bb(0x217b),'agent_id':_0xa95999['id'],'agent_name':_0xa95999['name']})[_0x32c0bb(0x1d77)]['then'](function(){const _0x591a73=_0x32c0bb;_0x445766[_0x591a73(0x829)]({'title':_0x591a73(0x23af),'msg':_0xa95999[_0x591a73(0x1d14)]+_0x591a73(0x1540)}),_0x642892[_0x591a73(0xc12)][_0xa95999['id']]&&(_0x642892['agents'][_0xa95999['id']]['online']=![]),_0x642892[_0x591a73(0x212d)][_0xa95999['id']]&&(_0x642892[_0x591a73(0x212d)][_0xa95999['id']][_0x591a73(0xa28)]=![]),_0x39aa49();})[_0x32c0bb(0x1c4)](function(_0x3a62be){const _0x1ed698=_0x32c0bb;_0x445766[_0x1ed698(0x218e)]({'title':_0x3a62be[_0x1ed698(0x291)]?'API:'+_0x3a62be[_0x1ed698(0x291)]+_0x1ed698(0x1657)+_0x3a62be[_0x1ed698(0xc22)]:_0x1ed698(0xcd6),'msg':_0x3a62be[_0x1ed698(0x25c)]?JSON[_0x1ed698(0x2701)](_0x3a62be['data'][_0x1ed698(0x155e)]):_0x3a62be[_0x1ed698(0x147f)]()});});}function _0x27b897(_0x7f058d,_0x102f7b){const _0x20b821=_0x5860cf;return _0x2ce79d[_0x20b821(0xebe)][_0x20b821(0x785)]({'id':_0x7f058d['id'],'type':_0x102f7b})['$promise'][_0x20b821(0x1cb0)](function(_0xe25206){const _0x5ed808=_0x20b821;_0x642892[_0x5ed808(0xc12)][_0x7f058d['id']]&&_0x39641b()[_0x5ed808(0x9c1)](_0x642892[_0x5ed808(0xc12)][_0x7f058d['id']],_0x39641b()['pick'](_0xe25206,_0x4ab298)),_0x642892[_0x5ed808(0x212d)][_0x7f058d['id']]&&_0x39641b()['merge'](_0x642892[_0x5ed808(0x212d)][_0x7f058d['id']],_0x39641b()[_0x5ed808(0x169b)](_0xe25206,_0x4ab298)),_0xae4448(_0x642892[_0x5ed808(0xc12)][_0x7f058d['id']]);})[_0x20b821(0x1c4)](function(_0x915017){const _0x2fa7fa=_0x20b821;_0x445766[_0x2fa7fa(0x218e)]({'title':_0x915017[_0x2fa7fa(0x291)]?'API:'+_0x915017[_0x2fa7fa(0x291)]+_0x2fa7fa(0x1657)+_0x915017['statusText']:_0x2fa7fa(0xcd6),'msg':_0x915017[_0x2fa7fa(0x25c)]?JSON[_0x2fa7fa(0x2701)](_0x915017[_0x2fa7fa(0x25c)][_0x2fa7fa(0x155e)]):_0x915017[_0x2fa7fa(0x147f)]()});});}function _0x5d18e8(_0x1b8f54){const _0x39d1b4=_0x5860cf;return _0x2ce79d[_0x39d1b4(0xebe)]['unpause']({'id':_0x1b8f54['id']})[_0x39d1b4(0x1d77)][_0x39d1b4(0x1cb0)](function(_0x2d2e1c){const _0x496eb7=_0x39d1b4;_0x642892[_0x496eb7(0xc12)][_0x1b8f54['id']]&&_0x39641b()[_0x496eb7(0x9c1)](_0x642892[_0x496eb7(0xc12)][_0x1b8f54['id']],_0x39641b()[_0x496eb7(0x169b)](_0x2d2e1c,_0x4ab298)),_0x642892[_0x496eb7(0x212d)][_0x1b8f54['id']]&&_0x39641b()[_0x496eb7(0x9c1)](_0x642892[_0x496eb7(0x212d)][_0x1b8f54['id']],_0x39641b()[_0x496eb7(0x169b)](_0x2d2e1c,_0x4ab298)),_0xae4448(_0x642892[_0x496eb7(0xc12)][_0x1b8f54['id']]);})[_0x39d1b4(0x1c4)](function(_0x11ca23){const _0x1cc1c1=_0x39d1b4;_0x445766[_0x1cc1c1(0x218e)]({'title':_0x11ca23[_0x1cc1c1(0x291)]?'API:'+_0x11ca23['status']+_0x1cc1c1(0x1657)+_0x11ca23[_0x1cc1c1(0xc22)]:_0x1cc1c1(0xcd6),'msg':_0x11ca23['data']?JSON[_0x1cc1c1(0x2701)](_0x11ca23['data'][_0x1cc1c1(0x155e)]):_0x11ca23[_0x1cc1c1(0x147f)]()});});}function _0x2e455e(_0x3a3054){const _0x2131af=_0x5860cf;_0x3eed2d['show'](_0x3eed2d[_0x2131af(0x494)]()[_0x2131af(0x27e1)](!![])[_0x2131af(0x1386)]('Legend')['htmlContent'](_0x2131af(0x26a))['ok']('Ok')[_0x2131af(0x728)](_0x3a3054));}function _0x3bce4c(_0x2aaac6,_0x22da46){const _0x4b0285=_0x5860cf;_0x3eed2d['show']({'controller':_0x4b0285(0x7e6),'controllerAs':'vm','templateUrl':_0x535a6f,'parent':angular[_0x4b0285(0x1853)](_0x4c0c60['body']),'targetEvent':_0x22da46,'clickOutsideToClose':!![],'locals':{'agent':_0x2aaac6,'agents':[],'channel':_0x4b0285(0x2476),'direction':_0x4b0285(0x26c0),'crudPermissions':_0x642892[_0x4b0285(0x1b1a)]}});}function _0x4898e1(_0x5db3ba){const _0x267c16=_0x5860cf;return _0x5db3ba===_0x267c16(0x785)||_0x5db3ba===_0x267c16(0x1767);}function _0x2bf01b(_0x3d9ba3){const _0x303f8b=_0x5860cf;return _0x3d9ba3===_0x303f8b(0x785);}function _0x356396(_0x406fd6){return _0x406fd6==='*pause';}function _0x50437d(_0xd4241c){const _0x1287c7=_0x5860cf;return _0xd4241c===_0x1287c7(0x8df)||_0xd4241c===_0x1287c7(0x1c9d)||_0xd4241c===_0x1287c7(0x13a2)||_0xd4241c===_0x1287c7(0x1c7e)||_0x39641b()[_0x1287c7(0x958)](_0xd4241c);}function _0x165597(_0x3106fd,_0x1b3102){const _0xbfbcc7=_0x5860cf;if(_0x1b3102===_0xbfbcc7(0x1fd4)){if(_0x3106fd[_0x1b3102+_0xbfbcc7(0x938)]!==_0xbfbcc7(0x8df))return _0x3106fd[_0x1b3102+'Status'];if(_0x3106fd[_0x1b3102+_0xbfbcc7(0x1e09)])return _0x3106fd[_0x1b3102+_0xbfbcc7(0x938)]=_0xbfbcc7(0x785),_0xbfbcc7(0x785);return _0xbfbcc7(0x8df);}else{if(_0x3106fd[_0x1b3102+_0xbfbcc7(0x1e09)])return _0x3106fd[_0x1b3102+'Status']=_0xbfbcc7(0x785),'pause';return _0x3106fd[_0x1b3102+_0xbfbcc7(0x938)];}}function _0xae4448(_0x5f44c1){const _0x2be5a0=_0x5860cf;let _0xd47d9e=_0x2be5a0(0x1c9d);if(_0x39641b()[_0x2be5a0(0x1aa3)]([_0x165597(_0x5f44c1,_0x2be5a0(0x1fd4)),_0x165597(_0x5f44c1,_0x2be5a0(0x174c)),_0x165597(_0x5f44c1,_0x2be5a0(0x2651)),_0x165597(_0x5f44c1,_0x2be5a0(0x15e0)),_0x165597(_0x5f44c1,_0x2be5a0(0x689)),_0x165597(_0x5f44c1,'whatsapp'),_0x165597(_0x5f44c1,'fax')],_0x4898e1))_0xd47d9e=_0x2be5a0(0x785);else{if(_0x39641b()[_0x2be5a0(0x727)]([_0x165597(_0x5f44c1,'voice'),_0x165597(_0x5f44c1,'chat'),_0x165597(_0x5f44c1,_0x2be5a0(0x2651)),_0x165597(_0x5f44c1,_0x2be5a0(0x15e0)),_0x165597(_0x5f44c1,_0x2be5a0(0x689)),_0x165597(_0x5f44c1,_0x2be5a0(0x2476)),_0x165597(_0x5f44c1,_0x2be5a0(0x1944))],_0x4898e1))_0xd47d9e=_0x2be5a0(0x1767);else _0x39641b()['every']([_0x165597(_0x5f44c1,_0x2be5a0(0x1fd4)),_0x165597(_0x5f44c1,'chat'),_0x165597(_0x5f44c1,'mail'),_0x165597(_0x5f44c1,_0x2be5a0(0x15e0)),_0x165597(_0x5f44c1,'sms'),_0x165597(_0x5f44c1,_0x2be5a0(0x2476)),_0x165597(_0x5f44c1,_0x2be5a0(0x1944))],_0x50437d)?_0xd47d9e=_0x2be5a0(0x8df):_0xd47d9e=_0x2be5a0(0x5b2);}_0x5f44c1[_0x2be5a0(0x1fbe)]!==_0xd47d9e&&(_0x5f44c1[_0x2be5a0(0x1fbe)]=_0xd47d9e,_0x5f44c1[_0x2be5a0(0x1a8e)]?(_0x5f44c1[_0x2be5a0(0x1a8e)]=![],_0x5f44c1[_0x2be5a0(0xdb9)]=_0x4898e1(_0xd47d9e)?_0x39641b()[_0x2be5a0(0x106d)](_0x543b5a()(_0x5f44c1['lastPauseAt'])[_0x2be5a0(0x1f31)]('x')):_0x39641b()[_0x2be5a0(0xb33)]([_0x5f44c1[_0x2be5a0(0xc9c)],_0x5f44c1['chatStatusTime'],_0x5f44c1[_0x2be5a0(0x568)],_0x5f44c1[_0x2be5a0(0x9eb)],_0x5f44c1[_0x2be5a0(0x2064)],_0x5f44c1[_0x2be5a0(0x22a6)],_0x5f44c1[_0x2be5a0(0x1997)]])):_0x5f44c1[_0x2be5a0(0xdb9)]=_0x39641b()['toNumber'](_0x543b5a()()[_0x2be5a0(0x1f31)]('x')));}function _0x129547(_0x855608){const _0x41c441=_0x5860cf,_0x30404f=_0x39641b()[_0x41c441(0x1f2c)](_0x642892[_0x41c441(0xae2)][_0x41c441(0x237f)],'-')?_0x41c441(0x20a5):_0x41c441(0x24cc);return _0x39641b()[_0x41c441(0x17ca)](_0x855608,[_0x642892[_0x41c441(0xae2)][_0x41c441(0x237f)]['replace']('-','')],[_0x30404f]);}function _0x263d24(){_0x48b381&&(_0x5bd506['cancel'](_0x48b381),_0x48b381=null);}function _0x259fc3(_0x521a74,_0x2664d8){const _0x567b3e=_0x5860cf;return _0x2ce79d[_0x567b3e(0xebe)][_0x567b3e(0x687)]({'id':_0x521a74['id']},{'screenrecording':_0x2664d8})[_0x567b3e(0x1d77)][_0x567b3e(0x1c4)](function(_0x24ca71){const _0x2d54cf=_0x567b3e;_0x445766['error']({'title':_0x24ca71[_0x2d54cf(0x291)]?_0x2d54cf(0xeb9)+_0x24ca71[_0x2d54cf(0x291)]+_0x2d54cf(0x1657)+_0x24ca71[_0x2d54cf(0xc22)]:_0x2d54cf(0x498),'msg':_0x24ca71[_0x2d54cf(0x25c)]?JSON[_0x2d54cf(0x2701)](_0x24ca71[_0x2d54cf(0x25c)][_0x2d54cf(0x155e)]):_0x24ca71['toString']()});});}_0x2f824c[_0x5860cf(0x1d6)](_0x5860cf(0x291c),function(){const _0x353893=_0x5860cf;_0x308527[_0x353893(0xfb8)](_0x353893(0x2464)),_0x308527[_0x353893(0xfb8)](_0x353893(0x14c6)),_0x308527['removeAllListeners'](_0x353893(0x2290)),_0x308527[_0x353893(0xfb8)]('user:agentcomplete'),_0x263d24();});}const _0x5d51d1=_0x2fe6a4;;const _0x1f8bbb=_0x5074a3['p']+_0x5537c6(0x1981);;const _0x40a20c=_0x5074a3['p']+_0x5537c6(0x1938);;_0x1717b9[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x2168),_0x5537c6(0xcb9),'$q',_0x5537c6(0x22bf),_0x5537c6(0x1714),_0x5537c6(0x971),_0x5537c6(0x2255),_0x5537c6(0x142b),_0x5537c6(0x1986),_0x5537c6(0x9bf),_0x5537c6(0x44a),_0x5537c6(0x2199),'Auth'];function _0x1717b9(_0x14f46c,_0x308ac8,_0x1ececc,_0x539957,_0x266e70,_0x33fc7d,_0x6c8558,_0x47ae73,_0x50f3e3,_0x2ef734,_0x489cb7,_0x2fa473,_0x3acee7,_0x366375){const _0x30f48e=_0x5537c6,_0x109983=this,_0x22bd37=['loggedIn',_0x30f48e(0x2803),_0x30f48e(0x26b6),'talking',_0x30f48e(0xfb2),_0x30f48e(0x2315),_0x30f48e(0x155e),_0x30f48e(0x737),_0x30f48e(0xda8),_0x30f48e(0xf67),_0x30f48e(0x139c)];_0x109983[_0x30f48e(0xe76)]=_0x366375['getCurrentUser'](),_0x109983[_0x30f48e(0x184d)]=_0x6c8558[_0x30f48e(0x184d)]?_0x6c8558[_0x30f48e(0x184d)]:0x0,_0x109983[_0x30f48e(0x971)]=_0x6c8558?_0x39641b()[_0x30f48e(0x2631)](_0x6c8558[_0x30f48e(0x2214)]?_0x6c8558['rows']:[],'id'):{},_0x109983[_0x30f48e(0x2255)]=_0x47ae73?_0x39641b()[_0x30f48e(0x2631)](_0x47ae73[_0x30f48e(0x2214)]?_0x47ae73[_0x30f48e(0x2214)]:[],'id'):{},_0x109983['userProfile']=_0x2fa473,_0x109983[_0x30f48e(0x2199)]=_0x3acee7&&_0x3acee7['count']==0x1?_0x3acee7[_0x30f48e(0x2214)][0x0]:null,_0x109983['crudPermissions']=_0x366375[_0x30f48e(0x14ea)](_0x109983[_0x30f48e(0x2199)]?_0x109983[_0x30f48e(0x2199)][_0x30f48e(0x1b1a)]:null);_0x366375[_0x30f48e(0x22b6)](_0x30f48e(0x1c60))?_0x109983[_0x30f48e(0xae2)]={'type':_0x30f48e(0x26c0),'sort':'-updatedAt','limit':0xa,'page':0x1}:_0x109983[_0x30f48e(0xae2)]={'id':_0x109983[_0x30f48e(0xe76)]['id'],'channel':_0x30f48e(0x2476),'type':'inbound','sort':_0x30f48e(0x282),'limit':0xa,'page':0x1};_0x109983[_0x30f48e(0x829)]=_0x3038b2,_0x109983[_0x30f48e(0x13e9)]=_0xb1f543,_0x109983['createOrEditWhatsappQueue']=_0x3226e9,_0x109983[_0x30f48e(0x1be7)]=_0x3ffd48,_0x109983[_0x30f48e(0x25f6)]=_0x8c7478,_0x109983[_0x30f48e(0x294c)]=_0x1571dc,_0x109983[_0x30f48e(0xc88)]=_0x53b3d3,_0x109983[_0x30f48e(0x365)]=_0x1a0588,_0x109983[_0x30f48e(0x18e4)]=_0xcbc539,_0x109983['onLogin']=_0x33f8ff,_0x109983['onLogout']=_0x405c22,_0x109983['$onInit']=_0x513cc4,_0x109983[_0x30f48e(0x237f)]=[],_0x39641b()[_0x30f48e(0x1ebd)](_0x109983[_0x30f48e(0x971)],function(_0xea1031){const _0x3ce844=_0x30f48e;_0x109983[_0x3ce844(0x237f)][_0x3ce844(0x2785)](_0xea1031['id']);}),_0x2ef734['on'](_0x30f48e(0x2682),_0x109983[_0x30f48e(0x294c)]),_0x2ef734['on']('userWhatsappQueue:save',_0x109983['onSaveMember']),_0x2ef734['on'](_0x30f48e(0x924),_0x109983['onRemoveMember']),_0x2ef734['on'](_0x30f48e(0x14c6),_0x109983['onPause']),_0x2ef734['on']('userVoiceQueue:remove',_0x109983[_0x30f48e(0x3be)]),_0x2ef734['on'](_0x30f48e(0x828),_0x109983[_0x30f48e(0x26c9)]);function _0x513cc4(){const _0xc365f3=_0x30f48e,_0x3a789c=[];return _0x39641b()['forIn'](_0x109983[_0xc365f3(0x971)],function(_0x59f6e8,_0xea724e){const _0x54b65c=_0xc365f3;_0x59f6e8['paused']=0x0,_0x59f6e8[_0x54b65c(0x29a2)]=0x0,_0x109983[_0x54b65c(0x971)][_0xea724e][_0x54b65c(0xc12)]={},_0x3a789c[_0x54b65c(0x2785)](_0x50f3e3[_0x54b65c(0xea8)][_0x54b65c(0x11dd)]({'id':_0xea724e})['$promise']),_0x109983['rpcQueues'][_0xea724e]&&_0x39641b()[_0x54b65c(0x9c1)](_0x59f6e8,_0x39641b()['pick'](_0x109983['rpcQueues'][_0xea724e],_0x22bd37));}),_0x539957[_0xc365f3(0x223b)](_0x3a789c)[_0xc365f3(0x1cb0)](function(_0x35da3d){const _0x565908=_0xc365f3;for(let _0x59ade7=0x0;_0x59ade7<_0x35da3d[_0x565908(0xfd0)];_0x59ade7+=0x1){for(let _0x23705c=0x0;_0x23705c<_0x35da3d[_0x59ade7][_0x565908(0x2214)][_0x565908(0xfd0)];_0x23705c++){_0x35da3d[_0x59ade7][_0x565908(0x2214)][_0x23705c][_0x565908(0x139c)]&&_0x109983['queues'][_0x35da3d[_0x59ade7]['rows'][_0x23705c]['WhatsappQueueId']][_0x565908(0x139c)]++,_0x109983[_0x565908(0x971)][_0x35da3d[_0x59ade7][_0x565908(0x2214)][_0x23705c]['WhatsappQueueId']][_0x565908(0x29a2)]++,_0x109983['queues'][_0x35da3d[_0x59ade7][_0x565908(0x2214)][_0x23705c][_0x565908(0x4f2)]][_0x565908(0xc12)][_0x35da3d[_0x59ade7][_0x565908(0x2214)][_0x23705c]['UserId']]=_0x35da3d[_0x59ade7][_0x565908(0x2214)][_0x23705c]['WhatsappQueueId'];}}})[_0xc365f3(0x1c4)](function(_0x51fe57){const _0xe6bb57=_0xc365f3;console[_0xe6bb57(0x218e)](_0x51fe57);});}function _0x1571dc(_0x5e6722){const _0x541742=_0x30f48e;_0x109983['queues'][_0x5e6722['id']]&&_0x39641b()[_0x541742(0x9c1)](_0x109983[_0x541742(0x971)][_0x5e6722['id']],_0x39641b()['pick'](_0x5e6722,_0x22bd37));}function _0x53b3d3(_0x373348){const _0x5ab474=_0x30f48e;_0x109983[_0x5ab474(0x971)][_0x373348[_0x5ab474(0x4f2)]]&&_0x109983[_0x5ab474(0x971)][_0x373348[_0x5ab474(0x4f2)]][_0x5ab474(0x29a2)]++;}function _0x1a0588(_0x42be2a){const _0x209919=_0x30f48e;_0x109983['queues'][_0x42be2a[_0x209919(0x4f2)]]&&_0x109983[_0x209919(0x971)][_0x42be2a[_0x209919(0x4f2)]]['loggedInDb']--;}function _0xcbc539(_0x4973fb){const _0x390016=_0x30f48e;console['log'](_0x390016(0x18e4),_0x4973fb);if(_0x4973fb[_0x390016(0x2478)])_0x39641b()[_0x390016(0x1ebd)](_0x109983[_0x390016(0x971)],function(_0x29deb7){const _0x5e189f=_0x390016;_0x29deb7['agents'][_0x4973fb['id']]&&(_0x29deb7[_0x5e189f(0x139c)]+=0x1,console[_0x5e189f(0x1b4f)](_0x5e189f(0x785)));});else!_0x4973fb[_0x390016(0x2478)]&&_0x39641b()[_0x390016(0x1ebd)](_0x109983[_0x390016(0x971)],function(_0x18083d){const _0x1284e1=_0x390016;_0x18083d['agents'][_0x4973fb['id']]&&(_0x18083d[_0x1284e1(0x139c)]>0x0&&(_0x18083d['paused']-=0x1,console['log']('unpause')));});}function _0x33f8ff(_0x24239d){const _0x3a9a01=_0x30f48e;console['log']('onLogged',_0x24239d),_0x109983['queues'][_0x24239d[_0x3a9a01(0x4f2)]]&&(_0x109983[_0x3a9a01(0x971)][_0x24239d[_0x3a9a01(0x4f2)]][_0x3a9a01(0x29a2)]+=0x1,_0x109983['queues'][_0x24239d[_0x3a9a01(0x4f2)]][_0x3a9a01(0xc12)][_0x24239d[_0x3a9a01(0x21ab)]]=_0x24239d[_0x3a9a01(0x4f2)]);}function _0x405c22(_0x8f9993){const _0x335cad=_0x30f48e;console['log'](_0x335cad(0x3be),_0x8f9993),_0x109983['queues'][_0x8f9993[_0x335cad(0x4f2)]]&&_0x109983[_0x335cad(0x971)][_0x8f9993['WhatsappQueueId']][_0x335cad(0x29a2)]>0x0&&(_0x109983[_0x335cad(0x971)][_0x8f9993[_0x335cad(0x4f2)]][_0x335cad(0x29a2)]-=0x1,delete _0x109983[_0x335cad(0x971)][_0x8f9993['WhatsappQueueId']][_0x335cad(0xc12)][_0x8f9993[_0x335cad(0x21ab)]]);}let _0xea49d6=!![],_0x3a9495=0x1;_0x14f46c['$watch'](_0x30f48e(0x957),function(_0xf2fcc9,_0x4c1f2c){const _0x1a7507=_0x30f48e;_0xea49d6?_0x308ac8(function(){_0xea49d6=![];}):(!_0x4c1f2c&&(_0x3a9495=_0x109983['query'][_0x1a7507(0x1c7b)]),_0xf2fcc9!==_0x4c1f2c&&(_0x109983[_0x1a7507(0xae2)][_0x1a7507(0x1c7b)]=0x1),!_0xf2fcc9&&(_0x109983[_0x1a7507(0xae2)][_0x1a7507(0x1c7b)]=_0x3a9495),_0x109983[_0x1a7507(0x13e9)]());});function _0x3038b2(_0x97e5c1){const _0x137a45=_0x30f48e;_0x109983['count']=_0x97e5c1[_0x137a45(0x184d)],_0x109983['queues']=_0x97e5c1?_0x39641b()['keyBy'](_0x97e5c1[_0x137a45(0x2214)]?_0x97e5c1['rows']:[],'id'):{};if(_0x97e5c1[_0x137a45(0x2214)]){_0x109983[_0x137a45(0x237f)]=[];for(let _0x2f95f6=0x0;_0x2f95f6<_0x97e5c1[_0x137a45(0x2214)][_0x137a45(0xfd0)];_0x2f95f6++){_0x109983[_0x137a45(0x237f)]['push'](_0x97e5c1[_0x137a45(0x2214)][_0x2f95f6]['id']);}}_0x513cc4();}function _0xb1f543(){const _0x27810d=_0x30f48e;_0x109983[_0x27810d(0xae2)]['offset']=(_0x109983['query'][_0x27810d(0x1c7b)]-0x1)*_0x109983['query'][_0x27810d(0x236)],_0x366375['hasRole'](_0x27810d(0x1c60))?_0x109983[_0x27810d(0x2061)]=_0x50f3e3['whatsappQueue'][_0x27810d(0xbf7)](_0x109983['query'],_0x3038b2)[_0x27810d(0x1d77)]:(_0x109983[_0x27810d(0xae2)]['id']=_0x109983['userProfile']['id'],_0x109983[_0x27810d(0xae2)]['section']=_0x27810d(0x2962),_0x109983[_0x27810d(0x2061)]=_0x50f3e3[_0x27810d(0x44a)][_0x27810d(0x1810)](_0x109983[_0x27810d(0xae2)],_0x3038b2)[_0x27810d(0x1d77)]);}function _0x3226e9(_0x129445,_0x134bcb){const _0x54f539=_0x30f48e;_0x1ececc[_0x54f539(0xe27)]({'controller':_0x54f539(0x2654),'controllerAs':'vm','templateUrl':_0x1f8bbb,'parent':angular[_0x54f539(0x1853)](_0x266e70[_0x54f539(0x1ed9)]),'targetEvent':_0x129445,'clickOutsideToClose':!![],'locals':{'whatsappQueue':_0x134bcb,'whatsappQueues':_0x39641b()[_0x54f539(0x27aa)](_0x109983[_0x54f539(0x971)]),'license':null,'setting':null,'crudPermissions':_0x109983['crudPermissions']}});}function _0x3ffd48(_0x28dffc,_0x15b640){const _0x39e3f6=_0x30f48e;_0x1ececc[_0x39e3f6(0xe27)]({'controller':_0x39e3f6(0x29c3),'controllerAs':'vm','templateUrl':_0x40a20c,'parent':angular[_0x39e3f6(0x1853)](_0x266e70[_0x39e3f6(0x1ed9)]),'targetEvent':_0x28dffc,'clickOutsideToClose':!![],'locals':{'whatsappQueue':_0x15b640,'whatsappQueues':_0x109983[_0x39e3f6(0x971)]?_0x109983[_0x39e3f6(0x971)]['rows']:[],'realtime':![],'crudPermissions':_0x109983['crudPermissions']}});}function _0x8c7478(_0x12b563){const _0x5d1c07=_0x30f48e;return _0x50f3e3[_0x5d1c07(0xea8)][_0x5d1c07(0x687)](_0x12b563)[_0x5d1c07(0x1d77)][_0x5d1c07(0x1cb0)](function(){const _0xa957c0=_0x5d1c07;_0x489cb7[_0xa957c0(0x829)]({'title':_0xa957c0(0x264c),'msg':_0x12b563[_0xa957c0(0x16b6)]?_0x12b563[_0xa957c0(0x16b6)]+_0xa957c0(0x1068):''});})[_0x5d1c07(0x1c4)](function(_0x29f4c5){const _0x4e8c12=_0x5d1c07;_0x489cb7['error']({'title':_0x4e8c12(0x454),'msg':_0x29f4c5['message']});});}_0x14f46c[_0x30f48e(0x1d6)]('$destroy',function(){const _0x4131b4=_0x30f48e;_0x2ef734[_0x4131b4(0xfb8)](_0x4131b4(0x2682)),_0x2ef734[_0x4131b4(0xfb8)](_0x4131b4(0x924)),_0x2ef734['removeAllListeners'](_0x4131b4(0x121e)),_0x2ef734['removeAllListeners'](_0x4131b4(0x14c6)),_0x2ef734[_0x4131b4(0xfb8)]('userVoiceQueue:remove'),_0x2ef734[_0x4131b4(0xfb8)](_0x4131b4(0x828));});}const _0x5acee9=_0x1717b9;;function _0x1660ec(){const _0x342840=_0x5537c6;return{'status':{'registered':'green-300-fg\x20icon-checkbox-marked-circle','unregistered':'red-300-fg\x20icon-close-circle','lagged':'red-300-fg\x20icon-close-circle','reachable':_0x342840(0x1170),'unreachable':'red-300-fg\x20icon-close-circle','unknown':'grey-fg\x20icon-minus-circle'},'state':{'unknown':_0x342840(0x181),'not_inuse':_0x342840(0x25c2),'inuse':'red-300-fg\x20icon-phone-in-talk','busy':_0x342840(0x35b),'invalid':_0x342840(0x181),'unavailable':_0x342840(0x181),'ringing':_0x342840(0x1c43),'ringinuse':_0x342840(0x1c43),'onhold':_0x342840(0x1825)},'channelStatus':{'ring':_0x342840(0x1c43),'up':_0x342840(0x142f),'hangup':'red-300-fg\x20icon-phone-hangup'},'channelStatusOut':{'ring':_0x342840(0x53c),'up':_0x342840(0x142f),'hangup':'red-300-fg\x20icon-phone-hangup'}};}const _0x58d894=_0x1660ec;;_0x1a7ec1[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x1986)];function _0x1a7ec1(_0x303fd5,_0xd1240f,_0x4ca320){const _0x56279c=_0x5537c6,_0x458c7a=this;_0x4ca320[_0x56279c(0x22e8)](),_0x4ca320[_0x56279c(0x8b0)](),_0x458c7a['selectedTab']=0x0;switch(_0xd1240f[_0x56279c(0x1970)][_0x56279c(0x16b6)]){case'app.whatsapp.realtime.agents':_0x458c7a[_0x56279c(0x8ec)]=0x0;break;case _0x56279c(0x1001):_0x458c7a[_0x56279c(0x8ec)]=0x1;break;default:_0x458c7a[_0x56279c(0x8ec)]=0x0,_0xd1240f['go'](_0x56279c(0x1be3));}_0x303fd5['$watch'](_0x56279c(0x1ced),function(_0x5265fa,_0x196cc0){const _0x157df0=_0x56279c;if(_0x5265fa!==_0x196cc0)switch(_0x5265fa){case 0x0:_0xd1240f['go'](_0x157df0(0x1be3));break;case 0x1:_0xd1240f['go'](_0x157df0(0x1001));break;default:_0xd1240f['go']('app.whatsapp.realtime.agents');break;}});}const _0x3ac086=_0x1a7ec1;;_0x51aea2['$inject']=[_0x5537c6(0xbd6)];function _0x51aea2(_0xefd5dd){const _0x13493f=_0x5537c6;_0xefd5dd[_0x13493f(0x27e0)]('app.whatsapp.realtime',{'url':_0x13493f(0x1625),'views':{'content@app':{'templateUrl':_0x605b1c,'controller':_0x13493f(0x2f7)}},'resolve':{'userProfile':[_0x13493f(0x1e0b),_0x13493f(0x1774),function(_0x1d3079,_0x336b96){const _0xe27bf0=_0x13493f;return _0x336b96[_0xe27bf0(0x22b6)](_0xe27bf0(0x1c60))?null:_0x1d3079[_0xe27bf0(0x19a3)](_0xe27bf0(0x9ae),{'fields':_0xe27bf0(0x279),'id':_0x336b96[_0xe27bf0(0x21e8)]()[_0xe27bf0(0x13c1)]});}],'userProfileSection':[_0x13493f(0x1e0b),'Auth',function(_0x874893,_0x279792){const _0x1f1fff=_0x13493f;return _0x279792[_0x1f1fff(0x22b6)](_0x1f1fff(0x1c60))?null:_0x874893[_0x1f1fff(0x19a3)](_0x1f1fff(0x2182),{'fields':_0x1f1fff(0x1f5f),'userProfileId':_0x279792[_0x1f1fff(0x21e8)]()[_0x1f1fff(0x13c1)],'sectionId':0x83e});}]},'authenticate':!![],'permissionId':0x83e})[_0x13493f(0x27e0)](_0x13493f(0x1be3),{'url':_0x13493f(0xefc),'controller':_0x13493f(0x150c),'templateUrl':_0x38968c,'resolve':{'pauses':[_0x13493f(0x1e0b),function(_0x2e8fe7){const _0x39cfcd=_0x13493f;return _0x2e8fe7[_0x39cfcd(0x19a3)](_0x39cfcd(0xeeb),{'nolimit':!![]});}],'agents':[_0x13493f(0x1e0b),_0x13493f(0x1774),function(_0xc95ad,_0xfb17d5){const _0x524599=_0x13493f;return _0xfb17d5[_0x524599(0x22b6)](_0x524599(0x1c60))?_0xc95ad[_0x524599(0x19a3)]('user@get',{'fields':'id,name,fullname,role,userpic,internal,online,voicePause,openchannelPause,faxPause,chatPause,smsPause,whatsappPause,mailPause,pauseType,lastPauseAt,lastLoginAt,lastPauseAt,chatCapacity,mailCapacity,openchannelCapacity,faxCapacity,smsCapacity,whatsappCapacity,screenrecording,phoneBarEnableScreenRecordingByAgent,phoneBarEnableAutomaticScreenRecording','role':_0x524599(0x1eff),'sort':_0x524599(0x1d14),'nolimit':!![]}):_0xc95ad[_0x524599(0x19a3)](_0x524599(0x12da),{'id':_0xfb17d5[_0x524599(0x21e8)]()['userProfileId'],'section':_0x524599(0x2536),'fields':_0x524599(0x1599),'role':'agent','sort':_0x524599(0x1d14),'nolimit':!![]});}],'userProfile':[_0x13493f(0x1e0b),_0x13493f(0x1774),function(_0x476953,_0x5cd94e){const _0x4f9823=_0x13493f;return _0x5cd94e['hasRole'](_0x4f9823(0x1c60))?null:_0x476953[_0x4f9823(0x19a3)](_0x4f9823(0x9ae),{'fields':_0x4f9823(0x279),'id':_0x5cd94e[_0x4f9823(0x21e8)]()[_0x4f9823(0x13c1)]});}],'userProfileSection':[_0x13493f(0x1e0b),_0x13493f(0x1774),function(_0x5cf4d0,_0x26938f){const _0x4c8085=_0x13493f;return _0x26938f['hasRole']('admin')?null:_0x5cf4d0['resolve'](_0x4c8085(0x2182),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x26938f[_0x4c8085(0x21e8)]()['userProfileId'],'sectionId':0xca});}],'rpcAgents':['apiResolver',function(_0x500e53){const _0x230c54=_0x13493f;return _0x500e53[_0x230c54(0x19a3)]('rpc@getAgents');}]},'authenticate':!![],'permissionId':0x83e})[_0x13493f(0x27e0)]('app.whatsapp.realtime.queues',{'url':_0x13493f(0x1596),'controller':'QueuesWhatsappRealtimeController\x20as\x20vm','templateUrl':_0x1e7e06,'resolve':{'queues':['apiResolver',_0x13493f(0x1774),function(_0x116d9d,_0x22c6d4){const _0x5b88a3=_0x13493f;return _0x22c6d4[_0x5b88a3(0x22b6)](_0x5b88a3(0x1c60))?_0x116d9d[_0x5b88a3(0x19a3)](_0x5b88a3(0x1f3b),{'type':_0x5b88a3(0x26c0),'sort':'-updatedAt','limit':0xa,'offset':0x0}):_0x116d9d[_0x5b88a3(0x19a3)](_0x5b88a3(0x12da),{'id':_0x22c6d4['getCurrentUser']()[_0x5b88a3(0x13c1)],'section':_0x5b88a3(0x2962),'channel':'whatsapp','type':_0x5b88a3(0x26c0),'sort':_0x5b88a3(0x282),'limit':0xa,'page':0x1});}],'userProfile':[_0x13493f(0x1e0b),'Auth',function(_0x361816,_0x496e73){const _0x4c6f95=_0x13493f;return _0x496e73['hasRole']('admin')?null:_0x361816[_0x4c6f95(0x19a3)]('userProfile@get',{'fields':_0x4c6f95(0x279),'id':_0x496e73['getCurrentUser']()[_0x4c6f95(0x13c1)]});}],'userProfileSection':[_0x13493f(0x1e0b),_0x13493f(0x1774),function(_0x260395,_0x3db4f2){const _0x4476c6=_0x13493f;return _0x3db4f2[_0x4476c6(0x22b6)](_0x4476c6(0x1c60))?null:_0x260395['resolve'](_0x4476c6(0x2182),{'fields':_0x4476c6(0x1f5f),'userProfileId':_0x3db4f2[_0x4476c6(0x21e8)]()[_0x4476c6(0x13c1)],'sectionId':0x835});}],'rpcQueues':[_0x13493f(0x1e0b),function(_0x442019){return _0x442019['resolve']('rpc@getWhatsappQueues');}]},'authenticate':!![],'permissionId':0x83e});}angular[_0x5537c6(0x9ab)]('app.whatsapp.realtime',[])[_0x5537c6(0xa60)](_0x51aea2)[_0x5537c6(0x6e5)](_0x5537c6(0x746),_0x5d51d1)[_0x5537c6(0x6e5)](_0x5537c6(0x27a3),_0x5acee9)['factory'](_0x5537c6(0x125c),_0x58d894)['controller'](_0x5537c6(0xf40),_0x3ac086);;const _0x26ceaf=_0x5074a3['p']+'src/js/modules/main/apps/whatsapp/views/whatsappQueues/whatsappQueues.html/whatsappQueues.html';;const _0x5af478=_0x5074a3['p']+_0x5537c6(0x1b81);;const _0x5d939f=_0x5074a3['p']+_0x5537c6(0x25ca);;const _0x28d275=_0x5074a3['p']+_0x5537c6(0x2921);;_0x3f26de[_0x5537c6(0x15b6)]=['$scope','$state',_0x5537c6(0x21c8),'$mdDialog','$q','$translate',_0x5537c6(0x9bf),_0x5537c6(0xbb3),_0x5537c6(0x83a),_0x5537c6(0x142b),'Auth',_0x5537c6(0x8a5),'setting','crudPermissions'];function _0x3f26de(_0x2dcea2,_0x55fd0d,_0x284302,_0x5093cf,_0x3ca3db,_0x40f851,_0x5cf724,_0x44b767,_0x563f92,_0xb47bf0,_0x58ba4b,_0x42b749,_0x353172,_0x3f9fa1){const _0x102db5=_0x5537c6,_0x15ad5a=this;_0x15ad5a[_0x102db5(0xe76)]=_0x58ba4b[_0x102db5(0x21e8)](),_0x15ad5a[_0x102db5(0x1a7c)]=[],_0x15ad5a[_0x102db5(0x9ca)]=_0x353172,_0x15ad5a['license']=_0x42b749,_0x15ad5a[_0x102db5(0x1b1a)]=_0x3f9fa1,_0x15ad5a[_0x102db5(0xf4c)]={},_0x15ad5a['passwordPattern']=_0x15ad5a[_0x102db5(0x9ca)]&&_0x15ad5a[_0x102db5(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x15ad5a[_0x102db5(0x1386)]='WHATSAPP.EDIT_WHATSAPPACCOUNT',_0x15ad5a[_0x102db5(0x83a)]=angular[_0x102db5(0x17fe)](_0x563f92),_0x15ad5a[_0x102db5(0xbb3)]=_0x44b767,_0x15ad5a['newWhatsappAccount']=![];!_0x15ad5a[_0x102db5(0x83a)]&&(_0x15ad5a['whatsappAccount']={'remote':_0x284302[_0x102db5(0x2276)]()+'://'+_0x284302[_0x102db5(0x17d8)]()+(_0x284302[_0x102db5(0x477)]()?':'+_0x284302[_0x102db5(0x477)]():''),'type':_0x102db5(0x10dd),'notificationSound':!![],'queueTransferTimeout':0x12c,'waitForTheAssignedAgent':0xa,'agentTransferTimeout':0x12c},_0x15ad5a['title']=_0x102db5(0x281b),_0x15ad5a[_0x102db5(0xecb)]=!![]);_0x55fd0d[_0x102db5(0x1dfe)]['id']&&(_0x15ad5a['whatsappAccount'][_0x102db5(0xfc8)]=_0x55fd0d['params']['id']);_0x15ad5a[_0x102db5(0x935)]=_0x5a2368,_0x15ad5a[_0x102db5(0x1b72)]=_0x42a058,_0x15ad5a['deleteWhatsappAccount']=_0x335f16,_0x15ad5a[_0x102db5(0x2c4)]=_0x351101,_0x15ad5a[_0x102db5(0xda0)]=_0x28f515,_0x58ba4b['hasRole'](_0x102db5(0x1c60))?_0xb47bf0[_0x102db5(0x22f2)][_0x102db5(0xbf7)]({'fields':_0x102db5(0x43c),'sort':'name'})['$promise'][_0x102db5(0x1cb0)](function(_0x2b76a5){const _0x30d065=_0x102db5;_0x15ad5a[_0x30d065(0x1046)]=_0x2b76a5[_0x30d065(0x2214)]||[];})['catch'](function(_0x42a05e){const _0x490262=_0x102db5;_0x5cf724['error']({'title':_0x42a05e[_0x490262(0x291)]?_0x490262(0xeb9)+_0x42a05e['status']+_0x490262(0x1657)+_0x42a05e['statusText']:_0x490262(0x149e),'msg':_0x42a05e[_0x490262(0x25c)]?JSON[_0x490262(0x2701)](_0x42a05e['data']):_0x42a05e['toString']()});}):_0xb47bf0['cmList'][_0x102db5(0xbf7)]({'fields':_0x102db5(0x43c),'sort':_0x102db5(0x16b6)})['$promise']['then'](function(_0x1e1831){const _0x38551d=_0x102db5;_0x15ad5a['lists']=_0x1e1831[_0x38551d(0x2214)]||[];})[_0x102db5(0x1cb0)](function(){const _0x20a4a0=_0x102db5;return _0xb47bf0[_0x20a4a0(0x2199)][_0x20a4a0(0xbf7)]({'userProfileId':_0x15ad5a[_0x20a4a0(0xe76)]['userProfileId'],'sectionId':0x12d})[_0x20a4a0(0x1d77)];})[_0x102db5(0x1cb0)](function(_0x2456b7){const _0x2ea32d=_0x102db5,_0x153368=_0x2456b7&&_0x2456b7['rows']?_0x2456b7[_0x2ea32d(0x2214)][0x0]:null;if(!_0x153368){const _0x3e9c53=[];let _0x2bc624=null;_0x15ad5a[_0x2ea32d(0x83a)]&&(_0x2bc624=_0x39641b()[_0x2ea32d(0x13b4)](_0x15ad5a['lists'],{'id':Number(_0x15ad5a[_0x2ea32d(0x83a)][_0x2ea32d(0x20a6)])}));for(let _0x1cbef9=0x0;_0x1cbef9<_0x15ad5a['lists']['length'];_0x1cbef9++){_0x2bc624&&_0x15ad5a[_0x2ea32d(0x1046)][_0x1cbef9]['id']===_0x2bc624['id']&&(_0x15ad5a[_0x2ea32d(0x1046)][_0x1cbef9][_0x2ea32d(0x15da)]=![],_0x3e9c53['push'](_0x15ad5a[_0x2ea32d(0x1046)][_0x1cbef9]));}_0x15ad5a[_0x2ea32d(0x1046)]=_0x3e9c53;}else{if(!_0x153368[_0x2ea32d(0x12f4)])return _0xb47bf0[_0x2ea32d(0x1198)][_0x2ea32d(0xbf7)]({'sectionId':_0x153368['id']})[_0x2ea32d(0x1d77)][_0x2ea32d(0x1cb0)](function(_0x47e801){const _0x5486fb=_0x2ea32d,_0x244eb4=_0x39641b()['map'](_0x47e801[_0x5486fb(0x2214)],function(_0x2bc4b4){const _0x17a1a4=_0x5486fb;return _0x39641b()[_0x17a1a4(0x13b4)](_0x15ad5a[_0x17a1a4(0x1046)],{'id':_0x2bc4b4[_0x17a1a4(0x2982)]});});let _0x5eab16=null;_0x15ad5a[_0x5486fb(0x83a)]&&(_0x5eab16=_0x39641b()[_0x5486fb(0x13b4)](_0x15ad5a['lists'],{'id':Number(_0x15ad5a[_0x5486fb(0x83a)][_0x5486fb(0x20a6)])}));if(_0x5eab16&&!_0x39641b()[_0x5486fb(0x727)](_0x244eb4,['id',_0x5eab16['id']])){const _0x28754c=_0x39641b()[_0x5486fb(0x13b4)](_0x15ad5a[_0x5486fb(0x1046)],{'id':_0x5eab16['id']});_0x28754c[_0x5486fb(0x15da)]=![],_0x244eb4[_0x5486fb(0x2785)](_0x28754c);}_0x15ad5a['lists']=_0x244eb4;});}})['catch'](function(_0x3a5950){const _0x33e2e1=_0x102db5;_0x5cf724['error']({'title':_0x3a5950[_0x33e2e1(0x291)]?'API:'+_0x3a5950[_0x33e2e1(0x291)]+_0x33e2e1(0x1657)+_0x3a5950[_0x33e2e1(0xc22)]:_0x33e2e1(0x113c),'msg':_0x3a5950[_0x33e2e1(0x25c)]?JSON['stringify'](_0x3a5950[_0x33e2e1(0x25c)]):_0x3a5950['toString']()});}),_0x58ba4b['hasRole'](_0x102db5(0x1c60))?_0xb47bf0[_0x102db5(0x785)][_0x102db5(0xbf7)]({'fields':_0x102db5(0x791),'sort':_0x102db5(0x16b6),'nolimit':_0x102db5(0x44d)})[_0x102db5(0x1d77)][_0x102db5(0x1cb0)](function(_0xa84904){const _0x18017f=_0x102db5;_0x15ad5a[_0x18017f(0x8de)]=_0xa84904[_0x18017f(0x2214)]||[];})[_0x102db5(0x1c4)](function(_0x51bf49){const _0x345327=_0x102db5;_0x5cf724[_0x345327(0x218e)]({'title':_0x51bf49[_0x345327(0x291)]?_0x345327(0xeb9)+_0x51bf49[_0x345327(0x291)]+_0x345327(0x1657)+_0x51bf49['statusText']:_0x345327(0x1589),'msg':_0x51bf49[_0x345327(0x25c)]?JSON['stringify'](_0x51bf49['data']):_0x51bf49[_0x345327(0x147f)]()});}):_0xb47bf0['pause']['get']({'fields':_0x102db5(0x791),'sort':_0x102db5(0x16b6),'nolimit':'true'})[_0x102db5(0x1d77)]['then'](function(_0x48cdc1){const _0x269b68=_0x102db5;_0x15ad5a[_0x269b68(0x8de)]=_0x48cdc1[_0x269b68(0x2214)]||[];})[_0x102db5(0x1cb0)](function(){const _0x19de64=_0x102db5;return _0xb47bf0[_0x19de64(0x2199)]['get']({'userProfileId':_0x15ad5a[_0x19de64(0xe76)][_0x19de64(0x13c1)],'sectionId':0x3ed})[_0x19de64(0x1d77)];})[_0x102db5(0x1cb0)](function(_0x1f12e6){const _0x10ef0d=_0x102db5,_0x33bbab=_0x1f12e6&&_0x1f12e6[_0x10ef0d(0x2214)]?_0x1f12e6['rows'][0x0]:null;if(!_0x33bbab)_0x15ad5a[_0x10ef0d(0x8de)]=[];else{if(!_0x33bbab[_0x10ef0d(0x12f4)])return _0xb47bf0['userProfileResource'][_0x10ef0d(0xbf7)]({'sectionId':_0x33bbab['id']})['$promise'][_0x10ef0d(0x1cb0)](function(_0x1204fe){const _0x421062=_0x10ef0d,_0x2763f1=_0x39641b()[_0x421062(0x1de2)](_0x1204fe[_0x421062(0x2214)],function(_0x13af6c){const _0x3b85e1=_0x421062;return _0x39641b()['find'](_0x15ad5a['pauses'],{'id':_0x13af6c[_0x3b85e1(0x2982)]});});_0x15ad5a[_0x421062(0x8de)]['forEach'](function(_0x4abcb1){const _0x227dcb=_0x421062;!_0x39641b()[_0x227dcb(0x727)](_0x2763f1,['id',_0x4abcb1['id']])&&(_0x4abcb1['canSelect']=![]),_0x2763f1[_0x227dcb(0x2785)](_0x4abcb1);}),_0x15ad5a[_0x421062(0x8de)]=_0x2763f1;});}})[_0x102db5(0x1c4)](function(_0x34491){const _0x51c643=_0x102db5;_0x5cf724[_0x51c643(0x218e)]({'title':_0x34491[_0x51c643(0x291)]?_0x51c643(0xeb9)+_0x34491[_0x51c643(0x291)]+_0x51c643(0x1657)+_0x34491['statusText']:_0x51c643(0x162),'msg':_0x34491[_0x51c643(0x25c)]?JSON[_0x51c643(0x2701)](_0x34491['data']):_0x34491[_0x51c643(0x147f)]()});});function _0x5a2368(){const _0x540c24=_0x102db5;_0x15ad5a[_0x540c24(0x1a7c)]=[],_0xb47bf0[_0x540c24(0x83a)]['save'](_0x15ad5a[_0x540c24(0x83a)])[_0x540c24(0x1d77)][_0x540c24(0x1cb0)](function(_0x1ce4ba){const _0x2b72ca=_0x540c24;_0x15ad5a['whatsappAccounts']['unshift'](_0x1ce4ba[_0x2b72ca(0x19b2)]()),_0x5cf724[_0x2b72ca(0x829)]({'title':_0x2b72ca(0xbd7),'msg':_0x15ad5a['whatsappAccount'][_0x2b72ca(0x16b6)]?_0x15ad5a[_0x2b72ca(0x83a)]['name']+'\x20has\x20been\x20created!':''}),_0x28f515(_0x1ce4ba);})[_0x540c24(0x1c4)](function(_0x334dc4){const _0x1aff32=_0x540c24;if(_0x334dc4[_0x1aff32(0x25c)]&&_0x334dc4[_0x1aff32(0x25c)]['errors']&&_0x334dc4[_0x1aff32(0x25c)]['errors'][_0x1aff32(0xfd0)]){_0x15ad5a[_0x1aff32(0x1a7c)]=_0x334dc4[_0x1aff32(0x25c)]['errors']||[{'message':_0x334dc4[_0x1aff32(0x147f)](),'type':'api.whatsappAccount.save'}];for(let _0x108b86=0x0;_0x108b86<_0x334dc4[_0x1aff32(0x25c)]['errors'][_0x1aff32(0xfd0)];_0x108b86+=0x1){_0x5cf724[_0x1aff32(0x218e)]({'title':_0x334dc4[_0x1aff32(0x25c)][_0x1aff32(0x1a7c)][_0x108b86][_0x1aff32(0x66a)],'msg':_0x334dc4['data'][_0x1aff32(0x1a7c)][_0x108b86][_0x1aff32(0x155e)]});}}else _0x5cf724[_0x1aff32(0x218e)]({'title':_0x334dc4[_0x1aff32(0x291)]?_0x1aff32(0xeb9)+_0x334dc4[_0x1aff32(0x291)]+_0x1aff32(0x1657)+_0x334dc4[_0x1aff32(0xc22)]:_0x1aff32(0x787),'msg':_0x334dc4[_0x1aff32(0x25c)]?JSON[_0x1aff32(0x2701)](_0x334dc4[_0x1aff32(0x25c)]['message']):_0x334dc4['toString']()});});}function _0x42a058(){const _0x25f80f=_0x102db5;_0x15ad5a[_0x25f80f(0x1a7c)]=[],_0xb47bf0[_0x25f80f(0x83a)]['update']({'id':_0x15ad5a[_0x25f80f(0x83a)]['id']},_0x15ad5a[_0x25f80f(0x83a)])[_0x25f80f(0x1d77)][_0x25f80f(0x1cb0)](function(_0x46256c){const _0x528082=_0x25f80f,_0x2bf55a=_0x39641b()[_0x528082(0x13b4)](_0x15ad5a['whatsappAccounts'],{'id':_0x46256c['id']});_0x2bf55a&&_0x39641b()[_0x528082(0x9c1)](_0x2bf55a,_0x39641b()[_0x528082(0x169b)](_0x46256c['toJSON'](),_0x39641b()['keys'](_0x2bf55a))),_0x5cf724[_0x528082(0x829)]({'title':_0x528082(0xe89),'msg':_0x15ad5a[_0x528082(0x83a)][_0x528082(0x16b6)]?_0x15ad5a[_0x528082(0x83a)][_0x528082(0x16b6)]+_0x528082(0xedb):''}),_0x28f515(_0x46256c);})[_0x25f80f(0x1c4)](function(_0x2c9246){const _0x4b1ebe=_0x25f80f;if(_0x2c9246['data']&&_0x2c9246[_0x4b1ebe(0x25c)][_0x4b1ebe(0x1a7c)]&&_0x2c9246[_0x4b1ebe(0x25c)][_0x4b1ebe(0x1a7c)][_0x4b1ebe(0xfd0)]){_0x15ad5a['errors']=_0x2c9246[_0x4b1ebe(0x25c)][_0x4b1ebe(0x1a7c)]||[{'message':_0x2c9246[_0x4b1ebe(0x147f)](),'type':_0x4b1ebe(0x864)}];for(let _0x423a1b=0x0;_0x423a1b<_0x2c9246[_0x4b1ebe(0x25c)][_0x4b1ebe(0x1a7c)][_0x4b1ebe(0xfd0)];_0x423a1b++){_0x5cf724[_0x4b1ebe(0x218e)]({'title':_0x2c9246[_0x4b1ebe(0x25c)][_0x4b1ebe(0x1a7c)][_0x423a1b][_0x4b1ebe(0x66a)],'msg':_0x2c9246[_0x4b1ebe(0x25c)][_0x4b1ebe(0x1a7c)][_0x423a1b][_0x4b1ebe(0x155e)]});}}else _0x5cf724[_0x4b1ebe(0x218e)]({'title':_0x2c9246[_0x4b1ebe(0x291)]?'API:'+_0x2c9246[_0x4b1ebe(0x291)]+_0x4b1ebe(0x1657)+_0x2c9246[_0x4b1ebe(0xc22)]:_0x4b1ebe(0x864),'msg':_0x2c9246[_0x4b1ebe(0x25c)]?JSON['stringify'](_0x2c9246['data']['message']):_0x2c9246[_0x4b1ebe(0x147f)]()});});}function _0x335f16(_0x3839bc){const _0x4ecf0a=_0x102db5;_0x15ad5a[_0x4ecf0a(0x1a7c)]=[];const _0x57b2de=_0x5093cf[_0x4ecf0a(0x1551)]()['title'](_0x4ecf0a(0x1a2e))[_0x4ecf0a(0x862)](_0x4ecf0a(0x27c0))[_0x4ecf0a(0x15ad)](_0x4ecf0a(0x1f50))['ok'](_0x4ecf0a(0x2594))[_0x4ecf0a(0x696)](_0x4ecf0a(0xde1))[_0x4ecf0a(0x728)](_0x3839bc);_0x5093cf[_0x4ecf0a(0xe27)](_0x57b2de)['then'](function(){const _0x59a38a=_0x4ecf0a;_0xb47bf0[_0x59a38a(0x83a)][_0x59a38a(0x111d)]({'id':_0x15ad5a[_0x59a38a(0x83a)]['id']})[_0x59a38a(0x1d77)][_0x59a38a(0x1cb0)](function(){const _0x404480=_0x59a38a;_0x39641b()[_0x404480(0x152a)](_0x15ad5a[_0x404480(0xbb3)],{'id':_0x15ad5a[_0x404480(0x83a)]['id']}),_0x5cf724[_0x404480(0x829)]({'title':_0x404480(0x2666),'msg':(_0x15ad5a[_0x404480(0x83a)][_0x404480(0x16b6)]||_0x404480(0x83a))+_0x404480(0x3f5)}),_0x28f515(_0x15ad5a[_0x404480(0x83a)]);})['catch'](function(_0x427121){const _0x5cdd6b=_0x59a38a;if(_0x427121[_0x5cdd6b(0x25c)]&&_0x427121[_0x5cdd6b(0x25c)][_0x5cdd6b(0x1a7c)]&&_0x427121['data']['errors'][_0x5cdd6b(0xfd0)]){_0x15ad5a[_0x5cdd6b(0x1a7c)]=_0x427121['data'][_0x5cdd6b(0x1a7c)]||[{'message':_0x427121[_0x5cdd6b(0x147f)](),'type':_0x5cdd6b(0x65a)}];for(let _0x137353=0x0;_0x137353<_0x427121[_0x5cdd6b(0x25c)][_0x5cdd6b(0x1a7c)][_0x5cdd6b(0xfd0)];_0x137353++){_0x5cf724[_0x5cdd6b(0x218e)]({'title':_0x427121[_0x5cdd6b(0x25c)][_0x5cdd6b(0x1a7c)][_0x137353]['type'],'msg':_0x427121[_0x5cdd6b(0x25c)][_0x5cdd6b(0x1a7c)][_0x137353]['message']});}}else _0x5cf724[_0x5cdd6b(0x218e)]({'title':_0x427121[_0x5cdd6b(0x291)]?_0x5cdd6b(0xeb9)+_0x427121['status']+'\x20-\x20'+_0x427121['statusText']:_0x5cdd6b(0x65a),'msg':_0x427121[_0x5cdd6b(0x25c)]?JSON[_0x5cdd6b(0x2701)](_0x427121['data'][_0x5cdd6b(0x155e)]):_0x427121[_0x5cdd6b(0x155e)]||_0x427121[_0x5cdd6b(0x147f)]()});});},function(){});}function _0x351101(_0x55fa89){return _0x55fa89===null?undefined:new Date(_0x55fa89);}function _0x28f515(_0x3c2701){const _0x270a1d=_0x102db5;_0x5093cf[_0x270a1d(0x1426)](_0x3c2701);}}const _0x5dbcf7=_0x3f26de;;const _0x2c40d0=_0x5074a3['p']+_0x5537c6(0x24b8);;const _0x41584e=_0x5074a3['p']+_0x5537c6(0x2273);;const _0x29bb1f=_0x5074a3['p']+_0x5537c6(0x15ba);;const _0x57dc85=_0x5074a3['p']+_0x5537c6(0xa72);;const _0xd4ed77=_0x5074a3['p']+_0x5537c6(0x79b);;const _0xf295f8=_0x5074a3['p']+_0x5537c6(0x18fb);;const _0xcac58a=_0x5074a3['p']+_0x5537c6(0x11de);;const _0x1880d0=_0x5074a3['p']+_0x5537c6(0x1826);;const _0x57e1f7=_0x5074a3['p']+'src/js/modules/main/apps/whatsapp/views/whatsappAccounts/edit/apps/noop/dialog.html/dialog.html';;const _0x2a5ad1=_0x5074a3['p']+_0x5537c6(0x1207);;const _0x124e43=_0x5074a3['p']+_0x5537c6(0x1e6a);;const _0x1464af=_0x5074a3['p']+_0x5537c6(0xac4);;_0x577659[_0x5537c6(0x15b6)]=[_0x5537c6(0x142b),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x9bf),'Auth'];const _0x3ac50b={'agent':_0x2c40d0,'amazonlex':_0x41584e,'autoreply':_0x29bb1f,'close':_0x57dc85,'dialogflow':_0xd4ed77,'gotoif':_0xf295f8,'gotop':_0xcac58a,'interval':_0x1880d0,'noop':_0x57e1f7,'queue':_0x2a5ad1,'system':_0x124e43,'tag':_0x1464af};function _0x577659(_0x44044d,_0x571829,_0x11024e,_0x2c9d82,_0x507957){const _0x3f403e=_0x5537c6,_0x15ee4b=this;_0x15ee4b[_0x3f403e(0xe76)]=_0x507957[_0x3f403e(0x21e8)](),_0x15ee4b[_0x3f403e(0x83a)]={},_0x15ee4b[_0x3f403e(0x7d4)]={'count':0x0,'rows':[]},_0x15ee4b['selectedWhatsappAccountApps']=[],_0x15ee4b[_0x3f403e(0x1b1a)],_0x15ee4b[_0x3f403e(0xae2)]={'sort':_0x3f403e(0x521)},_0x15ee4b[_0x3f403e(0x196f)]=_0x39641b()[_0x3f403e(0x988)](_0x39641b()[_0x3f403e(0x1d33)]([{'app':_0x3f403e(0x1d81),'appType':_0x3f403e(0x1ac8),'types':[_0x3f403e(0x2017),'custom',_0x3f403e(0x240)],'fields':[],'isApp':![]},{'app':_0x3f403e(0x1234),'appType':_0x3f403e(0x1234),'type':_0x3f403e(0x1234),'icon':'icon-apps','interval':'*,*,*,*','required':!![],'isApp':!![],'fields':[{'title':_0x3f403e(0x1e10),'name':_0x3f403e(0x327),'type':_0x3f403e(0x19d3),'param':0x0}]},{'app':_0x3f403e(0x7be),'appType':'system','type':_0x3f403e(0x7be),'icon':_0x3f403e(0x33e),'interval':_0x3f403e(0x965),'required':!![],'isApp':!![],'extraApi':[{'name':_0x3f403e(0x9a9),'field':{'name':_0x3f403e(0x1822),'key':_0x3f403e(0x16b6)},'route':_0x3f403e(0x1822),'filters':{'fields':_0x3f403e(0x43c),'sort':_0x3f403e(0x16b6),'nolimit':!![]},'permissions':{'section':0x3f4}}],'fields':[{'title':_0x3f403e(0x1b9),'name':'command','type':_0x3f403e(0x19d3),'required':!![],'param':0x0},{'title':_0x3f403e(0x1b02),'name':'variable','type':_0x3f403e(0xb52),'values':_0x3f403e(0x9a9),'value':'variable.name','option':'variable.name','defaultValues':[{'value':'\x27\x27','option':_0x3f403e(0x2001)}],'defaultValue':'\x27\x27','param':0x1}]},{'app':'gotop','appType':_0x3f403e(0x265b),'type':'goto','icon':_0x3f403e(0x33e),'interval':_0x3f403e(0x965),'isApp':!![],'fields':[{'title':_0x3f403e(0x1240),'name':_0x3f403e(0x521),'type':_0x3f403e(0x83d),'required':!![],'min':0x0,'param':0x0}]},{'app':'gotoif','appType':_0x3f403e(0x13a0),'type':'gotoif','icon':_0x3f403e(0x33e),'interval':_0x3f403e(0x965),'isApp':!![],'fields':[{'title':_0x3f403e(0x28f5),'name':_0x3f403e(0x166c),'type':_0x3f403e(0x19d3),'required':!![],'param':0x0},{'title':_0x3f403e(0xb24),'name':'truepriority','type':'number','min':0x1,'required':!![],'param':0x1},{'title':'falsepriority','name':_0x3f403e(0x17d),'type':_0x3f403e(0x83d),'min':0x1,'required':!![],'param':0x2}]},{'app':_0x3f403e(0x11cf),'appType':_0x3f403e(0x11cf),'foreignKey':_0x3f403e(0x4f2),'type':_0x3f403e(0x11cf),'icon':_0x3f403e(0x33e),'interval':_0x3f403e(0x965),'isApp':!![],'extraApi':[{'name':_0x3f403e(0x971),'field':{'name':_0x3f403e(0x11cf),'key':'name'},'route':_0x3f403e(0xea8),'filters':{'fields':_0x3f403e(0x1896),'sort':_0x3f403e(0x16b6),'nolimit':!![]},'permissions':{'section':0x836}}],'fields':[{'title':'Queue','name':_0x3f403e(0x11cf),'type':_0x3f403e(0xb52),'values':_0x3f403e(0x971),'value':'queue.name','option':_0x3f403e(0x19b3),'defaultValue':0x12c,'required':!![],'param':0x0},{'title':'Timeout','name':_0x3f403e(0x1719),'type':'number','max':0x20c49b,'min':0x0,'required':!![],'defaultValue':0x12c,'param':0x1}]},{'app':_0x3f403e(0x1eff),'appType':_0x3f403e(0x1eff),'type':_0x3f403e(0x1eff),'foreignKey':_0x3f403e(0x21ab),'icon':_0x3f403e(0x33e),'interval':'*,*,*,*','isApp':!![],'extraApi':[{'name':'agents','field':{'name':'agent','key':_0x3f403e(0x16b6)},'route':_0x3f403e(0xebe),'filters':{'fields':_0x3f403e(0x43c),'sort':_0x3f403e(0x16b6),'nolimit':!![],'role':_0x3f403e(0x1eff)},'permissions':{'section':0xca}}],'fields':[{'title':_0x3f403e(0x30e),'name':_0x3f403e(0x1eff),'type':'apiselect','values':_0x3f403e(0xc12),'value':_0x3f403e(0x302),'option':_0x3f403e(0x302),'required':!![],'param':0x0},{'title':'Timeout','name':'timeout','type':_0x3f403e(0x83d),'max':0x20c49b,'min':0x0,'required':!![],'defaultValue':0x1e,'param':0x1}]},{'app':_0x3f403e(0x1f71),'appType':'autoreply','type':'autoreply','icon':'icon-apps','interval':_0x3f403e(0x965),'isApp':!![],'fields':[{'title':_0x3f403e(0x18a5),'name':_0x3f403e(0x18a5),'type':_0x3f403e(0x220f),'defaultValue':'1','values':[{'option':_0x3f403e(0x431),'value':'1'},{'option':'Always','value':'0'}],'required':!![],'param':0x0},{'title':_0x3f403e(0x620),'name':_0x3f403e(0x19d3),'type':_0x3f403e(0x2424),'required':!![],'param':0x1}]},{'app':_0x3f403e(0xf3b),'appType':_0x3f403e(0xf3b),'type':_0x3f403e(0xf3b),'icon':_0x3f403e(0x33e),'interval':'*,*,*,*','isApp':!![],'fields':[{'title':_0x3f403e(0x2542),'name':'disposition','type':_0x3f403e(0x19d3),'required':!![],'param':0x0}]},{'app':'tag','appType':_0x3f403e(0x22b1),'type':'tag','foreignKey':_0x3f403e(0x1754),'icon':_0x3f403e(0x33e),'interval':_0x3f403e(0x965),'isApp':!![],'extraApi':[{'name':'tags','field':{'name':_0x3f403e(0x22b1),'key':'name'},'route':_0x3f403e(0x22b1),'filters':{'fields':_0x3f403e(0x43c),'sort':_0x3f403e(0x16b6),'nolimit':!![]},'permissions':{'section':0x3f0}}],'fields':[{'title':_0x3f403e(0xf2d),'name':'tag','type':_0x3f403e(0xb52),'values':_0x3f403e(0xfbf),'value':'tag.name','option':_0x3f403e(0x52a),'required':!![],'param':0x0}]},{'app':_0x3f403e(0x711),'appType':_0x3f403e(0x711),'type':_0x3f403e(0x711),'icon':'icon-apps','interval':'*,*,*,*','isApp':!![],'fields':[{'title':_0x3f403e(0xad8),'name':_0x3f403e(0xee8),'type':'text','required':!![],'param':0x0},{'title':_0x3f403e(0x12b4),'name':_0x3f403e(0x12b4),'type':_0x3f403e(0x220f),'defaultValue':_0x3f403e(0x1ffc),'values':[{'option':_0x3f403e(0x1f7),'value':_0x3f403e(0x1dac)},{'option':_0x3f403e(0xc8b),'value':_0x3f403e(0x1ffc)},{'option':_0x3f403e(0x41b),'value':_0x3f403e(0x134a)},{'option':_0x3f403e(0x2257),'value':_0x3f403e(0x6a6)},{'option':_0x3f403e(0x2672),'value':'\x27es\x27'},{'option':'French','value':_0x3f403e(0x1040)},{'option':_0x3f403e(0x600),'value':_0x3f403e(0x1cf7)},{'option':_0x3f403e(0x243f),'value':_0x3f403e(0x5c5)},{'option':_0x3f403e(0x2773),'value':'\x27ko\x27'},{'option':_0x3f403e(0x2027),'value':_0x3f403e(0x1298)},{'option':'Norwegian','value':_0x3f403e(0x15b9)},{'option':_0x3f403e(0xf02),'value':_0x3f403e(0x16db)},{'option':_0x3f403e(0x1a45),'value':_0x3f403e(0x741)},{'option':_0x3f403e(0x1dec),'value':_0x3f403e(0x258b)},{'option':'Swedish','value':'\x27sv\x27'},{'option':_0x3f403e(0x20c),'value':'\x27th\x27'},{'option':_0x3f403e(0x526),'value':_0x3f403e(0x199c)},{'option':_0x3f403e(0xb4e),'value':_0x3f403e(0x18f1)},{'option':_0x3f403e(0xf5c),'value':_0x3f403e(0x1d01)},{'option':_0x3f403e(0x1784),'value':_0x3f403e(0xad9)}],'required':!![],'param':0x1},{'title':_0x3f403e(0x173a),'name':'welcomemessage','type':_0x3f403e(0x2424),'maxlength':0xff,'required':![],'param':0x2,'help':!![]}]},{'app':_0x3f403e(0x385),'appType':_0x3f403e(0xece),'type':_0x3f403e(0xece),'icon':'icon-apps','interval':_0x3f403e(0x965),'isApp':!![],'fields':[{'title':_0x3f403e(0x1e76),'name':_0x3f403e(0x2854),'type':_0x3f403e(0x19d3),'required':!![],'param':0x0},{'title':_0x3f403e(0x9e0),'name':_0x3f403e(0x12bf),'type':_0x3f403e(0x19d3),'required':!![],'param':0x1},{'title':_0x3f403e(0x2393),'name':_0x3f403e(0x29d6),'type':_0x3f403e(0x2424),'required':!![],'param':0x2},{'title':_0x3f403e(0x12b4),'name':_0x3f403e(0x12b4),'type':_0x3f403e(0x220f),'defaultValue':_0x3f403e(0x1ffc),'values':[{'value':'\x27zh-HK\x27','option':_0x3f403e(0x261e)},{'value':_0x3f403e(0x18f1),'option':'Chinese\x20(Simplified)'},{'value':'\x27zh-TW\x27','option':'Chinese\x20(Traditional)'},{'value':'\x27da\x27','option':_0x3f403e(0x1f7)},{'value':_0x3f403e(0x1298),'option':'Dutch'},{'value':_0x3f403e(0x1ffc),'option':_0x3f403e(0xc8b)},{'value':_0x3f403e(0x1548),'option':'English\x20(Australia)'},{'value':_0x3f403e(0x9ed),'option':'English\x20(Canada)'},{'value':_0x3f403e(0x21a8),'option':'English\x20(Great\x20Britain)'},{'value':_0x3f403e(0x17d0),'option':'English\x20(India)'},{'value':'\x27en-US\x27','option':_0x3f403e(0x146b)},{'value':_0x3f403e(0x1040),'option':_0x3f403e(0x19a)},{'value':_0x3f403e(0x271a),'option':_0x3f403e(0x2207)},{'value':'\x27fr-FR\x27','option':_0x3f403e(0xe34)},{'value':_0x3f403e(0x134a),'option':_0x3f403e(0x41b)},{'value':'\x27hi\x27','option':_0x3f403e(0x1265)},{'value':_0x3f403e(0x1cf7),'option':_0x3f403e(0x600)},{'value':'\x27it\x27','option':_0x3f403e(0x2257)},{'value':_0x3f403e(0x5c5),'option':_0x3f403e(0x243f)},{'value':_0x3f403e(0x1ce3),'option':_0x3f403e(0x14f3)},{'value':_0x3f403e(0x15b9),'option':_0x3f403e(0x12a8)},{'value':'\x27pl\x27','option':_0x3f403e(0x2960)},{'value':_0x3f403e(0x1ccb),'option':_0x3f403e(0xa0f)},{'value':'\x27pt-PT\x27','option':'Portuguese\x20(Portugal)'},{'value':'\x27ru\x27','option':_0x3f403e(0x1dec)},{'value':_0x3f403e(0xb87),'option':_0x3f403e(0x2672)},{'value':_0x3f403e(0x1ff7),'option':'Spanish\x20(Latin\x20America)'},{'value':_0x3f403e(0x22ed),'option':_0x3f403e(0x24bd)},{'value':_0x3f403e(0x21c7),'option':_0x3f403e(0x15fe)},{'value':_0x3f403e(0x1047),'option':_0x3f403e(0x20c)},{'value':_0x3f403e(0x21cd),'option':_0x3f403e(0x1543)},{'value':_0x3f403e(0x199c),'option':_0x3f403e(0x526)}],'required':!![],'param':0x3},{'title':'welcomemessage','name':_0x3f403e(0x173a),'type':'textarea','maxlength':0xff,'param':0x4,'help':!![]}]},{'app':_0x3f403e(0x123a),'appType':_0x3f403e(0x123a),'type':_0x3f403e(0x123a),'icon':_0x3f403e(0x33e),'interval':_0x3f403e(0x965),'isApp':!![],'fields':[{'title':'accesskeyid','name':_0x3f403e(0x413),'type':_0x3f403e(0x19d3),'required':!![],'param':0x0},{'title':'secretaccesskey','name':_0x3f403e(0x21d9),'type':_0x3f403e(0x19d3),'required':!![],'param':0x1},{'title':_0x3f403e(0xd50),'name':_0x3f403e(0xd50),'type':_0x3f403e(0x220f),'defaultValue':_0x3f403e(0x1c93),'values':[{'option':_0x3f403e(0xe59),'value':_0x3f403e(0x1c93)},{'option':'US\x20West\x20(Oregon)','value':_0x3f403e(0x2646)},{'option':_0x3f403e(0x14ca),'value':'\x27eu-west-1\x27'},{'option':'Asia\x20Pacific\x20(Sydney)','value':'\x27ap-southeast-2\x27'}],'required':!![],'param':0x2},{'title':_0x3f403e(0x1c7f),'name':_0x3f403e(0x1c7f),'type':_0x3f403e(0x19d3),'required':!![],'param':0x3},{'title':'welcomemessage','name':_0x3f403e(0x173a),'type':_0x3f403e(0x2424),'maxlength':0xff,'required':![],'param':0x4,'help':!![]}]}],[_0x3f403e(0x22e1)]),{'isApp':![]}),_0x15ee4b[_0x3f403e(0x240)]={'group':{'name':'opt1','pull':_0x3f403e(0x11bc)},'animation':0x64,'sort':![]},_0x15ee4b[_0x3f403e(0x1f2f)]={'group':{'name':'opt2','put':_0x3f403e(0x2523)},'animation':0x64,'onAdd':function(_0x23c72f){const _0x4df530=_0x3f403e;_0xf01e63(_0x23c72f,_0x23c72f[_0x4df530(0x22e)]);},'onSort':function(){_0x3efc63();}},_0x15ee4b[_0x3f403e(0x1a8e)]=_0x4e57e8,_0x15ee4b['deleteConfirm']=_0x2aa188,_0x15ee4b[_0x3f403e(0x1dd1)]=_0x1f60b0,_0x15ee4b[_0x3f403e(0x1466)]=_0xf01e63,_0x15ee4b['editInterval']=_0x33340d,_0x15ee4b['deleteWhatsappAccountApp']=_0x1d413e,_0x15ee4b[_0x3f403e(0x2449)]=_0x329b9b,_0x15ee4b[_0x3f403e(0x463)]=_0x3efc63,_0x15ee4b[_0x3f403e(0x22fc)]=_0xc7ea09;function _0x4e57e8(_0x389223,_0x1893f8){const _0x1f3375=_0x3f403e;_0x15ee4b[_0x1f3375(0x83a)]=_0x389223,_0x15ee4b['crudPermissions']=typeof _0x1893f8!==_0x1f3375(0x16b5)?_0x1893f8:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x15ee4b['applications'][_0x1f3375(0x379)]=!_0x15ee4b[_0x1f3375(0x1b1a)][_0x1f3375(0xb3d)]?!![]:![],_0x15ee4b[_0x1f3375(0xae2)]['id']=_0x389223['id'],_0x15ee4b[_0x1f3375(0xae2)]['isApp']=!![],_0x15ee4b[_0x1f3375(0xae2)][_0x1f3375(0x2282)]=!![],_0x15ee4b[_0x1f3375(0x1dd1)](),_0x15ee4b[_0x1f3375(0x22fc)]();}function _0x2aa188(_0x2a4b47,_0x4c2a1b,_0x18bed4){const _0x1cfd07=_0x3f403e,_0x54a5d9=_0x571829[_0x1cfd07(0x1551)]()[_0x1cfd07(0x1386)](_0x1cfd07(0xf35))[_0x1cfd07(0x49e)](_0x1cfd07(0x204d)+_0x2a4b47[_0x1cfd07(0x22e1)]+_0x1cfd07(0x1200)+_0x1cfd07(0x1b6))[_0x1cfd07(0x15ad)](_0x1cfd07(0x2998))[_0x1cfd07(0x728)](_0x18bed4)['ok']('OK')[_0x1cfd07(0x696)](_0x1cfd07(0x24ba));_0x571829[_0x1cfd07(0xe27)](_0x54a5d9)['then'](function(){const _0x5677ce=_0x1cfd07;_0x15ee4b[_0x5677ce(0x7d4)][_0x5677ce(0x2214)][_0x5677ce(0x159c)](_0x4c2a1b,0x1),_0x3efc63();},function(){const _0x43cbf0=_0x1cfd07;console[_0x43cbf0(0x1b4f)](_0x43cbf0(0x24ba));});}function _0xf01e63(_0xa9dbc2,_0x49aa57){const _0x5721bc=_0x3f403e;if(_0x15ee4b[_0x5721bc(0x7d4)][_0x5721bc(0x2214)][_0x5721bc(0xfd0)]){const _0x56a5e7=_0x15ee4b[_0x5721bc(0x7d4)]['rows'][_0x49aa57]?_0x15ee4b['whatsappAccountApps'][_0x5721bc(0x2214)][_0x49aa57]:_0x15ee4b[_0x5721bc(0x7d4)][_0x5721bc(0x2214)][0x0],_0x12c258=(_0x56a5e7[_0x5721bc(0x299b)]||_0x56a5e7[_0x5721bc(0x22e1)])[_0x5721bc(0x1680)]();_0x571829[_0x5721bc(0xe27)]({'controller':'EditWhatsappAccountApp'+_0x12c258+_0x5721bc(0x198f),'controllerAs':'vm','templateUrl':_0x3ac50b[_0x12c258],'parent':angular[_0x5721bc(0x1853)](_0x11024e[_0x5721bc(0x1ed9)]),'targetEvent':_0xa9dbc2,'clickOutsideToClose':!![],'locals':{'whatsappAccountApp':_0x56a5e7,'whatsappAccount':_0x15ee4b['whatsappAccount'],'crudPermissions':_0x15ee4b[_0x5721bc(0x1b1a)]}})[_0x5721bc(0x1cb0)](function(_0x261769){const _0x403559=_0x5721bc;_0x261769&&(_0x261769['id']?_0x15ee4b[_0x403559(0x7d4)][_0x403559(0x2214)][_0x49aa57]=_0x261769:_0x15ee4b['whatsappAccountApps'][_0x403559(0x2214)]['splice'](_0x49aa57,0x0,_0x261769),_0x3efc63());})[_0x5721bc(0x1c4)](function(_0x5352b3){const _0x2129fe=_0x5721bc;_0x5352b3&&_0x2c9d82[_0x2129fe(0x218e)]({'title':_0x5352b3['status']?'API:'+_0x5352b3[_0x2129fe(0x291)]+_0x2129fe(0x1657)+_0x5352b3[_0x2129fe(0xc22)]:_0x2129fe(0xd95),'msg':_0x5352b3[_0x2129fe(0x25c)]?JSON['stringify'](_0x5352b3[_0x2129fe(0x25c)]):_0x5352b3['toString']()});});}}function _0x33340d(_0x5be8b6,_0x232831){const _0x1487d7=_0x3f403e;if(_0x15ee4b[_0x1487d7(0x7d4)]['rows']['length']){const _0x3f72ff=_0x15ee4b[_0x1487d7(0x7d4)][_0x1487d7(0x2214)][_0x232831]?_0x15ee4b[_0x1487d7(0x7d4)][_0x1487d7(0x2214)][_0x232831]:_0x15ee4b['whatsappAccountApps'][_0x1487d7(0x2214)][0x0];_0x571829['show']({'controller':_0x1487d7(0x25b1),'controllerAs':'vm','templateUrl':_0x1880d0,'parent':angular['element'](_0x11024e['body']),'targetEvent':_0x5be8b6,'clickOutsideToClose':!![],'locals':{'interval':{'interval':_0x3f72ff['interval'],'IntervalId':_0x3f72ff[_0x1487d7(0x1a60)],'application':!![]},'intervals':[],'crudPermissions':_0x15ee4b['crudPermissions']}})[_0x1487d7(0x1cb0)](function(_0x4580c5){const _0x4f222e=_0x1487d7;_0x4580c5&&(_0x3f72ff[_0x4f222e(0x1ac8)]=_0x4580c5[_0x4f222e(0x1ac8)]||_0x4f222e(0x965),_0x3f72ff[_0x4f222e(0x1a60)]=_0x4580c5['IntervalId']||null,_0x3efc63());});}}function _0x3efc63(){const _0x40f33b=_0x3f403e;let _0x112ceb=0x1,_0x3dc6ff=[];for(let _0x233b77=0x0;_0x233b77<_0x15ee4b[_0x40f33b(0x7d4)][_0x40f33b(0x2214)]['length'];_0x233b77++){const _0x3bb4bd=_0x15ee4b['whatsappAccountApps'][_0x40f33b(0x2214)][_0x233b77],_0x1d220b=[],_0x2c0c48=[];_0x3bb4bd['intervals']=_0x3bb4bd[_0x40f33b(0x1ac8)]!=='*,*,*,*'?[_0x3bb4bd[_0x40f33b(0x1ac8)]]:_0x3bb4bd[_0x40f33b(0x1a60)]?_0x39641b()['map'](_0x39641b()[_0x40f33b(0x1c99)](_0x15ee4b[_0x40f33b(0xb4a)][_0x40f33b(0x2214)],{'IntervalId':_0x3bb4bd['IntervalId']}),'interval'):[],_0x3bb4bd[_0x40f33b(0x2056)]=_0x15ee4b['whatsappAccount'][_0x40f33b(0x2056)],_0x3bb4bd[_0x40f33b(0x26a2)]=_0x15ee4b[_0x40f33b(0x83a)][_0x40f33b(0x26a2)],_0x3bb4bd[_0x40f33b(0x66a)]&&(_0x3bb4bd[_0x40f33b(0x66a)]=_0x3bb4bd[_0x40f33b(0x66a)][_0x40f33b(0x1680)]()),_0x3bb4bd[_0x40f33b(0x521)]=_0x1d220b[_0x40f33b(0xfd0)]?_0x39641b()[_0x40f33b(0x1f9e)](_0x1d220b)[_0x40f33b(0x521)]+0x1:_0x112ceb,_0x112ceb=(_0x2c0c48[_0x40f33b(0xfd0)]?_0x39641b()[_0x40f33b(0x1f9e)](_0x2c0c48)[_0x40f33b(0x521)]:_0x3bb4bd['priority'])+0x1,_0x3dc6ff=_0x39641b()[_0x40f33b(0x298a)](_0x3dc6ff,_0x1d220b,[_0x3bb4bd],_0x2c0c48);}_0x44044d[_0x40f33b(0x83a)][_0x40f33b(0x2598)]({'id':_0x15ee4b[_0x40f33b(0x83a)]['id']},_0x39641b()['sortBy'](_0x3dc6ff,'priority'))['$promise']['then'](function(_0x4d3214){const _0x4aacd6=_0x40f33b;_0x15ee4b[_0x4aacd6(0x7d4)]['rows']=_0x4d3214[_0x4aacd6(0x2214)];})[_0x40f33b(0x1c4)](function(_0x43513c){const _0x1ce6f9=_0x40f33b;console[_0x1ce6f9(0x218e)](_0x43513c);});}function _0x5f42c7(_0x3f2eed){_0x15ee4b['whatsappAccountApps']=_0x3f2eed||{'count':0x0,'rows':[]};}function _0xc7ea09(){const _0x38779d=_0x3f403e;return _0x44044d[_0x38779d(0x1ac8)]['get']({'fields':_0x38779d(0x18b2)})[_0x38779d(0x1d77)]['then'](function(_0x49aaf4){const _0x588aca=_0x38779d;_0x15ee4b[_0x588aca(0xb4a)]=_0x49aaf4;})[_0x38779d(0x1c4)](function(_0x31ada7){const _0x488af2=_0x38779d;console[_0x488af2(0x218e)](_0x31ada7);});}function _0x1f60b0(){const _0x3b20f3=_0x3f403e;_0x15ee4b[_0x3b20f3(0x2061)]=_0x44044d[_0x3b20f3(0x83a)][_0x3b20f3(0x930)](_0x15ee4b[_0x3b20f3(0xae2)],_0x5f42c7)['$promise'];}function _0x1d413e(_0x350a92){const _0x36a0f0=_0x3f403e;_0x39641b()[_0x36a0f0(0x152a)](_0x15ee4b['whatsappAccountApps'][_0x36a0f0(0x2214)],{'id':_0x350a92['id']}),_0x3efc63(),_0x2c9d82[_0x36a0f0(0x829)]({'title':_0x36a0f0(0x2923),'msg':_0x350a92[_0x36a0f0(0x22e1)]?_0x350a92[_0x36a0f0(0x22e1)]+_0x36a0f0(0x3f5):''});}function _0x329b9b(_0x219b98){const _0x478e13=_0x3f403e,_0x32d288=_0x571829[_0x478e13(0x1551)]()[_0x478e13(0x1386)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20applications?')[_0x478e13(0x49e)](_0x478e13(0x204d)+_0x15ee4b[_0x478e13(0xc5f)][_0x478e13(0xfd0)]+'\x20selected'+_0x478e13(0x1b6))[_0x478e13(0x15ad)](_0x478e13(0x531))[_0x478e13(0x728)](_0x219b98)['ok']('OK')[_0x478e13(0x696)](_0x478e13(0x24ba));_0x571829[_0x478e13(0xe27)](_0x32d288)[_0x478e13(0x1cb0)](function(){const _0x4ded8b=_0x478e13;_0x15ee4b[_0x4ded8b(0xc5f)][_0x4ded8b(0xf90)](function(_0x1cf954){const _0x151dbe=_0x4ded8b;_0x39641b()[_0x151dbe(0x152a)](_0x15ee4b[_0x151dbe(0x7d4)][_0x151dbe(0x2214)],{'id':_0x1cf954['id']});}),_0x15ee4b[_0x4ded8b(0xc5f)]=[],_0x3efc63();});}}const _0x466e41=_0x577659;;_0x50667f[_0x5537c6(0x15b6)]=['$mdDialog','$q',_0x5537c6(0x9bf),'api',_0x5537c6(0x83a),'whatsappAccounts',_0x5537c6(0x217b),_0x5537c6(0x1ae),'Auth',_0x5537c6(0x1b1a)];function _0x50667f(_0x1c34ef,_0x53fb9d,_0x194c96,_0x57bcf1,_0x399a7d,_0x459704,_0x1b703f,_0x542e16,_0x3ea501,_0x520c0f){const _0x403327=_0x5537c6,_0x2f822c=this;_0x2f822c[_0x403327(0xe76)]=_0x3ea501[_0x403327(0x21e8)](),_0x2f822c['whatsappAccount']=_0x399a7d,_0x2f822c[_0x403327(0x1b1a)]=_0x520c0f,_0x2f822c[_0x403327(0x217b)]=_0x1b703f,_0x2f822c['items']=[],_0x2f822c[_0x403327(0x1372)]=[],_0x2f822c['selectedItems']=[],_0x2f822c[_0x403327(0xbd5)]=[],_0x2f822c['startingSelectedItems']=[],_0x2f822c[_0x403327(0x50c)]=![],_0x2f822c[_0x403327(0x1a34)]=_0x2328d7,_0x2f822c[_0x403327(0x1dd5)]=_0x199f0c,_0x2f822c['closeDialog']=_0x2fccdd,_0x2f822c[_0x403327(0x18c0)]={'readOnly':!_0x2f822c[_0x403327(0x1b1a)][_0x403327(0xb3d)],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x403327(0x16b6),'line1':'fullname','line2':[_0x403327(0x16b6),_0x403327(0xdbd)],'line3':'','labelAll':_0x542e16[_0x403327(0x25cc)]('APP.ALL_AGENTS'),'labelSelected':_0x542e16[_0x403327(0x25cc)]('APP.SELECTED_AGENTS'),'transferCallback':function(){const _0x468969=_0x403327,_0x28ec73=_0x39641b()['xorBy'](_0x2f822c[_0x468969(0x1456)],_0x2f822c[_0x468969(0x20bb)],'id');_0x2f822c['pendingChanges']=_0x39641b()['isEmpty'](_0x28ec73)?![]:!![];}};function _0x2328d7(){const _0x5e4d5c=_0x403327;return _0x3ea501[_0x5e4d5c(0x22b6)](_0x5e4d5c(0x1c60))?_0x3a965a()[_0x5e4d5c(0x1c4)](function(_0x130d54){const _0x46a96c=_0x5e4d5c;_0x194c96[_0x46a96c(0x218e)]({'title':_0x130d54['status']?_0x46a96c(0xeb9)+_0x130d54[_0x46a96c(0x291)]+'\x20-\x20'+_0x130d54['statusText']:_0x46a96c(0x799),'msg':_0x130d54[_0x46a96c(0x291)]?JSON[_0x46a96c(0x2701)](_0x130d54['data']):_0x130d54[_0x46a96c(0x147f)]()});}):_0x2b589e()[_0x5e4d5c(0x1cb0)](function(_0x4e0144){const _0x1e381b=_0x5e4d5c;return _0x2f822c[_0x1e381b(0x1f74)]=_0x4e0144,_0x3a965a();})[_0x5e4d5c(0x1c4)](function(_0x5223f3){const _0x5b663b=_0x5e4d5c;_0x194c96[_0x5b663b(0x218e)]({'title':_0x5223f3['status']?_0x5b663b(0xeb9)+_0x5223f3[_0x5b663b(0x291)]+_0x5b663b(0x1657)+_0x5223f3['statusText']:'SYSTEM:GET_AGENTS','msg':_0x5223f3['status']?JSON[_0x5b663b(0x2701)](_0x5223f3[_0x5b663b(0x25c)]):_0x5223f3[_0x5b663b(0x147f)]()});});}function _0x2b589e(){return _0x53fb9d(function(_0x5718da,_0xf58c97){const _0x4bce84=a0_0x5cbd;_0x57bcf1[_0x4bce84(0x2199)][_0x4bce84(0xbf7)]({'userProfileId':_0x2f822c[_0x4bce84(0xe76)][_0x4bce84(0x13c1)],'name':_0x4bce84(0x2536)})[_0x4bce84(0x1d77)][_0x4bce84(0x1cb0)](function(_0x425282){const _0x466255=_0x4bce84,_0x56e1f2=_0x425282&&_0x425282[_0x466255(0x2214)]?_0x425282[_0x466255(0x2214)][0x0]:null;_0x5718da(_0x56e1f2);})[_0x4bce84(0x1c4)](function(_0x2d7997){_0xf58c97(_0x2d7997);});});}function _0x3a965a(){return _0x53fb9d(function(_0x2e2d2b,_0x5ee088){const _0x249a27=a0_0x5cbd;return _0xe18b43()[_0x249a27(0x1cb0)](function(_0x4bd0cd){const _0x5c4c5c=_0x249a27;return _0x2f822c[_0x5c4c5c(0x122f)]=_0x4bd0cd['rows']?_0x4bd0cd['rows']:[],_0x3ea501[_0x5c4c5c(0x22b6)](_0x5c4c5c(0x1c60))?_0x4bd0cd:_0x2f822c[_0x5c4c5c(0x1f74)]?_0x2f822c[_0x5c4c5c(0x1f74)][_0x5c4c5c(0x12f4)]?_0x4bd0cd:_0x333049():null;})['then'](function(_0x49990d){const _0x131ca5=_0x249a27,_0x15681a=_0x49990d&&_0x49990d[_0x131ca5(0x2214)]?_0x49990d[_0x131ca5(0x2214)]:[];return _0x2f822c[_0x131ca5(0x1372)]=_0x39641b()[_0x131ca5(0x1de2)](_0x15681a,function(_0x51b90d){const _0x3000ac=_0x131ca5;return _0x39641b()[_0x3000ac(0x13b4)](_0x2f822c[_0x3000ac(0x122f)],{'id':_0x3ea501[_0x3000ac(0x22b6)](_0x3000ac(0x1c60))||_0x2f822c[_0x3000ac(0x1f74)][_0x3000ac(0x12f4)]?_0x51b90d['id']:_0x51b90d['resourceId']});}),_0x2f822c['startingAllowedItems']=angular[_0x131ca5(0x17fe)](_0x2f822c[_0x131ca5(0x1372)]),_0x2f822c[_0x131ca5(0x122f)][_0x131ca5(0xf90)](function(_0x365293){const _0x123aa1=_0x131ca5,_0x5bf904=_0x39641b()['find'](_0x2f822c['allowedItems'],{'id':_0x365293['id']});_0x3ea501[_0x123aa1(0x22b6)]('admin')?_0x365293[_0x123aa1(0x1a4f)]=!![]:_0x365293['isValid']=typeof _0x5bf904!==_0x123aa1(0x16b5)?!![]:![];}),_0x1b2727();})[_0x249a27(0x1cb0)](function(_0x1150dc){const _0x5ba46f=_0x249a27,_0x492e05=_0x1150dc&&_0x1150dc[_0x5ba46f(0x2214)]?_0x1150dc[_0x5ba46f(0x2214)]:[];_0x2f822c[_0x5ba46f(0x20bb)]=_0x39641b()[_0x5ba46f(0x1de2)](_0x492e05,function(_0x12e553){const _0x1559fa=_0x5ba46f,_0x17f379=_0x39641b()[_0x1559fa(0x13b4)](_0x2f822c[_0x1559fa(0x122f)],{'id':_0x12e553['id']});return _0x17f379['penalty']=_0x12e553[_0x1559fa(0x1857)]?_0x1559fa(0x455)+_0x12e553[_0x1559fa(0x1857)]['penalty']:'',_0x17f379[_0x1559fa(0xdbd)]=typeof _0x12e553[_0x1559fa(0xdbd)]!==_0x1559fa(0x16b5)?'<'+_0x12e553['internal']+'>':'',_0x17f379;}),_0x2f822c[_0x5ba46f(0x1456)]=angular[_0x5ba46f(0x17fe)](_0x2f822c['selectedItems']),_0x2f822c['dualMultiselectOptions'][_0x5ba46f(0x20bb)]=_0x2f822c[_0x5ba46f(0x20bb)],_0x2f822c['dualMultiselectOptions'][_0x5ba46f(0x122f)]=_0x39641b()[_0x5ba46f(0x2128)](_0x2f822c[_0x5ba46f(0x1372)],_0x2f822c[_0x5ba46f(0x18c0)]['selectedItems'],'id'),_0x2e2d2b();})[_0x249a27(0x1c4)](function(_0x32db6){_0x5ee088(_0x32db6);});});}function _0x333049(){return _0x53fb9d(function(_0x8fa340,_0x3fb076){const _0x4a7e91=a0_0x5cbd;return _0x57bcf1[_0x4a7e91(0x1198)]['get']({'sectionId':_0x2f822c[_0x4a7e91(0x1f74)]['id'],'nolimit':!![]})[_0x4a7e91(0x1d77)][_0x4a7e91(0x1cb0)](function(_0x4fe1be){_0x8fa340(_0x4fe1be);})['catch'](function(_0x31b8ed){_0x3fb076(_0x31b8ed);});});}function _0x1b2727(){return _0x53fb9d(function(_0x5b8f73,_0x5beb78){const _0x53a956=a0_0x5cbd;return _0x57bcf1['whatsappAccount']['getAgents']({'id':_0x2f822c[_0x53a956(0x83a)]['id'],'fields':_0x53a956(0x251e),'nolimit':!![],'role':'agent'})['$promise']['then'](function(_0x49b323){_0x5b8f73(_0x49b323);})['catch'](function(_0x334a29){_0x5beb78(_0x334a29);});});}function _0xe18b43(){return _0x53fb9d(function(_0x53ff35,_0x31f8d6){const _0x38337c=a0_0x5cbd;return _0x57bcf1[_0x38337c(0xebe)][_0x38337c(0xbf7)]({'fields':_0x38337c(0x251e),'nolimit':!![],'role':_0x38337c(0x1eff)})['$promise'][_0x38337c(0x1cb0)](function(_0x5e636c){_0x53ff35(_0x5e636c);})[_0x38337c(0x1c4)](function(_0x594d74){_0x31f8d6(_0x594d74);});});}function _0x5e200e(_0x274699){return _0x53fb9d(function(_0xd47b9c,_0x18792e){const _0x16f5c2=a0_0x5cbd;_0x39641b()[_0x16f5c2(0xce9)](_0x274699)?_0xd47b9c():_0x57bcf1[_0x16f5c2(0x83a)][_0x16f5c2(0x2057)]({'id':_0x2f822c[_0x16f5c2(0x83a)]['id'],'ids':_0x39641b()[_0x16f5c2(0x1de2)](_0x274699,'id')})[_0x16f5c2(0x1d77)]['then'](function(){_0xd47b9c();})[_0x16f5c2(0x1c4)](function(_0x2bb178){_0x18792e(_0x2bb178);});});}function _0xf0692a(_0x2f052e){return _0x53fb9d(function(_0x43fbd1,_0x12b97e){const _0x123e2b=a0_0x5cbd;_0x39641b()[_0x123e2b(0xce9)](_0x2f052e)?_0x43fbd1():_0x57bcf1['whatsappAccount'][_0x123e2b(0x223f)]({'id':_0x2f822c['whatsappAccount']['id'],'ids':_0x39641b()[_0x123e2b(0x1de2)](_0x2f052e,'id')})[_0x123e2b(0x1d77)][_0x123e2b(0x1cb0)](function(){_0x43fbd1();})[_0x123e2b(0x1c4)](function(_0x40df60){_0x12b97e(_0x40df60);});});}function _0x199f0c(){const _0x2b6e3f=_0x403327,_0x27d0d0=_0x39641b()[_0x2b6e3f(0x2128)](_0x2f822c[_0x2b6e3f(0x1456)],_0x2f822c['selectedItems'],'id'),_0x5f5c92=_0x39641b()[_0x2b6e3f(0x2128)](_0x2f822c['selectedItems'],_0x2f822c[_0x2b6e3f(0x1456)],'id');return _0xf0692a(_0x27d0d0)['then'](function(){return _0x5e200e(_0x5f5c92);})[_0x2b6e3f(0x1cb0)](function(){const _0x42a607=_0x2b6e3f;_0x2f822c[_0x42a607(0x50c)]=![],_0x2f822c[_0x42a607(0xbd5)]=angular[_0x42a607(0x17fe)](_0x2f822c[_0x42a607(0x1372)]),_0x2f822c[_0x42a607(0x1456)]=angular[_0x42a607(0x17fe)](_0x2f822c[_0x42a607(0x20bb)]),_0x194c96[_0x42a607(0x829)]({'title':'SUCCESS','msg':_0x42a607(0x976)});})['catch'](function(_0x4edc0b){const _0x56be9d=_0x2b6e3f;_0x194c96['error']({'title':_0x4edc0b[_0x56be9d(0x291)]?'API:'+_0x4edc0b['status']+_0x56be9d(0x1657)+_0x4edc0b['statusText']:_0x56be9d(0x1221),'msg':_0x4edc0b[_0x56be9d(0x291)]?JSON[_0x56be9d(0x2701)](_0x4edc0b['data']):_0x4edc0b[_0x56be9d(0x147f)]()});});}function _0x2fccdd(){_0x1c34ef['hide']();}}const _0x29a049=_0x50667f;;_0x54eb77[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x2702),_0x5537c6(0x83a),'api',_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x54eb77(_0x338957,_0x350d48,_0x1cd8ef,_0x5a7901,_0x494293,_0x28a957,_0x3105c3,_0x386373){const _0x263fc4=_0x5537c6,_0x2c9fe5=this;_0x2c9fe5[_0x263fc4(0xe76)]=_0x3105c3['getCurrentUser'](),_0x2c9fe5['errors']=[],_0x2c9fe5[_0x263fc4(0x1386)]=_0x263fc4(0x1537)+(_0x5a7901['appType']||_0x5a7901[_0x263fc4(0x22e1)])[_0x263fc4(0x2335)](),_0x2c9fe5[_0x263fc4(0x1eff)]=angular[_0x263fc4(0x17fe)](_0x5a7901),_0x2c9fe5[_0x263fc4(0x1b1a)]=_0x386373,_0x2c9fe5[_0x263fc4(0xf4c)]={};if(_0x2c9fe5[_0x263fc4(0x1eff)][_0x263fc4(0x28df)])switch(_0x2c9fe5['agent'][_0x263fc4(0x299b)]?_0x2c9fe5[_0x263fc4(0x1eff)]['appType'][_0x263fc4(0x1680)]():_0x2c9fe5[_0x263fc4(0x1eff)][_0x263fc4(0x22e1)][_0x263fc4(0x1680)]()){case _0x263fc4(0x197c):break;case _0x263fc4(0x711):{const _0x516e26=_0x2c9fe5[_0x263fc4(0x1eff)][_0x263fc4(0x28df)][_0x263fc4(0xbe1)](',');_0x2c9fe5[_0x263fc4(0x1eff)][_0x263fc4(0xee8)]=_0x516e26[0x0],_0x2c9fe5[_0x263fc4(0x1eff)][_0x263fc4(0x12b4)]=_0x516e26[0x1],_0x2c9fe5[_0x263fc4(0x1eff)][_0x263fc4(0x173a)]=_0x516e26[_0x263fc4(0x14cb)](0x2,_0x516e26['length'])[_0x263fc4(0x1f66)](',');}break;case _0x263fc4(0xece):{const _0x14e93a=_0x2c9fe5[_0x263fc4(0x1eff)][_0x263fc4(0x28df)][_0x263fc4(0xbe1)](',');_0x2c9fe5['agent'][_0x263fc4(0x2854)]=_0x14e93a[0x0],_0x2c9fe5[_0x263fc4(0x1eff)][_0x263fc4(0x12bf)]=_0x14e93a[0x1],_0x2c9fe5[_0x263fc4(0x1eff)]['privateKey']=_0x14e93a[0x2],_0x2c9fe5[_0x263fc4(0x1eff)][_0x263fc4(0x12b4)]=_0x14e93a[0x3],_0x2c9fe5[_0x263fc4(0x1eff)][_0x263fc4(0x173a)]=_0x14e93a[_0x263fc4(0x14cb)](0x4,_0x14e93a[_0x263fc4(0xfd0)])[_0x263fc4(0x1f66)](',');}break;case _0x263fc4(0x123a):{const _0xfe0de1=_0x2c9fe5['agent']['appdata'][_0x263fc4(0xbe1)](',');_0x2c9fe5[_0x263fc4(0x1eff)][_0x263fc4(0x413)]=_0xfe0de1[0x0],_0x2c9fe5[_0x263fc4(0x1eff)][_0x263fc4(0x21d9)]=_0xfe0de1[0x1],_0x2c9fe5['agent'][_0x263fc4(0xd50)]=_0xfe0de1[0x2],_0x2c9fe5[_0x263fc4(0x1eff)][_0x263fc4(0x1c7f)]=_0xfe0de1[0x3],_0x2c9fe5['agent'][_0x263fc4(0x173a)]=_0xfe0de1['slice'](0x4,_0xfe0de1[_0x263fc4(0xfd0)])[_0x263fc4(0x1f66)](',');}break;case _0x263fc4(0x1f71):{const _0x3bc6a9=_0x2c9fe5[_0x263fc4(0x1eff)][_0x263fc4(0x28df)][_0x263fc4(0xbe1)](',');_0x2c9fe5['agent'][_0x263fc4(0x18a5)]=isNaN(_0x3bc6a9[0x0])?_0x3bc6a9[0x0]:parseInt(_0x3bc6a9[0x0],0xa),_0x2c9fe5[_0x263fc4(0x1eff)][_0x263fc4(0x19d3)]=_0x3bc6a9[_0x263fc4(0x14cb)](0x1,_0x3bc6a9['length'])[_0x263fc4(0x1f66)](',');}break;case'message':_0x2c9fe5[_0x263fc4(0x1eff)][_0x263fc4(0x19d3)]=_0x2c9fe5['agent'][_0x263fc4(0x28df)];break;case _0x263fc4(0x19d1):_0x2c9fe5[_0x263fc4(0x1eff)][_0x263fc4(0x16b6)]=_0x2c9fe5[_0x263fc4(0x1eff)][_0x263fc4(0x28df)]['split']('=')[0x0],_0x2c9fe5[_0x263fc4(0x1eff)]['value']=_0x2c9fe5['agent']['appdata'][_0x263fc4(0xbe1)]('=')[0x1];break;case'agi':_0x2c9fe5[_0x263fc4(0x1eff)][_0x263fc4(0x12a7)]=_0x2c9fe5['agent']['appdata'];break;default:{const _0x219d47=_0x2c9fe5[_0x263fc4(0x1eff)][_0x263fc4(0x28df)][_0x263fc4(0xbe1)](',');_0x2c9fe5['agent'][_0x263fc4(0x1eff)]=_0x39641b()['isEmpty'](_0x219d47[0x0])?_0x219d47[0x0]:isNaN(_0x219d47[0x0])?_0x219d47[0x0]:parseInt(_0x219d47[0x0],0xa),_0x2c9fe5[_0x263fc4(0x1eff)]['timeout']=_0x39641b()[_0x263fc4(0xce9)](_0x219d47[0x1])?_0x219d47[0x1]:isNaN(_0x219d47[0x1])?_0x219d47[0x1]:parseInt(_0x219d47[0x1],0xa);}break;}else _0x2c9fe5[_0x263fc4(0x1eff)][_0x263fc4(0x1719)]=0x1e;_0x2c9fe5[_0x263fc4(0x1eff)]['type']&&_0x2c9fe5[_0x263fc4(0x1eff)]['type'][_0x263fc4(0x1680)]()==='outbound'&&_0x2c9fe5['agent'][_0x263fc4(0x299b)]['toLowerCase']()===_0x263fc4(0x20ff)&&(_0x2c9fe5['agent'][_0x263fc4(0x586)]=_0x2c9fe5[_0x263fc4(0x1eff)]['phone']?_0x2c9fe5[_0x263fc4(0x1eff)][_0x263fc4(0x1340)][_0x263fc4(0xbe1)]('$')[0x0]:undefined,_0x2c9fe5['agent']['callerId']=_0x2c9fe5[_0x263fc4(0x1eff)][_0x263fc4(0x2816)]?'CALLERID(all)='+_0x2c9fe5[_0x263fc4(0x1eff)][_0x263fc4(0x2816)]:undefined);_0x2c9fe5[_0x263fc4(0x2357)]=_0x269081,_0x2c9fe5[_0x263fc4(0xda0)]=_0x112280,_0x3105c3[_0x263fc4(0x22b6)]('admin')?_0x28a957['user'][_0x263fc4(0xbf7)]({'fields':_0x263fc4(0x43c),'sort':_0x263fc4(0x16b6),'nolimit':'true','role':_0x263fc4(0x1eff)})[_0x263fc4(0x1d77)][_0x263fc4(0x1cb0)](function(_0x5a88f8){const _0x597318=_0x263fc4;_0x2c9fe5[_0x597318(0xc12)]=_0x5a88f8[_0x597318(0x2214)]||[];})['catch'](function(_0x4049de){const _0x26e51e=_0x263fc4;_0x1cd8ef['error']({'title':_0x4049de[_0x26e51e(0x291)]?_0x26e51e(0xeb9)+_0x4049de[_0x26e51e(0x291)]+'\x20-\x20'+_0x4049de[_0x26e51e(0xc22)]:_0x26e51e(0x799),'msg':_0x4049de[_0x26e51e(0x25c)]?JSON[_0x26e51e(0x2701)](_0x4049de[_0x26e51e(0x25c)]):_0x4049de[_0x26e51e(0x147f)]()});}):_0x28a957[_0x263fc4(0xebe)]['get']({'fields':_0x263fc4(0x43c),'sort':_0x263fc4(0x16b6),'nolimit':'true','role':_0x263fc4(0x1eff)})['$promise'][_0x263fc4(0x1cb0)](function(_0x1b0acc){const _0x1d06df=_0x263fc4;_0x2c9fe5['agents']=_0x1b0acc[_0x1d06df(0x2214)]||[];})[_0x263fc4(0x1cb0)](function(){const _0x15a9c9=_0x263fc4;return _0x28a957['userProfileSection'][_0x15a9c9(0xbf7)]({'userProfileId':_0x2c9fe5[_0x15a9c9(0xe76)][_0x15a9c9(0x13c1)],'sectionId':0xca})['$promise'];})[_0x263fc4(0x1cb0)](function(_0x28a07d){const _0x532a88=_0x263fc4,_0x498cd3=_0x28a07d&&_0x28a07d[_0x532a88(0x2214)]?_0x28a07d[_0x532a88(0x2214)][0x0]:null;if(!_0x498cd3){const _0x5227f7=[];let _0x520a1a=null;_0x2c9fe5[_0x532a88(0x1eff)]&&(_0x520a1a=_0x39641b()['find'](_0x2c9fe5[_0x532a88(0xc12)],{'name':_0x2c9fe5['agent']['agent']}));for(let _0x1b1d3e=0x0;_0x1b1d3e<_0x2c9fe5[_0x532a88(0xc12)]['length'];_0x1b1d3e++){_0x520a1a&&_0x2c9fe5[_0x532a88(0xc12)][_0x1b1d3e]['id']===_0x520a1a['id']&&(_0x2c9fe5[_0x532a88(0xc12)][_0x1b1d3e][_0x532a88(0x15da)]=![],_0x5227f7['push'](_0x2c9fe5['agents'][_0x1b1d3e]));}_0x2c9fe5[_0x532a88(0xc12)]=_0x5227f7;}else{if(!_0x498cd3[_0x532a88(0x12f4)])return _0x28a957[_0x532a88(0x1198)][_0x532a88(0xbf7)]({'sectionId':_0x498cd3['id']})[_0x532a88(0x1d77)]['then'](function(_0x29af6c){const _0x2556d0=_0x532a88,_0x279f5e=_0x39641b()[_0x2556d0(0x1de2)](_0x29af6c[_0x2556d0(0x2214)],function(_0x424076){const _0xa927f7=_0x2556d0;return _0x39641b()[_0xa927f7(0x13b4)](_0x2c9fe5['agents'],{'id':_0x424076[_0xa927f7(0x2982)]});});let _0x4607e4=null;_0x2c9fe5['agent']&&(_0x4607e4=_0x39641b()[_0x2556d0(0x13b4)](_0x2c9fe5[_0x2556d0(0xc12)],{'name':_0x2c9fe5['agent'][_0x2556d0(0x1eff)]}));if(_0x4607e4&&!_0x39641b()[_0x2556d0(0x727)](_0x279f5e,['id',_0x4607e4['id']])){const _0x307c4f=_0x39641b()[_0x2556d0(0x13b4)](_0x2c9fe5[_0x2556d0(0xc12)],{'id':_0x4607e4['id']});_0x307c4f[_0x2556d0(0x15da)]=![],_0x279f5e[_0x2556d0(0x2785)](_0x307c4f);}_0x2c9fe5[_0x2556d0(0xc12)]=_0x279f5e;});}})[_0x263fc4(0x1c4)](function(_0x90d28e){const _0x527a0b=_0x263fc4;_0x1cd8ef['error']({'title':_0x90d28e['status']?_0x527a0b(0xeb9)+_0x90d28e['status']+_0x527a0b(0x1657)+_0x90d28e[_0x527a0b(0xc22)]:'SYSTEM:GETagents','msg':_0x90d28e[_0x527a0b(0x25c)]?JSON[_0x527a0b(0x2701)](_0x90d28e['data']):_0x90d28e[_0x527a0b(0x147f)]()});});function _0x269081(){const _0x28db09=_0x263fc4;_0x2c9fe5[_0x28db09(0x1a7c)]=[];const _0x2cafae=[];_0x2c9fe5['agent'][_0x28db09(0x66a)]&&_0x2c9fe5[_0x28db09(0x1eff)][_0x28db09(0x66a)][_0x28db09(0x1680)]()===_0x28db09(0x895)&&_0x2c9fe5[_0x28db09(0x1eff)]['appType']===_0x28db09(0x25f4)&&(_0x2c9fe5[_0x28db09(0x1eff)][_0x28db09(0x1340)]=_0x494293['cutdigits']?(_0x2c9fe5[_0x28db09(0x1eff)][_0x28db09(0x586)]||'')+_0x28db09(0x19e4)+_0x494293['cutdigits']+'}':(_0x2c9fe5[_0x28db09(0x1eff)][_0x28db09(0x586)]||'')+_0x28db09(0xcdd),_0x494293[_0x28db09(0xf8d)]!==_0x28db09(0x13b1)?_0x2c9fe5[_0x28db09(0x1eff)][_0x28db09(0x2224)][_0x28db09(0xd8a)]('U(xcally-mixmonitor-context)')<0x0&&(_0x2c9fe5[_0x28db09(0x1eff)]['options']+=_0x28db09(0x106a)):_0x2c9fe5[_0x28db09(0x1eff)][_0x28db09(0x2224)]=_0x2c9fe5[_0x28db09(0x1eff)][_0x28db09(0x2224)]['replace'](_0x28db09(0x106a),''));const _0x186821=_0x39641b()[_0x28db09(0x13b4)](_0x2c9fe5['agents'],{'name':_0x2c9fe5[_0x28db09(0x1eff)][_0x28db09(0x1eff)]});_0x186821&&(_0x2c9fe5[_0x28db09(0x1eff)][_0x28db09(0x21ab)]=_0x186821['id']);if(_0x2c9fe5[_0x28db09(0x1eff)][_0x28db09(0x299b)]&&_0x2c9fe5[_0x28db09(0x1eff)][_0x28db09(0x299b)]===_0x28db09(0x197c)){}else switch((_0x2c9fe5[_0x28db09(0x1eff)][_0x28db09(0x22e1)]||_0x2c9fe5[_0x28db09(0x1eff)][_0x28db09(0x299b)])['toLowerCase']()){case _0x28db09(0x19d1):_0x2c9fe5[_0x28db09(0x1eff)][_0x28db09(0x28df)]=_0x2c9fe5['agent'][_0x28db09(0x16b6)]+'='+_0x2c9fe5[_0x28db09(0x1eff)]['value'];break;case _0x28db09(0x197c):break;default:_0x2cafae[0x0]=_0x2c9fe5[_0x28db09(0x1eff)][_0x28db09(0x1eff)],_0x2cafae[0x1]=_0x2c9fe5['agent'][_0x28db09(0x1719)],_0x2c9fe5['agent'][_0x28db09(0x28df)]=_0x2cafae[_0x28db09(0x1f66)](',');}_0x112280(_0x2c9fe5[_0x28db09(0x1eff)]);}function _0x112280(_0x58a12a){const _0x184729=_0x263fc4;_0x338957[_0x184729(0x1426)](_0x58a12a);}}const _0x568158=_0x54eb77;;_0x264dc0[_0x5537c6(0x15b6)]=['$mdDialog','$q',_0x5537c6(0x9bf),_0x5537c6(0x2702),'whatsappAccount','api','Auth',_0x5537c6(0x1b1a)];function _0x264dc0(_0xfb7ecd,_0x2ae1bb,_0x8f3937,_0x399fcd,_0x39fd07,_0x42acef,_0x17d425,_0x5b59a7){const _0x18b4e7=_0x5537c6,_0x213d5b=this;_0x213d5b['currentUser']=_0x17d425[_0x18b4e7(0x21e8)](),_0x213d5b['errors']=[],_0x213d5b['title']=_0x18b4e7(0x1537)+(_0x399fcd[_0x18b4e7(0x299b)]||_0x399fcd['app'])[_0x18b4e7(0x2335)](),_0x213d5b['amazonlex']=angular[_0x18b4e7(0x17fe)](_0x399fcd),_0x213d5b[_0x18b4e7(0x1b1a)]=_0x5b59a7,_0x213d5b['hasModulePermissions']={};if(_0x213d5b[_0x18b4e7(0x123a)][_0x18b4e7(0x28df)])switch(_0x213d5b['amazonlex']['appType']?_0x213d5b[_0x18b4e7(0x123a)][_0x18b4e7(0x299b)]['toLowerCase']():_0x213d5b[_0x18b4e7(0x123a)][_0x18b4e7(0x22e1)][_0x18b4e7(0x1680)]()){case _0x18b4e7(0x197c):break;case _0x18b4e7(0x711):{const _0x47e49b=_0x213d5b['amazonlex']['appdata']['split'](',');_0x213d5b['amazonlex']['key']=_0x47e49b[0x0],_0x213d5b['amazonlex'][_0x18b4e7(0x12b4)]=_0x47e49b[0x1],_0x213d5b[_0x18b4e7(0x123a)][_0x18b4e7(0x173a)]=_0x47e49b[_0x18b4e7(0x14cb)](0x2,_0x47e49b[_0x18b4e7(0xfd0)])[_0x18b4e7(0x1f66)](',');}break;case'dialogflowv2':{const _0x43bf4d=_0x213d5b[_0x18b4e7(0x123a)][_0x18b4e7(0x28df)][_0x18b4e7(0xbe1)](',');_0x213d5b[_0x18b4e7(0x123a)]['projectId']=_0x43bf4d[0x0],_0x213d5b[_0x18b4e7(0x123a)][_0x18b4e7(0x12bf)]=_0x43bf4d[0x1],_0x213d5b[_0x18b4e7(0x123a)]['privateKey']=_0x43bf4d[0x2],_0x213d5b['amazonlex'][_0x18b4e7(0x12b4)]=_0x43bf4d[0x3],_0x213d5b[_0x18b4e7(0x123a)][_0x18b4e7(0x173a)]=_0x43bf4d['slice'](0x4,_0x43bf4d[_0x18b4e7(0xfd0)])[_0x18b4e7(0x1f66)](',');}break;case _0x18b4e7(0x123a):{const _0x46746c=_0x213d5b[_0x18b4e7(0x123a)][_0x18b4e7(0x28df)][_0x18b4e7(0xbe1)](',');_0x213d5b[_0x18b4e7(0x123a)][_0x18b4e7(0x413)]=_0x46746c[0x0],_0x213d5b[_0x18b4e7(0x123a)]['secretaccesskey']=_0x46746c[0x1],_0x213d5b[_0x18b4e7(0x123a)][_0x18b4e7(0xd50)]=_0x46746c[0x2],_0x213d5b[_0x18b4e7(0x123a)]['botname']=_0x46746c[0x3],_0x213d5b[_0x18b4e7(0x123a)]['welcomemessage']=_0x46746c[_0x18b4e7(0x14cb)](0x4,_0x46746c['length'])[_0x18b4e7(0x1f66)](',');}break;case _0x18b4e7(0x1f71):{const _0x5e8ee1=_0x213d5b['amazonlex'][_0x18b4e7(0x28df)]['split'](',');_0x213d5b[_0x18b4e7(0x123a)][_0x18b4e7(0x18a5)]=isNaN(_0x5e8ee1[0x0])?_0x5e8ee1[0x0]:parseInt(_0x5e8ee1[0x0],0xa),_0x213d5b[_0x18b4e7(0x123a)][_0x18b4e7(0x19d3)]=_0x5e8ee1[_0x18b4e7(0x14cb)](0x1,_0x5e8ee1[_0x18b4e7(0xfd0)])['join'](',');}break;case _0x18b4e7(0x155e):_0x213d5b[_0x18b4e7(0x123a)][_0x18b4e7(0x19d3)]=_0x213d5b[_0x18b4e7(0x123a)][_0x18b4e7(0x28df)];break;case _0x18b4e7(0x19d1):_0x213d5b[_0x18b4e7(0x123a)]['name']=_0x213d5b['amazonlex'][_0x18b4e7(0x28df)]['split']('=')[0x0],_0x213d5b['amazonlex']['value']=_0x213d5b[_0x18b4e7(0x123a)][_0x18b4e7(0x28df)][_0x18b4e7(0xbe1)]('=')[0x1];break;case _0x18b4e7(0x4b4):_0x213d5b[_0x18b4e7(0x123a)][_0x18b4e7(0x12a7)]=_0x213d5b[_0x18b4e7(0x123a)][_0x18b4e7(0x28df)];break;default:{const _0x3f3dc2=_0x213d5b[_0x18b4e7(0x123a)][_0x18b4e7(0x28df)][_0x18b4e7(0xbe1)](',');_0x213d5b[_0x18b4e7(0x123a)]['accesskeyid']=_0x39641b()[_0x18b4e7(0xce9)](_0x3f3dc2[0x0])?_0x3f3dc2[0x0]:isNaN(_0x3f3dc2[0x0])?_0x3f3dc2[0x0]:parseInt(_0x3f3dc2[0x0],0xa),_0x213d5b[_0x18b4e7(0x123a)]['secretaccesskey']=_0x39641b()['isEmpty'](_0x3f3dc2[0x1])?_0x3f3dc2[0x1]:isNaN(_0x3f3dc2[0x1])?_0x3f3dc2[0x1]:parseInt(_0x3f3dc2[0x1],0xa),_0x213d5b[_0x18b4e7(0x123a)][_0x18b4e7(0xd50)]=_0x39641b()[_0x18b4e7(0xce9)](_0x3f3dc2[0x2])?_0x3f3dc2[0x2]:isNaN(_0x3f3dc2[0x2])?_0x3f3dc2[0x2]:parseInt(_0x3f3dc2[0x2],0xa),_0x213d5b[_0x18b4e7(0x123a)]['botname']=_0x39641b()[_0x18b4e7(0xce9)](_0x3f3dc2[0x3])?_0x3f3dc2[0x3]:isNaN(_0x3f3dc2[0x3])?_0x3f3dc2[0x3]:parseInt(_0x3f3dc2[0x3],0xa),_0x213d5b[_0x18b4e7(0x123a)][_0x18b4e7(0x173a)]=_0x39641b()[_0x18b4e7(0xce9)](_0x3f3dc2[0x4])?_0x3f3dc2[0x4]:isNaN(_0x3f3dc2[0x4])?_0x3f3dc2[0x4]:parseInt(_0x3f3dc2[0x4],0xa);}break;}else _0x213d5b[_0x18b4e7(0x123a)][_0x18b4e7(0xd50)]=_0x18b4e7(0x512);_0x213d5b[_0x18b4e7(0x123a)]['type']&&_0x213d5b[_0x18b4e7(0x123a)]['type'][_0x18b4e7(0x1680)]()===_0x18b4e7(0x895)&&_0x213d5b[_0x18b4e7(0x123a)]['appType'][_0x18b4e7(0x1680)]()==='outbounddial'&&(_0x213d5b['amazonlex'][_0x18b4e7(0x586)]=_0x213d5b[_0x18b4e7(0x123a)][_0x18b4e7(0x1340)]?_0x213d5b[_0x18b4e7(0x123a)][_0x18b4e7(0x1340)][_0x18b4e7(0xbe1)]('$')[0x0]:undefined,_0x213d5b[_0x18b4e7(0x123a)][_0x18b4e7(0x1e7c)]=_0x213d5b['amazonlex']['callerID']?'CALLERID(all)='+_0x213d5b[_0x18b4e7(0x123a)][_0x18b4e7(0x2816)]:undefined);_0x213d5b[_0x18b4e7(0x2357)]=_0x41ebe3,_0x213d5b[_0x18b4e7(0xda0)]=_0x334082;function _0x41ebe3(){const _0x3dde89=_0x18b4e7;_0x213d5b[_0x3dde89(0x1a7c)]=[];const _0x499a2e=[];_0x213d5b['amazonlex'][_0x3dde89(0x66a)]&&_0x213d5b['amazonlex']['type'][_0x3dde89(0x1680)]()===_0x3dde89(0x895)&&_0x213d5b[_0x3dde89(0x123a)][_0x3dde89(0x299b)]==='outboundDial'&&(_0x213d5b[_0x3dde89(0x123a)][_0x3dde89(0x1340)]=_0x39fd07[_0x3dde89(0x325)]?(_0x213d5b[_0x3dde89(0x123a)]['prefix']||'')+_0x3dde89(0x19e4)+_0x39fd07[_0x3dde89(0x325)]+'}':(_0x213d5b[_0x3dde89(0x123a)][_0x3dde89(0x586)]||'')+_0x3dde89(0xcdd),_0x39fd07[_0x3dde89(0xf8d)]!==_0x3dde89(0x13b1)?_0x213d5b['amazonlex'][_0x3dde89(0x2224)]['indexOf'](_0x3dde89(0x106a))<0x0&&(_0x213d5b[_0x3dde89(0x123a)]['options']+=_0x3dde89(0x106a)):_0x213d5b[_0x3dde89(0x123a)][_0x3dde89(0x2224)]=_0x213d5b[_0x3dde89(0x123a)][_0x3dde89(0x2224)][_0x3dde89(0x288f)](_0x3dde89(0x106a),''));if(_0x213d5b[_0x3dde89(0x123a)][_0x3dde89(0x299b)]&&_0x213d5b[_0x3dde89(0x123a)][_0x3dde89(0x299b)]===_0x3dde89(0x197c)){}else switch((_0x213d5b[_0x3dde89(0x123a)][_0x3dde89(0x22e1)]||_0x213d5b[_0x3dde89(0x123a)][_0x3dde89(0x299b)])['toLowerCase']()){case'set':_0x213d5b[_0x3dde89(0x123a)][_0x3dde89(0x28df)]=_0x213d5b[_0x3dde89(0x123a)][_0x3dde89(0x16b6)]+'='+_0x213d5b['amazonlex'][_0x3dde89(0x327)];break;case _0x3dde89(0x197c):break;default:_0x499a2e[0x0]=_0x213d5b['amazonlex'][_0x3dde89(0x413)],_0x499a2e[0x1]=_0x213d5b['amazonlex']['secretaccesskey'],_0x499a2e[0x2]=_0x213d5b[_0x3dde89(0x123a)][_0x3dde89(0xd50)],_0x499a2e[0x3]=_0x213d5b[_0x3dde89(0x123a)]['botname'],_0x499a2e[0x4]=_0x213d5b[_0x3dde89(0x123a)][_0x3dde89(0x173a)],_0x213d5b['amazonlex'][_0x3dde89(0x28df)]=_0x499a2e['join'](',');}_0x334082(_0x213d5b[_0x3dde89(0x123a)]);}function _0x334082(_0x3c37fc){_0xfb7ecd['hide'](_0x3c37fc);}}const _0x24176a=_0x264dc0;;_0x34ceea[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x2702),_0x5537c6(0x83a),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x34ceea(_0x46df9a,_0x440d4d,_0x2a896e,_0x2e1061,_0x507a26,_0x5caa13,_0x243912,_0x3cd50b){const _0x1ef0ba=_0x5537c6,_0x38a7bb=this;_0x38a7bb[_0x1ef0ba(0xe76)]=_0x243912[_0x1ef0ba(0x21e8)](),_0x38a7bb[_0x1ef0ba(0x1a7c)]=[],_0x38a7bb[_0x1ef0ba(0x1386)]=_0x1ef0ba(0x1537)+(_0x2e1061[_0x1ef0ba(0x299b)]||_0x2e1061['app'])['toUpperCase'](),_0x38a7bb['autoreply']=angular[_0x1ef0ba(0x17fe)](_0x2e1061),_0x38a7bb[_0x1ef0ba(0x1b1a)]=_0x3cd50b,_0x38a7bb[_0x1ef0ba(0xf4c)]={};if(_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x28df)])switch(_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x299b)]?_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x299b)][_0x1ef0ba(0x1680)]():_0x38a7bb[_0x1ef0ba(0x1f71)]['app'][_0x1ef0ba(0x1680)]()){case'custom':break;case _0x1ef0ba(0x711):{const _0x497ae0=_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x28df)]['split'](',');_0x38a7bb[_0x1ef0ba(0x1f71)]['key']=_0x497ae0[0x0],_0x38a7bb[_0x1ef0ba(0x1f71)]['language']=_0x497ae0[0x1],_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x173a)]=_0x497ae0['slice'](0x2,_0x497ae0[_0x1ef0ba(0xfd0)])[_0x1ef0ba(0x1f66)](',');}break;case _0x1ef0ba(0xece):{const _0x1a0384=_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x28df)][_0x1ef0ba(0xbe1)](',');_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x2854)]=_0x1a0384[0x0],_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x12bf)]=_0x1a0384[0x1],_0x38a7bb[_0x1ef0ba(0x1f71)]['privateKey']=_0x1a0384[0x2],_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x12b4)]=_0x1a0384[0x3],_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x173a)]=_0x1a0384[_0x1ef0ba(0x14cb)](0x4,_0x1a0384[_0x1ef0ba(0xfd0)])[_0x1ef0ba(0x1f66)](',');}break;case _0x1ef0ba(0x123a):{const _0x22d733=_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x28df)][_0x1ef0ba(0xbe1)](',');_0x38a7bb[_0x1ef0ba(0x1f71)]['accesskeyid']=_0x22d733[0x0],_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x21d9)]=_0x22d733[0x1],_0x38a7bb[_0x1ef0ba(0x1f71)]['lexregion']=_0x22d733[0x2],_0x38a7bb[_0x1ef0ba(0x1f71)]['botname']=_0x22d733[0x3],_0x38a7bb['autoreply'][_0x1ef0ba(0x173a)]=_0x22d733[_0x1ef0ba(0x14cb)](0x4,_0x22d733[_0x1ef0ba(0xfd0)])[_0x1ef0ba(0x1f66)](',');}break;case _0x1ef0ba(0x1f71):{const _0x2769c7=_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x28df)][_0x1ef0ba(0xbe1)](',');_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x18a5)]=isNaN(_0x2769c7[0x0])?_0x2769c7[0x0]:parseInt(_0x2769c7[0x0],0xa),_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x19d3)]=_0x2769c7['slice'](0x1,_0x2769c7[_0x1ef0ba(0xfd0)])[_0x1ef0ba(0x1f66)](',');}break;case'message':_0x38a7bb[_0x1ef0ba(0x1f71)]['text']=_0x38a7bb['autoreply'][_0x1ef0ba(0x28df)];break;case _0x1ef0ba(0x19d1):_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x16b6)]=_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x28df)][_0x1ef0ba(0xbe1)]('=')[0x0],_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x327)]=_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x28df)]['split']('=')[0x1];break;case _0x1ef0ba(0x4b4):_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x12a7)]=_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x28df)];break;default:{const _0x273cf3=_0x38a7bb['autoreply'][_0x1ef0ba(0x28df)]['split'](',');_0x38a7bb['autoreply']['times']=_0x39641b()[_0x1ef0ba(0xce9)](_0x273cf3[0x0])?_0x273cf3[0x0]:isNaN(_0x273cf3[0x0])?_0x273cf3[0x0]:parseInt(_0x273cf3[0x0],0xa),_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x19d3)]=_0x39641b()[_0x1ef0ba(0xce9)](_0x273cf3[0x1])?_0x273cf3[0x1]:isNaN(_0x273cf3[0x1])?_0x273cf3[0x1]:parseInt(_0x273cf3[0x1],0xa);}break;}else _0x38a7bb['autoreply'][_0x1ef0ba(0x18a5)]=0x1;_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x66a)]&&_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x66a)]['toLowerCase']()===_0x1ef0ba(0x895)&&_0x38a7bb['autoreply'][_0x1ef0ba(0x299b)]['toLowerCase']()===_0x1ef0ba(0x20ff)&&(_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x586)]=_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x1340)]?_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x1340)][_0x1ef0ba(0xbe1)]('$')[0x0]:undefined,_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x1e7c)]=_0x38a7bb[_0x1ef0ba(0x1f71)][_0x1ef0ba(0x2816)]?_0x1ef0ba(0x25f9)+_0x38a7bb['autoreply'][_0x1ef0ba(0x2816)]:undefined);_0x38a7bb[_0x1ef0ba(0x2357)]=_0x4d719f,_0x38a7bb['closeDialog']=_0x204787;function _0x4d719f(){const _0x882827=_0x1ef0ba;_0x38a7bb[_0x882827(0x1a7c)]=[];const _0x40c310=[];_0x38a7bb[_0x882827(0x1f71)][_0x882827(0x66a)]&&_0x38a7bb['autoreply'][_0x882827(0x66a)][_0x882827(0x1680)]()===_0x882827(0x895)&&_0x38a7bb['autoreply'][_0x882827(0x299b)]===_0x882827(0x25f4)&&(_0x38a7bb['autoreply'][_0x882827(0x1340)]=_0x507a26[_0x882827(0x325)]?(_0x38a7bb[_0x882827(0x1f71)][_0x882827(0x586)]||'')+_0x882827(0x19e4)+_0x507a26[_0x882827(0x325)]+'}':(_0x38a7bb[_0x882827(0x1f71)][_0x882827(0x586)]||'')+_0x882827(0xcdd),_0x507a26[_0x882827(0xf8d)]!==_0x882827(0x13b1)?_0x38a7bb[_0x882827(0x1f71)][_0x882827(0x2224)][_0x882827(0xd8a)](_0x882827(0x106a))<0x0&&(_0x38a7bb[_0x882827(0x1f71)][_0x882827(0x2224)]+=_0x882827(0x106a)):_0x38a7bb[_0x882827(0x1f71)]['options']=_0x38a7bb['autoreply']['options'][_0x882827(0x288f)]('U(xcally-mixmonitor-context)',''));if(_0x38a7bb[_0x882827(0x1f71)][_0x882827(0x299b)]&&_0x38a7bb[_0x882827(0x1f71)][_0x882827(0x299b)]===_0x882827(0x197c)){}else switch((_0x38a7bb['autoreply'][_0x882827(0x22e1)]||_0x38a7bb[_0x882827(0x1f71)][_0x882827(0x299b)])['toLowerCase']()){case _0x882827(0x19d1):_0x38a7bb['autoreply'][_0x882827(0x28df)]=_0x38a7bb['autoreply'][_0x882827(0x16b6)]+'='+_0x38a7bb[_0x882827(0x1f71)][_0x882827(0x327)];break;case _0x882827(0x197c):break;default:_0x40c310[0x0]=_0x38a7bb[_0x882827(0x1f71)][_0x882827(0x18a5)],_0x40c310[0x1]=_0x38a7bb[_0x882827(0x1f71)][_0x882827(0x19d3)],_0x38a7bb['autoreply'][_0x882827(0x28df)]=_0x40c310[_0x882827(0x1f66)](',');}_0x204787(_0x38a7bb[_0x882827(0x1f71)]);}function _0x204787(_0x2047f9){const _0x5aeee2=_0x1ef0ba;_0x46df9a[_0x5aeee2(0x1426)](_0x2047f9);}}const _0x388b54=_0x34ceea;;_0x54d475[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x2702),_0x5537c6(0x83a),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x54d475(_0x397b1a,_0x1eabd3,_0x500537,_0x300317,_0x2dac46,_0x34aa98,_0x129942,_0x1b9a5d){const _0x56d8e0=_0x5537c6,_0x417b63=this;_0x417b63['currentUser']=_0x129942['getCurrentUser'](),_0x417b63[_0x56d8e0(0x1a7c)]=[],_0x417b63[_0x56d8e0(0x1386)]=_0x56d8e0(0x1537)+(_0x300317[_0x56d8e0(0x299b)]||_0x300317[_0x56d8e0(0x22e1)])[_0x56d8e0(0x2335)](),_0x417b63['close']=angular[_0x56d8e0(0x17fe)](_0x300317),_0x417b63[_0x56d8e0(0x1b1a)]=_0x1b9a5d,_0x417b63[_0x56d8e0(0xf4c)]={};if(_0x417b63[_0x56d8e0(0xf3b)]['appdata'])switch(_0x417b63[_0x56d8e0(0xf3b)][_0x56d8e0(0x299b)]?_0x417b63['close'][_0x56d8e0(0x299b)]['toLowerCase']():_0x417b63['close']['app'][_0x56d8e0(0x1680)]()){case _0x56d8e0(0x197c):break;case _0x56d8e0(0x711):{const _0x5199dd=_0x417b63['close'][_0x56d8e0(0x28df)]['split'](',');_0x417b63[_0x56d8e0(0xf3b)][_0x56d8e0(0xee8)]=_0x5199dd[0x0],_0x417b63[_0x56d8e0(0xf3b)][_0x56d8e0(0x12b4)]=_0x5199dd[0x1],_0x417b63['close'][_0x56d8e0(0x173a)]=_0x5199dd['slice'](0x2,_0x5199dd[_0x56d8e0(0xfd0)])[_0x56d8e0(0x1f66)](',');}break;case _0x56d8e0(0xece):{const _0x55c7b1=_0x417b63[_0x56d8e0(0xf3b)][_0x56d8e0(0x28df)][_0x56d8e0(0xbe1)](',');_0x417b63[_0x56d8e0(0xf3b)][_0x56d8e0(0x2854)]=_0x55c7b1[0x0],_0x417b63['close'][_0x56d8e0(0x12bf)]=_0x55c7b1[0x1],_0x417b63[_0x56d8e0(0xf3b)][_0x56d8e0(0x29d6)]=_0x55c7b1[0x2],_0x417b63['close'][_0x56d8e0(0x12b4)]=_0x55c7b1[0x3],_0x417b63[_0x56d8e0(0xf3b)]['welcomemessage']=_0x55c7b1[_0x56d8e0(0x14cb)](0x4,_0x55c7b1[_0x56d8e0(0xfd0)])[_0x56d8e0(0x1f66)](',');}break;case _0x56d8e0(0x123a):{const _0xd584e7=_0x417b63[_0x56d8e0(0xf3b)][_0x56d8e0(0x28df)][_0x56d8e0(0xbe1)](',');_0x417b63[_0x56d8e0(0xf3b)][_0x56d8e0(0x413)]=_0xd584e7[0x0],_0x417b63[_0x56d8e0(0xf3b)]['secretaccesskey']=_0xd584e7[0x1],_0x417b63['close'][_0x56d8e0(0xd50)]=_0xd584e7[0x2],_0x417b63[_0x56d8e0(0xf3b)][_0x56d8e0(0x1c7f)]=_0xd584e7[0x3],_0x417b63['close'][_0x56d8e0(0x173a)]=_0xd584e7[_0x56d8e0(0x14cb)](0x4,_0xd584e7[_0x56d8e0(0xfd0)])[_0x56d8e0(0x1f66)](',');}break;case'autoreply':{const _0x2d2af0=_0x417b63[_0x56d8e0(0xf3b)][_0x56d8e0(0x28df)][_0x56d8e0(0xbe1)](',');_0x417b63[_0x56d8e0(0xf3b)][_0x56d8e0(0x18a5)]=isNaN(_0x2d2af0[0x0])?_0x2d2af0[0x0]:parseInt(_0x2d2af0[0x0],0xa),_0x417b63[_0x56d8e0(0xf3b)][_0x56d8e0(0x19d3)]=_0x2d2af0[_0x56d8e0(0x14cb)](0x1,_0x2d2af0[_0x56d8e0(0xfd0)])['join'](',');}break;case _0x56d8e0(0x155e):_0x417b63[_0x56d8e0(0xf3b)][_0x56d8e0(0x19d3)]=_0x417b63[_0x56d8e0(0xf3b)][_0x56d8e0(0x28df)];break;case _0x56d8e0(0x19d1):_0x417b63['close'][_0x56d8e0(0x16b6)]=_0x417b63[_0x56d8e0(0xf3b)][_0x56d8e0(0x28df)]['split']('=')[0x0],_0x417b63[_0x56d8e0(0xf3b)][_0x56d8e0(0x327)]=_0x417b63[_0x56d8e0(0xf3b)][_0x56d8e0(0x28df)][_0x56d8e0(0xbe1)]('=')[0x1];break;case'agi':_0x417b63[_0x56d8e0(0xf3b)]['project']=_0x417b63[_0x56d8e0(0xf3b)]['appdata'];break;default:{const _0x49fdd4=_0x417b63[_0x56d8e0(0xf3b)]['appdata'][_0x56d8e0(0xbe1)](',');_0x417b63[_0x56d8e0(0xf3b)]['disposition']=_0x39641b()[_0x56d8e0(0xce9)](_0x49fdd4[0x0])?_0x49fdd4[0x0]:isNaN(_0x49fdd4[0x0])?_0x49fdd4[0x0]:parseInt(_0x49fdd4[0x0],0xa);}break;}else{}_0x417b63[_0x56d8e0(0xf3b)]['type']&&_0x417b63[_0x56d8e0(0xf3b)][_0x56d8e0(0x66a)][_0x56d8e0(0x1680)]()===_0x56d8e0(0x895)&&_0x417b63[_0x56d8e0(0xf3b)][_0x56d8e0(0x299b)][_0x56d8e0(0x1680)]()===_0x56d8e0(0x20ff)&&(_0x417b63[_0x56d8e0(0xf3b)][_0x56d8e0(0x586)]=_0x417b63['close'][_0x56d8e0(0x1340)]?_0x417b63['close']['phone'][_0x56d8e0(0xbe1)]('$')[0x0]:undefined,_0x417b63[_0x56d8e0(0xf3b)][_0x56d8e0(0x1e7c)]=_0x417b63['close'][_0x56d8e0(0x2816)]?_0x56d8e0(0x25f9)+_0x417b63[_0x56d8e0(0xf3b)]['callerID']:undefined);_0x417b63[_0x56d8e0(0x2357)]=_0x1910cb,_0x417b63[_0x56d8e0(0xda0)]=_0x56fee2;function _0x1910cb(){const _0x2cb691=_0x56d8e0;_0x417b63[_0x2cb691(0x1a7c)]=[];const _0x2f046a=[];_0x417b63[_0x2cb691(0xf3b)][_0x2cb691(0x66a)]&&_0x417b63[_0x2cb691(0xf3b)]['type']['toLowerCase']()===_0x2cb691(0x895)&&_0x417b63[_0x2cb691(0xf3b)][_0x2cb691(0x299b)]==='outboundDial'&&(_0x417b63[_0x2cb691(0xf3b)]['phone']=_0x2dac46['cutdigits']?(_0x417b63['close'][_0x2cb691(0x586)]||'')+_0x2cb691(0x19e4)+_0x2dac46[_0x2cb691(0x325)]+'}':(_0x417b63[_0x2cb691(0xf3b)][_0x2cb691(0x586)]||'')+_0x2cb691(0xcdd),_0x2dac46['recordingFormat']!=='none'?_0x417b63[_0x2cb691(0xf3b)][_0x2cb691(0x2224)]['indexOf'](_0x2cb691(0x106a))<0x0&&(_0x417b63['close'][_0x2cb691(0x2224)]+=_0x2cb691(0x106a)):_0x417b63[_0x2cb691(0xf3b)][_0x2cb691(0x2224)]=_0x417b63['close'][_0x2cb691(0x2224)]['replace']('U(xcally-mixmonitor-context)',''));if(_0x417b63[_0x2cb691(0xf3b)]['appType']&&_0x417b63['close'][_0x2cb691(0x299b)]===_0x2cb691(0x197c)){}else switch((_0x417b63[_0x2cb691(0xf3b)]['app']||_0x417b63['close']['appType'])[_0x2cb691(0x1680)]()){case _0x2cb691(0x19d1):_0x417b63[_0x2cb691(0xf3b)][_0x2cb691(0x28df)]=_0x417b63['close'][_0x2cb691(0x16b6)]+'='+_0x417b63[_0x2cb691(0xf3b)]['value'];break;case _0x2cb691(0x197c):break;default:_0x2f046a[0x0]=_0x417b63['close']['disposition'],_0x417b63['close'][_0x2cb691(0x28df)]=_0x2f046a[_0x2cb691(0x1f66)](',');}_0x56fee2(_0x417b63[_0x2cb691(0xf3b)]);}function _0x56fee2(_0x36d0f0){const _0x2e337f=_0x56d8e0;_0x397b1a[_0x2e337f(0x1426)](_0x36d0f0);}}const _0x4ad82c=_0x54d475;;_0x24741c[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q','toasty','whatsappAccountApp',_0x5537c6(0x83a),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x24741c(_0x43ebda,_0x460d7a,_0xc19572,_0x32ce8e,_0x1b7bc3,_0x2fd68b,_0x57205f,_0x4083d3){const _0x56e966=_0x5537c6,_0xeb1a9e=this;_0xeb1a9e[_0x56e966(0xe76)]=_0x57205f[_0x56e966(0x21e8)](),_0xeb1a9e[_0x56e966(0x1a7c)]=[],_0xeb1a9e[_0x56e966(0x1386)]=_0x56e966(0x1537)+(_0x32ce8e['appType']||_0x32ce8e[_0x56e966(0x22e1)])[_0x56e966(0x2335)](),_0xeb1a9e[_0x56e966(0x711)]=angular[_0x56e966(0x17fe)](_0x32ce8e),_0xeb1a9e['crudPermissions']=_0x4083d3,_0xeb1a9e[_0x56e966(0xf4c)]={};if(_0xeb1a9e[_0x56e966(0x711)]['appdata'])switch(_0xeb1a9e[_0x56e966(0x711)][_0x56e966(0x299b)]?_0xeb1a9e[_0x56e966(0x711)][_0x56e966(0x299b)][_0x56e966(0x1680)]():_0xeb1a9e['dialogflow'][_0x56e966(0x22e1)][_0x56e966(0x1680)]()){case _0x56e966(0x197c):break;case _0x56e966(0x711):{const _0xc08cd3=_0xeb1a9e[_0x56e966(0x711)][_0x56e966(0x28df)][_0x56e966(0xbe1)](',');_0xeb1a9e[_0x56e966(0x711)]['key']=_0xc08cd3[0x0],_0xeb1a9e[_0x56e966(0x711)][_0x56e966(0x12b4)]=_0xc08cd3[0x1],_0xeb1a9e[_0x56e966(0x711)][_0x56e966(0x173a)]=_0xc08cd3[_0x56e966(0x14cb)](0x2,_0xc08cd3[_0x56e966(0xfd0)])[_0x56e966(0x1f66)](',');}break;case _0x56e966(0xece):{const _0x53e5f8=_0xeb1a9e[_0x56e966(0x711)]['appdata'][_0x56e966(0xbe1)](',');_0xeb1a9e[_0x56e966(0x711)][_0x56e966(0x2854)]=_0x53e5f8[0x0],_0xeb1a9e['dialogflow'][_0x56e966(0x12bf)]=_0x53e5f8[0x1],_0xeb1a9e['dialogflow']['privateKey']=_0x53e5f8[0x2],_0xeb1a9e[_0x56e966(0x711)][_0x56e966(0x12b4)]=_0x53e5f8[0x3],_0xeb1a9e['dialogflow'][_0x56e966(0x173a)]=_0x53e5f8['slice'](0x4,_0x53e5f8[_0x56e966(0xfd0)])['join'](',');}break;case _0x56e966(0x123a):{const _0x11c051=_0xeb1a9e[_0x56e966(0x711)][_0x56e966(0x28df)][_0x56e966(0xbe1)](',');_0xeb1a9e[_0x56e966(0x711)][_0x56e966(0x413)]=_0x11c051[0x0],_0xeb1a9e[_0x56e966(0x711)][_0x56e966(0x21d9)]=_0x11c051[0x1],_0xeb1a9e[_0x56e966(0x711)][_0x56e966(0xd50)]=_0x11c051[0x2],_0xeb1a9e['dialogflow']['botname']=_0x11c051[0x3],_0xeb1a9e['dialogflow'][_0x56e966(0x173a)]=_0x11c051[_0x56e966(0x14cb)](0x4,_0x11c051[_0x56e966(0xfd0)])[_0x56e966(0x1f66)](',');}break;case'autoreply':{const _0x5ecb28=_0xeb1a9e[_0x56e966(0x711)][_0x56e966(0x28df)][_0x56e966(0xbe1)](',');_0xeb1a9e[_0x56e966(0x711)][_0x56e966(0x18a5)]=isNaN(_0x5ecb28[0x0])?_0x5ecb28[0x0]:parseInt(_0x5ecb28[0x0],0xa),_0xeb1a9e[_0x56e966(0x711)][_0x56e966(0x19d3)]=_0x5ecb28[_0x56e966(0x14cb)](0x1,_0x5ecb28['length'])['join'](',');}break;case _0x56e966(0x155e):_0xeb1a9e[_0x56e966(0x711)][_0x56e966(0x19d3)]=_0xeb1a9e[_0x56e966(0x711)][_0x56e966(0x28df)];break;case _0x56e966(0x19d1):_0xeb1a9e['dialogflow']['name']=_0xeb1a9e[_0x56e966(0x711)]['appdata']['split']('=')[0x0],_0xeb1a9e[_0x56e966(0x711)][_0x56e966(0x327)]=_0xeb1a9e['dialogflow'][_0x56e966(0x28df)][_0x56e966(0xbe1)]('=')[0x1];break;case _0x56e966(0x4b4):_0xeb1a9e[_0x56e966(0x711)][_0x56e966(0x12a7)]=_0xeb1a9e[_0x56e966(0x711)][_0x56e966(0x28df)];break;default:{const _0x354386=_0xeb1a9e[_0x56e966(0x711)]['appdata'][_0x56e966(0xbe1)](',');_0xeb1a9e[_0x56e966(0x711)]['key']=_0x39641b()[_0x56e966(0xce9)](_0x354386[0x0])?_0x354386[0x0]:isNaN(_0x354386[0x0])?_0x354386[0x0]:parseInt(_0x354386[0x0],0xa),_0xeb1a9e[_0x56e966(0x711)]['language']=_0x39641b()[_0x56e966(0xce9)](_0x354386[0x1])?_0x354386[0x1]:isNaN(_0x354386[0x1])?_0x354386[0x1]:parseInt(_0x354386[0x1],0xa),_0xeb1a9e[_0x56e966(0x711)]['welcomemessage']=_0x39641b()[_0x56e966(0xce9)](_0x354386[0x2])?_0x354386[0x2]:isNaN(_0x354386[0x2])?_0x354386[0x2]:parseInt(_0x354386[0x2],0xa);}break;}else _0xeb1a9e[_0x56e966(0x711)][_0x56e966(0x12b4)]='en';_0xeb1a9e[_0x56e966(0x711)][_0x56e966(0x66a)]&&_0xeb1a9e[_0x56e966(0x711)][_0x56e966(0x66a)][_0x56e966(0x1680)]()===_0x56e966(0x895)&&_0xeb1a9e[_0x56e966(0x711)][_0x56e966(0x299b)]['toLowerCase']()==='outbounddial'&&(_0xeb1a9e[_0x56e966(0x711)][_0x56e966(0x586)]=_0xeb1a9e['dialogflow'][_0x56e966(0x1340)]?_0xeb1a9e[_0x56e966(0x711)]['phone'][_0x56e966(0xbe1)]('$')[0x0]:undefined,_0xeb1a9e[_0x56e966(0x711)][_0x56e966(0x1e7c)]=_0xeb1a9e[_0x56e966(0x711)]['callerID']?_0x56e966(0x25f9)+_0xeb1a9e[_0x56e966(0x711)][_0x56e966(0x2816)]:undefined);_0xeb1a9e[_0x56e966(0x2357)]=_0x5bad0c,_0xeb1a9e[_0x56e966(0xda0)]=_0x278620;function _0x5bad0c(){const _0x380a47=_0x56e966;_0xeb1a9e[_0x380a47(0x1a7c)]=[];const _0x3b3fbd=[];_0xeb1a9e[_0x380a47(0x711)][_0x380a47(0x66a)]&&_0xeb1a9e['dialogflow']['type'][_0x380a47(0x1680)]()===_0x380a47(0x895)&&_0xeb1a9e[_0x380a47(0x711)][_0x380a47(0x299b)]===_0x380a47(0x25f4)&&(_0xeb1a9e[_0x380a47(0x711)][_0x380a47(0x1340)]=_0x1b7bc3[_0x380a47(0x325)]?(_0xeb1a9e['dialogflow'][_0x380a47(0x586)]||'')+_0x380a47(0x19e4)+_0x1b7bc3['cutdigits']+'}':(_0xeb1a9e[_0x380a47(0x711)][_0x380a47(0x586)]||'')+'${EXTEN}',_0x1b7bc3[_0x380a47(0xf8d)]!=='none'?_0xeb1a9e[_0x380a47(0x711)][_0x380a47(0x2224)][_0x380a47(0xd8a)](_0x380a47(0x106a))<0x0&&(_0xeb1a9e[_0x380a47(0x711)][_0x380a47(0x2224)]+='U(xcally-mixmonitor-context)'):_0xeb1a9e[_0x380a47(0x711)][_0x380a47(0x2224)]=_0xeb1a9e[_0x380a47(0x711)]['options'][_0x380a47(0x288f)](_0x380a47(0x106a),''));if(_0xeb1a9e[_0x380a47(0x711)]['appType']&&_0xeb1a9e['dialogflow']['appType']===_0x380a47(0x197c)){}else switch((_0xeb1a9e[_0x380a47(0x711)][_0x380a47(0x22e1)]||_0xeb1a9e['dialogflow']['appType'])[_0x380a47(0x1680)]()){case _0x380a47(0x19d1):_0xeb1a9e['dialogflow'][_0x380a47(0x28df)]=_0xeb1a9e[_0x380a47(0x711)][_0x380a47(0x16b6)]+'='+_0xeb1a9e[_0x380a47(0x711)][_0x380a47(0x327)];break;case _0x380a47(0x197c):break;default:_0x3b3fbd[0x0]=_0xeb1a9e[_0x380a47(0x711)]['key'],_0x3b3fbd[0x1]=_0xeb1a9e['dialogflow'][_0x380a47(0x12b4)],_0x3b3fbd[0x2]=_0xeb1a9e[_0x380a47(0x711)][_0x380a47(0x173a)],_0xeb1a9e[_0x380a47(0x711)][_0x380a47(0x28df)]=_0x3b3fbd[_0x380a47(0x1f66)](',');}_0x278620(_0xeb1a9e[_0x380a47(0x711)]);}function _0x278620(_0x4e3265){const _0x4a17d0=_0x56e966;_0x43ebda[_0x4a17d0(0x1426)](_0x4e3265);}}const _0x47899b=_0x24741c;;_0x29bef4['$inject']=['$mdDialog','$q',_0x5537c6(0x9bf),_0x5537c6(0x2702),_0x5537c6(0x83a),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x29bef4(_0x4c666e,_0x308a05,_0x355551,_0x5da79e,_0x90bc74,_0x39fa0a,_0x3b4390,_0x25bebf){const _0x88f754=_0x5537c6,_0x4b1a34=this;_0x4b1a34[_0x88f754(0xe76)]=_0x3b4390[_0x88f754(0x21e8)](),_0x4b1a34[_0x88f754(0x1a7c)]=[],_0x4b1a34[_0x88f754(0x1386)]='WHATSAPP.EDIT_'+(_0x5da79e['appType']||_0x5da79e[_0x88f754(0x22e1)])['toUpperCase'](),_0x4b1a34[_0x88f754(0x13a0)]=angular['copy'](_0x5da79e),_0x4b1a34[_0x88f754(0x1b1a)]=_0x25bebf,_0x4b1a34[_0x88f754(0xf4c)]={};if(_0x4b1a34[_0x88f754(0x13a0)][_0x88f754(0x28df)])switch(_0x4b1a34[_0x88f754(0x13a0)]['appType']?_0x4b1a34[_0x88f754(0x13a0)][_0x88f754(0x299b)][_0x88f754(0x1680)]():_0x4b1a34[_0x88f754(0x13a0)][_0x88f754(0x22e1)][_0x88f754(0x1680)]()){case'custom':break;case'dialogflow':{const _0xd2c56b=_0x4b1a34[_0x88f754(0x13a0)][_0x88f754(0x28df)]['split'](',');_0x4b1a34[_0x88f754(0x13a0)][_0x88f754(0xee8)]=_0xd2c56b[0x0],_0x4b1a34[_0x88f754(0x13a0)][_0x88f754(0x12b4)]=_0xd2c56b[0x1],_0x4b1a34[_0x88f754(0x13a0)][_0x88f754(0x173a)]=_0xd2c56b[_0x88f754(0x14cb)](0x2,_0xd2c56b[_0x88f754(0xfd0)])[_0x88f754(0x1f66)](',');}break;case _0x88f754(0xece):{const _0x1d3684=_0x4b1a34[_0x88f754(0x13a0)][_0x88f754(0x28df)][_0x88f754(0xbe1)](',');_0x4b1a34['gotoif'][_0x88f754(0x2854)]=_0x1d3684[0x0],_0x4b1a34[_0x88f754(0x13a0)]['clientEmail']=_0x1d3684[0x1],_0x4b1a34[_0x88f754(0x13a0)][_0x88f754(0x29d6)]=_0x1d3684[0x2],_0x4b1a34[_0x88f754(0x13a0)]['language']=_0x1d3684[0x3],_0x4b1a34[_0x88f754(0x13a0)][_0x88f754(0x173a)]=_0x1d3684['slice'](0x4,_0x1d3684['length'])[_0x88f754(0x1f66)](',');}break;case _0x88f754(0x123a):{const _0x1e2d85=_0x4b1a34[_0x88f754(0x13a0)][_0x88f754(0x28df)][_0x88f754(0xbe1)](',');_0x4b1a34['gotoif'][_0x88f754(0x413)]=_0x1e2d85[0x0],_0x4b1a34[_0x88f754(0x13a0)][_0x88f754(0x21d9)]=_0x1e2d85[0x1],_0x4b1a34['gotoif'][_0x88f754(0xd50)]=_0x1e2d85[0x2],_0x4b1a34[_0x88f754(0x13a0)][_0x88f754(0x1c7f)]=_0x1e2d85[0x3],_0x4b1a34[_0x88f754(0x13a0)]['welcomemessage']=_0x1e2d85['slice'](0x4,_0x1e2d85['length'])[_0x88f754(0x1f66)](',');}break;case _0x88f754(0x1f71):{const _0x1ba667=_0x4b1a34['gotoif'][_0x88f754(0x28df)]['split'](',');_0x4b1a34[_0x88f754(0x13a0)][_0x88f754(0x18a5)]=isNaN(_0x1ba667[0x0])?_0x1ba667[0x0]:parseInt(_0x1ba667[0x0],0xa),_0x4b1a34[_0x88f754(0x13a0)]['text']=_0x1ba667[_0x88f754(0x14cb)](0x1,_0x1ba667[_0x88f754(0xfd0)])[_0x88f754(0x1f66)](',');}break;case _0x88f754(0x155e):_0x4b1a34[_0x88f754(0x13a0)]['text']=_0x4b1a34[_0x88f754(0x13a0)]['appdata'];break;case _0x88f754(0x19d1):_0x4b1a34[_0x88f754(0x13a0)][_0x88f754(0x16b6)]=_0x4b1a34[_0x88f754(0x13a0)]['appdata']['split']('=')[0x0],_0x4b1a34[_0x88f754(0x13a0)][_0x88f754(0x327)]=_0x4b1a34[_0x88f754(0x13a0)][_0x88f754(0x28df)][_0x88f754(0xbe1)]('=')[0x1];break;case _0x88f754(0x4b4):_0x4b1a34[_0x88f754(0x13a0)][_0x88f754(0x12a7)]=_0x4b1a34[_0x88f754(0x13a0)][_0x88f754(0x28df)];break;default:{const _0xae8375=_0x4b1a34[_0x88f754(0x13a0)][_0x88f754(0x28df)][_0x88f754(0xbe1)](',');_0x4b1a34[_0x88f754(0x13a0)][_0x88f754(0x166c)]=_0x39641b()['isEmpty'](_0xae8375[0x0])?_0xae8375[0x0]:isNaN(_0xae8375[0x0])?_0xae8375[0x0]:parseInt(_0xae8375[0x0],0xa),_0x4b1a34[_0x88f754(0x13a0)]['truepriority']=_0x39641b()[_0x88f754(0xce9)](_0xae8375[0x1])?_0xae8375[0x1]:isNaN(_0xae8375[0x1])?_0xae8375[0x1]:parseInt(_0xae8375[0x1],0xa),_0x4b1a34[_0x88f754(0x13a0)][_0x88f754(0x17d)]=_0x39641b()[_0x88f754(0xce9)](_0xae8375[0x2])?_0xae8375[0x2]:isNaN(_0xae8375[0x2])?_0xae8375[0x2]:parseInt(_0xae8375[0x2],0xa);}break;}else{}_0x4b1a34[_0x88f754(0x13a0)]['type']&&_0x4b1a34[_0x88f754(0x13a0)]['type']['toLowerCase']()===_0x88f754(0x895)&&_0x4b1a34[_0x88f754(0x13a0)][_0x88f754(0x299b)][_0x88f754(0x1680)]()==='outbounddial'&&(_0x4b1a34['gotoif'][_0x88f754(0x586)]=_0x4b1a34['gotoif']['phone']?_0x4b1a34[_0x88f754(0x13a0)][_0x88f754(0x1340)]['split']('$')[0x0]:undefined,_0x4b1a34['gotoif'][_0x88f754(0x1e7c)]=_0x4b1a34[_0x88f754(0x13a0)][_0x88f754(0x2816)]?_0x88f754(0x25f9)+_0x4b1a34[_0x88f754(0x13a0)]['callerID']:undefined);_0x4b1a34[_0x88f754(0x2357)]=_0x370c66,_0x4b1a34[_0x88f754(0xda0)]=_0x11ddde;function _0x370c66(){const _0x37def9=_0x88f754;_0x4b1a34[_0x37def9(0x1a7c)]=[];const _0x398f58=[];_0x4b1a34[_0x37def9(0x13a0)][_0x37def9(0x66a)]&&_0x4b1a34[_0x37def9(0x13a0)]['type'][_0x37def9(0x1680)]()==='outbound'&&_0x4b1a34[_0x37def9(0x13a0)]['appType']==='outboundDial'&&(_0x4b1a34[_0x37def9(0x13a0)]['phone']=_0x90bc74[_0x37def9(0x325)]?(_0x4b1a34[_0x37def9(0x13a0)][_0x37def9(0x586)]||'')+_0x37def9(0x19e4)+_0x90bc74[_0x37def9(0x325)]+'}':(_0x4b1a34['gotoif'][_0x37def9(0x586)]||'')+_0x37def9(0xcdd),_0x90bc74[_0x37def9(0xf8d)]!=='none'?_0x4b1a34['gotoif'][_0x37def9(0x2224)][_0x37def9(0xd8a)]('U(xcally-mixmonitor-context)')<0x0&&(_0x4b1a34[_0x37def9(0x13a0)]['options']+=_0x37def9(0x106a)):_0x4b1a34[_0x37def9(0x13a0)][_0x37def9(0x2224)]=_0x4b1a34[_0x37def9(0x13a0)][_0x37def9(0x2224)][_0x37def9(0x288f)](_0x37def9(0x106a),''));if(_0x4b1a34['gotoif'][_0x37def9(0x299b)]&&_0x4b1a34['gotoif'][_0x37def9(0x299b)]===_0x37def9(0x197c)){}else switch((_0x4b1a34[_0x37def9(0x13a0)]['app']||_0x4b1a34[_0x37def9(0x13a0)][_0x37def9(0x299b)])['toLowerCase']()){case'set':_0x4b1a34[_0x37def9(0x13a0)][_0x37def9(0x28df)]=_0x4b1a34[_0x37def9(0x13a0)]['name']+'='+_0x4b1a34[_0x37def9(0x13a0)][_0x37def9(0x327)];break;case'custom':break;default:_0x398f58[0x0]=_0x4b1a34[_0x37def9(0x13a0)][_0x37def9(0x166c)],_0x398f58[0x1]=_0x4b1a34[_0x37def9(0x13a0)]['truepriority'],_0x398f58[0x2]=_0x4b1a34[_0x37def9(0x13a0)]['falsepriority'],_0x4b1a34[_0x37def9(0x13a0)]['appdata']=_0x398f58['join'](',');}_0x11ddde(_0x4b1a34['gotoif']);}function _0x11ddde(_0xc4b6e3){_0x4c666e['hide'](_0xc4b6e3);}}const _0x205782=_0x29bef4;;_0x301c14[_0x5537c6(0x15b6)]=['$mdDialog','$q',_0x5537c6(0x9bf),'whatsappAccountApp','whatsappAccount','api',_0x5537c6(0x1774),'crudPermissions'];function _0x301c14(_0x17db4d,_0x4a9e2e,_0x39b59b,_0x158cce,_0x5220e3,_0x4b980e,_0x53b6f6,_0x18e55c){const _0x1b28f2=_0x5537c6,_0x22de0e=this;_0x22de0e[_0x1b28f2(0xe76)]=_0x53b6f6['getCurrentUser'](),_0x22de0e['errors']=[],_0x22de0e[_0x1b28f2(0x1386)]=_0x1b28f2(0x1537)+(_0x158cce[_0x1b28f2(0x299b)]||_0x158cce[_0x1b28f2(0x22e1)])['toUpperCase'](),_0x22de0e['gotop']=angular['copy'](_0x158cce),_0x22de0e[_0x1b28f2(0x1b1a)]=_0x18e55c,_0x22de0e[_0x1b28f2(0xf4c)]={};if(_0x22de0e['gotop'][_0x1b28f2(0x28df)])switch(_0x22de0e[_0x1b28f2(0x265b)]['appType']?_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0x299b)][_0x1b28f2(0x1680)]():_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0x22e1)][_0x1b28f2(0x1680)]()){case'custom':break;case'dialogflow':{const _0x2e707d=_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0x28df)][_0x1b28f2(0xbe1)](',');_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0xee8)]=_0x2e707d[0x0],_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0x12b4)]=_0x2e707d[0x1],_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0x173a)]=_0x2e707d[_0x1b28f2(0x14cb)](0x2,_0x2e707d[_0x1b28f2(0xfd0)])[_0x1b28f2(0x1f66)](',');}break;case _0x1b28f2(0xece):{const _0x590efe=_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0x28df)][_0x1b28f2(0xbe1)](',');_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0x2854)]=_0x590efe[0x0],_0x22de0e['gotop'][_0x1b28f2(0x12bf)]=_0x590efe[0x1],_0x22de0e['gotop'][_0x1b28f2(0x29d6)]=_0x590efe[0x2],_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0x12b4)]=_0x590efe[0x3],_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0x173a)]=_0x590efe[_0x1b28f2(0x14cb)](0x4,_0x590efe[_0x1b28f2(0xfd0)])[_0x1b28f2(0x1f66)](',');}break;case _0x1b28f2(0x123a):{const _0x1abf02=_0x22de0e[_0x1b28f2(0x265b)]['appdata'][_0x1b28f2(0xbe1)](',');_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0x413)]=_0x1abf02[0x0],_0x22de0e['gotop']['secretaccesskey']=_0x1abf02[0x1],_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0xd50)]=_0x1abf02[0x2],_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0x1c7f)]=_0x1abf02[0x3],_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0x173a)]=_0x1abf02[_0x1b28f2(0x14cb)](0x4,_0x1abf02['length'])[_0x1b28f2(0x1f66)](',');}break;case _0x1b28f2(0x1f71):{const _0x17be04=_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0x28df)]['split'](',');_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0x18a5)]=isNaN(_0x17be04[0x0])?_0x17be04[0x0]:parseInt(_0x17be04[0x0],0xa),_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0x19d3)]=_0x17be04[_0x1b28f2(0x14cb)](0x1,_0x17be04[_0x1b28f2(0xfd0)])[_0x1b28f2(0x1f66)](',');}break;case _0x1b28f2(0x155e):_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0x19d3)]=_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0x28df)];break;case'set':_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0x16b6)]=_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0x28df)][_0x1b28f2(0xbe1)]('=')[0x0],_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0x327)]=_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0x28df)][_0x1b28f2(0xbe1)]('=')[0x1];break;case'agi':_0x22de0e['gotop'][_0x1b28f2(0x12a7)]=_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0x28df)];break;default:{const _0x1b8a16=_0x22de0e['gotop'][_0x1b28f2(0x28df)][_0x1b28f2(0xbe1)](',');_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0x521)]=_0x39641b()[_0x1b28f2(0xce9)](_0x1b8a16[0x0])?_0x1b8a16[0x0]:isNaN(_0x1b8a16[0x0])?_0x1b8a16[0x0]:parseInt(_0x1b8a16[0x0],0xa);}break;}else{}_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0x66a)]&&_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0x66a)][_0x1b28f2(0x1680)]()===_0x1b28f2(0x895)&&_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0x299b)][_0x1b28f2(0x1680)]()==='outbounddial'&&(_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0x586)]=_0x22de0e['gotop'][_0x1b28f2(0x1340)]?_0x22de0e[_0x1b28f2(0x265b)]['phone']['split']('$')[0x0]:undefined,_0x22de0e[_0x1b28f2(0x265b)]['callerId']=_0x22de0e[_0x1b28f2(0x265b)][_0x1b28f2(0x2816)]?'CALLERID(all)='+_0x22de0e[_0x1b28f2(0x265b)]['callerID']:undefined);_0x22de0e[_0x1b28f2(0x2357)]=_0xc36bfa,_0x22de0e[_0x1b28f2(0xda0)]=_0x24d877;function _0xc36bfa(){const _0xc7e064=_0x1b28f2;_0x22de0e[_0xc7e064(0x1a7c)]=[];const _0x57c5b9=[];_0x22de0e[_0xc7e064(0x265b)][_0xc7e064(0x66a)]&&_0x22de0e[_0xc7e064(0x265b)][_0xc7e064(0x66a)][_0xc7e064(0x1680)]()===_0xc7e064(0x895)&&_0x22de0e[_0xc7e064(0x265b)]['appType']===_0xc7e064(0x25f4)&&(_0x22de0e[_0xc7e064(0x265b)][_0xc7e064(0x1340)]=_0x5220e3['cutdigits']?(_0x22de0e[_0xc7e064(0x265b)][_0xc7e064(0x586)]||'')+_0xc7e064(0x19e4)+_0x5220e3[_0xc7e064(0x325)]+'}':(_0x22de0e[_0xc7e064(0x265b)][_0xc7e064(0x586)]||'')+_0xc7e064(0xcdd),_0x5220e3[_0xc7e064(0xf8d)]!==_0xc7e064(0x13b1)?_0x22de0e['gotop'][_0xc7e064(0x2224)][_0xc7e064(0xd8a)](_0xc7e064(0x106a))<0x0&&(_0x22de0e['gotop'][_0xc7e064(0x2224)]+=_0xc7e064(0x106a)):_0x22de0e[_0xc7e064(0x265b)]['options']=_0x22de0e['gotop']['options'][_0xc7e064(0x288f)](_0xc7e064(0x106a),''));if(_0x22de0e[_0xc7e064(0x265b)][_0xc7e064(0x299b)]&&_0x22de0e[_0xc7e064(0x265b)]['appType']==='custom'){}else switch((_0x22de0e[_0xc7e064(0x265b)]['app']||_0x22de0e['gotop'][_0xc7e064(0x299b)])[_0xc7e064(0x1680)]()){case _0xc7e064(0x19d1):_0x22de0e['gotop'][_0xc7e064(0x28df)]=_0x22de0e[_0xc7e064(0x265b)]['name']+'='+_0x22de0e[_0xc7e064(0x265b)][_0xc7e064(0x327)];break;case _0xc7e064(0x197c):break;default:_0x57c5b9[0x0]=_0x22de0e[_0xc7e064(0x265b)]['priority'],_0x22de0e[_0xc7e064(0x265b)]['appdata']=_0x57c5b9[_0xc7e064(0x1f66)](',');}_0x24d877(_0x22de0e['gotop']);}function _0x24d877(_0x264d54){const _0xd88a59=_0x1b28f2;_0x17db4d[_0xd88a59(0x1426)](_0x264d54);}}const _0x53cc2f=_0x301c14;;_0x3b4326[_0x5537c6(0x15b6)]=['$state',_0x5537c6(0xcb9),_0x5537c6(0x200d),_0x5537c6(0x1b2),_0x5537c6(0x1ac8),'intervals',_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x1774),'crudPermissions'];function _0x3b4326(_0x3ae6ff,_0x2d8d94,_0x22bcbd,_0x22f9ef,_0x497479,_0x54807e,_0x33bc2d,_0x4b2244,_0x51d059,_0xa3595f){const _0xdd88b2=_0x5537c6,_0x55a02d=this;_0x55a02d[_0xdd88b2(0xe76)]=_0x51d059[_0xdd88b2(0x21e8)](),_0x55a02d[_0xdd88b2(0x1a7c)]=[],_0x55a02d[_0xdd88b2(0x1386)]=_0xdd88b2(0x1571),_0x55a02d['interval']=angular[_0xdd88b2(0x17fe)](_0x497479),_0x55a02d['intervals']=_0x54807e,_0x55a02d[_0xdd88b2(0x18c1)]=![],_0x55a02d[_0xdd88b2(0x2025)]=[_0xdd88b2(0x2017),'custom',_0xdd88b2(0x240)],_0x55a02d[_0xdd88b2(0x1b1a)]=_0xa3595f,_0x55a02d[_0xdd88b2(0x1275)]=_0x22f9ef[_0xdd88b2(0x1aae)](),_0x55a02d[_0xdd88b2(0x2055)]=_0x22f9ef[_0xdd88b2(0xa76)](),_0x55a02d['monthName']=_0x22f9ef['getMonthName'](),_0x55a02d[_0xdd88b2(0x202d)]=_0x22f9ef['getDaysOfMonth']();if(!_0x55a02d[_0xdd88b2(0x1ac8)])_0x55a02d['interval']={'interval':'*,*,*,*'},_0x55a02d[_0xdd88b2(0x66a)]=_0xdd88b2(0x2017),_0x55a02d[_0xdd88b2(0x1386)]=_0xdd88b2(0x287b),_0x55a02d[_0xdd88b2(0x18c1)]=!![];else{if(_0x55a02d[_0xdd88b2(0x1ac8)][_0xdd88b2(0x1ac8)]!==_0xdd88b2(0x965)){_0x55a02d[_0xdd88b2(0x66a)]='custom';const _0x5330b3=_0x55a02d[_0xdd88b2(0x1ac8)][_0xdd88b2(0x1ac8)][_0xdd88b2(0xbe1)](','),_0x1c6b37=_0x5330b3[0x0],_0x2fac37=_0x5330b3[0x1],_0x4c1320=_0x5330b3[0x2],_0x127eae=_0x5330b3[0x3];if(_0x1c6b37!=='*'){const _0x40adf3=_0x1c6b37[_0xdd88b2(0xbe1)]('-')[0x0],_0x2ab9d8=_0x1c6b37['split']('-')[0x1];let _0x35791c;_0x35791c=new Date(),_0x35791c['setHours'](Number(_0x40adf3[_0xdd88b2(0xbe1)](':')[0x0])),_0x35791c[_0xdd88b2(0x1622)](Number(_0x40adf3[_0xdd88b2(0xbe1)](':')[0x1])),_0x55a02d[_0xdd88b2(0x718)]=_0x35791c,_0x35791c=new Date(),_0x35791c[_0xdd88b2(0x201b)](Number(_0x2ab9d8[_0xdd88b2(0xbe1)](':')[0x0])),_0x35791c['setMinutes'](Number(_0x2ab9d8[_0xdd88b2(0xbe1)](':')[0x1])),_0x55a02d['timeRangeTo']=_0x35791c;}_0x2fac37!=='*'&&(_0x55a02d['dayOfWeekFrom']=_0x2fac37[_0xdd88b2(0xbe1)]('-')[0x0],_0x55a02d['dayOfWeekTo']=_0x2fac37[_0xdd88b2(0xbe1)]('-')[0x1]),_0x4c1320!=='*'&&(_0x55a02d[_0xdd88b2(0xd6f)]=_0x4c1320[_0xdd88b2(0xbe1)]('-')[0x0],_0x55a02d[_0xdd88b2(0x194d)]=_0x4c1320[_0xdd88b2(0xbe1)]('-')[0x1]),_0x127eae!=='*'&&(_0x55a02d[_0xdd88b2(0x1756)]=_0x127eae['split']('-')[0x0],_0x55a02d[_0xdd88b2(0xa68)]=_0x127eae[_0xdd88b2(0xbe1)]('-')[0x1]);}else _0x55a02d[_0xdd88b2(0x66a)]=_0xdd88b2(0x2017);}_0x3ae6ff['params']['id']&&!_0x55a02d[_0xdd88b2(0x1ac8)]['application']&&(_0x55a02d[_0xdd88b2(0x1ac8)][_0xdd88b2(0x1a60)]=_0x3ae6ff['params']['id']);_0x55a02d[_0xdd88b2(0x1ac8)]['IntervalId']&&_0x55a02d[_0xdd88b2(0x1ac8)]['application']&&(_0x55a02d[_0xdd88b2(0x66a)]=_0xdd88b2(0x240));_0x55a02d[_0xdd88b2(0x18b3)]=_0x3e41c3,_0x55a02d['saveInterval']=_0x98df43,_0x55a02d[_0xdd88b2(0xda0)]=_0x3b645f;_0x55a02d[_0xdd88b2(0x1ac8)]['application']&&(_0x51d059[_0xdd88b2(0x22b6)](_0xdd88b2(0x1c60))?_0x4b2244[_0xdd88b2(0x1ac8)][_0xdd88b2(0xbf7)]({'fields':_0xdd88b2(0x872),'IntervalId':_0xdd88b2(0xd38),'nolimit':!![]})[_0xdd88b2(0x1d77)][_0xdd88b2(0x1cb0)](function(_0x1ea688){const _0x2326b3=_0xdd88b2;_0x55a02d[_0x2326b3(0xb4a)]=_0x1ea688['rows']||[];})[_0xdd88b2(0x1c4)](function(_0x20057f){const _0x40f40f=_0xdd88b2;_0x33bc2d[_0x40f40f(0x218e)]({'title':_0x20057f[_0x40f40f(0x291)]?'API:'+_0x20057f['status']+'\x20-\x20'+_0x20057f[_0x40f40f(0xc22)]:_0x40f40f(0xdac),'msg':_0x20057f[_0x40f40f(0x25c)]?JSON[_0x40f40f(0x2701)](_0x20057f[_0x40f40f(0x25c)]):_0x20057f[_0x40f40f(0x147f)]()});}):_0x4b2244['interval'][_0xdd88b2(0xbf7)]({'fields':_0xdd88b2(0x872),'IntervalId':_0xdd88b2(0xd38),'nolimit':!![]})['$promise'][_0xdd88b2(0x1cb0)](function(_0x391bf4){const _0x3e4047=_0xdd88b2;_0x55a02d[_0x3e4047(0xb4a)]=_0x391bf4['rows']||[];})['then'](function(){const _0x16c930=_0xdd88b2;return _0x4b2244[_0x16c930(0x2199)][_0x16c930(0xbf7)]({'userProfileId':_0x55a02d[_0x16c930(0xe76)][_0x16c930(0x13c1)],'sectionId':0x3ec})['$promise'];})[_0xdd88b2(0x1cb0)](function(_0x3ad840){const _0x49ce8b=_0xdd88b2,_0x20d388=_0x3ad840&&_0x3ad840['rows']?_0x3ad840['rows'][0x0]:null;if(!_0x20d388)_0x55a02d[_0x49ce8b(0xb4a)]=[];else{if(!_0x20d388[_0x49ce8b(0x12f4)])return _0x4b2244[_0x49ce8b(0x1198)][_0x49ce8b(0xbf7)]({'sectionId':_0x20d388['id']})[_0x49ce8b(0x1d77)]['then'](function(_0x406316){const _0x2843d4=_0x49ce8b,_0x149142=_0x406316&&_0x406316[_0x2843d4(0x2214)]?_0x406316[_0x2843d4(0x2214)]:[],_0x1122ca=[];let _0x33d74d=null;_0x55a02d[_0x2843d4(0x1ac8)]&&(_0x33d74d=_0x39641b()[_0x2843d4(0x13b4)](_0x55a02d[_0x2843d4(0xb4a)],{'name':_0x55a02d[_0x2843d4(0x1ac8)][_0x2843d4(0x1a60)]})),_0x33d74d&&!_0x39641b()[_0x2843d4(0x727)](_0x149142,['resourceId',_0x33d74d['id']])&&_0x55a02d['intervals']['forEach'](function(_0x47aa7a){const _0x5e235c=_0x2843d4;_0x47aa7a['id']===_0x33d74d['id']&&(_0x47aa7a[_0x5e235c(0x15da)]=![],_0x1122ca[_0x5e235c(0x2785)](_0x47aa7a));}),_0x55a02d[_0x2843d4(0xb4a)]=_0x1122ca;});}})[_0xdd88b2(0x1c4)](function(_0x499cf2){const _0x526581=_0xdd88b2;_0x33bc2d[_0x526581(0x218e)]({'title':_0x499cf2['status']?'API:'+_0x499cf2[_0x526581(0x291)]+_0x526581(0x1657)+_0x499cf2[_0x526581(0xc22)]:_0x526581(0xdac),'msg':_0x499cf2[_0x526581(0x25c)]?JSON[_0x526581(0x2701)](_0x499cf2[_0x526581(0x25c)]):_0x499cf2['toString']()});}));function _0x1a8d9a(){const _0x402257=_0xdd88b2;switch(_0x55a02d[_0x402257(0x66a)]){case _0x402257(0x2017):case _0x402257(0x240):return _0x402257(0x965);case'custom':{const _0x323823=[];if(_0x55a02d[_0x402257(0x718)]!=='*'&&_0x55a02d[_0x402257(0x718)]&&_0x55a02d['timeRangeTo']){const _0x11f029=(_0x55a02d[_0x402257(0x718)][_0x402257(0x1169)]()<0xa?'0':'')+_0x55a02d[_0x402257(0x718)]['getHours']()+':'+((_0x55a02d[_0x402257(0x718)][_0x402257(0x851)]()<0xa?'0':'')+_0x55a02d['timeRangeFrom'][_0x402257(0x851)]()),_0x3e8c95=(_0x55a02d[_0x402257(0x64a)]['getHours']()<0xa?'0':'')+_0x55a02d[_0x402257(0x64a)]['getHours']()+':'+((_0x55a02d[_0x402257(0x64a)][_0x402257(0x851)]()<0xa?'0':'')+_0x55a02d['timeRangeTo']['getMinutes']());_0x323823['push'](_0x11f029+'-'+_0x3e8c95);}else _0x323823[_0x402257(0x2785)]('*');return _0x55a02d[_0x402257(0x28a6)]?_0x55a02d['dayOfWeekTo']?_0x323823['push'](_0x55a02d[_0x402257(0x28a6)]+'-'+_0x55a02d['dayOfWeekTo']):_0x323823['push'](_0x55a02d[_0x402257(0x28a6)]):_0x323823[_0x402257(0x2785)]('*'),_0x55a02d[_0x402257(0xd6f)]?_0x55a02d[_0x402257(0x194d)]?_0x323823[_0x402257(0x2785)](_0x55a02d['monthDayFrom']+'-'+_0x55a02d[_0x402257(0x194d)]):_0x323823[_0x402257(0x2785)](_0x55a02d['monthDayFrom']):_0x323823[_0x402257(0x2785)]('*'),_0x55a02d[_0x402257(0x1756)]?_0x55a02d[_0x402257(0xa68)]?_0x323823['push'](_0x55a02d[_0x402257(0x1756)]+'-'+_0x55a02d[_0x402257(0xa68)]):_0x323823[_0x402257(0x2785)](_0x55a02d[_0x402257(0x1756)]):_0x323823[_0x402257(0x2785)]('*'),_0x323823[_0x402257(0x1f66)]();}}}function _0x3e41c3(){const _0x55a8e2=_0xdd88b2;_0x55a02d[_0x55a8e2(0x1a7c)]=[],_0x55a02d[_0x55a8e2(0x1ac8)][_0x55a8e2(0x1ac8)]=_0x1a8d9a(),_0x4b2244[_0x55a8e2(0x1ac8)]['save'](_0x55a02d[_0x55a8e2(0x1ac8)])['$promise'][_0x55a8e2(0x1cb0)](function(_0x306e5c){const _0x254a0f=_0x55a8e2;_0x55a02d[_0x254a0f(0xb4a)][_0x254a0f(0x2785)](_0x306e5c),_0x33bc2d[_0x254a0f(0x829)]({'title':_0x254a0f(0x1d4e),'msg':_0x55a02d[_0x254a0f(0x1ac8)][_0x254a0f(0x16b6)]?_0x55a02d[_0x254a0f(0x1ac8)]['name']+_0x254a0f(0x470):''}),_0x3b645f();})['catch'](function(_0x5e9085){const _0x53dc18=_0x55a8e2;console[_0x53dc18(0x218e)](_0x5e9085),_0x55a02d['errors']=_0x5e9085[_0x53dc18(0x25c)]['errors']||[{'message':_0x5e9085[_0x53dc18(0x147f)](),'type':_0x53dc18(0x24f7)}];});}function _0x98df43(){const _0x14df32=_0xdd88b2;_0x55a02d[_0x14df32(0x1a7c)]=[],_0x55a02d[_0x14df32(0x1ac8)][_0x14df32(0x1ac8)]=_0x1a8d9a(),_0x55a02d[_0x14df32(0x1ac8)][_0x14df32(0x171b)]?(_0x55a02d[_0x14df32(0x66a)]!==_0x14df32(0x240)&&(_0x55a02d[_0x14df32(0x1ac8)]['IntervalId']=null),_0x3b645f(_0x55a02d[_0x14df32(0x1ac8)])):_0x4b2244['interval'][_0x14df32(0x687)]({'id':_0x55a02d[_0x14df32(0x1ac8)]['id']},_0x55a02d['interval'])[_0x14df32(0x1d77)][_0x14df32(0x1cb0)](function(_0x3f9916){const _0x391b75=_0x14df32,_0x58a4f2=_0x39641b()[_0x391b75(0x13b4)](_0x55a02d[_0x391b75(0xb4a)],{'id':_0x3f9916['id']});_0x58a4f2&&_0x39641b()[_0x391b75(0x9c1)](_0x58a4f2,_0x3f9916),_0x33bc2d[_0x391b75(0x829)]({'title':_0x391b75(0x1498),'msg':_0x391b75(0x2994)}),_0x3b645f();})['catch'](function(_0x28a22e){const _0x6f3b3b=_0x14df32;console[_0x6f3b3b(0x218e)](_0x28a22e),_0x55a02d['errors']=_0x28a22e[_0x6f3b3b(0x25c)][_0x6f3b3b(0x1a7c)]||[{'message':_0x28a22e[_0x6f3b3b(0x147f)](),'type':_0x6f3b3b(0x2505)}];});}function _0x3b645f(_0x31156f){_0x2d8d94['hide'](_0x31156f);}}const _0x28316b=_0x3b4326;;_0x243982[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x2702),_0x5537c6(0x83a),'api',_0x5537c6(0x1774),_0x5537c6(0x1b1a)];function _0x243982(_0x168848,_0x27e9d8,_0x112213,_0x4a2841,_0x412b8e,_0x15d5aa,_0x405bb6,_0x40138a){const _0x508d6f=_0x5537c6,_0x54c26c=this;_0x54c26c[_0x508d6f(0xe76)]=_0x405bb6[_0x508d6f(0x21e8)](),_0x54c26c[_0x508d6f(0x1a7c)]=[],_0x54c26c[_0x508d6f(0x1386)]='WHATSAPP.EDIT_'+(_0x4a2841['appType']||_0x4a2841[_0x508d6f(0x22e1)])['toUpperCase'](),_0x54c26c['noop']=angular[_0x508d6f(0x17fe)](_0x4a2841),_0x54c26c[_0x508d6f(0x1b1a)]=_0x40138a,_0x54c26c[_0x508d6f(0xf4c)]={};if(_0x54c26c[_0x508d6f(0x1234)][_0x508d6f(0x28df)])switch(_0x54c26c['noop'][_0x508d6f(0x299b)]?_0x54c26c[_0x508d6f(0x1234)][_0x508d6f(0x299b)][_0x508d6f(0x1680)]():_0x54c26c['noop'][_0x508d6f(0x22e1)][_0x508d6f(0x1680)]()){case'custom':break;case'dialogflow':{const _0x13ee51=_0x54c26c['noop'][_0x508d6f(0x28df)][_0x508d6f(0xbe1)](',');_0x54c26c['noop']['key']=_0x13ee51[0x0],_0x54c26c[_0x508d6f(0x1234)][_0x508d6f(0x12b4)]=_0x13ee51[0x1],_0x54c26c[_0x508d6f(0x1234)]['welcomemessage']=_0x13ee51[_0x508d6f(0x14cb)](0x2,_0x13ee51[_0x508d6f(0xfd0)])[_0x508d6f(0x1f66)](',');}break;case'dialogflowv2':{const _0x4ae2ea=_0x54c26c[_0x508d6f(0x1234)][_0x508d6f(0x28df)][_0x508d6f(0xbe1)](',');_0x54c26c[_0x508d6f(0x1234)][_0x508d6f(0x2854)]=_0x4ae2ea[0x0],_0x54c26c[_0x508d6f(0x1234)][_0x508d6f(0x12bf)]=_0x4ae2ea[0x1],_0x54c26c['noop'][_0x508d6f(0x29d6)]=_0x4ae2ea[0x2],_0x54c26c[_0x508d6f(0x1234)]['language']=_0x4ae2ea[0x3],_0x54c26c[_0x508d6f(0x1234)][_0x508d6f(0x173a)]=_0x4ae2ea['slice'](0x4,_0x4ae2ea[_0x508d6f(0xfd0)])['join'](',');}break;case'amazonlex':{const _0x3d14f3=_0x54c26c[_0x508d6f(0x1234)]['appdata'][_0x508d6f(0xbe1)](',');_0x54c26c['noop'][_0x508d6f(0x413)]=_0x3d14f3[0x0],_0x54c26c[_0x508d6f(0x1234)][_0x508d6f(0x21d9)]=_0x3d14f3[0x1],_0x54c26c[_0x508d6f(0x1234)][_0x508d6f(0xd50)]=_0x3d14f3[0x2],_0x54c26c['noop'][_0x508d6f(0x1c7f)]=_0x3d14f3[0x3],_0x54c26c[_0x508d6f(0x1234)][_0x508d6f(0x173a)]=_0x3d14f3[_0x508d6f(0x14cb)](0x4,_0x3d14f3[_0x508d6f(0xfd0)])['join'](',');}break;case _0x508d6f(0x1f71):{const _0x105713=_0x54c26c['noop']['appdata'][_0x508d6f(0xbe1)](',');_0x54c26c[_0x508d6f(0x1234)][_0x508d6f(0x18a5)]=isNaN(_0x105713[0x0])?_0x105713[0x0]:parseInt(_0x105713[0x0],0xa),_0x54c26c[_0x508d6f(0x1234)]['text']=_0x105713[_0x508d6f(0x14cb)](0x1,_0x105713['length'])['join'](',');}break;case'message':_0x54c26c[_0x508d6f(0x1234)][_0x508d6f(0x19d3)]=_0x54c26c[_0x508d6f(0x1234)][_0x508d6f(0x28df)];break;case _0x508d6f(0x19d1):_0x54c26c[_0x508d6f(0x1234)]['name']=_0x54c26c['noop'][_0x508d6f(0x28df)][_0x508d6f(0xbe1)]('=')[0x0],_0x54c26c[_0x508d6f(0x1234)]['value']=_0x54c26c['noop'][_0x508d6f(0x28df)][_0x508d6f(0xbe1)]('=')[0x1];break;case _0x508d6f(0x4b4):_0x54c26c[_0x508d6f(0x1234)][_0x508d6f(0x12a7)]=_0x54c26c[_0x508d6f(0x1234)][_0x508d6f(0x28df)];break;default:{const _0xff3bac=_0x54c26c[_0x508d6f(0x1234)][_0x508d6f(0x28df)]['split'](',');_0x54c26c[_0x508d6f(0x1234)]['value']=_0x39641b()[_0x508d6f(0xce9)](_0xff3bac[0x0])?_0xff3bac[0x0]:isNaN(_0xff3bac[0x0])?_0xff3bac[0x0]:parseInt(_0xff3bac[0x0],0xa);}break;}else{}_0x54c26c[_0x508d6f(0x1234)][_0x508d6f(0x66a)]&&_0x54c26c[_0x508d6f(0x1234)][_0x508d6f(0x66a)][_0x508d6f(0x1680)]()==='outbound'&&_0x54c26c[_0x508d6f(0x1234)]['appType'][_0x508d6f(0x1680)]()==='outbounddial'&&(_0x54c26c[_0x508d6f(0x1234)]['prefix']=_0x54c26c[_0x508d6f(0x1234)][_0x508d6f(0x1340)]?_0x54c26c['noop'][_0x508d6f(0x1340)]['split']('$')[0x0]:undefined,_0x54c26c[_0x508d6f(0x1234)][_0x508d6f(0x1e7c)]=_0x54c26c[_0x508d6f(0x1234)][_0x508d6f(0x2816)]?_0x508d6f(0x25f9)+_0x54c26c[_0x508d6f(0x1234)]['callerID']:undefined);_0x54c26c['saveWhatsappAccountApp']=_0x1aa97f,_0x54c26c[_0x508d6f(0xda0)]=_0x5463b8;function _0x1aa97f(){const _0x228d80=_0x508d6f;_0x54c26c[_0x228d80(0x1a7c)]=[];const _0x295dcf=[];_0x54c26c['noop'][_0x228d80(0x66a)]&&_0x54c26c[_0x228d80(0x1234)][_0x228d80(0x66a)]['toLowerCase']()===_0x228d80(0x895)&&_0x54c26c['noop'][_0x228d80(0x299b)]===_0x228d80(0x25f4)&&(_0x54c26c[_0x228d80(0x1234)][_0x228d80(0x1340)]=_0x412b8e[_0x228d80(0x325)]?(_0x54c26c[_0x228d80(0x1234)][_0x228d80(0x586)]||'')+_0x228d80(0x19e4)+_0x412b8e[_0x228d80(0x325)]+'}':(_0x54c26c[_0x228d80(0x1234)][_0x228d80(0x586)]||'')+_0x228d80(0xcdd),_0x412b8e[_0x228d80(0xf8d)]!==_0x228d80(0x13b1)?_0x54c26c['noop'][_0x228d80(0x2224)][_0x228d80(0xd8a)]('U(xcally-mixmonitor-context)')<0x0&&(_0x54c26c['noop'][_0x228d80(0x2224)]+=_0x228d80(0x106a)):_0x54c26c[_0x228d80(0x1234)][_0x228d80(0x2224)]=_0x54c26c[_0x228d80(0x1234)]['options'][_0x228d80(0x288f)]('U(xcally-mixmonitor-context)',''));if(_0x54c26c[_0x228d80(0x1234)][_0x228d80(0x299b)]&&_0x54c26c['noop'][_0x228d80(0x299b)]==='custom'){}else switch((_0x54c26c[_0x228d80(0x1234)][_0x228d80(0x22e1)]||_0x54c26c[_0x228d80(0x1234)]['appType'])['toLowerCase']()){case _0x228d80(0x19d1):_0x54c26c[_0x228d80(0x1234)]['appdata']=_0x54c26c[_0x228d80(0x1234)][_0x228d80(0x16b6)]+'='+_0x54c26c[_0x228d80(0x1234)][_0x228d80(0x327)];break;case _0x228d80(0x197c):break;default:_0x295dcf[0x0]=_0x54c26c['noop']['value'],_0x54c26c[_0x228d80(0x1234)][_0x228d80(0x28df)]=_0x295dcf['join'](',');}_0x5463b8(_0x54c26c[_0x228d80(0x1234)]);}function _0x5463b8(_0xecdc45){const _0x9b2907=_0x508d6f;_0x168848[_0x9b2907(0x1426)](_0xecdc45);}}const _0x99ac4e=_0x243982;;_0x51e908[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q','toasty','whatsappAccountApp',_0x5537c6(0x83a),_0x5537c6(0x142b),_0x5537c6(0x1774),'crudPermissions'];function _0x51e908(_0x11a3fd,_0x335dcf,_0x350a52,_0x26acc8,_0x401bb8,_0x4a357f,_0x113594,_0xd1729c){const _0x34e7b7=_0x5537c6,_0x4e5508=this;_0x4e5508['currentUser']=_0x113594[_0x34e7b7(0x21e8)](),_0x4e5508['errors']=[],_0x4e5508[_0x34e7b7(0x1386)]=_0x34e7b7(0x1537)+(_0x26acc8[_0x34e7b7(0x299b)]||_0x26acc8[_0x34e7b7(0x22e1)])['toUpperCase'](),_0x4e5508[_0x34e7b7(0x11cf)]=angular[_0x34e7b7(0x17fe)](_0x26acc8),_0x4e5508[_0x34e7b7(0x1b1a)]=_0xd1729c,_0x4e5508[_0x34e7b7(0xf4c)]={};if(_0x4e5508[_0x34e7b7(0x11cf)][_0x34e7b7(0x28df)])switch(_0x4e5508['queue'][_0x34e7b7(0x299b)]?_0x4e5508[_0x34e7b7(0x11cf)][_0x34e7b7(0x299b)][_0x34e7b7(0x1680)]():_0x4e5508[_0x34e7b7(0x11cf)][_0x34e7b7(0x22e1)][_0x34e7b7(0x1680)]()){case _0x34e7b7(0x197c):break;case'dialogflow':{const _0x4ffb07=_0x4e5508[_0x34e7b7(0x11cf)]['appdata'][_0x34e7b7(0xbe1)](',');_0x4e5508[_0x34e7b7(0x11cf)][_0x34e7b7(0xee8)]=_0x4ffb07[0x0],_0x4e5508[_0x34e7b7(0x11cf)][_0x34e7b7(0x12b4)]=_0x4ffb07[0x1],_0x4e5508['queue'][_0x34e7b7(0x173a)]=_0x4ffb07[_0x34e7b7(0x14cb)](0x2,_0x4ffb07[_0x34e7b7(0xfd0)])['join'](',');}break;case _0x34e7b7(0xece):{const _0x19c62f=_0x4e5508[_0x34e7b7(0x11cf)][_0x34e7b7(0x28df)]['split'](',');_0x4e5508['queue'][_0x34e7b7(0x2854)]=_0x19c62f[0x0],_0x4e5508[_0x34e7b7(0x11cf)]['clientEmail']=_0x19c62f[0x1],_0x4e5508[_0x34e7b7(0x11cf)][_0x34e7b7(0x29d6)]=_0x19c62f[0x2],_0x4e5508['queue'][_0x34e7b7(0x12b4)]=_0x19c62f[0x3],_0x4e5508[_0x34e7b7(0x11cf)][_0x34e7b7(0x173a)]=_0x19c62f[_0x34e7b7(0x14cb)](0x4,_0x19c62f['length'])['join'](',');}break;case _0x34e7b7(0x123a):{const _0x1fc95e=_0x4e5508['queue'][_0x34e7b7(0x28df)][_0x34e7b7(0xbe1)](',');_0x4e5508[_0x34e7b7(0x11cf)][_0x34e7b7(0x413)]=_0x1fc95e[0x0],_0x4e5508[_0x34e7b7(0x11cf)]['secretaccesskey']=_0x1fc95e[0x1],_0x4e5508[_0x34e7b7(0x11cf)][_0x34e7b7(0xd50)]=_0x1fc95e[0x2],_0x4e5508[_0x34e7b7(0x11cf)][_0x34e7b7(0x1c7f)]=_0x1fc95e[0x3],_0x4e5508[_0x34e7b7(0x11cf)][_0x34e7b7(0x173a)]=_0x1fc95e[_0x34e7b7(0x14cb)](0x4,_0x1fc95e[_0x34e7b7(0xfd0)])['join'](',');}break;case _0x34e7b7(0x1f71):{const _0xff6915=_0x4e5508['queue']['appdata'][_0x34e7b7(0xbe1)](',');_0x4e5508[_0x34e7b7(0x11cf)][_0x34e7b7(0x18a5)]=isNaN(_0xff6915[0x0])?_0xff6915[0x0]:parseInt(_0xff6915[0x0],0xa),_0x4e5508[_0x34e7b7(0x11cf)][_0x34e7b7(0x19d3)]=_0xff6915['slice'](0x1,_0xff6915[_0x34e7b7(0xfd0)])[_0x34e7b7(0x1f66)](',');}break;case _0x34e7b7(0x155e):_0x4e5508['queue'][_0x34e7b7(0x19d3)]=_0x4e5508[_0x34e7b7(0x11cf)][_0x34e7b7(0x28df)];break;case _0x34e7b7(0x19d1):_0x4e5508[_0x34e7b7(0x11cf)][_0x34e7b7(0x16b6)]=_0x4e5508[_0x34e7b7(0x11cf)][_0x34e7b7(0x28df)]['split']('=')[0x0],_0x4e5508[_0x34e7b7(0x11cf)][_0x34e7b7(0x327)]=_0x4e5508[_0x34e7b7(0x11cf)][_0x34e7b7(0x28df)]['split']('=')[0x1];break;case _0x34e7b7(0x4b4):_0x4e5508['queue'][_0x34e7b7(0x12a7)]=_0x4e5508[_0x34e7b7(0x11cf)][_0x34e7b7(0x28df)];break;default:{const _0x3fb642=_0x4e5508[_0x34e7b7(0x11cf)][_0x34e7b7(0x28df)][_0x34e7b7(0xbe1)](',');_0x4e5508['queue'][_0x34e7b7(0x11cf)]=_0x39641b()[_0x34e7b7(0xce9)](_0x3fb642[0x0])?_0x3fb642[0x0]:isNaN(_0x3fb642[0x0])?_0x3fb642[0x0]:parseInt(_0x3fb642[0x0],0xa),_0x4e5508[_0x34e7b7(0x11cf)]['timeout']=_0x39641b()[_0x34e7b7(0xce9)](_0x3fb642[0x1])?_0x3fb642[0x1]:isNaN(_0x3fb642[0x1])?_0x3fb642[0x1]:parseInt(_0x3fb642[0x1],0xa);}break;}else _0x4e5508['queue']['queue']=0x12c,_0x4e5508[_0x34e7b7(0x11cf)]['timeout']=0x12c;_0x4e5508[_0x34e7b7(0x11cf)]['type']&&_0x4e5508['queue']['type'][_0x34e7b7(0x1680)]()===_0x34e7b7(0x895)&&_0x4e5508[_0x34e7b7(0x11cf)][_0x34e7b7(0x299b)][_0x34e7b7(0x1680)]()===_0x34e7b7(0x20ff)&&(_0x4e5508['queue'][_0x34e7b7(0x586)]=_0x4e5508['queue'][_0x34e7b7(0x1340)]?_0x4e5508[_0x34e7b7(0x11cf)][_0x34e7b7(0x1340)][_0x34e7b7(0xbe1)]('$')[0x0]:undefined,_0x4e5508[_0x34e7b7(0x11cf)][_0x34e7b7(0x1e7c)]=_0x4e5508['queue']['callerID']?_0x34e7b7(0x25f9)+_0x4e5508['queue'][_0x34e7b7(0x2816)]:undefined);_0x4e5508[_0x34e7b7(0x2357)]=_0x1bc582,_0x4e5508[_0x34e7b7(0xda0)]=_0x214c9a,_0x113594[_0x34e7b7(0x22b6)](_0x34e7b7(0x1c60))?_0x4a357f[_0x34e7b7(0xea8)][_0x34e7b7(0xbf7)]({'fields':'id,name,type','sort':_0x34e7b7(0x16b6),'nolimit':_0x34e7b7(0x44d)})['$promise']['then'](function(_0xfb5e9d){const _0x4c68dc=_0x34e7b7;_0x4e5508[_0x4c68dc(0x971)]=_0xfb5e9d[_0x4c68dc(0x2214)]||[];})[_0x34e7b7(0x1c4)](function(_0x4ae8b1){const _0x2760c3=_0x34e7b7;_0x350a52[_0x2760c3(0x218e)]({'title':_0x4ae8b1[_0x2760c3(0x291)]?_0x2760c3(0xeb9)+_0x4ae8b1[_0x2760c3(0x291)]+_0x2760c3(0x1657)+_0x4ae8b1['statusText']:'SYSTEM:GET_QUEUES','msg':_0x4ae8b1[_0x2760c3(0x25c)]?JSON[_0x2760c3(0x2701)](_0x4ae8b1[_0x2760c3(0x25c)]):_0x4ae8b1[_0x2760c3(0x147f)]()});}):_0x4a357f['whatsappQueue'][_0x34e7b7(0xbf7)]({'fields':'id,name,type','sort':_0x34e7b7(0x16b6),'nolimit':_0x34e7b7(0x44d)})[_0x34e7b7(0x1d77)]['then'](function(_0x5d118a){const _0x59702d=_0x34e7b7;_0x4e5508[_0x59702d(0x971)]=_0x5d118a[_0x59702d(0x2214)]||[];})[_0x34e7b7(0x1cb0)](function(){const _0x30956b=_0x34e7b7;return _0x4a357f[_0x30956b(0x2199)][_0x30956b(0xbf7)]({'userProfileId':_0x4e5508[_0x30956b(0xe76)][_0x30956b(0x13c1)],'sectionId':0x836})['$promise'];})[_0x34e7b7(0x1cb0)](function(_0x3a4c65){const _0x5e7340=_0x34e7b7,_0x373a88=_0x3a4c65&&_0x3a4c65['rows']?_0x3a4c65[_0x5e7340(0x2214)][0x0]:null;if(!_0x373a88){const _0x4c0038=[];let _0x3f4e13=null;_0x4e5508[_0x5e7340(0x11cf)]&&(_0x3f4e13=_0x39641b()[_0x5e7340(0x13b4)](_0x4e5508[_0x5e7340(0x971)],{'name':_0x4e5508[_0x5e7340(0x11cf)]['queue']}));for(let _0x3951a8=0x0;_0x3951a8<_0x4e5508['queues'][_0x5e7340(0xfd0)];_0x3951a8++){_0x3f4e13&&_0x4e5508[_0x5e7340(0x971)][_0x3951a8]['id']===_0x3f4e13['id']&&(_0x4e5508[_0x5e7340(0x971)][_0x3951a8][_0x5e7340(0x15da)]=![],_0x4c0038[_0x5e7340(0x2785)](_0x4e5508['queues'][_0x3951a8]));}_0x4e5508[_0x5e7340(0x971)]=_0x4c0038;}else{if(!_0x373a88[_0x5e7340(0x12f4)])return _0x4a357f[_0x5e7340(0x1198)]['get']({'sectionId':_0x373a88['id']})[_0x5e7340(0x1d77)][_0x5e7340(0x1cb0)](function(_0x5873e7){const _0x140e3=_0x5e7340,_0x550207=_0x39641b()[_0x140e3(0x1de2)](_0x5873e7[_0x140e3(0x2214)],function(_0x1cf333){const _0x3edfd1=_0x140e3;return _0x39641b()[_0x3edfd1(0x13b4)](_0x4e5508['queues'],{'id':_0x1cf333['resourceId']});});let _0x19bb3f=null;_0x4e5508[_0x140e3(0x11cf)]&&(_0x19bb3f=_0x39641b()[_0x140e3(0x13b4)](_0x4e5508[_0x140e3(0x971)],{'name':_0x4e5508[_0x140e3(0x11cf)][_0x140e3(0x11cf)]}));if(_0x19bb3f&&!_0x39641b()['some'](_0x550207,['id',_0x19bb3f['id']])){const _0x56b1b1=_0x39641b()[_0x140e3(0x13b4)](_0x4e5508[_0x140e3(0x971)],{'id':_0x19bb3f['id']});_0x56b1b1[_0x140e3(0x15da)]=![],_0x550207[_0x140e3(0x2785)](_0x56b1b1);}_0x4e5508['queues']=_0x550207;});}})[_0x34e7b7(0x1c4)](function(_0xe80547){const _0x2dd117=_0x34e7b7;_0x350a52['error']({'title':_0xe80547[_0x2dd117(0x291)]?'API:'+_0xe80547['status']+_0x2dd117(0x1657)+_0xe80547['statusText']:_0x2dd117(0x1af2),'msg':_0xe80547[_0x2dd117(0x25c)]?JSON['stringify'](_0xe80547[_0x2dd117(0x25c)]):_0xe80547[_0x2dd117(0x147f)]()});});function _0x1bc582(){const _0x2a8829=_0x34e7b7;_0x4e5508['errors']=[];const _0x1672bd=[];_0x4e5508[_0x2a8829(0x11cf)][_0x2a8829(0x66a)]&&_0x4e5508[_0x2a8829(0x11cf)]['type'][_0x2a8829(0x1680)]()===_0x2a8829(0x895)&&_0x4e5508[_0x2a8829(0x11cf)][_0x2a8829(0x299b)]===_0x2a8829(0x25f4)&&(_0x4e5508['queue'][_0x2a8829(0x1340)]=_0x401bb8[_0x2a8829(0x325)]?(_0x4e5508[_0x2a8829(0x11cf)][_0x2a8829(0x586)]||'')+_0x2a8829(0x19e4)+_0x401bb8['cutdigits']+'}':(_0x4e5508['queue'][_0x2a8829(0x586)]||'')+_0x2a8829(0xcdd),_0x401bb8[_0x2a8829(0xf8d)]!==_0x2a8829(0x13b1)?_0x4e5508['queue'][_0x2a8829(0x2224)][_0x2a8829(0xd8a)](_0x2a8829(0x106a))<0x0&&(_0x4e5508['queue'][_0x2a8829(0x2224)]+=_0x2a8829(0x106a)):_0x4e5508[_0x2a8829(0x11cf)]['options']=_0x4e5508[_0x2a8829(0x11cf)][_0x2a8829(0x2224)][_0x2a8829(0x288f)](_0x2a8829(0x106a),''));const _0x3db601=_0x39641b()[_0x2a8829(0x13b4)](_0x4e5508[_0x2a8829(0x971)],{'name':_0x4e5508[_0x2a8829(0x11cf)][_0x2a8829(0x11cf)]});_0x3db601&&(_0x4e5508[_0x2a8829(0x11cf)][_0x39641b()[_0x2a8829(0x432)]('whatsapp')+'QueueId']=_0x3db601['id']);if(_0x4e5508[_0x2a8829(0x11cf)]['appType']&&_0x4e5508[_0x2a8829(0x11cf)][_0x2a8829(0x299b)]==='custom'){}else switch((_0x4e5508[_0x2a8829(0x11cf)]['app']||_0x4e5508['queue']['appType'])[_0x2a8829(0x1680)]()){case _0x2a8829(0x19d1):_0x4e5508[_0x2a8829(0x11cf)][_0x2a8829(0x28df)]=_0x4e5508[_0x2a8829(0x11cf)][_0x2a8829(0x16b6)]+'='+_0x4e5508['queue'][_0x2a8829(0x327)];break;case _0x2a8829(0x197c):break;default:_0x1672bd[0x0]=_0x4e5508['queue'][_0x2a8829(0x11cf)],_0x1672bd[0x1]=_0x4e5508[_0x2a8829(0x11cf)][_0x2a8829(0x1719)],_0x4e5508['queue'][_0x2a8829(0x28df)]=_0x1672bd[_0x2a8829(0x1f66)](',');}_0x214c9a(_0x4e5508['queue']);}function _0x214c9a(_0x1f69c8){const _0x3d1235=_0x34e7b7;_0x11a3fd[_0x3d1235(0x1426)](_0x1f69c8);}}const _0x203d1c=_0x51e908;;_0x55f9d1[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),'whatsappAccountApp','whatsappAccount',_0x5537c6(0x142b),'Auth','crudPermissions'];function _0x55f9d1(_0x249514,_0x385a63,_0xcbe37d,_0x30ecb9,_0x363633,_0x2aec03,_0x7dd843,_0x4705a9){const _0x3175bc=_0x5537c6,_0x1e9c27=this;_0x1e9c27[_0x3175bc(0xe76)]=_0x7dd843[_0x3175bc(0x21e8)](),_0x1e9c27[_0x3175bc(0x1a7c)]=[],_0x1e9c27[_0x3175bc(0x1386)]='WHATSAPP.EDIT_'+(_0x30ecb9[_0x3175bc(0x299b)]||_0x30ecb9[_0x3175bc(0x22e1)])[_0x3175bc(0x2335)](),_0x1e9c27['system']=angular[_0x3175bc(0x17fe)](_0x30ecb9),_0x1e9c27[_0x3175bc(0x1b1a)]=_0x4705a9,_0x1e9c27[_0x3175bc(0xf4c)]={};if(_0x1e9c27[_0x3175bc(0x7be)][_0x3175bc(0x28df)])switch(_0x1e9c27['system'][_0x3175bc(0x299b)]?_0x1e9c27['system'][_0x3175bc(0x299b)]['toLowerCase']():_0x1e9c27[_0x3175bc(0x7be)][_0x3175bc(0x22e1)][_0x3175bc(0x1680)]()){case'custom':break;case _0x3175bc(0x711):{const _0xc63174=_0x1e9c27[_0x3175bc(0x7be)][_0x3175bc(0x28df)][_0x3175bc(0xbe1)](',');_0x1e9c27['system'][_0x3175bc(0xee8)]=_0xc63174[0x0],_0x1e9c27[_0x3175bc(0x7be)][_0x3175bc(0x12b4)]=_0xc63174[0x1],_0x1e9c27[_0x3175bc(0x7be)]['welcomemessage']=_0xc63174[_0x3175bc(0x14cb)](0x2,_0xc63174[_0x3175bc(0xfd0)])[_0x3175bc(0x1f66)](',');}break;case _0x3175bc(0xece):{const _0x3a7862=_0x1e9c27[_0x3175bc(0x7be)][_0x3175bc(0x28df)][_0x3175bc(0xbe1)](',');_0x1e9c27['system'][_0x3175bc(0x2854)]=_0x3a7862[0x0],_0x1e9c27['system'][_0x3175bc(0x12bf)]=_0x3a7862[0x1],_0x1e9c27[_0x3175bc(0x7be)]['privateKey']=_0x3a7862[0x2],_0x1e9c27[_0x3175bc(0x7be)][_0x3175bc(0x12b4)]=_0x3a7862[0x3],_0x1e9c27[_0x3175bc(0x7be)]['welcomemessage']=_0x3a7862[_0x3175bc(0x14cb)](0x4,_0x3a7862[_0x3175bc(0xfd0)])[_0x3175bc(0x1f66)](',');}break;case _0x3175bc(0x123a):{const _0x1c7b7b=_0x1e9c27[_0x3175bc(0x7be)][_0x3175bc(0x28df)]['split'](',');_0x1e9c27['system']['accesskeyid']=_0x1c7b7b[0x0],_0x1e9c27[_0x3175bc(0x7be)][_0x3175bc(0x21d9)]=_0x1c7b7b[0x1],_0x1e9c27[_0x3175bc(0x7be)]['lexregion']=_0x1c7b7b[0x2],_0x1e9c27['system'][_0x3175bc(0x1c7f)]=_0x1c7b7b[0x3],_0x1e9c27['system'][_0x3175bc(0x173a)]=_0x1c7b7b[_0x3175bc(0x14cb)](0x4,_0x1c7b7b[_0x3175bc(0xfd0)])[_0x3175bc(0x1f66)](',');}break;case _0x3175bc(0x1f71):{const _0xbb423c=_0x1e9c27['system'][_0x3175bc(0x28df)][_0x3175bc(0xbe1)](',');_0x1e9c27[_0x3175bc(0x7be)][_0x3175bc(0x18a5)]=isNaN(_0xbb423c[0x0])?_0xbb423c[0x0]:parseInt(_0xbb423c[0x0],0xa),_0x1e9c27[_0x3175bc(0x7be)][_0x3175bc(0x19d3)]=_0xbb423c['slice'](0x1,_0xbb423c[_0x3175bc(0xfd0)])['join'](',');}break;case _0x3175bc(0x155e):_0x1e9c27[_0x3175bc(0x7be)][_0x3175bc(0x19d3)]=_0x1e9c27[_0x3175bc(0x7be)][_0x3175bc(0x28df)];break;case _0x3175bc(0x19d1):_0x1e9c27[_0x3175bc(0x7be)][_0x3175bc(0x16b6)]=_0x1e9c27[_0x3175bc(0x7be)][_0x3175bc(0x28df)][_0x3175bc(0xbe1)]('=')[0x0],_0x1e9c27[_0x3175bc(0x7be)][_0x3175bc(0x327)]=_0x1e9c27[_0x3175bc(0x7be)][_0x3175bc(0x28df)][_0x3175bc(0xbe1)]('=')[0x1];break;case'agi':_0x1e9c27[_0x3175bc(0x7be)][_0x3175bc(0x12a7)]=_0x1e9c27[_0x3175bc(0x7be)][_0x3175bc(0x28df)];break;default:{const _0x1567f3=_0x1e9c27[_0x3175bc(0x7be)][_0x3175bc(0x28df)]['split'](',');_0x1e9c27[_0x3175bc(0x7be)]['command']=_0x39641b()[_0x3175bc(0xce9)](_0x1567f3[0x0])?_0x1567f3[0x0]:isNaN(_0x1567f3[0x0])?_0x1567f3[0x0]:parseInt(_0x1567f3[0x0],0xa),_0x1e9c27[_0x3175bc(0x7be)][_0x3175bc(0x1822)]=_0x39641b()[_0x3175bc(0xce9)](_0x1567f3[0x1])?_0x1567f3[0x1]:isNaN(_0x1567f3[0x1])?_0x1567f3[0x1]:parseInt(_0x1567f3[0x1],0xa);}break;}else _0x1e9c27[_0x3175bc(0x7be)]['variable']='';_0x1e9c27[_0x3175bc(0x7be)][_0x3175bc(0x66a)]&&_0x1e9c27[_0x3175bc(0x7be)][_0x3175bc(0x66a)][_0x3175bc(0x1680)]()===_0x3175bc(0x895)&&_0x1e9c27[_0x3175bc(0x7be)][_0x3175bc(0x299b)][_0x3175bc(0x1680)]()===_0x3175bc(0x20ff)&&(_0x1e9c27[_0x3175bc(0x7be)][_0x3175bc(0x586)]=_0x1e9c27['system']['phone']?_0x1e9c27['system'][_0x3175bc(0x1340)]['split']('$')[0x0]:undefined,_0x1e9c27[_0x3175bc(0x7be)]['callerId']=_0x1e9c27['system'][_0x3175bc(0x2816)]?_0x3175bc(0x25f9)+_0x1e9c27[_0x3175bc(0x7be)][_0x3175bc(0x2816)]:undefined);_0x1e9c27['saveWhatsappAccountApp']=_0x1b7f69,_0x1e9c27[_0x3175bc(0xda0)]=_0x38e237,_0x7dd843['hasRole'](_0x3175bc(0x1c60))?_0x2aec03['variable'][_0x3175bc(0xbf7)]({'fields':_0x3175bc(0x43c),'sort':'name','nolimit':_0x3175bc(0x44d)})[_0x3175bc(0x1d77)][_0x3175bc(0x1cb0)](function(_0x55424e){const _0x9e81dd=_0x3175bc;_0x1e9c27[_0x9e81dd(0x9a9)]=_0x55424e[_0x9e81dd(0x2214)]||[];})['catch'](function(_0x5be1f0){const _0x393295=_0x3175bc;_0xcbe37d[_0x393295(0x218e)]({'title':_0x5be1f0[_0x393295(0x291)]?_0x393295(0xeb9)+_0x5be1f0['status']+_0x393295(0x1657)+_0x5be1f0[_0x393295(0xc22)]:'SYSTEM:GET_VARIABLES','msg':_0x5be1f0['data']?JSON[_0x393295(0x2701)](_0x5be1f0[_0x393295(0x25c)]):_0x5be1f0['toString']()});}):_0x2aec03[_0x3175bc(0x1822)][_0x3175bc(0xbf7)]({'fields':_0x3175bc(0x43c),'sort':_0x3175bc(0x16b6),'nolimit':'true'})[_0x3175bc(0x1d77)][_0x3175bc(0x1cb0)](function(_0x189b09){const _0x13253d=_0x3175bc;_0x1e9c27[_0x13253d(0x9a9)]=_0x189b09[_0x13253d(0x2214)]||[];})[_0x3175bc(0x1cb0)](function(){const _0x188c79=_0x3175bc;return _0x2aec03[_0x188c79(0x2199)][_0x188c79(0xbf7)]({'userProfileId':_0x1e9c27[_0x188c79(0xe76)][_0x188c79(0x13c1)],'sectionId':0x3f4})[_0x188c79(0x1d77)];})[_0x3175bc(0x1cb0)](function(_0x2d4275){const _0x3c47d9=_0x3175bc,_0x2711ac=_0x2d4275&&_0x2d4275[_0x3c47d9(0x2214)]?_0x2d4275[_0x3c47d9(0x2214)][0x0]:null;if(!_0x2711ac){const _0x149eb2=[];let _0x2ed35d=null;_0x1e9c27[_0x3c47d9(0x7be)]&&(_0x2ed35d=_0x39641b()[_0x3c47d9(0x13b4)](_0x1e9c27[_0x3c47d9(0x9a9)],{'name':_0x1e9c27[_0x3c47d9(0x7be)][_0x3c47d9(0x1822)]}));for(let _0x379309=0x0;_0x379309<_0x1e9c27['variables'][_0x3c47d9(0xfd0)];_0x379309++){_0x2ed35d&&_0x1e9c27['variables'][_0x379309]['id']===_0x2ed35d['id']&&(_0x1e9c27[_0x3c47d9(0x9a9)][_0x379309][_0x3c47d9(0x15da)]=![],_0x149eb2[_0x3c47d9(0x2785)](_0x1e9c27['variables'][_0x379309]));}_0x1e9c27[_0x3c47d9(0x9a9)]=_0x149eb2;}else{if(!_0x2711ac[_0x3c47d9(0x12f4)])return _0x2aec03[_0x3c47d9(0x1198)][_0x3c47d9(0xbf7)]({'sectionId':_0x2711ac['id']})[_0x3c47d9(0x1d77)][_0x3c47d9(0x1cb0)](function(_0x4b1b06){const _0x3f81c0=_0x3c47d9,_0x239442=_0x39641b()[_0x3f81c0(0x1de2)](_0x4b1b06['rows'],function(_0x4beb8f){const _0x4f7bd6=_0x3f81c0;return _0x39641b()[_0x4f7bd6(0x13b4)](_0x1e9c27[_0x4f7bd6(0x9a9)],{'id':_0x4beb8f[_0x4f7bd6(0x2982)]});});let _0xe3a67d=null;_0x1e9c27[_0x3f81c0(0x7be)]&&(_0xe3a67d=_0x39641b()[_0x3f81c0(0x13b4)](_0x1e9c27[_0x3f81c0(0x9a9)],{'name':_0x1e9c27[_0x3f81c0(0x7be)][_0x3f81c0(0x1822)]}));if(_0xe3a67d&&!_0x39641b()[_0x3f81c0(0x727)](_0x239442,['id',_0xe3a67d['id']])){const _0x1778af=_0x39641b()['find'](_0x1e9c27[_0x3f81c0(0x9a9)],{'id':_0xe3a67d['id']});_0x1778af[_0x3f81c0(0x15da)]=![],_0x239442[_0x3f81c0(0x2785)](_0x1778af);}_0x1e9c27[_0x3f81c0(0x9a9)]=_0x239442;});}})[_0x3175bc(0x1c4)](function(_0x282adc){const _0x2ee229=_0x3175bc;_0xcbe37d[_0x2ee229(0x218e)]({'title':_0x282adc[_0x2ee229(0x291)]?_0x2ee229(0xeb9)+_0x282adc[_0x2ee229(0x291)]+_0x2ee229(0x1657)+_0x282adc[_0x2ee229(0xc22)]:'SYSTEM:GETvariables','msg':_0x282adc[_0x2ee229(0x25c)]?JSON['stringify'](_0x282adc[_0x2ee229(0x25c)]):_0x282adc[_0x2ee229(0x147f)]()});});function _0x1b7f69(){const _0xaa492f=_0x3175bc;_0x1e9c27[_0xaa492f(0x1a7c)]=[];const _0x6080cd=[];_0x1e9c27[_0xaa492f(0x7be)][_0xaa492f(0x66a)]&&_0x1e9c27[_0xaa492f(0x7be)][_0xaa492f(0x66a)]['toLowerCase']()===_0xaa492f(0x895)&&_0x1e9c27[_0xaa492f(0x7be)][_0xaa492f(0x299b)]===_0xaa492f(0x25f4)&&(_0x1e9c27[_0xaa492f(0x7be)]['phone']=_0x363633[_0xaa492f(0x325)]?(_0x1e9c27[_0xaa492f(0x7be)]['prefix']||'')+_0xaa492f(0x19e4)+_0x363633[_0xaa492f(0x325)]+'}':(_0x1e9c27[_0xaa492f(0x7be)][_0xaa492f(0x586)]||'')+_0xaa492f(0xcdd),_0x363633[_0xaa492f(0xf8d)]!==_0xaa492f(0x13b1)?_0x1e9c27['system']['options'][_0xaa492f(0xd8a)]('U(xcally-mixmonitor-context)')<0x0&&(_0x1e9c27[_0xaa492f(0x7be)][_0xaa492f(0x2224)]+=_0xaa492f(0x106a)):_0x1e9c27[_0xaa492f(0x7be)][_0xaa492f(0x2224)]=_0x1e9c27['system'][_0xaa492f(0x2224)]['replace']('U(xcally-mixmonitor-context)',''));if(_0x1e9c27[_0xaa492f(0x7be)][_0xaa492f(0x299b)]&&_0x1e9c27['system']['appType']===_0xaa492f(0x197c)){}else switch((_0x1e9c27['system'][_0xaa492f(0x22e1)]||_0x1e9c27[_0xaa492f(0x7be)][_0xaa492f(0x299b)])[_0xaa492f(0x1680)]()){case _0xaa492f(0x19d1):_0x1e9c27['system'][_0xaa492f(0x28df)]=_0x1e9c27['system']['name']+'='+_0x1e9c27['system'][_0xaa492f(0x327)];break;case _0xaa492f(0x197c):break;default:_0x6080cd[0x0]=_0x1e9c27[_0xaa492f(0x7be)][_0xaa492f(0xd0d)],_0x6080cd[0x1]=_0x1e9c27[_0xaa492f(0x7be)][_0xaa492f(0x1822)],_0x1e9c27[_0xaa492f(0x7be)][_0xaa492f(0x28df)]=_0x6080cd['join'](',');}_0x38e237(_0x1e9c27['system']);}function _0x38e237(_0x11dd62){const _0x21c342=_0x3175bc;_0x249514[_0x21c342(0x1426)](_0x11dd62);}}const _0x7a1ec7=_0x55f9d1;;_0x48ce1a[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x2702),_0x5537c6(0x83a),_0x5537c6(0x142b),_0x5537c6(0x1774),'crudPermissions'];function _0x48ce1a(_0xbb249b,_0x381837,_0x79ebdf,_0x3bc206,_0x1e3126,_0x5deffb,_0x59789b,_0x5d365c){const _0x4bcef1=_0x5537c6,_0x1c8587=this;_0x1c8587['currentUser']=_0x59789b['getCurrentUser'](),_0x1c8587[_0x4bcef1(0x1a7c)]=[],_0x1c8587[_0x4bcef1(0x1386)]='WHATSAPP.EDIT_'+(_0x3bc206[_0x4bcef1(0x299b)]||_0x3bc206[_0x4bcef1(0x22e1)])[_0x4bcef1(0x2335)](),_0x1c8587['tag']=angular[_0x4bcef1(0x17fe)](_0x3bc206),_0x1c8587['crudPermissions']=_0x5d365c,_0x1c8587[_0x4bcef1(0xf4c)]={};if(_0x1c8587[_0x4bcef1(0x22b1)][_0x4bcef1(0x28df)])switch(_0x1c8587[_0x4bcef1(0x22b1)][_0x4bcef1(0x299b)]?_0x1c8587[_0x4bcef1(0x22b1)][_0x4bcef1(0x299b)]['toLowerCase']():_0x1c8587[_0x4bcef1(0x22b1)][_0x4bcef1(0x22e1)][_0x4bcef1(0x1680)]()){case'custom':break;case _0x4bcef1(0x711):{const _0x24307e=_0x1c8587['tag'][_0x4bcef1(0x28df)][_0x4bcef1(0xbe1)](',');_0x1c8587[_0x4bcef1(0x22b1)][_0x4bcef1(0xee8)]=_0x24307e[0x0],_0x1c8587[_0x4bcef1(0x22b1)][_0x4bcef1(0x12b4)]=_0x24307e[0x1],_0x1c8587[_0x4bcef1(0x22b1)]['welcomemessage']=_0x24307e['slice'](0x2,_0x24307e[_0x4bcef1(0xfd0)])[_0x4bcef1(0x1f66)](',');}break;case _0x4bcef1(0xece):{const _0x5ec346=_0x1c8587['tag'][_0x4bcef1(0x28df)][_0x4bcef1(0xbe1)](',');_0x1c8587['tag'][_0x4bcef1(0x2854)]=_0x5ec346[0x0],_0x1c8587[_0x4bcef1(0x22b1)][_0x4bcef1(0x12bf)]=_0x5ec346[0x1],_0x1c8587['tag'][_0x4bcef1(0x29d6)]=_0x5ec346[0x2],_0x1c8587[_0x4bcef1(0x22b1)][_0x4bcef1(0x12b4)]=_0x5ec346[0x3],_0x1c8587[_0x4bcef1(0x22b1)][_0x4bcef1(0x173a)]=_0x5ec346[_0x4bcef1(0x14cb)](0x4,_0x5ec346['length'])[_0x4bcef1(0x1f66)](',');}break;case _0x4bcef1(0x123a):{const _0x29966a=_0x1c8587['tag'][_0x4bcef1(0x28df)][_0x4bcef1(0xbe1)](',');_0x1c8587['tag']['accesskeyid']=_0x29966a[0x0],_0x1c8587[_0x4bcef1(0x22b1)]['secretaccesskey']=_0x29966a[0x1],_0x1c8587[_0x4bcef1(0x22b1)][_0x4bcef1(0xd50)]=_0x29966a[0x2],_0x1c8587[_0x4bcef1(0x22b1)]['botname']=_0x29966a[0x3],_0x1c8587[_0x4bcef1(0x22b1)]['welcomemessage']=_0x29966a[_0x4bcef1(0x14cb)](0x4,_0x29966a['length'])['join'](',');}break;case _0x4bcef1(0x1f71):{const _0x29e5b0=_0x1c8587[_0x4bcef1(0x22b1)]['appdata'][_0x4bcef1(0xbe1)](',');_0x1c8587['tag'][_0x4bcef1(0x18a5)]=isNaN(_0x29e5b0[0x0])?_0x29e5b0[0x0]:parseInt(_0x29e5b0[0x0],0xa),_0x1c8587[_0x4bcef1(0x22b1)][_0x4bcef1(0x19d3)]=_0x29e5b0[_0x4bcef1(0x14cb)](0x1,_0x29e5b0[_0x4bcef1(0xfd0)])['join'](',');}break;case _0x4bcef1(0x155e):_0x1c8587[_0x4bcef1(0x22b1)][_0x4bcef1(0x19d3)]=_0x1c8587['tag']['appdata'];break;case _0x4bcef1(0x19d1):_0x1c8587[_0x4bcef1(0x22b1)][_0x4bcef1(0x16b6)]=_0x1c8587[_0x4bcef1(0x22b1)]['appdata'][_0x4bcef1(0xbe1)]('=')[0x0],_0x1c8587[_0x4bcef1(0x22b1)]['value']=_0x1c8587['tag'][_0x4bcef1(0x28df)]['split']('=')[0x1];break;case _0x4bcef1(0x4b4):_0x1c8587[_0x4bcef1(0x22b1)][_0x4bcef1(0x12a7)]=_0x1c8587[_0x4bcef1(0x22b1)][_0x4bcef1(0x28df)];break;default:{const _0x566a36=_0x1c8587[_0x4bcef1(0x22b1)]['appdata']['split'](',');_0x1c8587[_0x4bcef1(0x22b1)]['tag']=_0x39641b()[_0x4bcef1(0xce9)](_0x566a36[0x0])?_0x566a36[0x0]:isNaN(_0x566a36[0x0])?_0x566a36[0x0]:parseInt(_0x566a36[0x0],0xa);}break;}else{}_0x1c8587[_0x4bcef1(0x22b1)][_0x4bcef1(0x66a)]&&_0x1c8587['tag'][_0x4bcef1(0x66a)][_0x4bcef1(0x1680)]()===_0x4bcef1(0x895)&&_0x1c8587[_0x4bcef1(0x22b1)][_0x4bcef1(0x299b)]['toLowerCase']()===_0x4bcef1(0x20ff)&&(_0x1c8587[_0x4bcef1(0x22b1)]['prefix']=_0x1c8587[_0x4bcef1(0x22b1)][_0x4bcef1(0x1340)]?_0x1c8587[_0x4bcef1(0x22b1)][_0x4bcef1(0x1340)][_0x4bcef1(0xbe1)]('$')[0x0]:undefined,_0x1c8587['tag'][_0x4bcef1(0x1e7c)]=_0x1c8587[_0x4bcef1(0x22b1)][_0x4bcef1(0x2816)]?_0x4bcef1(0x25f9)+_0x1c8587[_0x4bcef1(0x22b1)][_0x4bcef1(0x2816)]:undefined);_0x1c8587[_0x4bcef1(0x2357)]=_0xcc7d8d,_0x1c8587['closeDialog']=_0x4090cd,_0x59789b[_0x4bcef1(0x22b6)](_0x4bcef1(0x1c60))?_0x5deffb[_0x4bcef1(0x22b1)][_0x4bcef1(0xbf7)]({'fields':_0x4bcef1(0x43c),'sort':_0x4bcef1(0x16b6),'nolimit':_0x4bcef1(0x44d)})[_0x4bcef1(0x1d77)][_0x4bcef1(0x1cb0)](function(_0x50e3f9){const _0x2965d1=_0x4bcef1;_0x1c8587[_0x2965d1(0xfbf)]=_0x50e3f9[_0x2965d1(0x2214)]||[];})['catch'](function(_0x2619bd){const _0x1840bd=_0x4bcef1;_0x79ebdf[_0x1840bd(0x218e)]({'title':_0x2619bd['status']?_0x1840bd(0xeb9)+_0x2619bd[_0x1840bd(0x291)]+'\x20-\x20'+_0x2619bd[_0x1840bd(0xc22)]:_0x1840bd(0x12f9),'msg':_0x2619bd[_0x1840bd(0x25c)]?JSON[_0x1840bd(0x2701)](_0x2619bd[_0x1840bd(0x25c)]):_0x2619bd[_0x1840bd(0x147f)]()});}):_0x5deffb[_0x4bcef1(0x22b1)][_0x4bcef1(0xbf7)]({'fields':_0x4bcef1(0x43c),'sort':'name','nolimit':_0x4bcef1(0x44d)})[_0x4bcef1(0x1d77)][_0x4bcef1(0x1cb0)](function(_0x130707){const _0x4afe3a=_0x4bcef1;_0x1c8587['tags']=_0x130707[_0x4afe3a(0x2214)]||[];})[_0x4bcef1(0x1cb0)](function(){const _0x2f6e50=_0x4bcef1;return _0x5deffb[_0x2f6e50(0x2199)][_0x2f6e50(0xbf7)]({'userProfileId':_0x1c8587[_0x2f6e50(0xe76)][_0x2f6e50(0x13c1)],'sectionId':0x3f0})[_0x2f6e50(0x1d77)];})[_0x4bcef1(0x1cb0)](function(_0x2f1535){const _0xadaaee=_0x4bcef1,_0x3d7cae=_0x2f1535&&_0x2f1535['rows']?_0x2f1535[_0xadaaee(0x2214)][0x0]:null;if(!_0x3d7cae){const _0x33709a=[];let _0x10689a=null;_0x1c8587['tag']&&(_0x10689a=_0x39641b()[_0xadaaee(0x13b4)](_0x1c8587['tags'],{'name':_0x1c8587[_0xadaaee(0x22b1)][_0xadaaee(0x22b1)]}));for(let _0x5c7987=0x0;_0x5c7987<_0x1c8587[_0xadaaee(0xfbf)][_0xadaaee(0xfd0)];_0x5c7987++){_0x10689a&&_0x1c8587[_0xadaaee(0xfbf)][_0x5c7987]['id']===_0x10689a['id']&&(_0x1c8587[_0xadaaee(0xfbf)][_0x5c7987][_0xadaaee(0x15da)]=![],_0x33709a['push'](_0x1c8587[_0xadaaee(0xfbf)][_0x5c7987]));}_0x1c8587['tags']=_0x33709a;}else{if(!_0x3d7cae['autoAssociation'])return _0x5deffb[_0xadaaee(0x1198)][_0xadaaee(0xbf7)]({'sectionId':_0x3d7cae['id']})[_0xadaaee(0x1d77)][_0xadaaee(0x1cb0)](function(_0x1a4498){const _0x44dd50=_0xadaaee,_0xb3584b=_0x39641b()[_0x44dd50(0x1de2)](_0x1a4498[_0x44dd50(0x2214)],function(_0x1faced){const _0x5abca7=_0x44dd50;return _0x39641b()[_0x5abca7(0x13b4)](_0x1c8587[_0x5abca7(0xfbf)],{'id':_0x1faced['resourceId']});});let _0x380a33=null;_0x1c8587[_0x44dd50(0x22b1)]&&(_0x380a33=_0x39641b()[_0x44dd50(0x13b4)](_0x1c8587['tags'],{'name':_0x1c8587[_0x44dd50(0x22b1)][_0x44dd50(0x22b1)]}));if(_0x380a33&&!_0x39641b()[_0x44dd50(0x727)](_0xb3584b,['id',_0x380a33['id']])){const _0x82df=_0x39641b()['find'](_0x1c8587['tags'],{'id':_0x380a33['id']});_0x82df[_0x44dd50(0x15da)]=![],_0xb3584b['push'](_0x82df);}_0x1c8587[_0x44dd50(0xfbf)]=_0xb3584b;});}})['catch'](function(_0x357433){const _0xb5d864=_0x4bcef1;_0x79ebdf[_0xb5d864(0x218e)]({'title':_0x357433['status']?'API:'+_0x357433[_0xb5d864(0x291)]+'\x20-\x20'+_0x357433[_0xb5d864(0xc22)]:_0xb5d864(0x808),'msg':_0x357433[_0xb5d864(0x25c)]?JSON[_0xb5d864(0x2701)](_0x357433[_0xb5d864(0x25c)]):_0x357433[_0xb5d864(0x147f)]()});});function _0xcc7d8d(){const _0xd71cef=_0x4bcef1;_0x1c8587['errors']=[];const _0x1002b3=[];_0x1c8587['tag'][_0xd71cef(0x66a)]&&_0x1c8587['tag'][_0xd71cef(0x66a)][_0xd71cef(0x1680)]()===_0xd71cef(0x895)&&_0x1c8587[_0xd71cef(0x22b1)][_0xd71cef(0x299b)]===_0xd71cef(0x25f4)&&(_0x1c8587['tag']['phone']=_0x1e3126['cutdigits']?(_0x1c8587['tag'][_0xd71cef(0x586)]||'')+_0xd71cef(0x19e4)+_0x1e3126[_0xd71cef(0x325)]+'}':(_0x1c8587['tag'][_0xd71cef(0x586)]||'')+_0xd71cef(0xcdd),_0x1e3126[_0xd71cef(0xf8d)]!==_0xd71cef(0x13b1)?_0x1c8587[_0xd71cef(0x22b1)][_0xd71cef(0x2224)][_0xd71cef(0xd8a)](_0xd71cef(0x106a))<0x0&&(_0x1c8587[_0xd71cef(0x22b1)][_0xd71cef(0x2224)]+=_0xd71cef(0x106a)):_0x1c8587[_0xd71cef(0x22b1)]['options']=_0x1c8587['tag'][_0xd71cef(0x2224)]['replace'](_0xd71cef(0x106a),''));const _0x5bd98c=_0x39641b()['find'](_0x1c8587['tags'],{'name':_0x1c8587['tag'][_0xd71cef(0x22b1)]});_0x5bd98c&&(_0x1c8587[_0xd71cef(0x22b1)][_0xd71cef(0x1754)]=_0x5bd98c['id']);if(_0x1c8587[_0xd71cef(0x22b1)][_0xd71cef(0x299b)]&&_0x1c8587[_0xd71cef(0x22b1)][_0xd71cef(0x299b)]==='custom'){}else switch((_0x1c8587[_0xd71cef(0x22b1)]['app']||_0x1c8587['tag'][_0xd71cef(0x299b)])[_0xd71cef(0x1680)]()){case'set':_0x1c8587[_0xd71cef(0x22b1)]['appdata']=_0x1c8587[_0xd71cef(0x22b1)][_0xd71cef(0x16b6)]+'='+_0x1c8587[_0xd71cef(0x22b1)][_0xd71cef(0x327)];break;case _0xd71cef(0x197c):break;default:_0x1002b3[0x0]=_0x1c8587['tag'][_0xd71cef(0x22b1)],_0x1c8587['tag'][_0xd71cef(0x28df)]=_0x1002b3[_0xd71cef(0x1f66)](',');}_0x4090cd(_0x1c8587['tag']);}function _0x4090cd(_0x186cec){_0xbb249b['hide'](_0x186cec);}}const _0x466aee=_0x48ce1a;;_0x523948[_0x5537c6(0x15b6)]=[_0x5537c6(0x173),'$scope',_0x5537c6(0x406),'$q',_0x5537c6(0x1ae),_0x5537c6(0x2168),_0x5537c6(0xcb9),_0x5537c6(0x22bf),'toasty','api',_0x5537c6(0x1774)];function _0x523948(_0x2fe20e,_0x7899ec,_0x15f842,_0x46b2ef,_0x3bf9b5,_0xac0a07,_0x5d122c,_0x30bc83,_0x34a516,_0x3d6ac5,_0x1322d6){const _0x40c3b4=_0x5537c6,_0xb598ea=this;_0xb598ea[_0x40c3b4(0xe76)]=_0x1322d6[_0x40c3b4(0x21e8)](),_0xb598ea[_0x40c3b4(0x83a)]={},_0xb598ea[_0x40c3b4(0x1450)]={'count':0x0,'rows':[]},_0xb598ea[_0x40c3b4(0x1568)]=[],_0xb598ea[_0x40c3b4(0x1b1a)],_0xb598ea[_0x40c3b4(0xae2)]={'read':_0x40c3b4(0xd38),'closed':_0x40c3b4(0xd38),'sort':'-createdAt','includeAll':'true','limit':0xa,'page':0x1},_0xb598ea[_0x40c3b4(0x1a8e)]=_0x242e11,_0xb598ea[_0x40c3b4(0xb25)]=_0x3c5358,_0xb598ea[_0x40c3b4(0x629)]=_0x24d9bf,_0xb598ea['success']=_0x508793,_0xb598ea[_0x40c3b4(0x245b)]=_0x27bb1c,_0xb598ea[_0x40c3b4(0x22db)]=_0x24096b,_0xb598ea[_0x40c3b4(0x1ede)]=_0x14234f,_0xb598ea[_0x40c3b4(0xdcf)]=_0x27a201,_0xb598ea[_0x40c3b4(0x17da)]=_0x249472,_0xb598ea[_0x40c3b4(0x171c)]=_0x1b0cac;function _0x242e11(_0x520cc8,_0x50f066){const _0x27e506=_0x40c3b4;_0xb598ea['whatsappAccount']=_0x520cc8,_0xb598ea[_0x27e506(0x1b1a)]=typeof _0x50f066!=='undefined'?_0x50f066:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0xb598ea['query'][_0x27e506(0xfc8)]=_0xb598ea[_0x27e506(0x83a)]['id'],_0xb598ea[_0x27e506(0x16ad)]={'fields':_0x5e0c88()},_0x3e45bf();}function _0x3e45bf(){const _0x4dfaee=_0x40c3b4;return _0x3d6ac5[_0x4dfaee(0x22b1)][_0x4dfaee(0xbf7)]()['$promise'][_0x4dfaee(0x1cb0)](function(_0x2111f5){const _0x54edcf=_0x4dfaee;_0xb598ea[_0x54edcf(0xfbf)]=_0x2111f5||{'count':0x0,'rows':[]};})['then'](function(){const _0x5a2640=_0x4dfaee;_0xb598ea[_0x5a2640(0x789)]=_0xf457ce();});}function _0xf457ce(){const _0x990fae=_0x40c3b4;return[{'name':'Start\x20Date','key':_0x990fae(0x24cb),'type':_0x990fae(0x18ec),'label':_0x990fae(0xf5e)},{'name':_0x990fae(0x223c),'key':_0x990fae(0xfc1),'type':'select','label':_0x990fae(0x9e5),'customOptions':[{'value':0x0,'translate':_0x990fae(0x1115)},{'value':0x1,'translate':_0x990fae(0x27a4)},{'value':null,'translate':_0x990fae(0x2706)}]},{'name':_0x990fae(0x938),'key':_0x990fae(0x22aa),'type':_0x990fae(0x220f),'label':_0x990fae(0x24b6),'customOptions':[{'value':0x0,'translate':'DASHBOARDS.OPENED'},{'value':0x1,'translate':_0x990fae(0x191b)},{'value':null,'translate':_0x990fae(0x2706)}]},{'name':_0x990fae(0x30e),'key':_0x990fae(0x21ab),'type':_0x990fae(0x220f),'label':'DASHBOARDS.SELECT_AGENT','customOptions':[{'value':'null','translate':_0x990fae(0x68f)},{'value':undefined,'translate':_0x990fae(0x2706)}]},{'name':_0x990fae(0xf2d),'key':'tag','type':_0x990fae(0x1d50),'label':_0x990fae(0x3c3),'options':_0xb598ea['tags']['rows'],'placeholder':_0x990fae(0x62b)}];}function _0x5e0c88(){const _0x3ca3da=_0x40c3b4;return[{'name':'Id','column':'id','type':_0x3ca3da(0x83d)},{'name':_0x3ca3da(0x8d3),'column':_0x3ca3da(0x8d3),'type':_0x3ca3da(0x19e0),'options':{'searchFields':[_0x3ca3da(0x1491),_0x3ca3da(0x1fbb),_0x3ca3da(0x1e19)],'route':{'model':_0x3ca3da(0xbe7),'action':'get','params':{'fields':_0x3ca3da(0x9b0),'Contact':_0x3ca3da(0xed6),'nolimit':!![]}},'extraOperators':[_0x3ca3da(0x1fb1)],'excludedOperators':[_0x3ca3da(0x15ce)]}},{'name':_0x3ca3da(0x228c),'column':_0x3ca3da(0x1e5d),'type':_0x3ca3da(0x19d3),'options':{'excludedOperators':[_0x3ca3da(0x1c5e),_0x3ca3da(0x15ce)]}},{'name':_0x3ca3da(0x269b),'column':_0x3ca3da(0x1cd0),'type':'text','options':{'excludedOperators':[_0x3ca3da(0x1c5e),'$ne']}},{'name':_0x3ca3da(0x938),'column':'closed','type':_0x3ca3da(0x220f),'values':[{'id':0x0,'translate':_0x3ca3da(0x1bbd)},{'id':0x1,'translate':_0x3ca3da(0x191b)}],'options':{'excludedOperators':[_0x3ca3da(0x15ce)]}},{'name':_0x3ca3da(0x30e),'column':_0x3ca3da(0x1597),'type':_0x3ca3da(0x19e0),'options':{'table':'i','route':{'model':'user','action':'get','params':{'role':_0x3ca3da(0x1eff),'fields':'id,name,fullname','nolimit':!![]}},'searchFields':['fullname','name'],'extraOperators':['$substring'],'excludedOperators':[_0x3ca3da(0x15ce)]}},{'name':_0x3ca3da(0x190),'column':_0x3ca3da(0xf2d),'type':_0x3ca3da(0x1d50),'options':{'route':{'model':_0x3ca3da(0x22b1),'action':_0x3ca3da(0xbf7),'params':{'nolimit':!![]}},'excludedOperators':['$notIn']}},{'name':'Start\x20Date','column':_0x3ca3da(0x24cb),'type':'date','options':{'excludedOperators':[_0x3ca3da(0x15ce)]}},{'name':_0x3ca3da(0x946),'column':_0x3ca3da(0x1554),'type':_0x3ca3da(0x220f),'values':[{'id':0x1,'translate':_0x3ca3da(0x27a4)},{'id':0x0,'translate':'DASHBOARDS.UNREAD'}],'options':{'excludedOperators':[_0x3ca3da(0x15ce)]}}];}function _0x14234f(){const _0x12bdb2=_0x40c3b4;_0x5d122c['show']({'controller':_0x12bdb2(0x15f7),'controllerAs':'vm','templateUrl':_0x3530d6,'parent':angular[_0x12bdb2(0x1853)](_0x30bc83[_0x12bdb2(0x1ed9)]),'clickOutsideToClose':![],'locals':{'fields':_0xb598ea[_0x12bdb2(0x16ad)][_0x12bdb2(0x355)],'color':undefined,'storagePath':_0x12bdb2(0x240d)},'fullscreen':!![]})[_0x12bdb2(0x1cb0)](function(_0x25892b){const _0x3c43c7=_0x12bdb2;_0xb598ea[_0x3c43c7(0xae2)][_0x3c43c7(0x24be)]=_0x25892b===![]?undefined:_0x25892b;if(_0xb598ea[_0x3c43c7(0xae2)]['search'])_0x27bb1c();else _0x25892b===![]&&_0x27bb1c();})[_0x12bdb2(0x1c4)](function(_0x1e6c57){const _0x3631c1=_0x12bdb2;_0x34a516[_0x3631c1(0x218e)]({'title':_0x3631c1(0x2260),'msg':_0x1e6c57[_0x3631c1(0x25c)]?JSON[_0x3631c1(0x2701)](_0x1e6c57[_0x3631c1(0x25c)]['message']):_0x1e6c57['toString']()});});}function _0x24d9bf(_0x255ac1,_0x1b7860,_0xca4ff1){const _0x4016c2=_0x40c3b4;return _0x3d6ac5[_0x4016c2(0x197a)][_0x4016c2(0x26ec)]({'id':_0x255ac1['id'],'exists':!![],'attachments':_0xca4ff1})['$promise'][_0x4016c2(0x1cb0)](function(_0x41c575){const _0x3aacbd=_0x4016c2,_0x45af86=[_0x41c575[_0x3aacbd(0xef0)]];let _0x475c19=_0x3aacbd(0x10e4)+_0x255ac1['id'];const _0x40f406=new Blob(_0x45af86,{'type':_0x41c575[_0x3aacbd(0x66a)]});_0x475c19='whatsapp-interaction'+_0x255ac1['id']+_0x3aacbd(0x1b16);const _0x1e5028=window[_0x3aacbd(0x1db8)][_0x3aacbd(0x8c6)]('a');_0x1e5028[_0x3aacbd(0x23b9)](_0x3aacbd(0x105b),URL[_0x3aacbd(0x2247)](_0x40f406)),_0x1e5028['setAttribute'](_0x3aacbd(0x26ec),_0x475c19),document['body'][_0x3aacbd(0x23de)](_0x1e5028),_0x1e5028[_0x3aacbd(0x20b8)]();})[_0x4016c2(0x1c4)](function(_0x59d461){const _0x1e2488=_0x4016c2;if(_0x59d461[_0x1e2488(0x25c)]&&_0x59d461['data'][_0x1e2488(0x1a7c)]&&_0x59d461[_0x1e2488(0x25c)][_0x1e2488(0x1a7c)][_0x1e2488(0xfd0)])for(let _0x364d53=0x0;_0x364d53<_0x59d461['data'][_0x1e2488(0x1a7c)][_0x1e2488(0xfd0)];_0x364d53+=0x1){_0x34a516['error']({'title':_0x59d461['data'][_0x1e2488(0x1a7c)][_0x364d53][_0x1e2488(0x66a)],'msg':_0x59d461[_0x1e2488(0x25c)][_0x1e2488(0x1a7c)][_0x364d53][_0x1e2488(0x155e)]});}else _0x34a516['error']({'title':_0x59d461[_0x1e2488(0x291)]?'API:'+_0x59d461[_0x1e2488(0x291)]+_0x1e2488(0x1657)+_0x59d461[_0x1e2488(0xc22)]:_0x1e2488(0x787),'msg':_0x59d461[_0x1e2488(0x25c)]?JSON[_0x1e2488(0x2701)](_0x59d461[_0x1e2488(0x25c)]['message']):_0x59d461['toString']()});});}function _0x3c5358(_0x4ee43d,_0x3b23b6){const _0x5a6fa2=_0x40c3b4,_0x1a4e85=_0x5d122c['confirm']()[_0x5a6fa2(0x1386)](_0x5a6fa2(0x9bc))['htmlContent'](_0x5a6fa2(0x204d)+(_0x4ee43d[_0x5a6fa2(0x16b6)]||_0x4ee43d['id']&&_0x39641b()[_0x5a6fa2(0x277)](_0x5a6fa2(0xf82))+_0x4ee43d['id']||'interaction')+_0x5a6fa2(0x1200)+'\x20will\x20be\x20deleted.')[_0x5a6fa2(0x15ad)](_0x5a6fa2(0x116f))[_0x5a6fa2(0x728)](_0x3b23b6)['ok']('OK')[_0x5a6fa2(0x696)](_0x5a6fa2(0x24ba));_0x5d122c[_0x5a6fa2(0xe27)](_0x1a4e85)[_0x5a6fa2(0x1cb0)](function(){_0x249472(_0x4ee43d);},function(){const _0x11633e=_0x5a6fa2;console[_0x11633e(0x1b4f)](_0x11633e(0x24ba));});}function _0x508793(_0x3e5875){const _0xbbaa0f=_0x40c3b4;_0xb598ea[_0xbbaa0f(0x1450)]=_0x3e5875||{'count':0x0,'rows':[]};for(let _0x5d9f51=0x0;_0x5d9f51<_0xb598ea[_0xbbaa0f(0x1450)][_0xbbaa0f(0x2214)][_0xbbaa0f(0xfd0)];_0x5d9f51+=0x1){const _0x2fe9a4=_0xb598ea['whatsappAccountInteractions'][_0xbbaa0f(0x2214)][_0x5d9f51];_0x5a8143(_0x2fe9a4),_0x2fe9a4[_0xbbaa0f(0x135d)]['name']=_0x4a375a(_0x2fe9a4);}}function _0x27bb1c(){const _0x525e11=_0x40c3b4;_0xb598ea['query'][_0x525e11(0x184b)]=(_0xb598ea['query'][_0x525e11(0x1c7b)]-0x1)*_0xb598ea[_0x525e11(0xae2)][_0x525e11(0x236)],_0xb598ea[_0x525e11(0x2061)]=_0x3d6ac5[_0x525e11(0x197a)][_0x525e11(0xbf7)](_0xb598ea[_0x525e11(0xae2)],_0x508793)[_0x525e11(0x1d77)];}function _0x24096b(_0x4773f4,_0x4dfd84){const _0xcac34e=_0x40c3b4;_0x5d122c['show']({'controller':_0xcac34e(0x4b2),'controllerAs':'vm','templateUrl':_0x50da87,'parent':angular[_0xcac34e(0x1853)](_0x30bc83[_0xcac34e(0x1ed9)]),'targetEvent':_0x4773f4,'clickOutsideToClose':!![],'onShowing':function(_0x3c6179){const _0x121b58=_0xcac34e;_0x3c6179['vm'][_0x121b58(0x1a8e)]({'id':0x1,'channel':_0x121b58(0x2476),'interaction':_0x4dfd84,'spy':!![]},_0x7899ec[_0x121b58(0x17bc)]['vm'][_0x121b58(0x9ca)]);}});}function _0x249472(_0x5cc370){const _0x448207=_0x40c3b4;_0x3d6ac5[_0x448207(0x197a)][_0x448207(0x111d)]({'id':_0x5cc370['id']})[_0x448207(0x1d77)][_0x448207(0x1cb0)](function(){const _0x1e09f9=_0x448207;_0x39641b()[_0x1e09f9(0x152a)](_0xb598ea[_0x1e09f9(0x1450)][_0x1e09f9(0x2214)],{'id':_0x5cc370['id']}),_0xb598ea['whatsappAccountInteractions']['count']-=0x1,!_0xb598ea['whatsappAccountInteractions'][_0x1e09f9(0x2214)]['length']&&_0x27bb1c(),_0x34a516[_0x1e09f9(0x829)]({'title':_0x1e09f9(0x1c2b),'msg':_0x5cc370[_0x1e09f9(0x16b6)]?_0x5cc370['name']+'\x20has\x20been\x20deleted!':''});})['catch'](function(_0x2dc89f){const _0x30f32c=_0x448207;if(_0x2dc89f[_0x30f32c(0x25c)]&&_0x2dc89f['data'][_0x30f32c(0x1a7c)]&&_0x2dc89f[_0x30f32c(0x25c)][_0x30f32c(0x1a7c)][_0x30f32c(0xfd0)]){_0xb598ea['errors']=_0x2dc89f[_0x30f32c(0x25c)][_0x30f32c(0x1a7c)]||[{'message':_0x2dc89f[_0x30f32c(0x147f)](),'type':'SYSTEM:GETwhatsappAccount'}];for(let _0x43b73a=0x0;_0x43b73a<_0x2dc89f[_0x30f32c(0x25c)][_0x30f32c(0x1a7c)][_0x30f32c(0xfd0)];_0x43b73a++){_0x34a516[_0x30f32c(0x218e)]({'title':_0x2dc89f['data'][_0x30f32c(0x1a7c)][_0x43b73a][_0x30f32c(0x66a)],'msg':_0x2dc89f['data'][_0x30f32c(0x1a7c)][_0x43b73a][_0x30f32c(0x155e)]});}}else _0x34a516[_0x30f32c(0x218e)]({'title':_0x2dc89f[_0x30f32c(0x291)]?_0x30f32c(0xeb9)+_0x2dc89f[_0x30f32c(0x291)]+_0x30f32c(0x1657)+_0x2dc89f[_0x30f32c(0xc22)]:'SYSTEM:GETwhatsappAccount','msg':_0x2dc89f[_0x30f32c(0x25c)]?JSON[_0x30f32c(0x2701)](_0x2dc89f[_0x30f32c(0x25c)][_0x30f32c(0x155e)]):_0x2dc89f[_0x30f32c(0x155e)]||_0x2dc89f[_0x30f32c(0x147f)]()});});}function _0x27a201(){const _0x3df547=_0x40c3b4,_0x4778d6=angular[_0x3df547(0x17fe)](_0xb598ea[_0x3df547(0x1568)]);return _0xb598ea['selectedWhatsappAccountInteractions']=[],_0x4778d6;}function _0x1b0cac(_0x435912){const _0x5b1463=_0x40c3b4,_0x19c821=_0x5d122c[_0x5b1463(0x1551)]()['title'](_0x5b1463(0xb2e))['htmlContent'](_0x5b1463(0x204d)+_0xb598ea[_0x5b1463(0x1568)][_0x5b1463(0xfd0)]+_0x5b1463(0x1d6c)+_0x5b1463(0x1b6))['ariaLabel'](_0x5b1463(0x8ca))[_0x5b1463(0x728)](_0x435912)['ok']('OK')[_0x5b1463(0x696)](_0x5b1463(0x24ba));_0x5d122c['show'](_0x19c821)[_0x5b1463(0x1cb0)](function(){const _0x3d58c6=_0x5b1463;_0xb598ea['selectedWhatsappAccountInteractions'][_0x3d58c6(0xf90)](function(_0x27fc2f){_0x249472(_0x27fc2f);}),_0xb598ea[_0x3d58c6(0x1568)]=[];});}function _0x5a8143(_0x5f4b63){const _0x3903f6=_0x40c3b4;_0x5f4b63[_0x3903f6(0x8d3)]?_0x5f4b63[_0x3903f6(0x1325)]=(_0x5f4b63[_0x3903f6(0x8d3)][_0x3903f6(0x1491)]||'')+'\x20'+(_0x5f4b63[_0x3903f6(0x8d3)][_0x3903f6(0x1fbb)]||''):_0x5f4b63[_0x3903f6(0x1325)]=_0x3bf9b5[_0x3903f6(0x25cc)](_0x3903f6(0x2b9));}function _0x4a375a(_0x5c1bf7){const _0x1d95de=_0x40c3b4;if(_0x5c1bf7[_0x1d95de(0x21ab)])return _0x5c1bf7[_0x1d95de(0x21ab)]===_0xb598ea['currentUser']['id']?_0x3bf9b5[_0x1d95de(0x25cc)]('DASHBOARDS.ME'):_0x5c1bf7[_0x1d95de(0x135d)]['fullname']+'\x20<'+_0x5c1bf7['Owner']['internal']+'>';return _0x3bf9b5[_0x1d95de(0x25cc)](_0x1d95de(0x68f));}let _0x5ef2b7=!![],_0x273bc9=0x1;_0x7899ec[_0x40c3b4(0x614)]('vm_dc.query.filter',function(_0x3d23e6,_0x2b2d2b){const _0x136d94=_0x40c3b4;_0x5ef2b7?_0xac0a07(function(){_0x5ef2b7=![];}):(!_0x2b2d2b&&(_0x273bc9=_0xb598ea[_0x136d94(0xae2)][_0x136d94(0x1c7b)]),_0x3d23e6!==_0x2b2d2b&&(_0xb598ea[_0x136d94(0xae2)][_0x136d94(0x1c7b)]=0x1),!_0x3d23e6&&(_0xb598ea[_0x136d94(0xae2)]['page']=_0x273bc9),_0x27bb1c());});}const _0x4c9049=_0x523948;;const _0x365a4a=_0x5074a3['p']+_0x5537c6(0x6ae);;_0x4e2a28['$inject']=[_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x1ae),_0x5537c6(0x8a5),'setting','api','toasty',_0x5537c6(0x1774),_0x5537c6(0x83a),_0x5537c6(0x2199)];function _0x4e2a28(_0x35b5a9,_0x3aa1a3,_0x59185f,_0x44fa47,_0x235ee6,_0xaa4a38,_0x412b73,_0xde04c7,_0x3ce053,_0x529d85,_0x558125,_0xcccde2){const _0x489342=_0x5537c6,_0x143606=this;_0x143606[_0x489342(0xe76)]=_0x529d85[_0x489342(0x21e8)](),_0x143606[_0x489342(0x8a5)]=_0xaa4a38,_0x143606[_0x489342(0x9ca)]=_0x412b73,_0x143606['passwordPattern']=_0x143606[_0x489342(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x143606['location']=_0x3aa1a3[_0x489342(0x2276)]()+_0x489342(0x138b)+_0x3aa1a3['host'](),_0x143606[_0x489342(0x83a)]=_0x558125||_0x35b5a9[_0x489342(0x1dfe)][_0x489342(0x83a)]||{},_0x143606[_0x489342(0x2199)]=_0xcccde2&&_0xcccde2['count']==0x1?_0xcccde2[_0x489342(0x2214)][0x0]:null,_0x143606['crudPermissions']=_0x529d85[_0x489342(0x14ea)](_0x143606[_0x489342(0x2199)]?_0x143606[_0x489342(0x2199)][_0x489342(0x1b1a)]:null),_0x143606[_0x489342(0xf4c)]={},_0x143606[_0x489342(0x8ec)]=_0x35b5a9[_0x489342(0x1dfe)]['tab']||0x0,_0x143606['agentadddialog']=_0xe6190b,_0x143606[_0x489342(0x494)]=_0x3ce053[_0x489342(0x28c7)],_0x143606[_0x489342(0x15bd)]=_0x6f4009,_0x143606[_0x489342(0x1b72)]=_0x5659d8,_0x529d85['hasRole']('admin')?_0xde04c7[_0x489342(0x22f2)][_0x489342(0xbf7)]({'fields':'id,name','sort':'name'})[_0x489342(0x1d77)][_0x489342(0x1cb0)](function(_0x4286ff){const _0x34a307=_0x489342;_0x143606[_0x34a307(0x1046)]=_0x4286ff[_0x34a307(0x2214)]||[];})['catch'](function(_0x294963){const _0x40e9a6=_0x489342;_0x3ce053[_0x40e9a6(0x218e)]({'title':_0x294963['status']?_0x40e9a6(0xeb9)+_0x294963[_0x40e9a6(0x291)]+'\x20-\x20'+_0x294963[_0x40e9a6(0xc22)]:_0x40e9a6(0x149e),'msg':_0x294963[_0x40e9a6(0x25c)]?JSON[_0x40e9a6(0x2701)](_0x294963[_0x40e9a6(0x25c)]):_0x294963['toString']()});}):_0xde04c7[_0x489342(0x22f2)]['get']({'fields':'id,name','sort':'name'})[_0x489342(0x1d77)][_0x489342(0x1cb0)](function(_0x4312d1){const _0x55b2d0=_0x489342;_0x143606[_0x55b2d0(0x1046)]=_0x4312d1[_0x55b2d0(0x2214)]||[];})[_0x489342(0x1cb0)](function(){const _0xd4630d=_0x489342;return _0xde04c7[_0xd4630d(0x2199)][_0xd4630d(0xbf7)]({'userProfileId':_0x143606['currentUser'][_0xd4630d(0x13c1)],'sectionId':0x12d})[_0xd4630d(0x1d77)];})[_0x489342(0x1cb0)](function(_0x5af72f){const _0x4add1f=_0x489342,_0x19dbd2=_0x5af72f&&_0x5af72f[_0x4add1f(0x2214)]?_0x5af72f[_0x4add1f(0x2214)][0x0]:null;if(!_0x19dbd2){const _0x1ce70e=[];let _0x5a3cdf=null;_0x143606[_0x4add1f(0x83a)]&&(_0x5a3cdf=_0x39641b()['find'](_0x143606[_0x4add1f(0x1046)],{'id':Number(_0x143606[_0x4add1f(0x83a)][_0x4add1f(0x20a6)])}));for(let _0x4f0116=0x0;_0x4f0116<_0x143606[_0x4add1f(0x1046)]['length'];_0x4f0116++){_0x5a3cdf&&_0x143606['lists'][_0x4f0116]['id']===_0x5a3cdf['id']&&(_0x143606[_0x4add1f(0x1046)][_0x4f0116]['canSelect']=![],_0x1ce70e['push'](_0x143606['lists'][_0x4f0116]));}_0x143606[_0x4add1f(0x1046)]=_0x1ce70e;}else{if(!_0x19dbd2[_0x4add1f(0x12f4)])return _0xde04c7[_0x4add1f(0x1198)]['get']({'sectionId':_0x19dbd2['id']})['$promise']['then'](function(_0x5d60bf){const _0x4f7b65=_0x4add1f,_0x4ebc69=_0x39641b()[_0x4f7b65(0x1de2)](_0x5d60bf[_0x4f7b65(0x2214)],function(_0x42977d){const _0x32257a=_0x4f7b65;return _0x39641b()[_0x32257a(0x13b4)](_0x143606[_0x32257a(0x1046)],{'id':_0x42977d[_0x32257a(0x2982)]});});let _0xff413c=null;_0x143606[_0x4f7b65(0x83a)]&&(_0xff413c=_0x39641b()[_0x4f7b65(0x13b4)](_0x143606[_0x4f7b65(0x1046)],{'id':Number(_0x143606[_0x4f7b65(0x83a)][_0x4f7b65(0x20a6)])}));if(_0xff413c&&!_0x39641b()[_0x4f7b65(0x727)](_0x4ebc69,['id',_0xff413c['id']])){const _0x374a0b=_0x39641b()['find'](_0x143606['lists'],{'id':_0xff413c['id']});_0x374a0b[_0x4f7b65(0x15da)]=![],_0x4ebc69[_0x4f7b65(0x2785)](_0x374a0b);}_0x143606[_0x4f7b65(0x1046)]=_0x4ebc69;});}})[_0x489342(0x1c4)](function(_0xdbe6a1){const _0x5757f9=_0x489342;_0x3ce053[_0x5757f9(0x218e)]({'title':_0xdbe6a1['status']?_0x5757f9(0xeb9)+_0xdbe6a1[_0x5757f9(0x291)]+_0x5757f9(0x1657)+_0xdbe6a1['statusText']:_0x5757f9(0x113c),'msg':_0xdbe6a1[_0x5757f9(0x25c)]?JSON['stringify'](_0xdbe6a1['data']):_0xdbe6a1[_0x5757f9(0x147f)]()});}),_0x529d85[_0x489342(0x22b6)](_0x489342(0x1c60))?_0xde04c7[_0x489342(0x785)][_0x489342(0xbf7)]({'fields':_0x489342(0x791),'sort':_0x489342(0x16b6),'nolimit':_0x489342(0x44d)})[_0x489342(0x1d77)][_0x489342(0x1cb0)](function(_0x335844){const _0x5172b0=_0x489342;_0x143606[_0x5172b0(0x8de)]=_0x335844[_0x5172b0(0x2214)]||[];})[_0x489342(0x1c4)](function(_0x325343){const _0x166b71=_0x489342;_0x3ce053[_0x166b71(0x218e)]({'title':_0x325343[_0x166b71(0x291)]?_0x166b71(0xeb9)+_0x325343[_0x166b71(0x291)]+_0x166b71(0x1657)+_0x325343[_0x166b71(0xc22)]:'SYSTEM:GET_PAUSES','msg':_0x325343[_0x166b71(0x25c)]?JSON['stringify'](_0x325343[_0x166b71(0x25c)]):_0x325343['toString']()});}):_0xde04c7[_0x489342(0x785)][_0x489342(0xbf7)]({'fields':_0x489342(0x791),'sort':_0x489342(0x16b6),'nolimit':_0x489342(0x44d)})['$promise']['then'](function(_0x24acca){const _0x480cd9=_0x489342;_0x143606[_0x480cd9(0x8de)]=_0x24acca[_0x480cd9(0x2214)]||[];})[_0x489342(0x1cb0)](function(){const _0x3e6ebc=_0x489342;return _0xde04c7['userProfileSection'][_0x3e6ebc(0xbf7)]({'userProfileId':_0x143606[_0x3e6ebc(0xe76)]['userProfileId'],'sectionId':0x3ed})['$promise'];})[_0x489342(0x1cb0)](function(_0xcae429){const _0x149186=_0x489342,_0x1960c0=_0xcae429&&_0xcae429['rows']?_0xcae429['rows'][0x0]:null;if(!_0x1960c0)_0x143606['pauses']=[];else{if(!_0x1960c0[_0x149186(0x12f4)])return _0xde04c7[_0x149186(0x1198)][_0x149186(0xbf7)]({'sectionId':_0x1960c0['id']})[_0x149186(0x1d77)][_0x149186(0x1cb0)](function(_0x5aed14){const _0x1409ff=_0x149186,_0x2e84a9=_0x39641b()[_0x1409ff(0x1de2)](_0x5aed14[_0x1409ff(0x2214)],function(_0x398887){const _0xf4694b=_0x1409ff;return _0x39641b()[_0xf4694b(0x13b4)](_0x143606['pauses'],{'id':_0x398887[_0xf4694b(0x2982)]});});_0x143606[_0x1409ff(0x8de)][_0x1409ff(0xf90)](function(_0x4ba995){const _0x2c1dd4=_0x1409ff;!_0x39641b()[_0x2c1dd4(0x727)](_0x2e84a9,['id',_0x4ba995['id']])&&(_0x4ba995[_0x2c1dd4(0x15da)]=![]),_0x2e84a9[_0x2c1dd4(0x2785)](_0x4ba995);}),_0x143606[_0x1409ff(0x8de)]=_0x2e84a9;});}})[_0x489342(0x1c4)](function(_0x755194){const _0x772cea=_0x489342;_0x3ce053[_0x772cea(0x218e)]({'title':_0x755194[_0x772cea(0x291)]?_0x772cea(0xeb9)+_0x755194[_0x772cea(0x291)]+_0x772cea(0x1657)+_0x755194['statusText']:'SYSTEM:GETpauses','msg':_0x755194[_0x772cea(0x25c)]?JSON[_0x772cea(0x2701)](_0x755194[_0x772cea(0x25c)]):_0x755194[_0x772cea(0x147f)]()});});function _0xe6190b(_0x143a13,_0x5cf258){const _0x221b48=_0x489342;_0x59185f[_0x221b48(0xe27)]({'controller':_0x221b48(0x2903),'controllerAs':'vm','templateUrl':_0x365a4a,'parent':angular[_0x221b48(0x1853)](_0x44fa47[_0x221b48(0x1ed9)]),'targetEvent':_0x5cf258,'clickOutsideToClose':!![],'locals':{'whatsappAccount':_0x143a13,'whatsappAccounts':_0x143606['whatsappAccounts']?_0x143606[_0x221b48(0xbb3)][_0x221b48(0x2214)]:[],'crudPermissions':_0x143606['crudPermissions'],'realtime':![]}});}function _0x6f4009(){const _0x1ee67d=_0x489342;_0x35b5a9['go'](_0x1ee67d(0x1454),{},{'reload':_0x1ee67d(0x1454)});}function _0x5659d8(){const _0x8209ff=_0x489342;_0xde04c7['whatsappAccount'][_0x8209ff(0x687)]({'id':_0x143606['whatsappAccount']['id']},_0x143606[_0x8209ff(0x83a)])[_0x8209ff(0x1d77)][_0x8209ff(0x1cb0)](function(){const _0xf6c94=_0x8209ff;_0x3ce053['success']({'title':_0xf6c94(0x7b6),'msg':_0x143606[_0xf6c94(0x83a)][_0xf6c94(0x16b6)]?_0x143606[_0xf6c94(0x83a)][_0xf6c94(0x16b6)]+_0xf6c94(0x1068):''});})[_0x8209ff(0x1c4)](function(_0x1f25ab){const _0x32ea33=_0x8209ff;_0x3ce053[_0x32ea33(0x218e)]({'title':_0x1f25ab[_0x32ea33(0x291)]?'API:'+_0x1f25ab[_0x32ea33(0x291)]+_0x32ea33(0x1657)+_0x1f25ab[_0x32ea33(0xc22)]:'SYSTEM:GETwhatsappAccount','msg':_0x1f25ab[_0x32ea33(0x25c)]?JSON[_0x32ea33(0x2701)](_0x1f25ab[_0x32ea33(0x25c)]):_0x1f25ab[_0x32ea33(0x147f)]()});});}}const _0x312da9=_0x4e2a28;;const _0x32a32c=_0x5074a3['p']+_0x5537c6(0xf4d);;_0x14076a['$inject']=[_0x5537c6(0x173),_0x5537c6(0x1463),_0x5537c6(0x406),'$q','$translate',_0x5537c6(0x2168),'$mdDialog',_0x5537c6(0x22bf),_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0x1774)];function _0x14076a(_0x54d290,_0x148b3f,_0x5b4064,_0xd4b5a1,_0x28fbd1,_0xd81501,_0x13c756,_0x4b5d4e,_0xabc00c,_0x2428c1,_0x552251){const _0x43fdb6=_0x5537c6,_0x8bf6e1=this;_0x8bf6e1[_0x43fdb6(0xe76)]=_0x552251[_0x43fdb6(0x21e8)](),_0x8bf6e1['whatsappAccount']={},_0x8bf6e1[_0x43fdb6(0x21a6)]={'count':0x0,'rows':[]},_0x8bf6e1[_0x43fdb6(0x1f87)]=[],_0x8bf6e1[_0x43fdb6(0x1b1a)],_0x8bf6e1['query']={'fields':_0x43fdb6(0x1d68),'limit':0xa,'page':0x1},_0x8bf6e1['init']=_0x17da5c,_0x8bf6e1['deleteConfirm']=_0xe2484f,_0x8bf6e1['success']=_0x1845e9,_0x8bf6e1[_0x43fdb6(0x8a8)]=_0x422365,_0x8bf6e1[_0x43fdb6(0x270b)]=_0x2b5da4,_0x8bf6e1[_0x43fdb6(0xe39)]=_0x47bb65,_0x8bf6e1['deleteWhatsappAccountWhatsappCannedAnswer']=_0x2a5a17,_0x8bf6e1[_0x43fdb6(0x4b0)]=_0x5239c2;function _0x17da5c(_0x4a7b5b,_0x125f73){const _0x22ad7b=_0x43fdb6;_0x8bf6e1[_0x22ad7b(0x83a)]=_0x4a7b5b,_0x8bf6e1[_0x22ad7b(0x1b1a)]=typeof _0x125f73!=='undefined'?_0x125f73:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x8bf6e1['query'][_0x22ad7b(0xfc8)]=_0x8bf6e1[_0x22ad7b(0x83a)]['id'],_0x8bf6e1[_0x22ad7b(0xae2)]['id']=_0x8bf6e1[_0x22ad7b(0x83a)]['id'],_0x422365();}function _0xe2484f(_0x11296b,_0xab27a8){const _0x4750d9=_0x43fdb6,_0x1d4dd5=_0x13c756['confirm']()[_0x4750d9(0x1386)](_0x4750d9(0x1d0d))['htmlContent'](_0x4750d9(0x204d)+(_0x11296b[_0x4750d9(0x16b6)]||_0x11296b['id']&&_0x39641b()[_0x4750d9(0x277)]('whatsappCannedAnswer\x20#')+_0x11296b['id']||_0x4750d9(0xb06))+_0x4750d9(0x1200)+_0x4750d9(0x1b6))[_0x4750d9(0x15ad)]('delete\x20whatsappCannedAnswer')[_0x4750d9(0x728)](_0xab27a8)['ok']('OK')[_0x4750d9(0x696)]('CANCEL');_0x13c756[_0x4750d9(0xe27)](_0x1d4dd5)['then'](function(){_0x2a5a17(_0x11296b);},function(){const _0x1d9f30=_0x4750d9;console[_0x1d9f30(0x1b4f)]('CANCEL');});}function _0x1845e9(_0x67beef){_0x8bf6e1['whatsappAccountWhatsappCannedAnswers']=_0x67beef||{'count':0x0,'rows':[]};}function _0x422365(){const _0x5e227a=_0x43fdb6;_0x8bf6e1['query']['offset']=(_0x8bf6e1[_0x5e227a(0xae2)][_0x5e227a(0x1c7b)]-0x1)*_0x8bf6e1['query']['limit'],_0x8bf6e1[_0x5e227a(0x2061)]=_0x2428c1[_0x5e227a(0x83a)][_0x5e227a(0x1ec5)](_0x8bf6e1[_0x5e227a(0xae2)],_0x1845e9)[_0x5e227a(0x1d77)];}function _0x2b5da4(_0x4296fd,_0x2f2507){const _0x287019=_0x43fdb6;_0x13c756[_0x287019(0xe27)]({'controller':_0x287019(0x2129),'controllerAs':'vm','templateUrl':_0x32a32c,'parent':angular[_0x287019(0x1853)](_0x4b5d4e[_0x287019(0x1ed9)]),'targetEvent':_0x4296fd,'clickOutsideToClose':!![],'locals':{'whatsappAccount':_0x8bf6e1[_0x287019(0x83a)],'whatsappCannedAnswer':_0x2f2507,'whatsappCannedAnswers':_0x8bf6e1['whatsappAccountWhatsappCannedAnswers'][_0x287019(0x2214)],'license':null,'setting':null,'crudPermissions':_0x8bf6e1['crudPermissions']}});}function _0x2a5a17(_0x4f126d){const _0x214988=_0x43fdb6;_0x2428c1[_0x214988(0x1c25)][_0x214988(0x111d)]({'id':_0x4f126d['id']})[_0x214988(0x1d77)]['then'](function(){const _0x218439=_0x214988;_0x39641b()[_0x218439(0x152a)](_0x8bf6e1[_0x218439(0x21a6)][_0x218439(0x2214)],{'id':_0x4f126d['id']}),_0x8bf6e1[_0x218439(0x21a6)][_0x218439(0x184d)]-=0x1,!_0x8bf6e1[_0x218439(0x21a6)][_0x218439(0x2214)][_0x218439(0xfd0)]&&_0x422365(),_0xabc00c[_0x218439(0x829)]({'title':'WhatsappCannedAnswer\x20deleted!','msg':_0x4f126d[_0x218439(0x16b6)]?_0x4f126d[_0x218439(0x16b6)]+_0x218439(0x3f5):''});})[_0x214988(0x1c4)](function(_0x39d425){const _0xdd8da9=_0x214988;if(_0x39d425[_0xdd8da9(0x25c)]&&_0x39d425['data'][_0xdd8da9(0x1a7c)]&&_0x39d425[_0xdd8da9(0x25c)][_0xdd8da9(0x1a7c)][_0xdd8da9(0xfd0)]){_0x8bf6e1[_0xdd8da9(0x1a7c)]=_0x39d425[_0xdd8da9(0x25c)][_0xdd8da9(0x1a7c)]||[{'message':_0x39d425['toString'](),'type':_0xdd8da9(0xca6)}];for(let _0xf5d9e7=0x0;_0xf5d9e7<_0x39d425[_0xdd8da9(0x25c)][_0xdd8da9(0x1a7c)][_0xdd8da9(0xfd0)];_0xf5d9e7++){_0xabc00c['error']({'title':_0x39d425['data'][_0xdd8da9(0x1a7c)][_0xf5d9e7][_0xdd8da9(0x66a)],'msg':_0x39d425['data'][_0xdd8da9(0x1a7c)][_0xf5d9e7]['message']});}}else _0xabc00c[_0xdd8da9(0x218e)]({'title':_0x39d425[_0xdd8da9(0x291)]?'API:'+_0x39d425[_0xdd8da9(0x291)]+_0xdd8da9(0x1657)+_0x39d425[_0xdd8da9(0xc22)]:_0xdd8da9(0xca6),'msg':_0x39d425[_0xdd8da9(0x25c)]?JSON[_0xdd8da9(0x2701)](_0x39d425['data'][_0xdd8da9(0x155e)]):_0x39d425[_0xdd8da9(0x155e)]||_0x39d425['toString']()});});}function _0x47bb65(){const _0x2fa25b=_0x43fdb6,_0x9de823=angular[_0x2fa25b(0x17fe)](_0x8bf6e1['selectedWhatsappAccountWhatsappCannedAnswers']);return _0x8bf6e1[_0x2fa25b(0x1f87)]=[],_0x9de823;}function _0x5239c2(_0x41b731){const _0x3b75b9=_0x43fdb6,_0x383eea=_0x13c756['confirm']()[_0x3b75b9(0x1386)](_0x3b75b9(0x8d6))['htmlContent'](_0x3b75b9(0x204d)+_0x8bf6e1[_0x3b75b9(0x1f87)][_0x3b75b9(0xfd0)]+'\x20selected'+'\x20will\x20be\x20deleted.')[_0x3b75b9(0x15ad)](_0x3b75b9(0x28b7))[_0x3b75b9(0x728)](_0x41b731)['ok']('OK')[_0x3b75b9(0x696)](_0x3b75b9(0x24ba));_0x13c756[_0x3b75b9(0xe27)](_0x383eea)['then'](function(){const _0x5f0681=_0x3b75b9;_0x8bf6e1[_0x5f0681(0x1f87)][_0x5f0681(0xf90)](function(_0x53d522){_0x2a5a17(_0x53d522);}),_0x8bf6e1[_0x5f0681(0x1f87)]=[];});}let _0x116136=!![],_0x5df4cf=0x1;_0x148b3f[_0x43fdb6(0x614)]('vm_dc.query.filter',function(_0x2e9a3c,_0x16c6c7){const _0x1c1ff8=_0x43fdb6;_0x116136?_0xd81501(function(){_0x116136=![];}):(!_0x16c6c7&&(_0x5df4cf=_0x8bf6e1['query']['page']),_0x2e9a3c!==_0x16c6c7&&(_0x8bf6e1[_0x1c1ff8(0xae2)][_0x1c1ff8(0x1c7b)]=0x1),!_0x2e9a3c&&(_0x8bf6e1[_0x1c1ff8(0xae2)][_0x1c1ff8(0x1c7b)]=_0x5df4cf),_0x422365());});}const _0x4aef3b=_0x14076a;;_0xcf8359[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),'$mdDialog','$q',_0x5537c6(0x1ae),_0x5537c6(0x9bf),_0x5537c6(0x10de),'whatsappCannedAnswer',_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca),'crudPermissions'];function _0xcf8359(_0x54a3cd,_0x201be1,_0x248f2a,_0x3076c1,_0x2f72a2,_0x2f0522,_0x10c336,_0x50966e,_0x549cb9,_0xa34a43,_0x3bde84,_0x1f88ba,_0x165af1,_0x49a145){const _0x3f0ddf=_0x5537c6,_0x2058c6=this;_0x2058c6['currentUser']=_0x3bde84[_0x3f0ddf(0x21e8)](),_0x2058c6[_0x3f0ddf(0x1a7c)]=[],_0x2058c6[_0x3f0ddf(0x9ca)]=_0x165af1,_0x2058c6['license']=_0x1f88ba,_0x2058c6['crudPermissions']=_0x49a145,_0x2058c6[_0x3f0ddf(0xf4c)]={},_0x2058c6[_0x3f0ddf(0x1b0c)]=_0x2058c6['setting']&&_0x2058c6[_0x3f0ddf(0x9ca)][_0x3f0ddf(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x2058c6[_0x3f0ddf(0x1386)]=_0x3f0ddf(0x20e9),_0x2058c6['whatsappCannedAnswer']=angular[_0x3f0ddf(0x17fe)](_0x549cb9),_0x2058c6[_0x3f0ddf(0x10de)]=_0x50966e,_0x2058c6[_0x3f0ddf(0xc4e)]=![];!_0x2058c6['whatsappCannedAnswer']&&(_0x2058c6[_0x3f0ddf(0xb06)]={},_0x2058c6[_0x3f0ddf(0x1386)]=_0x3f0ddf(0x1aba),_0x2058c6[_0x3f0ddf(0xc4e)]=!![]);_0x201be1[_0x3f0ddf(0x1dfe)]['id']&&(_0x2058c6[_0x3f0ddf(0xb06)][_0x3f0ddf(0xfc8)]=_0x201be1[_0x3f0ddf(0x1dfe)]['id']);_0x2058c6[_0x3f0ddf(0xdf6)]=_0x46a8e5,_0x2058c6['saveWhatsappCannedAnswer']=_0x4adc05,_0x2058c6[_0x3f0ddf(0xa90)]=_0x24a218,_0x2058c6[_0x3f0ddf(0x2c4)]=_0x274ea7,_0x2058c6[_0x3f0ddf(0xda0)]=_0x428bbf;function _0x46a8e5(){const _0x5e5f91=_0x3f0ddf;_0x2058c6[_0x5e5f91(0x1a7c)]=[],_0xa34a43[_0x5e5f91(0x1c25)]['save'](_0x2058c6[_0x5e5f91(0xb06)])['$promise'][_0x5e5f91(0x1cb0)](function(_0x3f7f02){const _0x4a58c2=_0x5e5f91;_0x2058c6[_0x4a58c2(0x10de)][_0x4a58c2(0xf63)](_0x3f7f02[_0x4a58c2(0x19b2)]()),_0x10c336[_0x4a58c2(0x829)]({'title':_0x4a58c2(0xd07),'msg':_0x2058c6['whatsappCannedAnswer'][_0x4a58c2(0x16b6)]?_0x2058c6[_0x4a58c2(0xb06)]['name']+_0x4a58c2(0x470):''}),_0x428bbf(_0x3f7f02);})[_0x5e5f91(0x1c4)](function(_0x3151f1){const _0x4235ff=_0x5e5f91;if(_0x3151f1['data']&&_0x3151f1[_0x4235ff(0x25c)]['errors']&&_0x3151f1[_0x4235ff(0x25c)][_0x4235ff(0x1a7c)]['length']){_0x2058c6[_0x4235ff(0x1a7c)]=_0x3151f1[_0x4235ff(0x25c)][_0x4235ff(0x1a7c)]||[{'message':_0x3151f1[_0x4235ff(0x147f)](),'type':_0x4235ff(0x224)}];for(let _0x2cae0f=0x0;_0x2cae0f<_0x3151f1[_0x4235ff(0x25c)][_0x4235ff(0x1a7c)][_0x4235ff(0xfd0)];_0x2cae0f+=0x1){_0x10c336[_0x4235ff(0x218e)]({'title':_0x3151f1['data'][_0x4235ff(0x1a7c)][_0x2cae0f]['type'],'msg':_0x3151f1[_0x4235ff(0x25c)][_0x4235ff(0x1a7c)][_0x2cae0f][_0x4235ff(0x155e)]});}}else _0x10c336[_0x4235ff(0x218e)]({'title':_0x3151f1['status']?_0x4235ff(0xeb9)+_0x3151f1[_0x4235ff(0x291)]+_0x4235ff(0x1657)+_0x3151f1['statusText']:_0x4235ff(0x224),'msg':_0x3151f1['data']?JSON['stringify'](_0x3151f1[_0x4235ff(0x25c)]['message']):_0x3151f1[_0x4235ff(0x147f)]()});});}function _0x4adc05(){const _0x1de82a=_0x3f0ddf;_0x2058c6['errors']=[],_0xa34a43[_0x1de82a(0x1c25)][_0x1de82a(0x687)]({'id':_0x2058c6[_0x1de82a(0xb06)]['id']},_0x2058c6['whatsappCannedAnswer'])[_0x1de82a(0x1d77)][_0x1de82a(0x1cb0)](function(_0x28a585){const _0x1349e9=_0x1de82a,_0x377c5a=_0x39641b()['find'](_0x2058c6[_0x1349e9(0x10de)],{'id':_0x28a585['id']});_0x377c5a&&_0x39641b()[_0x1349e9(0x9c1)](_0x377c5a,_0x39641b()['pick'](_0x28a585['toJSON'](),_0x39641b()[_0x1349e9(0x1be5)](_0x377c5a))),_0x10c336['success']({'title':_0x1349e9(0x26e2),'msg':_0x2058c6['whatsappCannedAnswer'][_0x1349e9(0x16b6)]?_0x2058c6[_0x1349e9(0xb06)][_0x1349e9(0x16b6)]+_0x1349e9(0xedb):''}),_0x428bbf(_0x28a585);})[_0x1de82a(0x1c4)](function(_0x4ac312){const _0x511a23=_0x1de82a;if(_0x4ac312[_0x511a23(0x25c)]&&_0x4ac312[_0x511a23(0x25c)][_0x511a23(0x1a7c)]&&_0x4ac312['data'][_0x511a23(0x1a7c)]['length']){_0x2058c6[_0x511a23(0x1a7c)]=_0x4ac312[_0x511a23(0x25c)]['errors']||[{'message':_0x4ac312[_0x511a23(0x147f)](),'type':'api.cannedAnswer.update'}];for(let _0x5e6833=0x0;_0x5e6833<_0x4ac312[_0x511a23(0x25c)][_0x511a23(0x1a7c)][_0x511a23(0xfd0)];_0x5e6833++){_0x10c336['error']({'title':_0x4ac312[_0x511a23(0x25c)][_0x511a23(0x1a7c)][_0x5e6833][_0x511a23(0x66a)],'msg':_0x4ac312[_0x511a23(0x25c)][_0x511a23(0x1a7c)][_0x5e6833][_0x511a23(0x155e)]});}}else _0x10c336[_0x511a23(0x218e)]({'title':_0x4ac312['status']?_0x511a23(0xeb9)+_0x4ac312[_0x511a23(0x291)]+'\x20-\x20'+_0x4ac312[_0x511a23(0xc22)]:_0x511a23(0x922),'msg':_0x4ac312['data']?JSON[_0x511a23(0x2701)](_0x4ac312[_0x511a23(0x25c)][_0x511a23(0x155e)]):_0x4ac312[_0x511a23(0x147f)]()});});}function _0x24a218(_0x2afb1b){const _0x5c4d5e=_0x3f0ddf;_0x2058c6[_0x5c4d5e(0x1a7c)]=[];const _0x44a6dc=_0x3076c1[_0x5c4d5e(0x1551)]()['title'](_0x5c4d5e(0x1a2e))[_0x5c4d5e(0x862)](_0x5c4d5e(0x1609))['ariaLabel'](_0x5c4d5e(0x137c))['ok'](_0x5c4d5e(0x2594))['cancel'](_0x5c4d5e(0xde1))[_0x5c4d5e(0x728)](_0x2afb1b);_0x3076c1['show'](_0x44a6dc)[_0x5c4d5e(0x1cb0)](function(){const _0x3d8aa0=_0x5c4d5e;_0xa34a43[_0x3d8aa0(0x1c25)]['delete']({'id':_0x2058c6[_0x3d8aa0(0xb06)]['id']})[_0x3d8aa0(0x1d77)]['then'](function(){const _0xb988fc=_0x3d8aa0;_0x39641b()[_0xb988fc(0x152a)](_0x2058c6[_0xb988fc(0x10de)],{'id':_0x2058c6['whatsappCannedAnswer']['id']}),_0x10c336[_0xb988fc(0x829)]({'title':_0xb988fc(0x2626),'msg':(_0x2058c6['whatsappCannedAnswer'][_0xb988fc(0x16b6)]||_0xb988fc(0xb06))+_0xb988fc(0x3f5)}),_0x428bbf(_0x2058c6[_0xb988fc(0xb06)]);})[_0x3d8aa0(0x1c4)](function(_0x234531){const _0x288fc5=_0x3d8aa0;if(_0x234531[_0x288fc5(0x25c)]&&_0x234531[_0x288fc5(0x25c)][_0x288fc5(0x1a7c)]&&_0x234531[_0x288fc5(0x25c)][_0x288fc5(0x1a7c)]['length']){_0x2058c6['errors']=_0x234531['data'][_0x288fc5(0x1a7c)]||[{'message':_0x234531[_0x288fc5(0x147f)](),'type':_0x288fc5(0x1399)}];for(let _0x40bf9d=0x0;_0x40bf9d<_0x234531['data']['errors'][_0x288fc5(0xfd0)];_0x40bf9d++){_0x10c336['error']({'title':_0x234531[_0x288fc5(0x25c)][_0x288fc5(0x1a7c)][_0x40bf9d][_0x288fc5(0x66a)],'msg':_0x234531[_0x288fc5(0x25c)]['errors'][_0x40bf9d]['message']});}}else _0x10c336[_0x288fc5(0x218e)]({'title':_0x234531[_0x288fc5(0x291)]?_0x288fc5(0xeb9)+_0x234531[_0x288fc5(0x291)]+_0x288fc5(0x1657)+_0x234531[_0x288fc5(0xc22)]:_0x288fc5(0x1399),'msg':_0x234531[_0x288fc5(0x25c)]?JSON[_0x288fc5(0x2701)](_0x234531['data'][_0x288fc5(0x155e)]):_0x234531['message']||_0x234531[_0x288fc5(0x147f)]()});});},function(){});}function _0x274ea7(_0x24b85a){return _0x24b85a===null?undefined:new Date(_0x24b85a);}function _0x428bbf(_0x1a303e){_0x3076c1['hide'](_0x1a303e);}}const _0x2cc20f=_0xcf8359;;_0x15975e[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x1f10),_0x5537c6(0x406),'$mdSidenav',_0x5537c6(0xcb9),'$document',_0x5537c6(0x2168),_0x5537c6(0x1ae),_0x5537c6(0x142b),_0x5537c6(0x9bf),'Auth'];function _0x15975e(_0x1fffc3,_0x11346f,_0x4a2ee1,_0x546930,_0x521877,_0x5ed49e,_0x174447,_0x3861ea,_0x4503b0,_0x4cea4a,_0x5d44a6){const _0x4e9f66=_0x5537c6,_0xfce904=this;_0xfce904[_0x4e9f66(0xe76)]=_0x5d44a6[_0x4e9f66(0x21e8)](),_0xfce904[_0x4e9f66(0xdf2)]={'count':0x0,'rows':[]},_0xfce904[_0x4e9f66(0x122a)]=[],_0xfce904['crudPermissions'],_0xfce904[_0x4e9f66(0x2376)]={'first':'1st','second':'2nd','third':'3rd'},_0xfce904[_0x4e9f66(0xae2)]={'fields':_0x4e9f66(0x1f4b),'sort':_0x4e9f66(0x282),'limit':0xa,'page':0x1},_0xfce904['init']=_0x1c42f4,_0xfce904[_0x4e9f66(0xb25)]=_0x5c5ccc,_0xfce904[_0x4e9f66(0x829)]=_0x19536e,_0xfce904[_0x4e9f66(0x640)]=_0xaf6ce2,_0xfce904[_0x4e9f66(0x932)]=_0x46bfd6,_0xfce904[_0x4e9f66(0x20b3)]=_0x4fa3e1,_0xfce904[_0x4e9f66(0x1ebf)]=_0x48480e,_0xfce904['deleteSelectedDispositions']=_0x41af2f,_0xfce904[_0x4e9f66(0x25ec)]=_0x20755e,_0xfce904[_0x4e9f66(0x13ff)]=_0x51e0bf;function _0x1c42f4(_0x488925,_0x52dc0f){const _0x2cc66f=_0x4e9f66;_0xfce904['whatsappAccount']=_0x488925||{},_0xfce904['crudPermissions']=typeof _0x52dc0f!==_0x2cc66f(0x16b5)?_0x52dc0f:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0xfce904[_0x2cc66f(0xae2)][_0x2cc66f(0xfc8)]=_0xfce904['whatsappAccount']['id'],_0xfce904['query']['id']=_0xfce904[_0x2cc66f(0x83a)]['id'],_0xaf6ce2();}function _0x46bfd6(_0x161bdb,_0x424c32){const _0x479a2d=_0x4e9f66;_0x521877[_0x479a2d(0xe27)]({'controller':'CreateOrEditDispositionDialogController','controllerAs':'vm','templateUrl':_0x3d7049,'parent':angular[_0x479a2d(0x1853)](_0x5ed49e[_0x479a2d(0x1ed9)]),'targetEvent':_0x161bdb,'clickOutsideToClose':!![],'locals':{'disposition':_0x424c32,'model':{'id':_0xfce904[_0x479a2d(0x83a)]['id'],'field':_0x479a2d(0xfc8),'route':_0x479a2d(0x83a)},'license':null,'setting':null,'crudPermissions':_0xfce904[_0x479a2d(0x1b1a)]}})['then'](function(_0x43fe3d){if(_0x43fe3d)_0xaf6ce2();});}function _0x5c5ccc(_0x2c3c1b,_0x5ca57a){const _0x3283c9=_0x4e9f66,_0x343cd6=_0x39641b()['some'](_0xfce904[_0x3283c9(0xdf2)][_0x3283c9(0x2214)],[_0x3283c9(0x55e),_0x2c3c1b['id']]),_0x320522=_0x521877[_0x3283c9(0x1551)]()[_0x3283c9(0x1386)](_0x3861ea['instant'](_0x3283c9(0x1d64)))[_0x3283c9(0x862)](_0x3861ea[_0x3283c9(0x25cc)]('TOOLS.NOTIFICATIONS.'+(_0x343cd6?_0x3283c9(0x13cb):_0x3283c9(0x1089)),{'name':_0x2c3c1b[_0x3283c9(0x16b6)]}))[_0x3283c9(0x15ad)](_0x3283c9(0x35d))[_0x3283c9(0x728)](_0x5ca57a)['ok']('OK')[_0x3283c9(0x696)](_0x3861ea[_0x3283c9(0x25cc)]('APP.CANCEL'));_0x521877[_0x3283c9(0xe27)](_0x320522)[_0x3283c9(0x1cb0)](function(){_0x4fa3e1(_0x2c3c1b);});}function _0x19536e(_0x5aaca1){const _0x59dfa0=_0x4e9f66;_0xfce904[_0x59dfa0(0xdf2)]=_0x5aaca1||{'count':0x0,'rows':[]};}function _0xaf6ce2(){const _0x31f98a=_0x4e9f66;_0xfce904[_0x31f98a(0xae2)][_0x31f98a(0x184b)]=(_0xfce904[_0x31f98a(0xae2)][_0x31f98a(0x1c7b)]-0x1)*_0xfce904[_0x31f98a(0xae2)]['limit'],_0xfce904[_0x31f98a(0x2061)]=_0x4503b0['whatsappAccount'][_0x31f98a(0x640)](_0xfce904[_0x31f98a(0xae2)],_0x19536e)['$promise'];}function _0x4fa3e1(_0x383bd1){const _0x10f988=_0x4e9f66;_0x4503b0[_0x10f988(0x1746)][_0x10f988(0x111d)]({'id':_0x383bd1['id']})[_0x10f988(0x1d77)][_0x10f988(0x1cb0)](function(){const _0x1e137a=_0x10f988;_0xaf6ce2(),_0x4cea4a[_0x1e137a(0x829)]({'title':_0x3861ea[_0x1e137a(0x25cc)](_0x1e137a(0x1f96))});})[_0x10f988(0x1c4)](function(_0x288d5f){const _0x60ba92=_0x10f988;if(_0x288d5f['data']&&_0x288d5f[_0x60ba92(0x25c)][_0x60ba92(0x1a7c)]&&_0x288d5f['data'][_0x60ba92(0x1a7c)][_0x60ba92(0xfd0)]){_0xfce904[_0x60ba92(0x1a7c)]=_0x288d5f[_0x60ba92(0x25c)][_0x60ba92(0x1a7c)]||[{'message':_0x288d5f[_0x60ba92(0x147f)](),'type':_0x60ba92(0x2618)}];for(let _0x38e062=0x0;_0x38e062<_0x288d5f[_0x60ba92(0x25c)][_0x60ba92(0x1a7c)][_0x60ba92(0xfd0)];_0x38e062++){_0x4cea4a[_0x60ba92(0x218e)]({'title':_0x288d5f['data'][_0x60ba92(0x1a7c)][_0x38e062]['type'],'msg':_0x288d5f['data'][_0x60ba92(0x1a7c)][_0x38e062][_0x60ba92(0x155e)]});}}else _0x4cea4a[_0x60ba92(0x218e)]({'title':_0x288d5f[_0x60ba92(0x291)]?_0x60ba92(0xeb9)+_0x288d5f[_0x60ba92(0x291)]+_0x60ba92(0x1657)+_0x288d5f[_0x60ba92(0xc22)]:_0x60ba92(0x2618),'msg':_0x288d5f[_0x60ba92(0x25c)]?JSON['stringify'](_0x288d5f['data'][_0x60ba92(0x155e)]):_0x288d5f[_0x60ba92(0x155e)]||_0x288d5f[_0x60ba92(0x147f)]()});});}function _0x48480e(){const _0x14c0b4=_0x4e9f66,_0x1a3a78=angular['copy'](_0xfce904[_0x14c0b4(0x122a)]);return _0xfce904[_0x14c0b4(0x122a)]=[],_0x1a3a78;}function _0x41af2f(_0xe2b97d){const _0x33d197=_0x4e9f66,_0x60770d=_0x521877[_0x33d197(0x1551)]()[_0x33d197(0x1386)](_0x3861ea[_0x33d197(0x25cc)]('TOOLS.NOTIFICATIONS.DISPOSITIONS_DELETE_TITLE'))[_0x33d197(0x862)](_0x3861ea[_0x33d197(0x25cc)](_0x33d197(0xb3c),{'total':_0xfce904['selectedDispositions'][_0x33d197(0xfd0)]}))[_0x33d197(0x15ad)]('Delete\x20dispositions')[_0x33d197(0x728)](_0xe2b97d)['ok']('OK')[_0x33d197(0x696)](_0x3861ea[_0x33d197(0x25cc)]('APP.CANCEL'));_0x521877['show'](_0x60770d)[_0x33d197(0x1cb0)](function(){const _0x45e632=_0x33d197;_0xfce904[_0x45e632(0x122a)][_0x45e632(0xf90)](function(_0x4895db){_0x4fa3e1(_0x4895db);}),_0xfce904[_0x45e632(0x122a)]=[];});}function _0x20755e(){_0xfce904['selectedDispositions']=[];}function _0x51e0bf(){const _0x3d8779=_0x4e9f66;_0xfce904[_0x3d8779(0x122a)]=_0xfce904['dispositions'][_0x3d8779(0x2214)];}let _0x3911a1=!![],_0x5ddd8a=0x1;_0x1fffc3['$watch'](_0x4e9f66(0xeb6),function(_0x449321,_0x522823){const _0x3e77b2=_0x4e9f66;_0x3911a1?_0x174447(function(){_0x3911a1=![];}):(!_0x522823&&(_0x5ddd8a=_0xfce904['query'][_0x3e77b2(0x1c7b)]),_0x449321!==_0x522823&&(_0xfce904[_0x3e77b2(0xae2)]['page']=0x1),!_0x449321&&(_0xfce904[_0x3e77b2(0xae2)][_0x3e77b2(0x1c7b)]=_0x5ddd8a),_0xaf6ce2());});}const _0x49637d=_0x15975e;;const _0x28c78f=_0x5074a3['p']+_0x5537c6(0x2347);;_0xa10d4b[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x1f10),'$state',_0x5537c6(0x417),'$mdDialog',_0x5537c6(0x22bf),'$timeout',_0x5537c6(0x1ae),_0x5537c6(0xbb3),_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x142b),_0x5537c6(0xde8),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0xa10d4b(_0x5bfb47,_0x20f611,_0x5264e4,_0x3c1756,_0x2dba,_0x5407ad,_0x56d7c0,_0x2180ca,_0x5ae820,_0x2e1c4e,_0x3617b5,_0x2a4e04,_0x58452c,_0x2d000f,_0x475903,_0x25a363,_0x29a881){const _0x16f7c6=_0x5537c6,_0xee152b=this;_0xee152b[_0x16f7c6(0x8a5)]=_0x25a363,_0xee152b[_0x16f7c6(0x9ca)]=_0x29a881,_0xee152b[_0x16f7c6(0xe76)]=_0x475903['getCurrentUser'](),_0xee152b['whatsappAccounts']=_0x5ae820||{'count':0x0,'rows':[]},_0xee152b[_0x16f7c6(0x44a)]=_0x2e1c4e,_0xee152b[_0x16f7c6(0x2199)]=_0x3617b5&&_0x3617b5['count']==0x1?_0x3617b5[_0x16f7c6(0x2214)][0x0]:null,_0xee152b[_0x16f7c6(0x1b1a)]=_0x475903[_0x16f7c6(0x14ea)](_0xee152b[_0x16f7c6(0x2199)]?_0xee152b[_0x16f7c6(0x2199)][_0x16f7c6(0x1b1a)]:null),_0xee152b[_0x16f7c6(0xc83)]=_0x16f7c6(0xbb3),_0xee152b[_0x16f7c6(0x1d20)]='',_0xee152b[_0x16f7c6(0x1cdf)]=null,_0xee152b[_0x16f7c6(0x112e)]=[],_0xee152b[_0x16f7c6(0xae2)]={'fields':'createdAt,updatedAt,id,name,key,ListId,remote,token,type,accountSid,authToken,phone,receiveUrl,deliveryReportUrl,notificationShake,notificationSound,notificationTemplate,queueTransfer,queueTransferTimeout,waitForTheAssignedAgent,mandatoryDisposition,mandatoryDispositionPauseId,agentTransfer,agentTransferTimeout,description','sort':_0x16f7c6(0x282),'limit':0xa,'page':0x1},_0xee152b[_0x16f7c6(0x101d)]=_0x39641b()[_0x16f7c6(0x2631)]([{'option':'Twilio','value':_0x16f7c6(0x17d1)}],function(_0x132714){const _0x524c21=_0x16f7c6;return _0x39641b()['replace'](_0x132714[_0x524c21(0x327)],new RegExp('\x27','g'),'');}),_0xee152b[_0x16f7c6(0x235d)]=_0x25b2e9,_0xee152b[_0x16f7c6(0x1552)]=_0x55d8d0,_0xee152b[_0x16f7c6(0x1379)]=_0x4bf676,_0xee152b[_0x16f7c6(0x27fe)]=_0x37b809,_0xee152b[_0x16f7c6(0x829)]=_0x179176,_0xee152b[_0x16f7c6(0x1758)]=_0x1cb5d5,_0xee152b[_0x16f7c6(0x16eb)]=_0x3a8670,_0xee152b[_0x16f7c6(0x21f8)]=_0x5007c8,_0xee152b[_0x16f7c6(0x9b9)]=_0x2717dd,_0xee152b[_0x16f7c6(0xe6e)]=_0x3b0191,_0xee152b[_0x16f7c6(0x2c7)]=_0x3c002d,_0xee152b['selectAllWhatsappAccounts']=_0x5d49e5,_0x475903['hasRole'](_0x16f7c6(0x1c60))?_0x2a4e04[_0x16f7c6(0x22f2)][_0x16f7c6(0xbf7)]({'fields':_0x16f7c6(0x43c),'sort':_0x16f7c6(0x16b6)})['$promise'][_0x16f7c6(0x1cb0)](function(_0x1ded32){const _0x120020=_0x16f7c6;_0xee152b[_0x120020(0x1046)]=_0x1ded32[_0x120020(0x2214)]||[];})[_0x16f7c6(0x1c4)](function(_0x4cd20b){const _0x43ccb1=_0x16f7c6;_0x2d000f[_0x43ccb1(0x218e)]({'title':_0x4cd20b[_0x43ccb1(0x291)]?'API:'+_0x4cd20b['status']+_0x43ccb1(0x1657)+_0x4cd20b[_0x43ccb1(0xc22)]:_0x43ccb1(0x149e),'msg':_0x4cd20b[_0x43ccb1(0x25c)]?JSON[_0x43ccb1(0x2701)](_0x4cd20b['data']):_0x4cd20b[_0x43ccb1(0x147f)]()});}):_0x2a4e04[_0x16f7c6(0x22f2)][_0x16f7c6(0xbf7)]({'fields':'id,name','sort':_0x16f7c6(0x16b6)})[_0x16f7c6(0x1d77)][_0x16f7c6(0x1cb0)](function(_0x4a1dad){const _0x23c729=_0x16f7c6;_0xee152b['lists']=_0x4a1dad[_0x23c729(0x2214)]||[];})['then'](function(){const _0x2f77ce=_0x16f7c6;return _0x2a4e04['userProfileSection']['get']({'userProfileId':_0xee152b[_0x2f77ce(0xe76)][_0x2f77ce(0x13c1)],'sectionId':0x12d})[_0x2f77ce(0x1d77)];})['then'](function(_0x24c207){const _0x89ed5e=_0x16f7c6,_0x426cf3=_0x24c207&&_0x24c207[_0x89ed5e(0x2214)]?_0x24c207['rows'][0x0]:null;if(!_0x426cf3){const _0xa72674=[];let _0x195b60=null;_0xee152b[_0x89ed5e(0x83a)]&&(_0x195b60=_0x39641b()[_0x89ed5e(0x13b4)](_0xee152b['lists'],{'id':Number(_0xee152b['whatsappAccount'][_0x89ed5e(0x20a6)])}));for(let _0x471a90=0x0;_0x471a90<_0xee152b[_0x89ed5e(0x1046)][_0x89ed5e(0xfd0)];_0x471a90++){_0x195b60&&_0xee152b[_0x89ed5e(0x1046)][_0x471a90]['id']===_0x195b60['id']&&(_0xee152b[_0x89ed5e(0x1046)][_0x471a90][_0x89ed5e(0x15da)]=![],_0xa72674[_0x89ed5e(0x2785)](_0xee152b[_0x89ed5e(0x1046)][_0x471a90]));}_0xee152b[_0x89ed5e(0x1046)]=_0xa72674;}else{if(!_0x426cf3[_0x89ed5e(0x12f4)])return _0x2a4e04['userProfileResource'][_0x89ed5e(0xbf7)]({'sectionId':_0x426cf3['id']})['$promise'][_0x89ed5e(0x1cb0)](function(_0x2651ab){const _0x340297=_0x89ed5e,_0x2d732f=_0x39641b()[_0x340297(0x1de2)](_0x2651ab[_0x340297(0x2214)],function(_0x3d81dd){const _0xe2a675=_0x340297;return _0x39641b()[_0xe2a675(0x13b4)](_0xee152b[_0xe2a675(0x1046)],{'id':_0x3d81dd[_0xe2a675(0x2982)]});});let _0x3f79ac=null;_0xee152b[_0x340297(0x83a)]&&(_0x3f79ac=_0x39641b()[_0x340297(0x13b4)](_0xee152b[_0x340297(0x1046)],{'id':Number(_0xee152b['whatsappAccount'][_0x340297(0x20a6)])}));if(_0x3f79ac&&!_0x39641b()[_0x340297(0x727)](_0x2d732f,['id',_0x3f79ac['id']])){const _0x2e2ee8=_0x39641b()['find'](_0xee152b[_0x340297(0x1046)],{'id':_0x3f79ac['id']});_0x2e2ee8[_0x340297(0x15da)]=![],_0x2d732f[_0x340297(0x2785)](_0x2e2ee8);}_0xee152b['lists']=_0x2d732f;});}})[_0x16f7c6(0x1c4)](function(_0x333a4a){const _0x5f2fcf=_0x16f7c6;_0x2d000f[_0x5f2fcf(0x218e)]({'title':_0x333a4a[_0x5f2fcf(0x291)]?_0x5f2fcf(0xeb9)+_0x333a4a[_0x5f2fcf(0x291)]+_0x5f2fcf(0x1657)+_0x333a4a[_0x5f2fcf(0xc22)]:_0x5f2fcf(0x113c),'msg':_0x333a4a[_0x5f2fcf(0x25c)]?JSON['stringify'](_0x333a4a['data']):_0x333a4a['toString']()});}),_0x475903[_0x16f7c6(0x22b6)](_0x16f7c6(0x1c60))?_0x2a4e04[_0x16f7c6(0x785)][_0x16f7c6(0xbf7)]({'fields':_0x16f7c6(0x791),'sort':_0x16f7c6(0x16b6),'nolimit':_0x16f7c6(0x44d)})['$promise'][_0x16f7c6(0x1cb0)](function(_0x4bf857){const _0x3d5e58=_0x16f7c6;_0xee152b[_0x3d5e58(0x8de)]=_0x4bf857[_0x3d5e58(0x2214)]||[];})['catch'](function(_0x294d08){const _0x5a5100=_0x16f7c6;_0x2d000f[_0x5a5100(0x218e)]({'title':_0x294d08[_0x5a5100(0x291)]?_0x5a5100(0xeb9)+_0x294d08['status']+'\x20-\x20'+_0x294d08[_0x5a5100(0xc22)]:_0x5a5100(0x1589),'msg':_0x294d08['data']?JSON[_0x5a5100(0x2701)](_0x294d08[_0x5a5100(0x25c)]):_0x294d08[_0x5a5100(0x147f)]()});}):_0x2a4e04[_0x16f7c6(0x785)][_0x16f7c6(0xbf7)]({'fields':'name,id','sort':_0x16f7c6(0x16b6),'nolimit':_0x16f7c6(0x44d)})[_0x16f7c6(0x1d77)][_0x16f7c6(0x1cb0)](function(_0x24c9c6){const _0x96822f=_0x16f7c6;_0xee152b[_0x96822f(0x8de)]=_0x24c9c6[_0x96822f(0x2214)]||[];})[_0x16f7c6(0x1cb0)](function(){const _0x398f42=_0x16f7c6;return _0x2a4e04['userProfileSection'][_0x398f42(0xbf7)]({'userProfileId':_0xee152b[_0x398f42(0xe76)]['userProfileId'],'sectionId':0x3ed})[_0x398f42(0x1d77)];})[_0x16f7c6(0x1cb0)](function(_0x4939f5){const _0x1d4749=_0x16f7c6,_0x418654=_0x4939f5&&_0x4939f5[_0x1d4749(0x2214)]?_0x4939f5['rows'][0x0]:null;if(!_0x418654)_0xee152b[_0x1d4749(0x8de)]=[];else{if(!_0x418654[_0x1d4749(0x12f4)])return _0x2a4e04['userProfileResource'][_0x1d4749(0xbf7)]({'sectionId':_0x418654['id']})[_0x1d4749(0x1d77)][_0x1d4749(0x1cb0)](function(_0x20920a){const _0x517e0b=_0x1d4749,_0x15adfe=_0x39641b()[_0x517e0b(0x1de2)](_0x20920a['rows'],function(_0xc279cd){const _0x37d2c3=_0x517e0b;return _0x39641b()['find'](_0xee152b[_0x37d2c3(0x8de)],{'id':_0xc279cd[_0x37d2c3(0x2982)]});});_0xee152b[_0x517e0b(0x8de)][_0x517e0b(0xf90)](function(_0x7ad34d){const _0x1b56c2=_0x517e0b;!_0x39641b()[_0x1b56c2(0x727)](_0x15adfe,['id',_0x7ad34d['id']])&&(_0x7ad34d[_0x1b56c2(0x15da)]=![]),_0x15adfe[_0x1b56c2(0x2785)](_0x7ad34d);}),_0xee152b[_0x517e0b(0x8de)]=_0x15adfe;});}})['catch'](function(_0x3eb80c){const _0x3e52b1=_0x16f7c6;_0x2d000f[_0x3e52b1(0x218e)]({'title':_0x3eb80c[_0x3e52b1(0x291)]?'API:'+_0x3eb80c[_0x3e52b1(0x291)]+'\x20-\x20'+_0x3eb80c['statusText']:'SYSTEM:GETpauses','msg':_0x3eb80c[_0x3e52b1(0x25c)]?JSON[_0x3e52b1(0x2701)](_0x3eb80c[_0x3e52b1(0x25c)]):_0x3eb80c['toString']()});});function _0x25b2e9(_0x56cbcc){const _0x2fa0c3=_0x16f7c6;_0x5264e4['go'](_0x2fa0c3(0x40c),{'id':_0x56cbcc['id'],'whatsappAccount':_0x56cbcc,'crudPermissions':_0xee152b[_0x2fa0c3(0x1b1a)]});}function _0x55d8d0(_0x1bcf4c){const _0x32c152=_0x16f7c6;_0x5264e4['go'](_0x32c152(0x40c),{'id':_0x1bcf4c['id'],'tab':0x5});}function _0x4bf676(_0x9b74a,_0x10813a){const _0x237da8=_0x16f7c6;_0x2dba[_0x237da8(0xe27)]({'controller':'WhatsappAccountagentaddController','controllerAs':'vm','templateUrl':_0x365a4a,'parent':angular[_0x237da8(0x1853)](_0x5407ad['body']),'targetEvent':_0x10813a,'clickOutsideToClose':!![],'locals':{'whatsappAccount':_0x9b74a,'whatsappAccounts':_0xee152b[_0x237da8(0xbb3)]?_0xee152b[_0x237da8(0xbb3)][_0x237da8(0x2214)]:[],'crudPermissions':_0xee152b['crudPermissions'],'realtime':![]}});}function _0x37b809(_0x571b29,_0x1df42b){const _0x2f6122=_0x16f7c6,_0x24f02f=_0x2dba[_0x2f6122(0x1551)]()[_0x2f6122(0x1386)](_0x2f6122(0x140b)+_0x39641b()[_0x2f6122(0xa75)](_0x2f6122(0x83a))+'?')[_0x2f6122(0x49e)](_0x2f6122(0x204d)+(_0x571b29[_0x2f6122(0x16b6)]||'whatsappAccount')+_0x2f6122(0x1200)+_0x2f6122(0x1b6))[_0x2f6122(0x15ad)](_0x2f6122(0x8cd))['targetEvent'](_0x1df42b)['ok']('OK')[_0x2f6122(0x696)](_0x2f6122(0x24ba));_0x2dba[_0x2f6122(0xe27)](_0x24f02f)[_0x2f6122(0x1cb0)](function(){_0x5007c8(_0x571b29);},function(){const _0x3d310f=_0x2f6122;console[_0x3d310f(0x1b4f)]('CANCEL');});}let _0x359a18=!![],_0x52421d=0x1;_0x5bfb47[_0x16f7c6(0x614)](_0x16f7c6(0x957),function(_0x4f67ae,_0x1b3508){const _0x360dd0=_0x16f7c6;_0x359a18?_0x56d7c0(function(){_0x359a18=![];}):(!_0x1b3508&&(_0x52421d=_0xee152b[_0x360dd0(0xae2)][_0x360dd0(0x1c7b)]),_0x4f67ae!==_0x1b3508&&(_0xee152b['query'][_0x360dd0(0x1c7b)]=0x1),!_0x4f67ae&&(_0xee152b['query']['page']=_0x52421d),_0xee152b[_0x360dd0(0x1758)]());});function _0x179176(_0x120133){const _0x3a20d7=_0x16f7c6;_0xee152b[_0x3a20d7(0xbb3)]=_0x120133||{'count':0x0,'rows':[]};}function _0x1cb5d5(){const _0x20b97f=_0x16f7c6;_0xee152b[_0x20b97f(0xae2)][_0x20b97f(0x184b)]=(_0xee152b[_0x20b97f(0xae2)][_0x20b97f(0x1c7b)]-0x1)*_0xee152b['query'][_0x20b97f(0x236)],_0x475903['hasRole'](_0x20b97f(0x1c60))?_0xee152b[_0x20b97f(0x2061)]=_0x2a4e04[_0x20b97f(0x83a)][_0x20b97f(0xbf7)](_0xee152b[_0x20b97f(0xae2)],_0x179176)[_0x20b97f(0x1d77)]:(_0xee152b[_0x20b97f(0xae2)]['id']=_0xee152b[_0x20b97f(0x44a)]['id'],_0xee152b[_0x20b97f(0xae2)][_0x20b97f(0x1f74)]='WhatsappAccounts',_0xee152b[_0x20b97f(0x2061)]=_0x2a4e04['userProfile'][_0x20b97f(0x1810)](_0xee152b[_0x20b97f(0xae2)],_0x179176)[_0x20b97f(0x1d77)]);}function _0x3a8670(_0x45cc96,_0x14b606){const _0x26dea6=_0x16f7c6;_0x2dba[_0x26dea6(0xe27)]({'controller':_0x26dea6(0x1242),'controllerAs':'vm','templateUrl':_0x28c78f,'parent':angular[_0x26dea6(0x1853)](_0x5407ad[_0x26dea6(0x1ed9)]),'targetEvent':_0x45cc96,'clickOutsideToClose':!![],'locals':{'whatsappAccount':_0x14b606,'whatsappAccounts':_0xee152b['whatsappAccounts']['rows'],'license':_0xee152b[_0x26dea6(0x8a5)],'setting':_0xee152b['setting'],'crudPermissions':_0xee152b['crudPermissions']}});}function _0x5007c8(_0x107c73){const _0x11e56b=_0x16f7c6;_0x2a4e04[_0x11e56b(0x83a)][_0x11e56b(0x111d)]({'id':_0x107c73['id']})[_0x11e56b(0x1d77)][_0x11e56b(0x1cb0)](function(){const _0x34202c=_0x11e56b;_0x39641b()[_0x34202c(0x152a)](_0xee152b[_0x34202c(0xbb3)][_0x34202c(0x2214)],{'id':_0x107c73['id']}),_0xee152b[_0x34202c(0xbb3)][_0x34202c(0x184d)]-=0x1,!_0xee152b[_0x34202c(0xbb3)][_0x34202c(0x2214)][_0x34202c(0xfd0)]&&_0xee152b[_0x34202c(0x1758)](),_0x2d000f[_0x34202c(0x829)]({'title':_0x39641b()[_0x34202c(0xa75)]('WhatsappAccount')+_0x34202c(0x2663),'msg':_0x107c73['name']?_0x107c73[_0x34202c(0x16b6)]+'\x20has\x20been\x20deleted!':''});})['catch'](function(_0x2abd22){const _0x434472=_0x11e56b;if(_0x2abd22[_0x434472(0x25c)]&&_0x2abd22[_0x434472(0x25c)]['errors']&&_0x2abd22[_0x434472(0x25c)][_0x434472(0x1a7c)][_0x434472(0xfd0)]){_0xee152b[_0x434472(0x1a7c)]=_0x2abd22[_0x434472(0x25c)][_0x434472(0x1a7c)]||[{'message':_0x2abd22[_0x434472(0x147f)](),'type':'SYSTEM:DELETEwhatsappAccount'}];for(let _0x2d66cc=0x0;_0x2d66cc<_0x2abd22[_0x434472(0x25c)][_0x434472(0x1a7c)]['length'];_0x2d66cc++){_0x2d000f[_0x434472(0x218e)]({'title':_0x2abd22[_0x434472(0x25c)]['errors'][_0x2d66cc][_0x434472(0x66a)],'msg':_0x2abd22[_0x434472(0x25c)]['errors'][_0x2d66cc][_0x434472(0x155e)]});}}else _0x2d000f[_0x434472(0x218e)]({'title':_0x2abd22[_0x434472(0x291)]?'API:'+_0x2abd22[_0x434472(0x291)]+'\x20-\x20'+_0x2abd22[_0x434472(0xc22)]:_0x434472(0x1464),'msg':_0x2abd22[_0x434472(0x25c)]?JSON[_0x434472(0x2701)](_0x2abd22['data'][_0x434472(0x155e)]):_0x2abd22['message']||_0x2abd22[_0x434472(0x147f)]()});});}function _0x2717dd(){const _0x437e11=_0x16f7c6,_0x3c58f7=angular[_0x437e11(0x17fe)](_0xee152b[_0x437e11(0x112e)]);return _0xee152b['selectedWhatsappAccounts']=[],_0x3c58f7;}function _0x3b0191(_0x562080){const _0x10bec5=_0x16f7c6,_0x4c3aaf=_0x2dba[_0x10bec5(0x1551)]()[_0x10bec5(0x1386)](_0x10bec5(0x225))[_0x10bec5(0x49e)](_0x10bec5(0x204d)+_0xee152b[_0x10bec5(0x112e)][_0x10bec5(0xfd0)]+'\x20selected'+_0x10bec5(0x1b6))[_0x10bec5(0x15ad)]('delete\x20WhatsappAccounts')['targetEvent'](_0x562080)['ok']('OK')[_0x10bec5(0x696)](_0x10bec5(0x24ba));_0x2dba[_0x10bec5(0xe27)](_0x4c3aaf)[_0x10bec5(0x1cb0)](function(){const _0x3e520a=_0x10bec5;_0xee152b['selectedWhatsappAccounts'][_0x3e520a(0xf90)](function(_0x3d2fa0){_0x5007c8(_0x3d2fa0);}),_0xee152b[_0x3e520a(0x112e)]=[];});}function _0x3c002d(){const _0x554cea=_0x16f7c6;_0xee152b[_0x554cea(0x112e)]=[];}function _0x5d49e5(){const _0x4227b9=_0x16f7c6;_0xee152b[_0x4227b9(0x112e)]=_0xee152b['whatsappAccounts'][_0x4227b9(0x2214)];}}const _0x4e00bd=_0xa10d4b;;_0x19d340['$inject']=[_0x5537c6(0x1463),_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),'$q','$translate',_0x5537c6(0x9bf),_0x5537c6(0x6d8),_0x5537c6(0xea8),_0x5537c6(0x142b),_0x5537c6(0x1774),_0x5537c6(0x8a5),'setting',_0x5537c6(0x1b1a)];function _0x19d340(_0x361f1f,_0x2c03b2,_0x56dd29,_0x26d7ab,_0x445b26,_0x404696,_0x41d4e5,_0x49792a,_0x268370,_0x14efcb,_0x415e1f,_0x443581,_0x7b10bc,_0x2d4b78){const _0x44605a=_0x5537c6,_0x5cf80f=this;_0x5cf80f[_0x44605a(0xe76)]=_0x415e1f[_0x44605a(0x21e8)](),_0x5cf80f[_0x44605a(0x1a7c)]=[],_0x5cf80f[_0x44605a(0x9ca)]=_0x7b10bc,_0x5cf80f[_0x44605a(0x8a5)]=_0x443581,_0x5cf80f[_0x44605a(0x1b1a)]=_0x2d4b78,_0x5cf80f[_0x44605a(0xf4c)]={},_0x5cf80f[_0x44605a(0x1b0c)]=_0x5cf80f['setting']&&_0x5cf80f[_0x44605a(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x5cf80f['title']=_0x44605a(0x294),_0x5cf80f[_0x44605a(0xea8)]=angular[_0x44605a(0x17fe)](_0x268370),_0x5cf80f['whatsappQueues']=_0x49792a,_0x5cf80f[_0x44605a(0x1edd)]=![];!_0x5cf80f[_0x44605a(0xea8)]&&(_0x5cf80f['whatsappQueue']={'strategy':_0x44605a(0x19bf),'timeout':0xa},_0x5cf80f[_0x44605a(0x1386)]=_0x44605a(0xde3),_0x5cf80f[_0x44605a(0x1edd)]=!![]);_0x5cf80f[_0x44605a(0x1150)]=_0x2f0f33,_0x5cf80f[_0x44605a(0x21d3)]=_0x2c4e9b,_0x5cf80f[_0x44605a(0x266)]=_0x5de7e6,_0x5cf80f['getDateFromString']=_0x3b6825,_0x5cf80f['closeDialog']=_0x156319;function _0x2f0f33(){const _0x42dcb7=_0x44605a;_0x5cf80f[_0x42dcb7(0x1a7c)]=[],_0x14efcb[_0x42dcb7(0xea8)][_0x42dcb7(0x1c3f)](_0x5cf80f[_0x42dcb7(0xea8)])[_0x42dcb7(0x1d77)][_0x42dcb7(0x1cb0)](function(_0x10e1e7){const _0x1c1a4a=_0x42dcb7;_0x5cf80f[_0x1c1a4a(0x6d8)]['unshift'](_0x10e1e7[_0x1c1a4a(0x19b2)]()),_0x41d4e5[_0x1c1a4a(0x829)]({'title':_0x1c1a4a(0x1c1b),'msg':_0x5cf80f[_0x1c1a4a(0xea8)][_0x1c1a4a(0x16b6)]?_0x5cf80f[_0x1c1a4a(0xea8)]['name']+_0x1c1a4a(0x470):''}),_0x156319(_0x10e1e7);})[_0x42dcb7(0x1c4)](function(_0x36500e){const _0x4a9fa6=_0x42dcb7;if(_0x36500e['data']&&_0x36500e[_0x4a9fa6(0x25c)][_0x4a9fa6(0x1a7c)]&&_0x36500e[_0x4a9fa6(0x25c)][_0x4a9fa6(0x1a7c)]['length']){_0x5cf80f['errors']=_0x36500e['data'][_0x4a9fa6(0x1a7c)]||[{'message':_0x36500e[_0x4a9fa6(0x147f)](),'type':_0x4a9fa6(0xa65)}];for(let _0xb13fb9=0x0;_0xb13fb9<_0x36500e['data'][_0x4a9fa6(0x1a7c)][_0x4a9fa6(0xfd0)];_0xb13fb9+=0x1){_0x41d4e5[_0x4a9fa6(0x218e)]({'title':_0x36500e[_0x4a9fa6(0x25c)]['errors'][_0xb13fb9]['type'],'msg':_0x36500e['data'][_0x4a9fa6(0x1a7c)][_0xb13fb9][_0x4a9fa6(0x155e)]});}}else _0x41d4e5[_0x4a9fa6(0x218e)]({'title':_0x36500e[_0x4a9fa6(0x291)]?_0x4a9fa6(0xeb9)+_0x36500e[_0x4a9fa6(0x291)]+_0x4a9fa6(0x1657)+_0x36500e[_0x4a9fa6(0xc22)]:_0x4a9fa6(0xa65),'msg':_0x36500e[_0x4a9fa6(0x25c)]?JSON[_0x4a9fa6(0x2701)](_0x36500e[_0x4a9fa6(0x25c)][_0x4a9fa6(0x155e)]):_0x36500e[_0x4a9fa6(0x147f)]()});});}function _0x2c4e9b(){const _0x5cba71=_0x44605a;_0x5cf80f[_0x5cba71(0x1a7c)]=[],_0x14efcb[_0x5cba71(0xea8)][_0x5cba71(0x687)]({'id':_0x5cf80f[_0x5cba71(0xea8)]['id']},_0x5cf80f[_0x5cba71(0xea8)])[_0x5cba71(0x1d77)][_0x5cba71(0x1cb0)](function(_0x41a9c){const _0x15dd95=_0x5cba71,_0x16a79b=_0x39641b()['find'](_0x5cf80f['whatsappQueues'],{'id':_0x41a9c['id']});_0x16a79b&&_0x39641b()['merge'](_0x16a79b,_0x39641b()[_0x15dd95(0x169b)](_0x41a9c[_0x15dd95(0x19b2)](),_0x39641b()[_0x15dd95(0x1be5)](_0x16a79b))),_0x41d4e5[_0x15dd95(0x829)]({'title':_0x15dd95(0x23aa),'msg':_0x5cf80f['whatsappQueue'][_0x15dd95(0x16b6)]?_0x5cf80f[_0x15dd95(0xea8)][_0x15dd95(0x16b6)]+'\x20has\x20been\x20saved!':''}),_0x156319(_0x41a9c);})[_0x5cba71(0x1c4)](function(_0x1eb104){const _0x491ab2=_0x5cba71;if(_0x1eb104[_0x491ab2(0x25c)]&&_0x1eb104[_0x491ab2(0x25c)][_0x491ab2(0x1a7c)]&&_0x1eb104['data'][_0x491ab2(0x1a7c)]['length']){_0x5cf80f['errors']=_0x1eb104['data'][_0x491ab2(0x1a7c)]||[{'message':_0x1eb104[_0x491ab2(0x147f)](),'type':_0x491ab2(0x2878)}];for(let _0x5640be=0x0;_0x5640be<_0x1eb104[_0x491ab2(0x25c)]['errors']['length'];_0x5640be++){_0x41d4e5[_0x491ab2(0x218e)]({'title':_0x1eb104[_0x491ab2(0x25c)][_0x491ab2(0x1a7c)][_0x5640be]['type'],'msg':_0x1eb104[_0x491ab2(0x25c)][_0x491ab2(0x1a7c)][_0x5640be][_0x491ab2(0x155e)]});}}else _0x41d4e5['error']({'title':_0x1eb104[_0x491ab2(0x291)]?_0x491ab2(0xeb9)+_0x1eb104[_0x491ab2(0x291)]+'\x20-\x20'+_0x1eb104[_0x491ab2(0xc22)]:_0x491ab2(0x2878),'msg':_0x1eb104['data']?JSON[_0x491ab2(0x2701)](_0x1eb104['data'][_0x491ab2(0x155e)]):_0x1eb104[_0x491ab2(0x147f)]()});});}function _0x5de7e6(_0x42d704){const _0x371a46=_0x44605a;_0x5cf80f['errors']=[];const _0x4dfb9b=_0x26d7ab[_0x371a46(0x1551)]()[_0x371a46(0x1386)]('Are\x20you\x20sure?')[_0x371a46(0x862)](_0x371a46(0x1d96))[_0x371a46(0x15ad)](_0x371a46(0x22d1))['ok'](_0x371a46(0x2594))[_0x371a46(0x696)](_0x371a46(0xde1))[_0x371a46(0x728)](_0x42d704);_0x26d7ab[_0x371a46(0xe27)](_0x4dfb9b)[_0x371a46(0x1cb0)](function(){const _0x27f219=_0x371a46;_0x14efcb[_0x27f219(0xea8)][_0x27f219(0x111d)]({'id':_0x5cf80f[_0x27f219(0xea8)]['id']})['$promise'][_0x27f219(0x1cb0)](function(){const _0x311a8c=_0x27f219;_0x39641b()[_0x311a8c(0x152a)](_0x5cf80f[_0x311a8c(0x6d8)],{'id':_0x5cf80f['whatsappQueue']['id']}),_0x41d4e5[_0x311a8c(0x829)]({'title':'WhatsappQueue\x20properly\x20deleted!','msg':(_0x5cf80f['whatsappQueue'][_0x311a8c(0x16b6)]||_0x311a8c(0xea8))+'\x20has\x20been\x20deleted!'}),_0x156319(_0x5cf80f['whatsappQueue']);})[_0x27f219(0x1c4)](function(_0x4283fb){const _0x24a114=_0x27f219;if(_0x4283fb[_0x24a114(0x25c)]&&_0x4283fb[_0x24a114(0x25c)]['errors']&&_0x4283fb[_0x24a114(0x25c)][_0x24a114(0x1a7c)][_0x24a114(0xfd0)]){_0x5cf80f['errors']=_0x4283fb['data'][_0x24a114(0x1a7c)]||[{'message':_0x4283fb[_0x24a114(0x147f)](),'type':_0x24a114(0x1947)}];for(let _0x3ec793=0x0;_0x3ec793<_0x4283fb['data'][_0x24a114(0x1a7c)][_0x24a114(0xfd0)];_0x3ec793++){_0x41d4e5['error']({'title':_0x4283fb['data'][_0x24a114(0x1a7c)][_0x3ec793]['type'],'msg':_0x4283fb[_0x24a114(0x25c)][_0x24a114(0x1a7c)][_0x3ec793][_0x24a114(0x155e)]});}}else _0x41d4e5['error']({'title':_0x4283fb[_0x24a114(0x291)]?_0x24a114(0xeb9)+_0x4283fb['status']+_0x24a114(0x1657)+_0x4283fb[_0x24a114(0xc22)]:_0x24a114(0x1947),'msg':_0x4283fb['data']?JSON['stringify'](_0x4283fb['data'][_0x24a114(0x155e)]):_0x4283fb[_0x24a114(0x155e)]||_0x4283fb[_0x24a114(0x147f)]()});});},function(){});}function _0x3b6825(_0x54f5fb){return _0x54f5fb===null?undefined:new Date(_0x54f5fb);}function _0x156319(_0xa67549){const _0x57b29b=_0x44605a;_0x26d7ab[_0x57b29b(0x1426)](_0xa67549);}}const _0x2f7c74=_0x19d340;;_0x2a94f6['$inject']=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),'api','whatsappQueue',_0x5537c6(0x6d8),_0x5537c6(0x217b),_0x5537c6(0x1ae),'Auth','crudPermissions'];function _0x2a94f6(_0xd45245,_0x1b3976,_0x4e72a8,_0x2b8acc,_0x41ba65,_0x6d3503,_0xcbf556,_0x49f7be,_0x19ff32,_0x2fc8af){const _0x3c7ce3=_0x5537c6,_0x244c03=this;_0x244c03[_0x3c7ce3(0xe76)]=_0x19ff32[_0x3c7ce3(0x21e8)](),_0x244c03[_0x3c7ce3(0xea8)]=_0x41ba65,_0x244c03['crudPermissions']=_0x2fc8af,_0x244c03[_0x3c7ce3(0x217b)]=_0xcbf556,_0x244c03[_0x3c7ce3(0x122f)]=[],_0x244c03[_0x3c7ce3(0x1372)]=[],_0x244c03['selectedItems']=[],_0x244c03[_0x3c7ce3(0xbd5)]=[],_0x244c03['startingSelectedItems']=[],_0x244c03[_0x3c7ce3(0x50c)]=![],_0x244c03[_0x3c7ce3(0x1a34)]=_0x411a6d,_0x244c03[_0x3c7ce3(0x1dd5)]=_0x57ee5f,_0x244c03['closeDialog']=_0x27e121,_0x244c03[_0x3c7ce3(0x18c0)]={'readOnly':!_0x244c03[_0x3c7ce3(0x1b1a)][_0x3c7ce3(0xb3d)],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x3c7ce3(0x16b6),'line1':_0x3c7ce3(0x1d14),'line2':[_0x3c7ce3(0x16b6),_0x3c7ce3(0xdbd)],'line3':'','labelAll':_0x49f7be[_0x3c7ce3(0x25cc)]('APP.ALL_AGENTS'),'labelSelected':_0x49f7be[_0x3c7ce3(0x25cc)](_0x3c7ce3(0x1cd5)),'transferCallback':function(){const _0x39b8b0=_0x3c7ce3,_0x10d8fa=_0x39641b()[_0x39b8b0(0x1423)](_0x244c03[_0x39b8b0(0x1456)],_0x244c03[_0x39b8b0(0x20bb)],'id');_0x244c03[_0x39b8b0(0x50c)]=_0x39641b()[_0x39b8b0(0xce9)](_0x10d8fa)?![]:!![];}};function _0x411a6d(){const _0x303c7a=_0x3c7ce3;return _0x19ff32[_0x303c7a(0x22b6)](_0x303c7a(0x1c60))?_0x2f1172()[_0x303c7a(0x1c4)](function(_0x4fd16a){const _0x288543=_0x303c7a;_0x4e72a8['error']({'title':_0x4fd16a[_0x288543(0x291)]?_0x288543(0xeb9)+_0x4fd16a[_0x288543(0x291)]+_0x288543(0x1657)+_0x4fd16a[_0x288543(0xc22)]:_0x288543(0x799),'msg':_0x4fd16a[_0x288543(0x291)]?JSON['stringify'](_0x4fd16a['data']):_0x4fd16a['toString']()});}):_0x102161()[_0x303c7a(0x1cb0)](function(_0x552716){const _0x1446c0=_0x303c7a;return _0x244c03[_0x1446c0(0x1f74)]=_0x552716,_0x2f1172();})[_0x303c7a(0x1c4)](function(_0x4325e9){const _0x226f1e=_0x303c7a;_0x4e72a8['error']({'title':_0x4325e9[_0x226f1e(0x291)]?_0x226f1e(0xeb9)+_0x4325e9['status']+_0x226f1e(0x1657)+_0x4325e9['statusText']:_0x226f1e(0x799),'msg':_0x4325e9[_0x226f1e(0x291)]?JSON['stringify'](_0x4325e9[_0x226f1e(0x25c)]):_0x4325e9[_0x226f1e(0x147f)]()});});}function _0x102161(){return _0x1b3976(function(_0x29fe8e,_0xc1840b){const _0x244ecd=a0_0x5cbd;_0x2b8acc[_0x244ecd(0x2199)]['get']({'userProfileId':_0x244c03[_0x244ecd(0xe76)][_0x244ecd(0x13c1)],'name':_0x244ecd(0x2536)})['$promise'][_0x244ecd(0x1cb0)](function(_0x248293){const _0x4edbef=_0x244ecd,_0x37e3da=_0x248293&&_0x248293[_0x4edbef(0x2214)]?_0x248293['rows'][0x0]:null;_0x29fe8e(_0x37e3da);})[_0x244ecd(0x1c4)](function(_0x590bb2){_0xc1840b(_0x590bb2);});});}function _0x2f1172(){return _0x1b3976(function(_0x4ad41c,_0x49d0dd){const _0x5d025a=a0_0x5cbd;return _0x3c57a2()['then'](function(_0x16b89e){const _0xb565db=a0_0x5cbd;return _0x244c03[_0xb565db(0x122f)]=_0x16b89e[_0xb565db(0x2214)]?_0x16b89e['rows']:[],_0x19ff32[_0xb565db(0x22b6)](_0xb565db(0x1c60))?_0x16b89e:_0x244c03[_0xb565db(0x1f74)]?_0x244c03[_0xb565db(0x1f74)][_0xb565db(0x12f4)]?_0x16b89e:_0x5c4ce0():null;})[_0x5d025a(0x1cb0)](function(_0x7f552){const _0x345105=_0x5d025a,_0x3fde05=_0x7f552&&_0x7f552[_0x345105(0x2214)]?_0x7f552['rows']:[];return _0x244c03[_0x345105(0x1372)]=_0x39641b()[_0x345105(0x1de2)](_0x3fde05,function(_0x10a636){const _0x4854b7=_0x345105;return _0x39641b()[_0x4854b7(0x13b4)](_0x244c03[_0x4854b7(0x122f)],{'id':_0x19ff32['hasRole'](_0x4854b7(0x1c60))||_0x244c03[_0x4854b7(0x1f74)][_0x4854b7(0x12f4)]?_0x10a636['id']:_0x10a636[_0x4854b7(0x2982)]});}),_0x244c03[_0x345105(0xbd5)]=angular[_0x345105(0x17fe)](_0x244c03[_0x345105(0x1372)]),_0x244c03[_0x345105(0x122f)][_0x345105(0xf90)](function(_0x32a5b9){const _0x3f0ba5=_0x345105,_0x4b2199=_0x39641b()[_0x3f0ba5(0x13b4)](_0x244c03[_0x3f0ba5(0x1372)],{'id':_0x32a5b9['id']});_0x19ff32[_0x3f0ba5(0x22b6)](_0x3f0ba5(0x1c60))?_0x32a5b9[_0x3f0ba5(0x1a4f)]=!![]:_0x32a5b9['isValid']=typeof _0x4b2199!=='undefined'?!![]:![];}),_0x120435();})[_0x5d025a(0x1cb0)](function(_0xe52e07){const _0x137bd6=_0x5d025a,_0x4528f9=_0xe52e07&&_0xe52e07[_0x137bd6(0x2214)]?_0xe52e07[_0x137bd6(0x2214)]:[];_0x244c03[_0x137bd6(0x20bb)]=_0x39641b()[_0x137bd6(0x1de2)](_0x4528f9,function(_0x28ce2d){const _0x396cc4=_0x137bd6,_0x4ad9a1=_0x39641b()[_0x396cc4(0x13b4)](_0x244c03['items'],{'id':_0x28ce2d['id']});return _0x4ad9a1[_0x396cc4(0x1cbc)]=_0x28ce2d[_0x396cc4(0x2851)]?_0x396cc4(0x455)+_0x28ce2d[_0x396cc4(0x2851)]['penalty']:'',_0x4ad9a1[_0x396cc4(0xdbd)]=typeof _0x28ce2d['internal']!==_0x396cc4(0x16b5)?'<'+_0x28ce2d[_0x396cc4(0xdbd)]+'>':'',_0x4ad9a1;}),_0x244c03['startingSelectedItems']=angular[_0x137bd6(0x17fe)](_0x244c03[_0x137bd6(0x20bb)]),_0x244c03[_0x137bd6(0x18c0)][_0x137bd6(0x20bb)]=_0x244c03[_0x137bd6(0x20bb)],_0x244c03[_0x137bd6(0x18c0)][_0x137bd6(0x122f)]=_0x39641b()[_0x137bd6(0x2128)](_0x244c03['allowedItems'],_0x244c03[_0x137bd6(0x18c0)][_0x137bd6(0x20bb)],'id'),_0x4ad41c();})[_0x5d025a(0x1c4)](function(_0x36a27c){_0x49d0dd(_0x36a27c);});});}function _0x5c4ce0(){return _0x1b3976(function(_0xb61cf5,_0x53b96f){const _0x39d6b3=a0_0x5cbd;return _0x2b8acc[_0x39d6b3(0x1198)][_0x39d6b3(0xbf7)]({'sectionId':_0x244c03[_0x39d6b3(0x1f74)]['id'],'nolimit':!![]})[_0x39d6b3(0x1d77)][_0x39d6b3(0x1cb0)](function(_0x29f96b){_0xb61cf5(_0x29f96b);})[_0x39d6b3(0x1c4)](function(_0x1c2faf){_0x53b96f(_0x1c2faf);});});}function _0x120435(){return _0x1b3976(function(_0x5e6008,_0x199ff1){const _0x477aec=a0_0x5cbd;return _0x2b8acc[_0x477aec(0xea8)][_0x477aec(0x2348)]({'id':_0x244c03['whatsappQueue']['id'],'fields':_0x477aec(0x251e),'nolimit':!![],'role':_0x477aec(0x1eff)})[_0x477aec(0x1d77)][_0x477aec(0x1cb0)](function(_0x239818){_0x5e6008(_0x239818);})['catch'](function(_0x4a605a){_0x199ff1(_0x4a605a);});});}function _0x3c57a2(){return _0x1b3976(function(_0x1a3abf,_0x30cd6b){const _0x4e834c=a0_0x5cbd;return _0x2b8acc['user'][_0x4e834c(0xbf7)]({'fields':_0x4e834c(0x251e),'nolimit':!![],'role':'agent'})[_0x4e834c(0x1d77)][_0x4e834c(0x1cb0)](function(_0x13723d){_0x1a3abf(_0x13723d);})[_0x4e834c(0x1c4)](function(_0x55657e){_0x30cd6b(_0x55657e);});});}function _0x1cd598(_0x166ba1){return _0x1b3976(function(_0x4d9476,_0x161faf){const _0x4c327c=a0_0x5cbd;_0x39641b()[_0x4c327c(0xce9)](_0x166ba1)?_0x4d9476():_0x2b8acc[_0x4c327c(0xea8)][_0x4c327c(0x2057)]({'id':_0x244c03[_0x4c327c(0xea8)]['id'],'ids':_0x39641b()[_0x4c327c(0x1de2)](_0x166ba1,'id')})[_0x4c327c(0x1d77)][_0x4c327c(0x1cb0)](function(){_0x4d9476();})[_0x4c327c(0x1c4)](function(_0x67b166){_0x161faf(_0x67b166);});});}function _0x2b1311(_0x4242d0){return _0x1b3976(function(_0xa0522c,_0x48ef15){const _0x1bd1d4=a0_0x5cbd;_0x39641b()[_0x1bd1d4(0xce9)](_0x4242d0)?_0xa0522c():_0x2b8acc['whatsappQueue'][_0x1bd1d4(0x223f)]({'id':_0x244c03[_0x1bd1d4(0xea8)]['id'],'ids':_0x39641b()[_0x1bd1d4(0x1de2)](_0x4242d0,'id')})[_0x1bd1d4(0x1d77)]['then'](function(){_0xa0522c();})['catch'](function(_0x2bff02){_0x48ef15(_0x2bff02);});});}function _0x57ee5f(){const _0x423c4f=_0x3c7ce3,_0x34e6a6=_0x39641b()[_0x423c4f(0x2128)](_0x244c03['startingSelectedItems'],_0x244c03[_0x423c4f(0x20bb)],'id'),_0x4bceea=_0x39641b()[_0x423c4f(0x2128)](_0x244c03['selectedItems'],_0x244c03['startingSelectedItems'],'id');return _0x2b1311(_0x34e6a6)['then'](function(){return _0x1cd598(_0x4bceea);})[_0x423c4f(0x1cb0)](function(){const _0x316cba=_0x423c4f;_0x244c03['pendingChanges']=![],_0x244c03['startingAllowedItems']=angular[_0x316cba(0x17fe)](_0x244c03['allowedItems']),_0x244c03[_0x316cba(0x1456)]=angular[_0x316cba(0x17fe)](_0x244c03[_0x316cba(0x20bb)]),_0x4e72a8[_0x316cba(0x829)]({'title':_0x316cba(0x201),'msg':'Agents\x20association\x20has\x20been\x20updated!'});})[_0x423c4f(0x1c4)](function(_0x222618){const _0x3f4890=_0x423c4f;_0x4e72a8['error']({'title':_0x222618['status']?_0x3f4890(0xeb9)+_0x222618['status']+_0x3f4890(0x1657)+_0x222618[_0x3f4890(0xc22)]:_0x3f4890(0x1221),'msg':_0x222618[_0x3f4890(0x291)]?JSON[_0x3f4890(0x2701)](_0x222618[_0x3f4890(0x25c)]):_0x222618[_0x3f4890(0x147f)]()});});}function _0x27e121(){const _0x3f8f0a=_0x3c7ce3;_0xd45245[_0x3f8f0a(0x1426)]();}}const _0x416d8d=_0x2a94f6;;_0x111a7d[_0x5537c6(0x15b6)]=[_0x5537c6(0xcb9),'$q',_0x5537c6(0x9bf),_0x5537c6(0x142b),_0x5537c6(0xea8),_0x5537c6(0x1ae),_0x5537c6(0x1774),'crudPermissions'];function _0x111a7d(_0x39af8e,_0x19011b,_0x153225,_0x268b06,_0x5c848c,_0x566f5c,_0x40633b,_0x562e93){const _0x446abf=_0x5537c6,_0x46ed4d=this;_0x46ed4d[_0x446abf(0xe76)]=_0x40633b['getCurrentUser'](),_0x46ed4d[_0x446abf(0xea8)]=_0x5c848c,_0x46ed4d[_0x446abf(0x1b1a)]=_0x562e93,_0x46ed4d[_0x446abf(0x122f)]=[],_0x46ed4d[_0x446abf(0x1372)]=[],_0x46ed4d['selectedItems']=[],_0x46ed4d[_0x446abf(0xbd5)]=[],_0x46ed4d[_0x446abf(0x1456)]=[],_0x46ed4d[_0x446abf(0x50c)]=![],_0x46ed4d[_0x446abf(0x18c0)]={'readOnly':!_0x46ed4d[_0x446abf(0x1b1a)][_0x446abf(0xb3d)],'allowedItems':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x446abf(0x16b6),'line1':_0x446abf(0x16b6),'line2':'','line3':'','labelAll':_0x566f5c[_0x446abf(0x25cc)]('APP.ALL_TEAMS'),'labelSelected':_0x566f5c[_0x446abf(0x25cc)]('APP.SELECTED_TEAMS'),'transferCallback':function(){const _0x1ca744=_0x446abf,_0x2a8d14=_0x39641b()[_0x1ca744(0x1423)](_0x46ed4d[_0x1ca744(0x1456)],_0x46ed4d['selectedItems'],'id');_0x46ed4d[_0x1ca744(0x50c)]=_0x39641b()[_0x1ca744(0xce9)](_0x2a8d14)?![]:!![];}},_0x46ed4d[_0x446abf(0x1a34)]=_0x10b374,_0x46ed4d['saveTeams']=_0x8d5115,_0x46ed4d[_0x446abf(0xda0)]=_0x292103;function _0x10b374(){const _0x5a10d0=_0x446abf;return _0x40633b[_0x5a10d0(0x22b6)](_0x5a10d0(0x1c60))?_0x54d6ab()[_0x5a10d0(0x1c4)](function(_0x56cccc){const _0x285452=_0x5a10d0;_0x153225[_0x285452(0x218e)]({'title':_0x56cccc['status']?_0x285452(0xeb9)+_0x56cccc[_0x285452(0x291)]+'\x20-\x20'+_0x56cccc[_0x285452(0xc22)]:_0x285452(0x2206),'msg':_0x56cccc['status']?JSON[_0x285452(0x2701)](_0x56cccc[_0x285452(0x25c)]):_0x56cccc[_0x285452(0x147f)]()});}):_0x5dfb3b()[_0x5a10d0(0x1cb0)](function(_0x5e0453){const _0x457d25=_0x5a10d0;return _0x46ed4d[_0x457d25(0x1f74)]=_0x5e0453,_0x54d6ab();})[_0x5a10d0(0x1c4)](function(_0x3e35d9){const _0x3aa3cf=_0x5a10d0;_0x153225[_0x3aa3cf(0x218e)]({'title':_0x3e35d9[_0x3aa3cf(0x291)]?'API:'+_0x3e35d9['status']+'\x20-\x20'+_0x3e35d9['statusText']:_0x3aa3cf(0x2206),'msg':_0x3e35d9[_0x3aa3cf(0x291)]?JSON[_0x3aa3cf(0x2701)](_0x3e35d9[_0x3aa3cf(0x25c)]):_0x3e35d9[_0x3aa3cf(0x147f)]()});});}function _0x5dfb3b(){return _0x19011b(function(_0x40c891,_0x57f3cd){const _0x363ccc=a0_0x5cbd;_0x268b06[_0x363ccc(0x2199)][_0x363ccc(0xbf7)]({'userProfileId':_0x46ed4d[_0x363ccc(0xe76)][_0x363ccc(0x13c1)],'name':_0x363ccc(0x492)})[_0x363ccc(0x1d77)]['then'](function(_0x459a71){const _0x4f8f16=_0x363ccc,_0x6036a=_0x459a71&&_0x459a71[_0x4f8f16(0x2214)]?_0x459a71['rows'][0x0]:null;_0x40c891(_0x6036a);})[_0x363ccc(0x1c4)](function(_0x2c6c15){_0x57f3cd(_0x2c6c15);});});}function _0x54d6ab(){return _0x19011b(function(_0x2ecfc4,_0x56ec06){const _0x5a5633=a0_0x5cbd;return _0x1ff80c()[_0x5a5633(0x1cb0)](function(_0x5f439d){const _0x44be98=_0x5a5633;return _0x46ed4d[_0x44be98(0x122f)]=_0x5f439d[_0x44be98(0x2214)]?_0x5f439d[_0x44be98(0x2214)]:[],_0x40633b[_0x44be98(0x22b6)](_0x44be98(0x1c60))?_0x5f439d:_0x46ed4d[_0x44be98(0x1f74)]?_0x46ed4d[_0x44be98(0x1f74)][_0x44be98(0x12f4)]?_0x5f439d:_0x29ad4c():null;})['then'](function(_0x93c094){const _0x315db0=_0x5a5633,_0x820673=_0x93c094&&_0x93c094[_0x315db0(0x2214)]?_0x93c094['rows']:[];return _0x46ed4d[_0x315db0(0x1372)]=_0x39641b()[_0x315db0(0x1de2)](_0x820673,function(_0x1a8ef0){const _0x4f7cf7=_0x315db0;return _0x39641b()[_0x4f7cf7(0x13b4)](_0x46ed4d[_0x4f7cf7(0x122f)],{'id':_0x40633b[_0x4f7cf7(0x22b6)](_0x4f7cf7(0x1c60))||_0x46ed4d[_0x4f7cf7(0x1f74)][_0x4f7cf7(0x12f4)]?_0x1a8ef0['id']:_0x1a8ef0['resourceId']});}),_0x46ed4d[_0x315db0(0x122f)][_0x315db0(0xf90)](function(_0x428327){const _0x350179=_0x315db0,_0x5d8132=_0x39641b()[_0x350179(0x13b4)](_0x46ed4d['allowedItems'],{'id':_0x428327['id']});_0x40633b[_0x350179(0x22b6)](_0x350179(0x1c60))?_0x428327['isValid']=!![]:_0x428327[_0x350179(0x1a4f)]=typeof _0x5d8132!==_0x350179(0x16b5)?!![]:![];}),_0x533ee7();})['then'](function(_0x4ee258){const _0x241dfd=_0x5a5633,_0x35cff1=_0x4ee258&&_0x4ee258[_0x241dfd(0x2214)]?_0x4ee258[_0x241dfd(0x2214)]:[];_0x46ed4d['selectedItems']=_0x39641b()[_0x241dfd(0x1de2)](_0x35cff1,function(_0x4ef918){const _0x3c5406=_0x241dfd;return _0x39641b()[_0x3c5406(0x13b4)](_0x46ed4d[_0x3c5406(0x122f)],{'id':_0x4ef918['id']});}),_0x46ed4d[_0x241dfd(0x1456)]=angular[_0x241dfd(0x17fe)](_0x46ed4d[_0x241dfd(0x20bb)]),_0x46ed4d[_0x241dfd(0x18c0)][_0x241dfd(0x20bb)]=_0x46ed4d[_0x241dfd(0x20bb)],_0x46ed4d[_0x241dfd(0x18c0)]['items']=_0x39641b()[_0x241dfd(0x2128)](_0x46ed4d[_0x241dfd(0x1372)],_0x46ed4d['dualMultiselectOptions'][_0x241dfd(0x20bb)],'id'),_0x2ecfc4();})['catch'](function(_0x252b9c){_0x56ec06(_0x252b9c);});});}function _0x29ad4c(){return _0x19011b(function(_0x3e518e,_0x7632be){const _0x24ee58=a0_0x5cbd;return _0x268b06[_0x24ee58(0x1198)][_0x24ee58(0xbf7)]({'sectionId':_0x46ed4d['section']['id'],'nolimit':!![]})[_0x24ee58(0x1d77)][_0x24ee58(0x1cb0)](function(_0x4730e2){_0x3e518e(_0x4730e2);})['catch'](function(_0x2df915){_0x7632be(_0x2df915);});});}function _0x533ee7(){return _0x19011b(function(_0x52d883,_0x290f0c){const _0x3af525=a0_0x5cbd;return _0x268b06['whatsappQueue'][_0x3af525(0x1407)]({'id':_0x46ed4d['whatsappQueue']['id'],'fields':_0x3af525(0x43c),'nolimit':!![]})[_0x3af525(0x1d77)]['then'](function(_0x7f23e6){_0x52d883(_0x7f23e6);})['catch'](function(_0x5aee14){_0x290f0c(_0x5aee14);});});}function _0x1ff80c(){return _0x19011b(function(_0x45e00e,_0x22c997){const _0x172989=a0_0x5cbd;return _0x268b06['team']['get']({'fields':_0x172989(0x43c),'nolimit':!![]})[_0x172989(0x1d77)][_0x172989(0x1cb0)](function(_0xdfdb62){_0x45e00e(_0xdfdb62);})[_0x172989(0x1c4)](function(_0x33460a){_0x22c997(_0x33460a);});});}function _0x377446(_0x421bde){return _0x19011b(function(_0xbdedc3,_0x4c4874){const _0x121875=a0_0x5cbd;_0x39641b()['isEmpty'](_0x421bde)?_0xbdedc3():_0x268b06[_0x121875(0xea8)][_0x121875(0x28d9)]({'id':_0x46ed4d[_0x121875(0xea8)]['id'],'ids':_0x39641b()['map'](_0x421bde,'id')})[_0x121875(0x1d77)][_0x121875(0x1cb0)](function(){_0xbdedc3();})[_0x121875(0x1c4)](function(_0x2b2761){_0x4c4874(_0x2b2761);});});}function _0x4eb9d5(_0x29ea53){return _0x19011b(function(_0x5f4a6e,_0x562340){const _0x1136eb=a0_0x5cbd;_0x39641b()[_0x1136eb(0xce9)](_0x29ea53)?_0x5f4a6e():_0x268b06[_0x1136eb(0xea8)]['removeTeams']({'id':_0x46ed4d[_0x1136eb(0xea8)]['id'],'ids':_0x39641b()[_0x1136eb(0x1de2)](_0x29ea53,'id')})['$promise'][_0x1136eb(0x1cb0)](function(){_0x5f4a6e();})[_0x1136eb(0x1c4)](function(_0x1fe162){_0x562340(_0x1fe162);});});}function _0x8d5115(){const _0x1ce38b=_0x446abf,_0x3a2fa7=_0x39641b()['differenceBy'](_0x46ed4d[_0x1ce38b(0x1456)],_0x46ed4d['selectedItems'],'id'),_0x1294fc=_0x39641b()[_0x1ce38b(0x2128)](_0x46ed4d[_0x1ce38b(0x20bb)],_0x46ed4d['startingSelectedItems'],'id');return _0x4eb9d5(_0x3a2fa7)[_0x1ce38b(0x1cb0)](function(){return _0x377446(_0x1294fc);})[_0x1ce38b(0x1cb0)](function(){const _0x769d98=_0x1ce38b;_0x46ed4d[_0x769d98(0x50c)]=![],_0x46ed4d[_0x769d98(0xbd5)]=angular[_0x769d98(0x17fe)](_0x46ed4d[_0x769d98(0x1372)]),_0x46ed4d[_0x769d98(0x1456)]=angular[_0x769d98(0x17fe)](_0x46ed4d[_0x769d98(0x20bb)]),_0x153225['success']({'title':_0x769d98(0x201),'msg':_0x769d98(0xc72)});})[_0x1ce38b(0x1c4)](function(_0x4d508c){const _0xc613e5=_0x1ce38b;_0x153225[_0xc613e5(0x218e)]({'title':_0x4d508c[_0xc613e5(0x291)]?_0xc613e5(0xeb9)+_0x4d508c[_0xc613e5(0x291)]+_0xc613e5(0x1657)+_0x4d508c[_0xc613e5(0xc22)]:_0xc613e5(0x1221),'msg':_0x4d508c[_0xc613e5(0x291)]?JSON[_0xc613e5(0x2701)](_0x4d508c[_0xc613e5(0x25c)]):_0x4d508c['toString']()});});}function _0x292103(){_0x39af8e['hide']();}}const _0x1ab6fb=_0x111a7d;;const _0x2a1972=_0x5074a3['p']+_0x5537c6(0x21b0);;_0x3dc836[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),_0x5537c6(0x21c8),_0x5537c6(0xcb9),_0x5537c6(0x22bf),_0x5537c6(0x1ae),'license',_0x5537c6(0x9ca),'api',_0x5537c6(0x9bf),'Auth',_0x5537c6(0xea8),_0x5537c6(0x2199)];function _0x3dc836(_0x32c76c,_0x186d5a,_0x120a12,_0x30d29e,_0x92b8b4,_0x603564,_0x59698b,_0x1ebb9b,_0x3a72fb,_0x4e59b2,_0xb3c1bb,_0x5d68a3){const _0x2618b1=_0x5537c6,_0x498018=this;_0x498018[_0x2618b1(0xe76)]=_0x4e59b2[_0x2618b1(0x21e8)](),_0x498018[_0x2618b1(0x8a5)]=_0x603564,_0x498018[_0x2618b1(0x9ca)]=_0x59698b,_0x498018['passwordPattern']=_0x498018[_0x2618b1(0x9ca)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x498018[_0x2618b1(0x2404)]=_0x186d5a[_0x2618b1(0x2276)]()+_0x2618b1(0x138b)+_0x186d5a['host'](),_0x498018[_0x2618b1(0xea8)]=_0xb3c1bb||_0x32c76c[_0x2618b1(0x1dfe)][_0x2618b1(0xea8)]||{},_0x498018[_0x2618b1(0x2199)]=_0x5d68a3&&_0x5d68a3[_0x2618b1(0x184d)]==0x1?_0x5d68a3[_0x2618b1(0x2214)][0x0]:null,_0x498018['crudPermissions']=_0x4e59b2[_0x2618b1(0x14ea)](_0x498018['userProfileSection']?_0x498018[_0x2618b1(0x2199)][_0x2618b1(0x1b1a)]:null),_0x498018['hasModulePermissions']={},_0x498018[_0x2618b1(0x8ec)]=_0x32c76c[_0x2618b1(0x1dfe)][_0x2618b1(0x291e)]||0x0,_0x498018[_0x2618b1(0x1d63)]=_0x29d57f,_0x498018[_0x2618b1(0x1379)]=_0x3f065b,_0x498018[_0x2618b1(0x494)]=_0x3a72fb['info'],_0x498018['gotoWhatsappQueues']=_0x25a769,_0x498018[_0x2618b1(0x21d3)]=_0x2c5745;function _0x29d57f(_0x10c8d9,_0x316f16){const _0x1823de=_0x2618b1;_0x120a12['show']({'controller':_0x1823de(0x1347),'controllerAs':'vm','templateUrl':_0x2a1972,'parent':angular['element'](_0x30d29e[_0x1823de(0x1ed9)]),'targetEvent':_0x316f16,'clickOutsideToClose':!![],'locals':{'whatsappQueue':_0x10c8d9,'whatsappQueues':_0x498018[_0x1823de(0x6d8)]?_0x498018[_0x1823de(0x6d8)][_0x1823de(0x2214)]:[],'crudPermissions':_0x498018[_0x1823de(0x1b1a)]}});}function _0x3f065b(_0x52bbe8,_0x1fd04c){const _0x3ca3eb=_0x2618b1;_0x120a12[_0x3ca3eb(0xe27)]({'controller':_0x3ca3eb(0x29c3),'controllerAs':'vm','templateUrl':_0x40a20c,'parent':angular[_0x3ca3eb(0x1853)](_0x30d29e[_0x3ca3eb(0x1ed9)]),'targetEvent':_0x1fd04c,'clickOutsideToClose':!![],'locals':{'whatsappQueue':_0x52bbe8,'whatsappQueues':_0x498018[_0x3ca3eb(0x6d8)]?_0x498018['whatsappQueues'][_0x3ca3eb(0x2214)]:[],'crudPermissions':_0x498018[_0x3ca3eb(0x1b1a)],'realtime':![]}});}function _0x25a769(){const _0x27c130=_0x2618b1;_0x32c76c['go'](_0x27c130(0x77c),{},{'reload':_0x27c130(0x77c)});}function _0x2c5745(){const _0x3cbc6b=_0x2618b1;_0x1ebb9b[_0x3cbc6b(0xea8)][_0x3cbc6b(0x687)]({'id':_0x498018[_0x3cbc6b(0xea8)]['id']},_0x498018['whatsappQueue'])['$promise'][_0x3cbc6b(0x1cb0)](function(){const _0x3ea4da=_0x3cbc6b;_0x3a72fb['success']({'title':_0x3ea4da(0x10c7),'msg':_0x498018[_0x3ea4da(0xea8)][_0x3ea4da(0x16b6)]?_0x498018[_0x3ea4da(0xea8)][_0x3ea4da(0x16b6)]+_0x3ea4da(0x1068):''});})[_0x3cbc6b(0x1c4)](function(_0x58382c){const _0x2b8257=_0x3cbc6b;_0x3a72fb[_0x2b8257(0x218e)]({'title':_0x58382c[_0x2b8257(0x291)]?_0x2b8257(0xeb9)+_0x58382c[_0x2b8257(0x291)]+_0x2b8257(0x1657)+_0x58382c[_0x2b8257(0xc22)]:_0x2b8257(0x2068),'msg':_0x58382c['data']?JSON[_0x2b8257(0x2701)](_0x58382c[_0x2b8257(0x25c)]):_0x58382c[_0x2b8257(0x147f)]()});});}}const _0x2a966b=_0x3dc836;;_0x5223ee[_0x5537c6(0x15b6)]=['$scope',_0x5537c6(0x1f10),_0x5537c6(0x406),'$mdSidenav',_0x5537c6(0xcb9),'$document',_0x5537c6(0x2168),'$translate',_0x5537c6(0x6d8),_0x5537c6(0x44a),_0x5537c6(0x2199),_0x5537c6(0x142b),_0x5537c6(0xde8),_0x5537c6(0x9bf),_0x5537c6(0x1774),_0x5537c6(0x8a5),_0x5537c6(0x9ca)];function _0x5223ee(_0x9315b3,_0x3676d1,_0x4fb1a9,_0x164b67,_0x192133,_0x54ab48,_0x3517aa,_0x370fb6,_0x31fb3a,_0x141f5a,_0x13d693,_0x5b0bb2,_0x2f9b44,_0x14d816,_0x4e5f86,_0x5f33ac,_0x54e883){const _0x212358=_0x5537c6,_0x47c8df=this;_0x47c8df['license']=_0x5f33ac,_0x47c8df[_0x212358(0x9ca)]=_0x54e883,_0x47c8df[_0x212358(0xe76)]=_0x4e5f86[_0x212358(0x21e8)](),_0x47c8df[_0x212358(0x6d8)]=_0x31fb3a||{'count':0x0,'rows':[]},_0x47c8df[_0x212358(0x44a)]=_0x141f5a,_0x47c8df[_0x212358(0x2199)]=_0x13d693&&_0x13d693[_0x212358(0x184d)]==0x1?_0x13d693[_0x212358(0x2214)][0x0]:null,_0x47c8df['crudPermissions']=_0x4e5f86['parseCrudPermissions'](_0x47c8df[_0x212358(0x2199)]?_0x47c8df[_0x212358(0x2199)]['crudPermissions']:null),_0x47c8df[_0x212358(0xc83)]=_0x212358(0x6d8),_0x47c8df[_0x212358(0x1d20)]='',_0x47c8df['listOrderAsc']=null,_0x47c8df[_0x212358(0xf05)]=[],_0x47c8df[_0x212358(0xae2)]={'fields':_0x212358(0x22f3),'sort':_0x212358(0x282),'channel':'whatsapp','limit':0xa,'page':0x1},_0x47c8df['arraystrategy']=_0x39641b()[_0x212358(0x2631)]([{'option':_0x212358(0xfd9),'value':_0x212358(0xcf1)},{'option':_0x212358(0x290b),'value':_0x212358(0x15b5)}],function(_0x127d55){const _0xc8848b=_0x212358;return _0x39641b()[_0xc8848b(0x288f)](_0x127d55[_0xc8848b(0x327)],new RegExp('\x27','g'),'');}),_0x47c8df[_0x212358(0x235d)]=_0x3cbc8b,_0x47c8df['teamadddialog']=_0x304bf7,_0x47c8df[_0x212358(0x1379)]=_0x20ea25,_0x47c8df['deleteconfirm']=_0x12d863,_0x47c8df[_0x212358(0x649)]=_0x2b37ea,_0x47c8df[_0x212358(0x829)]=_0x46183b,_0x47c8df[_0x212358(0xc5c)]=_0x2c576e,_0x47c8df[_0x212358(0x163e)]=_0x5d9ff5,_0x47c8df['deleteWhatsappQueue']=_0x431444,_0x47c8df[_0x212358(0xd02)]=_0x283b1a,_0x47c8df[_0x212358(0x642)]=_0x461db2,_0x47c8df[_0x212358(0x15fa)]=_0x337d06,_0x47c8df[_0x212358(0x6e4)]=_0x5d9144;function _0x3cbc8b(_0x68276f){const _0x5664ed=_0x212358;_0x4fb1a9['go'](_0x5664ed(0x1bc2),{'id':_0x68276f['id'],'whatsappQueue':_0x68276f,'crudPermissions':_0x47c8df[_0x5664ed(0x1b1a)]});}function _0x304bf7(_0x598941,_0x53a3cc){const _0x1c2d3d=_0x212358;_0x192133[_0x1c2d3d(0xe27)]({'controller':_0x1c2d3d(0x1347),'controllerAs':'vm','templateUrl':_0x2a1972,'parent':angular[_0x1c2d3d(0x1853)](_0x54ab48['body']),'targetEvent':_0x53a3cc,'clickOutsideToClose':!![],'locals':{'whatsappQueue':_0x598941,'whatsappQueues':_0x47c8df[_0x1c2d3d(0x6d8)]?_0x47c8df[_0x1c2d3d(0x6d8)]['rows']:[],'crudPermissions':_0x47c8df[_0x1c2d3d(0x1b1a)]}});}function _0x20ea25(_0x5252a4,_0x538c73){const _0x6647cc=_0x212358;_0x192133[_0x6647cc(0xe27)]({'controller':_0x6647cc(0x29c3),'controllerAs':'vm','templateUrl':_0x40a20c,'parent':angular[_0x6647cc(0x1853)](_0x54ab48[_0x6647cc(0x1ed9)]),'targetEvent':_0x538c73,'clickOutsideToClose':!![],'locals':{'whatsappQueue':_0x5252a4,'whatsappQueues':_0x47c8df[_0x6647cc(0x6d8)]?_0x47c8df[_0x6647cc(0x6d8)][_0x6647cc(0x2214)]:[],'crudPermissions':_0x47c8df['crudPermissions'],'realtime':![]}});}function _0x12d863(_0xd2fde0,_0x18ddb3){const _0x443c48=_0x212358,_0x2d9c9e=_0x192133['confirm']()[_0x443c48(0x1386)](_0x443c48(0x140b)+_0x39641b()[_0x443c48(0xa75)](_0x443c48(0xea8))+'?')[_0x443c48(0x49e)](_0x443c48(0x204d)+(_0xd2fde0[_0x443c48(0x16b6)]||_0x443c48(0xea8))+_0x443c48(0x1200)+_0x443c48(0x1b6))[_0x443c48(0x15ad)](_0x443c48(0x445))[_0x443c48(0x728)](_0x18ddb3)['ok']('OK')['cancel'](_0x443c48(0x24ba));_0x192133[_0x443c48(0xe27)](_0x2d9c9e)[_0x443c48(0x1cb0)](function(){_0x431444(_0xd2fde0);},function(){const _0x221362=_0x443c48;console[_0x221362(0x1b4f)](_0x221362(0x24ba));});}function _0x2b37ea(){const _0x474938=_0x212358;if(_0x4e5f86[_0x474938(0x22b6)](_0x474938(0x1c60)))_0x4fb1a9['go'](_0x474938(0x1001),{});else return _0x5b0bb2[_0x474938(0x2199)][_0x474938(0xbf7)]({'userProfileId':_0x4e5f86['getCurrentUser']()[_0x474938(0x13c1)],'sectionId':0x83e})[_0x474938(0x1d77)][_0x474938(0x1cb0)](function(_0x1b9a1f){const _0x3f3ebf=_0x474938,_0x1daf22=_0x1b9a1f&&_0x1b9a1f[_0x3f3ebf(0x2214)]?_0x1b9a1f['rows'][0x0]:null;_0x1daf22&&_0x1daf22[_0x3f3ebf(0x281c)]?_0x4fb1a9['go']('app.whatsapp.realtime.queues',{}):_0x14d816[_0x3f3ebf(0x28c7)]({'title':_0x370fb6[_0x3f3ebf(0x25cc)](_0x3f3ebf(0x370)),'msg':_0x370fb6[_0x3f3ebf(0x25cc)](_0x3f3ebf(0x33a))});})[_0x474938(0x1c4)](function(_0x1df71f){const _0x50e953=_0x474938;_0x14d816[_0x50e953(0x218e)]({'title':_0x1df71f[_0x50e953(0x291)]?_0x50e953(0xeb9)+_0x1df71f[_0x50e953(0x291)]+_0x50e953(0x1657)+_0x1df71f[_0x50e953(0xc22)]:_0x50e953(0x17ba),'msg':_0x1df71f['status']?JSON[_0x50e953(0x2701)](_0x1df71f[_0x50e953(0x25c)]):_0x1df71f[_0x50e953(0x147f)]()});});}let _0x420f5e=!![],_0x2d8553=0x1;_0x9315b3[_0x212358(0x614)](_0x212358(0x957),function(_0x395339,_0x19a667){const _0x1a89f0=_0x212358;_0x420f5e?_0x3517aa(function(){_0x420f5e=![];}):(!_0x19a667&&(_0x2d8553=_0x47c8df[_0x1a89f0(0xae2)][_0x1a89f0(0x1c7b)]),_0x395339!==_0x19a667&&(_0x47c8df[_0x1a89f0(0xae2)][_0x1a89f0(0x1c7b)]=0x1),!_0x395339&&(_0x47c8df[_0x1a89f0(0xae2)][_0x1a89f0(0x1c7b)]=_0x2d8553),_0x47c8df[_0x1a89f0(0xc5c)]());});function _0x46183b(_0x692ab6){const _0x57cde0=_0x212358;_0x47c8df[_0x57cde0(0x6d8)]=_0x692ab6||{'count':0x0,'rows':[]};}function _0x2c576e(){const _0x52a573=_0x212358;_0x47c8df['query'][_0x52a573(0x184b)]=(_0x47c8df[_0x52a573(0xae2)][_0x52a573(0x1c7b)]-0x1)*_0x47c8df[_0x52a573(0xae2)]['limit'],_0x4e5f86['hasRole'](_0x52a573(0x1c60))?_0x47c8df[_0x52a573(0x2061)]=_0x5b0bb2['whatsappQueue'][_0x52a573(0xbf7)](_0x47c8df[_0x52a573(0xae2)],_0x46183b)[_0x52a573(0x1d77)]:(_0x47c8df[_0x52a573(0xae2)]['id']=_0x47c8df[_0x52a573(0x44a)]['id'],_0x47c8df[_0x52a573(0xae2)][_0x52a573(0x1f74)]=_0x52a573(0x2962),_0x47c8df['promise']=_0x5b0bb2['userProfile']['getResources'](_0x47c8df['query'],_0x46183b)[_0x52a573(0x1d77)]);}function _0x5d9ff5(_0x50eb27,_0x30450d){const _0x1db188=_0x212358;_0x192133[_0x1db188(0xe27)]({'controller':_0x1db188(0x2654),'controllerAs':'vm','templateUrl':_0x1f8bbb,'parent':angular[_0x1db188(0x1853)](_0x54ab48['body']),'targetEvent':_0x50eb27,'clickOutsideToClose':!![],'locals':{'whatsappQueue':_0x30450d,'whatsappQueues':_0x47c8df['whatsappQueues'][_0x1db188(0x2214)],'license':_0x47c8df[_0x1db188(0x8a5)],'setting':_0x47c8df[_0x1db188(0x9ca)],'crudPermissions':_0x47c8df[_0x1db188(0x1b1a)]}});}function _0x431444(_0x41198e){const _0x23765b=_0x212358;_0x5b0bb2[_0x23765b(0xea8)]['delete']({'id':_0x41198e['id']})[_0x23765b(0x1d77)]['then'](function(){const _0x53a4d6=_0x23765b;_0x39641b()[_0x53a4d6(0x152a)](_0x47c8df[_0x53a4d6(0x6d8)][_0x53a4d6(0x2214)],{'id':_0x41198e['id']}),_0x47c8df[_0x53a4d6(0x6d8)]['count']-=0x1,!_0x47c8df['whatsappQueues']['rows']['length']&&_0x47c8df[_0x53a4d6(0xc5c)](),_0x14d816[_0x53a4d6(0x829)]({'title':_0x39641b()[_0x53a4d6(0xa75)]('WhatsappQueue')+'\x20deleted!','msg':_0x41198e[_0x53a4d6(0x16b6)]?_0x41198e[_0x53a4d6(0x16b6)]+'\x20has\x20been\x20deleted!':''});})['catch'](function(_0x357331){const _0x47002d=_0x23765b;if(_0x357331['data']&&_0x357331[_0x47002d(0x25c)][_0x47002d(0x1a7c)]&&_0x357331[_0x47002d(0x25c)][_0x47002d(0x1a7c)]['length']){_0x47c8df[_0x47002d(0x1a7c)]=_0x357331[_0x47002d(0x25c)][_0x47002d(0x1a7c)]||[{'message':_0x357331[_0x47002d(0x147f)](),'type':_0x47002d(0x2970)}];for(let _0x2a56af=0x0;_0x2a56af<_0x357331['data'][_0x47002d(0x1a7c)][_0x47002d(0xfd0)];_0x2a56af++){_0x14d816[_0x47002d(0x218e)]({'title':_0x357331['data'][_0x47002d(0x1a7c)][_0x2a56af][_0x47002d(0x66a)],'msg':_0x357331[_0x47002d(0x25c)][_0x47002d(0x1a7c)][_0x2a56af][_0x47002d(0x155e)]});}}else _0x14d816[_0x47002d(0x218e)]({'title':_0x357331[_0x47002d(0x291)]?_0x47002d(0xeb9)+_0x357331['status']+'\x20-\x20'+_0x357331['statusText']:_0x47002d(0x2970),'msg':_0x357331[_0x47002d(0x25c)]?JSON[_0x47002d(0x2701)](_0x357331['data'][_0x47002d(0x155e)]):_0x357331[_0x47002d(0x155e)]||_0x357331['toString']()});});}function _0x283b1a(){const _0x2352e6=_0x212358,_0x58997d=angular[_0x2352e6(0x17fe)](_0x47c8df[_0x2352e6(0xf05)]);return _0x47c8df['selectedWhatsappQueues']=[],_0x58997d;}function _0x461db2(_0x48357d){const _0x31ca72=_0x212358,_0x3b3ec0=_0x192133[_0x31ca72(0x1551)]()[_0x31ca72(0x1386)](_0x31ca72(0x24ac))[_0x31ca72(0x49e)](_0x31ca72(0x204d)+_0x47c8df[_0x31ca72(0xf05)]['length']+_0x31ca72(0x1d6c)+_0x31ca72(0x1b6))[_0x31ca72(0x15ad)](_0x31ca72(0x149a))['targetEvent'](_0x48357d)['ok']('OK')[_0x31ca72(0x696)](_0x31ca72(0x24ba));_0x192133[_0x31ca72(0xe27)](_0x3b3ec0)[_0x31ca72(0x1cb0)](function(){const _0x113413=_0x31ca72;_0x47c8df['selectedWhatsappQueues']['forEach'](function(_0x116eb3){_0x431444(_0x116eb3);}),_0x47c8df[_0x113413(0xf05)]=[];});}function _0x337d06(){_0x47c8df['selectedWhatsappQueues']=[];}function _0x5d9144(){const _0x10303c=_0x212358;_0x47c8df[_0x10303c(0xf05)]=_0x47c8df[_0x10303c(0x6d8)][_0x10303c(0x2214)];}}const _0x514f79=_0x5223ee;;_0x36897d[_0x5537c6(0x15b6)]=[_0x5537c6(0xbd6),_0x5537c6(0x1f2a)];function _0x36897d(_0xa2c921,_0x344768){const _0x5816f0=_0x5537c6;_0xa2c921[_0x5816f0(0x27e0)](_0x5816f0(0x1770),{'abstract':!![],'url':'/whatsapp'})[_0x5816f0(0x27e0)](_0x5816f0(0x77c),{'url':_0x5816f0(0x1608),'views':{'content@app':{'templateUrl':_0x26ceaf,'controller':'WhatsappQueuesController\x20as\x20vm'}},'resolve':{'whatsappQueues':['apiResolver','Auth',function(_0x352503,_0xa2fc51){const _0x4f4c2c=_0x5816f0;return _0xa2fc51['hasRole'](_0x4f4c2c(0x1c60))?_0x352503[_0x4f4c2c(0x19a3)]('whatsappQueue@get',{'fields':_0x4f4c2c(0x22f3),'sort':_0x4f4c2c(0x282),'channel':_0x4f4c2c(0x2476),'limit':0xa,'offset':0x0}):_0x352503[_0x4f4c2c(0x19a3)]('userProfile@getResources',{'id':_0xa2fc51[_0x4f4c2c(0x21e8)]()[_0x4f4c2c(0x13c1)],'section':_0x4f4c2c(0x2962),'fields':_0x4f4c2c(0x22f3),'sort':_0x4f4c2c(0x282),'channel':_0x4f4c2c(0x2476),'limit':0xa,'offset':0x0});}],'userProfile':[_0x5816f0(0x1e0b),_0x5816f0(0x1774),function(_0x3049cd,_0x38f965){const _0x16c9b8=_0x5816f0;return _0x38f965[_0x16c9b8(0x22b6)](_0x16c9b8(0x1c60))?null:_0x3049cd['resolve']('userProfile@get',{'fields':_0x16c9b8(0x279),'id':_0x38f965[_0x16c9b8(0x21e8)]()[_0x16c9b8(0x13c1)]});}],'userProfileSection':['apiResolver',_0x5816f0(0x1774),function(_0x57f76c,_0x540915){const _0x3ef77e=_0x5816f0;return _0x540915[_0x3ef77e(0x22b6)](_0x3ef77e(0x1c60))?null:_0x57f76c['resolve'](_0x3ef77e(0x2182),{'fields':_0x3ef77e(0x1f5f),'userProfileId':_0x540915[_0x3ef77e(0x21e8)]()['userProfileId'],'sectionId':0x835});}]},'authenticate':!![],'permissionId':0x835,'bodyClass':'whatsapp'})[_0x5816f0(0x27e0)](_0x5816f0(0x1bc2),{'url':_0x5816f0(0x1bf2),'params':{'whatsappQueue':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x5af478,'controller':_0x5816f0(0xdfb)}},'resolve':{'whatsappQueue':[_0x5816f0(0x1e0b),_0x5816f0(0x225c),function(_0x3c6d44,_0x1d7061){const _0x5e389b=_0x5816f0;return _0x3c6d44[_0x5e389b(0x19a3)]('whatsappQueue@get',{'fields':'createdAt,updatedAt,id,name,strategy,timeout,description','id':_0x1d7061['id']});}],'userProfileSection':[_0x5816f0(0x1e0b),_0x5816f0(0x1774),function(_0x1e8d98,_0xdfd3f){const _0x10f7a7=_0x5816f0;return _0x1e8d98['resolve']('userProfileSection@get',{'fields':_0x10f7a7(0x1f5f),'userProfileId':_0xdfd3f[_0x10f7a7(0x21e8)]()[_0x10f7a7(0x13c1)],'sectionId':0x835});}]},'authenticate':!![],'permissionId':0x835,'bodyClass':'whatsapp'})[_0x5816f0(0x27e0)](_0x5816f0(0x1454),{'url':_0x5816f0(0x6ac),'views':{'content@app':{'templateUrl':_0x5d939f,'controller':'WhatsappAccountsController\x20as\x20vm'}},'resolve':{'whatsappAccounts':['apiResolver',_0x5816f0(0x1774),function(_0x3795af,_0x293226){const _0x4d5a93=_0x5816f0;return _0x293226[_0x4d5a93(0x22b6)](_0x4d5a93(0x1c60))?_0x3795af[_0x4d5a93(0x19a3)]('whatsappAccount@get',{'fields':_0x4d5a93(0x1d08),'sort':'-updatedAt','limit':0xa,'offset':0x0}):_0x3795af[_0x4d5a93(0x19a3)](_0x4d5a93(0x12da),{'id':_0x293226[_0x4d5a93(0x21e8)]()[_0x4d5a93(0x13c1)],'section':_0x4d5a93(0x10c4),'fields':_0x4d5a93(0x1d08),'sort':_0x4d5a93(0x282),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver','Auth',function(_0x36f707,_0x5854a9){const _0x194650=_0x5816f0;return _0x5854a9[_0x194650(0x22b6)](_0x194650(0x1c60))?null:_0x36f707[_0x194650(0x19a3)](_0x194650(0x9ae),{'fields':_0x194650(0x279),'id':_0x5854a9[_0x194650(0x21e8)]()[_0x194650(0x13c1)]});}],'userProfileSection':[_0x5816f0(0x1e0b),_0x5816f0(0x1774),function(_0xa5528,_0x49f167){const _0x333d1e=_0x5816f0;return _0x49f167[_0x333d1e(0x22b6)](_0x333d1e(0x1c60))?null:_0xa5528[_0x333d1e(0x19a3)]('userProfileSection@get',{'fields':_0x333d1e(0x1f5f),'userProfileId':_0x49f167[_0x333d1e(0x21e8)]()[_0x333d1e(0x13c1)],'sectionId':0x836});}]},'authenticate':!![],'permissionId':0x836,'bodyClass':_0x5816f0(0x2476)})[_0x5816f0(0x27e0)](_0x5816f0(0x40c),{'url':_0x5816f0(0x1bf2),'params':{'whatsappAccount':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x28d275,'controller':_0x5816f0(0x1f16)}},'resolve':{'whatsappAccount':[_0x5816f0(0x1e0b),_0x5816f0(0x225c),function(_0x48189a,_0x4e0e35){const _0x2802ea=_0x5816f0;return _0x48189a[_0x2802ea(0x19a3)](_0x2802ea(0xa74),{'fields':_0x2802ea(0x1d08),'id':_0x4e0e35['id']});}],'userProfileSection':[_0x5816f0(0x1e0b),_0x5816f0(0x1774),function(_0x4903fb,_0x188dac){const _0x1e3b1a=_0x5816f0;return _0x4903fb[_0x1e3b1a(0x19a3)](_0x1e3b1a(0x2182),{'fields':_0x1e3b1a(0x1f5f),'userProfileId':_0x188dac['getCurrentUser']()[_0x1e3b1a(0x13c1)],'sectionId':0x836});}]},'authenticate':!![],'permissionId':0x836,'bodyClass':_0x5816f0(0x2476)}),_0x344768[_0x5816f0(0x4e7)]('app/main/apps/whatsapp');}angular[_0x5537c6(0x9ab)](_0x5537c6(0x1770),[_0x5537c6(0x2770),_0x5537c6(0x2135),'md.data.table',_0x5537c6(0xacf),'mdColorPicker',_0x5537c6(0xd19),_0x5537c6(0x44c),_0x5537c6(0x2ec),_0x5537c6(0x1890),_0x5537c6(0x167d),_0x5537c6(0x208f),_0x5537c6(0x1b65),'mwFormUtils','ngclipboard',_0x5537c6(0x7c9),_0x5537c6(0xeb5),_0x5537c6(0x27af),_0x5537c6(0x13b6),_0x5537c6(0x1cbd),'angular.filter',_0x5537c6(0x20b4)])[_0x5537c6(0xa60)](_0x36897d)[_0x5537c6(0x6e5)]('CreateOrEditWhatsappAccountDialogController',_0x5dbcf7)[_0x5537c6(0x6e5)]('WhatsappAccountActionsController',_0x466e41)[_0x5537c6(0x6e5)](_0x5537c6(0x2903),_0x29a049)[_0x5537c6(0x6e5)]('EditWhatsappAccountAppagentDialogController',_0x568158)[_0x5537c6(0x6e5)](_0x5537c6(0x218d),_0x24176a)['controller'](_0x5537c6(0x1556),_0x388b54)[_0x5537c6(0x6e5)](_0x5537c6(0xde4),_0x4ad82c)[_0x5537c6(0x6e5)](_0x5537c6(0xe8a),_0x47899b)[_0x5537c6(0x6e5)](_0x5537c6(0x2890),_0x205782)['controller'](_0x5537c6(0x251f),_0x53cc2f)['controller']('EditWhatsappAccountAppintervalDialogController',_0x28316b)[_0x5537c6(0x6e5)](_0x5537c6(0x1894),_0x99ac4e)[_0x5537c6(0x6e5)]('EditWhatsappAccountAppqueueDialogController',_0x203d1c)[_0x5537c6(0x6e5)]('EditWhatsappAccountAppsystemDialogController',_0x7a1ec7)['controller'](_0x5537c6(0x2374),_0x466aee)['controller'](_0x5537c6(0x1375),_0x4c9049)[_0x5537c6(0x6e5)](_0x5537c6(0x10eb),_0x312da9)[_0x5537c6(0x6e5)](_0x5537c6(0x15dc),_0x4aef3b)[_0x5537c6(0x6e5)](_0x5537c6(0x2129),_0x2cc20f)[_0x5537c6(0x6e5)](_0x5537c6(0x22ca),_0x49637d)[_0x5537c6(0x6e5)](_0x5537c6(0x221e),_0x4e00bd)[_0x5537c6(0x6e5)](_0x5537c6(0x2654),_0x2f7c74)[_0x5537c6(0x6e5)](_0x5537c6(0x29c3),_0x416d8d)[_0x5537c6(0x6e5)](_0x5537c6(0x1347),_0x1ab6fb)['controller']('WhatsappQueueController',_0x2a966b)[_0x5537c6(0x6e5)](_0x5537c6(0x2121),_0x514f79);;const _0x43649b=_0x5074a3['p']+_0x5537c6(0x1c77);;const _0x454c10=_0x5074a3['p']+_0x5537c6(0xc32);;const _0x57a773=_0x5074a3['p']+_0x5537c6(0x1818);;const _0x4ee7f7=_0x5074a3['p']+_0x5537c6(0x19d2);;const _0x25e1bd=_0x5074a3['p']+_0x5537c6(0x22c);;const _0x5df8b6=_0x5074a3['p']+'src/js/modules/core/layouts/vertical-navigation-fullwidth-toolbar.html/vertical-navigation-fullwidth-toolbar.html';;const _0xcad046=_0x5074a3['p']+_0x5537c6(0x1ebe);;;_0x52bca0[_0x5537c6(0x15b6)]=[_0x5537c6(0x406),'$window','$cookies',_0x5537c6(0x2168),_0x5537c6(0x142b),'Auth',_0x5537c6(0x9ca)];function _0x52bca0(_0x3342e7,_0x3797dd,_0x47d840,_0x4c8342,_0x25c2ba,_0x18b87a,_0xe4dc11){const _0x2cc418=_0x5537c6,_0x13d0e8=this;_0x13d0e8[_0x2cc418(0x2410)]=![],_0x13d0e8[_0x2cc418(0x995)]=![],_0x13d0e8['baseUrl']=_0x25c2ba['baseUrl'];function _0x4028e3(){const _0x585788=_0x2cc418;if(_0x3342e7[_0x585788(0x1dfe)][_0x585788(0xae3)]&&_0x3342e7[_0x585788(0x1dfe)]['userId'])return _0x18b87a[_0x585788(0x214b)](),_0x13d0e8['progresslogin']=!![],_0x47d840['put']('motion.token',_0x3342e7[_0x585788(0x1dfe)]['token']),_0x25c2ba[_0x585788(0xebe)][_0x585788(0xbf7)]({'id':_0x3342e7[_0x585788(0x1dfe)]['userId']})[_0x585788(0x1d77)][_0x585788(0x1cb0)](function(_0x41271b){const _0x545653=_0x585788;_0x41271b&&(_0x18b87a[_0x545653(0x2018)](_0x41271b),_0x3342e7['go'](_0x545653(0x1647)));})[_0x585788(0x2e0)](function(){const _0x1f56fa=_0x585788;_0x13d0e8[_0x1f56fa(0x2410)]=![];});else _0x18b87a[_0x585788(0x8c3)]()&&_0x3342e7['go'](_0x585788(0x1647));_0x13d0e8[_0x585788(0x995)]=_0xe4dc11[_0x585788(0x995)];}function _0x333fe5(){const _0x4565af=_0x2cc418;return _0x13d0e8['progresslogin']=!![],_0x13d0e8[_0x4565af(0x155e)]='',_0x18b87a['login'](_0x13d0e8[_0x4565af(0x2699)])['then'](function(){const _0x28d31b=_0x4565af;return _0x3342e7['go'](_0x28d31b(0x1647));})[_0x4565af(0x1c4)](function(_0x51e755){const _0x4e9592=_0x4565af;_0x13d0e8[_0x4e9592(0x155e)]=_0x51e755[_0x4e9592(0x25c)]?_0x51e755[_0x4e9592(0x25c)][_0x4e9592(0x155e)]:_0x51e755[_0x4e9592(0x155e)];})['finally'](function(){const _0x4b61b4=_0x4565af;_0x13d0e8[_0x4b61b4(0x2410)]=![];});}function _0x59e67d(_0x1ad782){const _0x188571=_0x2cc418;_0x13d0e8['message']='',_0x3797dd['location'][_0x188571(0x105b)]=_0x18b87a['loginSSO'](_0x1ad782);}_0x13d0e8[_0x2cc418(0x22c4)]=_0x333fe5,_0x13d0e8['loginOauth']=_0x59e67d,_0x13d0e8[_0x2cc418(0x1a34)]=_0x4028e3,_0x13d0e8[_0x2cc418(0x1a34)]();}const _0x533e7b=_0x52bca0;;_0x412afc[_0x5537c6(0x15b6)]=[_0x5537c6(0xbd6),_0x5537c6(0x1f2a)];function _0x412afc(_0x14dd69,_0x58d972){const _0x546f67=_0x5537c6;_0x14dd69['state']('app.login',{'url':_0x546f67(0x2604),'views':{'main@':{'templateUrl':_0x454c10,'controller':_0x546f67(0x11ba)},'content@app.login':{'templateUrl':_0x43649b,'controller':_0x546f67(0x29b4)}},'bodyClass':'login'}),_0x58d972[_0x546f67(0x4e7)](_0x546f67(0x2105));}angular[_0x5537c6(0x9ab)](_0x5537c6(0xf2e),[])[_0x5537c6(0xa60)](_0x412afc)[_0x5537c6(0x6e5)](_0x5537c6(0x1129),_0x533e7b);;const _0x3f730e=_0x5074a3['p']+_0x5537c6(0x530);;_0x1d80c9['$inject']=[_0x5537c6(0x1774),'toasty',_0x5537c6(0x1ae),'$state',_0x5537c6(0x142b)];function _0x1d80c9(_0xb10f74,_0x1a3c58,_0x103e36,_0x5c6da4,_0x4708b9){const _0x341bca=_0x5537c6,_0xf3fe08=this;_0xf3fe08['form']={},_0xf3fe08[_0x341bca(0x29ae)]=_0x4708b9[_0x341bca(0x29ae)];function _0x56aeef(){const _0x104d6b=_0x341bca;_0xb10f74[_0x104d6b(0x8c3)]()&&_0x5c6da4['go'](_0x104d6b(0x1647));}function _0x3c2c30(){const _0x4e40e0=_0x341bca;return _0x4708b9[_0x4e40e0(0x2759)]['forgot'](_0xf3fe08['form'])[_0x4e40e0(0x1d77)]['then'](function(){const _0x42cff5=_0x4e40e0;_0x1a3c58[_0x42cff5(0x829)]({'title':_0x103e36[_0x42cff5(0x25cc)](_0x42cff5(0x1145)),'msg':_0x103e36[_0x42cff5(0x25cc)](_0x42cff5(0x1931))});})['catch'](function(_0x34494a){const _0x4af027=_0x4e40e0;_0x34494a&&_0x1a3c58[_0x4af027(0x218e)]({'title':_0x103e36['instant'](_0x4af027(0xf89)),'msg':_0x34494a[_0x4af027(0x25c)]?_0x34494a[_0x4af027(0x25c)]['message']||_0x34494a[_0x4af027(0x155e)]||_0x34494a:_0x34494a[_0x4af027(0x155e)]||_0x34494a});});}_0xf3fe08[_0x341bca(0x1c19)]=_0x3c2c30,_0xf3fe08[_0x341bca(0x1a34)]=_0x56aeef;}const _0xdc35e5=_0x1d80c9;;_0x2d80e3[_0x5537c6(0x15b6)]=[_0x5537c6(0xbd6),'$translatePartialLoaderProvider'];function _0x2d80e3(_0x152338,_0x3ad9d0){const _0x405b9c=_0x5537c6;_0x152338[_0x405b9c(0x27e0)](_0x405b9c(0x218f),{'url':_0x405b9c(0xf9e),'views':{'main@':{'templateUrl':_0x454c10,'controller':'MainController\x20as\x20vm'},'content@app.forgot':{'templateUrl':_0x3f730e,'controller':_0x405b9c(0xafc)}},'bodyClass':'forgot'}),_0x3ad9d0[_0x405b9c(0x4e7)]('app/forgot');}angular[_0x5537c6(0x9ab)](_0x5537c6(0x218f),[])[_0x5537c6(0xa60)](_0x2d80e3)[_0x5537c6(0x6e5)]('ForgotPasswordController',_0xdc35e5);;const _0x2b820f=_0x5074a3['p']+'src/js/modules/reset/reset.html/reset.html';;_0x17a9ef[_0x5537c6(0x15b6)]=['Auth',_0x5537c6(0x9bf),_0x5537c6(0x1ae),_0x5537c6(0x406),_0x5537c6(0x142b),_0x5537c6(0x225c)];function _0x17a9ef(_0x19f123,_0x2086b8,_0x4f6aae,_0x2c5ec5,_0x2b4496,_0x462ef4){const _0x4a883a=_0x5537c6,_0x8a7867=this;_0x8a7867[_0x4a883a(0x2699)]={'token':_0x462ef4[_0x4a883a(0xae3)]},_0x8a7867['setting']={},_0x8a7867['baseUrl']=_0x2b4496[_0x4a883a(0x29ae)];function _0xc0d681(){const _0x45bee9=_0x4a883a;return _0x19f123[_0x45bee9(0x8c3)]()&&_0x2c5ec5['go'](_0x45bee9(0x1647)),_0x2b4496['setting']['gdpr']({'id':0x1})[_0x45bee9(0x1d77)][_0x45bee9(0x1cb0)](function(_0x599dd1){const _0x338687=_0x45bee9;_0x8a7867['setting']=_0x599dd1,_0x8a7867[_0x338687(0x1b0c)]=_0x8a7867[_0x338687(0x9ca)][_0x338687(0x1102)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'';})[_0x45bee9(0x1c4)](function(_0x556c67){const _0x5b9f1e=_0x45bee9;_0x556c67&&_0x2086b8[_0x5b9f1e(0x218e)]({'title':_0x5b9f1e(0x1619),'msg':_0x556c67[_0x5b9f1e(0x25c)]?_0x556c67['data'][_0x5b9f1e(0x155e)]||_0x556c67[_0x5b9f1e(0x155e)]||_0x556c67:_0x556c67[_0x5b9f1e(0x155e)]||_0x556c67});});}function _0x24b076(){const _0x1859e9=_0x4a883a;return _0x2b4496[_0x1859e9(0x2759)][_0x1859e9(0x28d5)](_0x8a7867[_0x1859e9(0x2699)])['$promise'][_0x1859e9(0x1cb0)](function(){const _0x2d61fd=_0x1859e9;_0x2086b8['success']({'title':_0x4f6aae[_0x2d61fd(0x25cc)](_0x2d61fd(0x1d6d)),'msg':_0x4f6aae[_0x2d61fd(0x25cc)](_0x2d61fd(0x1077))}),_0x2c5ec5['go'](_0x2d61fd(0xf2e));})[_0x1859e9(0x1c4)](function(_0x132873){const _0x4c4156=_0x1859e9;_0x132873&&_0x2086b8[_0x4c4156(0x218e)]({'title':_0x4f6aae[_0x4c4156(0x25cc)]('RESETPASSWORD.PASSWORD_RESET_ERROR'),'msg':_0x132873['data']?_0x132873['data'][_0x4c4156(0x155e)]||_0x132873['message']||_0x132873:_0x132873[_0x4c4156(0x155e)]||_0x132873});});}_0x8a7867[_0x4a883a(0x1695)]=_0x24b076,_0x8a7867[_0x4a883a(0x1a34)]=_0xc0d681;}const _0x4c8f79=_0x17a9ef;;_0x320113['$inject']=[_0x5537c6(0xbd6),_0x5537c6(0x1f2a)];function _0x320113(_0x5debfb,_0x3eefe2){const _0x1408df=_0x5537c6;_0x5debfb[_0x1408df(0x27e0)](_0x1408df(0x29b6),{'url':_0x1408df(0x21ec),'views':{'main@':{'templateUrl':_0x454c10,'controller':'MainController\x20as\x20vm'},'content@app.reset':{'templateUrl':_0x2b820f,'controller':_0x1408df(0x1f32)}},'bodyClass':_0x1408df(0x28d5)}),_0x3eefe2[_0x1408df(0x4e7)](_0x1408df(0xbf2));}angular[_0x5537c6(0x9ab)]('app.reset',[])[_0x5537c6(0xa60)](_0x320113)[_0x5537c6(0x6e5)](_0x5537c6(0x12d6),_0x4c8f79);;const _0x2266af=_0x5074a3['p']+_0x5537c6(0x22c1);;_0x30f139[_0x5537c6(0x15b6)]=['$state',_0x5537c6(0x2168),'$q','msNavigationService'];function _0x30f139(_0x442d77,_0x10b739,_0x1c1fa3,_0x143c4c){const _0x3fa640=this;function _0x31969f(_0x452e39){const _0x33892d=a0_0x5cbd;let _0x4153d5=[];const _0xc30628=_0x143c4c[_0x33892d(0x27e)](),_0x7cb2b8=_0x1c1fa3[_0x33892d(0x11f4)]();for(let _0x405978=0x0;_0x405978<_0xc30628[_0x33892d(0xfd0)];_0x405978+=0x1){_0xc30628[_0x405978][_0x33892d(0x19c3)]&&_0x4153d5[_0x33892d(0x2785)](_0xc30628[_0x405978]);}return _0x452e39&&(_0x4153d5=_0x4153d5['filter'](function(_0x3ea79d){const _0x3875c4=_0x33892d;if(_0x3ea79d[_0x3875c4(0x1386)][_0x3875c4(0x1680)]()[_0x3875c4(0x250a)](_0x452e39['toLowerCase']()))return!![];})),_0x10b739(function(){const _0x4367b7=_0x33892d;_0x7cb2b8[_0x4367b7(0x19a3)](_0x4153d5);},0x3e8),_0x7cb2b8['promise'];}function _0x590797(_0x2a9992){const _0x5d55ec=a0_0x5cbd;_0x2a9992['uisref']&&(_0x2a9992[_0x5d55ec(0x1349)]?_0x442d77['go'](_0x2a9992[_0x5d55ec(0x27e0)],_0x2a9992[_0x5d55ec(0x1349)]):_0x442d77['go'](_0x2a9992[_0x5d55ec(0x27e0)]));}_0x3fa640['search']=_0x31969f,_0x3fa640['searchResultClick']=_0x590797;}const _0x5d0e1f=_0x30f139;;_0x1c6719[_0x5537c6(0x15b6)]=[_0x5537c6(0xbd6),'$translatePartialLoaderProvider'];function _0x1c6719(_0x128bee,_0x147103){const _0x429c3f=_0x5537c6;_0x128bee['state']('app.errors_error-404',{'url':_0x429c3f(0xdae),'views':{'main@':{'templateUrl':_0x454c10,'controller':_0x429c3f(0x11ba)},'content@app.errors_error-404':{'templateUrl':_0x2266af,'controller':_0x429c3f(0x14e9)}},'params':{'status':0x194,'statusText':'','data':{},'config':{}},'bodyClass':_0x429c3f(0x690)}),_0x147103[_0x429c3f(0x4e7)](_0x429c3f(0x1c26));}angular[_0x5537c6(0x9ab)](_0x5537c6(0x16df),[])[_0x5537c6(0xa60)](_0x1c6719)[_0x5537c6(0x6e5)](_0x5537c6(0x1973),_0x5d0e1f);;const _0x1bd4b9=_0x5074a3['p']+_0x5537c6(0x19a7);;_0x2001b2[_0x5537c6(0x15b6)]=['$state','$http'];function _0x2001b2(_0xc04cbf,_0x591ca5){const _0xfed3dd=_0x5537c6,_0x170253=this;function _0x124fae(){const _0x72fec2=a0_0x5cbd;_0x591ca5[_0x72fec2(0x2d4)]('/api/jira',_0x170253[_0x72fec2(0x218e)])[_0x72fec2(0x1cb0)](function(_0x5b816c){const _0xe44f37=_0x72fec2;_0x170253[_0xe44f37(0x199f)]=!![],_0x5b816c[_0xe44f37(0x25c)]&&(_0x170253[_0xe44f37(0x23ae)]=_0x5b816c['data']['id']);},function(){const _0x2bcbc2=_0x72fec2;_0x170253[_0x2bcbc2(0x199f)]=![];});}_0x170253[_0xfed3dd(0x23ae)]='',_0x170253['send']=![],_0x170253[_0xfed3dd(0x218e)]=_0xc04cbf[_0xfed3dd(0x1dfe)],_0x170253['sendReport']=_0x124fae;}const _0x68fc0a=_0x2001b2;;_0x1588c8['$inject']=[_0x5537c6(0xbd6),'$translatePartialLoaderProvider'];function _0x1588c8(_0x27e780,_0x1c5efc){const _0x3e5ebb=_0x5537c6;_0x27e780['state'](_0x3e5ebb(0x931),{'url':'/errors/error-500','views':{'main@':{'templateUrl':_0x454c10,'controller':_0x3e5ebb(0x11ba)},'content@app.errors_error-500':{'templateUrl':_0x1bd4b9,'controller':'Error500Controller\x20as\x20vm'}},'params':{'status':0x1f4,'statusText':'','data':{},'config':{}},'bodyClass':'error-500'}),_0x1c5efc[_0x3e5ebb(0x4e7)]('app/errors/500');}angular[_0x5537c6(0x9ab)](_0x5537c6(0x17df),[])['config'](_0x1588c8)[_0x5537c6(0x6e5)](_0x5537c6(0x1696),_0x68fc0a);;angular[_0x5537c6(0x9ab)](_0x5537c6(0x1a86),['app.errors.error-404','app.errors.error-500']);;_0x3dacb4[_0x5537c6(0x15b6)]=[_0x5537c6(0x354)];function _0x3dacb4(_0xb58784){const _0x18baaf=_0x5537c6,_0x4ab534={};return _0x4ab534[_0x18baaf(0x29ae)]=!![]?'/':0x0,_0x4ab534[_0x18baaf(0x2759)]=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0x2624),{},{'local':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2624)},'forgot':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/auth/local/forgot'},'reset':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x12fc),'params':{'token':_0x18baaf(0x4f7)}},'user':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x40a),'params':{'token':'@token'}},'google':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x10fe)}}),_0x4ab534[_0x18baaf(0x231f)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x4ad)),_0x4ab534['rpc']=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/rpc',{},{'startMonitor':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1ea),'params':{'uniqueid':_0x18baaf(0xf96)}},'stopMonitor':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x4f8),'params':{'uniqueid':_0x18baaf(0xf96)}},'getVoiceChannels':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1299)},'getVoiceQueues':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x21f7)},'getChatQueues':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/rpc/chat/queues'},'getMailQueues':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x21f2)},'getSmsQueues':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xc62)},'getWhatsappQueues':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xc62)},'getOpenchannelQueues':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2837)},'getFaxQueues':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x21fd)},'getVoiceQueuesPreview':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x915)},'getVoiceQueuesChannels':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/rpc/voice/queues/channels'},'getVoiceQueuesChannel':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/rpc/voice/queues/channels/:uniqueid'},'getVoiceQueuesChannelHangup':{'method':'get','url':_0x4ab534['baseUrl']+_0x18baaf(0x241e)},'getVoiceQueuesChannelRedirect':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1fa2)},'getOutbound':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xe17)},'getOutboundChannels':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x107e)},'getAgents':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x25d3)},'setAgentCapacity':{'method':'put','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1e84),'params':{'id':'@id'}},'getTelephones':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1a13)},'getTrunks':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/rpc/trunks'},'getCampaigns':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x85a)},'getMailAccounts':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x390)},'getFaxAccounts':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/rpc/fax/accounts'},'getChatQueuesWaitingInteractions':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x4dc)},'getMailQueuesWaitingInteractions':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0xf6f)},'getOpenchannelQueuesWaitingInteractions':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1b20)},'getOpenchannelQueuesIdWaitingInteractions':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x201f)},'getSmsQueuesWaitingInteractions':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x2197)},'getFaxQueuesWaitingInteractions':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/rpc/fax/queues/waitinginteractions'},'getWhatsappQueuesWaitingInteractions':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2179)},'mailQueueNotify':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2642),'params':{'id':_0x18baaf(0x22fb)}},'chatQueueNotify':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/rpc/chat/queues/:id/notify','params':{'id':_0x18baaf(0x22fb)}},'faxQueueNotify':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x743),'params':{'id':'@id'}},'smsQueueNotify':{'method':'post','url':_0x4ab534['baseUrl']+_0x18baaf(0x37c),'params':{'id':'@id'}},'openchannelQueueNotify':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x482),'params':{'id':_0x18baaf(0x22fb)}},'agentNotify':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x778),'params':{'id':_0x18baaf(0x22fb)}}}),_0x4ab534[_0x18baaf(0x23cc)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x641),{},{'fetch':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1a97)},'reset':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1e7a)},'pull':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/version/pull'},'restart':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x25fb)},'migrations':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x123f)}}),_0x4ab534[_0x18baaf(0x7be)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/system',{},{'killProcess':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+'api/system/process/:pid/kill','params':{'pid':_0x18baaf(0x1416)}}}),_0x4ab534[_0x18baaf(0x2897)]=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0xe91),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f),'isArray':!![]}}),_0x4ab534[_0x18baaf(0xebe)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2822),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1911)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xd16),'params':{'includeAll':_0x18baaf(0x2690)}},'bulkCreate':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+'api/users/create_many','isArray':!![]},'addContacts':{'isArray':!![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/users/:id/contacts'},'changePassword':{'isArray':![],'method':_0x18baaf(0x135f),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2802)},'addQueues':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x7e4)},'removeQueues':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534['baseUrl']+'api/users/:id/queues'},'addAvatar':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+'api/users/:id/avatar'},'getAvatar':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+'api/users/:id/avatar'},'getContacts':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x3e8)},'getQueues':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x7e4)},'getVoiceQueuesRt':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x12e2)},'getGroups':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x7da)},'getRecordings':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x21b1)},'getScreenRecordings':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/users/:id/screen_recordings'},'getChatInteractions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1c9e)},'getOpenchannelInteractions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xcc5)},'getMailInteractions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2544)},'getSmsInteractions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/users/:id/sms/interactions'},'getFaxInteractions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/users/:id/fax/interactions'},'getWhatsappInteractions':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x6ed)},'login':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/users/:id/login'},'logout':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1a82)},'pause':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0xfb3)},'unpause':{'isArray':![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/users/:id/unpause'},'getTeams':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/users/:id/teams'},'addTeams':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x288d)},'removeTeams':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x288d)},'getLists':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x4c8)},'getAgents':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x160d)},'addChatInteractions':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/users/:id/chat_interactions'},'removeChatInteractions':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534['baseUrl']+_0x18baaf(0x26a7)},'addMailInteractions':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/users/:id/mail_interactions'},'removeMailInteractions':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xec2)},'addFaxInteractions':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+'api/users/:id/fax_interactions'},'removeFaxInteractions':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/users/:id/fax_interactions'},'addSmsInteractions':{'isArray':!![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x70d)},'removeSmsInteractions':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/users/:id/sms_interactions'},'addOpenchannelInteractions':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x21d0)},'removeOpenchannelInteractions':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534['baseUrl']+'api/users/:id/openchannel_interactions'},'addWhatsappInteractions':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0xd00)},'removeWhatsappInteractions':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xd00)},'getVoicePrefixes':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1c89)},'getFaxAccounts':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x237)},'addFaxAccounts':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x237)},'removeFaxAccounts':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x237)},'getMailAccounts':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xe80)},'addMailAccounts':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xe80)},'removeMailAccounts':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xe80)},'getOpenchannelAccounts':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2401)},'addOpenchannelAccounts':{'isArray':!![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/users/:id/openchannel_accounts'},'removeOpenchannelAccounts':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2401)},'getSmsAccounts':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x348)},'addSmsAccounts':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x348)},'removeSmsAccounts':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534['baseUrl']+_0x18baaf(0x348)},'getChatWebsites':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/users/:id/chat_websites'},'addChatWebsites':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x138f)},'removeChatWebsites':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x138f)},'getWhatsappAccounts':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x10d3)},'addWhatsappAccounts':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x10d3)},'removeWhatsappAccounts':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534['baseUrl']+_0x18baaf(0x10d3)},'getSquareProjects':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1062)},'addSquareProjects':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1062)},'removeSquareProjects':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534['baseUrl']+'api/users/:id/square_projects'},'getScheduledCalls':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1814)},'getApiKey':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x253d)},'createApiKey':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x253d)},'removeApiKey':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/users/:id/api_key'},'whoami':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1d04)}}),_0x4ab534[_0x18baaf(0x2668)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x332),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1d13)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1ee3),'params':{'includeAll':'@includeAll'}}}),_0x4ab534[_0x18baaf(0x1da5)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/voice/contexts/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1ca)},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/voice/contexts/:id/clone','params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x1059)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x644),{'id':_0x18baaf(0x22fb)},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x165b)},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x594),'params':{'includeAll':_0x18baaf(0x2690)}},'addApplications':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1215)}}),_0x4ab534['voiceMusicOnHold']=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0x48c),{'id':'@id'},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x27b3)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x269f),'params':{'includeAll':_0x18baaf(0x2690)}},'addSound':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/voice/mohs/:id/sounds'},'removeSound':{'isArray':![],'method':'delete','url':_0x4ab534['baseUrl']+_0x18baaf(0xd92)},'getSounds':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+'api/voice/mohs/:id/sounds'}}),_0x4ab534[_0x18baaf(0x26b3)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/voice/queues/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534['baseUrl']+_0x18baaf(0x1250)},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2bb),'params':{'includeAll':_0x18baaf(0x2690)}},'getHoppers':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x24a0)},'getHopperHistories':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/voice/queues/:id/hopper_histories'},'getHopperFinals':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x233)},'getHopperBlacks':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x419)},'getTeams':{'isArray':![],'method':'get','url':_0x4ab534['baseUrl']+_0x18baaf(0x363)},'addTeams':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x363)},'removeTeams':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x363)},'addAgents':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x756)},'removeAgents':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534['baseUrl']+_0x18baaf(0x756)},'getAgents':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/voice/queues/:id/users'},'getMembers':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1682)},'getLists':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/voice/queues/:id/lists'},'addLists':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xce7)},'removeLists':{'isArray':!![],'method':'delete','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/voice/queues/:id/lists'},'getBlackLists':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x2614)},'addBlackLists':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/voice/queues/:id/blacklists'},'removeBlackLists':{'isArray':!![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2614)}}),_0x4ab534[_0x18baaf(0x23d1)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x14d8),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x9e8)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+'api/voice/recordings/:id/clone','params':{'includeAll':_0x18baaf(0x2690)}},'download':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/voice/recordings/:id/download','responseType':_0x18baaf(0x1b92),'cache':![],'transformResponse':function(_0x41b81f,_0x5657a5){const _0x49ef46=_0x18baaf,_0x2e68b8=_0x5657a5();return{'type':_0x2e68b8[_0x49ef46(0x1613)],'length':_0x2e68b8['content-length'],'buffer':_0x41b81f};}},'downloads':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x16cf)},'runTranscribe':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x4ef)},'downloadTranscribe':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x4ef),'responseType':_0x18baaf(0x1b92),'cache':![],'transformResponse':function(_0x48d260,_0x4967f6){const _0x11978e=_0x18baaf,_0x5964bf=_0x4967f6();return{'type':_0x5964bf[_0x11978e(0x1613)],'length':_0x5964bf['content-length'],'buffer':_0x48d260};}}}),_0x4ab534['screenRecording']=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0x2287),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x73c)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1bc6),'params':{'includeAll':_0x18baaf(0x2690)}},'download':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1fea),'responseType':_0x18baaf(0x1b92),'cache':![],'transformResponse':function(_0x134946,_0x37cc9c){const _0x110eb6=_0x18baaf,_0x864399=_0x37cc9c();return{'type':_0x864399[_0x110eb6(0x1613)],'length':_0x864399[_0x110eb6(0xbeb)],'buffer':_0x134946};}}}),_0x4ab534['voiceMail']=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1bb0),{'id':'@id'},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/voice/mails/describe'},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/voice/mails/:id/clone','params':{'includeAll':'@includeAll'}},'getMessages':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/voice/mails/:id/messages'}}),_0x4ab534['voiceMailMessage']=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/voice/mails/messages/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534['baseUrl']+_0x18baaf(0x21e2)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1291),'params':{'includeAll':_0x18baaf(0x2690)}},'download':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x291f),'responseType':_0x18baaf(0x1b92),'cache':![],'transformResponse':function(_0x10e749,_0x45589e){const _0x407169=_0x18baaf,_0x122bae=_0x45589e();return{'type':_0x122bae['content-type'],'length':_0x122bae[_0x407169(0xbeb)],'buffer':_0x10e749};}}}),_0x4ab534[_0x18baaf(0x11f2)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x797),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x4b9)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x5ca),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x593)]=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0x7db),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x8a4)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2358),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x247a)]=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0x1522),{'id':'@id'},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x5df)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+'api/voice/agents/reports/:id/clone','params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0xc6f)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xa02),{'id':'@id'},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/voice/queues/reports/describe'},'clone':{'method':'post','url':_0x4ab534['baseUrl']+'api/voice/queues/reports/:id/clone','params':{'includeAll':_0x18baaf(0x2690)}},'getVoiceQueuesReport':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/voice/queues/reports/index'}}),_0x4ab534['memberReport']=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1e62),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534['baseUrl']+_0x18baaf(0x313)},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x110d),'params':{'includeAll':'@includeAll'}}}),_0x4ab534[_0x18baaf(0x1ae0)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xb32),{'id':_0x18baaf(0x22fb)},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/trunks/describe'},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xbfa),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x1045)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x29da),{'id':_0x18baaf(0x22fb)},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/voice/transfers/reports/describe'},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+'api/voice/transfers/reports/:id/clone','params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534['mailServerOut']=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x266a),{'id':'@id'},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1550)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/mail/out_servers/:id/clone','params':{'includeAll':'@includeAll'}}}),_0x4ab534[_0x18baaf(0x131c)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/mail/accounts/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x16d)},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1357),'params':{'includeAll':_0x18baaf(0x2690)}},'addDisposition':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/mail/accounts/:id/dispositions'},'getDispositions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x22b9)},'removeDispositions':{'isArray':![],'method':'delete','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x22b9)},'addAnswer':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1872)},'getAnswers':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1872)},'removeAnswers':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1872)},'getImap':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0xc7e)},'addImap':{'isArray':![],'method':'post','url':_0x4ab534['baseUrl']+_0x18baaf(0xc7e)},'removeImap':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/mail/accounts/:id/in_servers'},'getSmtp':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1b34)},'addSmtp':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1b34)},'removeSmtp':{'isArray':![],'method':'delete','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1b34)},'addInteraction':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/mail/accounts/:id/interactions'},'getInteractions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x289)},'addApplications':{'isArray':![],'method':'post','url':_0x4ab534['baseUrl']+_0x18baaf(0x3b0)},'getApplications':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x3b0)},'getMessages':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x764)},'send':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x115a)},'verifySmtp':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x211d)},'addAgents':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x225f)},'removeAgents':{'isArray':![],'method':'delete','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x225f)},'getAgents':{'isArray':![],'method':'get','url':_0x4ab534['baseUrl']+_0x18baaf(0x225f)}}),_0x4ab534[_0x18baaf(0x16e1)]=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0x19f),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x940)},'clone':{'method':'post','url':_0x4ab534['baseUrl']+'api/mail/queues/:id/clone','params':{'includeAll':'@includeAll'}},'getMembers':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/mail/queues/:id/members'},'getTeams':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x2266)},'addTeams':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/mail/queues/:id/teams'},'removeTeams':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2266)},'addAgents':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+'api/mail/queues/:id/users'},'removeAgents':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2297)},'getAgents':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2297)}}),_0x4ab534[_0x18baaf(0xdbe)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/mail/substatuses/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0xdcd)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+'api/mail/substatuses/:id/clone','params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x8e9)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1591),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2717)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2807),'params':{'includeAll':_0x18baaf(0x2690)}},'addMessage':{'isArray':![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/mail/interactions/:id/messages'},'getMessages':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1a10)},'addTags':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xeaf)},'removeTags':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xeaf)},'download':{'isArray':![],'method':'get','url':_0x4ab534['baseUrl']+_0x18baaf(0x1fff),'responseType':_0x18baaf(0x1b92),'cache':![],'transformResponse':function(_0x1091ab,_0x31e435){const _0x14d737=_0x18baaf,_0xe4aff8=_0x31e435();return{'type':_0xe4aff8[_0x14d737(0x1613)],'length':_0xe4aff8[_0x14d737(0xbeb)],'buffer':_0x1091ab};}}}),_0x4ab534[_0x18baaf(0x17aa)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x20e5),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x86d)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2213),'params':{'includeAll':'@includeAll'}},'accept':{'isArray':![],'method':_0x18baaf(0x135f),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x21e0)},'reject':{'isArray':![],'method':'put','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xd0a)},'download':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1b04),'responseType':_0x18baaf(0x1b92),'cache':![],'transformResponse':function(_0x576bec,_0x29f07f){const _0x182b66=_0x18baaf,_0x325078=_0x29f07f();return{'type':_0x325078['content-type'],'length':_0x325078[_0x182b66(0xbeb)],'buffer':_0x576bec};}}}),_0x4ab534[_0x18baaf(0x56e)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/mail/applications/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x698)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/mail/applications/:id/clone','params':{'includeAll':'@includeAll'}}}),_0x4ab534[_0x18baaf(0x1c12)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2689),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x23be)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/mail/reports/queue/:id/clone','params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x1312)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/dashboards/:id',{'id':'@id'},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x10da)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+'api/dashboards/:id/clone','params':{'includeAll':_0x18baaf(0x2690)}},'addItem':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x21fe)},'getItems':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x21fe)}}),_0x4ab534[_0x18baaf(0x220)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1dba),{'id':'@id'},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+'api/dashboards/items/describe'},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/dashboards/items/:id/clone','params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534['faxAccount']=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xba2),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1747)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x63d),'params':{'includeAll':_0x18baaf(0x2690)}},'addDisposition':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+'api/fax/accounts/:id/dispositions'},'getDispositions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x28c9)},'removeDispositions':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534['baseUrl']+_0x18baaf(0x28c9)},'addAnswer':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x8e3)},'getAnswers':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/fax/accounts/:id/canned_answers'},'removeAnswers':{'isArray':![],'method':'delete','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x8e3)},'addInteraction':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x28fe)},'getInteractions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x28fe)},'addApplications':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2590)},'getApplications':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2590)},'addAccountApplications':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2812)},'updateAccountApplications':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2518)},'getMessages':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/fax/accounts/:id/messages'},'send':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2172)},'addAgents':{'isArray':!![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xcae)},'removeAgents':{'isArray':![],'method':'delete','url':_0x4ab534['baseUrl']+'api/fax/accounts/:id/users'},'getAgents':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0xcae)}}),_0x4ab534[_0x18baaf(0x1531)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x28f4),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0xc00)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x27cc),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x1480)]=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0x1861),{'id':_0x18baaf(0x22fb)},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x6ca)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xcea),'params':{'includeAll':'@includeAll'}},'addMessage':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xd17)},'getMessages':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xd17)},'addTags':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x96f)},'removeTags':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/fax/interactions/:id/tags'},'download':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x3cc),'responseType':_0x18baaf(0x1b92),'cache':![],'transformResponse':function(_0x4a1e7b,_0x5c72af){const _0x12b9e1=_0x18baaf,_0x3a51f3=_0x5c72af();return{'type':_0x3a51f3[_0x12b9e1(0x1613)],'length':_0x3a51f3[_0x12b9e1(0xbeb)],'buffer':_0x4a1e7b};}}}),_0x4ab534['faxMessage']=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xf0e),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534['baseUrl']+_0x18baaf(0x48d)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x194a),'params':{'includeAll':_0x18baaf(0x2690)}},'accept':{'isArray':![],'method':'put','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1f13)},'reject':{'isArray':![],'method':'put','url':_0x4ab534['baseUrl']+'api/fax/messages/:id/reject'},'download':{'isArray':![],'method':'get','url':_0x4ab534['baseUrl']+_0x18baaf(0x1338),'responseType':_0x18baaf(0x1b92),'cache':![],'transformResponse':function(_0x368269,_0x5a1bff){const _0x1031cc=_0x18baaf,_0x3a7e5b=_0x5a1bff();return{'type':_0x3a7e5b[_0x1031cc(0x1613)],'length':_0x3a7e5b[_0x1031cc(0xbeb)],'buffer':_0x368269};}}}),_0x4ab534[_0x18baaf(0x54d)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x621),{'id':'@id'},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x962)},'clone':{'method':'post','url':_0x4ab534['baseUrl']+_0x18baaf(0x13f9),'params':{'includeAll':_0x18baaf(0x2690)}},'getMembers':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x194)},'getTeams':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2026)},'addTeams':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2026)},'removeTeams':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2026)},'addAgents':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/fax/queues/:id/users'},'removeAgents':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534['baseUrl']+'api/fax/queues/:id/users'},'getAgents':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2334)}}),_0x4ab534[_0x18baaf(0x1be)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x18cc),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x25bf)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1bfb),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x658)]=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0xe22),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xad1)},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x177f),'params':{'includeAll':_0x18baaf(0x2690)}},'addDisposition':{'isArray':![],'method':'post','url':_0x4ab534['baseUrl']+_0x18baaf(0x13a1)},'getDispositions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+'api/sms/accounts/:id/dispositions'},'removeDispositions':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534['baseUrl']+_0x18baaf(0x13a1)},'addAnswer':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1c59)},'getAnswers':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1c59)},'removeAnswers':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1c59)},'notify':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1cc5)},'addApplications':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x27fd)},'getApplications':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x27fd)},'getInteractions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1401)},'send':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2934)},'status':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x19ba)},'statusGet':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x19ba)},'addAgents':{'isArray':!![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x8b5)},'removeAgents':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/sms/accounts/:id/users'},'getAgents':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x8b5)}}),_0x4ab534['smsApplication']=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0x12f5),{'id':'@id'},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x275e)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/sms/applications/:id/clone','params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534['smsInteraction']=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/sms/interactions/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xb01)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x109d),'params':{'includeAll':'@includeAll'}},'addMessage':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x9d9)},'getMessages':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x9d9)},'addTags':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2095)},'removeTags':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534['baseUrl']+_0x18baaf(0x2095)},'download':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/sms/interactions/:id/download','responseType':'arraybuffer','cache':![],'transformResponse':function(_0xb908d7,_0x45ec16){const _0x44e02f=_0x18baaf,_0x515f45=_0x45ec16();return{'type':_0x515f45[_0x44e02f(0x1613)],'length':_0x515f45['content-length'],'buffer':_0xb908d7};}}}),_0x4ab534[_0x18baaf(0x130f)]=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0xefd),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/sms/messages/describe'},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x319),'params':{'includeAll':_0x18baaf(0x2690)}},'accept':{'isArray':![],'method':_0x18baaf(0x135f),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x5b8)},'reject':{'isArray':![],'method':_0x18baaf(0x135f),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x27dc)},'status':{'isArray':![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1870)}}),_0x4ab534[_0x18baaf(0x62e)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/sms/queues/:id',{'id':'@id'},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2346)},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1b41),'params':{'includeAll':_0x18baaf(0x2690)}},'getMembers':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x213b)},'getTeams':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/sms/queues/:id/teams'},'addTeams':{'isArray':!![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/sms/queues/:id/teams'},'removeTeams':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xb00)},'addAgents':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/sms/queues/:id/users'},'removeAgents':{'isArray':![],'method':'delete','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x22b7)},'getAgents':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x22b7)}}),_0x4ab534[_0x18baaf(0x80e)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/openchannel/accounts/:id',{'id':'@id'},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x37f)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1856),'params':{'includeAll':_0x18baaf(0x2690)}},'addDisposition':{'isArray':![],'method':'post','url':_0x4ab534['baseUrl']+_0x18baaf(0x2187)},'getDispositions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2187)},'removeDispositions':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2187)},'addAnswer':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/openchannel/accounts/:id/canned_answers'},'getAnswers':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2413)},'removeAnswers':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2413)},'notify':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1cb4)},'addApplications':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x893)},'getApplications':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/openchannel/accounts/:id/applications'},'getInteractions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2804)},'addAgents':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x22c2)},'removeAgents':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x22c2)},'getAgents':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/openchannel/accounts/:id/users'},'send':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xc82)}}),_0x4ab534[_0x18baaf(0x815)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/openchannel/applications/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x11e6)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x128e),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x1f5b)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x5aa),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534['baseUrl']+_0x18baaf(0x16f)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+'api/openchannel/interactions/:id/clone','params':{'includeAll':'@includeAll'}},'addMessage':{'isArray':![],'method':'post','url':_0x4ab534['baseUrl']+_0x18baaf(0x1d1f)},'getMessages':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+'api/openchannel/interactions/:id/messages'},'addTags':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x11fb)},'removeTags':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/openchannel/interactions/:id/tags'},'download':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x14a9),'responseType':'arraybuffer','cache':![],'transformResponse':function(_0x1dec39,_0x40101e){const _0x7e5384=_0x18baaf,_0x232545=_0x40101e();return{'type':_0x232545['content-type'],'length':_0x232545[_0x7e5384(0xbeb)],'buffer':_0x1dec39};}}}),_0x4ab534['openchannelMessage']=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0x14ba),{'id':_0x18baaf(0x22fb)},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xa8e)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1bdf),'params':{'includeAll':_0x18baaf(0x2690)}},'accept':{'isArray':![],'method':_0x18baaf(0x135f),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x81b)},'reject':{'isArray':![],'method':_0x18baaf(0x135f),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2ef)}}),_0x4ab534['openchannelQueue']=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/openchannel/queues/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1f08)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x22cf),'params':{'includeAll':_0x18baaf(0x2690)}},'getMembers':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1d9e)},'getTeams':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/openchannel/queues/:id/teams'},'addTeams':{'isArray':!![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xef5)},'removeTeams':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/openchannel/queues/:id/teams'},'addAgents':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2383)},'removeAgents':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2383)},'getAgents':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x2383)}}),_0x4ab534[_0x18baaf(0x226c)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1c40),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x24e7)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+'api/chat/websites/:id/clone','params':{'includeAll':_0x18baaf(0x2690)}},'addDisposition':{'isArray':![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1f77)},'getDispositions':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1f77)},'removeDispositions':{'isArray':![],'method':'delete','url':_0x4ab534['baseUrl']+_0x18baaf(0x1f77)},'addAnswer':{'isArray':![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x781)},'getAnswers':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x781)},'removeAnswers':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x781)},'addLogo':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/chat/websites/:id/logo'},'addAvatar':{'isArray':![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x933)},'addCustomerAvatar':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/chat/websites/:id/customer_avatar'},'addSystemAvatar':{'isArray':![],'method':'post','url':_0x4ab534['baseUrl']+'api/chat/websites/:id/system_avatar'},'getLogo':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1482)},'getAvatar':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/chat/websites/:id/avatar'},'getCustomerAvatar':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+'api/chat/websites/:id/customer_avatar'},'getSystemAvatar':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/chat/websites/:id/system_avatar'},'notify':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x961)},'offline':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2969)},'addInteraction':{'isArray':![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x225d)},'getInteractions':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x225d)},'getSnippet':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xc2e)},'addApplications':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x5f4)},'getApplications':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x5f4)},'addProactiveActions':{'isArray':![],'method':'post','url':_0x4ab534['baseUrl']+_0x18baaf(0xafd)},'getProactiveActions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xafd)},'getFields':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2093)},'getOfflineMessages':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/chat/websites/:id/offline_messages'},'addAgents':{'isArray':!![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xba5)},'removeAgents':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534['baseUrl']+_0x18baaf(0xba5)},'getAgents':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/chat/websites/:id/users'}}),_0x4ab534['openchannelQueueReport']=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1cc3),{'id':'@id'},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x63f)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1983),'params':{'includeAll':'@includeAll'}}}),_0x4ab534['chatApplication']=_0xb58784(_0x4ab534['baseUrl']+'api/chat/applications/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x23e8)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x27a1),'params':{'includeAll':'@includeAll'}}}),_0x4ab534[_0x18baaf(0x115b)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x733),{'id':'@id'},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+'api/chat/interactions/describe'},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x28cc),'params':{'includeAll':'@includeAll'}},'createVidaooSession':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x242a)},'customUpdate':{'isArray':![],'method':_0x18baaf(0x135f),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2597)},'abandon':{'isArray':![],'method':'put','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xa5a)},'attachmentUpload':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/chat/interactions/:id/attachment_upload'},'attachmentDownload':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xa01)},'close':{'isArray':![],'method':_0x18baaf(0x135f),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x38a)},'addMessage':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1e9b)},'getMessages':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1e9b)},'getMyMessages':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x343)},'addTags':{'isArray':![],'method':'post','url':_0x4ab534['baseUrl']+_0x18baaf(0x8ad)},'removeTags':{'isArray':![],'method':'delete','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x8ad)},'download':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+'api/chat/interactions/:id/download','responseType':_0x18baaf(0x1b92),'cache':![],'transformResponse':function(_0x2193a7,_0x5d4f74){const _0x2c5811=_0x18baaf,_0x147ef8=_0x5d4f74();return{'type':_0x147ef8[_0x2c5811(0x1613)],'length':_0x147ef8[_0x2c5811(0xbeb)],'buffer':_0x2193a7};}}}),_0x4ab534[_0x18baaf(0x5a4)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x667),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1f1c)},'clone':{'method':'post','url':_0x4ab534['baseUrl']+_0x18baaf(0x2532),'params':{'includeAll':_0x18baaf(0x2690)}},'accept':{'isArray':![],'method':_0x18baaf(0x135f),'url':_0x4ab534['baseUrl']+_0x18baaf(0xae1)},'reject':{'isArray':![],'method':_0x18baaf(0x135f),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1262)}}),_0x4ab534[_0x18baaf(0x16a9)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/chat/offline_messages/:id',{'id':'@id'},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/chat/offline_messages/describe'},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2167),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x1e86)]=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0xb30),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xf45)},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x171a),'params':{'includeAll':'@includeAll'}},'getMembers':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+'api/chat/queues/:id/members'},'getTeams':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1b9a)},'addTeams':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1b9a)},'removeTeams':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1b9a)},'addAgents':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/chat/queues/:id/users'},'removeAgents':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/chat/queues/:id/users'},'getAgents':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/chat/queues/:id/users'}}),_0x4ab534[_0x18baaf(0x20da)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/chat/groups/:id',{'id':'@id'},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1204)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x114d),'params':{'includeAll':'@includeAll'}},'getUnread':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0xcac)},'addMembers':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x290f)},'getMembers':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/chat/groups/:id/members'},'removeMembers':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x290f)},'addMessage':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/chat/groups/:id/messages'},'getMessages':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2896)}}),_0x4ab534[_0x18baaf(0x28fc)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/chat/proactive_actions/:id',{'id':'@id'},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x27e9)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x28d6),'params':{'includeAll':'@includeAll'}}}),_0x4ab534[_0x18baaf(0x107a)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1595),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x16ba)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/cm/companies/:id/clone','params':{'includeAll':'@includeAll'}},'addContacts':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/cm/companies/:id/contacts'},'getContacts':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xa6c)}}),_0x4ab534['cmContact']=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x8c2),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+'api/cm/contacts/describe'},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/cm/contacts/:id/clone','params':{'includeAll':_0x18baaf(0x2690)}},'merge':{'isArray':![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/cm/contacts/merge'},'bulkCreate':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x2979)},'getTags':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x772)},'setTags':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x772)},'getHoppers':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x139f)},'getHopperHistories':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xc2b)},'getHopperFinals':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x7b0)},'getJscriptySessions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+'api/cm/contacts/:id/jscripty_sessions'},'upload':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x258)},'import':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xd6c)}}),_0x4ab534[_0x18baaf(0x26bc)]=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0x1eb0),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xd9f)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+'api/cm/hopper/:id/clone','params':{'includeAll':_0x18baaf(0x2690)}},'getPreview':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/cm/hopper/preview'},'getOpenContacts':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1353)}}),_0x4ab534[_0x18baaf(0x1b1e)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1afa),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x24d3)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+'api/cm/hopper_black/:id/clone','params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x145f)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2790),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x25a3)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1f49),'params':{'includeAll':'@includeAll'}},'countContactsQueueCampaignHopperFinal':{'isArray':!![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1521)},'countContactsIvrCampaignHopperFinal':{'isArray':!![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0xbe3)},'moveContactsQueueCampaignHopperFinal':{'isArray':!![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1bce)},'moveContactsIvrCampaignHopperFinal':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xce6)},'checkContactHopper':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x839)}}),_0x4ab534[_0x18baaf(0x1e15)]=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0xfd4),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x6f3)},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2227),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0xc36)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x15d9),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x50a)},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1017),'params':{'includeAll':'@includeAll'}}}),_0x4ab534[_0x18baaf(0x269a)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/actions/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x2161)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xea2),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x1bcc)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x6bc),{'id':_0x18baaf(0x22fb)},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+'api/automations/describe'},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xb62),'params':{'includeAll':_0x18baaf(0x2690)}},'addConditions':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/automations/:id/conditions'},'getConditions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1033)},'addActions':{'isArray':![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2612)},'getActions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2612)}}),_0x4ab534[_0x18baaf(0x1c25)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/canned_answers/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x119d)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1ad7),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534['disposition']=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/dispositions/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1f3a)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1bd6),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534['condition']=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0xcd1),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x2742)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x816),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x1ac8)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/intervals/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x58b)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/intervals/:id/clone','params':{'includeAll':'@includeAll'}},'addInterval':{'isArray':![],'method':'post','url':_0x4ab534['baseUrl']+_0x18baaf(0x1a2c)},'getIntervals':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/intervals/:id/sub_intervals'},'addIntervals':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0xb65)}}),_0x4ab534[_0x18baaf(0x785)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x337),{'id':'@id'},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/pauses/describe'},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xf56),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x8ff)]=_0xb58784(_0x4ab534['baseUrl']+'api/cdr/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1efd)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1445),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x523)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1496),{'id':'@id'},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x164f)},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x11fa),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x263c)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x200),{'id':'@id'},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x96c)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x68c),'params':{'includeAll':_0x18baaf(0x2690)}},'run':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x9c2)}}),_0x4ab534[_0x18baaf(0x1e9)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1a2b),{'id':'@id'},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+'api/sounds/describe'},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/sounds/:id/clone','params':{'includeAll':_0x18baaf(0x2690)}},'download':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x189a),'responseType':_0x18baaf(0x1b92),'cache':![],'transformResponse':function(_0x52900c,_0x139e78){const _0x3ed6f0=_0x18baaf,_0x195f00=_0x139e78();return{'type':_0x195f00[_0x3ed6f0(0x1613)],'length':_0x195f00[_0x3ed6f0(0xbeb)],'buffer':_0x52900c};}},'delete':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/sounds/:id'}}),_0x4ab534['tag']=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0x1f38),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x222c)},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xbbd),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x2330)]=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0x11e7),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x175e)},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x29a),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x497)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1e17),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1bd9)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2738),'params':{'includeAll':'@includeAll'}},'addAllCondition':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/triggers/:id/all_conditions'},'addAnyCondition':{'isArray':![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xf24)},'getAllConditions':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x4ab)},'getAnyConditions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xf24)},'addAction':{'isArray':![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x5b7)},'getActions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x5b7)}}),_0x4ab534[_0x18baaf(0x1822)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/variables/:id',{'id':'@id'},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x251b)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x263d),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534['integration']=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x508),{'id':'@id'},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/describe'},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x26eb),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x14b3)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x73e),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x4eb)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x21a4),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x1e32)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1658),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/analytics/custom_reports/describe'},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xffd),'params':{'includeAll':'@includeAll'}},'preview':{'isArray':!![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1808)},'run':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/analytics/custom_reports/:id/run'},'query':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+'api/analytics/custom_reports/:id/query'}}),_0x4ab534[_0x18baaf(0x1f0c)]=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0x1b8d),{'id':_0x18baaf(0x22fb)},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1c62)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x16a3),'params':{'includeAll':_0x18baaf(0x2690)}},'preview':{'isArray':!![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x26c5)},'run':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1120)},'query':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x23d0)}}),_0x4ab534[_0x18baaf(0x1f09)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/analytics/extracted_reports/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0xa5e)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2059),'params':{'includeAll':_0x18baaf(0x2690)}},'download':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x814),'responseType':_0x18baaf(0x1b92),'cache':![],'transformResponse':function(_0x32ff91,_0x421812){const _0x1665ae=_0x18baaf,_0x2cabb6=_0x421812();return{'type':_0x2cabb6['content-type'],'length':_0x2cabb6[_0x1665ae(0xbeb)],'buffer':_0x32ff91};}}}),_0x4ab534[_0x18baaf(0xe71)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/analytics/metrics/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2471)},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1517),'params':{'includeAll':'@includeAll'}}}),_0x4ab534['analyticFieldReport']=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/analytics/field_reports/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x72c)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1424),'params':{'includeAll':_0x18baaf(0x2690)}},'bulkCreate':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1642),'isArray':!![]},'bulkDestroy':{'method':'delete','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2583),'isArray':!![]},'addFields':{'isArray':!![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xd10)}}),_0x4ab534['analyticTreeReport']=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/analytics/tree_reports/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/analytics/tree_reports/describe'},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1e2f),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x1186)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x15c9),{'id':'@id'},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1aed)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x2210),'params':{'includeAll':_0x18baaf(0x2690)}},'getConfigurations':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x585)},'addConfiguration':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x585)},'getFields':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x2177)}}),_0x4ab534[_0x18baaf(0xbae)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/salesforce/configurations/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xf2f)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x20ce),'params':{'includeAll':_0x18baaf(0x2690)}},'getFields':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1e70)},'getSubjects':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x7b2)},'getDescriptions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x2954)}}),_0x4ab534[_0x18baaf(0x1a47)]=_0xb58784(_0x4ab534['baseUrl']+'api/integrations/salesforce/fields/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xbc2)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x428),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x3fa)]=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0x1d74),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2995)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x6df),'params':{'includeAll':_0x18baaf(0x2690)}},'getConfigurations':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+'api/integrations/sugarcrm/accounts/:id/configurations'},'addConfiguration':{'isArray':![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/sugarcrm/accounts/:id/configurations'},'getFields':{'isArray':![],'method':'get','url':_0x4ab534['baseUrl']+_0x18baaf(0x2332)}}),_0x4ab534[_0x18baaf(0x20dc)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/sugarcrm/configurations/:id',{'id':'@id'},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+'api/integrations/sugarcrm/configurations/describe'},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x7c3),'params':{'includeAll':_0x18baaf(0x2690)}},'getFields':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1004)},'getSubjects':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1b4d)},'getDescriptions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x29ad)}}),_0x4ab534[_0x18baaf(0x26b0)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/sugarcrm/fields/:id',{'id':'@id'},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/sugarcrm/fields/describe'},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1bdd),'params':{'includeAll':'@includeAll'}}}),_0x4ab534[_0x18baaf(0x2559)]=_0xb58784(_0x4ab534['baseUrl']+'api/integrations/desk/accounts/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1ca4)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x7b4),'params':{'includeAll':_0x18baaf(0x2690)}},'getConfigurations':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1760)},'addConfiguration':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1760)},'getFields':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0xcfe)}}),_0x4ab534[_0x18baaf(0x231)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x3a5),{'id':'@id'},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/desk/configurations/describe'},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x5eb),'params':{'includeAll':_0x18baaf(0x2690)}},'getFields':{'isArray':![],'method':'get','url':_0x4ab534['baseUrl']+_0x18baaf(0x3d4)},'getSubjects':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x874)},'getDescriptions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x24f2)},'getTags':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1232)},'setTags':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1232)}}),_0x4ab534[_0x18baaf(0x220a)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2957),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/desk/fields/describe'},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x7cb),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0xdb4)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xb7c),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x309)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1fe1),'params':{'includeAll':_0x18baaf(0x2690)}},'getConfigurations':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1398)},'addConfiguration':{'isArray':![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1398)},'getFields':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/zoho/accounts/:id/fields'}}),_0x4ab534[_0x18baaf(0x1a17)]=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0x3ef),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x227e)},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/zoho/configurations/:id/clone','params':{'includeAll':_0x18baaf(0x2690)}},'getFields':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1321)},'getSubjects':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1a01)},'getDescriptions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1003)}}),_0x4ab534['intZohoField']=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0x1273),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1523)},'clone':{'method':'post','url':_0x4ab534['baseUrl']+_0x18baaf(0x2889),'params':{'includeAll':'@includeAll'}}}),_0x4ab534[_0x18baaf(0x17a8)]=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0x18a1),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x220d)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x247b),'params':{'includeAll':_0x18baaf(0x2690)}},'getConfigurations':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1725)},'addConfiguration':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1725)},'getFields':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x33f)}}),_0x4ab534['intZendeskConfiguration']=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/zendesk/configurations/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x264f)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+'api/integrations/zendesk/configurations/:id/clone','params':{'includeAll':_0x18baaf(0x2690)}},'getFields':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1573)},'getSubjects':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/zendesk/configurations/:id/subjects'},'getDescriptions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xcfc)},'getTags':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x14e4)},'setTags':{'isArray':!![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x14e4)}}),_0x4ab534[_0x18baaf(0x24cd)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/zendesk/fields/:id',{'id':'@id'},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x26dc)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x158f),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x2317)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1f90),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1328)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x28ab),'params':{'includeAll':_0x18baaf(0x2690)}},'getConfigurations':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/freshdesk/accounts/:id/configurations'},'addConfiguration':{'isArray':![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/freshdesk/accounts/:id/configurations'},'getFields':{'isArray':![],'method':'get','url':_0x4ab534['baseUrl']+_0x18baaf(0x1f76)}}),_0x4ab534[_0x18baaf(0x29c2)]=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0x19d9),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1975)},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2715),'params':{'includeAll':'@includeAll'}},'getFields':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1b86)},'getSubjects':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/freshdesk/configurations/:id/subjects'},'getDescriptions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x25b8)},'getTags':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x16dc)},'setTags':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/freshdesk/configurations/:id/tags'}}),_0x4ab534[_0x18baaf(0x1830)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1fe5),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/freshdesk/fields/describe'},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/freshdesk/fields/:id/clone','params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0xe0d)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/vtiger/accounts/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+'api/integrations/vtiger/accounts/describe'},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1263),'params':{'includeAll':_0x18baaf(0x2690)}},'getConfigurations':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/vtiger/accounts/:id/configurations'},'addConfiguration':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xb99)},'getFields':{'isArray':![],'method':'get','url':_0x4ab534['baseUrl']+_0x18baaf(0x2146)}}),_0x4ab534[_0x18baaf(0x1301)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/vtiger/configurations/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x361)},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x410),'params':{'includeAll':_0x18baaf(0x2690)}},'getFields':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/vtiger/configurations/:id/fields'},'getSubjects':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x116e)},'getDescriptions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x330)}}),_0x4ab534[_0x18baaf(0x4a3)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2180),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x123c)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x12d1),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x901)]=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0xb0b),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/servicenow/accounts/describe'},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/servicenow/accounts/:id/clone','params':{'includeAll':'@includeAll'}},'getConfigurations':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x6af)},'addConfiguration':{'isArray':![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/servicenow/accounts/:id/configurations'},'getFields':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/servicenow/accounts/:id/fields'}}),_0x4ab534[_0x18baaf(0x739)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x17a3),{'id':_0x18baaf(0x22fb)},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x24e1)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x27e2),'params':{'includeAll':'@includeAll'}},'getFields':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/servicenow/configurations/:id/fields'},'getSubjects':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x349)},'getDescriptions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x16b3)}}),_0x4ab534[_0x18baaf(0x1ce8)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x188),{'id':_0x18baaf(0x22fb)},{'update':{'method':'put'},'describe':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x7d7)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/servicenow/fields/:id/clone','params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534['intDynamics365Account']=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xf8e),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x255d)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/dynamics365/accounts/:id/clone','params':{'includeAll':_0x18baaf(0x2690)}},'getConfigurations':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/dynamics365/accounts/:id/configurations'},'addConfiguration':{'isArray':![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2377)},'getFields':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x294e)}}),_0x4ab534['intDynamics365Configuration']=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0x898),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/dynamics365/configurations/describe'},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x14cf),'params':{'includeAll':_0x18baaf(0x2690)}},'getFields':{'isArray':![],'method':'get','url':_0x4ab534['baseUrl']+'api/integrations/dynamics365/configurations/:id/fields'},'getSubjects':{'isArray':![],'method':'get','url':_0x4ab534['baseUrl']+_0x18baaf(0x1643)},'getDescriptions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x149c)}}),_0x4ab534[_0x18baaf(0x26f0)]=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0x1e81),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534['baseUrl']+_0x18baaf(0x19fe)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+'api/integrations/dynamics365/fields/:id/clone','params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534['intFreshsalesAccount']=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xb43),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2111)},'clone':{'method':'post','url':_0x4ab534['baseUrl']+_0x18baaf(0x15c3),'params':{'includeAll':_0x18baaf(0x2690)}},'getConfigurations':{'isArray':![],'method':'get','url':_0x4ab534['baseUrl']+'api/integrations/freshsales/accounts/:id/configurations'},'addConfiguration':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/freshsales/accounts/:id/configurations'},'getFields':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/freshsales/accounts/:id/fields'}}),_0x4ab534[_0x18baaf(0x2085)]=_0xb58784(_0x4ab534['baseUrl']+'api/integrations/freshsales/configurations/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x20eb)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xe37),'params':{'includeAll':_0x18baaf(0x2690)}},'getSubjects':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x2674)},'getDescriptions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1d23)},'getFields':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/freshsales/configurations/:id/fields'}}),_0x4ab534[_0x18baaf(0x775)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/integrations/freshsales/fields/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1d87)},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x187b),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534['network']=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/networks/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1926)},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/networks/:id/clone','params':{'includeAll':'@includeAll'}}}),_0x4ab534['chatQueueReport']=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/chat/reports/queue/:id',{'id':'@id'},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x2605)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x12cc),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534['chatInternalMessage']=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x230d),{'id':_0x18baaf(0x22fb)},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/chat/internal/messages/describe'},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1abf),'params':{'includeAll':'@includeAll'}},'getUsers':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/chat/internal/messages/users'}}),_0x4ab534['jscriptyAnswerReport']=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1df),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/jscripty/answers/reports/describe'},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x794),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534['jscriptyQuestionReport']=_0xb58784(_0x4ab534['baseUrl']+'api/jscripty/questions/reports/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+'api/jscripty/questions/reports/describe'},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xca2),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534['jscriptySessionReport']=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xa0c),{'id':_0x18baaf(0x22fb)},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xe4f)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+'api/jscripty/sessions/reports/:id/clone','params':{'includeAll':'@includeAll'}},'getQuestions':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1ef8)}}),_0x4ab534[_0x18baaf(0x1533)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x118f),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1156)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/sms/reports/queue/:id/clone','params':{'includeAll':'@includeAll'}}}),_0x4ab534[_0x18baaf(0x12d9)]=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0x14b2),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1f5c)},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x126d),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x950)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/square/details/reports/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x21d5)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x6f6),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534['jscriptyProject']=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0x677),{'id':_0x18baaf(0x22fb)},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2327)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/jscripty/projects/:id/clone','params':{'includeAll':_0x18baaf(0x2690)}},'getSessions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x29cc)},'getAnswers':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x3ea)},'getSummary':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x99e),'responseType':_0x18baaf(0x1b92),'cache':![],'transformResponse':function(_0x122360,_0x4cfdd8){const _0xd5d38=_0x18baaf,_0x555ee5=_0x4cfdd8();return{'type':_0x555ee5[_0xd5d38(0x1613)],'length':_0x555ee5['content-length'],'buffer':_0x122360};}}}),_0x4ab534[_0x18baaf(0x9ca)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x81c),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1bf5)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x88d),'params':{'includeAll':_0x18baaf(0x2690)}},'addLogo':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1a91)},'addLogoLogin':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x612)},'getLogo':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/settings/:id/logo'},'getLogoLogin':{'isArray':![],'method':'get','url':_0x4ab534['baseUrl']+_0x18baaf(0x612)},'gdpr':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1d22)},'addPreferred':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/settings/:id/preferred'},'getPreferred':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x26d7)},'addFavicon':{'isArray':![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x196b)},'getFavicon':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x196b)},'getDate':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xeba)}}),_0x4ab534[_0x18baaf(0xd7f)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x24f9),{'id':_0x18baaf(0x22fb)},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x449)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x22f7),'params':{'includeAll':_0x18baaf(0x2690)}},'test':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x19e8)}}),_0x4ab534[_0x18baaf(0xf61)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xcf2),{'id':'@id'},{'update':{'method':'put'},'describe':{'method':'get','url':_0x4ab534['baseUrl']+'api/square/projects/describe'},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x172),'params':{'includeAll':_0x18baaf(0x2690)}},'addUsers':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1766)},'removeUsers':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/square/projects/:id/users'},'getUsers':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1766)}}),_0x4ab534[_0x18baaf(0x562)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1d03),{'id':_0x18baaf(0x22fb)},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xcbc)},'clone':{'method':'post','url':_0x4ab534['baseUrl']+_0x18baaf(0x2479),'params':{'includeAll':'@includeAll'}},'download':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1ba),'responseType':_0x18baaf(0x1b92),'cache':![],'transformResponse':function(_0x47afb6,_0x344460){const _0x6b199a=_0x18baaf,_0x819009=_0x344460();return{'type':_0x819009[_0x6b199a(0x1613)],'length':_0x819009[_0x6b199a(0xbeb)],'buffer':_0x47afb6};}}}),_0x4ab534['squareMessage']=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1254),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/square/messages/describe'},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xd53),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0xdfd)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/teams/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1690)},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1919),'params':{'includeAll':_0x18baaf(0x2690)}},'getQueues':{'isArray':!![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x356)},'addQueues':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x356)},'removeQueues':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x356)},'addAgents':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/teams/:id/users'},'removeAgents':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/teams/:id/users'},'getAgents':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1ac2)}}),_0x4ab534[_0x18baaf(0x8a5)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2b4),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x8ef)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x9d4),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x218c)]=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0x3ca),{'id':_0x18baaf(0x22fb)},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x890)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1c50),'params':{'includeAll':_0x18baaf(0x2690)}},'getHoppers':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/campaigns/:id/hoppers'},'getHopperHistories':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xcf6)},'getHopperFinals':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x17f7)},'getHopperBlacks':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x75d)},'getLists':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x114c)},'addLists':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/campaigns/:id/lists'},'removeLists':{'isArray':!![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x114c)},'getBlackLists':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1939)},'addBlackLists':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1939)},'removeBlackLists':{'isArray':!![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1939)}}),_0x4ab534['migration']=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0x2676),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xed4)},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x280f),'params':{'includeAll':'@includeAll'}}}),_0x4ab534[_0x18baaf(0x21d7)]=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0x2245),{'id':'@id'},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0xb4b)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x2673),'params':{'includeAll':_0x18baaf(0x2690)}},'download':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1683),'responseType':_0x18baaf(0x1b92),'cache':![],'transformResponse':function(_0x411208,_0x237288){const _0x3e1ccd=_0x18baaf,_0x27abcc=_0x237288();return{'type':_0x27abcc[_0x3e1ccd(0x1613)],'length':_0x27abcc[_0x3e1ccd(0xbeb)],'buffer':_0x411208};}}}),_0x4ab534['plugin']=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0x193c),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xcc7)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x21f9),'params':{'includeAll':'@includeAll'}},'download':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x6b4),'responseType':_0x18baaf(0x1b92),'cache':![],'transformResponse':function(_0x1398a2,_0x277a23){const _0x53c800=_0x18baaf,_0x24e23c=_0x277a23();return{'type':_0x24e23c[_0x53c800(0x1613)],'length':_0x24e23c[_0x53c800(0xbeb)],'buffer':_0x1398a2};}},'upload':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1f80)},'delete':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x193c)},'webhookGet':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x10c3)},'webhookPost':{'isArray':![],'method':'post','url':_0x4ab534['baseUrl']+'api/plugins/webhook'}}),_0x4ab534[_0x18baaf(0x1c06)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x8f7),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/mail/reports/transfer/describe'},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/mail/reports/transfer/:id/clone','params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0xa2e)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x12e4),{'id':_0x18baaf(0x22fb)},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x4e5)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/chat/reports/transfer/:id/clone','params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x9e7)]=_0xb58784(_0x4ab534['baseUrl']+'api/fax/reports/transfer/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1270)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1848),'params':{'includeAll':'@includeAll'}}}),_0x4ab534[_0x18baaf(0x19ef)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x123d),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x39f)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1a71),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x2420)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x3e4),{'id':'@id'},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/sms/reports/transfer/describe'},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1526),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x22f2)]=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0x21bc),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x2ba)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x76e),'params':{'includeAll':'@includeAll'}},'addDisposition':{'isArray':![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/cm/lists/:id/dispositions'},'getDispositions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/cm/lists/:id/dispositions'},'removeDispositions':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/cm/lists/:id/dispositions'},'addContacts':{'isArray':![],'method':'post','url':_0x4ab534['baseUrl']+_0x18baaf(0x598)},'getContacts':{'isArray':!![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x598)},'getContactsCsv':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x28a0),'responseType':_0x18baaf(0x1b92),'cache':![],'transformResponse':function(_0x1a1dbe,_0x23d150){const _0x492ce4=_0x18baaf,_0x40b5ff=_0x23d150();return{'type':_0x40b5ff['content-type'],'length':_0x40b5ff[_0x492ce4(0xbeb)],'buffer':_0x1a1dbe};}},'addCustomField':{'isArray':![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x297c)},'getCustomFields':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x297c)},'addAgents':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1320)},'removeAgents':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1320)},'getAgents':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+'api/cm/lists/:id/users'}}),_0x4ab534[_0x18baaf(0x152c)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x266c),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/voice/prefixes/describe'},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xc91),'params':{'includeAll':_0x18baaf(0x2690)}},'addAgents':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x960)},'removeAgents':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x960)},'getAgents':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x960)}}),_0x4ab534[_0x18baaf(0x44a)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1413),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1565)},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1b54),'params':{'includeAll':_0x18baaf(0x2690)}},'addSections':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x246e)},'removeSections':{'isArray':![],'method':'delete','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x246e)},'getSections':{'isArray':!![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x246e)},'addResources':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2d1)},'removeResources':{'isArray':![],'method':'delete','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/userProfiles/:id/resources'},'getResources':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2d1)}}),_0x4ab534[_0x18baaf(0x2199)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1bf9),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534['baseUrl']+_0x18baaf(0xe46)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x246),'params':{'includeAll':'@includeAll'}}}),_0x4ab534[_0x18baaf(0x1198)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2ff),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2901)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x6cc),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x163c)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x86e),{'id':_0x18baaf(0x22fb)},{'update':{'method':'put'},'describe':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x119c)},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+'api/notifications/:id/clone','params':{'includeAll':_0x18baaf(0x2690)}},'sendNotification':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+'api/notifications/'}}),_0x4ab534[_0x18baaf(0x83a)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/whatsapp/accounts/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1862)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1434),'params':{'includeAll':_0x18baaf(0x2690)}},'addDisposition':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1d37)},'getDispositions':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1d37)},'removeDispositions':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1d37)},'addAnswer':{'isArray':![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xc1d)},'getAnswers':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/whatsapp/accounts/:id/canned_answers'},'removeAnswers':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xc1d)},'addAgents':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/whatsapp/accounts/:id/users'},'removeAgents':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1e35)},'getAgents':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1e35)},'addApplications':{'isArray':![],'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x17a7)},'getApplications':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x17a7)},'notify':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x846)},'send':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1665)}}),_0x4ab534[_0x18baaf(0xd51)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/whatsapp/applications/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xb18)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0x6cb),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x197a)]=_0xb58784(_0x4ab534['baseUrl']+_0x18baaf(0x175d),{'id':'@id'},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/whatsapp/interactions/describe'},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534['baseUrl']+_0x18baaf(0xe29),'params':{'includeAll':_0x18baaf(0x2690)}},'addMessage':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xdd1)},'getMessages':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xdd1)},'addTags':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2267)},'removeTags':{'isArray':![],'method':'delete','url':_0x4ab534['baseUrl']+_0x18baaf(0x2267)},'download':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x292a),'responseType':_0x18baaf(0x1b92),'cache':![],'transformResponse':function(_0x4acb47,_0x2607bd){const _0x2b1801=_0x18baaf,_0x34f601=_0x2607bd();return{'type':_0x34f601[_0x2b1801(0x1613)],'length':_0x34f601[_0x2b1801(0xbeb)],'buffer':_0x4acb47};}}}),_0x4ab534['whatsappMessage']=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/whatsapp/messages/:id',{'id':'@id'},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xc5a)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xb7b),'params':{'includeAll':'@includeAll'}},'accept':{'isArray':![],'method':_0x18baaf(0x135f),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1f40)},'reject':{'isArray':![],'method':_0x18baaf(0x135f),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1e90)},'status':{'isArray':![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2c5)}}),_0x4ab534[_0x18baaf(0xf64)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x287e),{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xb27)},'clone':{'method':'post','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x2660),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0x108b)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/whatsapp/reports/transfer/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x27d0)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x8bb),'params':{'includeAll':_0x18baaf(0x2690)}}}),_0x4ab534[_0x18baaf(0xea8)]=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x162f),{'id':_0x18baaf(0x22fb)},{'update':{'method':'put'},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x631)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+'api/whatsapp/queues/:id/clone','params':{'includeAll':_0x18baaf(0x2690)}},'getMembers':{'isArray':![],'method':'get','url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1764)},'getTeams':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xf7e)},'addTeams':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0xf7e)},'removeTeams':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534['baseUrl']+_0x18baaf(0xf7e)},'addAgents':{'isArray':!![],'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x726)},'removeAgents':{'isArray':![],'method':_0x18baaf(0x111d),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x726)},'getAgents':{'isArray':![],'method':_0x18baaf(0xbf7),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x726)}}),_0x4ab534['cloudProvider']=_0xb58784(_0x4ab534[_0x18baaf(0x29ae)]+'api/cloudProviders/:id',{'id':_0x18baaf(0x22fb)},{'update':{'method':_0x18baaf(0x135f)},'describe':{'method':_0x18baaf(0xbf7),'url':_0x4ab534['baseUrl']+_0x18baaf(0x1887)},'clone':{'method':_0x18baaf(0x2d4),'url':_0x4ab534[_0x18baaf(0x29ae)]+_0x18baaf(0x1d86),'params':{'includeAll':'@includeAll'}}}),_0x4ab534;}const _0x3d0e4e=_0x3dacb4;;_0x1ea475[_0x5537c6(0x15b6)]=[_0x5537c6(0x1b10),'$translatePartialLoaderProvider',_0x5537c6(0x26f1)];function _0x1ea475(_0x20d621,_0x174db1,_0x53b6ad){const _0x3fc12e=_0x5537c6;_0x53b6ad[_0x3fc12e(0x238)]({'position':_0x3fc12e(0x1044),'sound':![],'html':!![],'theme':'material'}),_0x20d621[_0x3fc12e(0xcd8)](_0x3fc12e(0x1094),{'urlTemplate':_0x3fc12e(0x249f)}),_0x20d621[_0x3fc12e(0x174a)]('en'),_0x174db1[_0x3fc12e(0x4e7)](_0x3fc12e(0x1845)),_0x20d621['useSanitizeValueStrategy'](null);}const _0x53da84=_0x1ea475;;_0x24533b[_0x5537c6(0x15b6)]=[_0x5537c6(0x1a62),_0x5537c6(0x1ae),_0x5537c6(0x173),_0x5537c6(0x142b),_0x5537c6(0x9bf)];function _0x24533b(_0x7e42f,_0x1b779c,_0x2c6164,_0x24903b,_0x27a691){const _0x211d9f=_0x5537c6,_0x4fc01f=this;_0x4fc01f[_0x211d9f(0x1e08)]=_0x7e42f[_0x211d9f(0x1e08)],_0x4fc01f[_0x211d9f(0x979)]=_0x211d9f(0xd32);const _0x14f3db=['ar','fa','he'],_0x27ebce=_0x2c6164[_0x211d9f(0xbf7)]('motion.language');_0x27ebce&&(_0x1b779c[_0x211d9f(0x1ddd)](_0x27ebce),_0x14f3db[_0x211d9f(0xd8a)](_0x27ebce)>=0x0&&_0x24903b[_0x211d9f(0x9ca)][_0x211d9f(0xbf7)]({'id':0x1})[_0x211d9f(0x1d77)]['then'](function(_0x4883c2){const _0x90f89f=_0x211d9f;_0x4883c2&&_0x4883c2['rtlSupport']&&(_0x4fc01f[_0x90f89f(0x979)]=_0x90f89f(0xdef));})[_0x211d9f(0x1c4)](function(_0x382526){const _0x46d684=_0x211d9f;_0x382526&&_0x27a691[_0x46d684(0x218e)]({'title':_0x46d684(0x1619),'msg':_0x382526['data']?_0x382526[_0x46d684(0x25c)][_0x46d684(0x155e)]||_0x382526['message']||_0x382526:_0x382526[_0x46d684(0x155e)]||_0x382526});}));}const _0x287da9=_0x24533b;;_0x2bb3af[_0x5537c6(0x15b6)]=[_0x5537c6(0x1ae)],_0x262c44[_0x5537c6(0x15b6)]=[_0x5537c6(0xc34),'$translate'];function _0x262c44(_0x480297,_0x16f084){return function(_0x2cfaa4){const _0x2541ad=a0_0x5cbd,_0x4c8718=new Date(),_0x30e58=new Date(_0x2cfaa4);if(_0x30e58[_0x2541ad(0x1ccc)]()===_0x4c8718[_0x2541ad(0x1ccc)]()&&_0x30e58[_0x2541ad(0x1c4c)]()===_0x4c8718['getMonth']()&&_0x30e58['getFullYear']()===_0x4c8718[_0x2541ad(0x2516)]())return _0x480297(_0x2541ad(0x18ec))(_0x30e58['getTime'](),_0x2541ad(0x2841));if(_0x30e58['getDate']()===_0x4c8718[_0x2541ad(0x1ccc)]()-0x1&&_0x30e58[_0x2541ad(0x1c4c)]()===_0x4c8718['getMonth']()&&_0x30e58[_0x2541ad(0x2516)]()===_0x4c8718['getFullYear']())return _0x16f084[_0x2541ad(0x25cc)](_0x2541ad(0xa39))+'\x20'+_0x480297(_0x2541ad(0x18ec))(_0x30e58[_0x2541ad(0xab7)](),_0x2541ad(0x2841));return _0x480297(_0x2541ad(0x18ec))(_0x30e58[_0x2541ad(0xab7)](),_0x2541ad(0x12c9));};}function _0x4f42ae(){return function(_0x309cb1,_0x4b7be5,_0x516d1f){const _0x402a82={};let _0x5e9ec9=0x0,_0x1001a1;for(_0x1001a1 in _0x309cb1){_0x5e9ec9>=_0x516d1f&&(_0x402a82[_0x1001a1]=_0x309cb1[_0x1001a1]);if(++_0x5e9ec9===_0x516d1f+_0x4b7be5)break;}return _0x402a82;};}function _0x1a0f6e(){const _0x218e09=_0x5537c6,_0x305588=[{'value':'jan'},{'value':'feb'},{'value':_0x218e09(0x809)},{'value':_0x218e09(0x23f0)},{'value':_0x218e09(0x1c69)},{'value':_0x218e09(0x78a)},{'value':_0x218e09(0x8e5)},{'value':_0x218e09(0x738)},{'value':_0x218e09(0x289e)},{'value':_0x218e09(0x2745)},{'value':_0x218e09(0x1505)},{'value':_0x218e09(0x347)}],_0x140a2f=[{'value':'1'},{'value':'2'},{'value':'3'},{'value':'4'},{'value':'5'},{'value':'6'},{'value':'7'},{'value':'8'},{'value':'9'},{'value':'10'},{'value':'11'},{'value':'12'}],_0x4b41e0=[{'value':_0x218e09(0x2355)},{'value':_0x218e09(0x140a)},{'value':'wed'},{'value':_0x218e09(0xaad)},{'value':_0x218e09(0x1bf0)},{'value':_0x218e09(0x15d4)},{'value':_0x218e09(0x12a2)}],_0xcd3ef9=[0x1,0x2,0x3,0x4,0x5,0x6,0x7,0x8,0x9,0xa,0xb,0xc,0xd,0xe,0xf,0x10,0x11,0x12,0x13,0x14,0x15,0x16,0x17,0x18,0x19,0x1a,0x1b,0x1c,0x1d,0x1e,0x1f];return{'getMonthName':function(){return _0x305588;},'getMonthNumber':function(){return _0x140a2f;},'getDaysOfWeek':function(){return _0x4b41e0;},'getDaysOfMonth':function(){return _0xcd3ef9;}};}function _0x2bb3af(_0x46110e){const _0x15812c=_0x5537c6;return{'Today':_0x46110e[_0x15812c(0x25cc)](_0x15812c(0x257e)),'Yesterday':_0x46110e[_0x15812c(0x25cc)](_0x15812c(0xa39)),'This\x20Week':_0x46110e[_0x15812c(0x25cc)](_0x15812c(0x16b0)),'Last\x20Week':_0x46110e[_0x15812c(0x25cc)](_0x15812c(0x89b)),'This\x20Month':_0x46110e[_0x15812c(0x25cc)]('DASHBOARDS.THIS_MONTH'),'Last\x20Month':_0x46110e['instant'](_0x15812c(0x141a)),'This\x20Year':_0x46110e[_0x15812c(0x25cc)](_0x15812c(0x201a)),'Last\x20Year':_0x46110e[_0x15812c(0x25cc)](_0x15812c(0x45b)),'January':_0x46110e[_0x15812c(0x25cc)](_0x15812c(0x1588)),'February':_0x46110e['instant'](_0x15812c(0xa95)),'March':_0x46110e[_0x15812c(0x25cc)](_0x15812c(0xb72)),'April':_0x46110e[_0x15812c(0x25cc)](_0x15812c(0x1161)),'May':_0x46110e[_0x15812c(0x25cc)](_0x15812c(0x23ff)),'June':_0x46110e[_0x15812c(0x25cc)](_0x15812c(0x68a)),'July':_0x46110e['instant'](_0x15812c(0xd0c)),'August':_0x46110e[_0x15812c(0x25cc)](_0x15812c(0xc6a)),'September':_0x46110e[_0x15812c(0x25cc)](_0x15812c(0x25e0)),'October':_0x46110e['instant'](_0x15812c(0x1e25)),'November':_0x46110e[_0x15812c(0x25cc)]('DASHBOARDS.NOVEMBER'),'December':_0x46110e['instant'](_0x15812c(0x2b0)),'Mon':_0x46110e['instant']('DASHBOARDS.MON'),'Tue':_0x46110e[_0x15812c(0x25cc)](_0x15812c(0x169d)),'Wed':_0x46110e[_0x15812c(0x25cc)](_0x15812c(0x17e4)),'Thu':_0x46110e[_0x15812c(0x25cc)](_0x15812c(0x1411)),'Fri':_0x46110e['instant'](_0x15812c(0x67a)),'Sat':_0x46110e[_0x15812c(0x25cc)](_0x15812c(0x114e)),'Sun':_0x46110e[_0x15812c(0x25cc)](_0x15812c(0x64b))};}function _0x157c49(){return{'enqueue':function(_0x18d3d5){const _0xfa792a=a0_0x5cbd;this[_0xfa792a(0x1dfc)]=this[_0xfa792a(0x1dfc)]?this[_0xfa792a(0x1dfc)][_0xfa792a(0x2e0)](_0x18d3d5):_0x18d3d5();}};};const _0x3af932=_0x5074a3['p']+'src/js/modules/toolbar/layouts/vertical-navigation/toolbar.html/toolbar.html';;;const _0x12f896=_0x5074a3['p']+'src/js/modules/navigation/layouts/horizontal-navigation/navigation.html/navigation.html';;const _0x37ea3c=_0x5074a3['p']+_0x5537c6(0x1bbf);;const _0x37d17c=_0x5074a3['p']+'src/js/modules/navigation/layouts/vertical-navigation-fullwidth-toolbar-2/navigation.html/navigation.html';;;const _0x257932=_0x5074a3['p']+_0x5537c6(0x2551);;;const _0x545a6f=_0x5074a3['p']+_0x5537c6(0x139a);;;const _0x1407c7=_0x5074a3['p']+_0x5537c6(0x1d4d);;_0x5b6926[_0x5537c6(0x15b6)]=[_0x5537c6(0xbd6),_0x5537c6(0x506),_0x5537c6(0x191c)];function _0x5b6926(_0x29036f,_0xad9155,_0x1009be){const _0x4f7d92=_0x5537c6;_0x1009be['html5Mode'](!![]),_0xad9155[_0x4f7d92(0x1d60)][_0x4f7d92(0xed7)](_0x4f7d92(0x27d));let _0x5e93d7;angular[_0x4f7d92(0x1da7)]([_0x4f7d92(0xfe3)])['invoke']([_0x4f7d92(0x173),function(_0x2fa8b3){_0x5e93d7=_0x2fa8b3;}]);const _0x5c1ddf=_0x5e93d7[_0x4f7d92(0xbf7)](_0x4f7d92(0x1422))||'verticalNavigation',_0x170819={'verticalNavigation':{'main':_0xcad046,'toolbar':_0x3af932,'navigation':_0x37ea3c,'header':_0x257932,'footer':_0x545a6f},'verticalNavigationFullwidthToolbar':{'main':_0x5df8b6,'toolbar':'','navigation':_0x37ea3c},'verticalNavigationFullwidthToolbar2':{'main':_0x25e1bd,'toolbar':'','navigation':_0x37d17c},'horizontalNavigation':{'main':_0x4ee7f7,'toolbar':'','navigation':_0x12f896},'contentOnly':{'main':_0x454c10,'toolbar':'','navigation':''},'contentWithToolbar':{'main':_0x57a773,'toolbar':'','navigation':''}};_0x29036f[_0x4f7d92(0x27e0)](_0x4f7d92(0x22e1),{'abstract':!![],'views':{'main@':{'templateUrl':_0x170819[_0x5c1ddf][_0x4f7d92(0x26ac)],'controller':_0x4f7d92(0x11ba)},'toolbar@app':{'templateUrl':_0x170819[_0x5c1ddf][_0x4f7d92(0x1699)],'controller':'ToolbarController\x20as\x20vm'},'navigation@app':{'templateUrl':_0x170819[_0x5c1ddf][_0x4f7d92(0x511)],'controller':_0x4f7d92(0x28be)},'quickPanel@app':{'templateUrl':_0x1407c7,'controller':_0x4f7d92(0xee0)},'header@app':{'templateUrl':_0x170819[_0x5c1ddf][_0x4f7d92(0x18bf)],'controller':_0x4f7d92(0x1fca)},'footer@app':{'templateUrl':_0x170819[_0x5c1ddf]['footer'],'controller':'FooterController\x20as\x20vm'}},'resolve':{'license':[_0x4f7d92(0x1e0b),function(_0x2e0886){const _0x1d2917=_0x4f7d92;return _0x2e0886[_0x1d2917(0x19a3)](_0x1d2917(0x1b53));}],'setting':[_0x4f7d92(0x1e0b),function(_0x1f50a1){const _0x4f5ec2=_0x4f7d92;return _0x1f50a1[_0x4f5ec2(0x19a3)](_0x4f5ec2(0x657),{'id':0x1});}]}});}const _0xd0bc22=_0x5b6926;;_0x16802e['$inject']=[_0x5537c6(0x108a),_0x5537c6(0x2275)];function _0x16802e(_0x355b1a,_0x319a3d){const _0x1d3257=_0x5537c6;_0x355b1a['onStart']({},_0x319a3d['showLoadingIndicator']),_0x355b1a[_0x1d3257(0x23cb)]({},_0x319a3d['hideLoadingIndicator']);}const _0x3f7e38=_0x16802e;var _0x5222e0=_0x5074a3(0x15ef);;_0x159d03[_0x5537c6(0x15b6)]=[_0x5537c6(0x1196),_0x5537c6(0x1774)];function _0x159d03(_0x223352,_0x20acca){let _0x2f3dac;function _0x5d3f8f(){const _0xad7d54=a0_0x5cbd,_0x332622=!![]?window['io']:0x0,_0x9b8981={'query':_0xad7d54(0x1ec1)+_0x20acca[_0xad7d54(0x21e8)]()['id'],'transports':[_0xad7d54(0x2648),_0xad7d54(0x5f5)]};_0x2f3dac=!![]?_0x332622(_0x9b8981):0x0;}return{'connect':_0x5d3f8f,'on':function(_0x4334f7,_0x429419){const _0x2162b2=a0_0x5cbd;_0x429419=_0x429419||angular[_0x2162b2(0x1234)],!_0x2f3dac&&_0x5d3f8f(),_0x2f3dac['on'](_0x4334f7,function(){const _0x1cada3=_0x2162b2,_0x36fcf3=arguments;_0x223352[_0x1cada3(0x652)](function(){const _0x2c0e0c=_0x1cada3;_0x429419[_0x2c0e0c(0x86b)](_0x2f3dac,_0x36fcf3);});});},'onWithoutApply':function(_0x8c2320,_0x54c813){const _0xd259d6=a0_0x5cbd;_0x54c813=_0x54c813||angular[_0xd259d6(0x1234)],!_0x2f3dac&&_0x5d3f8f(),_0x2f3dac['on'](_0x8c2320,function(){const _0x3b4e6a=_0xd259d6;_0x54c813[_0x3b4e6a(0x86b)](_0x2f3dac,arguments);});},'emit':function(_0x3077b8,_0x10c89a,_0x42941a){const _0x2acfd2=a0_0x5cbd;_0x42941a=_0x42941a||angular[_0x2acfd2(0x1234)],!_0x2f3dac&&_0x5d3f8f(),_0x2f3dac[_0x2acfd2(0x27da)](_0x3077b8,_0x10c89a,function(){const _0x40b296=_0x2acfd2,_0x2dd8a7=arguments;_0x223352[_0x40b296(0x652)](function(){const _0x395044=_0x40b296;_0x42941a[_0x395044(0x86b)](_0x2f3dac,_0x2dd8a7);});});},'removeAllListeners':function(_0x20e9da){!_0x2f3dac&&_0x5d3f8f(),_0x2f3dac['removeAllListeners'](_0x20e9da);},'removeListener':function(_0x3fa05,_0x5ec309){const _0x588c8a=a0_0x5cbd;!_0x2f3dac&&_0x5d3f8f(),_0x2f3dac[_0x588c8a(0x1e1b)](_0x3fa05,_0x5ec309);},'disconnect':function(){const _0x27fda5=a0_0x5cbd;!_0x2f3dac&&_0x5d3f8f(),_0x2f3dac[_0x27fda5(0x22e8)]();}};}const _0x5238b1=_0x159d03;;_0x958c86[_0x5537c6(0x15b6)]=[_0x5537c6(0x1463),_0x5537c6(0x1196),_0x5537c6(0x1ae),'msNavigationService',_0x5537c6(0x1014),_0x5537c6(0x1774),'toasty',_0x5537c6(0x8a5),_0x5537c6(0x142b),_0x5537c6(0x9ca)];function _0x958c86(_0x16200e,_0xf77411,_0x2a2009,_0x1f70ab,_0x5684bf,_0x4cd4ae,_0x31a5cb,_0x4c1a68,_0x3d8908,_0x57de4a){const _0x307198=_0x5537c6,_0xe0fc5b=this;_0x31a5cb[_0x307198(0x20a0)]();function _0x45a4da(){const _0x45ded1=_0x307198;return _0x4cd4ae[_0x45ded1(0x21e8)]()&&_0x4cd4ae[_0x45ded1(0x22b6)](_0x45ded1(0x1eff));}_0xe0fc5b[_0x307198(0xfbb)]=_0x45a4da;function _0x3f98bf(_0x39c3b5,_0x195b58){const _0xc11f98=_0x307198;return{'id':_0x39c3b5,'title':_0x195b58['name']||_0xc11f98(0x4cb),'state':_0xc11f98(0x7ae),'stateParams':_0x195b58,'weight':0x2,'hidden':function(){const _0xb229b9=_0xc11f98;return _0x4cd4ae[_0xb229b9(0x22b6)](_0xb229b9(0x1eff))||!_0x4cd4ae[_0xb229b9(0x39e)](_0x39c3b5)&&!_0x4cd4ae[_0xb229b9(0x22b6)]('admin');}};}function _0x3ba8bc(_0x5afc8c,_0xfe44be){const _0x723c15=_0x307198;return{'id':_0x5afc8c,'title':_0xfe44be[_0x723c15(0x16b6)]||_0x723c15(0x4cb),'state':_0x723c15(0x26b5),'stateParams':_0xfe44be,'weight':0x2,'hidden':function(){const _0x521e14=_0x723c15;return _0x4cd4ae[_0x521e14(0x22b6)]('agent')||!_0x4cd4ae[_0x521e14(0x39e)](_0x5afc8c)&&!_0x4cd4ae[_0x521e14(0x22b6)](_0x521e14(0x1c60));}};}function _0x3bdb1d(_0x715694,_0x78144){const _0x17b95c=_0x307198,_0x3105aa={'user':_0x39641b()[_0x17b95c(0x169b)](_0x4cd4ae[_0x17b95c(0x21e8)](),['id','name',_0x17b95c(0x1d14),_0x17b95c(0x1e19),_0x17b95c(0x26e6),_0x17b95c(0xdbd),_0x17b95c(0x230)])};_0x78144[_0x17b95c(0x1c08)]=_0x32329f()[_0x17b95c(0x1778)](_0x78144['agentUrl'],_0x3105aa),_0x5684bf[_0x17b95c(0x1a78)](_0x17b95c(0xacb)+_0x715694,{'id':_0x715694,'title':_0x78144[_0x17b95c(0x16b6)],'translate':_0x78144[_0x17b95c(0x16b6)],'state':_0x17b95c(0x1f6c)+_0x78144['id'],'stateParams':_0x78144,'view':_0x17b95c(0xfd2),'icon':_0x78144[_0x17b95c(0xe3f)],'weight':0xc,'hidden':function(){const _0x1ab44a=_0x17b95c;return!_0x4cd4ae[_0x1ab44a(0x39e)](_0x715694);}});}_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x196f),{'title':'APPS','group':!![],'weight':0x1,'hidden':function(){const _0x3b137b=_0x307198;return _0x4cd4ae[_0x3b137b(0x22b6)](_0x3b137b(0x1eff));}}),_0x3d8908[_0x307198(0x23cc)][_0x307198(0xbf7)]()[_0x307198(0x1d77)][_0x307198(0x1cb0)](function(_0x18c46e){const _0x386be3=_0x307198;_0xe0fc5b[_0x386be3(0x23cc)]=_0x18c46e&&_0x18c46e[_0x386be3(0x1970)]?_0x18c46e[_0x386be3(0x1970)]:_0x386be3(0x1916);})[_0x307198(0x1c4)](function(){const _0x68637c=_0x307198;_0xe0fc5b['version']=_0x68637c(0x1916);})[_0x307198(0x2e0)](function(){const _0x23a995=_0x307198;_0x1f70ab['saveItem'](_0x23a995(0x23cc),{'title':_0x23a995(0x193d)+_0xe0fc5b[_0x23a995(0x23cc)],'group':!![],'weight':0x2,'hidden':function(){const _0x345bf2=_0x23a995;return _0x4cd4ae[_0x345bf2(0x22b6)](_0x345bf2(0x1eff));}});});function _0x2165f1(_0xa26701){const _0x318cd8=_0x307198;return _0x39641b()[_0x318cd8(0x1de2)](_0xa26701[_0x318cd8(0x27a5)],function(_0x57b6df){return _0x57b6df['id'];});}_0x4cd4ae[_0x307198(0x8c3)]()&&(_0x4c1a68[_0x307198(0x189c)]&&_0x31a5cb[_0x307198(0x1752)]({'msg':_0x2a2009['instant']('DASHBOARDS.LICENSE_EXPIRE_REMAINING_DAYS'),'showClose':!![],'timeout':![]}),_0x3d8908['dashboard'][_0x307198(0xbf7)]()[_0x307198(0x1d77)][_0x307198(0x1cb0)](function(_0x307df0){const _0xc44ff7=_0x307198;let _0x4ab7cc=0x0;for(let _0x5d99b2=0x0;_0x5d99b2<_0x307df0[_0xc44ff7(0x2214)][_0xc44ff7(0xfd0)];_0x5d99b2+=0x1){_0x4ab7cc=0x1869f+_0x307df0[_0xc44ff7(0x2214)][_0x5d99b2]['id'],_0x1f70ab[_0xc44ff7(0x1a78)]('apps.dashboards.custom_'+_0x4ab7cc,_0x3f98bf(_0x4ab7cc,_0x307df0[_0xc44ff7(0x2214)][_0x5d99b2]));}}),_0x3d8908[_0x307198(0xfd2)][_0x307198(0xbf7)]({'active':!![]})[_0x307198(0x1d77)][_0x307198(0x1cb0)](function(_0x43e81d){const _0x509ef8=_0x307198;let _0x38b5b1=0x0;if(_0x43e81d[_0x509ef8(0x184d)]){const _0x83a9f1=_0x39641b()[_0x509ef8(0x1c99)](_0x43e81d[_0x509ef8(0x2214)],function(_0x15a029){const _0x51f619=_0x509ef8;return _0x15a029['sidebar']!=_0x51f619(0x382)&&_0x15a029[_0x51f619(0x153c)]!=_0x51f619(0x126e);});if(_0x83a9f1[_0x509ef8(0xfd0)]>0x0){_0x1f70ab[_0x509ef8(0x1a78)](_0x509ef8(0x1846),{'id':0x76c,'title':_0x509ef8(0x27e6),'translate':_0x509ef8(0x281d),'icon':'icon-apps','permissions':{'hidden':!![]},'weight':0x11,'hidden':function(){const _0x53b193=_0x509ef8;return _0x4cd4ae[_0x53b193(0x22b6)]('agent')||!_0x4cd4ae[_0x53b193(0x1e7b)](_0x2165f1(this))&&!_0x4cd4ae[_0x53b193(0x22b6)](_0x53b193(0x1c60));}});for(let _0x379743=0x0;_0x379743<_0x83a9f1['length'];_0x379743+=0x1){_0x38b5b1=0x15b38+_0x83a9f1[_0x379743]['id'],_0x1f70ab[_0x509ef8(0x1a78)](_0x509ef8(0x2694)+_0x38b5b1,_0x3ba8bc(_0x38b5b1,_0x83a9f1[_0x379743]));}_0x1f70ab['sort']();}const _0x4042c2=_0x39641b()[_0x509ef8(0x1c99)](_0x43e81d[_0x509ef8(0x2214)],function(_0x220964){const _0x51bd9f=_0x509ef8;return _0x220964['sidebar']!=_0x51bd9f(0x382)&&_0x220964['sidebar']!=_0x51bd9f(0x1380);});if(_0x4042c2[_0x509ef8(0xfd0)]>0x0)for(let _0x84f867=0x0;_0x84f867<_0x4042c2[_0x509ef8(0xfd0)];_0x84f867+=0x1){_0x38b5b1=0x15b38+_0x4042c2[_0x84f867]['id'],_0x3bdb1d(_0x38b5b1,_0x4042c2[_0x84f867]);}}})),_0x4c1a68[_0x307198(0x197c)]&&!_0x57de4a[_0x307198(0x27f5)]&&_0x57de4a[_0x307198(0xa23)]&&angular[_0x307198(0x1853)](_0x307198(0x6dc))['html'](_0x57de4a[_0x307198(0xa23)]),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x836),{'id':0x64,'title':_0x307198(0x6a5),'translate':_0x307198(0x1f06),'icon':'icon-tile-four','weight':0x2,'hidden':function(){const _0x43488a=_0x307198;return _0x4cd4ae[_0x43488a(0x22b6)](_0x43488a(0x1eff))||!_0x4cd4ae['hasChildrenPermissions'](_0x2165f1(this))&&!_0x4cd4ae['hasRole'](_0x43488a(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)]('apps.dashboards.general',{'id':0x65,'title':_0x307198(0x13c7),'route':'','translate':'DASHBOARDS.GENERAL','state':_0x307198(0x1647),'weight':0x1,'hidden':function(){const _0x588fcc=_0x307198;return _0x4cd4ae[_0x588fcc(0x22b6)](_0x588fcc(0x1eff))||!_0x4cd4ae['hasPermission'](0x65)&&!_0x4cd4ae[_0x588fcc(0x22b6)](_0x588fcc(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)]('apps.staff',{'id':0xc8,'title':'Staff','translate':_0x307198(0x183),'icon':_0x307198(0x22b),'weight':0x3,'hidden':function(){const _0x48d1bc=_0x307198;return _0x4cd4ae[_0x48d1bc(0x22b6)](_0x48d1bc(0x1eff))||!_0x4cd4ae[_0x48d1bc(0x1e7b)](_0x2165f1(this))&&!_0x4cd4ae['hasRole'](_0x48d1bc(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)]('apps.staff.users',{'id':0xc9,'title':'Users','route':_0x307198(0xebe),'translate':_0x307198(0x362),'state':'app.staff.users','weight':0x1,'permissions':{'hidden':!![]},'hidden':function(){const _0x45a80a=_0x307198;return _0x4cd4ae[_0x45a80a(0x22b6)]('agent')||_0x4cd4ae[_0x45a80a(0x22b6)](_0x45a80a(0xebe))||!_0x4cd4ae[_0x45a80a(0x39e)](0xc9)&&!_0x4cd4ae[_0x45a80a(0x22b6)](_0x45a80a(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0xf7d),{'id':0xca,'title':_0x307198(0x2536),'route':_0x307198(0xebe),'translate':_0x307198(0x179f),'state':'app.staff.agents','weight':0x1,'hidden':function(){const _0x4bbda9=_0x307198;return _0x4cd4ae[_0x4bbda9(0x22b6)]('agent')||!_0x4cd4ae[_0x4bbda9(0x39e)](0xca)&&!_0x4cd4ae[_0x4bbda9(0x22b6)](_0x4bbda9(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x1993),{'id':0xcb,'title':_0x307198(0x16d1),'route':_0x307198(0xebe),'translate':_0x307198(0x12de),'state':_0x307198(0x7a3),'weight':0x1,'hidden':function(){const _0x2efda1=_0x307198;return _0x4cd4ae[_0x2efda1(0x22b6)](_0x2efda1(0x1eff))||!_0x4cd4ae[_0x2efda1(0x39e)](0xcb)&&!_0x4cd4ae[_0x2efda1(0x22b6)](_0x2efda1(0x1c60));}}),_0x1f70ab['saveItem'](_0x307198(0x1ea3),{'id':0xcc,'title':_0x307198(0x492),'route':_0x307198(0xdfd),'translate':_0x307198(0x1e0d),'state':'app.staff.teams','weight':0x1,'hidden':function(){const _0x57486a=_0x307198;return _0x4cd4ae[_0x57486a(0x22b6)]('agent')||!_0x4cd4ae[_0x57486a(0x39e)](0xcc)&&!_0x4cd4ae[_0x57486a(0x22b6)]('admin');}}),_0x1f70ab['saveItem'](_0x307198(0x1940),{'id':0xcd,'title':'UserProfiles','route':_0x307198(0x44a),'translate':_0x307198(0x1875),'state':'app.staff.userProfiles','weight':0x1,'permissions':{'hidden':!![]},'hidden':function(){const _0x46f3d2=_0x307198;return _0x4cd4ae[_0x46f3d2(0x22b6)](_0x46f3d2(0x1eff))||!_0x4cd4ae[_0x46f3d2(0x39e)](0xcd)&&!_0x4cd4ae[_0x46f3d2(0x22b6)](_0x46f3d2(0x1c60));}}),_0x1f70ab['saveItem'](_0x307198(0xbc8),{'id':0x12c,'title':_0x307198(0x953),'translate':_0x307198(0x1987),'icon':_0x307198(0xdd9),'weight':0x4,'license':'cm','hidden':function(){const _0x4425e3=_0x307198;return!_0x4c1a68['cm']||_0x4cd4ae[_0x4425e3(0x22b6)](_0x4425e3(0x1eff))||!_0x4cd4ae['hasChildrenPermissions'](_0x2165f1(this))&&!_0x4cd4ae[_0x4425e3(0x22b6)]('admin');}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0xc25),{'id':0x12d,'title':_0x307198(0x191f),'route':_0x307198(0x22f2),'translate':_0x307198(0x113a),'state':'app.contactmanager.lists','weight':0x2,'hidden':function(){const _0x313d39=_0x307198;return _0x4cd4ae[_0x313d39(0x22b6)](_0x313d39(0x1eff))||!_0x4cd4ae[_0x313d39(0x39e)](0x12d)&&!_0x4cd4ae['hasRole']('admin');}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x597),{'id':0x12e,'title':_0x307198(0x963),'route':_0x307198(0x107a),'translate':_0x307198(0x93f),'state':_0x307198(0x26f8),'weight':0x3,'hidden':function(){const _0x5e2157=_0x307198;return _0x4cd4ae[_0x5e2157(0x22b6)](_0x5e2157(0x1eff))||!_0x4cd4ae['hasPermission'](0x12e)&&!_0x4cd4ae[_0x5e2157(0x22b6)](_0x5e2157(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x198),{'id':0x130,'title':'GlobalCustomFields','route':_0x307198(0xc36),'translate':_0x307198(0xa2f),'state':_0x307198(0x269),'weight':0x4,'permissions':{'association':![]},'hidden':function(){const _0x110e7d=_0x307198;return _0x4cd4ae[_0x110e7d(0x22b6)](_0x110e7d(0x1eff))||!_0x4cd4ae[_0x110e7d(0x39e)](0x130)&&!_0x4cd4ae[_0x110e7d(0x22b6)](_0x110e7d(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x6e6),{'id':0x12f,'title':_0x307198(0x20a4),'route':'','translate':_0x307198(0x23e4),'state':_0x307198(0x27ff),'weight':0x1,'permissions':{'association':![]},'hidden':function(){const _0x5a9a2a=_0x307198;return _0x4cd4ae[_0x5a9a2a(0x22b6)](_0x5a9a2a(0x1eff))||!_0x4cd4ae[_0x5a9a2a(0x39e)](0x12f)&&!_0x4cd4ae['hasRole'](_0x5a9a2a(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x2721),{'id':0x190,'title':_0x307198(0x165),'translate':'VOICE.VOICE','icon':_0x307198(0x412),'weight':0x5,'license':_0x307198(0x1fd4),'hidden':function(){const _0x33cf3f=_0x307198;return!_0x4c1a68[_0x33cf3f(0x1fd4)]||_0x4cd4ae['hasRole']('agent')||!_0x4cd4ae['hasChildrenPermissions'](_0x2165f1(this))&&!_0x4cd4ae['hasRole'](_0x33cf3f(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x1419),{'id':0x191,'title':'VoiceQueues','route':_0x307198(0x26b3),'translate':_0x307198(0x1c6a),'state':_0x307198(0x12a6),'weight':0x1,'channel':_0x307198(0x1fd4),'hidden':function(){const _0x69114e=_0x307198;return _0x4cd4ae[_0x69114e(0x22b6)](_0x69114e(0x1eff))||!_0x4cd4ae['hasPermission'](0x191)&&!_0x4cd4ae[_0x69114e(0x22b6)](_0x69114e(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0xa38),{'id':0x192,'title':_0x307198(0x23e2),'route':_0x307198(0x1059),'translate':_0x307198(0xd0b),'state':_0x307198(0x1802),'weight':0x1,'hidden':function(){const _0x77db00=_0x307198;return _0x4cd4ae[_0x77db00(0x22b6)](_0x77db00(0x1eff))||!_0x4cd4ae[_0x77db00(0x39e)](0x192)&&!_0x4cd4ae['hasRole']('admin');}}),_0x1f70ab[_0x307198(0x1a78)]('apps.voice.outboundroutes',{'id':0x193,'title':_0x307198(0x257c),'route':_0x307198(0x1059),'translate':'VOICE.OUTBOUNDROUTES','state':_0x307198(0x2143),'weight':0x1,'hidden':function(){const _0x24b42c=_0x307198;return _0x4cd4ae[_0x24b42c(0x22b6)](_0x24b42c(0x1eff))||!_0x4cd4ae['hasPermission'](0x193)&&!_0x4cd4ae[_0x24b42c(0x22b6)](_0x24b42c(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x2150),{'id':0x194,'title':'InternalRoutes','route':'voiceExtension','translate':'VOICE.INTERNALROUTES','state':_0x307198(0x525),'weight':0x1,'hidden':function(){const _0xb9c31e=_0x307198;return _0x4cd4ae['hasRole'](_0xb9c31e(0x1eff))||!_0x4cd4ae[_0xb9c31e(0x39e)](0x194)&&!_0x4cd4ae[_0xb9c31e(0x22b6)](_0xb9c31e(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x1b1d),{'id':0x195,'title':_0x307198(0x208a),'route':_0x307198(0x1da5),'translate':_0x307198(0x2974),'state':'app.voice.contexts','weight':0x1,'hidden':function(){const _0x134ae6=_0x307198;return _0x4cd4ae[_0x134ae6(0x22b6)](_0x134ae6(0x1eff))||!_0x4cd4ae[_0x134ae6(0x39e)](0x195)&&!_0x4cd4ae[_0x134ae6(0x22b6)](_0x134ae6(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x244a),{'id':0x196,'title':_0x307198(0x317),'route':'voiceMail','translate':'VOICE.VOICEMAILS','state':'app.voice.voicemails','weight':0x1,'hidden':function(){const _0x131ba8=_0x307198;return _0x4cd4ae[_0x131ba8(0x22b6)](_0x131ba8(0x1eff))||!_0x4cd4ae[_0x131ba8(0x39e)](0x196)&&!_0x4cd4ae[_0x131ba8(0x22b6)]('admin');}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x16b2),{'id':0x197,'title':_0x307198(0x22ab),'route':'voiceMusicOnHold','translate':_0x307198(0x42b),'state':'app.voice.musiconholds','weight':0x1,'hidden':function(){const _0x3e0531=_0x307198;return _0x4cd4ae[_0x3e0531(0x22b6)](_0x3e0531(0x1eff))||!_0x4cd4ae[_0x3e0531(0x39e)](0x197)&&!_0x4cd4ae[_0x3e0531(0x22b6)](_0x3e0531(0x1c60));}}),_0x1f70ab['saveItem'](_0x307198(0x1ff6),{'id':0x198,'title':_0x307198(0x2ce),'route':_0x307198(0x23d1),'translate':_0x307198(0x38f),'state':_0x307198(0x1b39),'weight':0x1,'permissions':{'association':![],'loading':!![]},'hidden':function(){const _0x5e4c60=_0x307198;return _0x4cd4ae[_0x5e4c60(0x22b6)]('agent')||!_0x4cd4ae[_0x5e4c60(0x39e)](0x198)&&!_0x4cd4ae[_0x5e4c60(0x22b6)](_0x5e4c60(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)]('apps.voice.chanSpies',{'id':0x199,'title':_0x307198(0x10c9),'route':'voiceChanSpy','translate':_0x307198(0xe06),'state':'app.voice.chanSpies','weight':0x1,'hidden':function(){const _0x5cc422=_0x307198;return _0x4cd4ae['hasRole'](_0x5cc422(0x1eff))||!_0x4cd4ae[_0x5cc422(0x39e)](0x199)&&!_0x4cd4ae[_0x5cc422(0x22b6)]('admin');}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0xd3a),{'id':0x19a,'title':_0x307198(0x111b),'route':_0x307198(0x152c),'translate':_0x307198(0x1694),'state':_0x307198(0x1db0),'weight':0x1,'hidden':function(){const _0x18dc86=_0x307198;return _0x4cd4ae[_0x18dc86(0x22b6)](_0x18dc86(0x1eff))||!_0x4cd4ae[_0x18dc86(0x39e)](0x19a)&&!_0x4cd4ae[_0x18dc86(0x22b6)](_0x18dc86(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x276c),{'id':0x19b,'title':'Realtime','route':'','translate':_0x307198(0x182a),'state':_0x307198(0xb19),'weight':0x1,'permissions':{'association':![],'crud':![]},'hidden':function(){const _0x58a77f=_0x307198;return _0x4cd4ae[_0x58a77f(0x22b6)]('agent')||!_0x4cd4ae[_0x58a77f(0x39e)](0x19b)&&!_0x4cd4ae['hasRole']('admin');}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x262),{'id':0x1f4,'title':_0x307198(0x1394),'translate':_0x307198(0x268b),'icon':_0x307198(0x2181),'weight':0x6,'license':_0x307198(0x174c),'hidden':function(){const _0x1fbb26=_0x307198;return!_0x4c1a68[_0x1fbb26(0x174c)]||_0x4cd4ae[_0x1fbb26(0x22b6)](_0x1fbb26(0x1eff))||!_0x4cd4ae['hasChildrenPermissions'](_0x2165f1(this))&&!_0x4cd4ae['hasRole'](_0x1fbb26(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x205e),{'id':0x1f5,'title':_0x307198(0x23a4),'route':'chatQueue','translate':_0x307198(0x1d1b),'state':_0x307198(0x1c96),'weight':0x1,'channel':_0x307198(0x174c),'hidden':function(){const _0x2e14a4=_0x307198;return _0x4cd4ae[_0x2e14a4(0x22b6)]('agent')||!_0x4cd4ae[_0x2e14a4(0x39e)](0x1f5)&&!_0x4cd4ae[_0x2e14a4(0x22b6)](_0x2e14a4(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x1b49),{'id':0x1f6,'title':_0x307198(0x1c4a),'route':_0x307198(0x226c),'translate':_0x307198(0xa25),'state':_0x307198(0x6ba),'weight':0x1,'channel':_0x307198(0x174c),'hidden':function(){const _0x344829=_0x307198;return _0x4cd4ae[_0x344829(0x22b6)](_0x344829(0x1eff))||!_0x4cd4ae[_0x344829(0x39e)](0x1f6)&&!_0x4cd4ae['hasRole']('admin');}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x78e),{'id':0x1fe,'title':'Realtime','route':'','translate':_0x307198(0x234d),'state':_0x307198(0x2468),'weight':0x1,'permissions':{'association':![],'crud':![]},'hidden':function(){const _0x3719a6=_0x307198;return _0x4cd4ae[_0x3719a6(0x22b6)](_0x3719a6(0x1eff))||!_0x4cd4ae[_0x3719a6(0x39e)](0x1fe)&&!_0x4cd4ae['hasRole']('admin');}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0xfb5),{'id':0x258,'title':'Mail','translate':_0x307198(0x1ad5),'icon':_0x307198(0xfbd),'weight':0x7,'license':_0x307198(0x2651),'hidden':function(){const _0x5798ee=_0x307198;return!_0x4c1a68[_0x5798ee(0x2651)]||_0x4cd4ae[_0x5798ee(0x22b6)]('agent')||!_0x4cd4ae['hasChildrenPermissions'](_0x2165f1(this))&&!_0x4cd4ae[_0x5798ee(0x22b6)]('admin');}}),_0x1f70ab['saveItem']('apps.mail.mailQueues',{'id':0x259,'title':_0x307198(0x25fc),'route':'mailQueue','translate':_0x307198(0x4c9),'state':_0x307198(0x1f17),'weight':0x1,'channel':_0x307198(0x2651),'hidden':function(){const _0x2b56d5=_0x307198;return _0x4cd4ae[_0x2b56d5(0x22b6)](_0x2b56d5(0x1eff))||!_0x4cd4ae[_0x2b56d5(0x39e)](0x259)&&!_0x4cd4ae[_0x2b56d5(0x22b6)](_0x2b56d5(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x2537),{'id':0x25a,'title':'MailAccounts','route':'mailAccount','translate':_0x307198(0x1479),'state':_0x307198(0x226d),'weight':0x1,'channel':_0x307198(0x2651),'hidden':function(){const _0x57c55e=_0x307198;return _0x4cd4ae[_0x57c55e(0x22b6)](_0x57c55e(0x1eff))||!_0x4cd4ae[_0x57c55e(0x39e)](0x25a)&&!_0x4cd4ae[_0x57c55e(0x22b6)](_0x57c55e(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)]('apps.mail.mailSubtatuses',{'id':0x25b,'title':_0x307198(0x1629),'route':'mailSubstatus','translate':_0x307198(0x1eb),'state':'app.mail.mailSubtatuses','weight':0x1,'channel':_0x307198(0x2651),'permissions':{'association':![]},'hidden':function(){const _0x1ad35b=_0x307198;return _0x4cd4ae['hasRole'](_0x1ad35b(0x1eff))||!_0x4cd4ae[_0x1ad35b(0x39e)](0x25b)&&!_0x4cd4ae[_0x1ad35b(0x22b6)](_0x1ad35b(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x28c1),{'id':0x262,'title':_0x307198(0x15aa),'route':'','translate':_0x307198(0xc02),'state':_0x307198(0x4e3),'weight':0x1,'permissions':{'association':![],'crud':![]},'hidden':function(){const _0x189723=_0x307198;return _0x4cd4ae[_0x189723(0x22b6)](_0x189723(0x1eff))||!_0x4cd4ae['hasPermission'](0x262)&&!_0x4cd4ae[_0x189723(0x22b6)](_0x189723(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x1663),{'id':0x2bc,'title':_0x307198(0x1a6a),'translate':_0x307198(0x8db),'icon':_0x307198(0x1cce),'weight':0x8,'license':'messaging','hidden':function(){const _0x296967=_0x307198;return!_0x4c1a68[_0x296967(0x1e48)]||_0x4cd4ae[_0x296967(0x22b6)](_0x296967(0x1eff))||!_0x4cd4ae[_0x296967(0x1e7b)](_0x2165f1(this))&&!_0x4cd4ae[_0x296967(0x22b6)](_0x296967(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0xcdb),{'id':0x2bd,'title':'SmsQueues','route':_0x307198(0x62e),'translate':_0x307198(0x28a1),'state':_0x307198(0xb02),'weight':0x1,'channel':_0x307198(0x689),'hidden':function(){const _0x5840a7=_0x307198;return _0x4cd4ae[_0x5840a7(0x22b6)](_0x5840a7(0x1eff))||!_0x4cd4ae[_0x5840a7(0x39e)](0x2bd)&&!_0x4cd4ae[_0x5840a7(0x22b6)](_0x5840a7(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)]('apps.sms.smsAccounts',{'id':0x2be,'title':_0x307198(0x1b3f),'route':_0x307198(0x658),'translate':_0x307198(0x22ee),'state':_0x307198(0xa9f),'weight':0x1,'channel':_0x307198(0x689),'hidden':function(){const _0x1c720e=_0x307198;return _0x4cd4ae[_0x1c720e(0x22b6)](_0x1c720e(0x1eff))||!_0x4cd4ae[_0x1c720e(0x39e)](0x2be)&&!_0x4cd4ae[_0x1c720e(0x22b6)](_0x1c720e(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0xe74),{'id':0x2c6,'title':_0x307198(0x15aa),'route':'','translate':_0x307198(0x1db6),'state':'app.sms.realtime','weight':0x1,'permissions':{'association':![],'crud':![]},'hidden':function(){const _0x55aa5e=_0x307198;return _0x4cd4ae[_0x55aa5e(0x22b6)](_0x55aa5e(0x1eff))||!_0x4cd4ae[_0x55aa5e(0x39e)](0x2c6)&&!_0x4cd4ae[_0x55aa5e(0x22b6)](_0x55aa5e(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)]('apps.openchannel',{'id':0x320,'title':'Openchannel','translate':'OPENCHANNEL.OPENCHANNEL','icon':_0x307198(0x694),'weight':0x9,'license':_0x307198(0x15e0),'hidden':function(){const _0x462880=_0x307198;return!_0x4c1a68[_0x462880(0x15e0)]||_0x4cd4ae[_0x462880(0x22b6)]('agent')||!_0x4cd4ae[_0x462880(0x1e7b)](_0x2165f1(this))&&!_0x4cd4ae['hasRole']('admin');}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x11e1),{'id':0x321,'title':_0x307198(0x1765),'route':_0x307198(0x3a9),'translate':_0x307198(0x2da),'state':'app.openchannel.openchannelQueues','weight':0x1,'channel':_0x307198(0x246d),'hidden':function(){const _0x5c0b46=_0x307198;return _0x4cd4ae[_0x5c0b46(0x22b6)](_0x5c0b46(0x1eff))||!_0x4cd4ae['hasPermission'](0x321)&&!_0x4cd4ae[_0x5c0b46(0x22b6)]('admin');}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x311),{'id':0x322,'title':_0x307198(0x1864),'route':_0x307198(0x80e),'translate':_0x307198(0x1aa0),'state':'app.openchannel.openchannelAccounts','weight':0x1,'channel':_0x307198(0x246d),'hidden':function(){const _0x5aa860=_0x307198;return _0x4cd4ae[_0x5aa860(0x22b6)](_0x5aa860(0x1eff))||!_0x4cd4ae[_0x5aa860(0x39e)](0x322)&&!_0x4cd4ae[_0x5aa860(0x22b6)](_0x5aa860(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x100a),{'id':0x32a,'title':'Realtime','route':'','translate':'OPENCHANNEL.REALTIME','state':_0x307198(0x19f9),'weight':0x1,'permissions':{'association':![],'crud':![]},'hidden':function(){const _0x362476=_0x307198;return _0x4cd4ae[_0x362476(0x22b6)](_0x362476(0x1eff))||!_0x4cd4ae[_0x362476(0x39e)](0x32a)&&!_0x4cd4ae[_0x362476(0x22b6)](_0x362476(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0xbdd),{'id':0x384,'title':_0x307198(0x1985),'translate':_0x307198(0xb0f),'icon':_0x307198(0x16d3),'weight':0xa,'license':_0x307198(0x1944),'hidden':function(){const _0x237587=_0x307198;return!_0x4c1a68[_0x237587(0x1944)]||_0x4cd4ae[_0x237587(0x22b6)](_0x237587(0x1eff))||!_0x4cd4ae[_0x237587(0x1e7b)](_0x2165f1(this))&&!_0x4cd4ae['hasRole'](_0x237587(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x1485),{'id':0x385,'title':'FaxQueues','route':_0x307198(0x54d),'translate':_0x307198(0x1d6b),'state':'app.fax.faxQueues','weight':0x1,'channel':'fax','hidden':function(){const _0x195d72=_0x307198;return _0x4cd4ae[_0x195d72(0x22b6)](_0x195d72(0x1eff))||!_0x4cd4ae['hasPermission'](0x385)&&!_0x4cd4ae[_0x195d72(0x22b6)](_0x195d72(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)]('apps.fax.faxAccounts',{'id':0x386,'title':_0x307198(0x1ffe),'route':'faxAccount','translate':_0x307198(0x5de),'state':_0x307198(0x2808),'weight':0x1,'channel':_0x307198(0x1944),'hidden':function(){const _0x47f7f2=_0x307198;return _0x4cd4ae[_0x47f7f2(0x22b6)](_0x47f7f2(0x1eff))||!_0x4cd4ae['hasPermission'](0x386)&&!_0x4cd4ae[_0x47f7f2(0x22b6)]('admin');}}),_0x1f70ab['saveItem'](_0x307198(0x51e),{'id':0x38e,'title':'Realtime','route':'','translate':_0x307198(0x9b7),'state':'app.fax.realtime','weight':0x1,'permissions':{'association':![],'crud':![]},'hidden':function(){const _0x4087a4=_0x307198;return _0x4cd4ae['hasRole'](_0x4087a4(0x1eff))||!_0x4cd4ae[_0x4087a4(0x39e)](0x38e)&&!_0x4cd4ae[_0x4087a4(0x22b6)]('admin');}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x1f05),{'id':0x3e8,'title':_0x307198(0x89a),'translate':'TOOLS.TOOLS','icon':'icon-auto-fix','weight':0xf,'hidden':function(){const _0x3ffc01=_0x307198;return _0x4cd4ae[_0x3ffc01(0x22b6)](_0x3ffc01(0x1eff))||!_0x4cd4ae[_0x3ffc01(0x1e7b)](_0x2165f1(this))&&!_0x4cd4ae['hasRole'](_0x3ffc01(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x16fa),{'id':0x3e9,'title':_0x307198(0x825),'route':_0x307198(0x1c25),'translate':_0x307198(0x27d6),'state':_0x307198(0x9f7),'weight':0x1,'hidden':function(){const _0x4727b8=_0x307198;return _0x4cd4ae[_0x4727b8(0x22b6)]('agent')||!_0x4cd4ae[_0x4727b8(0x39e)](0x3e9)&&!_0x4cd4ae[_0x4727b8(0x22b6)]('admin');}}),_0x1f70ab['saveItem'](_0x307198(0xa87),{'id':0x3eb,'title':'CustomDashboards','route':_0x307198(0x1312),'translate':_0x307198(0xacc),'state':_0x307198(0x1185),'weight':0x3,'hidden':function(){const _0x58329a=_0x307198;return _0x4cd4ae[_0x58329a(0x22b6)](_0x58329a(0x1eff))||!_0x4cd4ae[_0x58329a(0x39e)](0x3eb)&&!_0x4cd4ae[_0x58329a(0x22b6)](_0x58329a(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x1c63),{'id':0x3ec,'title':'Intervals','route':'interval','translate':'TOOLS.INTERVALS','state':_0x307198(0x115e),'weight':0x4,'hidden':function(){const _0xdbac4b=_0x307198;return _0x4cd4ae[_0xdbac4b(0x22b6)]('agent')||!_0x4cd4ae[_0xdbac4b(0x39e)](0x3ec)&&!_0x4cd4ae[_0xdbac4b(0x22b6)](_0xdbac4b(0x1c60));}}),_0x1f70ab['saveItem'](_0x307198(0x95d),{'id':0x3ed,'title':_0x307198(0x15f1),'route':_0x307198(0x785),'translate':_0x307198(0x2567),'state':_0x307198(0x3e0),'weight':0x5,'hidden':function(){const _0x7ac78a=_0x307198;return _0x4cd4ae[_0x7ac78a(0x22b6)]('agent')||!_0x4cd4ae[_0x7ac78a(0x39e)](0x3ed)&&!_0x4cd4ae['hasRole'](_0x7ac78a(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x2466),{'id':0x3ee,'title':_0x307198(0x1441),'route':_0x307198(0x263c),'translate':_0x307198(0x86a),'state':'app.tools.schedules','weight':0x6,'hidden':function(){const _0x2632bc=_0x307198;return _0x4cd4ae['hasRole'](_0x2632bc(0x1eff))||!_0x4cd4ae[_0x2632bc(0x39e)](0x3ee)&&!_0x4cd4ae[_0x2632bc(0x22b6)]('admin');}}),_0x1f70ab['saveItem'](_0x307198(0x101a),{'id':0x3ef,'title':_0x307198(0x19f5),'route':'sound','translate':_0x307198(0x1d51),'state':'app.tools.sounds','weight':0x7,'hidden':function(){const _0x246fef=_0x307198;return _0x4cd4ae['hasRole'](_0x246fef(0x1eff))||!_0x4cd4ae[_0x246fef(0x39e)](0x3ef)&&!_0x4cd4ae['hasRole'](_0x246fef(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x25ee),{'id':0x3f0,'title':_0x307198(0x190),'route':_0x307198(0x22b1),'translate':_0x307198(0x209c),'state':_0x307198(0x168f),'weight':0x8,'hidden':function(){const _0x23075c=_0x307198;return _0x4cd4ae['hasRole'](_0x23075c(0x1eff))||!_0x4cd4ae[_0x23075c(0x39e)](0x3f0)&&!_0x4cd4ae[_0x23075c(0x22b6)](_0x23075c(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)]('apps.tools.templates',{'id':0x3f1,'title':_0x307198(0x2195),'route':_0x307198(0x2330),'translate':_0x307198(0x13c5),'state':_0x307198(0x589),'weight':0x9,'hidden':function(){const _0x43bcbb=_0x307198;return _0x4cd4ae['hasRole'](_0x43bcbb(0x1eff))||!_0x4cd4ae[_0x43bcbb(0x39e)](0x3f1)&&!_0x4cd4ae[_0x43bcbb(0x22b6)](_0x43bcbb(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)]('apps.tools.trunks',{'id':0x3f3,'title':_0x307198(0x272b),'route':'trunk','translate':_0x307198(0xcc4),'state':_0x307198(0x2211),'weight':0xb,'hidden':function(){const _0x1970ac=_0x307198;return _0x4cd4ae[_0x1970ac(0x22b6)]('agent')||!_0x4cd4ae[_0x1970ac(0x39e)](0x3f3)&&!_0x4cd4ae[_0x1970ac(0x22b6)](_0x1970ac(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)]('apps.tools.variables',{'id':0x3f4,'title':'Variables','route':_0x307198(0x1822),'translate':'TOOLS.VARIABLES','state':_0x307198(0x9c8),'weight':0xc,'hidden':function(){const _0x15e0df=_0x307198;return _0x4cd4ae[_0x15e0df(0x22b6)]('agent')||!_0x4cd4ae['hasPermission'](0x3f4)&&!_0x4cd4ae['hasRole'](_0x15e0df(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x18f9),{'id':0x3ea,'title':_0x307198(0x5d1),'route':_0x307198(0x1746),'translate':_0x307198(0x1925),'state':_0x307198(0x8b4),'weight':0x2,'hidden':function(){const _0x2f5412=_0x307198;return _0x4cd4ae[_0x2f5412(0x22b6)](_0x2f5412(0x1eff))||!_0x4cd4ae[_0x2f5412(0x39e)](0x3ea)&&!_0x4cd4ae[_0x2f5412(0x22b6)](_0x2f5412(0x1c60));}}),_0x1f70ab['saveItem'](_0x307198(0x2367),{'id':0x3f2,'title':_0x307198(0x19c1),'route':'','translate':_0x307198(0x1e26),'state':'app.tools.triggers','weight':0xa,'hidden':function(){const _0x5632b9=_0x307198;return _0x4cd4ae[_0x5632b9(0x22b6)](_0x5632b9(0x1eff))||!_0x4cd4ae[_0x5632b9(0x39e)](0x3f2)&&!_0x4cd4ae['hasRole'](_0x5632b9(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)]('apps.callysquare',{'id':0x44c,'title':_0x307198(0x1ff3),'translate':_0x307198(0x61b),'icon':_0x307198(0x18cf),'weight':0xd,'license':_0x307198(0x1fd4),'hidden':function(){const _0x1cf5d7=_0x307198;return!_0x4c1a68[_0x1cf5d7(0x1fd4)]||_0x4cd4ae[_0x1cf5d7(0x22b6)]('agent')||!_0x4cd4ae[_0x1cf5d7(0x1e7b)](_0x2165f1(this))&&!_0x4cd4ae[_0x1cf5d7(0x22b6)](_0x1cf5d7(0x1c60));}}),_0x1f70ab['saveItem']('apps.callysquare.odbcs',{'id':0x44d,'title':_0x307198(0x2fa),'route':_0x307198(0xd7f),'translate':_0x307198(0x1c55),'state':_0x307198(0x13d5),'weight':0x2,'hidden':function(){const _0xc6c7ea=_0x307198;return _0x4cd4ae[_0xc6c7ea(0x22b6)](_0xc6c7ea(0x1eff))||!_0x4cd4ae[_0xc6c7ea(0x39e)](0x44d)&&!_0x4cd4ae[_0xc6c7ea(0x22b6)]('admin');}}),_0x1f70ab['saveItem'](_0x307198(0x253a),{'id':0x44e,'title':_0x307198(0x1376),'route':_0x307198(0x562),'translate':_0x307198(0x151b),'state':_0x307198(0x29a5),'weight':0x3,'permissions':{'association':![],'loading':!![]},'hidden':function(){const _0x858ab2=_0x307198;return _0x4cd4ae[_0x858ab2(0x22b6)](_0x858ab2(0x1eff))||!_0x4cd4ae['hasPermission'](0x44e)&&!_0x4cd4ae['hasRole'](_0x858ab2(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x1ee7),{'id':0x44f,'title':_0x307198(0x871),'route':_0x307198(0xf61),'translate':_0x307198(0x3b4),'state':'app.callysquare.projects','weight':0x1,'hidden':function(){const _0x3500a7=_0x307198;return _0x4cd4ae[_0x3500a7(0x22b6)](_0x3500a7(0x1eff))||!_0x4cd4ae['hasPermission'](0x44f)&&!_0x4cd4ae['hasRole']('admin');}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0xc7c),{'id':0x4b0,'title':'Analytics','translate':'ANALYTICS.ANALYTICS','icon':_0x307198(0x15a5),'weight':0x10,'hidden':function(){const _0x1e5dc3=_0x307198;return _0x4cd4ae[_0x1e5dc3(0x22b6)](_0x1e5dc3(0x1eff))||!_0x4cd4ae[_0x1e5dc3(0x1e7b)](_0x2165f1(this))&&!_0x4cd4ae[_0x1e5dc3(0x22b6)]('admin');}}),_0x1f70ab['saveItem'](_0x307198(0x204b),{'id':0x4b1,'title':_0x307198(0x2019),'route':'analyticMetric','translate':_0x307198(0x27b7),'state':_0x307198(0x16d0),'weight':0x3,'hidden':function(){const _0x329dab=_0x307198;return _0x4cd4ae[_0x329dab(0x22b6)](_0x329dab(0x1eff))||!_0x4cd4ae[_0x329dab(0x39e)](0x4b1)&&!_0x4cd4ae[_0x329dab(0x22b6)](_0x329dab(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x1bdc),{'id':0x4b2,'title':_0x307198(0x23ca),'route':'analyticExtractedReport','translate':_0x307198(0x1f89),'state':_0x307198(0x1a65),'weight':0x2,'permissions':{'association':![],'loading':!![]},'hidden':function(){const _0x31b749=_0x307198;return _0x4cd4ae[_0x31b749(0x22b6)](_0x31b749(0x1eff))||!_0x4cd4ae[_0x31b749(0x39e)](0x4b2)&&!_0x4cd4ae['hasRole'](_0x31b749(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x1fa4),{'id':0x4b3,'title':'Reports','route':'','translate':_0x307198(0x489),'state':'app.analytics.reports','weight':0x1,'hidden':function(){const _0x186674=_0x307198;return _0x4cd4ae[_0x186674(0x22b6)]('agent')||!_0x4cd4ae[_0x186674(0x39e)](0x4b3)&&!_0x4cd4ae[_0x186674(0x22b6)]('admin');}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x12d4),{'id':0x514,'title':'Integrations','translate':_0x307198(0x1060),'icon':_0x307198(0x2250),'weight':0x11,'hidden':function(){const _0x3f0cfb=_0x307198;return _0x4cd4ae['hasRole'](_0x3f0cfb(0x1eff))||!_0x4cd4ae[_0x3f0cfb(0x1e7b)](_0x2165f1(this))&&!_0x4cd4ae['hasRole'](_0x3f0cfb(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)]('apps.integrations.zendeskAccounts',{'id':0x515,'title':'ZendeskAccounts','route':'intZendeskAccount','translate':_0x307198(0x21e3),'state':_0x307198(0x1eab),'weight':0x1,'permissions':{'association':![],'loading':!![]},'hidden':function(){const _0x135829=_0x307198;return _0x4cd4ae[_0x135829(0x22b6)](_0x135829(0x1eff))||!_0x4cd4ae['hasPermission'](0x515)&&!_0x4cd4ae[_0x135829(0x22b6)](_0x135829(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0xcdf),{'id':0x516,'title':_0x307198(0x9a5),'route':_0x307198(0x1186),'translate':_0x307198(0x2622),'state':_0x307198(0x25f7),'weight':0x2,'permissions':{'association':![],'loading':!![]},'hidden':function(){const _0x4422ee=_0x307198;return _0x4cd4ae[_0x4422ee(0x22b6)](_0x4422ee(0x1eff))||!_0x4cd4ae[_0x4422ee(0x39e)](0x516)&&!_0x4cd4ae[_0x4422ee(0x22b6)](_0x4422ee(0x1c60));}}),_0x1f70ab['saveItem'](_0x307198(0x3fc),{'id':0x517,'title':_0x307198(0x7f7),'route':_0x307198(0x2317),'translate':_0x307198(0x2202),'state':_0x307198(0x905),'weight':0x3,'permissions':{'association':![],'loading':!![]},'hidden':function(){const _0x5f18b3=_0x307198;return _0x4cd4ae[_0x5f18b3(0x22b6)](_0x5f18b3(0x1eff))||!_0x4cd4ae['hasPermission'](0x517)&&!_0x4cd4ae[_0x5f18b3(0x22b6)](_0x5f18b3(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)]('apps.integrations.sugarcrmAccounts',{'id':0x519,'title':'SugarcrmAccounts','route':_0x307198(0x3fa),'translate':_0x307198(0x271d),'state':_0x307198(0x185e),'weight':0x4,'permissions':{'association':![],'loading':!![]},'hidden':function(){const _0x3c5267=_0x307198;return _0x4cd4ae[_0x3c5267(0x22b6)](_0x3c5267(0x1eff))||!_0x4cd4ae[_0x3c5267(0x39e)](0x519)&&!_0x4cd4ae[_0x3c5267(0x22b6)]('admin');}}),_0x1f70ab[_0x307198(0x1a78)]('apps.integrations.deskAccounts',{'id':0x51a,'title':_0x307198(0x937),'route':'intDeskAccount','translate':'INTEGRATIONS.DESKACCOUNTS','state':'app.integrations.deskAccounts','weight':0x5,'permissions':{'association':![],'loading':!![]},'hidden':function(){const _0x40f9d5=_0x307198;return _0x4cd4ae[_0x40f9d5(0x22b6)](_0x40f9d5(0x1eff))||!_0x4cd4ae[_0x40f9d5(0x39e)](0x51a)&&!_0x4cd4ae[_0x40f9d5(0x22b6)](_0x40f9d5(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x293f),{'id':0x51b,'title':_0x307198(0x282b),'route':_0x307198(0xdb4),'translate':'INTEGRATIONS.ZOHOACCOUNTS','state':'app.integrations.zohoAccounts','weight':0x6,'permissions':{'association':![],'loading':!![]},'hidden':function(){const _0xe349ea=_0x307198;return _0x4cd4ae['hasRole']('agent')||!_0x4cd4ae[_0xe349ea(0x39e)](0x51b)&&!_0x4cd4ae[_0xe349ea(0x22b6)](_0xe349ea(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x1148),{'id':0x51c,'title':_0x307198(0x1bcd),'route':'intVtigerAccount','translate':_0x307198(0x2625),'state':_0x307198(0x2339),'weight':0x7,'permissions':{'association':![],'loading':!![]},'hidden':function(){const _0xa2710e=_0x307198;return _0x4cd4ae[_0xa2710e(0x22b6)](_0xa2710e(0x1eff))||!_0x4cd4ae['hasPermission'](0x51c)&&!_0x4cd4ae[_0xa2710e(0x22b6)](_0xa2710e(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)]('apps.integrations.dynamics365Accounts',{'id':0x51d,'title':_0x307198(0x88e),'route':'intDynamics365Account','translate':_0x307198(0x28b1),'state':_0x307198(0x1f3e),'weight':0x8,'permissions':{'association':![],'loading':!![]},'hidden':function(){const _0x40c7f5=_0x307198;return _0x4cd4ae['hasRole'](_0x40c7f5(0x1eff))||!_0x4cd4ae[_0x40c7f5(0x39e)](0x51d)&&!_0x4cd4ae[_0x40c7f5(0x22b6)](_0x40c7f5(0x1c60));}}),_0x1f70ab['saveItem'](_0x307198(0x1675),{'id':0x51e,'title':_0x307198(0x340),'route':_0x307198(0x901),'translate':_0x307198(0x283b),'state':_0x307198(0xc85),'weight':0x9,'permissions':{'association':![],'loading':!![]},'hidden':function(){const _0x4e3d1e=_0x307198;return _0x4cd4ae['hasRole'](_0x4e3d1e(0x1eff))||!_0x4cd4ae[_0x4e3d1e(0x39e)](0x51e)&&!_0x4cd4ae[_0x4e3d1e(0x22b6)](_0x4e3d1e(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x2608),{'id':0x518,'title':'FreshsalesAccounts','route':_0x307198(0xc8a),'translate':_0x307198(0x1d32),'state':_0x307198(0x1ffa),'weight':0xa,'permissions':{'association':![],'loading':!![]},'hidden':function(){const _0xb363fb=_0x307198;return _0x4cd4ae['hasRole']('agent')||!_0x4cd4ae[_0xb363fb(0x39e)](0x518)&&!_0x4cd4ae[_0xb363fb(0x22b6)](_0xb363fb(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x1493),{'id':0x578,'title':'Settings','translate':_0x307198(0xf48),'icon':'icon-cog','weight':0x14,'permissions':{'hidden':!![]},'hidden':function(){const _0x1ad7f5=_0x307198;return _0x4cd4ae[_0x1ad7f5(0x22b6)](_0x1ad7f5(0x1eff))||!_0x4cd4ae[_0x1ad7f5(0x39e)](0x578)&&!_0x4cd4ae[_0x1ad7f5(0x22b6)](_0x1ad7f5(0x1c60))||_0x4cd4ae[_0x1ad7f5(0x22b6)](_0x1ad7f5(0x1c60))&&!_0x4cd4ae[_0x1ad7f5(0x21e8)]()['settingsEnabled'];}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x16f8),{'id':0x579,'title':_0x307198(0x13c7),'route':_0x307198(0x9ca),'translate':_0x307198(0x21d6),'state':_0x307198(0x11eb),'weight':0x1,'hidden':function(){const _0x410769=_0x307198;return _0x4cd4ae[_0x410769(0x22b6)](_0x410769(0x1eff))||!_0x4cd4ae[_0x410769(0x39e)](0x578)&&!_0x4cd4ae[_0x410769(0x22b6)](_0x410769(0x1c60));}}),_0x1f70ab['saveItem'](_0x307198(0x8dc),{'id':0x57a,'title':_0x307198(0x2482),'route':_0x307198(0x131c),'translate':_0x307198(0x2305),'state':_0x307198(0x10bc),'weight':0x1,'hidden':function(){const _0xb218a=_0x307198;return _0x4cd4ae[_0xb218a(0x22b6)](_0xb218a(0x1eff))||!_0x4cd4ae[_0xb218a(0x39e)](0x578)&&!_0x4cd4ae[_0xb218a(0x22b6)](_0xb218a(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x232a),{'id':0x57b,'title':_0x307198(0x22af),'route':_0x307198(0x21cc),'translate':_0x307198(0x1b66),'state':_0x307198(0x2906),'weight':0x1,'hidden':function(){const _0x5488f8=_0x307198;return _0x4cd4ae['hasRole'](_0x5488f8(0x1eff))||!_0x4cd4ae['hasPermission'](0x578)&&!_0x4cd4ae[_0x5488f8(0x22b6)](_0x5488f8(0x1c60));}}),_0x1f70ab['saveItem']('apps.settings.customizations',{'id':0x57f,'title':_0x307198(0x1053),'route':_0x307198(0x9ca),'translate':_0x307198(0x298f),'state':_0x307198(0x1412),'weight':0x1,'hidden':function(){const _0x2a108f=_0x307198;return!_0x4c1a68[_0x2a108f(0x197c)]||_0x4cd4ae[_0x2a108f(0x22b6)]('agent')||!_0x4cd4ae[_0x2a108f(0x39e)](0x578)&&!_0x4cd4ae[_0x2a108f(0x22b6)]('admin');}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x299c),{'id':0x580,'title':_0x307198(0x625),'route':_0x307198(0x1f41),'translate':_0x307198(0x1e51),'state':_0x307198(0x1c0),'weight':0x1,'hidden':function(){const _0x1df552=_0x307198;return!_0x4c1a68['ai']||_0x4cd4ae[_0x1df552(0x22b6)](_0x1df552(0x1eff))||!_0x4cd4ae[_0x1df552(0x39e)](0x578)&&!_0x4cd4ae[_0x1df552(0x22b6)](_0x1df552(0x1c60));}}),_0x1f70ab['saveItem'](_0x307198(0x15b0),{'id':0x57c,'title':_0x307198(0x6a8),'route':'','translate':_0x307198(0x1937),'state':_0x307198(0x1ce2),'weight':0x1,'hidden':function(){const _0x543095=_0x307198;return!_0x4c1a68[_0x543095(0x687)]||_0x4cd4ae[_0x543095(0x22b6)](_0x543095(0x1eff))||!_0x4cd4ae[_0x543095(0x39e)](0x578)&&!_0x4cd4ae[_0x543095(0x22b6)](_0x543095(0x1c60));}}),_0x1f70ab['saveItem'](_0x307198(0x672),{'id':0x57d,'title':_0x307198(0x1ace),'route':'','translate':_0x307198(0x1b3b),'state':_0x307198(0x120c),'weight':0x1,'hidden':function(){const _0x113c8a=_0x307198;return _0x4cd4ae['hasRole']('agent')||!_0x4cd4ae['hasPermission'](0x578)&&!_0x4cd4ae[_0x113c8a(0x22b6)](_0x113c8a(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x1e6),{'id':0x57e,'title':_0x307198(0x850),'route':'','translate':'SETTINGS.SYSTEM','state':'app.settings.system','weight':0x1,'hidden':function(){const _0x3a0dca=_0x307198;return _0x4cd4ae[_0x3a0dca(0x22b6)](_0x3a0dca(0x1eff))||!_0x4cd4ae[_0x3a0dca(0x39e)](0x578)&&!_0x4cd4ae[_0x3a0dca(0x22b6)]('admin');}}),_0x1f70ab['saveItem'](_0x307198(0x1e5a),{'id':0x5dc,'title':_0x307198(0x249d),'translate':'MOTIONDIALER.MOTIONDIALER','icon':_0x307198(0x2637),'weight':0x5,'license':'dialer','hidden':function(){const _0x545164=_0x307198;return!_0x4c1a68[_0x545164(0x14f7)]||_0x4cd4ae[_0x545164(0x22b6)](_0x545164(0x1eff))||!_0x4cd4ae[_0x545164(0x1e7b)](_0x2165f1(this))&&!_0x4cd4ae[_0x545164(0x22b6)](_0x545164(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)]('apps.motiondialer.queueCampaigns',{'id':0x5dd,'title':'QueueCampaigns','route':_0x307198(0x26b3),'translate':_0x307198(0x567),'state':_0x307198(0x2556),'weight':0x1,'channel':'bull','hidden':function(){const _0x3a0e90=_0x307198;return _0x4cd4ae[_0x3a0e90(0x22b6)](_0x3a0e90(0x1eff))||!_0x4cd4ae[_0x3a0e90(0x39e)](0x5dd)&&!_0x4cd4ae[_0x3a0e90(0x22b6)](_0x3a0e90(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x281e),{'id':0x5de,'title':_0x307198(0x2525),'route':_0x307198(0x218c),'translate':_0x307198(0xb55),'state':_0x307198(0x712),'weight':0x1,'hidden':function(){const _0x3a404b=_0x307198;return _0x4cd4ae[_0x3a404b(0x22b6)]('agent')||!_0x4cd4ae['hasPermission'](0x5de)&&!_0x4cd4ae['hasRole'](_0x3a404b(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x31b),{'id':0x5e6,'title':_0x307198(0x15aa),'route':'','translate':_0x307198(0xb1f),'state':_0x307198(0x1239),'weight':0x1,'permissions':{'association':![],'crud':![]},'hidden':function(){const _0x33c2c2=_0x307198;return _0x4cd4ae[_0x33c2c2(0x22b6)](_0x33c2c2(0x1eff))||!_0x4cd4ae[_0x33c2c2(0x39e)](0x5e6)&&!_0x4cd4ae[_0x33c2c2(0x22b6)](_0x33c2c2(0x1c60));}}),_0x1f70ab['saveItem'](_0x307198(0x1cc),{'id':0x640,'title':_0x307198(0x28cf),'translate':_0x307198(0xcb8),'icon':_0x307198(0x2788),'weight':0x15,'permissions':{'association':![]},'hidden':function(){const _0x5d9c7e=_0x307198;return _0x4cd4ae[_0x5d9c7e(0x22b6)](_0x5d9c7e(0x1eff))||!_0x4cd4ae[_0x5d9c7e(0x39e)](0x640)&&!_0x4cd4ae['hasRole'](_0x5d9c7e(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x1557),{'id':0x641,'title':'About','route':'','translate':_0x307198(0x14a5),'state':_0x307198(0x19a1),'weight':0x1,'hidden':function(){const _0x3fa3b2=_0x307198;return _0x4cd4ae['hasRole'](_0x3fa3b2(0x1eff))||!_0x4cd4ae[_0x3fa3b2(0x39e)](0x640)&&!_0x4cd4ae['hasRole'](_0x3fa3b2(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0xc1c),{'id':0x6a4,'title':_0x307198(0x1e98),'translate':_0x307198(0x22e2),'icon':'icon-script','weight':0xe,'license':_0x307198(0x1e98),'hidden':function(){const _0x3d7ad5=_0x307198;return!_0x4c1a68[_0x3d7ad5(0x1e98)]||_0x4cd4ae[_0x3d7ad5(0x22b6)](_0x3d7ad5(0x1eff))||!_0x4cd4ae[_0x3d7ad5(0x1e7b)](_0x2165f1(this))&&!_0x4cd4ae[_0x3d7ad5(0x22b6)](_0x3d7ad5(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0xcbe),{'id':0x6a5,'title':_0x307198(0x871),'route':_0x307198(0x1c21),'translate':_0x307198(0x1cd),'state':_0x307198(0xa79),'weight':0x1,'hidden':function(){const _0x5674da=_0x307198;return _0x4cd4ae['hasRole']('agent')||!_0x4cd4ae['hasPermission'](0x6a5)&&!_0x4cd4ae[_0x5674da(0x22b6)](_0x5674da(0x1c60));}}),_0x1f70ab['saveItem'](_0x307198(0x2647),{'id':0x708,'title':'Marketplace','translate':_0x307198(0x605),'icon':_0x307198(0x26ce),'weight':0x12,'hidden':function(){const _0x4e37b4=_0x307198;return _0x4cd4ae[_0x4e37b4(0x22b6)](_0x4e37b4(0x1eff))||!_0x4cd4ae[_0x4e37b4(0x1e7b)](_0x2165f1(this))&&!_0x4cd4ae[_0x4e37b4(0x22b6)](_0x4e37b4(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x28ba),{'id':0x709,'title':_0x307198(0x27e6),'route':_0x307198(0xfd2),'translate':_0x307198(0x1ffb),'state':_0x307198(0x174),'weight':0x1,'hidden':function(){const _0x52db10=_0x307198;return _0x4cd4ae[_0x52db10(0x22b6)]('agent')||!_0x4cd4ae[_0x52db10(0x39e)](0x709)&&!_0x4cd4ae[_0x52db10(0x22b6)](_0x52db10(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x2925),{'id':0x7d0,'title':_0x307198(0x21ed),'translate':_0x307198(0x11bb),'icon':'icon-video','weight':0xc,'license':_0x307198(0x24c5),'hidden':function(){const _0x4f145f=_0x307198;return!_0x4c1a68[_0x4f145f(0x24c5)]||_0x4cd4ae[_0x4f145f(0x22b6)](_0x4f145f(0x1eff))||!_0x4cd4ae['hasChildrenPermissions'](_0x2165f1(this))&&!_0x4cd4ae[_0x4f145f(0x22b6)]('admin');}}),_0x1f70ab[_0x307198(0x1a78)]('apps.video.screenRecordings',{'id':0x7d1,'title':_0x307198(0x1a83),'route':_0x307198(0xd97),'translate':_0x307198(0x23a9),'state':_0x307198(0x1339),'weight':0x1,'permissions':{'association':![],'loading':!![]},'hidden':function(){const _0x27fe49=_0x307198;return _0x4cd4ae[_0x27fe49(0x22b6)](_0x27fe49(0x1eff))||!_0x4cd4ae[_0x27fe49(0x39e)](0x7d1)&&!_0x4cd4ae[_0x27fe49(0x22b6)]('admin');}}),_0x1f70ab[_0x307198(0x1a78)]('apps.whatsapp',{'id':0x834,'title':'Whatsapp','translate':_0x307198(0x243d),'icon':'icon-whatsapp','weight':0xb,'license':_0x307198(0x2476),'hidden':function(){const _0x5d9896=_0x307198;return!_0x4c1a68[_0x5d9896(0x2476)]||_0x4cd4ae[_0x5d9896(0x22b6)](_0x5d9896(0x1eff))||!_0x4cd4ae['hasChildrenPermissions'](_0x2165f1(this))&&!_0x4cd4ae[_0x5d9896(0x22b6)](_0x5d9896(0x1c60));}}),_0x1f70ab['saveItem']('apps.whatsapp.whatsappQueues',{'id':0x835,'title':_0x307198(0x2962),'route':_0x307198(0xea8),'translate':_0x307198(0x627),'state':_0x307198(0x77c),'weight':0x1,'channel':_0x307198(0x2476),'hidden':function(){const _0x1c0529=_0x307198;return _0x4cd4ae['hasRole'](_0x1c0529(0x1eff))||!_0x4cd4ae[_0x1c0529(0x39e)](0x835)&&!_0x4cd4ae['hasRole'](_0x1c0529(0x1c60));}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x187e),{'id':0x836,'title':_0x307198(0x10c4),'route':_0x307198(0x83a),'translate':_0x307198(0x69d),'state':_0x307198(0x1454),'weight':0x1,'channel':_0x307198(0x2476),'hidden':function(){const _0x28f678=_0x307198;return _0x4cd4ae[_0x28f678(0x22b6)](_0x28f678(0x1eff))||!_0x4cd4ae[_0x28f678(0x39e)](0x836)&&!_0x4cd4ae[_0x28f678(0x22b6)]('admin');}}),_0x1f70ab[_0x307198(0x1a78)](_0x307198(0x213a),{'id':0x83e,'title':'Realtime','route':'','translate':'APP.REALTIME','state':_0x307198(0x20b4),'weight':0x1,'permissions':{'association':![],'crud':![]},'hidden':function(){const _0x354e65=_0x307198;return _0x4cd4ae[_0x354e65(0x22b6)]('agent')||!_0x4cd4ae['hasPermission'](0x83e)&&!_0x4cd4ae[_0x354e65(0x22b6)](_0x354e65(0x1c60));}}),_0x1f70ab[_0x307198(0x1381)](),_0x5684bf[_0x307198(0x1a78)]('apps',{'title':'APPS','group':!![],'weight':0x1}),_0x5684bf[_0x307198(0x1a78)](_0x307198(0x18f3),{'id':0x64,'title':_0x307198(0x43b),'translate':_0x307198(0x1162),'icon':_0x307198(0x6aa),'weight':0x1}),_0x5684bf[_0x307198(0x1a78)](_0x307198(0x548),{'id':0x65,'title':_0x307198(0x27f8),'translate':'DASHBOARDS.DASHBOARD','state':'dashboard','view':_0x307198(0x1312),'icon':_0x307198(0xe2e),'iconClass':_0x307198(0xabd),'weight':0x1,'hidden':function(){return!_0x4cd4ae['hasPermission'](0x65);}}),_0x5684bf['saveItem'](_0x307198(0x2442),{'id':0x66,'title':_0x307198(0x20a4),'translate':'DASHBOARDS.CONTACTS','state':_0x307198(0x7eb),'view':'contacts','icon':'icon-account-circle','iconClass':_0x307198(0x2983),'weight':0x2,'hidden':function(){const _0x588a6c=_0x307198;return!_0x4c1a68['cm']||!_0x4cd4ae[_0x588a6c(0x39e)](0x66);}}),_0x5684bf[_0x307198(0x1a78)]('apps.home.mycontacts',{'id':0x67,'title':_0x307198(0x20a4),'translate':_0x307198(0x22ae),'state':_0x307198(0x2362),'view':_0x307198(0x2362),'icon':'icon-account-multiple','iconClass':_0x307198(0x2983),'weight':0x3,'hidden':function(){return!_0x4c1a68['cm']||!_0x4cd4ae['hasPermission'](0x67);}}),_0x5684bf[_0x307198(0x1a78)](_0x307198(0x248),{'id':0x71,'title':_0x307198(0x14ec),'translate':_0x307198(0x113f),'state':_0x307198(0x293d),'view':_0x307198(0x293d),'icon':_0x307198(0x23fc),'iconClass':'blue-grey-fg','weight':0x3,'hidden':function(){const _0x144945=_0x307198;return!_0x4c1a68['dialer']||!_0x4cd4ae[_0x144945(0x39e)](0x71);}}),_0x5684bf[_0x307198(0x1a78)]('apps.home.voice',{'id':0x6e,'title':_0x307198(0x165),'translate':_0x307198(0x1fb0),'state':_0x307198(0x1fd4),'view':'voice','icon':_0x307198(0x412),'iconClass':'blue-A700-fg','weight':0x4,'hidden':function(){const _0x316e9b=_0x307198;return!_0x4c1a68[_0x316e9b(0x1fd4)]||!_0x4cd4ae[_0x316e9b(0x39e)](0x6e);}}),_0x5684bf['saveItem']('apps.home.abandoned',{'id':0x6f,'title':_0x307198(0xd15),'translate':_0x307198(0xa4c),'state':_0x307198(0x20fe),'view':'abandoned','icon':_0x307198(0xd82),'iconClass':_0x307198(0x42c),'weight':0x5,'hidden':function(){const _0x1f9b97=_0x307198;return!_0x4c1a68['voice']||!_0x4cd4ae[_0x1f9b97(0x39e)](0x6f);}}),_0x5684bf['saveItem']('apps.home.recordings',{'id':0x68,'title':_0x307198(0x2176),'translate':'DASHBOARDS.RECORDINGS','state':_0x307198(0x1e4e),'view':_0x307198(0x1e4e),'icon':_0x307198(0xc4a),'iconClass':_0x307198(0x2868),'weight':0x6,'hidden':function(){const _0x4b34c2=_0x307198;return!_0x4c1a68['voice']||!_0x4cd4ae[_0x4b34c2(0x39e)](0x68);}}),_0x5684bf['saveItem'](_0x307198(0x218),{'id':0x69,'title':_0x307198(0x1394),'translate':'DASHBOARDS.CHAT','state':_0x307198(0x174c),'view':_0x307198(0x174c),'icon':_0x307198(0x2181),'iconClass':_0x307198(0x10df),'weight':0x7,'hidden':function(){const _0x1f9827=_0x307198;return!_0x4c1a68[_0x1f9827(0x174c)]||!_0x4cd4ae[_0x1f9827(0x39e)](0x69);}}),_0x5684bf[_0x307198(0x1a78)](_0x307198(0x1a29),{'id':0x6a,'title':_0x307198(0x20c2),'translate':_0x307198(0x106b),'state':'mail','view':'mail','icon':_0x307198(0xfbd),'iconClass':'teal-fg','weight':0x8,'hidden':function(){const _0x564edb=_0x307198;return!_0x4c1a68[_0x564edb(0x2651)]||!_0x4cd4ae[_0x564edb(0x39e)](0x6a);}}),_0x5684bf[_0x307198(0x1a78)](_0x307198(0xbf8),{'id':0x6b,'title':_0x307198(0x1a6a),'translate':_0x307198(0x1eb7),'state':'sms','view':_0x307198(0x689),'icon':_0x307198(0x1cce),'iconClass':_0x307198(0x14c9),'weight':0x9,'hidden':function(){const _0x5d7a27=_0x307198;return!_0x4c1a68[_0x5d7a27(0x1e48)]||!_0x4cd4ae[_0x5d7a27(0x39e)](0x6b);}}),_0x5684bf[_0x307198(0x1a78)](_0x307198(0x299),{'id':0x6c,'title':_0x307198(0x2589),'translate':_0x307198(0x97d),'state':_0x307198(0x15e0),'view':_0x307198(0x15e0),'icon':'icon-google-earth','iconClass':_0x307198(0x1fa3),'weight':0xa,'hidden':function(){const _0x371847=_0x307198;return!_0x4c1a68[_0x371847(0x15e0)]||!_0x4cd4ae[_0x371847(0x39e)](0x6c);}}),_0x5684bf[_0x307198(0x1a78)](_0x307198(0x56a),{'id':0x6d,'title':_0x307198(0x1985),'translate':'DASHBOARDS.FAX','state':'fax','view':'fax','icon':_0x307198(0x16d3),'iconClass':'blue-grey-A200-fg','weight':0xb,'hidden':function(){const _0x1fc024=_0x307198;return!_0x4c1a68[_0x1fc024(0x1944)]||!_0x4cd4ae[_0x1fc024(0x39e)](0x6d);}}),_0x5684bf['saveItem'](_0x307198(0xd29),{'id':0x72,'title':_0x307198(0x195e),'translate':_0x307198(0x1e3c),'state':_0x307198(0x2476),'view':_0x307198(0x2476),'icon':_0x307198(0x213d),'iconClass':'lime-A700-fg','weight':0xc,'hidden':function(){const _0x451289=_0x307198;return!_0x4c1a68[_0x451289(0x2476)]||!_0x4cd4ae[_0x451289(0x39e)](0x72);}}),_0x16200e[_0x307198(0x1d6)]('$viewContentAnimationEnded',function(_0x494193){const _0x38faa9=_0x307198;_0x494193['targetScope'][_0x38faa9(0x1d15)]===_0x16200e[_0x38faa9(0x1d15)]&&_0xf77411[_0x38faa9(0x692)](_0x38faa9(0x22e9));});}const _0x3a5e09=_0x958c86;;const _0x435d6f=[_0x5537c6(0x1d0a),_0x5537c6(0x22ad),_0x5537c6(0x3e2),_0x5537c6(0x1f2b),_0x5537c6(0x1795),'app.quick-panel',_0x5537c6(0xa35),_0x5537c6(0x25e),'app.dashboards',_0x5537c6(0x323),'app.contactmanager',_0x5537c6(0x29bb),_0x5537c6(0x800),_0x5537c6(0x2426),_0x5537c6(0x6d0),_0x5537c6(0x182b),_0x5537c6(0x29b7),'app.tools','app.callysquare',_0x5537c6(0x2148),_0x5537c6(0x1a38),'app.settings',_0x5537c6(0xd64),'app.help',_0x5537c6(0xfca),_0x5537c6(0x1484),'app.plugins',_0x5537c6(0x1f28),_0x5537c6(0x1770),_0x5537c6(0xf2e),_0x5537c6(0x218f),_0x5537c6(0x29b6),_0x5537c6(0x1a86)];angular[_0x5537c6(0x9ab)](_0x5537c6(0x2232),_0x435d6f)[_0x5537c6(0x234a)](_0x5537c6(0x142b),_0x3d0e4e)[_0x5537c6(0xa60)](_0x53da84)['controller'](_0x5537c6(0x46e),_0x287da9)[_0x5537c6(0x1c99)](_0x5537c6(0x188e),_0x4f42ae)[_0x5537c6(0x1c99)](_0x5537c6(0x1143),_0x262c44)[_0x5537c6(0x234a)](_0x5537c6(0x1b2),_0x1a0f6e)[_0x5537c6(0x234a)](_0x5537c6(0x240a),_0x2bb3af)[_0x5537c6(0x234a)]('Sequence',_0x157c49)[_0x5537c6(0xa60)](_0xd0bc22)['run'](_0x3f7e38)[_0x5537c6(0x234a)]('socket',_0x5238b1)['controller'](_0x5537c6(0x1f43),_0x3a5e09);},0x1a2c:(_0xbc8fc4,_0x5c731a,_0x50df82)=>{const _0xbe2f12=a0_0x1c5fe4;var _0x4bec01={'./af':0xae2,'./af.js':0xae2,'./ar':0x363,'./ar-dz':0x1022,'./ar-dz.js':0x1022,'./ar-kw':0x17f7,'./ar-kw.js':0x17f7,'./ar-ly':0x1928,'./ar-ly.js':0x1928,'./ar-ma':0x1e16,'./ar-ma.js':0x1e16,'./ar-sa':0x1798,'./ar-sa.js':0x1798,'./ar-tn':0x1bbc,'./ar-tn.js':0x1bbc,'./ar.js':0x363,'./az':0x43b,'./az.js':0x43b,'./be':0x2650,'./be.js':0x2650,'./bg':0x2092,'./bg.js':0x2092,'./bm':0x1d0e,'./bm.js':0x1d0e,'./bn':0x22c9,'./bn-bd':0x1851,'./bn-bd.js':0x1851,'./bn.js':0x22c9,'./bo':0x618,'./bo.js':0x618,'./br':0x4fe,'./br.js':0x4fe,'./bs':0x26e,'./bs.js':0x26e,'./ca':0x9a4,'./ca.js':0x9a4,'./cs':0x16be,'./cs.js':0x16be,'./cv':0x36d,'./cv.js':0x36d,'./cy':0x1ccd,'./cy.js':0x1ccd,'./da':0x12ac,'./da.js':0x12ac,'./de':0x260c,'./de-at':0xd9,'./de-at.js':0xd9,'./de-ch':0x37e,'./de-ch.js':0x37e,'./de.js':0x260c,'./dv':0x14b4,'./dv.js':0x14b4,'./el':0x345,'./el.js':0x345,'./en-au':0x209c,'./en-au.js':0x209c,'./en-ca':0x1ef5,'./en-ca.js':0x1ef5,'./en-gb':0x8c3,'./en-gb.js':0x8c3,'./en-ie':0x1924,'./en-ie.js':0x1924,'./en-il':0x1c27,'./en-il.js':0x1c27,'./en-in':0x104f,'./en-in.js':0x104f,'./en-nz':0x18af,'./en-nz.js':0x18af,'./en-sg':0x67e,'./en-sg.js':0x67e,'./eo':0xb63,'./eo.js':0xb63,'./es':0x1617,'./es-do':0x1483,'./es-do.js':0x1483,'./es-mx':0x17e0,'./es-mx.js':0x17e0,'./es-us':0x47a,'./es-us.js':0x47a,'./es.js':0x1617,'./et':0x15e3,'./et.js':0x15e3,'./eu':0x1e53,'./eu.js':0x1e53,'./fa':0x1b2f,'./fa.js':0x1b2f,'./fi':0x769,'./fi.js':0x769,'./fil':0x9f5,'./fil.js':0x9f5,'./fo':0x1256,'./fo.js':0x1256,'./fr':0x1176,'./fr-ca':0xbe9,'./fr-ca.js':0xbe9,'./fr-ch':0x91a,'./fr-ch.js':0x91a,'./fr.js':0x1176,'./fy':0x13b4,'./fy.js':0x13b4,'./ga':0x244f,'./ga.js':0x244f,'./gd':0x835,'./gd.js':0x835,'./gl':0x225a,'./gl.js':0x225a,'./gom-deva':0x1ecc,'./gom-deva.js':0x1ecc,'./gom-latn':0xc60,'./gom-latn.js':0xc60,'./gu':0x14e5,'./gu.js':0x14e5,'./he':0x106e,'./he.js':0x106e,'./hi':0x5e,'./hi.js':0x5e,'./hr':0x13c,'./hr.js':0x13c,'./hu':0x85a,'./hu.js':0x85a,'./hy-am':0x58f,'./hy-am.js':0x58f,'./id':0x2402,'./id.js':0x2402,'./is':0x87,'./is.js':0x87,'./it':0x272,'./it-ch':0x96,'./it-ch.js':0x96,'./it.js':0x272,'./ja':0x23df,'./ja.js':0x23df,'./jv':0x10be,'./jv.js':0x10be,'./ka':0x839,'./ka.js':0x839,'./kk':0x1e5c,'./kk.js':0x1e5c,'./km':0x2236,'./km.js':0x2236,'./kn':0x2442,'./kn.js':0x2442,'./ko':0xe92,'./ko.js':0xe92,'./ku':0x580,'./ku.js':0x580,'./ky':0xcdb,'./ky.js':0xcdb,'./lb':0x1ab9,'./lb.js':0x1ab9,'./lo':0x155a,'./lo.js':0x155a,'./lt':0x1b62,'./lt.js':0x1b62,'./lv':0x1dab,'./lv.js':0x1dab,'./me':0x2685,'./me.js':0x2685,'./mi':0x1575,'./mi.js':0x1575,'./mk':0x174e,'./mk.js':0x174e,'./ml':0x1cad,'./ml.js':0x1cad,'./mn':0x13fb,'./mn.js':0x13fb,'./mr':0x172,'./mr.js':0x172,'./ms':0x2677,'./ms-my':0x4d5,'./ms-my.js':0x4d5,'./ms.js':0x2677,'./mt':0x84e,'./mt.js':0x84e,'./my':0x1815,'./my.js':0x1815,'./nb':0x133c,'./nb.js':0x133c,'./ne':0x1a58,'./ne.js':0x1a58,'./nl':0xf3d,'./nl-be':0x2656,'./nl-be.js':0x2656,'./nl.js':0xf3d,'./nn':0xf25,'./nn.js':0xf25,'./oc-lnc':0x857,'./oc-lnc.js':0x857,'./pa-in':0x16e2,'./pa-in.js':0x16e2,'./pl':0x118f,'./pl.js':0x118f,'./pt':0x2530,'./pt-br':0x1f23,'./pt-br.js':0x1f23,'./pt.js':0x2530,'./ro':0x193b,'./ro.js':0x193b,'./ru':0x701,'./ru.js':0x701,'./sd':0x3b6,'./sd.js':0x3b6,'./se':0x1ea,'./se.js':0x1ea,'./si':0x7c,'./si.js':0x7c,'./sk':0x1099,'./sk.js':0x1099,'./sl':0x1379,'./sl.js':0x1379,'./sq':0x450,'./sq.js':0x450,'./sr':0x23ab,'./sr-cyrl':0x26bb,'./sr-cyrl.js':0x26bb,'./sr.js':0x23ab,'./ss':0x1705,'./ss.js':0x1705,'./sv':0x2238,'./sv.js':0x2238,'./sw':0x494,'./sw.js':0x494,'./ta':0x1ca5,'./ta.js':0x1ca5,'./te':0xc26,'./te.js':0xc26,'./tet':0x82f,'./tet.js':0x82f,'./tg':0x1c99,'./tg.js':0x1c99,'./th':0x2351,'./th.js':0x2351,'./tk':0x232d,'./tk.js':0x232d,'./tl-ph':0x1688,'./tl-ph.js':0x1688,'./tlh':0x24e4,'./tlh.js':0x24e4,'./tr':0x95d,'./tr.js':0x95d,'./tzl':0x203e,'./tzl.js':0x203e,'./tzm':0x452,'./tzm-latn':0x2bb,'./tzm-latn.js':0x2bb,'./tzm.js':0x452,'./ug-cn':0x2448,'./ug-cn.js':0x2448,'./uk':0x1e0b,'./uk.js':0x1e0b,'./ur':0xed3,'./ur.js':0xed3,'./uz':0x1a87,'./uz-latn':0x24c,'./uz-latn.js':0x24c,'./uz.js':0x1a87,'./vi':0x1622,'./vi.js':0x1622,'./x-pseudo':0x111a,'./x-pseudo.js':0x111a,'./yo':0x16ad,'./yo.js':0x16ad,'./zh-cn':0xeff,'./zh-cn.js':0xeff,'./zh-hk':0x165e,'./zh-hk.js':0x165e,'./zh-mo':0x264f,'./zh-mo.js':0x264f,'./zh-tw':0x1038,'./zh-tw.js':0x1038};function _0x43c30b(_0x1cdb9a){var _0x347312=_0xa562f2(_0x1cdb9a);return _0x50df82(_0x347312);}function _0xa562f2(_0x4f8ca4){const _0x3687fa=a0_0x5cbd;if(!_0x50df82['o'](_0x4bec01,_0x4f8ca4)){var _0x1bc0c6=new Error(_0x3687fa(0x12d8)+_0x4f8ca4+'\x27');_0x1bc0c6[_0x3687fa(0x23ae)]='MODULE_NOT_FOUND';throw _0x1bc0c6;}return _0x4bec01[_0x4f8ca4];}_0x43c30b[_0xbe2f12(0x1be5)]=function _0x292f8b(){const _0x5ce9c1=_0xbe2f12;return Object[_0x5ce9c1(0x1be5)](_0x4bec01);},_0x43c30b['resolve']=_0xa562f2,_0xbc8fc4['exports']=_0x43c30b,_0x43c30b['id']=0x1a2c;},0x1666:_0x2fc124=>{const _0x24f081=a0_0x1c5fe4;'use strict';_0x2fc124[_0x24f081(0x2fb)]=angular;}},0x0,[[0x2069,0x29a,0x301]]]); \ No newline at end of file diff --git a/public/app-88eb8759d5b0899aff0c.js b/public/app-88eb8759d5b0899aff0c.js deleted file mode 100644 index fb768e3..0000000 --- a/public/app-88eb8759d5b0899aff0c.js +++ /dev/null @@ -1,21 +0,0 @@ -/*! - * @license - * ************************************************************************* - * * * - * * xCALLY Motion - The Omnichannel Contact Center * - * * Copyright (c) Xenialab s.r.l. All Rights Reserved * - * * * - * ************************************************************************* - * * * - * * Email: info@xcally.com * - * * Website: https://www.xcally.com * - * * * - * ************************************************************************* - * * * - * * The SOFTWARE PRODUCT is protected by copyright laws and international * - * * copyright treaties, as well as other intellectual property laws and * - * * treaties. The SOFTWARE PRODUCT is licensed, not sold. * - * * * - * ************************************************************************* - */ -const a0_0x5cfb=['Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20companies?','Pirate','min','SmsAccount\x20updated!','quickFilters','Waiting...','America/Kentucky/Monticello','api/mail/substatuses/:id/clone','api.squareRecording.delete','HH:mm','deleteSelectedVoiceQueues','scales','onSearchBarExpand','Online','addNewAllCondition','mozHidden','exportSelectedPauses','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20telephones?','transferNumber','SYSTEM:DELETEcmCompany','icon-whatsapp','/callysquare/projects/','Hindi','api.intVtigerConfiguration.save','arrayoptions','icon-phone-missed','endcall','apiselect','VoiceQueue\x20updated!','$stateParams','api.intServicenowAccount.save','createOrEditMotionDialerQueue','nonat','pages','SYSTEM:GETsmsQueue','handleResultClick','api/plugins/:id/clone','PM2\x20process\x20not\x20found!','MsSearchBarController\x20as\x20vm','getOpenchannelQueues','api/chat/groups/describe','MxGraphSaveProjectAsController','CreateOrEditListDialogController','MusicOnHold\x20properly\x20saved!','src/js/modules/main/apps/sms/views/smsQueues/create/dialog.html/dialog.html','src/js/modules/main/apps/integrations/views/zohoAccounts/create/dialog.html/dialog.html','app.fax','ar-TN','deleteMailAccountMailCannedAnswer','Times\x20New\x20Roman','Geraint','\x20has\x20been\x20installed!','Interaction:save','api.voiceMail.delete','falsepriority','api.voiceRecording.update','CDR','api.openchannel.account','rundialog','Subject\x20deleted!','voicemails','random','restart\x20plugin\x20process','GRANTED','api/plugins/describe','INTEGRATIONS.EDIT_','/api/auth/','app/forgot','notification:save','controller','smsMessage:update','saveChatQueue','$[${XCALLY-MOTION-PROGRESS}=0]?Progress','gotop','img','getInboundRoutes','Round\x20Robin\x20Memory','scrollEl','uriLocation','sort','Verdana','hidden','onUserVoiceQueue','motNotification','getResponseSheetRow','api/users/:id/teams','api/cloudProviders/:id','DASHBOARDS.SELECT_DATE','CreateOrEditZendeskAccountItemDialogController','deleteSelectedTriggerActions','EditMailAccountAppgotoifDialogController','destroy','api/whatsapp/interactions/:id/tags','TeamsController\x20as\x20vm','America/Mazatlan','api/cm/hopper_final/describe','es-PY','contrast3','selectedMailAccounts','SYSTEM:GET_','nosuchnumber','SalesforceAccountsController\x20as\x20vm','src/js/modules/main/apps/chat/views/realtime/queues/view.html/view.html','idField','onListSelection','deleteSelectedWhatsappAccountWhatsappCannedAnswers','FaxQueues','addVoiceQueuesChannels','delete\x20Group','delete\x20agent','isInConference','deleteSelectedMailAccountMailCannedAnswers','ReportController','ContactsController','Project\x20deleted!','OpenchannelCannedAnswer\x20deleted!','Uighur','Safari','Are\x20you\x20sure\x20want\x20to\x20close\x20the\x20','resolve','app.marketplace.plugins','api/mail/interactions/:id/messages','selectedWhatsappAccounts','transferNumber\x20required','option','VOICE.EDIT_OUTBOUNDROUTE','rtlSupport','CreateOrEditscreenRecordingDialogController','whatsappCannedAnswer\x20#','api.openchannelQueue.save','SmsQueue\x20properly\x20saved!','FreshsalesAccountController\x20as\x20vm','clearAll','src/js/modules/main/apps/contactmanager/views/companies/edit/view.html/view.html','user_has_mail_interactions:save','createdAt,updatedAt,id,format,value,type,uniqueid,calleridnum,exten,connectedlinenum,queue,rating,audio,transcribeStatus,UserId','icon-script','DASHBOARDS.MAIL','VtigerAccount\x20properly\x20created','api/square/projects/:id/clone','Dynamics365Account\x20properly\x20deleted!','SalesforceAccounts','ms-card','Africa/Johannesburg','timezone','saveVoicemail','screenRecordings','The\x20inboundroute\x20will\x20be\x20deleted.','UK\x20English\x20Male','\x27eu-west-1\x27','app.voice.inboundroutes.edit','ChatWebsitecustomerAvatarController','changePasswordForm','/errors/error-500','$promise','app.integrations.freshsalesAccounts.edit','\x27us-east-1\x27','api/whatsapp/reports/transfer/:id/clone','downloadFaxInteraction','Agent','\x27left\x27','instant','saveContact','getFaxAccountInteractions','gridster','Message','SmsQueues','MailSubstatus','IvrCampaignsMotionDialerRealtimeController\x20as\x20vm','voice','onRemove','smsQueue:update','+09:00','application','getConfigurations','FaxAccountDispositionsController','src/js/modules/main/apps/openchannel/views/openchannelQueues/openchannelQueues.html/openchannelQueues.html','src/js/modules/main/apps/voice/views/outboundroutes/outboundroutes.html/outboundroutes.html','WhatsappQueues','Warning','SquareRecordingsController\x20as\x20vm','selectAllSalesforceAccounts','MusicOnHold\x20updated!','api.agent.save','selectedScheduler','develop','Openchannel\x20Queues','api/voice/agents/reports/describe','eu-ES','Outbound\x20route\x20not\x20deleted!','America/Manaus','automation','predictiveIntervalAvgHoldtime','TOOLBAR.JAPANESE','ms-navigation-horizontal-item','Europe/Moscow','joinTables','VariablesController','DASHBOARDS.SELECT_SUBSTATUS','app.mail.realtime.queues','Error404Controller','deselectDynamics365Accounts','ar-MA','deleteSelectedWhatsappQueues','\x2714400\x27','AgentsFaxRealtimeController\x20as\x20vm','selectedServicenowAccounts','postalCode','UserFaxAccount','api/sms/messages/:id','red','Predictive','api.faxAccount.update','starttime','ExternIP','Start\x20Video\x20Call','api/dashboards/describe','Module\x20has\x20been\x20updated!','onRemoveUserChatQueue','arrayleavewhenempty','openchannelInteraction','newMail','MailAccountInteractionsController','unionBy','src/js/modules/core/directives/ms-quick-filter/ms-quick-filter.html/ms-quick-filter.html','Report\x20deleted!','\x20has\x20been\x20modified.\x20Closing\x20will\x20lose\x20all\x20changes!','api/chat/interactions/:id/abandon','ivrTotalCallsDays','getCustomDashboards','toNumber','grammar','America/Indiana/Petersburg','selectedDescriptions','DASHBOARDS.SELECT_AGENT','selectedQueueCampaigns','edit','MusicOnHoldsController\x20as\x20vm','deleteSelectedOpenchannelAccountInteractions','/queue_calls','rgba','cardTemplateLoaded','Indonesian','dashboard','api/sms/queues/:id','pendingChanges','app.marketplace.plugins.edit','getWhatsappAccountInteractions','deselectSounds','api/voice/queues/:id/hoppers','\x27months\x27','max-width:\x20500px;','intDeskAccount','api/users/:id/mail_accounts','apps.contactmanager.globalCustomFields','agentAdd','The\x20smsAccount\x20will\x20be\x20deleted.','passwordExpiresDays','api.cannedAnswer.save','id,name,description,notes','MotionDialerRealtimeController','minutes','faxQueue:update','editDraft','src/js/modules/main/apps/mail/views/mailQueues/edit/view.html/view.html','app.voice.realtime.trunks','callStatuses','/api/settings/1/favicon','America/Boise','onActionInit','onRemoveSmsQueue','api.smsAccount.delete','UserSmsDashboardGeneralController','HH:mm:ss','arrayautopause','apps.whatsapp','Contact\x20ID\x20','line','absolute','OpenchannelCannedAnswer\x20properly\x20created','VOICE.NEW_INTERNALROUTE','SYSTEM:GETtags','outboundrouteApp','freshsalesAccount','src/js/modules/main/apps/voice/views/internalroutes/edit/view.html/view.html','onSaveFaxInteraction','transfer','Europe/Kiev','addNewInternalRoute','delete\x20Odbcs','+10:00','voiceDialReport','api.intSalesforceAccount.delete','Asia/Novokuznetsk','rpcCampaigns','GeneralsController\x20as\x20vm','offlinemessagesgoto','updateConfirm','monthFrom','apps.analytics','checkPause','params','amber','Tag\x20properly\x20deleted!','newMailCannedAnswer','\x20properly\x20redirect!','delete\x20FaxAccounts','collapseAnimation','api.intSugarcrmConfiguration.save','SYSTEM:DELETEchatWebsite','spywhatsappInteraction','CDR(type)=internal','app.settings','SYSTEM:GETpauses','icon-table-edit','faxAccount','Asia/Riyadh','speakerVolume','delete\x20Users','smsPause','=startTime','api/sms/accounts/:id/clone','api/analytics/default_reports/:id/preview','Inactive','number','Variable','Numbers\x20to\x20999','onRemoteTransfer\x20->\x20evt,\x20data','intZendeskAccount@get','lastMessage','arrayextensionMonitor','eurfrenchmale','offlineForm','plugin@get','MSIE','render','display_name','rpcVoiceQueuesChannels','general','client_email','\x27DESC\x27','readOnly','userFaxQueue:remove','keyBy','asc','deleteInternalRoute','Pauses','/contexts','ANALYTICS.NEW_EXTRACTEDREPORT','CHAT.NEW_CHATWEBSITE','intZohoAccount@get','$valid','src/js/modules/main/apps/settings/views/license/update/dialog.html/dialog.html','es-MX','api/automations/:id','vm.voiceQueue.joinempty.length\x20===\x201\x20&&\x20vm.voiceQueue.joinempty[0]\x20===\x20\x27paused\x27','apps.home.voice','setBaseUrl','app.openchannel.openchannelQueues.edit','Team','api/chat/websites/:id','FaxQueueController\x20as\x20vm','src/js/modules/main/apps/chat/views/chatWebsites/edit/apps/gotop/dialog.html/dialog.html','script','referAttended\x20->\x20session','Malay\x20(Malaysia)','saveMohSound','\x27none\x27','onUpdateVoiceQueue','src/js/modules/main/apps/motiondialer/views/queueCampaigns/edit/teamadd/teamadd.html/teamadd.html','ReferAttendedDialogController','schedule@get','api/mail/out_servers/:id','300\x20400','/ivr_campaigns','api.intItem.update','Giorgio','delete\x20servicenowAccount','Mozilla','api/chat/interactions/:id/tags','TOOLS.NOTIFICATIONS.ACTION_DELETE_TITLE','ap-south-1','api/integrations/desk/accounts/:id/fields','DASHBOARDS.JANUARY','registerForm','America/Argentina/San_Juan','generate','Whatsapp\x20Account','UserProfilesController','API:GET_COMPANY_SECTION','Group\x20properly\x20saved!','SYSTEM:DELETE.customDashboard','mobileBarActive','api/integrations/zendesk/configurations/:id','src/js/modules/main/apps/callysquare/views/projects/edit/open/open.html/open.html','voice_queue_channel:save','intFreshdeskAccount@get','SYSTEM:GETplugin','apps.tools.customDashboards','hideLoadingIndicator','eurturkishmale','Pacific/Marquesas','forms','isLockedOpen','Portuguese\x20(Portugal)','license@get','getFullYear','Europe/Brussels','SYSTEM:DESCRIBE_CM_CONTACT','ur-PK','clearLockedItems','showSmsInteraction','America/Indiana/Vincennes','\x20and\x20its\x20subnode\x20will\x20be\x20deleted.','api/openchannel/queues/describe','Korean','en-NZ','newChatProactiveAction','brportuguesefemale','getActiveItem','app.settings.generals','onVoiceQueue','save','/openchannelQueues','api.voiceMail.save','intSalesforceConfiguration','currentStepNumber','api.analyticReport.delete','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20description?','Projects','AgentReject','Galician','\x27centered\x27','Asia/Rangoon','FaxAccount\x20properly\x20saved!','ivr','getFaxQueuesWaitingInteractions','Files','newExtractedReport','getVoiceRecordings','faxInteractionDownload','id,fullname,role','fromKey','NOT\x20LIKE','Smtp\x20updated!','+07:00','api/sms/accounts/:id/dispositions','ZendeskAccounts','MOTIONDIALER.EDIT_HOPPER','TOOLS.EDIT_DASHBOARDITEM','md-no-ink','api.intDeskAccount.save','chatQueuesTotal','src/js/modules/main/apps/mail/views/mailAccounts/edit/apps/queue/dialog.html/dialog.html','trigger@get','AgentHomeScheduledCallsController','map','loginOauth','FreshdeskAccounts','api/chat/queues/:id/clone','XCALLY-MOTION-ANSWER=0','getIvrCampaignHopperHistories','setting@get','SalesforceAccount\x20updated!','delete\x20hopperFinal','millis','ka-GE','CustomField\x20properly\x20saved!','Delete\x20ZohoAccount','variable','mailMessage','QueueCampaignblacklistaddController','selectAllFaxAccounts','addCall\x20->\x20target,\x20inbound,\x20fullname','msTimezone','addNewServicenowAccount','queryChannels','api.sound.delete','gotoFaxAccounts','selectChangedAdvanced','createdAt,updatedAt,id,save_name,converted_format,name,audio,description','/callysquare','app.chat.chatWebsites','addMessage','noanswer','ORDER\x20BY\x20','acceptcall','MOTIONDIALER.QUEUECAMPAIGNS','newUserProfile','createVidaooSession','id,name,crudPermissions','America/Cancun','Error\x20creating\x20notification\x20for\x20incoming\x20call','reportType','api/cm/hopper_black/describe','ms-navigation-folded-open','replaceWith','customizations','CreateOrEditWhatsappCannedAnswerDialogController','screenRecording\x20properly\x20deleted!','src/js/modules/main/apps/tools/views/customDashboards/edit/view.html/view.html','app.integrations.zohoAccounts.edit','msNav::expandMatchingToggles','Tag\x20properly\x20saved!','delete\x20salesforceAccount','TOOLS.EDIT_PAUSE','$http','EditAppintervalDialogController','mailQueue:update','The\x20queueCampaign\x20will\x20be\x20deleted.','Node','whatsappAccounts','getRemoteStreams','appendChild','api.cmCompany.delete','resources','searchText','caenglishfemale','Jacek\x20(Polish,\x20Male)','teamadddialog','Trunk\x20properly\x20deleted!','Asia/Pontianak','openchannelCurrentCapacity','CreateOrEditFreshsalesAccountItemDialogController','whatsappCannedAnswers','intervalIntervals','transferLastMessage','api.intZohoConfiguration.save','Corsican','\x27quarters\x27','OpenchannelRealtimeController\x20as\x20vm','rpcChatQueues','vm.voiceQueue.joinempty.length\x20===\x201\x20&&\x20vm.voiceQueue.joinempty[0]\x20===\x20\x27penalty\x27','secretaccesskey','groupBy','closest','CreateOrEditMetricDialogController','VOICE.EDIT_VOICEPREFIX','app.callysquare.projects.edit','noauth','INTEGRATIONS.DYNAMICS365ACCOUNTS','APP.WRONG_EXTENSION','Position','getMusicOnHolds','VoiceQueueteamaddController','onRemoveChatQueueChannel','AgentsMotionDialerRealtimeController','getInternalRouteApps','id,field,operator,value,createdAt,updatedAt','\x27openchannel_queues\x27','smsAccountSmsCannedAnswers','getChatWebsiteOfflineMessages','arraydialCheckDuplicateType','EU\x20(Paris)','permissionsmanagedialog','Europe/Uzhgorod','PALETTES','US\x20Money','deleteSelectedCustomDashboards','\x27mail_messages\x27','api.action.delete','msMaterialColorPicker','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20report?','arraydtmfmode','src/js/modules/main/apps/voice/views/realtime/realtime.html/realtime.html','deselectMailQueues','msClickToCall','saveCustomField','Contexts','blue-grey-A200','INTEGRATIONS.EDIT_DYNAMICS365ACCOUNT','startMonitor','^msVerticalStepper','ProjectViewerController','Vietnamese','twitter','selectedTriggers','userOpenchannelQueue:save','CALLYSQUARE.EDIT_ODBC','app.tools.variables','isLastStep','Error\x20while\x20removing\x20tab','@id','call\x20with\x20session\x20Id\x20','paginatedAgents','gotoDeskAccounts','includeAll','Context\x20properly\x20saved!','src/js/modules/main/apps/video/views/screenRecordings/partialViews/play.html/play.html','getSmsInteractions','addNewContext','
','IvrCampaign\x20properly\x20saved!','delete\x20chatCannedAnswers','getWhatsappAccounts','arrayreportholdtime','Boxed','currentPage','series','Start\x20Date','saveZendeskAccount','onSaveMailQueueChannel','apps.voice','exportSelectedSmsAccountSmsCannedAnswers','internalControl','api/analytics/default_reports/describe','OPENCHANNEL.EDIT_','SYSTEM:GET_TAGS','delete\x20CustomDashboards','Opera','delete\x20QueueCampaigns','ANALYTICS.REPORTS','autostart','ShowMessageInfoDialogController','survey','jscriptyProjectSummary_','Africa/Cairo','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20sugarcrmConfiguration?','+00:00','chat_queue_waiting_interaction:remove','#BDBDBD','api.action.update','Pacific/Kiritimati','Ivy','selectedDispositions','Asia/Tashkent','plugins','platform','toggleMobileBar','delete\x20chanSpy','RunReportDialogController','INTEGRATIONS.NEW_DESKCONFIGURATION','delete\x20DeskAccounts','getAnyConditions','referAttended','contextmenu','AbandonedCallsMotionDialerRealtimeController\x20as\x20vm','directive','address','TOOLS.NOTIFICATIONS.CONDITIONS_DELETE_TITLE','streets','EditInboundAppintervalDialogController','SYSTEM:GETsmsAccounts','setNavigationScope','You\x20can\x27t\x20remove\x20an\x20outbound\x20route\x20associated\x20to\x20an\x20out\x20prefix','Arabic\x20(United\x20Arab\x20Emirates)','ListController\x20as\x20vm','originalMessage','spy','UserOpenchannelQueue','MusicOnHold','America/Nome','File\x20name','sessions','help','HANGUPCAUSE_1','selectedScreenRecordings','api/voiceQueuesLog/describe','Asia/Aqtau','getHeaderErrors','app.sms.smsAccounts.edit','nospace','Call\x20properly\x20handled!','src/js/modules/main/apps/chat/views/chatWebsites/chatWebsites.html/chatWebsites.html','WhatsappQueueagentaddController','cannedAnswer@get','current','chatProactiveAction\x20#','addNewCustomField','app.openchannel.realtime.agents','dialogflowV2_project_id','Sequence','sugarcrmAccounts','accounts','deleteSelectedIvrCampaignHopperBlacks','pull','CreateOrEditTriggerDialogController','smsCurrentCapacity','connect','Antarctica/Palmer','register','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20subjects?','getMetricValue','startingAllowedItems','
','APP.YES','buffer','','editdialog','TOOLS.SOUNDS','exportSelectedSalesforceAccounts','addNewInterval','English\x20(Philippines)','exportSelectedSounds','voiceMailMessage','apps.tools.templates','app.tools.dispositions','-07:00','INTEGRATIONS.EDIT_ZENDESKACCOUNT','app.openchannel.realtime.queues','Jscripty\x20Answers','api/integrations/salesforce/fields/:id','newOpenchannelQueue','EditWhatsappAccountAppautoreplyDialogController','isActiveTab','draggable','vertical','api.whatsappQueue.delete','pauseTypeFilter','undo','nl-NL','\x27ap-southeast-2\x27','Quarters','wav49','api/chat/websites/:id/proactive_actions','deselectZendeskAccounts','noop','api/integrations/servicenow/accounts/describe','api.trunk.clone','CustomDashboard\x20properly\x20saved!','addNewChatWebsite','deselectWhatsappAccounts','isSameOrigin','enableUncompleteSave','European\x20Danish\x20Female','America/Thunder_Bay','delete\x20zohoAccount','freshdeskAccounts','pt-br','setPermission','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20subject?','CustomField\x20properly\x20created','isStepHidden','userIsNotPhonebar','\x27paused\x27','vm.voiceQueue.joinempty.length\x20===\x201\x20&&\x20vm.voiceQueue.joinempty[0]\x20===\x20\x27yes\x27','removeCondition','TOOLS.UPLOAD_SOUND','MsTimelineController','apiName','predictiveIntervalDroppedCallsCallersExit','login','api/notifications/:id','user:update','CreateOrEditFreshdeskAccountItemDialogController','/customizations','motion2.user:','Danish\x20(Denmark)','msNavigationHorizontalItem','English\x20(UK)','app.voice.realtime.queues','ExtractedReport','12000','generateApiKey','deleteSalesforceAccount','SYSTEM:DELETEfaxQueue','/about','without','getAgents','ui.router','Vitoria','newChatQueue','addNewDisposition','contactRelationUpdate','replaceTrack','OpenchannelAccount\x20properly\x20saved!','SYSTEM:UPDATECMHOPPERFINAL','Mobile','ispeechTtsLanguage','api.contact.save','DASHBOARDS.UNREAD','QUEUE_NAME','src/js/modules/main/apps/voice/views/outboundroutes/edit/apps/outbounddial/dialog.html/dialog.html','$setDirty','api.variable.update','Asia/Baku','/forgot','EditWhatsappAccountAppqueueDialogController','src/js/modules/main/apps/tools/views/cannedAnswers/cannedAnswers.html/cannedAnswers.html','AccountId','abandonRate','SYSTEM:DELETEopenchannelAccount','TriggerAllId','Fwd:','api/pauses/:id/clone','AgentHomeDashboardMultiChannelChatController','light-blue','api.disposition.save','Months','createdAt,updatedAt,id,ContactId,phone,countcongestionretry,countbusyretry,countnoanswerretry,countnosuchnumberretry,countdropretry,countabandonedretry,countmachineretry,countagentrejectretry,scheduledat,priority,recallme,UserId','selectedFaxAccounts','$setUntouched','-11:00','voicemail','app.contactmanager.lists.edit','src/js/modules/core/directives/ms-phonebar/ms-phonebar.html/ms-phonebar.html','createdAt,updatedAt,id,role,fullname,name,email,password,description,autointernal,internal,voicemail,transport,nat,allow,callerid,context,callgroup,pickupgroup,videosupport,encryption,phone,mobile','$selectedColor','AgentHomeVoiceDetailsController','\x270\x27','Asia/Damascus','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20salesforceConfiguration?','Scheduled\x20call','lastDayMonth','onInternalMessageSave','apiResolver','arraytimeoutrestart','Contact','display','SETTINGS.EDIT_GENERAL','Queue:\x20','motion2.home.abandoned.voice.calls:','/dialer','expanding','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20zohoConfiguration?','mouseEventIgnoreTimeout','newWhatsappAccount','disposeCall','api/integrations/reports/describe','\x27mail_queues\x27','src/js/modules/main/apps/voice/views/outboundroutes/create/dialog.html/dialog.html','delete\x20dynamics365Account','SmsQueueController','WebRTC\x20Popup','CreateOrEditHopperCampaignIdDialogController','api.intSugarcrmAccount.save','faxTransferReport','UserProfilecloneController','runconfirm','api.intSugarcrmAccount.delete','You\x20can\x27t\x20remove\x20service\x20account','Round\x20Robin','EditInternalApphangupDialogController','America/Nipigon','VtigerConfiguration\x20deleted!','chatQueues','addNewChatQueue','api.voiceQueue.clone','api.jscriptyProject.save','motion2.home.','openchannelQueue@get','SYSTEM:GETmailAccounts','add','layoutStyle','deleteServicenowConfiguration','\x20ORDER\x20BY\x20','onUpdateSmsQueue','CONTACTMANAGER.ERRORS.PHONEBAR_API','DASHBOARDS.NOT_ASSIGNED','es-BO','saveHopper','\x279600\x27','OutboundRoute\x20updated!','formsIncomplete','triggerAnyConditions','FaxQueuesController\x20as\x20vm','saveUser','src/js/modules/main/apps/integrations/views/deskAccounts/edit/configurations/dialog.html/dialog.html','Jscripty\x20Questions','SchedulesController','chanSpy','CANCEL','importReport','api/users/:id/mail/interactions','CreateOrEditCompanyDialogController','CreateOrEditMailAccountDialogController','cmHopper','\x27en-CA\x27','Message\x20deleted!','SYSTEM:GETintServicenowAccount','api/users/:id/recordings','badges','api/openchannel/reports/queue/describe','api/voice/extensions/describe','STAFF.','agent\x20updated!','gotogoto','English\x20(Australian)','addNewIvrCampaign','Arabic\x20(Egypt)','getTriggerAnyConditions','id,action,contact,data1,data2,data3,data4,data5,data6,data7,createdAt,updatedAt','Telephones','DefaultReports','Mail','app/core/directives/ms-phonebar/sounds/incoming-call.ogg','app.voice.musiconholds.edit','deleteIvrCampaign','Smtp\x20not\x20verified!\x20Remember\x20to\x20save\x20before\x20to\x20verify!','getHoppers','exportSelectedAgents','\x27ASC\x27','CONTACTMANAGER.SELECT_LIST','delete\x20Networks','outbounddial','getFolded','internaldial','/ivrCampaigns','','MailQueuesController','onFinish','Spanish\x20(Mexico)','WebSocketInterface','Users','ProjectAnswersController','getUnread','mailInteraction','subjects','English\x20(Great\x20Britain)','JscriptyProjectController\x20as\x20vm','VOICE.NEW_MUSICONHOLD','adminUrl','id,name,dialPrefix','udp','\x20DESC)','layoutMode','duplicateContact','Australia/Currie','SYSTEM:COPYREPORT','onInit','Danish','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20hopperBlacks?','outboundDropCallsDayCallersExit','hasDashboardPermissions','selectedFreshsalesAccounts','SYSTEM:DELETEvoicePrefix','desktopNotification','formViewer','MODULE_NOT_FOUND','output','WhatsappAccountsController','apps.voice.musiconholds','French\x20(Canada)','faxAccount:save','$attrs','SmsQueuesController','getChatWebsites','selectedFaxAccountInteractions','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20hoppers?','IS\x20NOT\x20NULL','UserMailDashboardGeneralController\x20as\x20vm','The\x20team\x20will\x20be\x20deleted.','dddd','api.whatsappQueue.save','TOOLS.DISPOSITIONS','Trasfer\x20properly\x20done!','America/Rainy_River','SYSTEM:DELETEvoiceChanSpy','\x27pl\x27','deleteCannedAnswer','FEMALE','src/js/modules/main/apps/tools/views/customDashboards/edit/clone/clone.html/clone.html','added','pause@get','OutboundRoute\x20properly\x20created','\x2718\x27','newVtigerConfiguration','app/main/apps/chat','@autocomplete','api/openchannel/accounts/:id/notify','parent','exportSelectedMailAccountMailCannedAnswers','cloneIvrCampaign','\x20or\x20','SalesforceAccountController\x20as\x20vm','length','src/js/modules/main/apps/integrations/views/salesforceAccounts/salesforceAccounts.html/salesforceAccounts.html','#5688d3','app.voice.voicemails','#tab-container','selectedMusicOnHoldMohSounds','SYSTEM:UPDATEcontact','intDynamics365Field','Delete\x20Report','DESC','processing','delete\x20hopperFinals','pick','Plugins','delete\x20MailSubstatuss','src/js/modules/main/apps/integrations/views/sugarcrmAccounts/edit/configurations/dialog.html/dialog.html','deleteSelectedChanSpies','src/js/modules/main/apps/staff/views/teams/create/dialog.html/dialog.html','onLogged','SETTINGS.UPDATES','deleteOdbc','selectedSquareRecordings','src/js/modules/main/apps/voice/views/voiceRecordings/voiceRecordings.html/voiceRecordings.html','vm.globalCustomField.type','General','

\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20Extra:\x20','webbar:transfer','refreshContacts','app.voice.outboundroutes.edit','header','top-right','ngValue','\x22\x20target=\x22_self\x22>','CALLYSQUARE.NEW_PROJECT','recipient','English\x20(Canada)','Sound','initDevice','API:ERROR','integration','api.jscriptyProject.getSummary','Hungarian','api.voiceMusicOnHold.update','ChangePasswordController','SYSTEM:GET_USERS','FreshsalesConfiguration\x20deleted!','VOICE.CHANSPIES','addNewTag','scheduledat','EditMailAccountAppnoopDialogController','deleteOpenchannelAccountOpenchannelCannedAnswer','SYSTEM:DELETEmailQueue','cmn-Hans-HK','whatsappAccountApps','api/mail/applications/:id/clone','0px','\x27report_openchannel_queue\x27','getChatQueuesWaitingInteractions','createOrEditFaxQueue','delete\x20Templates','\x27cm_hopper\x27','ZohoAccount','SYSTEM:DELETEvoiceMail','The\x20smsCannedAnswer\x20will\x20be\x20deleted.','addNewMailSubstatus','flippable','onAutocreateSelection','jul','src/js/modules/main/apps/marketplace/views/plugins/upload/dialog.html/dialog.html','Prefix','whatsappQueueReport','omniChannels','Unable\x20to\x20find\x20a\x20valid\x20call\x20to\x20answer','getMailAccounts','deselectTeams','getGlobalCustomFields','newOpenchannelAccount','selectedQueueCampaignHopperHistories','pcConfig','deskConfiguration','ms-nav-folded-open','CreateOrEditCloudProviderDialogController','Calibri','api.cloudProvider.delete','api/voice/mohs/:id/clone','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20sugarcrmAccounts?','searchFields','src/js/modules/main/apps/voice/views/inboundroutes/edit/apps/voicemail/dialog.html/dialog.html','h-128','zoho.com','voiceMailMessageDownload','deleteInboundRoute','id,name,type','getVoicemailMessages','onReferCallback\x20->\x20session,\x20textContent,\x20position,\x20delay','api/users/','forwardTemplate','teal-bg','ChineseTraditional','addTab','src/js/modules/main/apps/voice/views/inboundroutes/edit/apps/dial/dialog.html/dialog.html','api/voiceQueuesLog/:id','jscriptySessionReport','WhatsappQueueId','exportSelectedExtractedReports','saveCloudProvider','newSquareRecording','api.telephone.changePassword','#3470ca','Asia/Ulaanbaatar','CustomDashboardController','Triggers','dispositionsValues','footer','SYSTEM:GETLISTS','chatQueue:update','Unlicensed','getSmsAccountApps','openDraft','INTEGRATIONS.NEW_SALESFORCEACCOUNT','faxStatusTime','SYSTEM:GET_TEMPLATES','blue','Dynamics365Accounts','src/js/modules/main/apps/marketplace/views/plugins/plugins.html/plugins.html','IntervalIntervalsController','ne-NP','selectAllDispositions','groups','onComplete','kind','STAFF.CLONE_USERPROFILE','track','api/openchannel/queues/:id','OpenchannelQueue\x20properly\x20saved!','api.mailAccount.save','userFaxQueue:save','AgentAlias','copydialog','&token=','start','mar','vm.agent.showWebBar\x20==\x201','CloudProvidersController','ServicenowConfiguration\x20deleted!','SYSTEM:GETvariables','getPauses','api/users/:id/logout','timelineOptions','api/integrations/freshdesk/configurations/describe','fontSize','mp3','triggerallConditions','showIncompleteSubmitButton','id,body','isStepNumberValid','api/cm/hopper/preview','sugarcrm','xAnswerAfterSec','chatInteractionTags:save','selectAllIntervals','\x272400\x27','UpdatesController\x20as\x20vm','smtps','addNewCustomization','he-IL','/whatsapp','expandAnimation','CALLYSQUARE.CALLYSQUARE','mandatoryDispositionPause','EditOpenchannelAccountAppintervalDialogController','CreateOrEditSalesforceAccountItemDialogController','America/Indiana/Marengo','secondLevel','Azerbaijani','onUpdateWhatsappQueue','Xhosa','msNavigation::clearActive','rpcFaxAccounts','getContactLabel','newCompany','globalTags','mailAccountApps','onSaveSmsQueueChannel','api/whatsapp/messages/:id/clone','globalDispositions','INTEGRATIONS.NEW_FRESHDESKCONFIGURATION','ariaLabel','queueMethod','schedule','SUCCESS','\x27thumb\x27','SmsCannedAnswer\x20properly\x20created','ngFlowOptions','osName','#interaction-content','Invalid\x20extension:\x20','red-300-fg\x20icon-close-circle','$formatters','app.dashboards.general.sms','Russian\x20Male','OpenchannelQueue\x20properly\x20deleted!','size','gotoQueueCampaigns','America/Detroit','app.voice.voiceRecordings.edit','EditChatWebsiteAppintervalDialogController','userMailQueue:remove','api/actions/describe','api/integrations/vtiger/configurations/:id/fields','TOOLS.NOTIFICATIONS.TRIGGERS_DELETE_TITLE','isValueByCondition','Delete\x20IvrCampaign','commitDate','$document','newServicenowConfiguration','src/js/modules/main/apps/integrations/views/salesforceAccounts/create/dialog.html/dialog.html','src/js/modules/navigation/layouts/horizontal-navigation/navigation.html/navigation.html','lastStep','app.errors_error-500','SAVE','api.intVtigerConfiguration.delete','\x20session,\x20data','PhoneBar\x20API\x20Error','TOOLBAR.WEBRTC_AUDIO_DEVICES_NOT_AVAILABLE','Dynamics365AccountConfigurationsController','strict','msQuickFilter','createOrEditChatWebsiteChatProactiveAction','apps.home.mycontacts','{{\x20name\x20}}\x20<{{\x20email\x20}}>','German','monthNumber','userOpenchannelQueue:remove','app.motiondialer.realtime','/api/originate/','found','api/cm/contacts/upload/:id','getSmsAccountLabel','api/mail/messages/:id/reject','Delete\x20Agent','{color}|*','createOrEditZohoAccount','OpenchannelQueueteamaddController','MsNavigationController\x20as\x20vm','Incoming\x20call\x20from:\x20','multiBarChart','SYSTEM:UPDATEVOICERECORDING','\x27gsm\x27','Delete\x20MohSound','SYSTEM:GET_TEAMS','\x27tr\x27','FaxAccountsRealtimeController\x20as\x20vm','expand','hopperIds','init','\x27report_mail_transfer\x27','\x27E\x27','openchannelInteraction:save','agreement','extraHeaders','iCab','ToId','PLUGINS.PLUGINS','createOrEditChatWebsiteChatCannedAnswer','ms-target-wrap','Male','InboundRoutesController','\x27preview\x27','Your\x20password\x20properly\x20updated','\x2738\x27','SYSTEM:RESETLIST','Project\x20properly\x20','selectedFreshsalesConfiguration','EditInboundAppsetDialogController','updateProcess','openSettings','voiceQueue@get','alwaysWatchTheme','User\x20properly\x20deleted!','deleteSmsAccountSmsCannedAnswer','getNavigation','WhatsappRealtimeController','CreateOrEditCustomDashboardDialogController','selectedFreshdeskConfiguration','api.intFreshdeskConfiguration.update','deselectMailSubstatuses','vm.voiceQueue.joinempty.length\x20===\x201\x20&&\x20vm.voiceQueue.joinempty[0]\x20===\x20\x27invalid\x27','createdAt,updatedAt,id,name,key,token,replyUri,ListId,waitForTheAssignedAgent,mapKey,mandatoryDisposition,mandatoryDispositionPauseId,description,receiveUri,notificationSound,notificationShake,notificationTemplate,queueTransfer,queueTransferTimeout,agentTransfer,agentTransferTimeout','Timeout','app.whatsapp.whatsappAccounts','delete\x20context','src/js/modules/main/apps/voice/views/realtime/abandonedcalls/view.html/view.html','selectedZendeskAccounts','delete\x20subject','Maxim\x20(Russian,\x20Male)','delete\x20faxQueue','incident','api.cmHopper.delete','src/js/modules/main/apps/dashboards/views/general/agent/contact/selection/dialog.html/dialog.html','exportSelectedScreenRecordings','selectAllChatQueues','+14:00','thirdLevel','saveChatGroup','app.video','yue-Hant-HK','hljs','delete\x20smsQueue','Latvian\x20(Latvia)','toolbarColor','bot','deselectAgents','dashboarditem','TOOLS.NEW_SOUND','wholerow','TelephonesVoiceRealtimeController','Bengali\x20(Bangladesh)','/:id','The\x20cannedAnswer\x20will\x20be\x20deleted.','eurspanishfemale','VtigerAccountsController\x20as\x20vm','addAllCondition','createOrEditSquareRecording','isDefined','app.tools.trunks.edit','Queue\x20properly\x20updated!','deselectFaxAccounts','api/users/:id/fax_interactions','replace','plugin','Not\x20defined','chatPause','Delete\x20General','OpenchannelAccounts','newReportField','gotoChatQueues','src/js/modules/main/apps/voice/views/inboundroutes/create/dialog.html/dialog.html','api/chat/messages/:id/reject','blue-A700-fg','exportSelectedSugarcrmAccounts','onLogout','rpc@getWhatsappQueues','OpenchannelAccountagentaddController','SYSTEM:GET_INTERVALS','home.openchannel','cloudProvider','DashboardCustomController\x20as\x20vm','deleteMusicOnHoldMohSound','createdAt,updatedAt,id,name,description','gotoif','EditInboundAppexternaldialDialogController','app.integrations.deskAccounts.edit','api.dashboardItem.save','EditMailAccountApptagDialogController','\x27SI\x27','US\x20East\x20(Ohio)','deselectOpenchannelQueues','To\x20is\x20mandatory','deleteSelectedFaxAccounts','api.analyticReport.update','api/mail/reports/transfer/:id/clone','deleteSelectedExtractedReports','mailApplication','Jacek','TOOLBAR.FINNISH','kn-IN','result','id,phone,scheduledat','el-GR','\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20Call\x20from:\x20','app.sms.smsQueues','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20faxQueues?','createdAt,updatedAt,id,name,username,password,remoteUri,tenantId,clientId,clientSecret,serverUrl,description','EditMailAccountAppsystemDialogController','unPause','marketplace','$translatePartialLoaderProvider','deleteQueueCampaign','getBoundingClientRect','USERPROFILE:GET_SECTION','deleteChatWebsiteChatProactiveAction','name,id','servicenowAccounts','DASHBOARDS.NONE','VOICE.NEW_VOICEMAIL','api/tags/:id/clone','home.fax','Emma\x20(English,\x20British,\x20Female)','FaxRealtimeController','faxAccount@get','deleteSelectedIntervalIntervals','clipboard','\x27website_alias\x27','getInfo','Beepall','EU\x20(Ireland)','resetlistdialog','edited','src/js/modules/toolbar/layouts/vertical-navigation/toolbar.html/toolbar.html','onSaveVoiceQueue','Karl','src/js/modules/toolbar/preview/dialog.html/dialog.html','evt','\x20has\x20been\x20updated!','handleKeydown','VoiceRecording','onUpdateVoiceQueueReport','app/core/directives/ms-phonebar/sounds/beep.ogg','src/js/modules/core/directives/ms-phonebar/settings/settings.html/settings.html','saveFreshsalesAccount','chatWebsiteInteractions','api.intDeskConfiguration.delete','intZohoField','gotoFreshsalesAccounts','EXPORT','allConditions','createdAt,updatedAt,id,type,value,username,password','$eq','theme','delete\x20MailQueues','app.sms','api/userProfile/resources/:id/clone','cancel','Medium','nolimit','processes','Message:update','\x27onlyIfOpen\x27','ispeechAsrLanguage','SYSTEM:GETConfigurations','openchannelStatusTime','getUserProfiles','addNewVoiceRecording','\x27unavailable\x27','browserName','Arial','dashboard@get','never','_interactions:save','SugarcrmAccountsController','src/js/modules/main/apps/callysquare/views/squareRecordings/squareRecordings.html/squareRecordings.html','OPENCHANNEL.NEW_OPENCHANNELACCOUNT','selectedIvrCampaignHopperFinals','head','DASHBOARDS.ME','CreateOrEditIvrCampaignDialogController','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20extractedReports?','VoiceRecordingSentimentStatisticsController','arrayencryption','dashboards','SYSTEM:GETvoiceExtension','src/js/modules/main/apps/mail/views/mailAccounts/edit/apps/interval/dialog.html/dialog.html','2400','src/js/modules/core/directives/ms-shortcuts/ms-shortcuts.html/ms-shortcuts.html','showMenu','chatCapacity','Urdu\x20(Pakistan)','registered','containerPos','huhungarianfemale','/metrics','labels','api.template.save','ChatWebsiteagentaddController','VoiceQueueId','VOICE.NEW_VOICERECORDING','deleteIvrCampaignHopper','pieChart','app.chat.chatWebsites.edit','DispositionsController','createOrEditMailAccountMailCannedAnswer','exportSelectedLists','createOrEditWidget','class','showPredictiveQueueCampaignInfo','intFreshsalesField','ServicenowAccount\x20properly\x20saved!','MsTabScrollController','deleteMailQueue','$apply','api/fax/messages/:id','addNewHopper','ChatQueuesController\x20as\x20vm','UserOpenchannelDashboardGeneralController','GET_TEMPLATE','api/integrations/salesforce/accounts/:id/fields','/squareRecordings','searchOptions','createdAt,updatedAt,id,field,alias,function,format,groupBy,orderBy,custom,MetricId','getApi','bar-container','Kannada\x20(India)','now','Europe/Berlin','ChatQueue\x20properly\x20saved!','selectAllScheduler','trigger:browser:popup','ChatInternalMessageId','pluginId','Body','MohSound\x20properly\x20saved!','Chat','actions','chatCannedAnswer','America/North_Dakota/Beulah','Invalid\x20license\x20key','CompaniesController','selectedWhatsappQueues','app.tools.triggers','startTime','rpcOpenchannelQueuesWaitingInteractions','selectedSection','deleteSelectedQueueCampaignHopperBlacks','Europe/Andorra','exportSelectedQueueCampaignHopperFinals','onRemoveUserSmsQueue','Trebuchet\x20MS','deleteChatWebsiteInteraction','previewReport','CALLYSQUARE.EDIT_SQUARERECORDING','General\x20updated!','src/js/modules/main/apps/fax/views/faxAccounts/edit/view.html/view.html','max','treeReports','\x271\x27','removeSquareProjects','globalStatus','Openchannel\x20Report\x20Transfer','MsNavigationController','interface','bn-IN','Astrid\x20(Swedish,\x20Female)','api/chat/interactions/:id/attachment_upload','deleteSelectedMailAccounts','api/jscripty/questions/reports/:id','api/integrations/sugarcrm/configurations/:id/clone','chatWebsiteApps','clearConditions','createOrEditField','Pause\x20properly\x20created','Interaction\x20deleted!','createOrEditZendeskAccount','\x27unknown\x27','\x27comedia\x27','triggerActions','api.userProfile.delete','CreateOrEditSalesforceConfigurationDialogController','whatsappQueues','deleteVoicemail','user.name','SmsQueue','EQUALS','intVtigerField','testtestOdbc','APP.DELETE','IntervalController\x20as\x20vm','selectedDeskConfiguration','app.voice.realtime.agents','QueueNotify','EditChatWebsiteAppamazonlexDialogController','FaxAccountId','Status','\x27no\x27','api.cmCompany.save','agentcompleteAt','\x27sw\x27','saveWhatsappQueue','saveDynamics365Account','integrations','apps.motiondialer.queueCampaigns','msNavTitle','selectedMode','Scheduled\x20Calls','showWhatsappInteraction','CreateOrEditDashboardItemDialogController','deleteSugarcrmAccount','TOOLBAR.BRAZILIAN','deleteSelectedMailSubstatuses','MusicOnHoldMohSoundsController','queryOptions','Subject\x20has\x20been\x20deleted!','companies','/internalroutes','createOrEditUserProfile','localnet','msMasonry','deleteProject','table','onTransferCallback\x20->\x20session,\x20textContent,\x20position,\x20delay','DialogController','api/integrations/servicenow/configurations/describe','onMultiselectInit','zohoConfiguration','getProcesses','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20customDashboards?','deleteSalesforceConfiguration','es-PA','medium','selectedProjectSessions','.results','id,name,IntervalId','onhold','ProjectController\x20as\x20vm','AgentHomeRecordingsController','Service\x20worker\x20registration\x20failed','deleteExtractedReport','DASHBOARDS.UNTITLED','saveGeneral','isNumber','localHold','customerIp','api.cloudProvider.save','gotoServicenowAccounts','selectAllServicenowAccounts','newchanSpy','squareReport','CreateOrEditOpenchannelQueueDialogController','Europe/Monaco','api.userProfile.clone','SYSTEM:GETagents','motion2.realtime.abandoned.bull.abandonedCalls:','api/integrations/freshdesk/fields/:id','src/js/modules/main/apps/voice/views/inboundroutes/edit/apps/queue/dialog.html/dialog.html','GlobalCustomField\x20properly\x20created','user_has_whatsapp_interactions:remove','ACCOUNTS','api/intervals/:id/clone','ivrCampaignHopperBlacks','onSaveChatQueueChannel','contactName','api/fax/accounts/:id/send','INTEGRATIONS.SALESFORCEACCOUNTS','getFlatNavigation','md-scale','DASHBOARDS.SMS','SYSTEM:DELETEvoiceQueue','api/mail/accounts/:id/applications','onaddstream','The\x20freshdeskConfiguration\x20will\x20be\x20deleted.','TOOLS.NEW_INTERVAL','/musiconholds','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20mohSounds?','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20project?','addTeams','api/fax/accounts/:id/dispositions','skype','QuickFilterManager::setPlaceholder','newDeskConfiguration','groupId','deleteTag','id,name','api/integrations/sugarcrm/accounts/:id/configurations','SugarCRM','phoneBarEnableDtmfTone','src/js/modules/main/apps/sms/views/smsQueues/edit/view.html/view.html','ANALYTICS.EDIT_METRIC','MOTIONDIALER.SELECT_CAMPAIGN','\x27nl\x27','http://127.0.0.1:','inbound','exportSelectedFaxAccounts','/api/chat/websites/:id/avatar','en-GB','onSaveUserWhatsappQueue','apps.settings.license','VtigerAccount\x20updated!','Portuguese','AbandonedCallsVoiceRealtimeController\x20as\x20vm','Russell\x20(English,\x20Austrialian,\x20Male)','EditFaxAccountAppintervalDialogController','api/dashboards/items/:id/clone','api.cmList.save','voiceMusicOnHold','createOrEditChatQueue','createdAt,updatedAt,id,callerid,duration,audio,stamp,msg_id','api.cmList.delete','voiceMail@get','ShowOfflineMessageOfflineMessageDialogController','arrayalignment','Europe/Warsaw','TOOLBAR.CHINESESIMPLIFIED','newMusicOnHold','us-west-2','deskAccounts','DeskAccountsController','deleteSugarcrmConfiguration','$httpProvider','The\x20zendeskAccount\x20will\x20be\x20deleted.','America/Halifax','ServicenowConfiguration\x20properly\x20deleted!','VOICE.NEW_MOHSOUND','delete\x20pause','city','9600','src/js/modules/main/apps/staff/views/telephones/create/dialog.html/dialog.html','UNIX_TIMESTAMP','selectAllInboundRoutes','Wide','te-IN','SmsQueue\x20properly\x20deleted!','openchannel','mute','saveReschedule','APP.NO','listFields','saveWhatsappAccountApp','msApi::resolveSuccess','Mizuki','jstree','Team\x20properly\x20created','msVerticalStepperStep','SYSTEM:DELETEintFreshsalesAccount','Agent\x20Report','First','api.interval.save','gotoStep','src/js/modules/main/apps/sms/views/smsAccounts/create/dialog.html/dialog.html','msCard::cardTemplateLoaded','CannedAnswer\x20properly\x20deleted!','delete\x20item','\x27--\x27','APP.WHATSAPP','servicenow','updateLayoutMode','\x27gmail\x27','production','counter','api/analytics/field_reports/describe','src/js/modules/main/apps/voice/views/internalroutes/edit/apps/dial/dialog.html/dialog.html','Moldavian','awsLexRegion','Hungarian\x20Female','selectAllDeskAccounts','onFaxMessageSave','outboundroutes','getMinutes','message','Europe/Istanbul','Templates','api.cmHopper.save','read1stAt','Dynamics365Account\x20properly\x20saved!','cannedAnswers','screenRecording\x20properly\x20created','Schedule\x20properly\x20deleted!','onDateRangeSelection','api/chat/groups/:id','Mizuki\x20(Japanese,\x20Female)','getGroups','Galician\x20(Spain)','smsAccountApp','variablesById','saveZendeskConfiguration','User\x20properly\x20saved!','content','CreateOrEditSquareRecordingDialogController','TCL:\x20vm.path','whatsappMessage','apps.staff','api/mail/interactions/:id','whatsappAccount','deleteSelectedFields','Camino','api/userProfiles/:id/sections','Restored\x20Contact','firstName,lastName,mobile','values','int','src/js/modules/main/apps/integrations/views/zendeskAccounts/edit/item/dialog.html/dialog.html','Project\x20properly\x20saved!','Delete\x20VtigerAccount','foreground','selectedQueueCampaignHopperBlacks','accountConnected','ServicenowAccount\x20properly\x20created','endOf','SYSTEM:GETuser','sun','VoicemailMessagesController','TOOLS.NOTIFICATIONS.TRIGGERS_DELETE_MESSAGE','ContextsController\x20as\x20vm','exportSelectedFaxAccountInteractions','setToggleItem','api.mailQueue.delete','dialogFlowV2Language','createOrEditSugarcrmConfiguration','api.userProfile.save','onChangeEnableAllResources','/voicemails','Stun','copyReport','\x27WAV\x27','apiAccountRoute','/mailSubtatuses','Europe/Budapest','Staff','selected','SYSTEM:GETsetting','delete\x20mohSounds','DASHBOARDS.THIS_YEAR','pt\x22>','selectedMailSubstatuses','capitalize','#353a48','MxGraphOpenProjectController','indent','WhatsappAccount','page','file_id','Report\x20field\x20properly\x20created','delete\x20application','DASHBOARDS.JUNE','api/settings/:id','voicePrefix\x20properly\x20deleted!','SYSTEM:GET_VARIABLES','Ricardo','buttonClicked','\x27report_chat_queue\x27','hh:mm:ss','Attached\x20pm2\x20process\x20successfully\x20restarted','ServicenowAccountController\x20as\x20vm','Antarctica/Syowa','msTimelineItem','drop','hasModulePermissions','America/Noronha','VOICE.NEW_CONTEXT','Excel','webpackChunkmotion_client','updateLocalStorage','variables','/queue_params','unavailable','xorWith','selectedDisposition','exportSelectedOutboundRoutes','alert','api/integrations/freshdesk/configurations/:id','shiftKey','selectedSmsQueues','api.chatProactiveAction.save','UserchangepasswordController','jira','api/integrations/freshsales/accounts/:id/configurations','MsSearchBarController','QueueCampaignagentaddController','^msWidget','identity','api/integrations/vtiger/accounts/:id','getSalesforceConfiguration','internalroute','msTimeline','getContacts','api.voiceExtension.update','api/campaigns/:id/hoppers','src/js/modules/main/apps/voice/views/voicePrefixes/voicePrefixes.html/voicePrefixes.html','DeskAccountController\x20as\x20vm','api.disposition.delete','EditSmsAccountAppcloseDialogController','SETTINGS.EDIT_SMTP','toggleMsNavigationFolded','TOOLS.EDIT_CANNEDANSWER','Plugin\x20not\x20deleted!','MOTIONDIALER.EDIT_IVRCAMPAIGN','src/js/modules/main/apps/voice/views/contexts/contexts.html/contexts.html','whatsappQueue:remove','voiceContext@get','newAgent','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20agent?','src/js/modules/main/apps/mail/views/mailQueues/edit/agentadd/agentadd.html/agentadd.html','VOICE.MUSICONHOLDS','displayValue','TOOLS.NOTIFICATIONS.CONDITIONS_DELETE_MESSAGE','src/js/modules/main/apps/motiondialer/views/realtime/abandonedcalls/view.html/view.html','vm.voiceQueue.leavewhenempty.length\x20===\x201\x20&&\x20vm.voiceQueue.leavewhenempty[0]\x20===\x20\x27paused\x27','analyticTreeReport','selectAllMailAccounts','Variable\x20properly\x20saved!','CustomDashboardcloneController','delete\x20sugarcrmConfiguration','msMaterialColorPickerController','selectedServicenowConfiguration','whatsappApplication','api/triggers/:id/all_conditions','unknown-version','MAILACCOUNT:SEND','INTEGRATIONS.NEW_SERVICENOWCONFIGURATION','openchannelCannedAnswers','src/js/modules/main/apps/sms/views/smsAccounts/edit/apps/system/dialog.html/dialog.html','monthDayTo','Delete\x20SmsCannedAnswer','getColorByItem','hasSectionsPermissions','addNewZohoAccount','addContacts','onNewRTCSession\x20->\x20data','api/chat/websites/:id/canned_answers','Node\x20has\x20been\x20updated!','Unknown','European\x20French\x20Female','MAIL.NEW_MAILCANNEDANSWER','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20zendeskConfiguration?','\x27IN\x27','src/js/modules/main/apps/motiondialer/views/queueCampaigns/edit/clone/clone.html/clone.html','getScrollEl','OPENCHANNEL.OPENCHANNEL','src/js/modules/main/apps/integrations/views/sugarcrmAccounts/edit/view.html/view.html','app.integrations.servicenowAccounts','faxAccounts','src/js/modules/main/apps/openchannel/views/openchannelQueues/create/dialog.html/dialog.html','saveCannedAnswer','messages','addNewProject','onlineForm','Delete\x20ChatWebsite','apps','paragraph','FaxAccount\x20properly\x20deleted!','onRemoveWhatsappQueueChannel','api/openchannel/accounts/describe','America/Goose_Bay','SYSTEM:GETinterval','Europe/Gibraltar','holdHook\x20->\x20payload','UserFaxDashboardGeneralController\x20as\x20vm','deleteAction','America/Toronto','.txt','The\x20userProfile\x20will\x20be\x20deleted.','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20voicemails?','tagName','Process\x20restarted!','America/Port_of_Spain','app.jscripty.projects.edit','ulaw','Delete\x20CloudProvider','The\x20globalCustomField\x20will\x20be\x20deleted.','^msMasonry','api/square/odbc/describe','SMS.EDIT_SMSCANNEDANSWER','Urdu\x20(India)','vendor','loadLastMessage','$destroy\x20event\x20fired','Telephone\x20password\x20properly\x20updated!','IvrCampaign\x20properly\x20cloned','VoicePrefixes','JSCRIPTY.NEW_PROJECT','application/json','Spanish\x20(Bolivia)','Chinese\x20(Simplified)','api.setting.getDate','SYSTEM:GETusers','motion.language','INTEGRATIONS.NEW_FRESHSALESCONFIGURATION','OpenchannelQueues','newVtigerAccount','ms-stepper-step','\x20has\x20been\x20','campaign','botname','openChannel','/sugarcrmAccounts','api.template.delete','extraData','CreateOrEditServicenowAccountDialogController','Description\x20has\x20been\x20deleted!','api/voice/recordings/describe','src/js/modules/main/apps/voice/views/voicemails/edit/view.html/view.html','openDialog','toggleHorizontalMobileMenu','gotoWhatsappAccounts','cafrenchmale','\x22\x20is\x20not\x20defined\x20in\x20the\x20api\x20service!','Javanese','\x27fewestcalls\x27','selectedTriggerAnyConditions','clear','api/chat/interactions/describe','selectAll','applyTo','appType','Some\x20resources\x20enabled','addApi','DASHBOARDS.SELECT_READ_UNREAD','icon-checkbox-marked-circle','node','WhatsappAccountsController\x20as\x20vm','Spanish\x20(Costa\x20Rica)','api/schedules/:id/run','app.analytics.extractedReports','DASHBOARDS.FAX','g723','#FFC107','canSelect','FaxQueueController','SmsAccount\x20properly\x20created','America/Tegucigalpa','apikeymanagerdialog','pl-PL','AppZone','TelephonesController\x20as\x20vm','CustomDashboards','Asia/Chita','data3','app.tools.sounds','language','telephone','Refer\x20Attended','msSearchBar','api/actions/:id/clone','$scope','companiesMap','ru-RU','app.dashboards.general.voice','FooterController\x20as\x20vm','api/trunks/:id/clone','opt2','newChatWebsite','uniq','tTxX','localizationMap','msNavigation::collapse','ms-widget-back','opera','fetch','association','filename','$stateProvider','audio','The\x20max\x20allowed\x20size\x20is\x20','DashboardCustomController','api/voice/mohs/:id/sounds','Customization\x20properly\x20deleted!','CreateOrEditInternalRouteDialogController','-04:30','sms','exportSelectedVoicemailMessages','height','\x274800\x27','selectedIntervalIntervals','/vtigerAccounts','deleteSelectedContexts','excludedOperators','UserProfile\x20properly\x20cloned','ContactId','createdAt,updatedAt,id,name,key,headerinfo,TrunkId,localstationid,ListId,waitForTheAssignedAgent,mandatoryDisposition,mandatoryDispositionPauseId,description,ecm,minrate,maxrate,modem,gateway,faxdetect,t38timeout,tech,notificationSound,notificationShake,notificationTemplate,queueTransfer,queueTransferTimeout,agentTransfer,agentTransferTimeout','webkitNotification','IvrCampaignController\x20as\x20vm','License\x20properly\x20updated!','operator','userProfile@getResources','sortBy','EditMailAccountApp','formatdate','QUICKPANEL.MESSENGER_DISABLED','../../../../../../../assets/images/avatars/customer.png','app.whatsapp.realtime.agents','api/voice/mails/messages/:id/download','api/voice/mohs/:id/sounds/:id2','whatsappInteractions','downloadTranscribe','results','getVoiceChannels','QueueParamsMotionDialerRealtimeController\x20as\x20vm','apps.tools.pauses','msDualMultiselect','vm.options.isOpen','useLoader','createOrEditTrigger','Report\x20properly\x20saved!','api/migrations/describe','EditFaxAccountAppgotoifDialogController','Salesforce','prop','msDatepickerFixConfig','smsAccountInteractions','deleteVoicemailMessage','delete\x20company','Editor','OPENCHANNEL.REALTIME','vm.voiceQueue.leavewhenempty.length\x20===\x201\x20&&\x20vm.voiceQueue.leavewhenempty[0]\x20===\x20\x27invalid\x27','api/fax/interactions/:id','ivrCampaign','onChangeVoiceQueues','CreateOrEditTrunkDialogController','api/plugins','delete\x20mohSound','intDeskAccount@get','rule','deletePause','addNewMetric','$element','ngPassword','flow','MailQueueagentaddController','Asia/Jerusalem','outboundRoutes','ChatProactiveAction\x20properly\x20created','stateService','valueReplacer','multipleUsers','You\x20can\x27t\x20reply\x20or\x20send\x20an\x20email.\x20Please\x20contact\x20the\x20administrator!','listsMap','UserSmsAccount','X-Answer-Mode','DASHBOARDS.TODAY','Report\x20properly\x20created','id,name,AccountId','apps.callysquare','styles','unpause','parse','securePassword','TOOLBAR.FRENCH','app.voice.realtime.outbound_calls','orderBy','Interval\x20has\x20been\x20saved!','Delete\x20FaxQueue','Pacific/Easter','api/chat/websites/:id/interactions','src/js/modules/main/apps/sms/views/realtime/queues/view.html/view.html','variableName','$get','/smtps','exportSelectedUserProfiles','CustomizationpreferredController','resetForm','htmlToPlaintext','app.errors','Project\x20updated!','zendeskAccounts','config','previousStep','\x20has\x20been\x20done!','src/js/modules/main/apps/staff/views/agents/create/dialog.html/dialog.html','queryResult','Outbound\x20Call','Italian','delete\x20InternalRoutes','app.integrations.salesforceAccounts','id,firstName,lastName,email','app.dashboards.custom','Delete\x20WhatsappAccount','api/analytics/custom_reports/describe','exportSelectedIvrCampaignHopperBlacks','api/cm/hopper_black/:id/clone','','faxQueue','urlParse','faxQueue:remove','findIndex','addAction','isValidRange','SYSTEM:USERPROFILE.ADDSECTIONS','France\x20(France)','fromTemplate','CreateOrEditPluginDialogController','arraysidebar','\x20payload','src/js/modules/main/apps/dashboards/views/general/agent/interaction/dispose/dialog.html/dialog.html','FreshdeskAccount\x20properly\x20saved!','getFaxAccountLabel','onSaveOpenchannelInteraction','FreshdeskAccountController','icon-google-earth','webbar:originate','DEFAULT\x20PAUSE','dialogflowV2','Spanish\x20(Paraguay)','addNewCustomDashboard','CDR(routeid)=','INTEGRATIONS.SERVICENOWACCOUNTS','Bulgarian\x20(Bulgaria)','api.analyticReportField.delete','GET_INTERVAL','monthTo','deleteSmsQueuesWaitingInteractions','\x27wrapup\x27','Trunk\x20properly\x20saved!','\x27text\x27','disableCustomScrollbars','outerHeight','isSame','onFieldSelection','getSections','app/main/apps/settings','app.plugins.plugin','motionConfigProvider','whatsappInteractionDownload','STAFF.PERMISSIONS_ALL_RESOURCES','macro','placeholders','scrollHeight','firstName,lastName,fax','src/js/modules/auth/services/hotdesk/dialog.html/dialog.html','arraysort','app.tools.customDashboards.edit','VtigerConfiguration\x20properly\x20saved!','src/js/modules/main/apps/voice/views/inboundroutes/edit/apps/custom/dialog.html/dialog.html','api.intSalesforceConfiguration.update','getColumns','src/js/modules/main/apps/openchannel/views/realtime/agents/view.html/view.html','600','CustomizationsController\x20as\x20vm','project','disposition','SYSTEM:GETmusiconholds','\x27whatsapp_accounts\x27','delete\x20servicenowConfiguration','Square\x20ODBC\x20properly\x20tested','TriggerAnyId','SYSTEM:GETCONFIGURATION','app.fax.realtime.queues','MetricId','SugarcrmConfiguration\x20properly\x20deleted!','CreateOrEditChatQueueDialogController','motion.folded','api/campaigns/:id/blacklists','hour','\x27/var/opt/motion2/server/files/sounds/converted/{{sound.save_name}}\x27','hoppers','addQueues','CreateOrEditOdbcDialogController','Dynamics365AccountController\x20as\x20vm','TOOLS.TAGS','previousStepInvalid','Template\x20properly\x20saved!','MarketplacePluginController\x20as\x20vm','app.marketplace','\x27it\x27','getBaseUrl','api.intVtigerAccount.save','EU\x20(London)','icon-chart-line','IvrCampaignsMotionDialerRealtimeController','Transfer','CreateOrEditSugarcrmAccountDialogController','headers','queueaddchannel','\x27null\x27','SmsRealtimeController','Sinhala\x20(Srilanka)','AgentHomeDashboardMultiChannelOpenchannelController','\x27turn\x27','options','\x27minutes\x27','$interval','src/js/modules/main/apps/video/views/screenRecordings/screenRecordings.html/screenRecordings.html','readAsDataURL','setText','microphoneId','TOOLBAR.WEBRTC_SPEAKER_NOT_AVAILABLE','api/jscripty/sessions/reports/:id','app/main/apps/mail','RR\x20Memory','ATTENTION','motion2.home.scheduled:','CreateOrEditCustomFieldDialogController','Arabic\x20(Israel)','addConfiguration','exportSelectedIntervalIntervals','SugarcrmAccount\x20properly\x20saved!','getPlugins','\x27users\x27','onReadyEditor','JscriptyProjectsController','buttons','app.callysquare.odbcs','EditInternalAppqueueDialogController','smsTransferReport','createdAt,updatedAt,id,name,strategy,timeout,description','gotoSalesforceAccounts','','googleTtsLanguage','clonedialog','voiceQueues','onNewRTCSession\x20->\x20vm_pb.sessions','write','whatsappTransferReport','InternalRoutes','FaxAccountController','onCollapse','killProcess','TOOLS.NOTIFICATIONS.DISPOSITION_DELETED_SUCCESS_TITLE','faxMessage','delete\x20scheduled\x20call','api/openchannel/queues/:id/users','chat-interaction','microphoneMute','Accept','err','delete\x20interactions','src/js/modules/header/layouts/vertical-navigation/header.html/header.html','ChatCannedAnswer\x20deleted!','Nicole\x20(English,\x20Austrialian,\x20Female)','delete\x20metric','createOrEditTriggerAnyCondition','save_name','usersMap','Marketplace','autoAnswerDelay','Europe/Athens','IS_BETWEEN','selectedMetrics','.wav','D\x20MMM\x20YYYY','dialogflowv2','Skebby','communications','scrollTop','listadddialog','mobile','ServicenowAccountsController','ODBC','includes','chatApplication','TOOLS.NOTIFICATIONS.CONDITION_DELETED_SUCCESS_TITLE','/chanSpies','createdAt,updatedAt,id,name,description,createdAt,formData,enableUncompleteSave','dynamics365Account','mwForm.pageEvents.pageCurrentChanged',':id','SYSTEM:LISTS_ASSOCIATION','resume','voiceMail.mailbox','app.plugins','Save\x20draft','userSmsQueue:remove','calleridnum','ensureSelectedResultIsVisible','api/mail/interactions/:id/tags','select','plugin:update','ATTACHMENTS:GET','difference','Internal\x20Call','COUNT\x20(','startingSelectedItems','Ivy\x20(English,\x20US,\x20Female)','EditInternalAppexternaldialDialogController','deleteOpenchannelAccount','deleteSmsQueue','priority','You\x20must\x20have\x20at\x20least\x20one\x20theme\x20named\x20\x22default\x22','api.mailAccount.update','selectAllMetrics','createdAt,updatedAt,id,name,key,headerinfo,TrunkId,localstationid,ListId,waitForTheAssignedAgent,description,ecm,minrate,maxrate,modem,gateway,faxdetect,t38timeout,tech,acceptMethod,acceptUrl,rejectMethod,rejectUrl,closeMethod,closeUrl,notificationSound,notificationShake,notificationTemplate','reject','clickOutsideToClose','src/js/modules/main/apps/chat/views/chatWebsites/edit/proactive/dialog.html/dialog.html','queryReport','Highest','SYSTEM:queueCampaign.RESETLIST','src/js/modules/main/apps/whatsapp/views/whatsappAccounts/edit/agentadd/agentadd.html/agentadd.html','newInterval','ar-SA','teal-fg','app.staff.telephones','createMediaStreamDestination','ar-DZ','close','arraysmsMethod','getChatWebsiteChatCannedAnswers','chat','tag','delete\x20Trunks','newSound','hue-1','exportSelectedVoiceRecordings','SYSTEM:DELETEsound',';font-size:','Auth','\x27inband\x27','saveZohoConfiguration','createOrEditChatWebsite','JscriptyProjectsController\x20as\x20vm','addNewCompany','text','api/analytics/extracted_reports/:id/download','phoneBarEnableRecording','deleteReport','sms_queue_waiting_interaction:remove','InternalRoute\x20updated!','onVoiceExtensionUpdate','src/js/modules/main/apps/tools/views/customDashboards/customDashboards.html/customDashboards.html','ServicenowAccounts','US\x20West\x20(N.\x20California)','customField\x20#','TOOLS.EDIT_SCHEDULE','DEFAULT','#2d323e','api.intVtigerAccount.update','timeout','onClose','Gsm','api/settings/:id/logo','ZohoAccount\x20properly\x20tested','Asia/Oral','api/userProfiles/:id/resources','api/users/:id/openchannel_interactions','outboundroute','getMessagesAlignment','extraOperators','PrefixDialogController','selectedTableChange','newHopper','countdownattr','deselectLists','chatCurrentCapacity','stopping','ChatQueueteamaddController','Fwd:\x20','api/jscripty/projects/:id/summary','createdAt,updatedAt,id,filename,projectName,uniqueid,callerid,extension,audio,savePath,format','\x27very\x27','Email\x20Report\x20Queue','api/integrations/desk/configurations/:id/fields','HANGUPCAUSE_27','ivrCampaignHopperFinals','app.motiondialer.queueCampaigns','hue-2','HELP.HELP','-04:00','Antarctica/Davis','delete\x20voiceQueue','amd','The\x20dynamics365Account\x20will\x20be\x20deleted.','UserDashboardGeneralController\x20as\x20vm','contcts','ringingMute','INTEGRATIONS.ZOHOACCOUNTS','pink','CDR(type)=outbound','Owner','escape','api/cm/hopper_final/campaign/countAttributes/:id','Asia/Yerevan','\x22resource\x22\x20must\x20be\x20an\x20array\x20and\x20it\x20must\x20follow\x20$resource\x20definition','delete\x20hoppers','$substring','Notification','deleteChatProactiveAction','createOrEditFreshdeskAccount','api.intZohoAccount.delete','Delete\x20MusicOnHold','America/Miquelon','auenglishfemale','o:\x20Only\x20listen\x20to\x20audio\x20coming\x20from\x20this\x20channel.','ringing','rtl','Resource\x20\x22','api.voicePrefix.save','api/openchannel/interactions/:id/messages','api/integrations/vtiger/accounts/:id/configurations','api/intervals/:id/sub_intervals','id,phone,scheduledat,priority,recallme,VoiceQueueId,CampaignId,UserId','year','whatsappStatusTime','apps.callysquare.projects','png','dialogFlowLanguage','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20session?','api/voice/queues/:id/hopper_black','settings','SYSTEM:PAUSEUSER','delete\x20tag','delete\x20musiconhold','Spanish','Url','Compose','getMailQueues','campaigntype','apps.video.screenRecordings','VoiceQueueController','EditoutboundAppintervalDialogController','api/whatsapp/reports/queue/:id','secret','deep-orange','api.sms.account','welcomemessage','affectedRows','api/plugins/','UNIX_TIMESTAMP\x20(','@uniqueid','Description\x20deleted!','Lao\x20(Laos)','The\x20sugarcrmAccount\x20will\x20be\x20deleted.','api/chat/internal/messages/:id/clone','hopperFinal','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20smsCannedAnswer?','api/integrations/salesforce/configurations/:id/fields','out-left-aligned','hasChildren','app.fax.faxQueues','sounds','DASHBOARDS.DASHBOARDS','Decode\x20File\x20Error','/video','api/voice/queues/:id','intSalesforceAccount@get','fromJson','idle','TagId','dialQueueProject','$eval','MarketplacePluginsController','://','Trunk\x20updated!','api.contacts.save','dialstatus','getCurrentUser','\x2727\x27','every','third','Arabic\x20(Morocco)','description','smsInteraction','VoiceRecordings','deselectContacts','QueuesMailRealtimeController','api/userProfile/sections/:id/clone','mailInteraction:update','Africa/Casablanca','app.errors.error-404','analyticExtractedReport@get','hues','Delete\x20','0.00','smsMessage','eurportuguesemale','saveZohoAccount','STAFF.PERMISSIONS_UNAUTHORIZED_REDIRECT_TITLE','Zulu\x20(South\x20Africa)','deleteDescriptionConfirm','mapKey','msApi::resolveError','apps.fax.faxQueues','src/js/modules/main/apps/integrations/views/servicenowAccounts/servicenowAccounts.html/servicenowAccounts.html','Maja\x20(Polish,\x20Female)','lll\x20Z','delete\x20UserProfiles','api/voice/prefixes/:id/clone','*|\x0a','\x27alpha\x27','rgb(','api/settings/:id/preferred','MxGraphUpdateInfoController','interaction\x20#','api/integrations/zendesk/configurations/:id/clone','src/js/modules/main/apps/motiondialer/views/queueCampaigns/create/dialog.html/dialog.html','selectedSmsAccountApps','exportSelectedQueueCampaignHopperHistories','red-300-fg\x20icon-phone-locked','unshift','onUpdateMailQueue','api.trunk.delete','\x27random\x27','DeskAccount\x20properly\x20saved!','Edit\x20Report\x20Field','TrunksFaxRealtimeController','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20chatCannedAnswer?','contrast','TOOLBAR.USER_FORCE_LOGOUT','join','Account','SYSTEM:GETvoiceMusicOnHold','.ms-nav-button','integrationTab','originator','SmtpController','InboundRoute\x20updated!','api/mail/accounts/:id/dispositions','onFieldChange','$sce','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20whatsappCannedAnswers?','The\x20whatsappCannedAnswer\x20will\x20be\x20deleted.','icon-minus-circle','Yesterday','chatInternalMessage:save','cloneUserProfile','empty','deleteFreshdeskAccount','\x27beepall\x27','#2e62b1','secondDisposition','createOrEditProjectSession','acceptedMessages','api.chatWebsite.update','api.faxQueue.save','WhatsappQueueteamaddController','delete\x20hopper','dateTime','autoStart','selectedChatWebsiteProactiveActions','next','api/square/projects/:id/users','first','createOrEditTrunk','layoutModes','nodeType','msNav::forceCollapse','PausesController\x20as\x20vm','MAX\x20(','IntervalsController','X-Answer-After','deleteQueueCampaignHopper','FreshdeskAccount\x20properly\x20created','PERMISSIONS','runtranscribesentimentruntranscribesentiment','Mathieu\x20(French,\x20Male)','whatsappInteraction@get','INTEGRATIONS.NEW_SERVICENOWACCOUNT','selectedList','filtered','deleteSelectedOutboundRouteApps','html-area','ListId','clearDispositionSelection','Nicole','api/chat/websites/:id/logo','plainBody','api/analytics/metrics/describe','web-report','SYSTEM:DELETEcampaign','saveOutboundRouteApp','advancedQuery','phoneBarAutoAnswerDelay','isBetween','Pacific/Palau','app/footer','Canadian\x20French\x20Male','CreateOrEditVoiceQueueDialogController','EditOpenchannelAccountAppsystemDialogController','Member\x20Report','QueueCallsVoiceRealtimeController\x20as\x20vm','UserMailQueue','deleteDeskAccount','apps.voice.voiceRecordings','Waiting\x20to\x20restart\x20motion...','MAIL.MAILQUEUES','getScheduledCalls','api/integrations/zoho/fields/:id/clone','getOutboundRoutes','agentname','dial','recentEmojis','status_code','allowedStandardFields','promise','CreateOrEditMailCannedAnswerDialogController','Tamil\x20(India)','onSubmit','ondevicechange','ZendeskAccountConfigurationsController','lt-LT','yAxes','createdAt,updatedAt,id,name,channel,status,description','onSaveWhatsappInteraction','newQueueCampaign','Asia/Novosibirsk','saveDraft','Pacific/Tongatapu','isHidden','uniqBy','Asia/Ust-Nera','absorbEvent','Arabic\x20(Bahrain)','deleteItem','fresh','inband','\x27bottom_right\x27','api/whatsapp/queues/:id','timer-clear','app/core/directives/ms-widget-engine/ms-widget-engine-','European\x20Spanish\x20Female','checkContactHopper','Arabic\x20(Iraq)','ChatWebsiteActionsController','queryMessage','selectedChatWebsiteOfflineMessages','getFaxQueues','Slovenian','selectedIvrCampaignHoppers','Session\x20deleted!','src/js/modules/main/apps/voice/views/internalroutes/edit/apps/ringgroup/dialog.html/dialog.html','erlangCallToSecond','UserChatDashboardGeneralController','pickerPosition','MailQueueteamaddController','toggleCollapsed','GET_MAIL_ACCOUNT','STAFF.PERMISSIONS_SELECTED_RESOURCES','speakerId','CreateOrEditMusicOnHoldDialogController','bull','delete\x20Sounds','downloadWhatsappInteraction','src/js/modules/main/apps/mail/views/realtime/accounts/view.html/view.html','interactionsgoto','dynamics365Accounts','QueuesVoiceRealtimeController\x20as\x20vm','gotoUserProfiles','mailQueue:remove','America/North_Dakota/New_Salem','app.integrations.zendeskAccounts','fromNow','toggleChat','openchannelAccount','api/integrations/freshsales/fields/:id','intServicenowAccount','ERROR\x20OPEN\x20INTERACTION','available','openchannelQueue:save','Service\x20Worker\x20is\x20not\x20supported','Europe/Paris','\x27linear\x27','openchannel_queue_waiting_interaction:save','tech','\x20has\x20been\x20run!','flattenDeep','elapsed','$notIn','CHAT.EDIT_','onChangeVisibility','getScreenRecordings','\x27fr\x27','addNewWhatsappCannedAnswer','src/js/modules/main/apps/openchannel/views/openchannelQueues/edit/view.html/view.html','app.fax.realtime','motion.newsAlertHide:','FaxQueuesController','api/integrations/dynamics365/accounts/:id/configurations','MailAccounts','Telephone','UserWhatsappDashboardGeneralController','mailAccountMailCannedAnswers','exists','api.intFreshsalesConfiguration.save','Accepted','sql','squareOdbc','ContextsController','SquareRecordingsController','saveList','exportSelectedReports','editMailAccountApp','whatsappMessage:update','id,fullname,internal','api/integrations/zoho/configurations/:id/subjects','getActions','IS_GREATER_THAN','The\x20chatProactiveAction\x20will\x20be\x20deleted.','downloadMessage','deselectSmsAccounts','DASHBOARDS.WHATSAPP','sqlUtil','twilio','deleteSelectedTemplates','src/js/modules/main/apps/chat/views/chatWebsites/edit/apps/close/dialog.html/dialog.html','src/js/modules/main/apps/openchannel/views/openchannelAccounts/edit/apps/interval/dialog.html/dialog.html','generals','Cannot\x20find\x20module\x20\x27','icon-deskphone','voicePrefix','AgentchangepasswordController','editOutboundRouteApp','ChatWebsiteController','EditOpenchannelAccountAppgotoifDialogController','Pacific/Bougainville','\x27voice\x27','api/sms/messages/:id/accept','api/integrations/:id/clone','Afrikaans','The\x20telephone\x20will\x20be\x20deleted.','UserWhatsappQueue','api/users/:id/scheduled_calls','DASHBOARDS.MON','deleteCustomization','dnd','America/Dawson_Creek','Reports','digit','api/fax/interactions/:id/clone','src/js/modules/core/directives/ms-search-bar/ms-search-bar.html/ms-search-bar.html','primaryPalette','ProjectsController','treeDefaultData','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20servicenowConfiguration?','Indian/Chagos','squareMessage','getDescriptions','src/js/modules/main/apps/tools/views/sounds/sounds.html/sounds.html','createMediaStreamSource','Contact\x20properly\x20updated!','onUpdateWhatsappInteraction','createdAt,updatedAt,id,name,key,email,ListId,active,cservice,Imap.service,Imap.host,Imap.port,Imap.tls,Imap.authentication,Imap.user,Imap.password,Imap.mailbox,Imap.connTimeout,Imap.authTimeout,Smtp.service,Smtp.host,Smtp.port,Smtp.secure,Smtp.authentication,Smtp.user,Smtp.pass,cauthentication,cuser,cpassword,fontFamily,fontSize,template,markAsUnread,waitForTheAssignedAgent,description,notificationSound,notificationShake,notificationTemplate,queueTransfer,queueTransferTimeout,agentTransfer,agentTransferTimeout','api/rpc/voice/channels','id,name,metric,table','setItem','id,fullname,name,internal','SYSTEM:DELETEtrunk','src/js/modules/main/apps/voice/views/internalroutes/edit/apps/interval/dialog.html/dialog.html','\x27g726\x27','googleAsrLanguage','Europe/Tallinn','selectAllReports','deleteMetric','sourceid','html5Mode','exportSelectedSmsQueues','mailCannedAnswer\x20#','INTEGRATIONS.NEW_ZENDESKCONFIGURATION','deleteSelectedFaxQueues','src/js/modules/main/apps/voice/views/realtime/outbound_calls/view.html/view.html','The\x20mailQueue\x20will\x20be\x20deleted.','2020-11-27T18:23:34.293Z','api.screenRecording.save','api.cmList.update','Courier\x20New,Courier,monospace','ChatQueueagentaddController','addNewCloudProvider','DeskAccountController','disableCustomScrollbarsOnMobile','grey-fg\x20icon-minus-circle','fileSuccess','SYSTEM:GETtrunk','allowedItems','plugin:save','Montenegrin','app.tools.intervals.edit','onUpdateMailInteraction','getQueues','deleteSelectedTelephones','selectedIndex','zh-CN','$[${LEN(${PREFIX-CALLERIDALL})}\x20>\x200]?Set(CALLERID(all)=${PREFIX-CALLERIDALL}):Set(CALLERID(all)=','thirdLevelDisposition','ms-nav-toggle-items','app/main/apps/staff','The\x20contact\x20is\x20in\x20the\x20hopper','dateOfBirth','analyticExtractedReport','spysmsInteraction','LoadingIndicatorService','optionalStep','loadShortcuts','src/js/modules/main/apps/voice/views/voiceQueues/edit/teamadd/teamadd.html/teamadd.html','queueLogin','CreateOrEditSalesforceAccountDialogController','deleteSelectedMailAccountInteractions','VOICE.EDIT_CHANSPY','SIP/','createdAt','SYSTEM:DELETEuser','src/js/modules/main/apps/voice/views/outboundroutes/edit/apps/interval/dialog.html/dialog.html','fontColor','X-CID:\x20','newSalesforceAccount','delete\x20ChatWebsites','api/square/messages/:id/clone','VoicePrefixesController\x20as\x20vm','api/sms/interactions/:id/clone','api/openchannel/messages/:id/accept','closedAt','api.smsQueue.update','remote','src/js/modules/main/apps/analytics/views/reports/run/dialog.html/dialog.html','FreshsalesAccount','src/js/modules/main/apps/voice/views/internalroutes/edit/apps/queue/dialog.html/dialog.html','api/integrations/sugarcrm/configurations/:id','api.analyticExtractedReport.update','\x27never\x27','WhatsappAccounts','app.openchannel.openchannelAccounts','Edited','addNewMailCannedAnswer','delete\x20zendeskConfiguration','America/Los_Angeles','sr-RS','inline','find','app.staff.telephones.edit','ngAnimate','isJsonString','api/rpc/mail/queues/:id/notify','-starttime','Customer\x20Journey\x20can\x27t\x20open\x20the\x20interaction','sessionTarget','Context','api.contact.update','.ms-search-bar-results','firstLastDayMonth','trunk_name','mail_queue_waiting_interaction:remove','motion2.home:','#21467e','downloadSmsInteraction','arrayvideosupport','composeFaxInteraction','api.telephone.save','src/js/modules/main/apps/integrations/views/freshsalesAccounts/freshsalesAccounts.html/freshsalesAccounts.html','\x27switch\x27','src/js/modules/main/apps/sms/views/smsQueues/edit/teamadd/teamadd.html/teamadd.html','deleteChatCannedAnswer','outbound','US\x20English\x20Male','mandatoryDisposition','chatInteraction','api.pm2.update','api/trunks/describe','selectedVoiceQueues','AGI','Malayalam','Attachments','onRemoveUserOpenchannelQueue','delete\x20Dynamics365Accounts','Agents','virtual','createdAt,updatedAt,id,name,mode,directory,application,format,sort','delete\x20interaction','/marketplace','terminate','The\x20interval\x20will\x20be\x20deleted.','minimize','smsCannedAnswers','voiceMails','/dashboards','subStatuses','Norwegian','outboundDropCallsDayTimeout','openchannelInteractions','api/mail/accounts/:id/messages','isCustomField','Variable\x20properly\x20deleted!','OpenchannelAccountController\x20as\x20vm','/outboundroutes','getPieChart','onRemoveUserWhatsappQueue','tls','amaflags','Please\x20retry\x20with\x20a\x20new\x20file','CONTACTMANAGER.NEW_CONTACT','selectedVoicemails','street','\x27ringing\x27','openchannelPause','QueueCampaignController\x20as\x20vm','deleteSelectedDynamics365Accounts','api.voiceQueue.getBlackLists','CreateOrEditVoiceRecordingDialogController','saveSchedule','registry','icon-console','app.integrations.vtigerAccounts.edit','getQueueCampaignHoppers','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20musiconholds?','selectAllSounds','chatInteraction:update','MergeContactDialogController','W:\x20Enable\x20private\x20whisper\x20mode,\x20so\x20the\x20spying\x20channel\x20can\x20talk\x20to\x20the\x20spied-on\x20channel\x20but\x20cannot\x20listen\x20to\x20that\x20channel.','MailAccountagentaddController','Report\x20properly\x20run!','Once','WhatsappInteractionId','CustomDashboard\x20properly\x20created','agentUrl','getFunctions','api.voiceContext.save','api.plugin.delete','America/La_Paz','Europe/Rome','saveDeskConfiguration','deleteNetwork','CSV\x20stop\x20import\x20process\x20failed!','/api/settings/1/preferred','defer','ms-MY','Low','api/integrations/freshsales/configurations/describe','ms-theme-options','ListsController','Join','humanizeDoc','src/js/modules/main/apps/voice/views/internalroutes/edit/apps/set/dialog.html/dialog.html','delete\x20whatsappQueue','Brian\x20(English,\x20British,\x20Male)','notification','errors','Cancel','src/js/modules/main/apps/staff/views/users/edit/changepassword/changepassword.html/changepassword.html','TagsController\x20as\x20vm','faxMessage:update','Gujarati\x20(India)','seconds','NoAnswer','#chat-dialog','apps.tools.tags','api/mail/accounts/:id/out_servers','api.disposition.update','src/js/modules/main/apps/sms/views/smsAccounts/edit/apps/close/dialog.html/dialog.html','getMailAccountApps','createdAt,','api/analytics/default_reports/:id/query','src/js/modules/main/apps/fax/views/realtime/accounts/view.html/view.html','apps.tools','Asia/Makassar','contrast4','addBlackLists','shortinfo','createOrEditUser','src/js/modules/main/apps/callysquare/views/projects/edit/view.html/view.html','The\x20chatCannedAnswer\x20will\x20be\x20deleted.','$location','deleteTriggerAnyCondition','Jan\x20(Polish,\x20Male)','api/dispositions/:id','ringGroup','createdAt,updatedAt,id,name,VoiceExtensionId,callerIdAll,description','newFaxQueue','America/Indiana/Indianapolis','MIN\x20(','Marathi\x20(India)','telephone:save','UserTeam','addContactTab','delete\x20openchannelAccount','/freshdeskAccounts','isActive','Amy\x20(English,\x20British,\x20Female)','api/pauses/describe','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20contexts?','apps.sms.smsAccounts','fax.faxAccounts','deleteSound','SYSTEM:DELETEintDeskAccount','InboundRoute\x20properly\x20deleted!','The\x20file\x20is\x20too\x20big.\x20The\x20max\x20allowed\x20size\x20is\x20','countdown','OpenchannelQueue\x20properly\x20created','api/fax/queues/:id/teams','CSV','api.trunk.update','rpc@getOutbound','Spanish\x20(Colombia)','Vertical\x20Navigation','showWebBar','exportSelectedOpenchannelQueues','DashboardItem\x20properly\x20saved!','AgentGeneralInteractionController','UpdateDialogController','api/integrations/freshdesk/configurations/:id/fields','selectedAiTools','300','Upload\x20completed','List\x20properly\x20created','src/js/modules/main/apps/chat/views/chatWebsites/edit/view.html/view.html','selectAllCustomDashboards','lv-LV','id,exten','Javanese\x20(Indonesia)','note','toUpper','api/voice/chanspy/:id','cmn-Hans-CN','addNewTemplate','deleteSelectedVoicemails','delete\x20VtigerAccounts','getSenders','Sindhi','createOrEditvoicePrefix','CreateOrEditFreshdeskConfigurationDialogController','America/Matamoros','onUpdateChatInteraction','integrations/freshdesk/configurations/:id/descriptions','ContactsController\x20as\x20vm','voiceExtension','mailSubtatuses','DISPOSITION_DELETE_MESSAGE','SYSTEM:GET_SOUNDS','telephones','eu-west-3','startsWith','src/js/modules/main/apps/whatsapp/views/whatsappQueues/whatsappQueues.html/whatsappQueues.html','orange-bg','OdbcsController','downloadMailInteraction','contrast2','\x27b\x27','home.contacts','msMasonry:relayout','Delete\x20FreshdeskConfiguration','trigger','Delete\x20MailCannedAnswer','api/voice/transfers/reports/:id','offHook','api/integrations/desk/configurations/:id/clone','VOICE.RUNTRANSCRIBESENTIMENT_VOICERECORDING','deleteZohoConfiguration','arrayauthType','toString','MsWidgetEngineCounterController','.json','Europe/Volgograd','List\x20properly\x20saved!','cmList@get','QueueCallsMotionDialerRealtimeController','mon','typeWrapper','api/fax/accounts/:id/clone','CONTACTMANAGER.MERGE_CONTACT','euritalianfemale','saveCustomDashboard','user_has_whatsapp_interactions:save','checkbox','loose','-createdAt','Asia/Tbilisi','\x27penalty\x27','Recordings','\x27W\x27','app.settings.customizations','interval\x20#','api/fax/reports/transfer/:id/clone','src/js/modules/main/apps/video/views/screenRecordings/create/dialog.html/dialog.html','/openchannelAccounts','onclick','enter','subtract','Datetime','interactionId','src/js/modules/main/apps/callysquare/views/odbcs/create/dialog.html/dialog.html','Afrikaans\x20(South\x20Africa)','sms_text','TOOLBAR.WEBRTC_LICENSE_NOT_ENABELD','createdAt,updatedAt,id,name,username,remoteUri,apiKey,serverUrl,description','Tamil','/extractedReports','always','getNetworks','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20deskAccounts?','Delete\x20chanSpy','getHopperBlacks','grey','The\x20metric\x20will\x20be\x20deleted.','es-ES','api/attachments/:id/download','api/sms/reports/queue/:id/clone','msMasonryItem:finishReLayout','es-AR','\x27info\x27','levels','removeCookies','id,name,channel,status,description,createdAt,updatedAt','ngMessages','api/voice/mails/:id','denied','deleteMailQueuesWaitingInteractions','app.contactmanager.companies','\x27route\x27','extend','Peer','outboundAbandonRate','Textarea','The\x20trunk\x20will\x20be\x20deleted.','MailQueue','Delete\x20ServicenowAccount','newMohSound','SmsAccounts','MainController','Pacific/Enderbury','src/js/modules/main/apps/analytics/views/reports/create/dialog.html/dialog.html','WHATSAPP.NEW_WHATSAPPCANNEDANSWER','Century\x20Gothic','DISPOSITION_DELETE_MESSAGE_CHILDREN','CreateOrEditMailSubstatusDialogController','selectedItem','headerWhiteLabel','ngCsv','getVoiceQueuesChannelHangup','stun:','route','Asia/Jayapura','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20','CloudProvider','intDynamics365Account','content-length','deselectUsers','openchannelQueuesTotal','alreadyExistsTab','Australia/Hobart','css','Hopper\x20deleted!','smsInteractions','app.integrations.dynamics365Accounts.edit','vm_pb','VtigerAccounts','iceCandidateTimeout','apps.integrations.zendeskAccounts','src/js/modules/main/apps/sms/views/smsQueues/smsQueues.html/smsQueues.html','AgentHomeDashboardMultiChannelFaxController','msFormWizardForm','requestFailed','daysS','api.intDynamics365Account.save','arraymode','opus','FaxAccount\x20updated!','European\x20Czech\x20Female','userProfileResource','Image\x20is\x20loaded','unregistered','width','newSmsCannedAnswer','openchannelTransferReport','IntervalController','AgentHomeDashboardBullController','cmList@getCustomFields','api.pause.update','api/jscripty/answers/reports/:id','cmHopperBlack','md-hue-3','src/js/modules/main/apps/chat/views/chatQueues/chatQueues.html/chatQueues.html','/chatQueues','is-IS','api.setting.update','FaxQueueId','font,colorbutton,autogrow,autoembed,autocorrect,googlethisterm,pastebase64','api.user.delete','Pause','Trunk\x20properly\x20cloned','autoSelectOperator','SETTINGS.NEW_CLOUDPROVIDER','api/automations/:id/conditions','FreshdeskConfiguration\x20properly\x20saved!','VoiceQueueController\x20as\x20vm','ComposeOpenchannelInteractionDialogController','moveSelectedQueueCampaignHopperFinals','green-A700-bg','gotoDynamics365Accounts','saveFreshdeskConfiguration','Windows\x20App','src/js/modules/main/apps/callysquare/views/projects/edit/saveAs/saveAs.html/saveAs.html','\x27admin\x27','msInfoBar','gsm','selectedMailAccountApps','ZendeskAccount\x20properly\x20created','InboundRoute\x20properly\x20created','green-300-fg\x20icon-checkbox-marked-circle','$watchGroup','delete\x20zendeskAccount','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20hopperFinal?','getIntervalIntervals','Gujarati','hours','createOrEditIntervalInterval','globalUserLists','gotoInboundRoutes','src/js/modules/main/apps/dashboards/views/general/agent/interaction/transfer/dialog.html/dialog.html','api/cm/companies/describe','smsInteractionTags:save','\x20will\x20be\x20deleted.','zendesk','createOrEditPlugin','CSquare\x20Report','arraytrustrpid','Polish','Windows','Europe/Samara','Europe/Copenhagen','getProactiveActions','APP.UNKNOWN','ContactSelectionController','src/js/modules/main/apps/mail/views/mailAccounts/edit/apps/autoreply/dialog.html/dialog.html','Validation\x20error','chatMessage','MsWidgetEnginePieChartController','SETTINGS.JIRA_ERROR_MESSAGE','app/main/apps/dashboards','onSaveUserFaxQueue','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20salesforceAccounts?','api/users/create_many','selectAllCannedAnswers','Europe/Oslo','EditSmsAccountApp','api.variable.delete','getApiKey','gotoChatWebsites','app.settings.networks','target','onRemoteCall\x20->\x20evt,\x20data','request','\x27nonat\x27','\x20succeded!','api/chat/websites/describe','DisposeInteractionDialogController','EditFaxAccountAppagentDialogController','api/mail/reports/transfer/:id','Queue','zu-ZA','hiddenBCC','addNewchanSpy','The\x20status\x20will\x20be\x20changed\x20to:\x20','maxDateRange','originateInProgress','motion.token','/var/opt/motion2/server/files/sounds/converted/','PredictiveQueueCampaignDialogController','SYSTEM:DELETEnetwork','deleteVtigerAccount','subject','attrSerie','api/triggers/:id','CreateOrEditDeskAccountItemDialogController','msRandomClass','deleteUserProfile','$endsWith','Jabra\x20library\x20correctly\x20initialized\x20and\x20device\x20correctly\x20installed','Georgia','getAgentLabel','app.contactmanager.companies.edit','api/campaigns/:id','availableStates','SmsAccountDispositionsController','integrationReport','stopMonitor','uploadPlugin','trunk_id','America/Creston','DASHBOARDS.SUN','freshsalesConfiguration','apps.voice.outboundroutes','VOICE.OUTBOUND','downloadfile2','QueuesMotionDialerRealtimeController','faxAccountInteractions','CreateOrEditServicenowAccountItemDialogController','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20variables?','md-toolbar','licenseKey','resetViewer','smsAccount@get','TOOLS.NOTIFICATIONS.ACTIONS_DELETE_TITLE','$mdComponentRegistry','\x27leastrecent\x27','/trunks','api.sound.save','The\x20','FORGOTPASSWORD.LINNK_SENT_MESSAGE','CreateOrEditVtigerAccountDialogController','getVoiceChannels\x20->\x20session','faxInteraction','gotoSmsAccounts','GET','api/sms/interactions/:id/tags','Hungarian\x20(Hungary)','parseCrudPermissions','MarketplacePluginsController\x20as\x20vm','createdAt,updatedAt,id,name,dialActive,TrunkId,TrunkBackupId,type,IntervalId,dialCheckDuplicateType,dialCutDigit,acw,acwTimeout,autopause,ringinuse,memberdelay,timeoutrestart,monitor_format,context,setinterfacevar,setqueuevar,setqueueentryvar,dialOrderByScheduledAt,dialRecallMeTimeout,dialRecallInQueue,dialGlobalInterval,dialTimezone,dialAMDActive,dialAMDInitialSilence,dialAMDGreeting,dialAMDAfterGreetingSilence,dialAMDTotalAnalysisTime,dialAMDMinWordLength,dialAMDBetweenWordsSilence,dialAMDMaximumNumberOfWords,dialAMDSilenceThreshold,dialAMDMaximumWordLength,dialMethod,dialPowerLevel,dialPredictiveOptimization,dialPredictiveOptimizationPercentage,dialPredictiveInterval,dialLimitQueue,dialOriginateCallerIdName,dialOriginateCallerIdNumber,dialRandomLastDigitCallerIdNumber,dialOriginateTimeout,dialPrefix,dialQueueOptions,dialQueueTimeout,strategy,timeout,retry,wrapuptime,weight,musiconhold,dialQueueProject,dialQueueProject2,dialAgiAfterHangupAgent,dialGlobalMaxRetry,dialCongestionMaxRetry,dialCongestionRetryFrequency,dialBusyMaxRetry,dialBusyRetryFrequency,dialNoAnswerMaxRetry,dialNoAnswerRetryFrequency,dialNoSuchNumberMaxRetry,dialNoSuchNumberRetryFrequency,dialDropMaxRetry,dialDropRetryFrequency,dialAbandonedMaxRetry,dialAbandonedRetryFrequency,dialMachineMaxRetry,dialMachineRetryFrequency,dialAgentRejectMaxRetry,dialAgentRejectRetryFrequency,description,mandatoryDisposition,mandatoryDispositionPauseId,dialPredictiveIntervalMaxThreshold,dialPredictiveIntervalMinThreshold','CONTACTMANAGER.EDIT_CUSTOMFIELD','delete\x20Intervals','openchannelInteraction:update','PREVIEW','deleteSelectedChatWebsiteProactiveActions','DASHBOARDS.LAST_WEEK','contentOnly','api.cannedAnswer.delete','CHAT.REALTIME','Tatyana','\x27pt-PT\x27','shouldInterpolate','chunk','arrayImap.service','checkSectionResources','whatsappMessage:save','getDateFromString','Tag\x20properly\x20created!','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20fields?','intFreshsalesConfiguration','facebook','INTEGRATIONS.NEW_','apps.staff.users','isOpen','user','CompanyId','uploadPlugins','microphoneVolume','Slovak','interaction','app.sms.realtime','src/js/modules/core/layouts/content-with-toolbar.html/content-with-toolbar.html','substring','app.tools','selectAllUserProfiles','Fax','app.quick-panel','openchannelInteractionTags:save','savePlugin','deleteCompany','api/analytics/default_reports/:id/run','voiceMail','TOOLS.NOTIFICATIONS.','selectAllZohoAccounts','passwordResetAt','g729','QueuesChatRealtimeController','getItems','advancedSearch','\x27strict\x27','Georgia,serif','api/mail/messages/:id/accept','Antarctica/Macquarie','apps.integrations.zohoAccounts','deselect_all','CONTACTMANAGER.NEW_CUSTOMFIELD','id,name,level,ParentId','collapseOnBlur','level','Hans','function','api.screenRecording.update','setAgentCapacity','UserId','Penelope','app.callysquare','MxGraphDialogController','exportSelectedChatWebsites','+08:00','VoiceRealtimeController\x20as\x20vm','selectAllPauses','dialogflow','api/openchannel/accounts/:id/send','api/jscripty/projects/:id/clone','getMonthNumber','ActionId','currentSidebarTab','SugarcrmConfiguration\x20properly\x20created','IvrCampaign\x20updated!','\x27star\x27','app.dashboards.general.dialer','arrayusereqphone','Campaigns','Enrique\x20(Spanish,\x20Castilian,\x20Male)','eurdanishfemale','voice_queue_channel:remove','src/js/modules/main/apps/voice/views/inboundroutes/edit/apps/agi/dialog.html/dialog.html','msApi::requestSuccess','api/pm2/:id','chatProactiveAction','AgentHomeAbandonedVoiceController','api/sms/accounts/:id/notify','SalesforceAccount\x20properly\x20deleted!','deleteSelectedMetrics','api.chatProactiveAction.delete','api/chat/reports/queue/describe','getDate','SmsCannedAnswer\x20deleted!','api.team.update','src/js/modules/main/apps/voice/views/voicemails/create/dialog.html/dialog.html','terminate\x20->\x20session','user_has_chat_interactions:remove','The\x20whatsappQueue\x20will\x20be\x20deleted.','app.voice.voiceQueues','api.voiceContext.update','DeskAccounts','Module\x20not\x20included\x20in\x20the\x20license','gray','id,name,dialActive','ChatGroupController','globalStatusTime','src/js/modules/main/apps/dashboards/views/general/agent/interaction/composeWhatsapp/dialog.html/dialog.html','api/openchannel/reports/queue/:id','network@get','msApi::requestStart','The\x20plugin\x20will\x20be\x20deleted.','reportFields','api/fax/applications/:id/clone','AgentHomeOpenchannelController','delete\x20screenRecordings','FreshsalesConfiguration\x20properly\x20created','mwForm.pageEvents.changePage','InternalRoute\x20properly\x20saved!','STAFF.EDIT_AGENT','es-GT','\x27cm_hopper_history\x27','webbar:unhold','api/voice/prefixes/describe','English\x20(New\x20Zealand)','lastName','newOdbc','apps.whatsapp.whatsappQueues','newMailSubstatus','src/js/modules/main/apps/dashboards/views/general/agent/interaction/composeFax/dialog.html/dialog.html','src/js/modules/main/apps/dashboards/views/general/agent/home/abandoned/dispose/dialog.html/dialog.html','icon-video','newTemplate','googleCloudTtslanguageCode','create_node','getIvrCampaigns','deleteSelectedPauses','en-IN','vm.selectedList','firstLevelDisposition','document','UserOpenchannelAccount','api/integrations/freshsales/configurations/:id','-id','','Somali','api/cm/hopper_final/:id','src/js/modules/main/apps/voice/views/inboundroutes/edit/apps/goto/dialog.html/dialog.html','Tamil\x20(Singapore)','getMusicOnHoldMohSounds','prototype','answeredAt','rpcFaxQueues','api/mail/messages/:id/clone','Arabic\x20(Algeria)','deleteMailCannedAnswer','cmList','.zip','api.intFreshdeskConfiguration.delete','apps.staff.userProfiles','/avatar','Teams\x20association\x20has\x20been\x20updated!','Asia/Kolkata','deleteconfirm','monthDayFrom','apps.jscripty.projects','countBy','Basque\x20(Spain)','Asia\x20Pacific\x20(Mumbai)','SYSTEM:GETcmCompany','VtigerAccount\x20properly\x20deleted!','zh-TW','Arabic\x20(Saudi\x20Arabia)','Asia/Amman','/queues','#78a0dc','deleteVoiceQueue','ENTER','toggleSidenav','Unable\x20to\x20create\x20session!','QuickPanelController','api/fax/messages/describe','The\x20variable\x20will\x20be\x20deleted.','ckEditorInstance','The\x20faxQueue\x20will\x20be\x20deleted.','CreateOrEditInboundRouteDialogController','Custom','selectedMusicOnHolds','api.voiceMusicOnHold.delete','MxGraphEditXMLController','Interaction\x20has\x20been\x20tagged!','getAllConditions','Error\x20creating\x20tag!','onInteractionClose','vm_home.sidebar','updateView','hold\x20->\x20session','newCustomization','IS\x20NOT\x20EMPTY','api.voiceQueue.delete','src/js/modules/main/apps/voice/views/internalroutes/edit/apps/agi/dialog.html/dialog.html','getChatWebsiteApps','HMAC','You\x20can\x27t\x20mark\x20as\x20unread','api/mail/accounts/:id/interactions','src/js/modules/main/apps/dashboards/views/general/user/mail/user.general.mail.html/user.general.mail.html','gotoIntervals','app/main/apps/analytics','password','anySecondLevelDisposition','src/js/modules/core/layouts/vertical-navigation.html/vertical-navigation.html','Tamil\x20(Sri\x20Lanka)','_options','\x27number\x27','Userchange_avatarController','message\x20#','queueNotifyMethod','whatsappInteraction:save','src/js/modules/main/apps/voice/views/voiceQueues/edit/view.html/view.html','selectedLanguage','treeCustomEvents','):Goto(','vm.queryHistory.filter','api/voice/queues/reports/index','tree','MetricsController','mouseenter','speaker','JSCRIPTY.PROJECTS','Japanese\x20(Japan)','MailQueues','STARTS_WITH','INTEGRATIONS.EDIT_VTIGERACCOUNT','apps.integrations.freshsalesAccounts','ngMaterialDateRangePicker','isIndeterminate','delete\x20interval','Mathieu','selectAllSugarcrmAccounts','api/mail/queues/:id','$gte','addNewChatCannedAnswer','CustomizationsController','src/js/modules/reset/reset.html/reset.html','deleteSelectedSmsAccountApps','analytics','intZendeskField','America/Denver','ZendeskAccount\x20properly\x20deleted!','CreateOrEditTeamDialogController','selectedWhatsappAccountApps','NO_ANSWER','backgroundPalette','src/js/modules/main/apps/motiondialer/views/queueCampaigns/edit/listadd/listadd.html/listadd.html','Asia/Kabul','#ececee','\x27agentOnly\x27','voiceExtension@get','https://','New_Tab','ddays','match','Czech','SYSTEM:DELETECONFIGURATIONFIELD','Restored\x20Contacts','api.dashboard.delete','src/js/modules/main/apps/voice/views/realtime/queues/view.html/view.html','src/js/modules/main/apps/openchannel/views/openchannelAccounts/edit/apps/gotoif/dialog.html/dialog.html','APP.SELECTED_AGENTS','ExecIf','Message\x20properly\x20rejected!','assets/images/business/queueCampaigns.jpg','api/integrations/vtiger/fields/:id','plugin:remove','app.openchannel','addNewDeskConfiguration','api/settings/:id/clone','newChatGroup','New\x20Report\x20Field','SMS.EDIT_SMSACCOUNT','Subject','smsQueue','delete\x20odbc','ZendeskConfiguration\x20properly\x20created','addNewSugarcrmConfiguration','webrtc::logout','mailCapacity','DASHBOARDS.LAST_MONTH','turn','api/openchannel/queues/:id/teams','src/js/modules/main/apps/openchannel/views/realtime/realtime.html/realtime.html','faxQueue@get','aws_lex_region','Salli\x20(English,\x20US,\x20Female)','intVtigerAccount','saveVtigerConfiguration','selectAllIvrCampaigns','Japanese','OpenchannelRealtimeController','onSaveChatQueue','api.template.update','selectedInternalRouteApps','histories','FromId','mouseenter\x20mouseleave','debug','src/js/modules/main/apps/integrations/views/salesforceAccounts/edit/configurations/dialog.html/dialog.html','apps.tools.triggers','TOOLBAR.ITALIAN','ta-IN','usmoney','Europe/Zurich','SYSTEM:SENDMESSAGE','allReportsSelected','deleteSelectedSugarcrmAccounts','Vertical\x20Navigation\x20with\x20Fullwidth\x20Toolbar\x202','onSaveSmsInteraction','removeTeams','from','sw-TZ','appsecret','The\x20freshsalesConfiguration\x20will\x20be\x20deleted.','deleteSmsAccountInteraction','api/rpc/chat/queues','selectedIvrCampaigns','SYSTEM:GETvoiceQueue','Integration_Tab','openchannelStatus','deleteSelectedSmsQueues','role','app.analytics.reports.edit','mwFormViewer','SYSTEM:GETchatWebsite','treeConfig','Interlingua','firstName,lastName,phone,mobile','app.mail.realtime.accounts','api/cm/hopper_history/describe','Dutch\x20(Netherlands)','ROUND','cookieAlertHide','Process\x20updated!','addNewFreshdeskConfiguration','/chat','installing','SYSTEM:GET_TRUNKS','whatsappCurrentCapacity','vtigerAccount','chatStatus','realtime','api/rpc/voice/queues','src/js/modules/main/apps/chat/views/chatWebsites/edit/apps/queue/dialog.html/dialog.html','VIDEO.SCREENRECORDINGS','deselectTags','fax_queue_waiting_interaction:remove','app.voice.realtime.telephones','restartplugin','deleteSelectedVoiceRecordings','arrayshowWebBar','America/Guayaquil','home.whatsapp','Rule','hotdesk','CompaniesController\x20as\x20vm','Process\x20stopped!','voiceQueueReport:save','Networks','exportSelectedMailAccounts','selectReport','deselectUserProfiles','deleteSelectedChatQueues','onEnableAutomaticScreenRecordingSelection','Miguel\x20(Spanish,\x20US,\x20Male)','deleteAgent','lime-A700-fg','deleteDeskConfiguration','whatsappStatus','data4','second','treeDefaultConfig','STAFF.NEW_USERPROFILE','inuse','flip','srcObject','BEEP','getConfig','contexts','$mdThemingProvider','api/sms/messages/:id/clone','America/Pangnirtung','CreateOrEditReportDialogController','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20intervals?','FreshdeskAccount\x20properly\x20deleted!','hasChildrenPermissions','SYSTEM:DELETECONFIGURATION','src/js/modules/main/apps/voice/views/internalroutes/create/dialog.html/dialog.html','CreateOrEditOfflineMessageDialogController','OpenchannelAccount','European\x20Spanish\x20Male','api/integrations/desk/configurations/:id/tags','VOICE.EDIT_VOICEMAIL','ms-widget-front','whatsapp','popup','saveMusicOnHold','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20mohSound?','arraydialMethod','\x20properly\x20saved!','STAFF.EDIT_TELEPHONE','mailTransferReport','src/js/modules/main/apps/voice/views/voicePrefixes/create/dialog.html/dialog.html','location','api/fax/reports/queue/:id','src/js/modules/main/apps/analytics/views/reports/copy/dialog.html/dialog.html','id,name,strategy,type','src','\x27r\x27','api/teams/:id/users','WhatsappAccountInteractionsController','selectedAgents','api/square/reports/:id','Turkmen','substatus','onRemoveChatQueue','Type\x20the\x20file\x20name.','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20trunks?','api/chat/internal/messages/users','deleteFaxQueue','auth','listsCampaign','The\x20customization\x20will\x20be\x20deleted.','setCurrentUser','getSugarcrmAccounts','api.dashboard.update','+03:30','Serbian\x20(Serbia)','+02:00','mailQueue','voice_queue:save','api/migrations/:id/clone','internalroutes','Guarani','user_has_openchannel_interactions:save','TOOLBAR.SWEDISH','tooltipVisible','app.voice.realtime.queue_params','mailCurrentCapacity','src/js/modules/main/apps/tools/views/pauses/create/dialog.html/dialog.html','agentadddialog','onPause','INTEGRATIONS.EDIT_DESKCONFIGURATION','/sounds','exportSelectedWhatsappAccountInteractions','exports','api/cm/hopper_final/checkContactHopper','defaultEntry','smsAccountApps','src/js/modules/main/apps/motiondialer/views/realtime/realtime.html/realtime.html','Lists\x20association\x20has\x20been\x20updated!','deleteMailSubstatus','selectedOpenchannelAccounts','append','onSaveUserSmsQueue','motion2.home.chat:','delete\x20chanSpys','sv-SE','lexregion','selectAllTelephones','src/js/modules/main/apps/jscripty/views/projects/edit/sessions/dialog.html/dialog.html','hasClass','src/js/modules/main/apps/sms/views/smsAccounts/edit/agentadd/agentadd.html/agentadd.html','setFoldedOpen','TOOLS.NOTIFICATIONS.TRIGGERS_DELETED_SUCCESS_TITLE','Please\x20select\x20a\x20variable','disposition@get','cancelInterval','arraycservice','addNewSmtp','user:forcelogout','src/js/modules/main/apps/motiondialer/views/realtime/queue_calls/view.html/view.html','IvrCampaignHopperBlacksController','Read_permission','ChatWebsiteScriptController','AgentsChatRealtimeController','UserProfile\x20updated!','gotoSmsQueues','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20openchannelCannedAnswer?','allowEdit','SYSTEM:GET_OUTBOUNDROUTES','citystate','/integrations','lastIndexOf','motionTheming','api/teams/describe','addNewDeskAccount','ChatWebsitelogoController','api/users/:id/unpause','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20application?','rpcWhatsappQueues','vm.customField.type','api.campaign.save','motion2.home.fax:','The\x20template\x20will\x20be\x20deleted.','openchannelMessage','mailCannedAnswer','getChatInteractions','The\x20internalroute\x20will\x20be\x20deleted.','toTrusted','properties','src/js/modules/main/apps/chat/views/chatWebsites/create/dialog.html/dialog.html','CustomDashboard\x20updated!','day','/intervals','showMessageInfo','chatCannedAnswers','api/sms/messages/describe','local','image','usspanishfemale','wechat','google_cloud_tts_text_type','UserChatQueue','JSCRIPTY.ALL_SURVEY_DATA_WILL_BE_LOST','conditions','intDeskField','msMasonry:relayoutStarted','answer\x20->\x20session','addNewSalesforceConfiguration','Smpt\x20id\x20unknown','America/Adak','src/js/modules/main/apps/mail/views/mailAccounts/edit/apps/tag/dialog.html/dialog.html','composeMailInteraction','status','a..','selectedListCustomFields','OpenchannelQueueController\x20as\x20vm','getOutboundRouteApps','OutboundCallsVoiceRealtimeController','CreateOrEditAllConditionDialogController','MetricsController\x20as\x20vm','EditInternalAppgotoDialogController','Mail\x20Account\x20not\x20deleted!','Smtp\x20properly\x20saved!','pid','/sms','getContexts','Arabic\x20(Tunisia)','ForgotPasswordController','deselectNetworks','app/header','findSessionBySessionId\x20->\x20sessionId,\x20vm_pb.sessions','msNavigationNode','id-ID','isJabraInitialized','QueuesOpenchannelRealtimeController\x20as\x20vm','SYSTEM:GETwhatsappAccount','saveIvrCampaign','ticks','dialActive','icon-audiobook','EditOpenchannelAccountAppnoopDialogController','sumHoldTime','createdAt,updatedAt,id,name,description,color','InboundRoutes','anyThirdLevelDisposition','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20screenRecordings?','userVoiceQueue:save','teal','colors','SYSTEM:EDITMODALSHOW','400','addShortcut','getZohoConfiguration','app.toolbar','delete\x20Variables','||MailAccountId:=$eq[','api/analytics/custom_reports/:id/run','SYSTEM:DELETEintServicenowAccount','INTEGRATIONS.SUGARCRMACCOUNTS','selectedQueueCampaignHoppers','Whatsapp\x20Messages','api.squareOdbc.delete','src/js/modules/main/apps/tools/views/customDashboards/create/dialog.html/dialog.html','deleteSelectedMailAccountApps','motion2.home.abandoned.bull.calls:','conversationUpdate','#7d818c','volume','deselectSmsQueues','icon-heart','deleteSelectedServicenowAccounts','src/js/modules/main/apps/staff/views/telephones/telephones.html/telephones.html','TOOLBAR.PORTUGUESE','Naja','European\x20German\x20Male','customFields','stringify','api/users/:id/queues','EditOpenchannelAccountAppagentDialogController','selectedCompany','api/openchannel/interactions/:id/clone','AgentsController','api.screenRecording.delete','telephoneForm','removeTab','Dynamics365','CreateOrEditTelephoneDialogController','api.intZohoConfiguration.delete','','split','PluginController\x20as\x20vm','voicePrefixagentaddController','questions','SYSTEM:GETintSugarcrmAccount','mailStatusTime','api/analytics/extracted_reports/describe','saveReport','CreateOrEditFreshsalesConfigurationDialogController','Asia/Anadyr','chatInternalMessage:update','selectedOutboundRoutes','vm.voiceQueue.leavewhenempty.length\x20===\x201\x20&&\x20vm.voiceQueue.leavewhenempty[0]\x20===\x20\x27inuse\x27','deleteSubjectConfirm','compact','exportSelectedChatWebsiteOfflineMessages','CreateOrEditSmsCannedAnswerDialogController','us-east-2','INTEGRATIONS.NEW_ZOHOCONFIGURATION','Schedule\x20properly\x20created','scheduled','The\x20item\x20will\x20be\x20deleted.','Macedonian','DELETE','SYSTEM:GET_OPENCHANNELACCOUNTS','yyears','deleteSelectedReports','SquareRecordings','Warning!\x20You\x20won\x27t\x20select\x20a\x20different\x20list\x20for\x20the\x20contact\x20','selectAllFreshdeskAccounts','api.chatQueue.save','mediaDevices','$mdDialog','UserProfile\x20properly\x20deleted!','alaw','SYSTEM:DELETEFIELD','ANALYTICS.NEW_METRIC','talking','getValues','disposeInteraction','smsInteraction@get','SYSTEM:DELETEsquareRecording','selectedLists','src/js/modules/main/apps/callysquare/views/projects/edit/dialog/dialog.html/dialog.html','saveVoiceRecording','ERROR','nodownload','onSaveOpenchannelQueueChannel','Sms','CreateOrEditDynamics365ConfigurationDialogController','hopper','Restored','onHook','migration','dragging','newVariable','TOOLBAR.POLISH','motionBarOptions','$parsers','License\x20update\x20failed!','@includeAll','CDR(type)=inbound','SYSTEM:GETwhatsappAccounts','VoicemailsController','src/js/modules/main/apps/fax/views/faxQueues/edit/teamadd/teamadd.html/teamadd.html','deleteGroup','fr-FR','Select','api/chat/messages/:id/clone','smsCannedAnswer','icon-format-text','Trunks','SETTINGS.SYSTEM','deleteChatWebsiteChatCannedAnswer','avgTalkTime','api/voice/queues/:id/blacklists','emojioneArea','getObject','api.action.save','FaxQueue\x20properly\x20saved!','interactions','accent','Asia/Aqtobe','msNavigation','delete\x20hopperHistories','app/main/apps/jscripty','ALWAYS','api.intZohoAccount.save','selectedInboundRouteApps','charAt','getFirstAvailableContact','Arabic','removeChild','pauseType','deleteOpenchannelAccountInteraction','*pause','userChatQueue:save','STAFF.NOTIFICATIONS.PERMISSIONS_SAVED_SUCCESS_TITLE','ignoreMouseEvents','app.integrations','is-mobile','America/Campo_Grande','templateUrl','/mail','apps.integrations.freshdeskAccounts','Atlantic/Faroe','$id','CAMPAIGN\x20NOT\x20ACTIVE','api/cm/contacts/upload','arrayheader_shape','apps.settings.cloudProviders','saveSound','api/cm/companies/:id/clone','usenglishmale','Whatsapp','comedia','/contactmanager','/contacts?ListId&CompanyId','EditMailAccountAppgotopDialogController','internal','-01:00','src/js/modules/main/apps/whatsapp/views/whatsappAccounts/edit/apps/queue/dialog.html/dialog.html','type','refer','delete\x20dynamics365Configuration','legend','CHAT.CHATQUEUES','MOTIONDIALER.NEW_HOPPER','DefaultReportId','Taiwan\x20Chinese\x20Female','createOrEditMusicOnHold','Delete\x20CannedAnswer','limitCalls','trigger:contactmanager','delete\x20Contacts','scheduledCalls','userMailQueue:save','motion.layoutStyle','-createdAt,questionId','src/js/modules/main/apps/voice/views/musiconholds/edit/view.html/view.html','SYSTEM:analyticFieldReport','apps.home.sms','api.openchannelMessage.accept','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20cannedAnswers?','DASHBOARDS.SELECT_DATE_RANGE','setSinkId','addJscriptyTab','DASHBOARDS.TUE','preventDefault','api/fax/applications/describe','Indian/Mahe','condition','data1','APP.CANCEL','md-','Klingon','Accounts','cell','motion-theme-options','Cross\x20Join','setActiveTheme','ms-scroll','vm.search','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20message?','America/Whitehorse','id,mailbox','TransferMessageDialogController','$destroy','src/js/modules/core/directives/ms-font-family/ms-font-family.html/ms-font-family.html','msTabScroll','blue-300-fg\x20icon-phone-incoming','newSmsQueue','app.settings.smtps','wide','\x20will\x20be\x20overwritten\x20by\x20the\x20fields\x20of\x20the\x20contact\x20#','pid\x20is\x20unknown','addNewVtigerAccount','app.motiondialer.queueCampaigns.edit','America/Bogota','Cristiano\x20(Portuguese,\x20Male)','api.condition.save','api/cm/hopper_history/:id','src/js/modules/main/apps/dashboards/views/general/agent/agent.general.html/agent.general.html','vm_dc.query.filter','{{millis}}','api/integrations/servicenow/accounts/:id/configurations','UserProfile\x20properly\x20created','api.openchannelQueue.update','Phone','true','ANALYTICS.RENAME','HopperHistory\x20deleted!','deselectProjects','title','msEmojiArea','outboundDial','user:agentconnect','#275498','-copy','9888','oct','SETTINGS.NETWORKS','importContacts','America/Metlakatla','The\x20chanSpy\x20will\x20be\x20deleted.','3rd','outboundOriginateFailureCallsDay','switch','getResults','Spanish\x20(United\x20States)','userProfile@get','Portuguese\x20(European)','api/fax/messages/:id/clone','speakerMute','editOpenchannelAccountApp','blue-300-fg\x20icon-phone-paused','queryHopper.filter','MAIL.MAIL','Asia/Ashgabat','ZohoAccount\x20properly\x20saved!','arrayqualify','voiceQueue:save','Cristiano','Successful\x20call','api.faxQueue.update','src/js/modules/main/apps/whatsapp/views/whatsappQueues/edit/view.html/view.html','ANALYTICS.NEW_REPORT','api.cannedAnswer.update','pai','api.intZendeskConfiguration.save','vm.voiceQueue.joinempty.length\x20===\x201\x20&&\x20vm.voiceQueue.joinempty[0]\x20===\x20\x27unknown\x27','api/cm/custom_fields/:id','\x27report_openchannel_transfer\x27','SETTINGS.NEW_CUSTOMIZATION','contact:import:','SYSTEM:GET_LISTS','SYSTEM:GETfaxAccount','ParentId','selectedGlobalCustomFields','version','createdAt,updatedAt,id,name,key,email,ListId,active,cservice,Imap.service,Imap.host,Imap.port,Imap.tls,Imap.authentication,Imap.user,Imap.password,Imap.mailbox,Imap.connTimeout,Imap.authTimeout,Smtp.service,Smtp.host,Smtp.port,Smtp.secure,Smtp.authentication,Smtp.user,Smtp.pass,cauthentication,cuser,cpassword,fontFamily,fontSize,template,markAsUnread,waitForTheAssignedAgent,mandatoryDisposition,mandatoryDispositionPauseId,description,notificationSound,notificationShake,notificationTemplate,queueTransfer,queueTransferTimeout,agentTransfer,agentTransferTimeout','api/integrations/zoho/accounts/:id/configurations','get_path','NetworksController\x20as\x20vm','closeReason','TrunksVoiceRealtimeController','callerID','German\x20(Germany)','api/voice/queues/reports/:id/clone','selectedZohoAccounts','$inject','SYSTEM:ADDTAGS','selectAllMailSubstatuses','PowerDialing','YYYY-MM-DDTHH:mm:ssZ','api/cm/hopper_final/voice/campaign/moveContacts/:id','src/js/modules/core/directives/ms-phonebar/prefix/dialog.html/dialog.html','Greek\x20(Greece)','delete\x20openchannelCannedAnswer','MsPhonebarController','duration','Korean\x20(South\x20Korea)','xxxxxxxx','api/integrations/sugarcrm/fields/describe','getSmsQueuesWaitingInteractions','getTeams','autoAssociation','src/js/modules/main/apps/motiondialer/views/ivrCampaigns/edit/listadd/listadd.html/listadd.html','Widget\x20has\x20been\x20deleted!','/api/settings/1/logo','ZohoAccount\x20properly\x20created','src/js/modules/main/apps/openchannel/views/openchannelAccounts/edit/openchannelCannedAnswers/dialog.html/dialog.html','rurussianfemale','\x27report_whatsapp_queue\x27','arraytype','deleteSelectedIvrCampaignHoppers','trigger:bot','VoicemailController\x20as\x20vm','UpdateLicenseDialogController','angular.filter','MOTIONDIALER.ALL_LISTS','Subject','CONTACTMANAGER.EDIT_GLOBALCUSTOMFIELD','Campaign\x20Without\x20Lists','deleteSelectedDispositions','VtigerAccountsController','salesforceAccount','MailAccount\x20updated!','Dashboards','newSugarcrmConfiguration','addNote','api/integrations/freshdesk/accounts/:id/clone','src/js/modules/main/apps/openchannel/views/openchannelAccounts/edit/apps/noop/dialog.html/dialog.html','VoiceRecording\x20properly\x20saved!','SYSTEM:DESCRIBE','app/main/apps/fax','joinAt','answerRate','chatQueueReport','DuplicateContactDialogController','America/Argentina/La_Rioja','-10:00','Streets','queryHistory.filter','label','remotePlayerId','XCALLY-MOTION-PROGRESS=1','Africa/Monrovia','ChatWebsitesController','','tools','cmCompany@get','onRemoveOpenchannelQueueChannel','app.openchannel.openchannelAccounts.edit','getSmsAccountSmsCannedAnswers','Atlantic/Cape_Verde','Brazilian','icon-apps','sortByWeight','deleteVoiceRecording','deleteSelectedTeams','delete\x20subjects','ready','createOrEditContact','VoiceQueuesController','api/variables/:id/clone','Persian','api/sms/interactions/:id','api/jscripty/questions/reports/:id/clone','savename','api/screen/recordings/:id/download','PUT','blue-grey-fg','EditInboundApphangupDialogController','onDispositionChange','InboundRouteActionsController','forward','deleteChatWebsite','api/integrations/freshdesk/configurations/:id/tags','CreateOrEditZendeskAccountDialogController','Telugu\x20(India)','saveCallback','selectAllSquareRecordings','deleteReportField','deleteDisposition','$and','phoneBarEnableSettings','ZohoConfiguration\x20deleted!','src/js/modules/main/apps/help/views/about/about.html/about.html','getJscriptyProjects','saveOpenchannelCannedAnswer','URL','MailAccount\x20properly\x20created','Finnish','newCannedAnswer','modules','delete\x20faxAccount','selectAllTrunks','themes','freshdesk','api/chat/queues/:id/users','api/users/:id/sms/interactions','saveDeskAccount','deleteFreshdeskConfiguration','MohSound\x20properly\x20deleted!','cloneQueueCampaign','api/cm/hopper/describe','pickerModel','deleteSelectedIntervals','saveTrigger','onTypeSelection','browserVersion','TOOLBAR.NOTIFICATION_TITLE_RECIPIENT','SYSTEM:GETsquareProject','\x27externip\x27','erlangAbandonmentRate','$animate','/custom/:id','saveInterval','/mailAccounts','hasIntegrationsPermissions','ms-navigation-folded','question','api/integrations/freshdesk/accounts/:id/configurations','api.campaign.update','SYSTEM:DELETEvariable','session\x20#','HANGUPCAUSE_21','Delete\x20SmsAccount','stateRegistry','CALLYSQUARE.PROJECTS','Set','mailInteractions','answers','keyCode','api.analyticExtractedReport.save','STAFF.PERMISSIONS_SECTION_TITLE','api.smsQueue.save','WEBSITES','NO\x20AUTH','OutboundRoute\x20properly\x20deleted!','createdAt,updatedAt,id,name,service,data2,data1','uk-UA','getZendeskConfiguration','newProject','permissionId','Joanna\x20(English,\x20US,\x20Female)','OutboundRouteController\x20as\x20vm','GoSub','api.faxAccount.delete','SYSTEM:GETfaxAccounts','app.mail.mailQueues','NotFoundError','delete\x20GlobalCustomFields','Project\x20properly\x20created','Smtp\x20properly\x20deleted!','newServicenowAccount','ChatQueueController','delete\x20hopperBlack','Yahoo','newDeskAccount','sound','INTEGRATIONS.EDIT_ZOHOCONFIGURATION','The\x20theme\x20\x22','api/fax/interactions/:id/tags','/zendeskAccounts','TOOLBAR.TURKISH','motion2.realtime.abandoned.voice.abandonedCalls:','cutdigits','confirmed','uniqueIdentifier','src/js/modules/main/apps/integrations/views/freshdeskAccounts/edit/view.html/view.html','src/js/modules/main/apps/mail/views/mailAccounts/edit/apps/gotop/dialog.html/dialog.html','MailCannedAnswer\x20properly\x20saved!','extractedReports','VOICE.VOICE','vm.queryFinal.filter','Voice','propertiesExtra','api/schedules/describe','saveServicenowConfiguration','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20plugins?','Russian\x20(Russia)','api/voice/prefixes/:id/users','chatInteraction:save','id,name,type,dialMethod,dialActive','Greek','collapsing','deleteGeneral','onBefore','deleteSelectedFaxAccountApps','deleteTemplate','api/cm/lists/:id/users','The\x20mailSubstatus\x20will\x20be\x20deleted.','newMailQueue','initializeRealtimeQueue','green-A700-fg','id,fullname','The\x20customField\x20will\x20be\x20deleted.','3.0.0-alpha.1','templateSettings','VOICE.EDIT_INTERNALROUTE','Ines','Agreement','slice','disposedAt','eurpolishfemale','autoClose','Variable\x20properly\x20created','agentForm','catch','English\x20(United\x20Kingdom)','Customizations','app.staff.userProfiles.edit','delete\x20template','waiting','Asia/Dhaka','analyticCustomReport','onSaveCampaign','EditoutboundAppoutbounddialDialogController','Email\x20Report\x20Transfer','\x20and\x20','whatsapp.whatsappAccounts','Malayalam\x20(India)','updating','delete\x20network','SYSTEM:GETreport','align','apps.mail','delete\x20queueCampaign','import','getHopperHistories','STAFF.NEW_TEAM','OutboundRoutesController','Dynamics365Account\x20properly\x20created','month','openchannelAccountOpenchannelCannedAnswers','SYSTEM:queueCampaign.REMOVELIST','EditSmsAccountAppqueueDialogController','Delete\x20ChatCannedAnswer','OpenchannelAccountOpenchannelCannedAnswersController','api.voiceMusicOnHold.save','\x27rounded\x27','id,name,fullname','SmsInteractionId','src/js/modules/main/apps/whatsapp/views/realtime/agents/view.html/view.html','MailCannedAnswer\x20deleted!','Ines\x20(Portuguese,\x20Female)','onClickTab','SYSTEM:GET_INTERVAL','$ariaProvider','getVoicemails','embedOptions','Spanish\x20(Latin\x20America)','TriggersController\x20as\x20vm','src/js/modules/main/apps/marketplace/views/plugins/create/dialog.html/dialog.html','clone','The\x20salesforceConfiguration\x20will\x20be\x20deleted.','\x2717\x27','SYSTEM:GET_VOICEQUEUES','getFaxAccounts','newUser','-03:30','viber','api/rpc/chat/queues/:id/notify','vm.queueCampaign.dialMethod\x20!==\x20\x27booked\x27','messageStatuses','networks','ServicenowAccount\x20properly\x20tested','-bg','Password','UserChatWebsite','+10:30','exportSelectedOpenchannelAccountInteractions','B:\x20Instead\x20of\x20whispering\x20on\x20a\x20single\x20channel\x20barge\x20in\x20on\x20both\x20channels\x20involved\x20in\x20the\x20call.','toastyConfigProvider','Openchannel','src/js/modules/login/login.html/login.html','WhatsappAccount\x20properly\x20created','getQueueCampaigns','Pacific/Fakaofo','FaxAccountagentaddController','editSmsAccountApp','$digest','Khmer\x20(Cambodia)','\x27select\x27','French','SYSTEM:GETmailAccount','Spanish\x20(Argentina)','nov','getVoiceCalls','getOpenchannelAccounts','SugarcrmAccounts','TemplatesController','-updatedAt','minute','\x272\x27','Fields\x20has\x20been\x20saved!','interval_id','getReports','vm.password','\x27report_sms_transfer\x27','Pacific/Chuuk','ServicenowAccount','ChatProactiveAction\x20properly\x20saved!','rpcTrunks','getChatQueues','getFields','selectedContacts','downloadInteraction','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20cloudProviders?','Fax\x20Interaction','api/chat/applications/:id/clone','currentStepInvalid','SYSTEM:QUEUES_ASSOCIATION','Geraint\x20(English,\x20Welsh,\x20Male)','Attached\x20pm2\x20process\x20successfully\x20stopped','redirectToAgent','icon-account-circle','You\x20are\x20not\x20the\x20owner\x20of\x20the\x20last\x20message!','getChanSpies','attributes','selectedDeskAccounts','api/cm/lists/:id/fields','/reports','deleteSelectedAiTools','replyMessage','user_has_mail_interactions:remove','hue','\x20-\x20','selectedTriggerAllConditions','\x27dropRate\x27','/generals','Cannot\x20upload\x20the\x20sound','Are\x20you\x20sure\x20want\x20to\x20restore\x20the\x20selected\x20contacts?','voiceQueuesSelected','motion2.home.mail:','ExtractedReport\x20properly\x20created','api/voice/contexts/:id','api/rpc/mail/queues','model','Bengali\x20(India)','app.errors.error-500','Content\x20Only','lists','mergeContact','UploadPluginDialogController','\x27w\x27','SYSTEM:DELETEcloudProvider','SMS\x20Interaction','PDF','OpenchannelAccountsController','TeamagentaddController','getSugarcrmConfiguration','European\x20Catalan\x20Female','Always','TOOLS.EDIT_VARIABLE','\x27sms_queues\x27','onChangeReportType','snakecase','Phonebar','isSupported','selectedNetworks','isStepDisabled','@msUtils.firstLastDayMonth()','api.intServicenowConfiguration.delete','addNewAction','call','ar-AE','api/openchannel/accounts/:id','src/js/modules/main/apps/integrations/views/dynamics365Accounts/dynamics365Accounts.html/dynamics365Accounts.html','QueuesFaxRealtimeController\x20as\x20vm','chatGroup','MsShortcuts.query','SYSTEM:DELETEmailAccount','exportSelectedChanSpies','','createOrEditReportField','goalname','ToolbarController','app.forgot','ServicenowAccountConfigurationsController','WebReportDialogController','CustomDashboardItemsController','api/chat/messages/:id/accept','cmCompany','src/js/modules/main/apps/voice/views/outboundroutes/edit/apps/custom/dialog.html/dialog.html','api/canned_answers/:id/clone','src/js/modules/main/apps/contactmanager/views/contacts/create/dialog.html/dialog.html','deselectGlobalCustomFields','delete\x20schedule','propertiesDefault','URI','whatsappInteractionTags:save','src/js/modules/errors/404/error-404.html/error-404.html','Token','selectAllContexts','createdAt,updatedAt,id,format,value,type,uniqueid,calleridnum,exten,connectedlinenum,queue,membername,rating,audio,userDisposition,userSecondDisposition,userThirdDisposition,transcribeStatus,fileText,failureReason,sentiment,sPositive,sNegative,sNeutral,sMixed','uisref','\x27fr-CA\x27','ZohoAccount\x20properly\x20deleted!','red-300-fg\x20icon-phone-hangup','addAgents','ico','some','updateAccountApplications','Delete\x20trigger','EditInboundApp','api/rpc/telephones','app/login','userProfileSection','api/analytics/default_reports/:id','DeskAccount\x20properly\x20created','isLoggedIn','INTEGRATIONS.EDIT_FRESHDESKACCOUNT','api.network.delete','\x27th\x27','api/mail/reports/queue/:id/clone','\x27rfc2833\x27','api/users/:id/openchannel/interactions','\x27es-ES\x27','deleteSelectedSalesforceAccounts','IndexController','motionGenerator','deselectVtigerAccounts','FreshsalesAccount\x20properly\x20tested','ngFlow','bodyEl','createdAt,updatedAt,id,name,html,description','app.mail.mailSubtatuses','MxGraphImportXMLController','src/js/modules/main/apps/mail/views/mailAccounts/edit/apps/system/dialog.html/dialog.html','ChatWebsiteChatCannedAnswersController','api/campaigns/:id/hopper_finals','European\x20Norwegian\x20Female','onRemoveUserFaxQueue','api/integrations/servicenow/configurations/:id/subjects','DispositionsController\x20as\x20vm','saveVariable','src/js/modules/main/apps/staff/views/telephones/edit/changepassword/changepassword.html/changepassword.html','contactSearch','EditOpenchannelAccountAppgotopDialogController','\x20has\x20been\x20created!','VoiceMusicOnHoldId','zendeskConfigurations','fromUrl','onUpdateOpenchannelInteraction','$between','parser','gotorealtimegoto','TrunksVoiceRealtimeController\x20as\x20vm','cdr','jabraSession','The\x20zohoConfiguration\x20will\x20be\x20deleted.','clientEmail','arrayjoinempty','Logout','=countdown','Atlantic/South_Georgia','timer','nextStep','isBoolean','api/canned_answers/:id','interactionid','src/js/modules/main/apps/tools/views/schedules/schedules.html/schedules.html','targetScope','addNewPause','src/js/modules/core/directives/ms-widget-engine/ms-widget-engine.html/ms-widget-engine.html','SYSTEM:DELETEwhatsappAccount','Smtp\x20properly\x20verified','TOOLS.NOTIFICATIONS.TRIGGER_DELETE_TITLE','tab','selectAllMusicOnHolds','uploadSounds','app/core/directives/ms-phonebar/sounds/dialpad/','Call_Status','/api/chat/websites/:id/system_avatar','Progressive','newInternalRoute','SmsAccount\x20properly\x20saved!','DeskAccount\x20properly\x20tested','Spanish\x20(Spain)','msApi','FreshsalesAccount\x20updated!','api.voiceRecording.delete','default','selectColor','api/integrations/freshdesk/configurations/:id/subjects','UserVoiceDashboardDialerController','ListCustomFieldsController','motionbar','onWithoutApply','deleteSelectedWhatsappAccountApps','api/whatsapp/interactions/describe','delete\x20internalroute','app.voice.realtime.abandonedcalls','Naja\x20(Danish,\x20Female)','api.intFreshsalesConfiguration.delete','src/js/modules/main/apps/staff/views/users/users.html/users.html','Ukrainian','lastIn','onSaveUserOpenchannelQueue','ZendeskAccountController','/jscripty','Trunk','whatsappAccountApp','zh-HK','Slovenian\x20(Slovenia)','app.openchannel.realtime','Outgoing','Email\x20Queues','checkboxes','GET_QUEUE','The\x20screenRecording\x20will\x20be\x20deleted.','intFreshdeskField','currentNode','\x27clickatell\x27','createOrEditGlobalCustomField','HANGUPCAUSE_18','singular','channelStatusOut','Plugin','state','days','composeSmsInteraction','Asia\x20Pacific\x20(Sydney)','CHAT.NEW_CHATQUEUE','FreshsalesConfiguration\x20properly\x20saved!','toInteger','None','vm.voiceQueue.joinempty.length\x20===\x201\x20&&\x20vm.voiceQueue.joinempty[0]\x20===\x20\x27ringing\x27','AbandonedCallsMotionDialerRealtimeController','api/integrations/vtiger/accounts/:id/fields','getAnswers','api/chat/groups/:id/unread','Error','apps.tools.variables','selectedSugarcrmAccounts','delete\x20mailSubstatus','STAFF.NOTIFICATIONS.PERMISSIONS_ENABLED_ALL_SUCCESS_MESSAGE_NO_RESOURCES','INTEGRATIONS.NEW_DYNAMICS365ACCOUNT','selectedIntervals','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20hopper?','setTags','api.analyticMetric.update','src/js/modules/main/apps/whatsapp/views/whatsappAccounts/edit/apps/gotop/dialog.html/dialog.html','Error\x20retrieving\x20user\x20profile\x20permissions','Laothian','Are\x20you\x20sure\x20want\x20to\x20reset\x20the\x20list\x20','api/voice/recordings/:id/clone','/api/jira','closeDialog','getLockedItems','pageTitle','selectedVoicePrefixes','newSugarcrmAccount','ivrCampaigns','\x27report_dial\x27','gdpr','black','deletedAt','\x27wav\x27','The\x20smtp\x20will\x20be\x20deleted.','isAdmin','Tag\x20name','src/js/modules/main/apps/voice/views/outboundroutes/edit/view.html/view.html','thirdDisposition','Chat\x20Interaction','\x27newTab\x27','selectedTriggerActions','animateHeightClass','\x20ASC)','Asia/Ho_Chi_Minh','api.mailQueue.save','src/js/modules/core/theme-options/theme-options.html/theme-options.html','uploadCsv','api/system','INTEGRATIONS.NEW_SUGARCRMCONFIGURATION','api/sms/applications/:id/clone','FORGOTPASSWORD.PASSWORD_RESET_ERROR','vm.voiceQueue.joinempty.length\x20===\x201\x20&&\x20vm.voiceQueue.joinempty[0]\x20===\x20\x27wrapup\x27','changeDisplayCannedAnswerInputValue','Are\x20you\x20sure\x20want\x20to\x20restart\x20the\x20plugin\x20attached\x20process?','DASHBOARDS.JULY','quick-panel','QUICKPANEL.WARNING','api/dashboards/:id','MailQueue\x20properly\x20saved!','#1a3865','\x20properly\x20hangup!','onSaveUserMailQueue','ExtractedReportsController','Plugin\x20uninstalled!','blue-grey-A200-fg','$transitions','delete\x20cloudProvider','onStart','getDaysOfMonth','/*\x20Content\x20hack\x20because\x20they\x20wont\x20fix\x20*/\x0a/*\x20https://github.com/angular/material/pull/8067\x20*/\x0a[md-theme=\x22@themeName\x22]\x20md-content.md-hue-1,\x0amd-content.md-@themeName-theme.md-hue-1\x20{\x0a\x20\x20\x20\x20color:\x20@backgroundHue1Contrast1;\x0a\x20\x20\x20\x20background-color:\x20@backgroundHue1Color;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20md-content.md-hue-2,\x0amd-content.md-@themeName-theme.md-hue-2\x20{\x0a\x20\x20\x20\x20color:\x20@backgroundHue2Contrast1;\x0a\x20\x20\x20\x20background-color:\x20@backgroundHue2Color;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20md-content.md-hue-3,\x0a\x20md-content.md-@themeName-theme.md-hue-3\x20{\x0a\x20\x20\x20\x20color:\x20@backgroundHue3Contrast1;\x0a\x20\x20\x20\x20background-color:\x20@backgroundHue3Color;\x0a}\x0a\x0a/*\x20Text\x20Colors\x20*/\x0a[md-theme=\x22@themeName\x22]\x20a\x20{\x0a\x20\x20\x20\x20color:\x20@accentDefaultColor;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.secondary-text,\x0a[md-theme=\x22@themeName\x22]\x20.icon\x20{\x0a\x20\x20\x20\x20color:\x20@backgroundDefaultContrast2;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.hint-text,\x0a[md-theme=\x22@themeName\x22]\x20.disabled-text\x20{\x0a\x20\x20\x20\x20color:\x20@backgroundDefaultContrast3;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.fade-text,\x0a[md-theme=\x22@themeName\x22]\x20.divider\x20{\x0a\x20\x20\x20\x20color:\x20@backgroundDefaultContrast4;\x0a}\x0a\x0a/*\x20Primary\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-bg\x20{\x0a\x20\x20\x20\x20background-color:\x20@primaryDefaultColor;\x0a\x20\x20\x20\x20color:\x20@primaryDefaultContrast1;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-bg\x20.secondary-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-bg\x20.icon\x20{\x0a\x20\x20\x20\x20color:\x20@primaryDefaultContrast2;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-bg\x20.hint-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-bg\x20.disabled-text\x20{\x0a\x20\x20\x20\x20color:\x20@primaryDefaultContrast3;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-bg\x20.fade-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-bg\x20.divider\x20{\x0a\x20\x20\x20\x20color:\x20@primaryDefaultContrast4;\x0a}\x0a\x0a/*\x20Primary,\x20Hue-1\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-bg.md-hue-1\x20{\x0a\x20\x20\x20\x20background-color:\x20@primaryHue1Color;\x0a\x20\x20\x20\x20color:\x20@primaryHue1Contrast1;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-bg.md-hue-1\x20.secondary-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-bg.md-hue-1\x20.icon\x20{\x0a\x20\x20\x20\x20color:\x20@primaryHue1Contrast2;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-bg.md-hue-1\x20.hint-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-bg.md-hue-1\x20.disabled-text\x20{\x0a\x20\x20\x20\x20color:\x20@primaryHue1Contrast3;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-bg.md-hue-1\x20.fade-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-bg.md-hue-1\x20.divider\x20{\x0a\x20\x20\x20\x20color:\x20@primaryHue1Contrast4;\x0a}\x0a\x0a/*\x20Primary,\x20Hue-2\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-bg.md-hue-2\x20{\x0a\x20\x20\x20\x20background-color:\x20@primaryHue2Color;\x0a\x20\x20\x20\x20color:\x20@primaryHue2Contrast1;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-bg.md-hue-2\x20.secondary-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-bg.md-hue-2\x20.icon\x20{\x0a\x20\x20\x20\x20color:\x20@primaryHue2Contrast2;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-bg.md-hue-2\x20.hint-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-bg.md-hue-2\x20.disabled-text\x20{\x0a\x20\x20\x20\x20color:\x20@primaryHue2Contrast3;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-bg.md-hue-2\x20.fade-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-bg.md-hue-2\x20.divider\x20{\x0a\x20\x20\x20\x20color:\x20@primaryHue2Contrast4;\x0a}\x0a\x0a/*\x20Primary,\x20Hue-3\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-bg.md-hue-3\x20{\x0a\x20\x20\x20\x20background-color:\x20@primaryHue3Color;\x0a\x20\x20\x20\x20color:\x20@primaryHue3Contrast1;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-bg.md-hue-3\x20.secondary-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-bg.md-hue-3\x20.icon\x20{\x0a\x20\x20\x20\x20color:\x20@primaryHue3Contrast1;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-bg.md-hue-3\x20.hint-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-bg.md-hue-3\x20.disabled-text\x20{\x0a\x20\x20\x20\x20color:\x20@primaryHue3Contrast3;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-bg.md-hue-3\x20.fade-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-bg.md-hue-3\x20.divider\x20{\x0a\x20\x20\x20\x20color:\x20@primaryHue3Contrast4;\x0a}\x0a\x0a/*\x20Primary\x20foreground\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-fg\x20{\x0a\x20\x20\x20\x20color:\x20@primaryDefaultColor\x20!important;\x0a}\x0a\x0a/*\x20Primary\x20foreground,\x20Hue-1\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-fg.md-hue-1\x20{\x0a\x20\x20\x20\x20color:\x20@primaryHue1Color\x20!important;\x0a}\x0a\x0a/*\x20Primary\x20foreground,\x20Hue-2\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-fg.md-hue-2\x20{\x0a\x20\x20\x20\x20color:\x20@primaryHue2Color\x20!important;\x0a}\x0a\x0a/*\x20Primary\x20foreground,\x20Hue-3\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-primary-fg.md-hue-3\x20{\x0a\x20\x20\x20\x20color:\x20@primaryHue3Color\x20!important;\x0a}\x0a\x0a/*\x20Accent\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-bg\x20{\x0a\x20\x20\x20\x20background-color:\x20@accentDefaultColor;\x0a\x20\x20\x20\x20color:\x20@accentDefaultContrast1;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-bg\x20.secondary-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-bg\x20.icon\x20{\x0a\x20\x20\x20\x20color:\x20@accentDefaultContrast2;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-bg\x20.hint-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-bg\x20.disabled-text\x20{\x0a\x20\x20\x20\x20color:\x20@accentDefaultContrast3;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-bg\x20.fade-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-bg\x20.divider\x20{\x0a\x20\x20\x20\x20color:\x20@accentDefaultContrast4;\x0a}\x0a\x0a/*\x20Accent,\x20Hue-1\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-bg.md-hue-1\x20{\x0a\x20\x20\x20\x20background-color:\x20@accentHue1Color;\x0a\x20\x20\x20\x20color:\x20@accentHue1Contrast1;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-bg.md-hue-1\x20.secondary-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-bg.md-hue-1\x20.icon\x20{\x0a\x20\x20\x20\x20color:\x20@accentHue1Contrast2;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-bg.md-hue-1\x20.hint-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-bg.md-hue-1\x20.disabled-text\x20{\x0a\x20\x20\x20\x20color:\x20@accentHue1Contrast3;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-bg.md-hue-1\x20.fade-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-bg.md-hue-1\x20.divider\x20{\x0a\x20\x20\x20\x20color:\x20@accentHue1Contrast4;\x0a}\x0a\x0a/*\x20Accent,\x20Hue-2\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-bg.md-hue-2\x20{\x0a\x20\x20\x20\x20background-color:\x20@accentHue2Color;\x0a\x20\x20\x20\x20color:\x20@accentHue2Contrast1;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-bg.md-hue-2\x20.secondary-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-bg.md-hue-2\x20.icon\x20{\x0a\x20\x20\x20\x20color:\x20@accentHue2Contrast2;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-bg.md-hue-2\x20.hint-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-bg.md-hue-2\x20.disabled-text\x20{\x0a\x20\x20\x20\x20color:\x20@accentHue2Contrast3;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-bg.md-hue-2\x20.fade-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-bg.md-hue-2\x20.divider\x20{\x0a\x20\x20\x20\x20color:\x20@accentHue2Contrast4;\x0a}\x0a\x0a/*\x20Accent,\x20Hue-3\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-bg.md-hue-3\x20{\x0a\x20\x20\x20\x20background-color:\x20@accentHue3Color;\x0a\x20\x20\x20\x20color:\x20@accentHue3Contrast1;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-bg.md-hue-3\x20.secondary-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-bg.md-hue-3\x20.icon\x20{\x0a\x20\x20\x20\x20color:\x20@accentHue3Contrast1;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-bg.md-hue-3\x20.hint-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-bg.md-hue-3\x20.disabled-text\x20{\x0a\x20\x20\x20\x20color:\x20@accentHue3Contrast3;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-bg.md-hue-3\x20.fade-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-bg.md-hue-3\x20.divider\x20{\x0a\x20\x20\x20\x20color:\x20@accentHue3Contrast4;\x0a}\x0a\x0a/*\x20Accent\x20foreground\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-fg\x20{\x0a\x20\x20\x20\x20color:\x20@accentDefaultColor\x20!important;\x0a}\x0a\x0a/*\x20Accent\x20foreground,\x20Hue-1\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-fg.md-hue-1\x20{\x0a\x20\x20\x20\x20color:\x20@accentHue1Color\x20!important;\x0a}\x0a\x0a/*\x20Accent\x20foreground,\x20Hue-2\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-fg.md-hue-2\x20{\x0a\x20\x20\x20\x20color:\x20@accentHue2Color\x20!important;\x0a}\x0a\x0a/*\x20Accent\x20foreground,\x20Hue-3\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-accent-fg.md-hue-3\x20{\x0a\x20\x20\x20\x20color:\x20@accentHue3Color\x20!important;\x0a}\x0a\x0a/*\x20Warn\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-bg\x20{\x0a\x20\x20\x20\x20background-color:\x20@warnDefaultColor;\x0a\x20\x20\x20\x20color:\x20@warnDefaultContrast1;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-bg\x20.secondary-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-bg\x20.icon\x20{\x0a\x20\x20\x20\x20color:\x20@warnDefaultContrast2;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-bg\x20.hint-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-bg\x20.disabled-text\x20{\x0a\x20\x20\x20\x20color:\x20@warnDefaultContrast3;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-bg\x20.fade-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-bg\x20.divider\x20{\x0a\x20\x20\x20\x20color:\x20@warnDefaultContrast4;\x0a}\x0a\x0a/*\x20Warn,\x20Hue-1\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-bg.md-hue-1\x20{\x0a\x20\x20\x20\x20background-color:\x20@warnHue1Color;\x0a\x20\x20\x20\x20color:\x20@warnHue1Contrast1;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-bg.md-hue-1\x20.secondary-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-bg.md-hue-1\x20.icon\x20{\x0a\x20\x20\x20\x20color:\x20@warnHue1Contrast2;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-bg.md-hue-1\x20.hint-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-bg.md-hue-1\x20.disabled-text\x20{\x0a\x20\x20\x20\x20color:\x20@warnHue1Contrast3;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-bg.md-hue-1\x20.fade-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-bg.md-hue-1\x20.divider\x20{\x0a\x20\x20\x20\x20color:\x20@warnHue1Contrast4;\x0a}\x0a\x0a/*\x20Warn,\x20Hue-2\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-bg.md-hue-2\x20{\x0a\x20\x20\x20\x20background-color:\x20@warnHue2Color;\x0a\x20\x20\x20\x20color:\x20@warnHue2Contrast1;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-bg.md-hue-2\x20.secondary-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-bg.md-hue-2\x20.icon\x20{\x0a\x20\x20\x20\x20color:\x20@warnHue2Contrast2;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-bg.md-hue-2\x20.hint-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-bg.md-hue-2\x20.disabled-text\x20{\x0a\x20\x20\x20\x20color:\x20@warnHue2Contrast3;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-bg.md-hue-2\x20.fade-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-bg.md-hue-2\x20.divider\x20{\x0a\x20\x20\x20\x20color:\x20@warnHue2Contrast4;\x0a}\x0a\x0a/*\x20Warn,\x20Hue-3\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-bg.md-hue-3\x20{\x0a\x20\x20\x20\x20background-color:\x20@warnHue3Color;\x0a\x20\x20\x20\x20color:\x20@warnHue3Contrast1;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-bg.md-hue-3\x20.secondary-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-bg.md-hue-3\x20.icon\x20{\x0a\x20\x20\x20\x20color:\x20@warnHue3Contrast1;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-bg.md-hue-3\x20.hint-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-bg.md-hue-3\x20.disabled-text\x20{\x0a\x20\x20\x20\x20color:\x20@warnHue3Contrast3;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-bg.md-hue-3\x20.fade-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-bg.md-hue-3\x20.divider\x20{\x0a\x20\x20\x20\x20color:\x20@warnHue3Contrast4;\x0a}\x0a\x0a/*\x20Warn\x20foreground\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-fg\x20{\x0a\x20\x20\x20\x20color:\x20@warnDefaultColor\x20!important;\x0a}\x0a\x0a/*\x20Warn\x20foreground,\x20Hue-1\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-fg.md-hue-1\x20{\x0a\x20\x20\x20\x20color:\x20@warnHue1Color\x20!important;\x0a}\x0a\x0a/*\x20Warn\x20foreground,\x20Hue-2\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-fg.md-hue-2\x20{\x0a\x20\x20\x20\x20color:\x20@warnHue2Color\x20!important;\x0a}\x0a\x0a/*\x20Warn\x20foreground,\x20Hue-3\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-warn-fg.md-hue-3\x20{\x0a\x20\x20\x20\x20color:\x20@warnHue3Color\x20!important;\x0a}\x0a\x0a/*\x20Background\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-background-bg\x20{\x0a\x20\x20\x20\x20background-color:\x20@backgroundDefaultColor;\x0a\x20\x20\x20\x20color:\x20@backgroundDefaultContrast1;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-background-bg\x20.secondary-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-background-bg\x20.icon\x20{\x0a\x20\x20\x20\x20color:\x20@backgroundDefaultContrast2;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-background-bg\x20.hint-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-background-bg\x20.disabled-text\x20{\x0a\x20\x20\x20\x20color:\x20@backgroundDefaultContrast3;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-background-bg\x20.fade-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-background-bg\x20.divider\x20{\x0a\x20\x20\x20\x20color:\x20@backgroundDefaultContrast4;\x0a}\x0a\x0a/*\x20Background,\x20Hue-1\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-background-bg.md-hue-1\x20{\x0a\x20\x20\x20\x20background-color:\x20@backgroundHue1Color;\x0a\x20\x20\x20\x20color:\x20@backgroundHue1Contrast1;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-background-bg.md-hue-1\x20.secondary-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-background-bg.md-hue-1\x20.icon\x20{\x0a\x20\x20\x20\x20color:\x20@backgroundHue1Contrast2;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-background-bg.md-hue-1\x20.hint-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-background-bg.md-hue-1\x20.disabled-text\x20{\x0a\x20\x20\x20\x20color:\x20@backgroundHue1Contrast3;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-background-bg.md-hue-1\x20.fade-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-background-bg.md-hue-1\x20.divider\x20{\x0a\x20\x20\x20\x20color:\x20@backgroundHue1Contrast4;\x0a}\x0a\x0a/*\x20Background,\x20Hue-2\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-background-bg.md-hue-2\x20{\x0a\x20\x20\x20\x20background-color:\x20@backgroundHue2Color;\x0a\x20\x20\x20\x20color:\x20@backgroundHue2Contrast1;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-background-bg.md-hue-2\x20.secondary-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-background-bg.md-hue-2\x20.icon\x20{\x0a\x20\x20\x20\x20color:\x20@backgroundHue2Contrast2;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-background-bg.md-hue-2\x20.hint-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-background-bg.md-hue-2\x20.disabled-text\x20{\x0a\x20\x20\x20\x20color:\x20@backgroundHue2Contrast3;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-background-bg.md-hue-2\x20.fade-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-background-bg.md-hue-2\x20.divider\x20{\x0a\x20\x20\x20\x20color:\x20@backgroundHue2Contrast4;\x0a}\x0a\x0a/*\x20Background,\x20Hue-3\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-background-bg.md-hue-3\x20{\x0a\x20\x20\x20\x20background-color:\x20@backgroundHue3Color;\x0a\x20\x20\x20\x20color:\x20@backgroundHue3Contrast1;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-background-bg.md-hue-3\x20.secondary-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-background-bg.md-hue-3\x20.icon\x20{\x0a\x20\x20\x20\x20color:\x20@backgroundHue3Contrast1;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-background-bg.md-hue-3\x20.hint-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-background-bg.md-hue-3\x20.disabled-text\x20{\x0a\x20\x20\x20\x20color:\x20@backgroundHue3Contrast3;\x0a}\x0a\x0a[md-theme=\x22@themeName\x22]\x20.md-background-bg.md-hue-3\x20.fade-text,\x0a[md-theme=\x22@themeName\x22]\x20.md-background-bg.md-hue-3\x20.divider\x20{\x0a\x20\x20\x20\x20color:\x20@backgroundHue3Contrast4;\x0a}\x0a\x0a/*\x20Background\x20foreground\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-background-fg\x20{\x0a\x20\x20\x20\x20color:\x20@backgroundDefaultColor\x20!important;\x0a}\x0a\x0a/*\x20Background\x20foreground,\x20Hue-1\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-background-fg.md-hue-1\x20{\x0a\x20\x20\x20\x20color:\x20@backgroundHue1Color\x20!important;\x0a}\x0a\x0a/*\x20Background\x20foreground,\x20Hue-2\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-background-fg.md-hue-2\x20{\x0a\x20\x20\x20\x20color:\x20@backgroundHue2Color\x20!important;\x0a}\x0a\x0a/*\x20Background\x20foreground,\x20Hue-3\x20*/\x0a[md-theme=\x22@themeName\x22]\x20.md-background-fg.md-hue-3\x20{\x0a\x20\x20\x20\x20color:\x20@backgroundHue3Color\x20!important;\x0a}','/companies','trigger:jscripty','recordingFormat','ANALYTICS.NO_METRIC_FOUND','api/rpc/openchannel/queues/waitinginteractions','\x274\x27','Europe/Minsk','api/integrations/vtiger/fields/describe','src/js/modules/main/apps/tools/views/intervals/intervals.html/intervals.html','Unable\x20to\x20init\x20media\x20devices','Message:save','voiceMusicOnHoldDownload','remotePlayer','icon-cog','Company\x20properly\x20deleted!','ZohoAccountsController\x20as\x20vm','SSO_LOGIN','getSmsAccounts','insertHtml','api/integrations/dynamics365/configurations/:id/clone','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20chatCannedAnswers?','app.motiondialer.realtime.agents','hasResourcePermission','/screenRecordings','DASHBOARDS.OPENED','newContext','fileAdded','api.intDynamics365Configuration.update','AgentController','faxInteraction@get','AllCondition\x20properly\x20saved!','motion2.home.voice:','send','Websites','VariablesController\x20as\x20vm','voiceQueue','cancelUpdateCondition','What\x20would\x20you\x20name\x20your\x20company?','Thai','subsections','deleteMohSound','unknown-os','exportSelectedGlobalCustomFields','rpcVoiceQueues','updatedAt','rewriteRouting','createdAt,updatedAt,id,interactionid,channel,format,value,rating,UserId,duration,startedAt,closedAt',',xX,,,300,,,,,','=ngModel','AVG\x20(','dayOfWeekFrom','getVoiceQueuesReport','wss','
\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20','Email\x20Interaction','onActionSelection','Nepali\x20(Nepal)','navigation','offset','createdAt,updatedAt,role,userProfileId,id,fullname,name,email,phone,mobile,userpic,password,description,autointernal,internal,transport,nat,allow,context,callgroup,pickupgroup,passwordResetAt,apiKey,videosupport,disabled,blocked','\x27yahoo\x27','api.intFreshsalesAccount.update','\x27auto\x27','ChatWebsite\x20updated!','hopperFinal\x20#','subString','src/js/modules/quick-panel/quick-panel.html/quick-panel.html','exportSelectedVariables','customFieldForm','CreateOrEditContextDialogController','highlight','columnWidth','then','selectedSugarcrmConfiguration','en-US','Radio\x20Buttons','isValid','inboundroutes','contacts','src/js/modules/main/apps/voice/views/realtime/agents/view.html/view.html','TOOLS.NOTIFICATIONS.CONDITION_DELETE_TITLE','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20servicenowAccounts?','selectAllWhatsappAccounts','hasSectionPermissions','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20hopperBlack?','Celine\x20(French,\x20Female)','createOrEditMusicOnHoldMohSound','INTEGRATIONS.NEW_FRESHSALESACCOUNT','selectedFaxAccountApps','Spanish\x20(Guatemala)','api/integrations/sugarcrm/configurations/:id/fields','chatOfflineMessage','out','\x27localnet\x27','SmsAccountId','onplay','newZohoAccount','','MusicOnHoldController','MONITOR_OPTIONS=ab','deleteTelephone','wasUserInPause','api/sms/accounts/:id/interactions','Chinese\x20(Taiwan)','src/js/modules/main/apps/mail/views/mailQueues/create/dialog.html/dialog.html','api.trigger.update','vtigerAccounts','host','registerMainForm','unreadTotalGroupMessages','\x27de\x27','internalrouteApp','hopperHistory\x20#','api/voice/extensions/:id','SYSTEM:GET_USERPROFILES','createdAt,updatedAt,id,name,host,zone,clientId,clientSecret,code,refreshToken,serverUrl,description','openchannelApplication','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20mailAccounts?','The\x20deskConfiguration\x20will\x20be\x20deleted.','/pauses','There\x20has\x20been\x20an\x20error\x20while\x20trying\x20to\x20save\x20your\x20survey\x20session!','ngSanitize','selectedWhatsappAccountInteractions','Icelandic\x20(Iceland)','voicemailMessages','selectAllVoiceRecordings','associatedReports','showOfflineMessageChatWebsiteOfflineMessage','app/main/apps/callysquare','app.login','api.mailQueue.update','Tonga','websocket','SquareRecording','cmCustomField','GlobalCustomFieldsController','b:\x20Only\x20spy\x20on\x20channels\x20involved\x20in\x20a\x20bridged\x20call.','sk-SK','InteractionId','displayCannedAnswerInput','saveChatWebsite','api.mailAccount.delete','Australia/Melbourne','src/js/modules/main/apps/tools/views/intervals/edit/view.html/view.html','api/mail/queues/describe','QueuesSmsRealtimeController\x20as\x20vm','SETTINGS.NEW_GENERAL','UserSmsQueue','username','api/cm/hopper/opencontacts','SYSTEM:DELETEtrigger','EditOpenchannelAccountAppdialogflowDialogController','api/whatsapp/accounts/:id/canned_answers','msScrollConfig','#F44336','src/js/modules/main/apps/fax/views/faxQueues/create/dialog.html/dialog.html','Swedish\x20(Sweden)','VoiceRecording\x20properly\x20deleted!','src/js/modules/core/directives/ms-stepper/templates/horizontal/horizontal.html/horizontal.html','saveAgents','openchannelAccount@get','createdAt,updatedAt,id,name,description,username,accessKey,remoteUri,serverUrl',',height=','SYSTEM:GET_SMSACCOUNTS','YES','chatQueue:save','fas\x20fa-robot','Dynamics365Account\x20properly\x20tested','Gwineth\x20(Welsh,\x20Female)','get_json','src/js/modules/main/apps/chat/views/chatWebsites/edit/apps/amazonlex/dialog.html/dialog.html','EditInternalAppagiDialogController','addClass','app.callysquare.projects','squareProject@get','flipped','SmsAccountsController','service-worker.js','DASHBOARDS.CALL_DISPOSED','European\x20Portuguese\x20Female','api.intDynamics365Configuration.save','rfc2833','TOOLS.','CreateOrEditHopperVoiceQueueIdDialogController','delete\x20hopperBlacks','focus','Vietnamese\x20(Vietnam)','.eml','Label','putOtherCallsOnHold','selectedOpenchannelAccountOpenchannelCannedAnswers','api.jscriptyProject.delete','contactInfo','chatQueue:remove','api/sounds/:id/download','mycontacts','deleteWhatsappAccountWhatsappCannedAnswer','SquareProjects','api.schedule.update','Marathi','searchInProgress','red-A700-bg','Lucky\x20day','autocomplete','^msNavigationNode','CreateOrEditUserDialogController','Konqueror','DeskAccount\x20properly\x20deleted!','CHAT.EDIT_CHATQUEUE','maxTimeUnit','Africa/Tunis','api/cm/lists/describe','xAxes','duplicate','UK\x20English\x20Female','sumDuration','SYSTEM:GETPAUSES','CreateOrEditWhatsappAccountDialogController','deselectTrunks','DASHBOARDS.ABANDONED','EditInboundAppplaybackDialogController','globalStatusFilter','MohSound\x20deleted!','autoAnswer','api/analytics/tree_reports/:id/clone','-03:00','\x27pt-br\x27','browser','/agents','Failed\x20to\x20retrieve\x20pm2\x20processes','.ogg','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20freshsalesConfiguration?','Voicemail\x20properly\x20saved!','Agentchange_avatarController','deleteChatWebsiteApp','saveItem','ZendeskAccountController\x20as\x20vm','selectedChatWebsiteChatCannedAnswers','Asia/Bishkek','src/js/modules/main/apps/integrations/views/vtigerAccounts/create/dialog.html/dialog.html','newContact','getFreshdeskConfiguration','currentconferenceSessions','Fax\x20Messages','onSaveSmsQueue','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20templates?','getItem','America/Santo_Domingo','goto','msNavigation::stateMatched','off','getVoiceQueuesChannels','src/js/modules/main/apps/dashboards/views/general/user/user.general.html/user.general.html','EDIT_PERMISSION','id,firstName,lastName,phone,email','selectedIvrCampaignHopperHistories','th-TH','onRemoveFaxQueue','TOOLS.TEMPLATES','offlineMessage','sectionColor','\x27weeks\x27','src/js/modules/main/apps/settings/views/networks/create/dialog.html/dialog.html','block','SYSTEM:DELETEsmsQueue','api/integrations/zoho/configurations/describe','api.smsAccount.update','createdAt,updatedAt,id,appdata,type,exten,context,description','getWhatsappInteractions','CHAT.NEW_CHATCANNEDANSWER','selectedOpenchannelAccountInteractions','Pacific/Norfolk','delete\x20list','\x27sms_accounts\x27','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20ivrCampaigns?','queryHistory','showOnPageHidden','deselectOutboundRoutes','exportSelectedCustomDashboards','projectId','wrapup','newTeam','AgentBusyFactor','ZohoAccount\x20updated!','SYSTEM:GETintVtigerAccount','delete\x20chatCannedAnswer','downloadChatInteraction','ResetPasswordController','Oriya','delete\x20sugarcrmAccount','api/plugins/webhook','moveSelectedIvrCampaignHopperFinals','very','UserMailAccount','CONTACTS\x20NOT\x20AVAILABLE.\x20REWINDING\x20THE\x20LIST','api/integrations/salesforce/accounts/:id','api/version/fetch','amber-A200','newIndex','apps.help','api.team.save','api/notifications/:id/clone','Delete\x20Dynamics365Account','newReport','port','ruri','src/js/modules/main/apps/dashboards/views/custom/custom.html/custom.html','TAB','alternate','WhatsappQueueController','*,*,*,*','api.intDeskConfiguration.update','Fax\x20Report\x20Queue','home.mycontacts','delete\x20WhatsappAccounts','SYSTEM:GETcontexts','openContact','api.intFreshdeskAccount.update','delete\x20SugarcrmAccounts','queryHopper','Lithuanian\x20(Lithuania)','<%3B','DATE\x20(','variable.name','Fewest\x20Calls','in-right-aligned','id,name,internal,fullname','addSquareProjects','getElementById','opt1','firstName,lastName,email','The\x20salesforceAccount\x20will\x20be\x20deleted.','background','Indian/Christmas','api.analyticreport.delete','2020-11-27T14:50:53.000Z','$mdMenu','faxQueue:save','app.dashboards.general.openchannel','getJscriptySessions','deleteSmsAccount','app.tools.schedules','MsShortcutsController','api.network.save','light','ceil','queryJscriptySession','createOrEditSmsQueue','CreateOrEditFreshdeskAccountDialogController','icon-message-text','Africa/Nairobi','deleteSelectedFreshdeskAccounts','SYSTEM:MERGE_CONTACT','src/js/modules/main/apps/dashboards/views/general/user/fax/user.general.fax.html/user.general.fax.html','api/cm/lists/:id/dispositions','createdAt,updatedAt,id,name,username,password,email,remoteUri,serverUrl,description','phoneBarDnd','addNewDynamics365Configuration','api/canned_answers/describe','WhatsappAccountId','ShowQuestionsSessionDialogController','api.mailSubstatus.update','TOOLBAR.NOTIFICATION_TITLE','jabra','getResources','PrivateKey','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20queueCampaigns?','api/chat/groups/:id/members','mailAccounts','America/Danmarkshavn','getResponseSheetHeaders','api/fax/applications/:id','Asia/Tokyo','EditChatWebsiteApptagDialogController','src/js/modules/main/apps/whatsapp/views/whatsappAccounts/edit/apps/agent/dialog.html/dialog.html','api/voice/calls/reports/:id','MIN','uploading','Not\x20Found','api/whatsapp/queues/:id/teams','UserVoiceDashboardGeneralController\x20as\x20vm','nb-NO','ms-widget','Asia/Magadan','trim','\x27loose\x27','Asia/Singapore','selectedSubjects','api/chat/offline_messages/describe','Turkish','apps.staff.telephones','arraydelete','Realtime','QueueCallsVoiceRealtimeController','#ms-shortcut-add-menu','smsInteraction:update','ms-nav-toggle','queue.name','download','apps.motiondialer.realtime','saveSmtp','ap-northeast-2','ZohoConfiguration\x20properly\x20created','api/sms/reports/transfer/describe','The\x20faxAccount\x20will\x20be\x20deleted.','api.openchannelAccount.update','setting','Giorgio\x20(Italian,\x20Male)','color','palette','/reset/:token','api/integrations/servicenow/accounts/:id','addPart','recording','api/rpc/fax/queues/:id/notify','prev','\x27d\x27','maxdigit','Spanish\x20(Dominican\x20Republic)','getTriggerActions','minutesS','\x27mail_interactions\x27','isEqual','isNaN','$translatePartialLoader','selectedColor','Raveena','webbar:hangup','src/js/modules/main/apps/tools/views/triggers/edit/allconditions/create/dialog.html/dialog.html','windowWidth','/telephones','ssml','api/integrations/zendesk/accounts/describe','RESETPASSWORD.PASSWORD_RESET_MESSAGE','Analytics','QueueCampaigns','intZohoAccount','api/campaigns/:id/lists','apps.home.recordings','transferHook\x20->\x20payload','Condition','round','removeJoin','saveSmsAccountApp','MsTimerController','analyticCustomReport@get','Motion\x20supports\x20multiple\x20translations\x20through\x20angular-translate\x20module,\x20but\x20currently\x20we\x20do\x20not\x20support\x20the\x20selected\x20language.\x20If\x20you\x20want\x20to\x20help\x20us,\x20send\x20us\x20a\x20message.','deleteFaxAccountApp','delete\x20plugin','api/sms/accounts/:id/applications','/outbound_calls','gotoReports','getOrderBy','voiceChanSpy','app.mail.mailQueues.edit','faxStatus','getODBC','user_has_chat_interactions:save','ReportConditionsController','Pacific/Wake','ngAria','storagePath','daysOfMonth','proactive','ServicenowConfiguration\x20properly\x20created','canEdit','exportDate','IndexFactory','You\x20are\x20selecting\x20the\x20list\x20number:\x20','app.voice.voiceQueues.edit','Africa/Algiers','RecordDialogController','outboundrouteApps','lo-LA','openchannelCapacity','$mdSidenav','QueueCampaign','replyAll','getOpenContacts','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20smsCannedAnswers?','vm.voiceQueue.leavewhenempty.length\x20===\x201\x20&&\x20vm.voiceQueue.leavewhenempty[0]\x20===\x20\x27unknown\x27','app/main/apps/openchannel','hideDelay','controls','\x27more\x27','stepTitleTranslate','canGoInConference','Report\x20updated!','MotionDialerQueueId','saveMailQueue','Openchannel\x20Messages','CreateOrEditChatWebsiteDialogController','updateInfo','weeks','callysquare','Contact\x20not\x20available','Amharic\x20(Ethiopia)','firstLevel','\x27openchannel_messages\x27','+01:00','app.motiondialer.realtime.queue_params','removed','isUndefined','apiRoute','cloudProviders','api/integrations/salesforce/configurations/describe','sortableTable','Project\x20properly\x20cloned','/smsAccounts','bottom-right','api/voice/dials/reports/describe','allDispositions','api/rpc/mail/accounts','ContactManager','enableSettings','material.components.expansionPanels','delete\x20Reports','api/sms/accounts/:id/status','api/tags/describe','$resource','Connectel','triggerAllConditions','sendReport','The\x20chatQueue\x20will\x20be\x20deleted.','Intervals','createOrEditServicenowAccount','selectedWhatsappAccountWhatsappCannedAnswers','AgentGeneralHomeController','ngCookies','What\x20would\x20you\x20name\x20your\x20list?','OpenchannelAccountActionsController','OpenchannelAccountDispositionsController','\x20properly\x20created','app.callysquare.squareRecordings','api/voice/extensions/:id/applications','api/analytics/tree_reports/describe','AgentGeneralJscriptyController','concat','exportSelectedChatQueues','/system?tab','vm.voiceQueue.joinempty.length\x20===\x201\x20&&\x20vm.voiceQueue.joinempty[0]\x20===\x20\x27strict\x27','jscripty','jscriptyAnswerReport','none','timer-set-countdown','api.intSugarcrmConfiguration.update','TriggerAnyConditionsController','addNewFreshsalesConfiguration','createOrEditVoiceRecording','select_node','api/rpc/mail/queues/waitinginteractions','selectedFaxQueues','voiceDialReportColumns','apps.chat.chatQueues','CannedAnswersController\x20as\x20vm','Asia/Irkutsk','EditChatWebsiteAppagentDialogController','getSummary','accesskeyid','setAttribute','TOOLS.NOTIFICATIONS.TRIGGER_DELETE_MESSAGE','api.mail.account','delete\x20hopperHistory','api.analyticMetric.delete','CreateOrEditReportFieldDialogController','api/mail/queues/:id/teams','America/Cambridge_Bay','emit','icon-phone','OpenchannelAccount\x20properly\x20created','deselectPlugins','customField','/projects','endtime','Dialer\x20Hopper\x20Logs','contact','getIvrCampaignHopperBlacks','hiddenCC','ChanSpies','ltr','api/chat/websites/:id/clone','green-300-fg\x20icon-phone-in-talk','isJabraEnabled','user_has_openchannel_interactions:remove','VoiceRealtimeController','\x20\x20properly\x20logout','deleteIvrCampaignHopperBlack','UserOpenchannelDashboardGeneralController\x20as\x20vm','pTalking','Tahoma','$ne','path\x20must\x20be\x20a\x20string\x20(eg.\x20`dashboard.project`)','Module\x20updated!','api/square/details/reports/:id','America/Martinique','api.cmCustomField.delete','ChatWebsiteagentAvatarController','api.voiceContext.delete','Thai\x20(Thailand)','End\x20of\x20Conversation','createOrEditDynamics365Account','api/whatsapp/applications/:id','INTEGRATIONS.EDIT_DYNAMICS365CONFIGURATION','','statusText','src/js/modules/main/apps/voice/views/internalroutes/edit/apps/goto/dialog.html/dialog.html','VOICE.NEW_CHANSPY','DASHBOARDS.SELECT_STATUS','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20hopperHistories?','VOICE.SELECT_TYPE','Invalid\x20number\x20of\x20arguments\x20supplied\x20in\x20the\x20color\x20array:\x20','countContactsQueueCampaignHopperFinal','interpolate','voiceRecording','drafts','appdata','admin,user','CompanyController','merge','enable','\x27voice_recordings\x27','openchannelAccounts','apps.voice.voiceQueues','api/integrations/freshdesk/fields/:id/clone','api.intDeskAccount.update','timer-resume','api/networks/describe','src/js/modules/main/apps/sms/views/smsAccounts/edit/smsCannedAnswers/dialog.html/dialog.html','xmd-contactid','SETTINGS.UPDATE','loading','00:00:00','eurfrenchfemale','\x27twilio\x27','api.plugin.update','Apple','UserProfileController\x20as\x20vm','api/integrations/desk/configurations/:id/subjects','q:\x20Don\x27t\x20play\x20a\x20beep\x20when\x20beginning\x20to\x20spy\x20on\x20a\x20channel,\x20or\x20speak\x20the\x20selected\x20channel\x20name.','SettingsController','gotoNextStep','sugarcrmAccount','queueCampaignHopperFinals','app.sms.realtime.agents','contactmanager','\x0a','getExtractedReports','User\x20API\x20key\x20updated!','DASHBOARDS.THU','IS_NOT_EQUAL','$on','DASHBOARDS.VOICE','newFreshdeskAccount','createdAt,updatedAt,id,name,active,host,secret,defaultuser,context,callerid,type,dtmfmode,nat,qualify,allow,insecure,call_limit,registry,description,directmedia,callcounter,fromdomain,fromuser,outboundproxy,usereqphone,trustrpid,sendrpid,encryption,port,transport,t38pt_udptl,videosupport,otherFields','api/version/migrations','api/userProfile/sections/:id','\x27hi\x27','get','#3c4252','freshsalesAccounts','Key','api/voice/queues/:id/clone','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20outboundroutes?','src/js/modules/main/apps/integrations/views/zohoAccounts/edit/configurations/dialog.html/dialog.html','getConditions','src/js/modules/main/apps/integrations/views/dynamics365Accounts/create/dialog.html/dialog.html','smsQueuesTotal','updateLicense','mr-IN','blacklist','selectedHues','onUpdateSmsInteraction','Queues\x20association\x20has\x20been\x20updated!','CannedAnswers','Delete\x20List','metric','reschedule','Delete\x20Hopper','api/openchannel/messages/:id/clone','datetime','app.chat.realtime.queues','\x27zh-CN\x27','@token','chatTransferReport','icon-tablet','apps.home.fax','freshdeskAccount','CHAT.EDIT_CHATCANNEDANSWER','','selectedField','Kinyarwanda','app/main/apps/dashboards/views/general/agent/home/abandoned/dispose/dialog.html','FaxAccount\x20properly\x20created','template_id','new','template@get','createOrEditTag','showFaxInteraction','api.intFreshdeskAccount.save','getMailAccountInteractions','xx-pirate','America/Anchorage','Delete\x20AllCondition','api/fax/accounts/:id/interactions','America/Argentina/Buenos_Aires','createOrEditCloudProvider','addNewMusicOnHold','addNewZendeskConfiguration','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20group?','Delete\x20VoiceRecording','CreateOrEditSmsAccountDialogController','imagesLoaded','substr','QueueCampaignsController\x20as\x20vm','WhatsappCannedAnswer\x20deleted!','getTags','HANGUPCAUSE_17','\x20will\x20be\x20run.','+03:00','IvrCampaignController','api/voice/calls/reports/describe','exportSelectedVoicePrefixes','getAttachment','isApp','createOrEditMailSubstatus','chatQueue','Quechua','exportSelectedMusicOnHoldMohSounds','startPredictive','DASHBOARDS.YESTERDAY','/chatWebsites','tabs','mail-interaction','createOrEditFreshdeskConfiguration','tcp','addNewChatProactiveAction','savedAsDraft','selectedExtractedReports','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20teams?','IS_GREATER_THAN_OR_EQUAL_TO','delete\x20report','api/automations/:id/actions','rpc@getMailAccounts','GROUP_CONCAT\x20(','globalLists','api/rpc/sms/queues/waitinginteractions','deleteSelectedGlobalCustomFields','selectAllLists','SYSTEM:DELETEcannedAnswer','stepTitle','addApplications','dashboardSections','autoreply','delete\x20mailCannedAnswers','src/js/modules/main/apps/sms/views/smsAccounts/edit/apps/tag/dialog.html/dialog.html','TagsController','\x27sv\x27','selectedMailAccountInteractions','api/voice/queues/reports/describe','changePassword','exportSelectedVtigerAccounts','vtiger','Spanish\x20(Panama)','#2196f3','addons','TOOLS.EDIT_ALLCONDITION','DASHBOARDS.OCTOBER','src/js/modules/main/apps/contactmanager/views/lists/edit/agentadd/agentadd.html/agentadd.html','configurations','This\x20Month','ar-OM','src/js/modules/main/apps/staff/views/userProfiles/edit/clone/clone.html/clone.html','api.openchannelQueue.delete','src/js/modules/main/apps/whatsapp/views/whatsappAccounts/edit/apps/tag/dialog.html/dialog.html','deleteSelectedWhatsappAccounts','GET_TRUNK','indexOf','app.sms.smsQueues.edit','api/userProfile/resources/:id','createdAt,updatedAt,id,name,key,token,remote,ListId,phone,type,accountSid,authId,authToken,whatsappMethod,username,password,senderString,deliveryReport,waitForTheAssignedAgent,description,receiveUrl,deliveryReportUrl,notificationSound,notificationShake,notificationTemplate','queueCampaignHoppers','DeskAccountsController\x20as\x20vm','bn-BD','AgentsWhatsappRealtimeController\x20as\x20vm','@pid','ASC','APP.STAFF','UserChatDashboardGeneralController\x20as\x20vm','ChatQueue\x20properly\x20created','\x27report_agent\x27','api/integrations/dynamics365/accounts/describe','selectAllVoiceQueues','src/js/modules/main/apps/integrations/views/zendeskAccounts/create/dialog.html/dialog.html','selectedcrudPermissions','src/js/modules/quick-panel/tabs/groups/info/dialog.html/dialog.html','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20openchannelCannedAnswers?','intServicenowAccount@get','VoiceQueue\x20properly\x20created','getVoiceQueuesChannelRedirect','GET_CONTEXT','Trident/7','delete\x20smsCannedAnswer','Linear','A100','Asia/Bangkok','sat','addNewServicenowConfiguration','STAFF.PERMISSIONS_UNAUTHORIZED_REDIRECT_MESSAGE','admin','eurspanishmale','\x27alaw\x27','src/js/modules/main/apps/callysquare/views/squareRecordings/create/dialog.html/dialog.html','Lists','factory','$viewValue','api/integrations/zendesk/accounts/:id/fields','projectSessions','Dispositions','agent','green-300-fg\x20icon-phone-hangup','delete\x20voicePrefixs','api.intDynamics365Account.update','api/integrations/servicenow/configurations/:id','allowmessenger','addNewMailAccount','multiselect','value','DASHBOARDS.ALL','Sounds','MusicOnHolds','src/js/modules/main/apps/openchannel/views/openchannelAccounts/edit/agentadd/agentadd.html/agentadd.html','OpenchannelAccountInteractionsController','CONTACTMANAGER.COMPANIES','SYSTEM:GET_PROJECTS','api.trigger.save','pluginUpdated','intervalAttr','dateFilterLocalizationFactory','ChatGroupId','delete\x20fields','chatWebsite@get','openedAt','Project','hideStep','outboundAnswerAgiCallsDay','odbc','Chinese\x20Female','calleridname','Browser\x20notifications\x20are\x20not\x20supported\x20by\x20the\x20browser.\x20Normal\x20notifications\x20will\x20be\x20used.','duplicates','getAgentGlobalStatus','Century\x20Gothic,Futura,Didact\x20Gothic,san-serif','deleteSelectedSubjects','\x27infobip\x27','gosub','enqueue','api/users/:id/fax_accounts','servicenowAccount','EditMailAccountAppintervalDialogController','deleteSelectedODBC','deleteSelectedChatWebsiteInteractions','newMailAccount','CreateOrEditDynamics365AccountDialogController','','SIP','container','ChatWebsiteOfflineMessagesController','Sundanese','$viewContentAnimationEnded','CreateOrEditMailQueueDialogController','onDateRangeInit','intZohoConfiguration','/realtime','intServicenowField','editedat','createOrEditSugarcrmAccount','treeCustomConfig','api.setting.save','CreateOrEditChatProactiveActionDialogController','ngEmbed','EditInternalAppdialDialogController','myQueues','moveContactsQueueCampaignHopperFinal','America/Dawson','app.tools.triggers.edit','api/cm/custom_fields/describe','saveWhatsappCannedAnswer','placed','white-bg','transcribeStatus','Hindi\x20(India)','app.tools.pauses','src/js/modules/main/apps/voice/views/inboundroutes/inboundroutes.html/inboundroutes.html','CreateOrEditVtigerAccountItemDialogController','src/js/modules/main/apps/motiondialer/views/queueCampaigns/edit/hoppers/dialog.html/dialog.html','version@get','api/whatsapp/queues/:id/users','msModelType','api/users/describe','America/Swift_Current','Asia/Dili','ScreenRecordings','TelephonechangepasswordController','onUserCalled','selectedMailAccountMailCannedAnswers','name.name','api/whatsapp/accounts/:id/dispositions','isDisabled','basename','gotocontactsgoto','hopperBlack','DASHBOARDS.SCHEDULED_CALLS','app.integrations.zohoAccounts','SmsCannedAnswer\x20properly\x20deleted!','awsPollyVoice','onChangeList','put','deleteDynamics365Account','Announce_Overrides','deselectChatQueues','app.staff.teams','','$lte','createOrEditWhatsappQueue','respectItemOrder','Irish','staff','apps.settings.networks','orange-A700-bg','queueCampaigns','exportSelectedDynamics365Accounts','getTemplates','apps.mail.realtime','app.voice.voicePrefixes','\x27da\x27','\x27tls\x27','api/attachments','MusicOnHold\x20properly\x20deleted!','ReportsController','api/userProfile/resources/describe','arrayactionType','ANALYTICS.CREATE','FaxAccounts','$invalid','apps.dashboards','cmContact@get','YYYY-MM-DD','amazonlex','preview','SugarcrmAccount\x20properly\x20tested','getNotifications','Delete\x20Metric','api/integrations/dynamics365/accounts/:id','detectBrowser','arrayinsecure','user:save','\x27squared\x27','New\x20Item','CreateOrEditContactDialogController','createOrEditIvrCampaign','Hopper\x20properly\x20saved!','app.voice.realtime.queue_calls','getColorByChannel','NotificationController','sms_account_id','Ukrainian\x20(Ukraine)','SYSTEM:GETcampaign','startedAt','gotoMailAccounts','\x27integrationTab\x27','WHATSAPP.EDIT_WHATSAPPACCOUNT','\x27en-AU\x27','America/Curacao','method','newSmsAccount','Antarctica/Casey','awsPollyRegion','src/js/modules/main/apps/voice/views/inboundroutes/edit/view.html/view.html','Interval\x20properly\x20created','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20field?','General\x20properly\x20saved!','Conchita\x20(Spanish,\x20Castilian,\x20Female)','ChatWebsiteProactiveActionsController','ProjectBuilderController','dashboardItems','squareRecordings','app.voice.realtime','APP.USERS','closeTab','Pacific/Gambier','membername','custom','Delete\x20WhatsappQueue','src/js/modules/main/apps/chat/views/realtime/agents/view.html/view.html','DASHBOARDS.CLOSED','ms-form-wizard','api.cmCustomField.save','api/screen/recordings/describe','Contact\x20deleted!','faxInteraction:save','motionBullFields','api.intFreshsalesConfiguration.update','\x27friend\x27','Agi','onMultiselectSelection','registerStep','DASHBOARDS.CONTACTS','selectedTelephones','api.squareProject.delete','integrations/salesforce/configurations/:id/descriptions','faxCurrentCapacity','startProgressive','Mandarin\x20Chinese','Clickatell','src/js/modules/main/apps/motiondialer/views/queueCampaigns/queueCampaigns.html/queueCampaigns.html','ExtractedReport\x20properly\x20saved!','showTooltip','api.squareProject.save','api/jscripty/projects/:id/answers','ngBindHtmlUnsafe','app.motiondialer.realtime.queues','smsCapacity','deleteContact','collapsed','api.faxQueue.delete','statusList','deleteSelectedSmsAccounts','America/Port-au-Prince','Agent\x20Only','api/chat/proactive_actions/:id/clone','timer-start','Internal','apiResolver.resolve\x20requires\x20correct\x20action\x20parameter\x20(ResourceName@methodName)','firstStep','newPlugin','Pacific/Kosrae','Project\x20properly\x20deleted!','

','isDraft','api/users/:id/contacts','xx-hacker','cloneProject','deviceInfo','\x27power\x27','SMSACCOUNT:SEND','md-amber-200-bg','openInteraction','ukenglishfemale','exportSelectedTrunks','0.26','ivrAnswerCallsDay','api/license/:id','Would\x20you\x20like\x20to\x20change\x20the\x20status\x20of\x20the\x20process\x20#','getVariables','chatInternalMessage','api/whatsapp/interactions/:id/download','Chantal\x20(French,\x20Canadian,\x20Female)','multipleChoices','trunk.name','DASHBOARDS.LAST_YEAR','selectedPlugins','voicePrefixes','ca-central-1','selectedMailShowDetails','SYSTEM:GETcmList','onRemoveUserMailQueue','minDateRange','src/js/modules/main/apps/integrations/views/dynamics365Accounts/edit/configurations/dialog.html/dialog.html','fax_queue_waiting_interaction:save','SYSTEM:GEToutboundRoutes','toggleClass','contentWithToolbar','element','ZohoAccountsController','deselectSquareRecordings','api/sms/interactions/:id/download','newsAlertOnClose','src/js/modules/main/apps/motiondialer/views/ivrCampaigns/ivrCampaigns.html/ivrCampaigns.html','warn','FreshsalesAccounts','addNewTeam','selectedODBC','api/users/:id/sms_accounts','-08:00','src/js/modules/main/apps/contactmanager/views/contacts/edit/view.html/view.html','\x27port\x27','attr','$state','QUICKPANEL.EDIT_CHATGROUP','X-callback-url:\x20','/whatsappQueues','Chinese,\x20Cantonese\x20(Traditional,\x20Hong\x20Kong)','INTEGRATIONS.EDIT_FRESHSALESCONFIGURATION','GET_COMPANY','ms-timeline','SYSTEM:DELETEtag','src/js/modules/main/apps/integrations/views/dynamics365Accounts/edit/view.html/view.html','updateCondition','.draggable','collapse-animation','es-PE','createdAt,updatedAt,id,name,active,TrunkId,TrunkBackupId,type,IntervalId,dialCheckDuplicateType,dialCutDigit,SquareProjectId,limitCalls,description,dialOriginateCallerIdName,dialOriginateCallerIdNumber,dialRandomLastDigitCallerIdNumber,dialOriginateTimeout,dialGlobalMaxRetry,dialCongestionMaxRetry,dialCongestionRetryFrequency,dialBusyMaxRetry,dialBusyRetryFrequency,dialNoAnswerMaxRetry,dialNoAnswerRetryFrequency,dialNoSuchNumberMaxRetry,dialNoSuchNumberRetryFrequency,dialDropMaxRetry,dialDropRetryFrequency,dialAbandonedMaxRetry,dialAbandonedRetryFrequency,dialMachineMaxRetry,dialMachineRetryFrequency,dialAgentRejectMaxRetry,dialAgentRejectRetryFrequency,dialOrderByScheduledAt,dialGlobalInterval,dialTimezone,dialPrefix,dialAMDActive,dialAMDInitialSilence,dialAMDGreeting,dialAMDAfterGreetingSilence,dialAMDTotalAnalysisTime,dialAMDMinWordLength,dialAMDBetweenWordsSilence,dialAMDMaximumNumberOfWords,dialAMDSilenceThreshold,dialAMDMaximumWordLength','api/mail/accounts/:id/verify','DeskConfiguration\x20deleted!','app','delete\x20user','SalesforceAccount\x20properly\x20created','VERSION\x20','api/integrations/desk/configurations/:id/descriptions','exportSelectedODBC','orange-fg','secondLevelDisposition','outgoing','api.intServicenowConfiguration.save','src/js/modules/main/apps/openchannel/views/openchannelAccounts/create/dialog.html/dialog.html','src/js/modules/main/apps/tools/views/cannedAnswers/create/dialog.html/dialog.html','webbar:answer','ivrCampaignHoppers','app.integrations.dynamics365Accounts','initializeRealtimeCampaign','xorBy','delete\x20FreshsalesAccounts','api/openchannel/accounts/:id/clone','scope','api/integrations/zoho/configurations/:id/clone','getListCustomFields','api.intFreshdeskConfiguration.save','getVtigerConfiguration','createOrEditchanSpy','api/chat/offline_messages/:id','penalty','src/js/modules/main/apps/mail/views/mailAccounts/edit/apps/noop/dialog.html/dialog.html','getTime','createdAt,updatedAt,id,name,key,token,remote,ListId,phone,type,accountSid,authId,authToken,smsMethod,username,password,senderString,deliveryReport,waitForTheAssignedAgent,description,receiveUrl,deliveryReportUrl,notificationSound,notificationShake,notificationTemplate','src/js/modules/main/apps/integrations/views/deskAccounts/create/dialog.html/dialog.html','arrayagentIdentifier','Connection\x20succeded!','api.analyticFieldReport.save','api/rpc/outbound','Tatar','uploadError','\x20Contacts\x20selected','loggedInDb','7200','TOOLS.NEW_VARIABLE','DASHBOARDS.OPENCHANNEL','selectAllContacts','chatWebsite','api/integrations/vtiger/accounts/describe','deselectDeskAccounts','id,type,uniqueid,tag,starttime,endtime,source,destination,userDisposition,userSecondDisposition,userThirdDisposition,note,duration,billableseconds,answertime','isObjectLike','Congestion','src/js/modules/main/apps/voice/views/internalroutes/edit/apps/externaldial/dialog.html/dialog.html','Contact\x20properly\x20merged!','hi-IN','CreateOrEditVtigerConfigurationDialogController','SugarcrmAccount\x20updated!','\x27s\x27','List\x20','cafrenchfemale','Report\x20properly\x20deleted!','deleteFreshsalesConfiguration','OfflineMessage\x20deleted!','GET_ODBC','\x20evt','api.intDeskConfiguration.save','exten','api/integrations/vtiger/accounts/:id/clone','deleteConfirm','ExtractedReport\x20properly\x20deleted!','Error500Controller','es-VE','COMMA','delete\x20freshdeskAccount','globalCustomFields','EditChatWebsiteAppgotoifDialogController','DASHBOARDS.DASHBOARD','api/fax/accounts/:id/messages','api/fax/queues/:id/clone','apps.integrations.deskAccounts','icon-checkbox-multiple-marked-outline','saveAgent','src/js/modules/main/apps/settings/views/cloudProviders/create/dialog.html/dialog.html','VtigerConfiguration\x20properly\x20created','Delete\x20InternalRoute','api/chat/queues/:id/members','createOrEditAgent','rows','/deskAccounts','unreadGroupMessages','','paginatedContacts','whatsappAccount@get','src/js/modules/main/apps/integrations/views/vtigerAccounts/vtigerAccounts.html/vtigerAccounts.html','ZohoAccountConfigurationsController','hopperBlack\x20#','when','cmn-CN','deleteSelectedIvrCampaignHopperFinals','EditOpenchannelAccountAppcloseDialogController','Kyrgyz','app.mail','@themeName','configuration','\x27report_square\x27','selectedChanSpies','newVoiceQueue','msCard','Hotmail','America/Atikokan','DADHI','api/mail/accounts/:id','trustedHtml','Friend','mdColorPicker','FaxInteractionId','chatWebsiteOfflineMessages','allconditions','TOOLS.NOTIFICATIONS.DISPOSITION_DELETE_TITLE','EditoutboundAppcustomDialogController','closeReportDialog','exportSelectedMailQueues','addUsers','name','getUserDeviceMediaExt','credential','SYSTEM:DELETEvoiceRecording','voiceQueueReport','SETTINGS.NEW_SMTP','deletescreenRecording','Authorization','SYSTEM:GET_SECTIONS_PERMISSIONS','AgentsOpenchannelRealtimeController','E:\x20Exit\x20when\x20the\x20spied-on\x20channel\x20hangs\x20up.','isReschedule','en-CA','America/Chihuahua','NONAME','selectedOutboundRouteApps','\x27token\x27','api.squareProject.update','0\x200\x20*\x20*\x20*','CreateOrEditAgentDialogController','Report\x20Field\x20properly\x20saved!','IvrCampaignHopperHistoriesController','Ewa\x20(Polish,\x20Female)','placeholder','pickerModels','forIn','NEVER','Email\x20field\x20is\x20not\x20valid','cookieAlertOnClose','onRemoveFaxQueueChannel','createdAt,updatedAt,id,name,CustomReportId,DefaultReportId,output,active,startAt,endAt,cron,subtractNumber,subtractUnit,sendMail,MailAccountId,email,cc,bcc,sendIfEmpty,description','CONTACTMANAGER.GLOBALCUSTOMFIELDS','CONTACTMANAGER.CONTACTS','UserProfiles','/networks','onChangeSection','/settings','date','fullPath','AgentHomeAbandonedController','blue-300-fg\x20icon-phone-outgoing','api/rpc/whatsapp/queues/waitinginteractions','api/analytics/extracted_reports/:id','src/js/modules/main/apps/sms/views/smsAccounts/edit/apps/dialogflow/dialog.html/dialog.html','api/integrations/freshsales/configurations/:id/fields','America/Bahia','src/js/modules/main/apps/integrations/views/freshsalesAccounts/edit/view.html/view.html','wed','createOrEditProject','attachment_path','spymailInteraction','isObject','deleteZendeskConfiguration','propertiesList','loginSSO','chatStatusTime','motion.selectedTheme','deleteSelectedIvrCampaignHopperHistories','ChatWebsite\x20properly\x20saved!','saveMetric','removeApiKey','APP.INFO','api.plugin.save','saveOpenchannelQueue','email','Horizontal\x20Navigation','src/js/modules/main/apps/mail/views/mailAccounts/edit/apps/gotoif/dialog.html/dialog.html','saved','SYSTEM:GETlists','selectSession','EditMailAccountAppautoreplyDialogController','src/js/modules/main/apps/tools/views/schedules/create/dialog.html/dialog.html','TOOLBAR.DANISH','Pacific/Guadalcanal','OpenchannelAccountController','list_id','offsetHeight','apps.chat','saveMailSubstatus','/voiceRecordings','Breton','apps.contactmanager.lists','STAFF.TEAMS','lang','Indian/Kerguelen','createdAt,updatedAt,id,name,type,username,remoteUri,password,clientId,clientSecret,securityToken,serverUrl,description','STAFF.NEW_AGENT','Atlantic/Azores','sidenav-open','voice_outbound:save','+05:30','api/jscripty/projects/describe','followuptime','Are\x20you\x20sure\x20want\x20to\x20restore\x20the\x20contact?','scroll','CreateOrEditVariableDialogController','api/cm/companies/:id','SYSTEM:DELETEcmCustomField','selectedOpenchannelQueues','\x0a\x20\x20\x20\x20See\x20More\x20session,\x20data','tag.name','UsersController\x20as\x20vm','app.integrations.freshdeskAccounts.edit','Delete\x20DashboardItem','Customization\x20properly\x20saved!','APP.CLOSE','api/cm/hopper_black/:id','src/js/modules/main/apps/chat/views/chatQueues/create/dialog.html/dialog.html','VtigerConfiguration\x20properly\x20deleted!','/voiceQueues','Asia/Yekaterinburg','selectAllFreshsalesAccounts','saveInternalRoute','selectContact','en-NG','app.errors_error-404','delete\x20openchannelCannedAnswers','elements','tr-TR','$window','useSanitizeValueStrategy','pdf','COUNT','api/cm/contacts/:id/jscripty_sessions','\x20uploaded\x20successfully','Portuguese\x20(Brazil)','DASHBOARDS.DISABLED','CreateOrEditTemplateDialogController','VoiceQueue\x20properly\x20deleted!','CreateOrEditFaxQueueDialogController','America/Panama','utc','Transfer\x20','pause_id','onSaveMailQueue','deleteSchedule','extravar','MOTIONDIALER.MOTIONDIALER','Pacific/Tarawa','America/Regina','$timeout','DeskConfiguration\x20properly\x20created','helperClasses','context','weight','saveSection','src/js/modules/main/apps/fax/views/faxAccounts/edit/apps/agent/dialog.html/dialog.html','feb','APP.RECALLME','api/square/recordings/describe','onVoiceChannelRemove\x20->\x20\x20key,\x20tab,\x20tab.calls','SYSTEM:UNPAUSEUSER','dateStart','src/js/modules/main/apps/callysquare/views/projects/edit/edit/edit.html/edit.html','UservoicePrefix','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20descriptions?','America/Moncton','America/Argentina/San_Luis','FaxAccountsController','Europe/Helsinki','odbc_id','api/cm/contacts/create_many','Company\x20name','S:\x20Stop\x20when\x20no\x20more\x20channels\x20are\x20left\x20to\x20spy\x20on.','CreateOrEditDynamics365AccountItemDialogController','downloadOpenchannelInteraction','reportRoute','INTEGRATIONS.EDIT_VTIGERCONFIGURATION','cannedAnswer','WHATSAPP.EDIT_WHATSAPPCANNEDANSWER','\x27report_jscripty_sessions\x27','delete\x20offlineMessages','LoginController\x20as\x20vm','countglobal','Fax\x20','api/mail/applications/describe','src/js/modules/main/apps/whatsapp/views/whatsappQueues/edit/agentadd/agentadd.html/agentadd.html','composeWhatsappInteraction','sl-SI','Pacific/Apia','#content','APP.SELECTED_TEAMS','Time','removeApi','toggleFold','JscriptyProjectController','createdAt,updatedAt,id,name','America/Thule','\x27mouseOver\x27','reportField','CreateOrEditProjectDialogController','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20chanSpies?','Gecko','GET_LIST','api.tag.update','ui-sref','api/rpc/agents/:id/notify','rpc','dynamics365Configuration','GET_USER','dashboardItem','GET_SMS_ACCOUNT','deleteSelectedQueueCampaignHopperHistories','api.dashboardItem.update','CreateOrEditCustomizationDialogController','api/sms/reports/queue/:id','countDown','api/jscripty/answers/reports/describe','Motion\x20License\x20Request','app.dashboards.general.fax','\x27mail_accounts\x27','delete\x20whatsappAccount','List\x20properly\x20created!','api.cmCustomField.update','auto','selectedTab','onFirstLevelSelection','polling','MOTLICENSE','TIMEOUT','MailSubstatusesController\x20as\x20vm','rpcMailQueuesWaitingInteractions','FaxAccountsController\x20as\x20vm','messageId','group','src/js/modules/main/apps/analytics/views/reports/preview/dialog.html/dialog.html','icon-cloud-download','focusOnclick','SYSTEM:DELETEintSugarcrmAccount','onUserUpdate','\x27connectel\x27','gotoVtigerAccounts','apps.callysquare.squareRecordings','runReport','endDate','Times\x20New\x20Roman,Times,serif','/triggers','baseUrl','faxQueuesTotal','getVoiceQueues','RescheduleCallDialogController','SSML','api/square/messages/describe','app.video.screenRecordings','\x27invite\x27','mailCannedAnswers','chain','\x20DESC','createOrEditOutboundRoute','EditSmsAccountAppintervalDialogController','Error\x20updating\x20locally\x20stored\x20tabs','priorities','icon-checkbox-blank-circle-outline','AgentHomeMailController','chatQueue@get','APP.TELEPHONES','queues','','api/openchannel/accounts/:id/users','SYSTEM:GETopenchannelAccounts','isNil','whatsappQueuesTotal','Never','ZendeskAccount','contactManager','whatsappQueue','href','MailQueue\x20properly\x20created','CDR(tag)=','api/integrations/freshsales/accounts/:id','src/js/modules/main/apps/integrations/views/deskAccounts/deskAccounts.html/deskAccounts.html','rpc@getVoiceQueuesChannels','delete\x20chatProactiveAction','motion2.home.openchannel:','^msHorizontalStepper','api/rpc/voice/queues/channels','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20userProfiles?','addNewReportField','moveConfirm','DASHBOARDS.SELECT_ACCOUNT','exportSelectedQueueCampaignHopperBlacks','app.voice.chanSpies','deselectExtractedReports','saveSugarcrmAccount','Interval','filterSingleByTags','EditSmsAccountAppsystemDialogController','addNewTrigger','Odbc','salesforce','runsentimentSelectedVoiceRecordings','CreateOrEditMohSoundDialogController','arraycrudPermissions','Util','horizontalNavigation','shortcuts','UpdatesController','uselessFields','filterByIds','INTEGRATIONS.NEW_ZENDESKACCOUNT','CONTACTMANAGER.EDIT_LIST','delete\x20whatsappCannedAnswer','CALLERID(all)=','Mads','completed','\x20has\x20been\x20cloned!','Application_Name','angularMaterialFormBuilder','America/Winnipeg','twchinesefemale','gotoAgents','onIntegrationAccountSelection','MusicOnHold\x20properly\x20created','saveExtractedReport','className','dateEnd','CONTACTMANAGER.EDIT_COMPANY','pm2','ap-northeast-1','countnoanswerretry','api/integrations/zoho/configurations/:id/fields','America/Scoresbysund','createOrEditDeskConfiguration','ProjectSessionsController','getNotification\x20->\x20session','TrunkId','enumerateDevices','user_has_','optionsBuilder','DASHBOARDS.ATTENTION','apps.settings.smtps','osVersion','exportSelectedIvrCampaigns','getTracks','screenRecording','createdAt,updatedAt,id,token,agentIdentifier,customerAlias,messageFontSize,name,key,address,remote,ListId,fidelity,timeout,agentAlias,closingQuestion,formSubmitSuccessMessage,formSubmitFailureMessage,color,color_focus,color_button,textColor,backgroundColor,fontSize,header_shape,showAgentAvatar,showCustomerAvatar,alignment,verticalAlignment,messagesAlignment,defaultTitle,animation,defaultWhiteLabel,whiteLabel,defaultLogo,conditionAgreement,autoclose,enableUnmanagedNote,unmanagedMessage,skipUnmanaged,sendUnmanaged,enableCustomerWriting,waitingTitle,waitingMessage,closingMessage,noteTitle,placeholderMessage,skipMessageButton,enableRating,ratingType,ratingStarsNumber,enableFeedback,forwardTranscript,forwardTranscriptMessage,closingMessageButton,download_transcript,enableCustomerAttachment,systemAlias,enquiry_enable,enquiry_forwarding,enquiry_forwarding_address,name_title,username_placeholder,email_title,email_placeholder,header_online,hideWhenOffline,header_offline,start_chat_button,offline_chat_button,offlineMessageSubject,offlineMessageBody,header_offline,offline_message,message_title,enquiry_message_placeholder,enquiry_button,rating_message,rating_send,rating_skip,acceptMethod,acceptUrl,rejectMethod,rejectUrl,closeMethod,closeUrl,onlineForm,offlineForm,mapKey,mapKeyOffline,forwardTranscript,forwardOffline,forwardOfflineAddress,IntervalId,timezone,waitForTheAssignedAgent,description,notificationSound,notificationShake,notificationTemplate','voiceContext','createOrEditCustomDashboard','addCondition','api.intFreshsalesAccount.save','tags','deleteOpenchannelAccountApp','OpenchannelQueuesController\x20as\x20vm','src/js/modules/main/apps/mail/views/mailAccounts/edit/apps/agent/dialog.html/dialog.html','/openchannel','Asia\x20Pacific\x20(Singapore)','getIvrCampaignHopperFinals','Italian\x20(Italy)','Are\x20you\x20sure\x20want\x20to\x20run\x20the\x20schedule?','GlobalCustomFields','api/voice/queues/:id/hopper_histories','jv-ID','addNewVariable','loadedSection','createdAt,updatedAt,id,ContactId,phone,countcongestionretry,countbusyretry,countnoanswerretry,countnosuchnumberretry,countdropretry,countabandonedretry,countmachineretry,countagentrejectretry,scheduledat,priority','tue','getChatWebsiteInteractions','Yes','Record','api/whatsapp/reports/transfer/:id','CustomDashboard\x20properly\x20deleted!','OutboundRouteActionsController','Delete\x20OutboundRoute','QueueCampaignlistaddController','faxAccountApps','newTelephone','get_node','Widget\x20deleted!','setSelectedDate','src/js/modules/main/apps/motiondialer/views/ivrCampaigns/edit/hoppers/dialog.html/dialog.html','userSmsQueue:save','motionThemes','+05:00','Hours','api/mail/messages/:id/download','api.tag.save','AgentsVoiceRealtimeController\x20as\x20vm','EditInternalAppsetDialogController','getServicenowConfiguration','Spanish\x20(Uruguay)','FORGOTPASSWORD.LINK_SENT','America/St_Johns','ERROR\x20OPENING\x20JSCRIPTY\x20PROJECT','4800','delete\x20ServicenowAccounts','redirectToNumber','SalesforceAccountsController','diff','\x27es-419\x27','requestLicense','faxInteraction:update','api/conditions/:id','updateLayoutStyle','isArray','api/whatsapp/messages/:id','newIvrCampaign','api/integrations/desk/accounts/:id/configurations','ZendeskConfiguration\x20deleted!','_interactions:remove','src/js/modules/core/directives/ms-stepper/templates/vertical/step/vertical-step.html/vertical-step.html','width=','addNewvoicePrefix','Delete\x20triggers','iPod','extension','Europe/Bucharest','createOrEditQueueCampaignHopper','deleteCustomField','availableOperators','eurfinnishfemale','msHorizontalStepper','api/triggers/:id/actions','statusClass','audiooutput','SystemController\x20as\x20vm','user_has_sms_interactions:remove','angular-cron-jobs','addSound','rpc@getOpenchannelQueues','showLastMessage','openFromEditor','ml-IN','icon-keyboard','app/main','apps.settings.generals','ProjectID','voiceExtension:update','ar-QA','addNewOutboundRoute','app.jscripty','previewdialog','createdAt,updatedAt,id,name,prefix,options,auth,password,record,recordingFormat,description','all','TOOLBAR.SPANISH','intersection','Delete\x20VoiceQueue','faxInteractionTags:save','delete\x20descriptions','createdAt,updatedAt,id,name,type,selector,timeout','video','src/js/modules/main/apps/openchannel/views/openchannelAccounts/edit/apps/autoreply/dialog.html/dialog.html','en-AU','','icon-hangouts','isMyAccount','Delete\x20conditions','en-KE','exportSelectedIvrCampaignHoppers','view','\x27g729\x27','smsQueues','intFreshsalesAccount@get','autocompleteRequireMatch','delete\x20SalesforceAccounts','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20mailCannedAnswers?','delete\x20messages','IS\x20NULL','vi-VN','invite','Delete\x20CustomField','arrayannounce_holdtime','api.trigger.delete','apps.voice.voicePrefixes','\x27whatsapp_queues\x27','FAX:SEND','src/js/modules/main/apps/mail/views/mailQueues/mailQueues.html/mailQueues.html','LicenseController\x20as\x20vm','MailMessageId','createApiKey','Field\x20has\x20been\x20deleted!','getHeader','#262933','api.whatsappAccount.delete','deleteWhatsappQueuesWaitingInteractions','saveAction','-05:00','DASHBOARDS.SELECT_TAG','getMessages','md5secret','createdAt,updatedAt,id,role,fullname,name,alias,showWebBar,email,phone,mobile,userpic,password,hotdesk,autointernal,internal,loginInPause,description,dtlscertfile,dtlsprivatekey,voicemail,transport,host,nat,type,allow,callerid,context,callgroup,pickupgroup,chanspy,videosupport,extensionMonitor,chatCapacity,mailCapacity,smsCapacity,openchannelCapacity,faxCapacity,whatsappCapacity,phoneBarEnableSettings,phoneBarAutoAnswer,phoneBarAutoAnswerDelay,phoneBarRingInUse,phoneBarEnableRecording,phoneBarEnableDtmfTone,phoneBarDnd,phoneBarUnansweredCallBadge,phoneBarEnableJaws,phoneBarOutboundProxy,phoneBarUnconditional,phoneBarUnconditionalNumber,phoneBarRemoteControl,phoneBarRemoteControlPort,phoneBarExpires,phoneBarListenPort,permissions,allowmessenger,phoneBarPrefixRequired,passwordResetAt,disabled,blocked,phoneBarEnableScreenRecordingByAgent,phoneBarEnableAutomaticScreenRecording','createdAt,updatedAt,id,token,agentIdentifier,customerAlias,messageFontSize,name,key,address,remote,ListId,fidelity,timeout,agentAlias,closingQuestion,formSubmitSuccessMessage,formSubmitFailureMessage,color,color_focus,color_button,textColor,backgroundColor,fontSize,header_shape,showAgentAvatar,showCustomerAvatar,alignment,verticalAlignment,messagesAlignment,defaultTitle,animation,defaultWhiteLabel,whiteLabel,defaultLogo,conditionAgreement,autoclose,enableUnmanagedNote,unmanagedMessage,skipUnmanaged,sendUnmanaged,enableCustomerWriting,waitingTitle,waitingMessage,closingMessage,noteTitle,placeholderMessage,skipMessageButton,enableRating,ratingType,ratingStarsNumber,enableFeedback,feedbackTitle,forwardTranscript,forwardTranscriptMessage,closingMessageButton,download_transcript,enableCustomerAttachment,enableSendButton,enableCustomerCheckmarks,systemAlias,enquiry_enable,enquiry_forwarding,enquiry_forwarding_address,name_title,username_placeholder,email_title,email_placeholder,header_online,hideWhenOffline,header_offline,start_chat_button,offline_chat_button,offlineMessageSubject,offlineMessageBody,offline_message,message_title,enquiry_message_placeholder,enquiry_button,rating_message,rating_send,rating_skip,onlineForm,offlineForm,mapKey,mapKeyOffline,forwardOffline,forwardOfflineAddress,IntervalId,timezone,waitForTheAssignedAgent,mandatoryDisposition,mandatoryDispositionPauseId,description,notificationSound,notificationShake,notificationTemplate,queueTransfer,queueTransferTimeout,agentTransfer,agentTransferTimeout,vidaooEscalation,vidaooApiKey','onSaveWhatsappQueueChannel','SYSTEM:GETmailQueue','src/js/modules/main/apps/settings/views/license/license.html/license.html','Interval\x20updated!','Pacific/Majuro','exportSelectedSquareRecordings','saveMailAccountApp','rpc@getSmsQueues','\x27agent_alias\x27','getIntervals','getFinals','ChanSpiesController','api/voice/mohs/:id','InternalRouteController\x20as\x20vm','SYSTEM:GET_CLOUDPROVIDERS','Interaction:update','There\x20is\x20a\x20call\x20that\x20hasn\x27t\x20been\x20disposed\x20yet.','US\x20Spanish\x20Female','Astrid','Polish\x20(Poland)','api/chat/websites/:id/system_avatar','ms-search-bar-expanded','createdAt,updatedAt,id,appdata,type,exten,context,recordingFormat,cutdigits,description','queue_id','SMS\x20Queues','ar-EG','apps.whatsapp.whatsappAccounts','getOfflineMessages','chatMessage:save','faxQueueReport','markAsUnread','\x27US\x27','api/mail/accounts/:id/users','smsAccounts','getDeskAccounts','SYSTEM:DELETEvoiceExtension','toUpperCase','MsStepperController','localStorage','App\x20deleted!','src/js/modules/main/apps/integrations/views/sugarcrmAccounts/sugarcrmAccounts.html/sugarcrmAccounts.html','apply','icon-email','selectedTemplateName','KEY_CODE','api/integrations/reports/:id','api/voice/queues/describe','createOrEditQueueCampaign','apps.home','\x20has\x20been\x20reset','CreateOrEditExtractedReportDialogController','ZohoAccountController\x20as\x20vm','gotoPlugins','Kurdish','icon-account-multiple','Voicemail\x20updated!','createOrEditMailQueue','SYSTEM:DELETEvoiceContext','getInboundRouteApps','api/voice/prefixes/:id','onSearchBarCollapse','asterisk','\x27France\x20(France)','turn:','addNewMohSound','ChatRealtimeController\x20as\x20vm','app.integrations.zendeskAccounts.edit','EditFaxAccountAppcloseDialogController','api/users/:id/whatsapp_interactions','createObjectURL','MAIL.EDIT_','motion.cookieAlertHide:','globalCompanies','delete\x20description','addNewAgent','EditWhatsappAccountAppnoopDialogController','removeAllListeners','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20schedules?','sms-interaction','System','web','createdAt,updatedAt,id,save_name,converted_format,audio','motion.authenticationtype','src/js/modules/main/apps/tools/views/trunks/edit/clone/clone.html/clone.html','src/js/modules/main/apps/voice/views/inboundroutes/edit/apps/internaldial/dialog.html/dialog.html','+=400','addEventListener','Years','CreateOrEditSmsQueueDialogController','onLogin','app.dashboards.general.mail','goToEdit','SugarcrmAccount\x20properly\x20created','Agent\x20logout','gotoTriggers','queue','selectedTeams','app.settings.system','success','sound.name','apps.dashboards.custom_','-interaction','delete\x20smsCannedAnswers','Comic\x20Sans\x20MS','OmniWeb','Messages','tables','$mdToast','differenceWith','OutboundRoutes','Delete\x20Project','ZohoConfiguration\x20properly\x20saved!','Plugin\x20installed!','msMasonryController','sip_id','CreateOrEditTagDialogController','h264','TOOLS.TRIGGERS','getQuestionWithResponseList','voiceAgentReportColumns','intDynamics365Configuration','apps.home.whatsapp','N/A','apps.tools.sounds','setActiveItem','ComposeFaxInteractionDialogController','EditChatWebsiteAppdialogflowDialogController','America/Argentina/Tucuman','newGeneral','onRemoveOpenchannelQueue','app.chat.realtime.agents','$pristine','onRemoveMailQueue','SETTINGS.AITOOLS','SmsAccountController\x20as\x20vm','report','api.chatWebsite.delete','deleteCloudProvider','You\x20can\x27t\x20remove\x20yourself','\x277200\x27','showFooter','api/users/:id/avatar','_XCALLY_MIXMONITOR_UNIQUEID=${UNIQUEID}','screenrecording','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20lists?','api/fax/queues/:id/users','OPENCHANNEL.OPENCHANNELQUEUES','arraydialOrderByScheduledAt','\x27zh-TW\x27','apps.integrations','userAgent','run','userId','MOTIONDIALER.IVRCAMPAIGNS','contactFields','api.rpc.notify','Jscripty\x20can\x27t\x20open\x20the\x20contact','api.item.delete','change','Template\x20properly\x20deleted!','voiceAgentReport','Africa/Ndjamena','0.7','ngResource','msNavIsFolded','modelCtrl','timer-stopped','ms-target','Latin','AgentHomeMyContactsController','api/square/recordings/:id/clone','htmlContent','deleteChatWebsiteOfflineMessage','JSCRIPTY.SESSION_RESULTS','arrayringinuse','Plugin\x20properly\x20created','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20internalroutes?','bulkCreate','mailbox','src/js/modules/main/apps/dashboards/views/general/user/dialer/user.general.dialer.html/user.general.dialer.html','TelephoneController\x20as\x20vm','app.tools.customDashboards','api.condition.delete','UserapikeymanagerController','campaigns','deselectInboundRoutes','newCloudProvider','TOOLS.CUSTOMDASHBOARDS','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20reports?','SmsAccount\x20properly\x20deleted!','+06:00','TOOLS.NOTIFICATIONS.ACTIONS_DELETED_SUCCESS_TITLE','Campaign','getTrunks','$injector','api.analyticMetric.save','width:\x20265px;','Session\x20properly\x20created.','rpc@getTrunks','Calibri,\x20Verdana,\x20Geneva,\x20sans-serif','scriptName','Delete\x20FreshsalesConfiguration','setUserStatus','Chinese\x20(Traditional)','disable','contrast1','app.chat.chatQueues','YYYY-MM-DD\x20HH:mm:ss','selectAllOpenchannelAccounts','ChatWebsiteofflineFormController','replyTemplate','DASHBOARDS.READ','api.cmList.get','English','keyup','TOOLS.NOTIFICATIONS.ACTION_DELETED_SUCCESS_TITLE','findBy','currentReport','searchResultClick','src/js/modules/main/apps/chat/views/chatWebsites/edit/offlineMessages/dialog.html/dialog.html','uniqueid,calleridnum,statedesc,starttime,disposition,secondDisposition,thirdDisposition','vm_ac.chatWebsite.remote','api/voice/mails/:id/messages','odbcs','Atlantic/Stanley','INTEGRATIONS.EDIT_SERVICENOWCONFIGURATION','intSugarcrmAccount','faxCapacity','createdAt,updatedAt,uniqueid,ContactId,calleridnum,calleridname,statedesc,scheduledat,starttime,responsetime,endtime','Delete\x20WhatsappCannedAnswer','gotoIvrCampaigns','\x20is\x20not\x20valid','/ms-widget-engine.','api/voice/dials/reports/:id/clone','field,alias,function,format,groupBy,orderBy,custom,MetricId','api.intZendeskAccount.update','app.fax.realtime.trunks','VOICE.ALL','lastLoginAt','Inbound','+13:45','INTEGRATIONS.EDIT_SUGARCRMCONFIGURATION','delete\x20inboundroute','SYSTEM:DELETEschedule','America/Fortaleza','ComposeSmsInteractionDialogController','api/integrations/dynamics365/fields/:id/clone','src/js/modules/main/apps/jscripty/views/projects/projects.html/projects.html','msRecording','Metric\x20properly\x20saved!','DASHBOARDS.FRI','Africa/El_Aaiun','\x27en-US\x27','ChatProactiveAction\x20deleted!','ProjectController','escapeToClose','UserProfilepermissionsmanageController','delete\x20openchannelQueue','hkchinesefemale','Delete\x20OpenchannelCannedAnswer','STAFF.EDIT_TEAM','\x27ilbc\x27','INTEGRATIONS.DESKACCOUNTS','treeDefaultInstance','\x27AU\x27','id,name,fullname,internal','src/js/modules/main/apps/staff/views/agents/edit/changepassword/changepassword.html/changepassword.html','SmsAccountagentaddController','deleteSelectedQueueCampaigns','selectedVoiceRecordings','app/main/apps/contactmanager','xmd-cdrtype','addNewWhatsappQueue','source','addNewFreshsalesAccount','Dynamics365AccountsController\x20as\x20vm','IvrCampaign\x20properly\x20deleted!','smsInteractionDownload','icecandidate','capacity','getChatWebsiteLabel','TOOLS.CLONE_CUSTOMDASHBOARD','sessionId','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20node?','AgentsChatRealtimeController\x20as\x20vm','America/Lima','isFirstStep','Dutch','\x27g722\x27','Delete\x20ChatProactiveAction','ExtractedReports','^msNavigationHorizontalNode','\x27report_chat_transfer\x27','webcall','grey-A700-bg','TOOLBAR.ARABIC','customDashboard','rpcSmsQueuesWaitingInteractions','Asia/Shanghai','userVoiceQueue:remove','handleMouseenter','initDeviceInProgress','hr-HR','FaxQueue\x20properly\x20created','callerId','api/square/recordings/:id/download','\x27right\x27','fax_queue:save','system','delete\x20customField','standardDate','\x27timeout\x27','deselectTriggers','inboundQueues','SmsQueueteamaddController','data:text/json;charset=utf-8,','sip','exportSelectedZendeskAccounts','Interval\x20properly\x20saved!','deleteWidget','isPartialPause','ChatQueues','phone','createdAt,updatedAt,id,name,type,active,description,icon,sidebar,path,adminUrl,agentUrl,scriptName,scriptPath,version','getHours','apps.voice.realtime','voiceQueueReport:update','selectedIvrCampaignHopperBlacks','amber-A200-bg','collapsable','exportSelectedDeskAccounts','campaign:save','api/integrations/salesforce/fields/describe','deselectChanSpies','trunk:save','DASHBOARDS.ACCEPT_ELSEWHERE','ChatWebsiteChatDispositionsController','Are\x20you\x20sure?','OPENCHANNEL.EDIT_OPENCHANNELACCOUNT','api/cdr/:id','Company\x20properly\x20created','api/campaigns/:id/hopper_black','selectedDynamics365Accounts','app/errors/500','src/js/modules/main/apps/mail/views/mailAccounts/edit/view.html/view.html','saveSquareRecording','jscriptySessions','deselectVariables','MailAccountController','inboundroute','FaxRealtimeController\x20as\x20vm','trustAsHtml','amber-fg','TOOLS.EDIT_TRUNK','microphone','api/integrations/salesforce/configurations/:id','/errors/error-404','truepriority','MMM\x20d,\x20y\x20h:mm\x20a','app.contactmanager','DASHBOARDS.MAY','msNav','permission','deleteMailAccount','createOrEditExtractedReport','/motiondialer','Allowing\x20disposition\x20for\x20call\x20%s','openchannelInteraction@get','INTEGRATIONS.NEW_VTIGERACCOUNT','getAbandonedCalls','Asia/Tehran','msApi.resolve\x20requires\x20correct\x20action\x20parameter\x20(resourceName@methodName)','hold','mailQueuesTotal','MailInteractionId','toggleAll','Command','Which\x20number\x20do\x20you\x20want\x20transfer\x20the\x20call\x20to?','FreshdeskAccount\x20properly\x20tested','intSalesforceField','selectedVtigerConfiguration','getContact','saveSmsQueue','ChatWebsiteId','api/integrations/sugarcrm/accounts/:id/fields','resizable','msWidgetBack','draft','mouseenter\x20touchstart','One\x20Time','\x27report_queue\x27','waitImagesLoaded','lastMessageAt','getMetricName','openchannel_queue:save','getSize','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20applications?','delete\x20OutboundRoutes','opts','ChatWebsitesystemAvatarController','src/js/modules/toolbar/changepassword/changepassword.html/changepassword.html','intSugarcrmAccount@get','indigo-A100-fg','settingsEnabled','\x27wrandom\x27','api/campaigns/describe','selectedCannedAnswers','api/openchannel/interactions/:id','The\x20sugarcrmConfiguration\x20will\x20be\x20deleted.','api.intDynamics365Configuration.delete','SYSTEM:GET_MUSICONHOLDS','ReportFieldsController','post','onSave','deleteInterval','Delete\x20actions','delete\x20ivrCampaign','src/js/modules/main/apps/whatsapp/views/realtime/queues/view.html/view.html','webtransfer','putOtherCallsOnHold\x20->\x20session','api/whatsapp/applications/describe','getScheduler','getWhatsappQueues','phoneBarRemoteControlPort','column','user:called','TOOLS.EDIT_SOUND','InboundRoute\x20properly\x20saved!','hangup','SETTINGS.EDIT_CUSTOMIZATION','saveFaxAccount','BLACKLIST','account_id','Message\x20properly\x20accepted!','addNewUserProfile','upload','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20tags?','MOTIONDIALER.CLONE_QUEUECAMPAIGN','List\x20name','arraycallcounter','SugarcrmAccountController','deleteChatQueuesWaitingInteractions','deleteWhatsappCannedAnswer','Pacific/Efate','\x27force_rport\x27','DisposeAbandonedCallDialogController','src/js/modules/main/apps/tools/views/variables/variables.html/variables.html','VoiceRecordingController','WhatsappCannedAnswer\x20properly\x20deleted!','startDate','CALLYSQUARE.EDIT_PROJECT','filter','getLogo','app.voice.musiconholds','License','voiceStatusTime','app.integrations.servicenowAccounts.edit','CKEDITOR','api/members/reports/:id','selectedCustomDashboards','ListDispositionsController','api/whatsapp/reports/transfer/describe','Europe/Simferopol','deleteWhatsappQueue','deleteSelectedAgents','mapValues','\x27report_call\x27','app.mail.mailAccounts','\x27csc\x27','full','stateParams','arraytransport','endRangeValue','src/js/modules/main/apps/tools/views/tags/create/dialog.html/dialog.html','MOTIONDIALER.SELECTED_LISTS','xx-klingon','removeLists','time','ta-SG','apps.plugins.plugin_','selectedFreshdeskAccounts','Freshdesk','forEach','SMS.EDIT_SMSQUEUE','onRemoveVoiceQueue','app.integrations.deskAccounts','\x27ko\x27','FAX.NEW_FAXACCOUNT','$stateChangeSuccess','deleteSelectedOpenchannelQueues','Context\x20properly\x20created','context.name','saveNetwork','sessionConf','The\x20smsQueue\x20will\x20be\x20deleted.','api/voice/chanspy/describe','getQueueCampaignHopperBlacks','More','saveMailAccount','createdAt,updatedAt,id,customer_id,fullname,email,password,mailbox,context,tz,attach,envelope,delete,emailbody,emailsubject,maxsecs,maxmsg','primary','right_join','openchannelAccountApps','Atlantic/Madeira','arraynat','Are\x20you\x20sure\x20want\x20to\x20uninstall\x20the\x20plugin?','Please\x20use\x20only\x20json\x20files','addNewFaxQueue','The\x20odbc\x20will\x20be\x20deleted.','chat_queue:save','api/chat/websites/:id/dispositions','prefix','notes','deleteSelectedScheduler','getClass','TOOLBAR.NORWEGIAN','CDR(destination)=','rpc@getFaxQueues','smsQueue:save','src/js/modules/main/apps/contactmanager/views/lists/edit/view.html/view.html','.csv','setScrollEl','columnName','test','delete\x20IvrCampaigns','spyfaxInteraction','invoke','src/js/modules/main/apps/integrations/views/freshsalesAccounts/create/dialog.html/dialog.html','api/chat/interactions/:id','vm.voiceQueue.leavewhenempty.length\x20===\x201\x20&&\x20vm.voiceQueue.leavewhenempty[0]\x20===\x20\x27unavailable\x27','rpcSmsQueues','deleteZohoAccount','api/integrations/desk/accounts/describe','getInstallInfo','/mailQueues','agi://127.0.0.1/square,','French\x20(France)','isFunction','Australian\x20English','assignTo','addNewTelephone','api/fax/accounts/:id/users','addItem','STAFF.NOTIFICATIONS.PERMISSIONS_DISABLED_ALL_SUCCESS_TITLE','Delete\x20MailQueue','Portuguese\x20(Nrazilian)','daysOfWeek','Swedish\x20Female','America/Santarem','originated','boxed','Spanish\x20(Honduras)','api/square/reports/:id/clone','src/js/modules/main/apps/tools/views/triggers/create/dialog.html/dialog.html','paused','pause','verticalNavigationFullwidthToolbar2','oldPasswordMatch','selectAllUsers','webrtc','Integrations','api/cm/companies/:id/contacts','googleSsoEnabled','','\x27peer\x27','icon-label','dateRangeManager','0.54','AgentsOpenchannelRealtimeController\x20as\x20vm','SugarcrmConfiguration\x20properly\x20saved!','api/integrations/zendesk/fields/:id/clone','motion2.home.whatsapp:','selectedVariables','QUICKPANEL.NEW_INTERNAL_MESSAGE','apps.callysquare.odbcs','\x27SIP/{{multipleUsers.name}}\x27','Delete\x20SalesforceConfiguration','Turn','deselectVoicemails','Yiddish','mwFormUtils','zohoAccounts','exportSelectedOpenchannelAccountOpenchannelCannedAnswers','createdAt,updatedAt,id,name,type,remoteUri,authType,username,password,serverUrl,description','ctrlMethods','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20voiceQueues?','searchTerm','ms-navigation-item','getBlackLists','testtestintegrationaccount','createCompany','DropRate','onSaveUserChatQueue','id,name,enabled,includeAll,autoAssociation,crudPermissions','addNewItem','canDelete','selectedOpenchannelAccountApps','api.whatsappAccount.save','saveOpenchannelAccountApp','src/js/modules/main/apps/dashboards/views/general/user/chat/user.general.chat.html/user.general.chat.html','Asia/Beirut','\x20properly\x20deleted!','The\x20context\x20will\x20be\x20deleted.','RESETPASSWORD.PASSWORD_RESET_ERROR','f50000','Metric\x20properly\x20deleted!','app.voice.voicemails.edit','OmniWeb/','uri','apps.plugins','SYSTEM:DELETEvoiceMusicOnHold','Indonesian\x20(Indonesia)','+04:00','api.intSalesforceConfiguration.delete','stop\x20plugin\x20process','serverDateOffset','simple','src/js/modules/main/apps/integrations/views/servicenowAccounts/edit/item/dialog.html/dialog.html','api.tag.delete','hostname','api/users/:id/mail_interactions','deleteSelectedTags','\x27openchannel_accounts\x27','SYSTEM:GET_PAUSES','app/core/directives/ms-phonebar/sounds/outgoing-call.ogg','VOICE.NEW_VOICEPREFIX','The\x20company\x20will\x20be\x20deleted.','voiceRecording@get','src/js/modules/main/apps/motiondialer/views/queueCampaigns/edit/agentadd/agentadd.html/agentadd.html','api.cloudProvider.update','ZendeskConfiguration\x20properly\x20saved!','confirm','hasShortcut','THEMES','destconnectedlinenum','onTransfer','newList','api/integrations/sugarcrm/accounts/:id/clone','TOOLS.TRUNKS','api.intDynamics365Account.delete','newOpenchannelCannedAnswer','allowDelete','src/js/modules/main/apps/voice/views/inboundroutes/edit/apps/ringgroup/dialog.html/dialog.html','api/mail/accounts/:id/canned_answers','endTimeAttr','CreateOrEditchanSpyDialogController','may','src/js/modules/main/apps/plugins/views/plugin/plugin.html/plugin.html','api/users/:id/chat/interactions','mailQueue@get','Resource\x20part\x20\x22','mailPause','100','arraytable','editInboundRouteApp','describe','api/integrations/zendesk/configurations/:id/tags','U(xcally-mixmonitor-context)','getDynamics365Accounts','VOICE.DIALER','Ruben','saveOpenchannelAccount','controlsList','getAgentStatus','MOTIONDIALER.EDIT_QUEUECAMPAIGN','src/js/modules/main/apps/integrations/views/zohoAccounts/edit/item/dialog.html/dialog.html','ContactController\x20as\x20vm','European\x20Portuguese\x20Male','saveDialog','Delete\x20dispositions','webrtc::transfer','50\x20100\x20200\x20A100','addNewList','AgentHomeChatController','$locationProvider','$mdColorPalette','hasSpeakers','api/integrations/freshdesk/accounts/:id','requestPermission','Twilio','openchannelQueues','timer-tick','Latvian','debounce','deleteQueueCampaignHopperFinal','newZendeskAccount','delete\x20mailQueue','ChatWebsite\x20properly\x20deleted!','api/cm/hopper/:id','api.item.save','deselectWhatsappQueues','finally','SYSTEM:DELETEdashboard','app.sms.realtime.queues','api.analyticReport.save','jun','agi','sender','api/version','ChatQueueId','selectedItems','api/integrations/zoho/configurations/:id/descriptions','VoiceRecording\x20updated!','Video','European\x20Turkish\x20Male','firstName','faxMessage:save','arrayenvelope','deletechanSpy','false','api.team.delete','Asia/Qyzylorda','createdAt,updatedAt,id,name,key,ListId,remote,token,type,accountSid,authToken,phone,receiveUrl,deliveryReportUrl,notificationShake,notificationSound,notificationTemplate,queueTransfer,queueTransferTimeout,waitForTheAssignedAgent,mandatoryDisposition,mandatoryDispositionPauseId,agentTransfer,agentTransferTimeout,description','api/mail/interactions/:id/clone','GlobalCustomField\x20properly\x20saved!','ScreenRecordingsController','stop','$filter','app/main/apps/sms','arrayallow','reLayout','api/jscripty/sessions/reports/:id/clone','selectedSmsAccountSmsCannedAnswers','api/integrations/zendesk/accounts/:id/clone','lime-A700-bg','CampaignId','inboundrouteApps','deleteSelectedVoicemailMessages','STAFF.PERMISSIONS_WARNING_CLOSE','createList','aws_bot_name','getFreshsalesAccounts','newFreshsalesConfiguration','api.fax.account','searchTextChange','currentConferenceSessions','QueueCampaignHopperStatisticsController','ar-BH','IvrCampaignHopperFinalsController','home.mail','TOOLS.NEW_CUSTOMDASHBOARD','apps.home.mail','api/analytics/metrics/:id/clone','Contacts','api/voice/recordings/:id/download','user_has_fax_interactions:save','deleteSelectedMusicOnHoldMohSounds','Voicemails','CreateOrEditIntervalDialogController','src/js/modules/main/apps/fax/views/faxAccounts/faxAccounts.html/faxAccounts.html','analyticMetric','FaxAccount','Notification\x20creating\x20error','FreshsalesAccount\x20properly\x20created','toggle','get_parent','images','bottom_right','api/mail/reports/transfer/describe','ABANDONED','GET_VARIABLE','network','TrunkController','api/whatsapp/queues/describe','queueCampaign','api/voice/dials/reports/:id','Delete\x20Network','src/js/modules/main/apps/chat/views/chatQueues/edit/teamadd/teamadd.html/teamadd.html','selectAllDynamics365Accounts','selectAllVoicePrefixes','MusicOnHoldsController','newGlobalCustomField','saveOutboundRoute','ms-info-bar-active','selectedChatWebsites','s:\x20Skip\x20the\x20playback\x20of\x20the\x20channel\x20type\x20(i.e.\x20SIP,\x20IAX,\x20etc)\x20when\x20speaking\x20the\x20selected\x20channel\x20name.','api/whatsapp/interactions/:id','msg_id','ServicenowAccountController','CONTACTMANAGER.NEW_HOPPER','FreshsalesAccount\x20properly\x20saved!','hoursS','Filipino\x20(Philippines)','app.chat','string','motion2.home.contacts:','deleteSelectedInboundRoutes','api/whatsapp/accounts/:id/users','targetEvent','delete\x20VoiceQueues','api/settings/now','VOICE.EDIT_INBOUNDROUTE','servicenowConfiguration','app.voice.internalroutes.edit','voiceMusicOnHold@get','api/voice/mails/:id/clone','id=','selectedReport','onChange','SEC_TO_TIME\x20(','Unable\x20to\x20retrieve\x20voice\x20channels','saveInternalRouteApp','Chinese\x20(Hong\x20Kong)','schedules','angucomplete-alt:changeInput','VOICE.INTERNALROUTES','Star','msNavigationHorizontal','deleteSmsCannedAnswer','blue-grey','HopperFinal\x20deleted!','MAIL.NEW_MAILSUBSTATUS','VoiceExtensionId','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20interaction?','api.intZendeskAccount.save','CSV\x20import\x20process\x20stopped!','callbackurl:\x22','ChatQueueController\x20as\x20vm','blacklistadddialog','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20interval?','push','api/rpc/chat/queues/waitinginteractions','app.whatsapp.realtime.queues','DASHBOARDS.SELECT_QUEUE','participants','src/js/modules/main/apps/settings/views/updates/update/dialog.html/dialog.html','FaxQueueteamaddController','SYSTEM:GETfaxQueue','FaxAccountActionsController','published','secondsS','Europe/Madrid','removeAgents','permissions','userStatus','analyticFieldReport','api/cm/hopper_final/voice/queue/moveContacts/:id','ms-navigation-horizontal-mobile-menu-active','ACCOUNT:SEND','openFolded','api/variables/:id','smsStatusTime','api/migrations/:id','notification:remove','mdPickers','cmCustomField@get','setOrientation','chatInteractions','\x273\x27','api/integrations/vtiger/configurations/:id','All\x20resources\x20enabled','listSelected','SYSTEM:DELETEintZendeskAccount','EditSmsAccountAppgotoifDialogController','TOOLS.INTERVALS','$in','selectAllMailQueues','WHATSAPPACCOUNT:SEND','CustomDashboard','api.attachment.delete','\x27ulaw\x27','$mdMedia','queryFinal.filter','voice_outbound_channel:save','getQueueCampaignHopperFinals','FAX.NEW_FAXQUEUE','/voicePrefixes','mohSounds','
TODO\x20legend\x20status\x20+\x20state
','VIDEO.EDIT_SCREENRECORDING','createOrEditIvrCampaignHopper','muted','onSaveWhatsappQueue','app.tools.cannedAnswers','splice','Antarctica/Vostok','mediaConstraints','question,answer','toolbar','Delete\x20Sound','src/js/modules/main/apps/staff/views/agents/edit/view.html/view.html','selectAllGlobalCustomFields','MusicOnHoldController\x20as\x20vm','addGroup','mailMessage:update','#9ea1a9','globalCustomFieldForm','dualMultiselectOptions','deselectChatWebsites','SYSTEM:GET_TREEREPORTS','recordings','account','XMLHttpRequest','/snippet?token=','UserVoiceQueue','InboundRoutesController\x20as\x20vm','exportSelectedOpenchannelAccounts','icon-tile-four','OutboundRouteController','ChatWebsitesController\x20as\x20vm','smsQueue:remove','api/openchannel/reports/transfer/describe','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20whatsappQueues?','msNavigationService','createOrEditOpenchannelAccount','api/chat/internal/messages/:id','whatsappPause','api/sms/interactions/:id/messages','FaxQueue','areaText','\x27stun\x27','smsInteraction:save','DASHBOARDS.SAT','intSalesforceAccount','click','src/js/modules/main/apps/voice/views/inboundroutes/edit/apps/playback/dialog.html/dialog.html','api/integrations/desk/accounts/:id/clone','Outlook365','SYSTEM:DELETEsquareOdbc','ComposeMailInteractionDialogController','#ebf1fa','delete','EditWhatsappAccountAppintervalDialogController','showInteraction','FAX.FAXQUEUES','TOOLS.NOTIFICATIONS.ACTION_SAVED_SUCCESS_TITLE','blurCollapse','motion.customTheme','populateResults','phoneBarRemoteControl','SMS.NEW_SMSCANNEDANSWER','externaldial','CreateOrEditSmtpDialogController','api/settings/:id/logo_login','destaccountcode','Delete\x20User','General\x20properly\x20deleted!','Interactions','EditOpenchannelAccountAppamazonlexDialogController','api.interval.update','createdAt,updatedAt,id,name,description,crudPermissions','saveVtigerAccount','getSquareRecordings','$rootScope','getUserMedia','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20messages?','deleteTrunk','mailStatus','CreateOrEditvoicePrefixDialogController','chatWebsiteProactiveActions','SYSTEM:GETvoiceMails','Unable\x20to\x20get\x20Html\x20Editor\x20instance','vm.voiceQueue.leavewhenempty.length\x20===\x201\x20&&\x20vm.voiceQueue.leavewhenempty[0]\x20===\x20\x27strict\x27','startOf','JSCRIPTY.EDIT_PROJECT','userpic','Romansh','addNewVoicemail','arraymessagesAlignment','msVerticalStepper','Email\x20Messages','isoWeek','apps.home.chat','items','grey-fg\x20icon-phone-hangup','app.staff.agents.edit','EditWhatsappAccountAppcloseDialogController','api/openchannel/accounts/:id/dispositions','AgentteamaddController','Sinhalese','CONFIGURATIONS','userForm','MotionDialer','TOOLBAR.STAY_LOGGED','whatsapp_queue:save','variable_id','addNewWhatsappAccount','toasty','src/js/modules/main/apps/staff/views/users/create/dialog.html/dialog.html','src/js/modules/main/apps/staff/views/agents/edit/queueadd/queueadd.html/queueadd.html','rpc@getOutboundChannels','api/sms/accounts/:id/canned_answers','openMailDraft','salesforceConfiguration','Win','WHATSAPP.NEW_WHATSAPPQUEUE','src/js/modules/main/apps/whatsapp/views/whatsappAccounts/edit/whatsappCannedAnswers/dialog.html/dialog.html','play','Joey','$[${LEN(${CDR(prefix)})}\x20>\x200]?Goto(','changepassworddialog','IntervalId','saveSmsAccount','selectedVtigerAccounts','US\x20West\x20(Oregon)','Celine','msApi::requestError','api.whatsappQueue.update','data2','api/cm/contacts/describe','-09:00','selectedZohoConfiguration','checkRange','DASHBOARDS.RESCHEDULE','newZendeskConfiguration','Delete\x20Telephone','api.agent.changePassword','selectTagsChanged','api/voice/contexts/:id/clone','app.settings.cloudProviders','TOOLS.NOTIFICATIONS.TRIGGER_DELETED_SUCCESS_TITLE','AND','getAuthenticationType','\x27password\x27','da-DK','globalCustomField','NavigationController\x20as\x20vm','America/Godthab','fax-interaction','AgentPermissionsController','api/cm/contacts/:id/clone','api/users/:id/queues_rt','CONTACT\x20NOT\x20AVAILABLE','Arial,Helvetica,sans-serif','\x20attached\x20process\x20will\x20be\x20restarted.','Description','onInteractionOpen','pm2@get','pending','cmHopperFinal','delete\x20chatWebsite','onConnect','transferCallback','\x20deleted!','app.staff.users','src/js/modules/main/apps/voice/views/realtime/queue_params/view.html/view.html','api/sms/applications/describe','apps.integrations.vtigerAccounts','EditHopperDialogController','deleteOpenchannelQueuesWaitingInteractions','src/js/modules/main/apps/contactmanager/views/companies/companies.html/companies.html','VIDEO.VIDEO','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20zohoAccounts?','api/integrations/dynamics365/fields/:id','playrecording','/:id?tab','api/cm/contacts/:id/hoppers','su-ID','src/js/modules/main/apps/sms/views/smsAccounts/edit/apps/gotoif/dialog.html/dialog.html','api/mail/messages/describe','api/users/:id/whatsapp_accounts','filteredAgents','newvoicePrefix','integrations/freshsales/configurations/:id/descriptions','api.intServicenowConfiguration.update','$log','Icelandic','smsMessage:save','api/settings/:id/gdpr','mailSubstatus','Scheduled\x20call\x20has\x20been\x20deleted!','Unable\x20to\x20play\x20autoAnswer\x20notification','translate3d(','runtranscribesentimentSelectedVoiceRecordings','SYSTEM:UPDATECONFIGURATIONFIELD','null','country','VOICE.INBOUND','exportSelectedIntervals','hopperHistory','id,name,role,context','startcase','start3WayConf','logout','getDaysOfWeek','api/integrations/zoho/accounts/:id/clone','api.intZendeskConfiguration.update','\x2741\x27','TOOLS.NEW_DASHBOARDITEM','accept','selectedSounds','selectedSalesforceConfiguration','createdAt,updatedAt,id,alias,type,values,required,clickToAction,actionType','ar-JO','apps.home.openchannel','APP.ALL_AGENTS','eurcatalanfemale','api/cm/lists/:id/clone','api/users/:id/chat_interactions','src/js/modules/main/apps/chat/views/chatWebsites/edit/apps/agent/dialog.html/dialog.html','The\x20squareRecording\x20will\x20be\x20deleted.','Swahili\x20(Tanzania)','icon-help','api.chatQueue.update','PREVIOUS\x20CONTACTS\x20NOT\x20AVAILABLE','Asia/Kamchatka','phoneBarExpires','delete\x20MailAccounts','Justin','Lotte','addNewSound','INTEGRATIONS.ZENDESKACCOUNTS','SchedulesController\x20as\x20vm','pullAllBy','Last\x20Week','krkoreanfemale','app/toolbar','sms_queue:save','src/js/modules/main/apps/contactmanager/views/companies/create/dialog.html/dialog.html','selectedContexts','api.jira','onResultClick','The\x20vtigerAccount\x20will\x20be\x20deleted.','ERROR\x20OPEN\x20CONTACT','CreateOrEditSugarcrmAccountItemDialogController','order','voiceQueuesLog','wait','api/sms/queues/describe','/faxQueues','UserVoiceDashboardDialerController\x20as\x20vm','msWidgetEngine','isPause','blur','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20freshsalesAccounts?','app.fax.faxAccounts','deleteOpenchannelCannedAnswer','ListagentaddController','getWhatsappQueuesWaitingInteractions','src/js/modules/main/apps/motiondialer/views/queueCampaigns/edit/resetlist/resetlist.html/resetlist.html','unknown','api/integrations/salesforce/configurations/:id/clone','delete\x20CannedAnswers','src/js/modules/main/apps/whatsapp/views/realtime/realtime.html/realtime.html','Swedish','msChipColor','/analytics','ServicenowAccountsController\x20as\x20vm','Africa/Khartoum','newPause','delete\x20Pauses','UNKNOWN','TOOLS.EDIT_CUSTOMDASHBOARD','SYSTEM:DELETEintFreshdeskAccount','getTrustedURL','_id','AgentHomeDashboardVoiceController','SYSTEM:GET_LIST_CUSTOM_FIELDS','Supported\x20extension:\x20','\x27sms_messages\x27','MAIL.MAILACCOUNTS','whatsapp_queue_waiting_interaction:remove','MsShortcutsController\x20as\x20MsShortcuts','SalesforceAccount','SYSTEM:ivrCampaign.REMOVELIST','\x27fax_queues\x27','abandonedCalls','msAdvancedSearch','app/main/apps/plugins','userProfileId','usenglishfemale','onSaveOutbound','canScroll','SYSTEM:GET','ChatInternalController','deselectFaxQueues','newTrigger','^msNav','Japanese\x20Female','VOICE.VOICERECORDINGS','cmContact@getHopperFinals','export','src/js/modules/main/apps/voice/views/chanSpies/chanSpies.html/chanSpies.html','selectedInternalRoutes','us-east-1','apps.sms','app.tools.trunks','api/openchannel/queues/:id/clone','DASHBOARDS.OVERWRITE','Norwegian\x20Bokmal\x20(Norway)','callNumber','api/integrations/vtiger/configurations/describe','Unable\x20to\x20convert\x20question','onUpdateOpenchannelQueue','vm.voiceQueue.joinempty.length\x20===\x201\x20&&\x20vm.voiceQueue.joinempty[0]\x20===\x20\x27loose\x27','isStepValid','api/openchannel/accounts/:id/applications','MailCannedAnswer\x20properly\x20created','languages','deleteFaxAccountInteraction','SugarcrmAccount','app.fax.realtime.agents','Asia\x20Pacific\x20(Tokyo)','api/integrations/zendesk/accounts/:id/configurations','whatsappQueue:save','Hans\x20(German,\x20Male)','saveSalesforceAccount','TOOLBAR.HEBREW','1st','dashboarditemForm','getSmsQueues','removeColor','src/js/modules/main/apps/dashboards/views/general/agent/interaction/composeSms/dialog.html/dialog.html','MailAccountMailCannedAnswersController','api/fax/reports/transfer/:id','jscriptyProject','lastOut','api.faxAccount.save','_self','url','Catalan','QueuesFaxRealtimeController','stepNumber','children','startCase','TOOLBAR.INDONESIAN','/variables','SmsQueueagentaddController','addNewInboundRoute','addMinutes','apps.integrations.salesforceAccounts','retry','About','delete\x20voiceRecording','Filiz','ToolbarController\x20as\x20vm','treeStructure','$onInit','${EXTEN}','Spanish\x20(Ecuador)','.resizable','CreateOrEditDeskAccountDialogController','InternalRoute','America/Chicago','separatorKeys','allCondition','yearsS','selectedVoicemailMessages','savechanSpy','Minutes','SugarcrmAccount\x20properly\x20deleted!','xm-mail-content-','deleteFaxAccount','The\x20license\x20has\x20been\x20updated!','exportSelectedSmsAccounts','src/js/modules/main/apps/integrations/views/servicenowAccounts/edit/view.html/view.html','_blank','xAnswerMode','api/integrations/zendesk/configurations/:id/descriptions','api/fax/accounts/:id/canned_answers','sortable','onload','app.motiondialer.realtime.abandonedcalls','Tags\x20set!','createdAt,updatedAt,id,name,table,metric,description','analyticDefaultReport','Dial','smsQueue@get','selectAllZendeskAccounts','#9ab8e5','unlicensed','Canadian\x20English\x20Female','Content\x20with\x20Toolbar','createdAt,updatedAt,id,Contact.firstName','Dynamics365Configuration\x20properly\x20deleted!','getReportFields','Pacific/Port_Moresby','zip','Chinese,\x20Mandarin\x20(Simplified,\x20Hong\x20Kong)','api.chatAccounts.account','searchReport','layoutStyles','DASHBOARDS.DECEMBER','api/integrations/freshsales/accounts/:id/fields','CreateOrEditAnyConditionDialogController','metrics','WhatsappAccountActionsController','Unable\x20to\x20shutdown\x20Jabra\x20library','webrtc::call','apps.staff.agents','ms-navigation-node','vm_bull.query.filter','/lists','openchannelAccountApp','SYSTEM:GETsmsAccount','\x2712000\x27','/api/settings/1/logo_login','createdAt,updatedAt,id,name,level,ParentId','uploadState','voicePause','Antarctica/Rothera','\x27pt-BR\x27','MAIL.EDIT_MAILACCOUNT','removeShortcut','deleteContext','provider','-message','src/js/modules/main/apps/chat/views/chatWebsites/edit/apps/noop/dialog.html/dialog.html','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20mailSubtatuses?','Invalid\x20tag\x20name.','router','apps.home.abandoned','src/js/modules/main/apps/staff/views/teams/teams.html/teams.html','prompt','queuesMap','saveFaxAccountApp','sendRequest','InternalRoute\x20properly\x20deleted!','Team\x20properly\x20saved!','\x27/var/opt/motion2/server/files/sounds/converted/{{appdata.save_name}}\x27','TriggerController','onUpdateChatQueue','||FIELD||OLD||NEW||\x0a','id,name,parent','Session\x20saved','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20hopperHistory?','Caller_ID','fontFamily','delete\x20OpenchannelQueues','UserController\x20as\x20vm','numbersto999','arraysetqueueentryvar','loop','TOOLS.CANNEDANSWERS','ngModel','SmsAccountController','progress','src/js/modules/main/apps/staff/views/agents/edit/teamadd/teamadd.html/teamadd.html','src/js/modules/main/apps/voice/views/voiceQueues/voiceQueues.html/voiceQueues.html','AgentFullname','src/js/modules/main/apps/dashboards/views/general/agent/interaction/composeOpenchannel/dialog.html/dialog.html','section','${EXTEN:','code','api/analytics/metrics/:id','toggleOptionsSidenav','$translate','EditSmsAccountAppdialogflowDialogController','app.voice.contexts','csv','listOrderAsc','Spanish\x20(Nicaragua)','deselectInternalRoutes','channels','SETTINGS.SMTP','DASHBOARDS.DRAFT_SAVE_WARNING_MESSAGE','createdAt,updatedAt,id,format,value,type,uniqueid,calleridnum,exten,connectedlinenum,queue,rating,audio,transcribestatus,UserId','monthName','api.openchannelAccount.save','addSections','saveCustomization','Georgian\x20(Georgia)','deleteGlobalCustomField','Antarctica/DumontDUrville','HopperBlack\x20deleted!','changeSidebarTab','saveTeams','rpcVoiceQueuesChannelsTmp','msNavigationAgentService','Ewa','deleteSelectedMailQueues','channelstatedesc','WhatsappQueue\x20updated!','expand-animation','destcalleridnum','externalDial','friend','listOrder','Company\x20properly\x20created!','msUtils','arrayrole','America/Inuvik','\x27whatsapp_messages\x27','Message\x20has\x20been\x20accepted!','\x27en-IN\x27','api/fax/accounts/:id/applications','user,admin','Error\x20creating\x20queue!','outboundanswerRate','src/js/modules/main/apps/voice/views/chanSpies/create/dialog.html/dialog.html','Fields\x20saved!','delete\x20MusicOnHolds','CloudProvider\x20properly\x20created','open','app.integrations.vtigerAccounts','deleteMailAccountInteraction','toJson','questionId','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20voiceRecordings?','deleteFieldConfirm','The\x20component\x20will\x20be\x20deleted.','api/users/:id/screen_recordings','English\x20(Ireland)','api/rpc/outbound/channels','getMonth','SYSTEM:GETvoiceRecording','\x20will\x20be\x20uninstalled.','Lowest','Do\x20not\x20Disturb','faxAccountApp','api/jscripty/projects/:id/sessions','getProjectSessions','APP.ALL_TEAMS','verifySmtp','Ring','agentcalledAt','src/js/modules/main/apps/motiondialer/views/ivrCampaigns/edit/clone/clone.html/clone.html','HANGUPCAUSE_41','Value','api/integrations/freshsales/accounts/:id/clone','MailSubstatus\x20properly\x20deleted!','src/js/modules/main/apps/tools/views/schedules/partialViews/reports/treeReports.html/treeReports.html','SmsAccount','findLast','Pashto','TrunksController\x20as\x20vm','saveFaxQueue','call_id','closeFolded','joins','intFreshsalesAccount','range','\x27wav49\x27','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20globalCustomFields?','src/js/modules/main/apps/analytics/views/reports/run/web/dialog.html/dialog.html','Email\x20','getResponseSheet','openAdvancedSearch','The\x20extension\x20','deselectMailAccounts','exportSelectedMusicOnHolds','jan','Uzbek','ProjectcloneController','api/sms/queues/:id/users','Teams','rpcQueues','app.motiondialer.ivrCampaigns','getSelectedVariable','intFreshdeskAccount','voiceCalls','\x27chat_messages\x27','enableDtmfTone','api.squareRecording.update','deleteIvrCampaignHopperFinal','id,starttime,endtime,membername,projectname','steps','company','arraysetinterfacevar','FreshsalesAccount\x20properly\x20deleted!','\x27udp\x27','ticketType','ms-stepper','Answer','motion2.','/inboundroutes','MailQueueController','MsWidgetController','newSchedule','SYSTEM:DELETEopenchannelQueue','src/js/modules/main/apps/mail/views/realtime/realtime.html/realtime.html','union','invalid','position','api/integrations/vtiger/fields/:id/clone','api/rpc/sms/queues/:id/notify','exportSelectedFaxQueues','\x27en-GB\x27','upperFirst','apps.chat.realtime','Atlantic/Canary','\x27predictive\x27','Scots\x20Gaelic','api/userProfiles/:id/clone','newCustomField','delete\x20ChatQueues','voicePrefix\x20properly\x20saved!','INTEGRATIONS.NEW_ZOHOACCOUNT','ClickSend','search','journey','after','mozNotification','api/users/:id/whatsapp/interactions','CustomizationlogoController','ha1','saveGlobalCustomField','getAiTools','app.whatsapp.whatsappAccounts.edit','icon-text-to-speech','whatsappAccountInteractions','formData','TriggersController','$watch','soundPlayer','src/js/modules/main/apps/motiondialer/views/realtime/queue_params/view.html/view.html','STAFF.QUEUEADD_AGENT_','getMailAccountLabel','SalesforceAccountConfigurationsController','msNav::collapse','cf_','Asia/Manila','\x27update\x27','api/whatsapp/accounts/:id/send','delete\x20trunk','erlangCalls','getInternalRoutes','America/Argentina/Salta','whatsappCannedAnswer','api/openchannel/interactions/:id/download','app.integrations.freshsalesAccounts','onSaveChatInteraction','app/main/apps/integrations','ap-southeast-1','msMaterialColorPickerController\x20as\x20vm','paramDefaults','\x27cdr\x27','Customization\x20updated!','Dynamics365AccountsController','src/js/modules/main/apps/tools/views/triggers/edit/anyconditions/create/dialog.html/dialog.html','de-DE','getIvrCampaignHoppers','app.fax.faxAccounts.edit','rpc@getTelephones','arraydirectmedia','getSounds','smsAccount','\x27always\x27','exportSelectedFreshdeskAccounts','\x20attached\x20process\x20will\x20be\x20stoppped.','getDeskConfiguration','SYSTEM:GETTAGS','msNavFoldService','','saveTrunk','Dialer\x20Hopper\x20Processing','saveChatWebsiteApp','Zendesk','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20zendeskAccounts?','Field','privateKey','tif','Delete\x20Schedule','campaign@get','runTranscribe','TOOLBAR.SOMEONE_LOGGED_YOU_OUT','arraypriority','limit','api.voiceQueue.update','delete_node','api/automations/:id/clone','squareRecording','openchannelQueue:update','src/js/modules/main/apps/openchannel/views/openchannelQueues/edit/teamadd/teamadd.html/teamadd.html','user_has_fax_interactions:remove','deleteAllCondition','appdata.name','api.voiceChanSpy.delete','GotoIfTime','id,name,save_name','0.87','\x27wss\x27','IvrCampaignlistaddController','Error404Controller\x20as\x20vm','Limit','fri','record\x20->\x20session','onMessageUpdate','AgentsVoiceRealtimeController','getSalesforceAccounts','Pacific/Noumea','right','deselectQueueCampaigns','\x27zoho.com\x27','api/sms/queues/:id/teams','deleteWhatsappAccount','/globalCustomFields','SquareProjectId','MsThemeOptionsController','api/whatsapp/messages/describe','SugarcrmConfiguration\x20deleted!','onRemoveMember','deleteSelectedDescriptions','interceptors','TOOLS.NOTIFICATIONS.TRIGGER_SAVED_SUCCESS_TITLE','AgentGeneralPopupController','Last','ms-nav','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20scheduled\x20call?','clearSelection','America/Resolute','Arabic\x20(Qatar)','deleteSelectedSmsAccountSmsCannedAnswers','api/chat/websites/:id/users','Call\x20Report','isAgent','api/variables/describe','src/js/modules/main/apps/tools/views/intervals/edit/apps/interval/dialog.html/dialog.html','passwordPattern','app.tools.templates','Telephone\x20properly\x20created','MAIL.EDIT_MAILSUBSTATUS','/attachment_download?attachId=','Switch','OPENCHANNEL.NEW_OPENCHANNELCANNEDANSWER','createOrEditNetwork','ko-KR','Team\x20properly\x20deleted!','@from-voicemail','ServicenowAccount\x20updated!','msNav::pushToLockedList','createdAt,updatedAt,ContactId,phone','Delete\x20FreshsalesAccount','delete\x20Agents','South\x20America\x20(São\x20Paulo)','px,','migrations','rpcOpenchannelQueues','chatWebsiteApp','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20users?','createOrEditOdbc','callback','g726','CreateOrEditZohoAccountDialogController','getRegisteredThemes','deleteSelectedChatWebsiteChatCannedAnswers','EditWhatsappAccountAppamazonlexDialogController','Configuration','deleteCustomDashboard','interval@get','api/cm/contacts/:id/hopper_histories','apps.home.contacts','us-west-1','undefined','CreateOrEditDeskConfigurationDialogController','Reset\x20List','createOrEditTemplate','msNavigationItem','src/js/modules/main/apps/staff/views/userProfiles/edit/permissionsmanage/permissionsmanage.html/permissionsmanage.html','saveShortcuts','2nd','Africa/Tripoli','api.jscriptyProject.clone','Occitan','onSaveFaxQueueChannel','Swahili','delete\x20zohoConfiguration','Network\x20properly\x20deleted!','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20interactions?','reports','Company\x20properly\x20saved!','getFreshsalesConfiguration','newFreshsalesAccount','No\x20answers\x20available','ms-form-wizard-form','#c5c6cb','getZohoAccounts','arraysendrpid','api/whatsapp/messages/:id/accept','api/settings/describe','eu-west-1','Salli','selectAllOpenchannelQueues','chooseFromList','key','/templates','CannedAnswersController','inboundrouteApp','Interaction','LOCAL_LOGIN','/assets/images/theme-options/horizontal-nav.jpg','exportSelectedInternalRoutes','api.jscriptyProject.update','Input','app.integrations.freshdeskAccounts','read','SYSTEM:ADDFIELDS','api/users/:id/password','api.intSalesforceConfiguration.save','msWidget','ring','api/sms/reports/queue/describe','/plugin/:id','api/sounds/:id','SYSTEM:DELETEscreenRecording','exportSelectedTemplates','-06:00','/api/chat/websites/:id/customer_avatar','Help','gotoVoiceRecordings','addTags','Busy','Edge','format','voiceChanSpy@get','resultsLoading','customization','flash','SETTINGS.SETTINGS','user@getLists','api/chat/interactions/:id/clone','ringingVolume','api/fax/messages/:id/reject','Delete_permission','years','ivrCampaignHopperHistories','MsNavigationNodeController\x20as\x20vm','OpenchannelQueueId','gotoSugarcrmAccounts','extractedReport','goTo','src/js/modules/main/apps/integrations/views/salesforceAccounts/edit/view.html/view.html','phoneBarEnableAutomaticScreenRecording','Smtp\x20verified!','motionConfig','api/users/:id/lists','MAIL.NEW_MAILACCOUNT','databases','api/whatsapp/queues/:id/clone','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20offlineMessages?','app.motiondialer.realtime.ivr_campaigns','CallySquare','SmsAccountInteractionsController','Outbound','app.mail.realtime.agents','saveFreshdeskAccount','AEC','Error\x20creating\x20list!','The\x20schedule\x20will\x20be\x20deleted.','EditOpenchannelAccountAppqueueDialogController','deselectVoiceRecordings','FaxQueueagentaddController','fonts','SYSTEM:GET_QUEUES','fax','SYSTEM:GET_CHATACCOUNTS','DASHBOARDS.THIS_MONTH','onMessageSave','src/js/modules/main/apps/openchannel/views/openchannelAccounts/edit/apps/gotop/dialog.html/dialog.html','IvrCampaignblacklistaddController','rpc@getChatQueues','STAFF.EDIT_USER','Chat\x20Messages','api/conditions/describe','cmHopperHistory','src/js/modules/main/apps/mail/views/mailAccounts/mailAccounts.html/mailAccounts.html','Asia/Srednekolymsk','SYSTEM:GET_MODULE_PERMISSIONS','force_rport','=>%3B=','selectedPauses','api.dashboardItem.delete','content-type','The\x20openchannelAccount\x20will\x20be\x20deleted.','QueueCampaign\x20properly\x20cloned','createOrEditInternalRoute','\x27report_mail_queue\x27','Norwegian\x20(Nynorsk)','/license','last','onChangeAutoAssociation','apps.motiondialer','IvrCampaignHopperStatisticsController','smsStatus','FreshsalesAccountController','CreateOrEditSugarcrmConfigurationDialogController','api.userProfile.update','getReceivers','icon-radiobox-marked','CustomDashboard\x20properly\x20cloned','\x27us-west-2\x27','getWhatsappAccountLabel','api/version/restart','src/js/modules/core/layouts/vertical-navigation-fullwidth-toolbar-2.html/vertical-navigation-fullwidth-toolbar-2.html','MsNavigationHorizontalNodeController','api/whatsapp/accounts/:id/applications','saveOrPublishProject','patchConference','deleteSelectedOpenchannelAccountApps','Delete\x20Variable','browserGroupId','INTEGRATIONS.EDIT_SALESFORCEACCOUNT','src/js/modules/core/dialogs/advanced-search/dialog.html/dialog.html','outboundBlacklistCallsDay','SYSTEM:UPDATEVOICECALLREPORT','Asia/Qatar','src/js/modules/main/apps/contactmanager/views/contacts/import/import.html/import.html','getIconByChannel','api.schedule.save','selectedTemplates','+11:00','app.staff.agents','SMS.NEW_SMSACCOUNT','America/Ojinaga','removeItems','Delete\x20Smtp','chatInteractionDownload','mailQueues','Whatsapp\x20Queues','triggers','/fax','\x27zoho.eu\x27','runtranscribeSelectedVoiceRecordings','MARKETPLACE.PLUGINS','aws_access_key_id','America/Eirunepe','currentUser','EditInboundAppdialDialogController','selectedTags','apps.openchannel','OdbcsController\x20as\x20vm','showDialpad','api/integrations/servicenow/configurations/:id/fields','getCustomFields','deleteInboundRouteApp','NONE','api/voice/recordings/:id/transcribe','showInfo','api/jscripty/questions/reports/describe','\x27user\x27','api.condition.update','getHistories','Tags','saveInboundRouteApp','\x22path\x22\x20must\x20be\x20a\x20string\x20(eg.\x20`dashboard.project`)','mail_queue_waiting_interaction:save','APP.ALL_USERS','answer','md-background-bg\x20md-hue-3','rgba(','\x27yes\x27','isNavFoldedOpen','animate','action','getLists','newMetric','getZendeskAccounts','newCustomDashboard','America/Indiana/Knox','/api/chat/websites/:id/logo','src/js/modules/main/apps/voice/views/musiconholds/edit/mohSounds/dialog.html/dialog.html','JSCRIPTY.DO_YOU_WANT_TO_RESET_SESSION','src/js/modules/main/apps/settings/views/smtps/smtps.html/smtps.html','FreshdeskAccountsController\x20as\x20vm','RESCHEDULE','setMinutes','delete\x20OpenchannelAccounts','CloudProvidersController\x20as\x20vm','msPhonebar','monitor','app.motiondialer','uploadComplete','LoginController','textarea','DashboardItem\x20properly\x20created','addLists','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20dynamics365Accounts?','callCallback\x20->\x20url,\x20params','TOOLS.EDIT_TAG','Group\x20properly\x20deleted!','Arguments','descriptions','CreateOrEditCannedAnswerDialogController','copy','voiceQueue:update','hangupHook\x20->\x20payload','whatsappQueue:update','WhatsappQueue\x20properly\x20created','src/js/modules/main/apps/callysquare/views/projects/projects.html/projects.html','app.analytics.metrics','0.12','msg','voiceQueuesTotal','/api/chat/interactions/','apps.video','preload','getOpenchannelInteractions','CreateOrEditChatGroupDialogController','transformContact','English\x20(United\x20States)','saveTag','intVtigerAccount@get','\x27booked\x27','delete\x20VoiceRecordings','api/chat/proactive_actions/describe','DASHBOARDS.HOME','createOrEditOpenchannelAccountOpenchannelCannedAnswer','getMap','delete\x20sessions','\x27es\x27','app.mail.mailAccounts.edit','style','src/js/modules/main/apps/integrations/views/salesforceAccounts/edit/item/dialog.html/dialog.html','app.tools.intervals','onSaveMailInteraction','msShortcuts','notifications','whatsappCapacity','America/Caracas','msMasonryItem:startReLayout','selectedSmsAccounts','createdAt,updatedAt,id,name,type,strategy,timeout,maxlen,retry,wrapuptime,weight,joinempty,leavewhenempty,musiconhold,announce,description,periodic_announce,queue_reporthold,queue_youarenext,queue_thereare,queue_callswaiting,queue_holdtime,queue_minutes,queue_minute,queue_seconds,queue_thankyou,announce_frequency,min_announce_frequency,periodic_announce_frequency,random_periodic_announce,announce_holdtime,announce_position,announce_position_limit,reportholdtime,acw,acwTimeout,autopause,ringinuse,memberdelay,timeoutrestart,monitor_format,context,setinterfacevar,setqueuevar,setqueueentryvar,servicelevel,mandatoryDisposition,mandatoryDispositionPauseId','apps.tools.intervals','api/mail/queues/:id/users','\x27en\x27','currentStep','ANALYTICS.EDIT_REPORT','\x20yyyy','selectAllQueueCampaigns','SquareRecording\x20properly\x20created','unmanaged','ListsController\x20as\x20vm','SETTINGS.CUSTOMIZATIONS','isDateBeforeToday','sum','deleteHopper','ar-IQ','/general','rpcWhatsappQueuesWaitingInteractions','ChatWebsite\x20properly\x20created','User','toISOString','\x2716\x27','isOnHold','saveChatProactiveAction','loggedIn','0.3','app.voice.internalroutes','autoCreate','api/users/:id/login','api.voicePrefix.delete','deselectVoicePrefixes','$evalAsync','Jscripty\x20Sessions','icon-crosshairs','TOOLS.NOTIFICATIONS.CONDITION_SAVED_SUCCESS_TITLE','FreshsalesConfiguration\x20properly\x20deleted!','European\x20Finnish\x20Female','DASHBOARDS.NOVEMBER','\x27SIP/{{user.name}}\x27','motion.shortcuts','Tag','Agent\x20password\x20properly\x20updated!','api/chat/interactions/:id/my_messages','api/teams/:id/queues','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20projects?','Chat\x20Website','IvrCampaignsController\x20as\x20vm','api/attachments/describe','Lithuanian','attrReport','EditSmsAccountAppautoreplyDialogController','saveFreshsalesConfiguration','deselectCustomDashboards','SYSTEM:GETchatAccounts','createOrEditVoiceQueue','savePassword','Europe/Belgrade','SmsAccountSmsCannedAnswersController','app.help','pauses','ContactController','VOICE.EDIT_VOICEQUEUE','countdownAttr','Would\x20you\x20like\x20to\x20update\x20your\x20system?','agents','FAX.FAX','api/integrations/zoho/fields/describe','answered','openchannel-interaction','getText','api.staff.save','md.data.table','-stamp','FaxQueue\x20properly\x20deleted!','Fields\x20copied!','gotoContacts','deleteSelectedDeskAccounts','newItem','TOOLS.NOTIFICATIONS.DISPOSITIONS_DELETE_MESSAGE','api.intFreshsalesAccount.delete','exportSelectedTriggerAnyConditions','isWebRTCSupported','.UNKNOWN','src/js/modules/main/apps/voice/views/voicePrefixes/edit/agentadd/agentadd.html/agentadd.html','api/userProfiles/describe','api.squareOdbc.save','.html','Text','Priority','icon-cart','green-A700','outboundCongestionCallsDay','The\x20general\x20will\x20be\x20deleted.','Czech\x20(Czech\x20Republic)','importXML','$cookies','hasRole','Kendra\x20(English,\x20US,\x20Female)','America/Edmonton','\x20has\x20been\x20deleted!','rpcFaxQueuesWaitingInteractions','originalObject','firstDayMonth','matchingField','\x2721\x27','command','\x27S\x27','\x27EU\x27','Options','deselectPauses','musiconhold','America/Sao_Paulo','newDynamics365Configuration','team','xCALLY\x20Motion','addNewDashboardItem','ChatWebsiteonlineFormController','pt-PT','api/square/details/reports/describe','NOBEEP','QueueId','editstate','app.contactmanager.contacts','Tags\x20has\x20been\x20set!','src/js/modules/main/apps/contactmanager/views/contacts/duplicate/dialog.html/dialog.html','TCL:\x20vm.recording[vm.path]','Openchannel\x20Account','foreignKey','mindigit','newZohoConfiguration','scrollWidth','EditWhatsappAccountApptagDialogController','Asia/Vladivostok','onRemoveSmsQueueChannel','api.user.save','api/integrations/dynamics365/accounts/:id/fields','unit','The\x20servicenowAccount\x20will\x20be\x20deleted.','\x22\x20is\x20not\x20defined!','notification:send','originatePreview','dropping','mailInteraction:save','UserProfile','ChatQueuesController','saveTelephone','load','api/square/messages/:id','protocol','xml','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20item?','accountProperty','INTEGRATIONS.EDIT_FRESHDESKCONFIGURATION','MsWidgetEngineWebReportController','Classic+','vm.selectedTab','ms-tab-scroll','basic','createdAt,updatedAt,id,key,value,description','InternalRouteController','api.trunk.save','take','agentCapacity','SYSTEM:DELETEwhatsappQueue','OPENCHANNEL.OPENCHANNELACCOUNTS','/accounts','ms-nav-folded','VIDEO.NEW_SCREENRECORDING','goToTrunk','Hebrew\x20(Israel)','selectedChatWebsiteApps','src/js/modules/main/apps/fax/views/realtime/queues/view.html/view.html','saveServicenowAccount','intVtigerConfiguration','TOOLBAR.PASSWORD_EXPIRE_REMAINING_DAYS','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20dynamics365Configuration?','id,level,name,description,ParentId,createdAt,updatedAt','COUNT\x20DISTINCT','omit','trunks','hasPermission','api/analytics/tree_reports/:id','SYSTEM:GETtrunks','delete\x20Metrics','selectAllVariables','rpcChatQueuesWaitingInteractions','service','Europe/Amsterdam','api/voice/queues/:id/teams','record','Telugu','getServicenowAccounts','WhatsappAccountController\x20as\x20vm','auto\x20answer\x20enabled\x20after:','\x20ms','mailQueueReport','api/integrations/salesforce/fields/:id/clone','rpcAgents','#main','SYSTEM:GET_VOICEMAILS','animate-height','EditFaxAccountAppgotopDialogController','selectAllWhatsappQueues','trunk@get','outboundrouteid=','VoiceQueueagentaddController','top','InternalRoutesController','reset','getDispositions','\x20selected
','g722','#c2d4ef','Delete\x20CustomDashboard','EditSmsAccountApptagDialogController','Asia/Thimphu','hide','/api/chat/websites/','voiceAccounts','id,name,role','red-fg','addNewscreenRecording','Error\x20creating\x20company!','api/fax/queues/:id','deleteServicenowAccount','Asia/Baghdad','saveSettings','app.motiondialer.ivrCampaigns.edit','api/fax/queues/describe','AgentHomeDashboardMultiChannelSmsController','api/chat/websites/:id/notify','EditMailAccountAppqueueDialogController','Target','timeRangeFrom','delete\x20variable','Malagasy','webbar:hold','removeItem','CreateOrEditGlobalCustomFieldDialogController','api.intSalesforceAccount.update','No\x20\x27%s\x27\x20process\x20found','api.voiceRecording.save','\x27rrmemory\x27','es-CL','centered','Error\x20initializing\x20Jabra\x20integration','membersByKeys','userLists','TOOLS.NOTIFICATIONS.ACTION_CREATED_SUCCESS_TITLE','revokeObjectURL','FAX.REALTIME','TelephoneController','form','app.reset','voice_outbound_channel:remove','api','Checkboxes','chanSpy\x20properly\x20deleted!','refreshAbandonedCalls','Mac','user@get','VoiceRecordingsController','api.sound.update','delete\x20field','toJSON','km-KH','api.intZendeskAccount.delete','api.voiceChanSpy.save','getRegisteredPalettes','ringgroup','\x20is\x20not\x20supported!','serviceWorker','scrollLeft','src/js/modules/main/apps/voice/views/internalroutes/edit/apps/playback/dialog.html/dialog.html','deviceId','rec','app.voice','both','Incoming','adminOnly','api/integrations/desk/fields/:id','ndassistant_url','step','moveQueueCampaignHopperFinal','TOOLS.NOTIFICATIONS.CONDITIONS_DELETED_SUCCESS_TITLE','SmsRealtimeController\x20as\x20vm','smtp','selectedReports','DeskConfiguration\x20properly\x20deleted!','api/voice/queues/:id/hopper_finals','src/js/modules/main/apps/tools/views/triggers/triggers.html/triggers.html','MsWidgetEngineMultibarChartController','api/cm/contacts/:id/tags','Maltese','delete\x20freshdeskConfiguration','SYSTEM:DELETEdisposition','CreateOrEditGeneralDialogController','Hebrew','/plugins','SYSTEM:DELETEsmsAccount','apps.integrations.dynamics365Accounts','Message\x20properly\x20sent!','private_key','onSelectList','delete\x20ExtractedReports','QUICKPANEL.NEW_CHATGROUP','deleteSelectedCompanies','api.campaign.delete','es-DO','geEditor','Invalid\x20list\x20name.','INTEGRATIONS.EDIT_SALESFORCECONFIGURATION','+08:45','TOOLS.PAUSES','SYSTEM:GET_FIELDS','pascalprecht.translate','TOOLS.NOTIFICATIONS.TRIGGER_CREATED_SUCCESS_TITLE','createOrEditVtigerAccount','CreateOrEditPauseDialogController','cellName','America/New_York','vm.query','api/cdr/:id/clone','getMembers','api/voice/queues/:id/lists','PausesController','TOOLS.NOTIFICATIONS.DISPOSITION_SAVED_SUCCESS_TITLE','getFaxAccountApps','onExpand','countcongestionretry','chanSpies','paginatedInteractions','motion','SYSTEM:DELETEpause','Field\x20deleted!','api/sms/queues/:id/members','delete\x20mailAccount','saveLists','=msNavigationNode','Tag\x20properly\x20created','$translateProvider','es-EC','api/triggers/:id/any_conditions','Delete\x20Voicemail','Metrics','accentPalette','motiondialer','\x20has\x20been\x20saved!','createOrEditDisposition','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20sessions?','MotionDialerRealtimeController\x20as\x20vm','userChatQueue:remove','inReplyTo','apps.integrations.sugarcrmAccounts','EditInboundAppqueueDialogController','Weight\x20Random','parentDisposition','exportSelectedZohoAccounts','exportSelectedContacts','deleteMusicOnHold','api/chat/queues/:id','freshdeskConfiguration','English\x20(Australia)','salesforceAccounts','createElement','HANGUPCAUSE_38','apps.home.dashboard','Antarctica/Troll','src/js/modules/main/apps/integrations/views/vtigerAccounts/edit/view.html/view.html','api/notifications/describe','injector','screenRecording\x20properly\x20saved!','Settings','api/users/:id/square_projects','English\x20(Tanzania)','api/openchannel/messages/:id','$setValidity','SUM\x20(','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20freshdeskAccounts?','Smtp','api/voice/queues/:id/users','Delete\x20InboundRoute','constant','src/js/modules/core/directives/ms-material-color-picker/ms-material-color-picker.html/ms-material-color-picker.html','newFreshdeskConfiguration','api.intZendeskConfiguration.delete','reload','children_d','Nepali','penalty\x20','api/mail/out_servers/:id/clone','\x27IAX\x27','QueueCampaign\x20properly\x20deleted!','chanSpy\x20properly\x20created','api.network.update','deleteSelectedSquareRecordings','createdAt,updatedAt,id,name,key,token,replyUri,ListId,waitForTheAssignedAgent,mapKey,description,receiveUri,notificationSound,notificationShake,notificationTemplate','newWhatsappQueue','api/sms/queues/:id/clone','I\x20agree','MyTitle','SETTINGS.EDIT_NETWORK','src/js/modules/main/apps/voice/views/inboundroutes/edit/apps/hangup/dialog.html/dialog.html','app.auth','crudPermissions','America/Argentina/Jujuy','isSearchBarVisible','desc','Catalan\x20(Catalan)','deleteOutboundRoute','Least\x20Recent','api/mail/reports/queue/:id','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20contact?','SmsQueueId','EditInboundAppinternaldialDialogController','QueueParamsVoiceRealtimeController\x20as\x20vm','selectedQueueCampaignHopperFinals','API\x20accept\x20message\x20not\x20found:\x20','Left\x20Join','api/attachments/:id','Fax\x20Queues','SMS.EDIT_','jscriptyQuestionReport','attachment','module','api/conditions/:id/clone','delete\x20freshsalesAccount','system@get','intZendeskConfiguration','newInboundRoute','file','','newVoiceRecording','+13:00','actionOptions','createOrEditTriggerAction','EditChatWebsiteApp','Asia/Urumqi','musiconholdMohSounds','tail','api/cm/contacts/merge','src/js/modules/main/apps/integrations/views/freshsalesAccounts/edit/configurations/dialog.html/dialog.html','AgentGeneralBotController','Metric','deleteSmtp','api/chat/internal/messages/describe','SYSTEM:DELETEjscriptyProject','INTEGRATIONS.INTEGRATIONS','Hong\x20Kong\x20Cantonese\x20Female','partial','app.help.about','\x27outlook365\x27','bind','field,alias','voiceRecordings','onUpdateFaxInteraction','Delete\x20ExtractedReport','What\x20would\x20you\x20name\x20your\x20tag?','ZohoAccounts','/teams','Draft','not_inuse','api.setting.delete','api.user.update','\x27pt\x27','hopper\x20#','tag@get','ChatCannedAnswer\x20properly\x20deleted!','America/Argentina/Rio_Gallegos','Delete\x20condition','scriptPath','addNewSmsQueue','Arabic\x20(Jordan)','EditFaxAccountApptagDialogController','assign','id,name,mapAgentsId,waiting','red-300-fg\x20icon-phone-in-talk','id,alias','src/js/modules/main/apps/fax/views/faxQueues/edit/agentadd/agentadd.html/agentadd.html','dynamics365','api/fax/reports/queue/describe','DASHBOARDS.FEBRUARY','$[${LEN(${PREFIX-CALLERIDALL})}\x20>\x200]?Set(CALLERID(all)=${PREFIX-CALLERIDALL}):NoOp(No\x20number\x20available)','deleteSelectedWhatsappAccountInteractions','api/voice/mails/messages/:id/clone','ChatQueue\x20updated!','pt-BR','audioinput','Lucida\x20Sans\x20Unicode,Lucida\x20Grande,sans-serif','api/voice/mails/describe','QueueCampaigncloneController','\x22\x20style=\x22display:none\x22','dashboard:remove','api/analytics/custom_reports/:id','toLowerCase','SYSTEM:UPDATEanalyticTreeReport','converted_format','oldPassword','queueCampaignHopperBlacks','CreateOrEditQueueCampaignDialogController','isMobile','\x22\x20does\x20not\x20exist!\x20Falling\x20back\x20to\x20the\x20\x22default\x22\x20theme.','America/Mexico_City','EditInternalAppintervalDialogController','refer\x20->\x20session','DeskAccount\x20updated!','whatsappInteraction','eu-central-1','api/chat/interactions/:id/download','Reject','deleteSelectedChatWebsites','expanded','mailAccountInteractions','orientation','isRunning','api\x20preview','AgentHomeWhatsappController','MailQueue\x20updated!','body','newSmtp','focusOn','fa-IR','refresh','session','api/integrations/vtiger/configurations/:id/descriptions','\x27intelepeer\x27','src/js/modules/main/apps/tools/views/triggers/edit/view.html/view.html','root','Asia/Karachi','LIKE','src/js/modules/main/apps/analytics/views/metrics/metrics.html/metrics.html','SYSTEM:GETtrigger','SEC_TO_TIME','/assets/images/theme-options/vertical-nav-with-full-toolbar.jpg','COUNT\x20(DISTINCT\x20','_XCALLY_MIXMONITOR_FORMAT=','src/js/modules/main/apps/fax/views/realtime/trunks/view.html/view.html','Technology/Resource','INTEGRATIONS.NEW_DESKACCOUNT','Canada\x20(Central)','rpc@getMailQueues','src/js/modules/core/directives/ms-stepper/templates/vertical/vertical.html/vertical.html','app.settings.updates','07:00-22:00,*,*,*','api/screen/recordings/:id/clone','SmsAccountsController\x20as\x20vm','VOICE.EDIT_MUSICONHOLD','toggleFolded','$broadcast','api/integrations/desk/fields/:id/clone','api/cm/lists/:id/contacts','api/chat/interactions/:id/vidaoo','#1e2129','ScreenRecordingplayController','api.analyticExtractedReport.delete','hhours','The\x20allCondition\x20will\x20be\x20deleted.','id,uniqueid,calleridnum,statedesc,scheduledat,starttime,endtime','500','api_key','isStepOptional','\x27report_whatsapp_transfer\x27','api.pause.save','getFormats','deleteWhatsappAccountApp','trimStart','UserFaxQueue','deleteOpenchannelQueue','UserSmsDashboardGeneralController\x20as\x20vm','apiKey','w:\x20Enable\x20whisper\x20mode,\x20so\x20the\x20spying\x20channel\x20can\x20talk\x20to\x20the\x20spied-on\x20channel.','WhatsappQueuesController\x20as\x20vm','Voicemail\x20properly\x20deleted!','setConditionStatus','mailInteraction@get','stopInterval','app.analytics.reports','$templateFactory','SYSTEM:GETintZendeskAccount','addNewVtigerConfiguration','faxPause','High','project.name','api/fax/interactions/describe','mwFormResponseUtils','sidebar','messaging','CreateOrEditZohoAccountItemDialogController','sms.smsAccounts','setHours','createdAt,updatedAt,id,name,description,table,parent,conditions,joins','getCount','deselectScreenRecordings','jscriptyProjects','finish','TOOLBAR.DUTCH','Russian','ms-nav-title','exportSelectedTeams','newTrunk','setupSteps','shutdown','pendingCondition','endValue','XCALLY-MOTION-PROGRESS=0','mouseOver','Delete','api.pm2.delete','onUpdateFaxQueue','EditInternalApp','Europe/Luxembourg','nameField','currentPath','callbackQueue','SYSTEM:DELETEteam','SYSTEM:UPDATElicense','MailQueueController\x20as\x20vm','European\x20Turkish\x20Female','intDynamics365Account@get','src/js/modules/main/apps/voice/views/inboundroutes/edit/apps/externaldial/dialog.html/dialog.html','whatsapp_queue_waiting_interaction:save','dispose','api/sms/applications/:id','delete\x20customFields','exportSelectedDispositions','widgets','top\x20right','..a','list','left','TOOLS.NOTIFICATIONS.ACTIONS_DELETE_MESSAGE','treeCustomInstance','api/rpc/campaigns','delete\x20intervals','createdAt,updatedAt,id,name,phone,vat,fax,companyId,type,website,emailDomain,email,description,sStreet,sPostalCode,sCity,sCountry,street,postalCode,city,country','HELP.ABOUT','applications','close_all','monitors','assigned','isExtra','app.dashboards.general.user','blue-fg','ProjectspermissionaddController','Europe/Vilnius','selectedFields','onSaveQueue','exportcsvcontactsexport','onIntegrationSelection','ExtractedReportsController\x20as\x20vm','src/js/modules/main/apps/dashboards/views/general/agent/contact/dispose/dialog.html/dialog.html','GlobalCustomField\x20properly\x20deleted!','Lotte\x20(Dutch,\x20Female)','SYSTEM:GETwhatsappQueue','intSugarcrmField','ServicenowAccount\x20properly\x20deleted!','AgentqueueaddController','QueuesChatRealtimeController\x20as\x20vm','HANGUPCAUSE_16','ChatRealtimeController','addInteractionTab','show','APP.FILE_NOT_FOUND','GeneralsController','src/js/modules/core/directives/ms-dual-multiselect/ms-dual-multiselect.html/ms-dual-multiselect.html','api/auth/local','Comic\x20Sans\x20MS,cursive','getApplications','addNewNetwork','VOICE.INTERNAL','intFreshdeskConfiguration','English\x20(Kenya)','AgentsWhatsappRealtimeController','Pause\x20properly\x20saved!','office2013','api/chat/websites/:id/offline','SYSTEM:GETopenchannelAccount','deleteSelectedProjectSessions','Hangup','onRemoveMailQueueChannel','INTEGRATIONS.EDIT_ZOHOACCOUNT','remote-audio','API:','deskAccount','endTime','createOrEditFreshsalesAccount','app.whatsapp.whatsappQueues','America/Montevideo','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20proactive?','Pacific/Fiji','orange','Atlantic/Reykjavik','gotoProjects','isEmpty','EditFaxAccountAppqueueDialogController','sms_queue_waiting_interaction:save','deleteList','api/actions/:id','/queueCampaigns','Asia/Khandyga','api/triggers/:id/clone','api.voiceQueue.save','api/campaigns/:id/hopper_histories','Slovak\x20(Slovakia)','remove','quickFilterManager','RECALLME','pm_id','attachments','Upload\x20error','toDate','dispositions','rpcTelephones','SYSTEM:DELETE_HOPPER','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20openchannelAccounts?','America/Guatemala','api/integrations/sugarcrm/accounts/:id','getOpenchannelAccountApps','CreateOrEditOpenchannelAccountDialogController','agent.name','team@get','api/teams/:id/clone','CHAT.EDIT_CHATWEBSITE','startTimeAttr','api/cm/hopper_history/:id/clone','motion.user:','HOUR','api/sms/messages/:id/status','api/fax/interactions/:id/download','api.pause.delete','apps.fax','api.pm2.save','SYSTEM:GETintFreshdeskAccount','api/square/odbc/:id/test','selectedItemChange','arraybuffer','delete\x20node','runtranscriberuntranscribesentiment','/odbcs','api/mail/accounts/describe','AgentsMailRealtimeController\x20as\x20vm','createOrEditSubject','src/js/modules/main/apps/dashboards/views/general/user/sms/user.general.sms.html/user.general.sms.html','\x22>','requestSucceeded','vm.query.filter','CHAT.CHAT','api/integrations/dynamics365/configurations/:id/subjects','The\x20agent\x20will\x20be\x20deleted.','id,name,fullname,userpic','vm.voiceQueue.joinempty.length\x20===\x201\x20&&\x20vm.voiceQueue.joinempty[0]\x20===\x20\x27unavailable\x27','ChatWebsiteController\x20as\x20vm','404','OpenchannelCannedAnswer\x20properly\x20saved!','beep','arraysetqueuevar','delete\x20applications','project_id','api/voice/chanspy/:id/clone','queueAdd','src/js/modules/main/apps/sms/views/smsAccounts/edit/apps/amazonlex/dialog.html/dialog.html','callbackuniqueid','SYSTEM:GETjscriptyProject','apps.jscripty','Plugin\x20updated!','mailAccountApp','fr-CA','Basic','app.voice.outboundroutes','Asia/Taipei','SYSTEM:GETsounds','/api/transfer?number=','AgentHomeDashboardController','getOpenchannelAccountLabel','intZendeskAccount','deleteDashboardItem','detailForm','gotoProcess','SYSTEM:DELETEanalyticMetric','\x2722\x27','Report\x20Field\x20properly\x20deleted!','ComposeWhatsappInteractionDialogController','WHATSAPP.NEW_WHATSAPPACCOUNT','SmsAccountActionsController','license','newWindow','Oromo','DASHBOARDS.NO_DISPOSITION_SET','answerHook\x20->\x20payload','America/Havana','Romanian\x20(Romania)','sortableOptions','Invisible','ui.router.state.events','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20mailQueues?','api/sms/accounts/:id/users','OpenchannelQueue\x20updated!','src/js/modules/main/apps/settings/views/generals/generals.html/generals.html','Begin\x20forwarded\x20message:

From:\x20{{\x20from\x20}}
Subject:\x20{{\x20subject\x20}}
Date:\x20{{\x20date\x20}},\x20at\x20{{\x20time\x20}}
To:\x20{{\x20to\x20}}','shortTime','api/chat/reports/queue/:id/clone','it-IT','addNewQueueCampaign','api.openchannelAccount.delete','America/Menominee','\x27TI\x27','unreadMessages','busy','mailInteractionTags:save','rurussianmale','America/Belem','msSplashScreen::remove','src/js/modules/main/apps/contactmanager/views/globalCustomFields/globalCustomFields.html/globalCustomFields.html','CSquare\x20Details\x20Report','https','Lucida\x20Sans\x20Unicode','apps.help.about','Ringall','+09:30','app.staff.users.edit','equals','\x27xlsx\x27','userProfile','APPS','MOTIONDIALER.NEW_IVRCAMPAIGN','TOOLBAR.WARNING','set','Faroese','AdvancedSearchController','Urdu','Agents\x20association\x20has\x20been\x20updated!','hue-3','VoicePrefixesController','$emit','Unable\x20to\x20convert\x20answer','\x27basic\x27','Channel\x20','selectedDynamics365Configuration','TOOLBAR.CHINESETRADITIONAL','hasBlackLists','TOOLBAR.ENGLISH','Company','QueueCampaignteamaddController','userVoiceQueueRt:save','direction','\x27all\x27','euritalianmale','api/chat/interactions/:id/messages','The\x20servicenowConfiguration\x20will\x20be\x20deleted.','api.project.delete','deleteVariable','_path','param','exportSelectedQueueCampaigns','deselectFreshsalesAccounts','EU\x20(Frankfurt)','internalrouteApps','Brian','Italian\x20(Italy)\x20/\x20Finnish\x20(Finland)','/assets/images/theme-options/vertical-nav.jpg','multipleUsers.name','src/js/modules/main/apps/whatsapp/views/whatsappAccounts/edit/apps/dialogflow/dialog.html/dialog.html','KDE','zohoAccount','api/dashboards/:id/clone','SquareRecording\x20properly\x20deleted!','gotoUsers','src/js/modules/main/apps/analytics/views/reports/edit/field/dialog.html/dialog.html','WhatsappQueue\x20properly\x20deleted!','selectAllTeams','whatsappQueue@get','Fax\x20Account','id,tree','createOrEditTriggerAllCondition','thu','downloadfile','app.contactmanager.lists','ANALYTICS.ANALYTICS','app.dashboards.general.whatsapp','ds.clock','chanSpy\x20properly\x20saved!','QueueCampaignresetlistController','$resolved','bcc','teams','setFormatTime','api/chat/websites/:id/snippet','selectedProjects','UserFaxDashboardGeneralController','The\x20user\x20will\x20be\x20deleted.','America/Sitka','src/js/modules/main/apps/integrations/views/deskAccounts/edit/item/dialog.html/dialog.html','src/js/modules/main/apps/contactmanager/views/globalCustomFields/create/dialog.html/dialog.html','api/mail/queues/:id/clone','Phone\x20number','VOICE.EDIT_VOICERECORDING','api/voice/agents/reports/:id/clone','DASHBOARDS.APRIL','Agent\x20properly\x20saved!','api/fax/accounts/updateaccountapplications','Gmail','stateClass','api/fax/messages/:id/accept','MainController\x20as\x20vm','delete\x20vtigerAccount','ANALYTICS.EDIT_EXTRACTEDREPORT','api.dashboard.save','Read','setConfig','src/js/modules/core/directives/ms-navigation/templates/vertical.html/vertical.html','integrationTypes','America/Paramaribo','chatWebsites','item','response','chart.js','queuecallerleaveAt','Substatus','src/js/modules/main/apps/openchannel/views/openchannelAccounts/edit/apps/tag/dialog.html/dialog.html','Contact\x20properly\x20created','OPENCHANNEL.EDIT_OPENCHANNELCANNEDANSWER','responseData','setLockedItem','api/mail/substatuses/describe','arrayecm','Hangup_Cause','info','cyan-500-fg','api/integrations/describe','memberReport','mailSubstatus@get','vm.detailForm.$pristine','IvrCampaigncloneController','api/rpc/voice/channels/:uniqueid/mixmonitor','Pacific/Wallis','MailQueue\x20properly\x20deleted!','CustomReportId','delete\x20ZohoAccounts','finals','HANGUPCAUSE_22','Telephone\x20updated!','api/users/:id/sms_interactions','Emojis','eurportuguesefemale','api/openchannel/messages/:id/reject','channelStatus','-09:30','app.voice.voiceRecordings','exportSelectedTriggerAllConditions','isChecked','createOrEditSalesforceConfiguration','ngJsTree','sugarcrmConfiguration','Atlantic/Bermuda','Armenian','getTriggerAllConditions','es-PR','Reset\x20Succesfull','SYSTEM:DELETEtemplate','addNewSugarcrmAccount','VOICE.NEW_VOICEQUEUE','saveWhatsappAccount','SYSTEM:GET_CONTEXTS','src/js/modules/main/apps/integrations/views/freshdeskAccounts/create/dialog.html/dialog.html','api/openchannel/reports/transfer/:id','Bearer\x20','icon-clock','temporarilyIgnoreMouseEvents','fil-PH','id,interval,IntervalId','/userProfiles','SYSTEM:GET_TREE_REPORT_STRUCTURE','ChatWebsites','selectedReportFields','MALE','app.voice.inboundroutes','apps.contactmanager.companies','id,uniqueid,calleridnum,statedesc,starttime,disposition','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20smsAccounts?','currentXML','CDR(prefix)=${EXTEN:0:','openchannelQueue','selectAllAgents','api/analytics/extracted_reports/:id/clone','VOICE.VOICEQUEUES','US\x20Spanish\x20Male','active','getUsers','zendeskConfiguration','SYSTEM:DELETEcmList','Australia/Broken_Hill','\x27agi://127.0.0.1/square,{{project.name}}\x27','queueCampaignHopperHistories','sumBillable','recallme','api/mail/accounts/:id/send','initialize','interval','userStatusOptions','OpenchannelCannedAnswer\x20properly\x20deleted!','Extension','getFaxInteractions','currentTab','preproduction','app.navigation','QueueCallsMotionDialerRealtimeController\x20as\x20vm','createdAt,updatedAt,id,name,key,token,remote,ListId,type,phone,accountSid,authId,authToken,smsMethod,username,password,baseUrl,apiKey,senderString,deliveryReport,waitForTheAssignedAgent,mandatoryDisposition,mandatoryDispositionPauseId,description,receiveUrl,deliveryReportUrl,notificationSound,notificationShake,notificationTemplate,queueTransfer,queueTransferTimeout,agentTransfer,agentTransferTimeout','Brazilian\x20Portuguese\x20Female',',${EXTEN},','uploadSound','/"(.*)"\x5cs<(.*?)>$/','&?msTimelineLoadMore','delete\x20extractedReport','fail\x20callbackurl:\x22','api/integrations/zendesk/configurations/describe','api/integrations/salesforce/accounts/:id/configurations','intDeskConfiguration','userWhatsappQueue:save','CHAT.EDIT_CHATPROACTIVEACTION','customFieldsForm','api.voiceExtension.delete','arrayrecordingFormat','Admin\x20Only','The\x20pause\x20will\x20be\x20deleted.','\x27datetime\x27','Dora','Wav','Legend','api.intZohoAccount.update','\x27invalid\x27','Weeks','_new','Korean\x20Female','src/js/modules/main/apps/contactmanager/views/contacts/contacts.html/contacts.html','NO\x20QUERY','#5c616f','selectedSalesforceAccounts','MailAccountController\x20as\x20vm','api/integrations/freshdesk/configurations/:id/clone','intervals','\x20will\x20be\x20reset.','querySearch','src/js/modules/main/apps/voice/views/musiconholds/create/dialog.html/dialog.html','SYSTEM:GETintDynamics365Account','msWidgetFront','app.mail.realtime','differenceBy','Send\x20Anyway','CONTACTMANAGER.NEW_LIST','saveDisposition','api/cloudProviders/describe','UserList','QueueCampaignController','socket','WhatsappQueue\x20properly\x20saved!','trunk','GET_PROJECT','dayOfWeekTo','xlsx','America/Asuncion','TOOLS.NEW_SCHEDULE','api/settings/:id/favicon','selectedUserProfiles','api/fax/messages/:id/download','SYSTEM:GETuserProfile','saveQueueCampaign','AiTools','APP.SELECTED_USERS','ZendeskAccountsController\x20as\x20vm','$to','navigator','deleted','cs-CZ','aws_secret_access_key','Pacific/Honolulu','ForgotPasswordController\x20as\x20vm','src/js/modules/main/apps/voice/views/voiceQueues/edit/agentadd/agentadd.html/agentadd.html','src/js/modules/main/apps/motiondialer/views/realtime/queues/view.html/view.html','api.intSugarcrmConfiguration.delete','Number','api.mailSubstatus.delete','\x20has\x20been\x20downloaded!','.mp4','America/Grand_Turk','predictiveIntervalAnsweredCalls','ja-JP','userProfileSection@get','finishCallback','EditorUi','ckeditor','vtigerConfiguration','squareReportDetail','cm_contacts','data5','api.chatWebsite.save','routeId','EditInternalAppinternaldialDialogController','mailMessage:save','^msFormWizard','Australia/Perth','predictiveIntervalAvgTalktime','AgentsSmsRealtimeController\x20as\x20vm','Type\x20a\x20message','api.intSugarcrmAccount.update','api/chat/queues/describe','Delete\x20disposition','CreateOrEditWhatsappQueueDialogController','\x27fax_interactions\x27','\x27hours\x27','CreateOrEditOutboundRouteDialogController','Arabic\x20(State\x20of\x20Palestine)','\x27ja\x27','saveVoiceQueue','md-content[name*=\x27interaction-content-','jscriptyProject@get','VOICE.RUNTRANSCRIBE_VOICERECORDING','getVtigerAccounts','/login?token&userId','\x27q\x27','getByInteraction','path','getContactsCsv','src/js/modules/main/apps/jscripty/views/projects/edit/view.html/view.html','\x27whatsapp_interactions\x27','SYSTEM:DELETEreportsByParents','STAFF.NOTIFICATIONS.PERMISSIONS_ENABLED_ALL_SUCCESS_TITLE','VoiceRecording\x20properly\x20created','Odbc\x20properly\x20deleted!','$mdConstant','America/Kentucky/Louisville','PreviewReportDialogController','America/Maceio','onSaveFaxQueue','delete\x20Companys','\x27id\x27','Bosnian','api/plugins/:id','iPad','addTrack','addNewPlugin','Website','deselectODBC','Rejected','exportSelectedContexts','phoneBarPrefixRequired','IvrCampaigns','faxQueues','api/integrations/sugarcrm/accounts/describe','src/js/modules/main/apps/marketplace/views/plugins/edit/view.html/view.html','Albanian','msScroll','editHopper','collapse','updateQueue','-02:00','getVoiceQueuesPreview','app.staff.userProfiles','deselectCompanies','selectedTrunks','unmute','CreateOrEditSoundDialogController','api/whatsapp/queues/:id/members','arraystrategy','getAttachments','alias','Lingala','Delete\x20QueueCampaign','chatWebsiteChatCannedAnswers','WHATSAPP.EDIT_WHATSAPPQUEUE','SYSTEM:DOWNLOADJSON','id,phone,scheduledat,recallme,priority,UserId,OwnerId','delete\x20project','EditInternalAppvoicemailDialogController','Smtp\x20properly\x20created','api.whatsappAccount.update','motion2.home.recordings:','User\x20updated!','No\x20corresponding\x20process\x20found','isCompatibleBrowser','selectedChatQueues','gotoZohoAccounts','api/openchannel/applications/:id','Sound\x20properly\x20deleted!','CreateOrEditUserProfileDialogController','onSaveOpenchannelQueue','\x27chat_queues\x27','queryFinal','src/js/modules/main/apps/settings/views/system/system.html/system.html','ap-southeast-2','WhatsappAccountController','The\x20project\x20will\x20be\x20deleted.','api/integrations/zendesk/fields/:id','Penelope\x20(Spanish,\x20US,\x20Female)','app.whatsapp.realtime','getCannedAnswers','$observe','chatAccounts','treeCustomData','WhatsappQueueController\x20as\x20vm','EditInboundAppcustomDialogController','src/js/modules/main/apps/voice/views/voiceQueues/create/dialog.html/dialog.html','AgentHomeVoiceController','Customization\x20properly\x20created','api/chat/applications/:id','folded','exportSelectedChatWebsiteProactiveActions','snakeCase','createdAt,updatedAt,id,name,username,remoteUri,password,serverUrl,description','rpcMailQueues','exportSelectedWhatsappQueues','api/intervals/describe','app.core','arrayminrate','openchannelInteractionDownload','countbusyretry','Plivo','selectedSmsAccountInteractions','templates','dialer','app.dashboards','Last\x20Year','TOOLBAR.KOREAN','api.whatsapp.account','addIntervals','Emma','CALLYSQUARE.SQUARERECORDINGS','deleteUser','hasMicrophone','src/js/modules/main/apps/dashboards/views/general/agent/interaction/tag/dialog.html/dialog.html','gt-md','app.chat.realtime','setCurrentStep','TOOLBAR.PERSIAN','userProfiles','Invalid\x20company\x20name.','Linux','newDisposition','getCompanies','api/integrations/zoho/configurations/:id','MailSubstatuses','getProjects','Voicemail','id,fullname,userpic,internal','editInterval','DASHBOARDS.SELECT_CAMPAIGN','src/js/modules/main/apps/tools/views/trunks/create/dialog.html/dialog.html','app.dashboards.general','onSaveMember','USERPROFILE:GETRESOURCES(','treeDefaultEvents','disabled','\x27pdf\x27','CreateOrEditSquareProjectDialogController','Dynamics365Configuration\x20deleted!','RESETPASSWORD.PASSWORD_RESET','fields','chatMessage:update','id,name,fullname,role,userpic,internal,online,voicePause,openchannelPause,faxPause,chatPause,smsPause,whatsappPause,mailPause,pauseType,lastPauseAt,lastLoginAt,lastPauseAt,chatCapacity,mailCapacity,openchannelCapacity,faxCapacity,smsCapacity,whatsappCapacity,screenrecording,phoneBarEnableScreenRecordingByAgent,phoneBarEnableAutomaticScreenRecording','fullname','isEstablished','sessionId\x20required','MailQueueId','api/system/process/:pid/kill','api/networks/:id/clone','$parent','isHiddenField','src/js/modules/main/apps/whatsapp/views/whatsappAccounts/edit/apps/system/dialog.html/dialog.html','listCustomFields','TemplatesController\x20as\x20vm','ispeechAsrModel','Asia/Gaza','total','$setViewValue','DisposeCallDialogController','EditWhatsappAccountAppagentDialogController','apps.voice.internalroutes','motion2.home.mycontacts:','api.cm.lists','Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20squareRecordings?','api/chat/websites/:id/avatar','foreignTableFields','moveContactsIvrCampaignHopperFinal','Date','icon-checkbox-marked-outline','api/templates/:id','models','isBefore','whatsappInteraction:update','The\x20freshsalesAccount\x20will\x20be\x20deleted.','api.schedule.delete','playback','OutboundRoutesController\x20as\x20vm','External','deselectZohoAccounts','webkitHidden','timeoutId','horizontal','Delete\x20ZendeskAccount','Asia/Kuala_Lumpur','agentNotify','channel','translate','src/js/modules/main/apps/dashboards/views/general/user/voice/user.general.voice.html/user.general.voice.html','stream','SYSTEM:GET_MAILACCOUNTS','getDynamics365Configuration','progresslogin','iPhone','app.integrations.sugarcrmAccounts','GROUP\x20BY\x20','\x27uk\x27','Pacific/Pago_Pago','newChatCannedAnswer','targetChanged','America/Cayman','Bihari','addNewSmsCannedAnswer','angucomplete-alt','customDashboards','Delete\x20screenRecording','mwFormBuilder','Joanna','READ_PERMISSION'];(function(_0x325a40,_0x2e107a){const _0x5cfb3a=function(_0x3bb956){while(--_0x3bb956){_0x325a40['push'](_0x325a40['shift']());}};_0x5cfb3a(++_0x2e107a);}(a0_0x5cfb,0xa1));const a0_0x3bb9=function(_0x325a40,_0x2e107a){_0x325a40=_0x325a40-0xde;let _0x5cfb3a=a0_0x5cfb[_0x325a40];return _0x5cfb3a;};const a0_0x54e64e=a0_0x3bb9;(self[a0_0x54e64e(0x859)]=self[a0_0x54e64e(0x859)]||[])['push']([[0x8f],{0x2069:(_0x3eedb7,_0x306fbd,_0x4acfac)=>{const _0x313a4d=a0_0x54e64e;'use strict';var _0x26ba69=_0x4acfac(0x304);;_0x27732e[_0x313a4d(0x11c2)]=[_0x313a4d(0x7cb)];function _0x27732e(_0x1150de){const _0x33a4be=_0x313a4d;_0x1150de[_0x33a4be(0x2242)]['push']('authInterceptor');}const _0x2ace89=_0x27732e;;_0x106936['$inject']=[_0x313a4d(0x141e)];function _0x106936(_0x3fe7a7){const _0x553730=_0x313a4d,_0x4381c1={'to':function(_0x180c30){return _0x180c30['authenticate']===!![];}},_0x541d1a={'to':function(_0x2b1f8d){const _0x1719eb=a0_0x3bb9;return _0x2b1f8d[_0x1719eb(0x19eb)]!==_0x1719eb(0x14a4);}},_0x27c72a={'to':function(_0x48ce68){const _0x495510=a0_0x3bb9;return _0x48ce68[_0x495510(0x125d)];}},_0x52b071={'to':function(_0x3582e7){const _0x48c86b=a0_0x3bb9;return _0x3582e7[_0x48c86b(0x3fd)][_0x48c86b(0x19eb)]===_0x48c86b(0x285e);}},_0x1e5ae2=function(_0x30776a){const _0x15f907=a0_0x3bb9,_0x37f8d2=_0x30776a[_0x15f907(0x24f2)]()[_0x15f907(0x16b4)](_0x15f907(0xa87)),_0x28a491=_0x30776a['router'][_0x15f907(0x968)];return!_0x37f8d2[_0x15f907(0x1369)]()?_0x28a491[_0x15f907(0xe1d)](_0x15f907(0x14a4),undefined,{'reload':!![]}):_0x37f8d2['retrieveUser']()[_0x15f907(0x129e)](function(){const _0x55ba5b=_0x15f907;return _0x28a491[_0x55ba5b(0xe1d)](_0x55ba5b(0x14a4),undefined,{'reload':!![]});});},_0x11eff3=function(_0x3cfe0d){const _0x4872e8=a0_0x3bb9,_0x58f25e=_0x3cfe0d['injector']()[_0x4872e8(0x16b4)](_0x4872e8(0xa87)),_0x22ec3f=_0x3cfe0d[_0x4872e8(0x2127)][_0x4872e8(0x968)],_0x3bf6fc=_0x3cfe0d['$to']();if(_0x58f25e[_0x4872e8(0x23e0)]('agent')&&_0x3bf6fc['name']!=_0x4872e8(0x285e))return _0x22ec3f[_0x4872e8(0xe1d)](_0x4872e8(0x285e),{'redirect':![]},{'reload':!![]});},_0x2efffb=function(_0x33bf5a){const _0x756062=a0_0x3bb9,_0x324b9c=_0x33bf5a[_0x756062(0x24f2)]()[_0x756062(0x16b4)](_0x756062(0xa87)),_0x570830=_0x33bf5a['router']['stateService'],_0x3a8f36=_0x33bf5a[_0x756062(0x27ad)]();if(_0x324b9c['hasRole']('user')){if(!_0x324b9c['hasPermission'](_0x3a8f36[_0x756062(0x125d)]))return _0x570830[_0x756062(0xe1d)](_0x756062(0x285e),{'redirect':![]},{'reload':!![]});else{const _0xf23e3=_0x33bf5a['params']()['id']?Number(_0x33bf5a[_0x756062(0x16a)]()['id']):undefined;if(_0xf23e3)return _0x324b9c[_0x756062(0x1439)](_0x3a8f36[_0x756062(0x125d)],_0xf23e3)[_0x756062(0x129e)](function(){const _0x2a8303=_0x756062;return _0x570830['target'](_0x3a8f36['parent'][_0x2a8303(0x19eb)],{'redirect':![]},{'reload':!![]});});}}},_0x5860c1=function(_0x18e5cb){const _0x41bd36=a0_0x3bb9,_0x5c9c68=_0x18e5cb[_0x41bd36(0x24f2)]()['get'](_0x41bd36(0xa87)),_0x17ffcf=_0x18e5cb[_0x41bd36(0x2127)]['stateService'];if(_0x5c9c68[_0x41bd36(0x23e0)](_0x41bd36(0xe7b))&&!_0x5c9c68['hasPermission'](0x64))return _0x17ffcf['target'](_0x41bd36(0x285e),{'redirect':![]},{'reload':!![]});};_0x3fe7a7[_0x553730(0x1289)](_0x4381c1,_0x1e5ae2),_0x3fe7a7['onStart'](_0x541d1a,_0x11eff3),_0x3fe7a7[_0x553730(0x3c1)](_0x27c72a,_0x2efffb),_0x3fe7a7[_0x553730(0x3c1)](_0x52b071,_0x5860c1);}const _0x5d3b89=_0x106936;var _0x4d61be=_0x4acfac(0x1956),_0x3f65c0=_0x4acfac['n'](_0x4d61be),_0x69616b=_0x4acfac(0x17d),_0x2deec6=_0x4acfac['n'](_0x69616b);;const _0x5672e5=_0x4acfac['p']+_0x313a4d(0x9d6);;_0x46298c[_0x313a4d(0x11c2)]=['$mdDialog','$cookies','$q',_0x313a4d(0x247f),_0x313a4d(0x1aa9),_0x313a4d(0x4d8)];function _0x46298c(_0x16041d,_0xeb3574,_0x428609,_0x5d071d,_0x198eac,_0x218b05){let _0x1305fa;const _0x2bb56a={'getToken':_0x374967,'getCurrentUser':_0x3e0d16,'getPermissions':_0x38327c,'hasChildrenPermissions':_0x1407ea,'hasModulePermissions':_0x5927f3,'hasModulesPermissions':_0x3ce8e8,'hasRole':_0x17cdfe,'hasPermission':_0x40aab8,'hasResourcePermission':_0x4963d1,'hasSectionPermissions':_0x150b87,'hasSectionsPermissions':_0x4367e9,'isAgent':_0xa5b6f7,'isAdmin':_0x155d83,'isLoggedIn':_0x244216,'isTelephone':_0x3b86a6,'isUser':_0x46ead8,'login':_0x2e176d,'logout':_0x34fae0,'parseCrudPermissions':_0x246651,'queueLogin':_0x39c035,'reloadPermissions':_0x3cc56f,'removeCookies':_0x4c7188,'retrieveUser':_0x12b4f1,'setCurrentUser':_0x160805,'getAuthenticationType':_0x52ad89,'loginSSO':_0x33709d};return _0x2bb56a;function _0x374967(){const _0x4315c6=a0_0x3bb9;return _0xeb3574['get'](_0x4315c6(0xe2d));}function _0x3e0d16(){return _0x1305fa;}function _0x160805(_0x214b75){const _0x1c4968=a0_0x3bb9;_0x1305fa=_0x3f65c0()[_0x1c4968(0x168d)](_0x1305fa,_0x214b75);}function _0x39c035(_0x138df9){return _0x428609(function(_0x2b5414,_0x1e94b9){const _0x3265ff=a0_0x3bb9;_0x5d071d[_0x3265ff(0xe7b)][_0x3265ff(0x322)]({'id':_0x1305fa['id'],'device':'web','intrf':_0x138df9})[_0x3265ff(0x2945)][_0x3265ff(0x146b)](function(_0x39a437){_0x2b5414(_0x39a437);})[_0x3265ff(0x129e)](function(_0x2ca1de){_0x1e94b9(_0x2ca1de);});});}function _0x4864cc(){return _0x428609(function(_0x5afb06,_0x4a4207){const _0x2a680b=a0_0x3bb9;_0x5d071d[_0x2a680b(0xe7b)][_0x2a680b(0x2044)]({'id':_0x1305fa['id'],'device':_0x2a680b(0x1c63)})[_0x2a680b(0x2945)][_0x2a680b(0x146b)](function(){_0x5afb06();})[_0x2a680b(0x129e)](function(_0x246c34){_0x4a4207(_0x246c34);});});}function _0x24cd30(_0x23bcb9){return _0x428609(function(_0x4e1399,_0x47232e){const _0x31e1b6=a0_0x3bb9,_0x1580ad={'online':_0x23bcb9,'lastLoginAt':_0x23bcb9?_0x2deec6()()[_0x31e1b6(0x22b0)](_0x31e1b6(0x1ce2)):undefined};_0x5d071d[_0x31e1b6(0xe7b)][_0x31e1b6(0x18e1)]({'id':_0x1305fa['id']},_0x1580ad)['$promise']['then'](function(_0x2b191d){_0x4e1399(_0x2b191d);})[_0x31e1b6(0x129e)](function(_0x469b2d){_0x47232e(_0x469b2d);});});}function _0x2e176d(_0x6e1073){return _0x428609(function(_0x1d542b,_0x56205d){const _0x174fdf=a0_0x3bb9;_0x5d071d[_0x174fdf(0x1013)][_0x174fdf(0x106b)](_0x6e1073)[_0x174fdf(0x2945)][_0x174fdf(0x146b)](function(_0x487de4){const _0x19a0ff=_0x174fdf;return _0xeb3574[_0x19a0ff(0x17b7)](_0x19a0ff(0xe2d),_0x487de4[_0x19a0ff(0x1957)]),_0x5d071d[_0x19a0ff(0xe7b)][_0x19a0ff(0x16b4)]({'id':_0x487de4['id']})[_0x19a0ff(0x2945)];})[_0x174fdf(0x146b)](function(_0x1fcc82){const _0x59a78d=_0x174fdf;_0x1305fa=_0x1fcc82,_0x1305fa[_0x59a78d(0x1d01)]=_0x2deec6()()[_0x59a78d(0x22b0)](_0x59a78d(0x1ce2)),_0x5a3635(_0x59a78d(0x2298));})[_0x174fdf(0x146b)](function(){const _0xf3e1d8=_0x174fdf;if(_0xa5b6f7()&&_0x1305fa[_0xf3e1d8(0xd29)]===0x1&&_0x1305fa[_0xf3e1d8(0xfd1)])return _0x16041d['show']({'controller':'HotDeskDialogController','controllerAs':'vm','templateUrl':_0x5672e5,'parent':angular['element'](_0x218b05[_0xf3e1d8(0x2586)]),'clickOutsideToClose':![],'resolve':{'telephones':[_0xf3e1d8(0x362),function(_0x4d7389){const _0x5a712c=_0xf3e1d8;return _0x4d7389['resolve'](_0x5a712c(0x2484),{'fields':_0x5a712c(0xc33),'role':_0x5a712c(0x90c),'nolimit':!![]});}]}});})['then'](function(_0x554622){const _0x3b92e0=_0x174fdf;if(_0xa5b6f7()&&_0x1305fa[_0x3b92e0(0xd29)]!==0x0)return _0x39c035(_0x554622);else{if(_0x155d83()||_0x46ead8())return _0x24cd30(!![]);else return;}})['then'](function(_0x42584e){const _0x147e42=_0x174fdf;_0x1305fa=_0x3f65c0()[_0x147e42(0x255a)](_0x1305fa,_0x42584e),_0x1d542b();})['catch'](function(_0xd53831){_0x56205d(_0xd53831);});});}function _0x4c7188(){const _0x23c73f=a0_0x3bb9;_0xeb3574[_0x23c73f(0x2640)](_0x23c73f(0xe2d)),_0x1305fa&&(_0x198eac[_0x23c73f(0x1c39)][_0x23c73f(0x246d)](_0x23c73f(0x2655)+_0x1305fa['id']),_0x198eac[_0x23c73f(0x1c39)]['removeItem'](_0x23c73f(0x1c65)),_0x1305fa=null);}function _0x34fae0(_0x434806){return _0x428609(function(_0xce5cdf,_0x489fba){const _0x34bf2d=a0_0x3bb9;_0x434806?(_0x4c7188(),_0xce5cdf()):_0x428609[_0x34bf2d(0x2922)]()['then'](function(){const _0x419463=_0x34bf2d;if(_0xa5b6f7()&&_0x1305fa[_0x419463(0xd29)]!==0x0)return _0x4864cc();else{if(_0x155d83()||_0x46ead8())return _0x24cd30(![]);else return;}})[_0x34bf2d(0x146b)](function(){_0x4c7188(),_0xce5cdf();})['catch'](function(_0x815d45){_0x489fba(_0x815d45);});});}function _0x244216(){return _0x374967()?!![]:![];}function _0xa5b6f7(){const _0xa2626f=a0_0x3bb9;return _0x1305fa[_0xa2626f(0xfb0)]===_0xa2626f(0x1755);}function _0x155d83(){const _0x501a75=a0_0x3bb9;return _0x1305fa[_0x501a75(0xfb0)]==='admin';}function _0x46ead8(){const _0x82a57e=a0_0x3bb9;return _0x1305fa[_0x82a57e(0xfb0)]===_0x82a57e(0xe7b);}function _0x3b86a6(){const _0x5aef0e=a0_0x3bb9;return _0x1305fa[_0x5aef0e(0xfb0)]==='telephone';}function _0x12b4f1(){return _0x428609(function(_0x5192f9,_0x7e9f2f){const _0x35c268=a0_0x3bb9;_0x5d071d[_0x35c268(0xe7b)]['whoami']()['$promise'][_0x35c268(0x146b)](function(_0x34b27f){const _0x5c6db5=_0x35c268;return _0x34b27f[_0x5c6db5(0xfb0)]===_0x5c6db5(0xe7b)?_0x38327c(_0x34b27f[_0x5c6db5(0x209a)])[_0x5c6db5(0x146b)](function(_0x545ab5){const _0xc63874=_0x5c6db5;return _0x34b27f[_0xc63874(0x1f54)]=_0x545ab5,_0x34b27f;}):_0x34b27f;})[_0x35c268(0x146b)](function(_0x386920){_0x1305fa=_0x386920,_0x5192f9(_0x1305fa);})[_0x35c268(0x129e)](function(_0x455ad2){_0x7e9f2f(_0x455ad2);});});}function _0x17cdfe(_0x2e22a3){return _0x1305fa?_0x1305fa['role']===_0x2e22a3:![];}function _0x40aab8(_0x48bc12){const _0x16d010=a0_0x3bb9;return _0x1305fa?_0x3f65c0()[_0x16d010(0xa4e)](_0x1305fa[_0x16d010(0x1f54)],_0x48bc12):![];}function _0x38327c(_0x54c600){let _0x4620ef=[];return _0x428609(function(_0x33fa1a,_0x41a126){const _0x1bee00=a0_0x3bb9;return!_0x54c600&&_0x33fa1a(_0x4620ef),_0x5d071d['userProfile'][_0x1bee00(0x9cc)]({'id':_0x54c600})['$promise'][_0x1bee00(0x146b)](function(_0x417a70){const _0x275f3b=_0x1bee00;for(let _0x5bd5a6=0x0;_0x5bd5a6<_0x417a70[_0x275f3b(0x402)];_0x5bd5a6++){const _0x439f5d=_0x417a70[_0x5bd5a6];_0x4620ef[_0x275f3b(0x1f47)](_0x439f5d[_0x275f3b(0x631)]),_0x439f5d[_0x275f3b(0x144a)]&&(_0x4620ef=_0x3f65c0()[_0x275f3b(0x163c)](_0x4620ef,_0x439f5d['subsections']));}return _0x4620ef;})[_0x1bee00(0x146b)](function(_0x5e9928){_0x33fa1a(_0x5e9928);})[_0x1bee00(0x129e)](function(_0x496f69){const _0x4a7d70=_0x1bee00;_0x41a126(_0x4a7d70(0x13ee),_0x496f69);});});}function _0x1407ea(_0x3045d5){const _0x19dd94=a0_0x3bb9;if(!_0x3045d5)return![];return _0x3f65c0()[_0x19dd94(0x1360)](_0x3045d5,function(_0x367bcd){return _0x40aab8(_0x367bcd);});}function _0x3cc56f(){return _0x428609(function(_0x1e25ed,_0xc9fa1b){const _0xc40dc1=a0_0x3bb9;_0x38327c(_0x1305fa[_0xc40dc1(0x209a)])['then'](function(_0x5a2508){const _0x2d7729=_0xc40dc1;_0x1305fa[_0x2d7729(0x1f54)]=_0x5a2508,_0x1e25ed();})['catch'](function(_0x33544a){_0xc9fa1b(_0x33544a);});});}function _0x246651(_0x3b760d){const _0x193da5=a0_0x3bb9;return _0x155d83()?{'readOnly':![],'canEdit':!![],'canDelete':!![]}:!_0x3b760d?{'readOnly':!![],'canEdit':![],'canDelete':![]}:{'readOnly':_0x3f65c0()[_0x193da5(0xa4e)](_0x3b760d,'r')&&_0x3b760d[_0x193da5(0x402)]===0x1?!![]:![],'canEdit':_0x3f65c0()['includes'](_0x3b760d,'e')?!![]:![],'canDelete':_0x3f65c0()[_0x193da5(0xa4e)](_0x3b760d,'d')?!![]:![]};}function _0x4963d1(_0xe9235d,_0x20f3fc){return _0x428609(function(_0x52b70e,_0x1150d5){const _0x3f7432=a0_0x3bb9;return _0x5d071d[_0x3f7432(0x1366)][_0x3f7432(0x16b4)]({'userProfileId':_0x1305fa['userProfileId'],'sectionId':_0xe9235d})[_0x3f7432(0x2945)][_0x3f7432(0x146b)](function(_0xc88b94){const _0x520a2f=_0x3f7432,_0x47bbed=_0xc88b94[_0x520a2f(0x19c7)][0x0];if(_0x47bbed[_0x520a2f(0x11d2)])_0x52b70e();else return _0x5d071d['userProfileResource'][_0x520a2f(0x16b4)]({'sectionId':_0x47bbed['id'],'nolimit':!![]})[_0x520a2f(0x2945)][_0x520a2f(0x146b)](function(_0x4abd6d){const _0x925007=_0x520a2f,_0x14fc83=_0x4abd6d[_0x925007(0x19c7)];_0x3f65c0()[_0x925007(0x1360)](_0x14fc83,['resourceId',_0x20f3fc])?_0x52b70e():_0x1150d5();});})[_0x3f7432(0x129e)](function(_0xce84a7){const _0x28c789=_0x3f7432;_0x1150d5(_0x28c789(0x13ee),_0xce84a7);});});}function _0x150b87(_0x185f48){return _0x428609(function(_0x28850f,_0x1763a1){const _0x3ea65f=a0_0x3bb9,_0x25dc81={};return _0x3cc56f()['then'](function(){const _0xa8f103=a0_0x3bb9;_0x3f65c0()[_0xa8f103(0xa4e)](_0x1305fa['permissions'],_0x185f48)&&(_0x25dc81[_0x185f48]=!![]),_0x28850f(_0x25dc81);})[_0x3ea65f(0x129e)](function(_0x2dd641){_0x1763a1(_0x2dd641);});});}function _0x4367e9(_0x429444){return _0x428609(function(_0x5cca8a,_0x2e0fc1){const _0x3ac7fe=a0_0x3bb9,_0x2f0e99={};if(_0x155d83())_0x429444[_0x3ac7fe(0x1df5)](function(_0x5d16fc){_0x2f0e99[_0x5d16fc]=!![];}),_0x5cca8a(_0x2f0e99);else return _0x3cc56f()[_0x3ac7fe(0x146b)](function(){const _0x3b66cb=_0x3ac7fe;_0x429444[_0x3b66cb(0x1df5)](function(_0x26db1f){const _0x4f15b9=_0x3b66cb;_0x3f65c0()[_0x4f15b9(0xa4e)](_0x1305fa['permissions'],_0x26db1f)&&(_0x2f0e99[_0x26db1f]=!![]);}),_0x5cca8a(_0x2f0e99);})[_0x3ac7fe(0x129e)](function(_0x36bb6e){_0x2e0fc1(_0x36bb6e);});});}function _0x5927f3(_0x45c981){return _0x428609(function(_0x15c1c8,_0x3eb9f8){const _0xc4d66f=a0_0x3bb9;_0x155d83()?_0x15c1c8(!![]):_0x5d071d[_0xc4d66f(0x1366)][_0xc4d66f(0x16b4)]({'userProfileId':_0x1305fa[_0xc4d66f(0x209a)]})[_0xc4d66f(0x2945)]['then'](function(_0x3d3444){const _0x1c714e=_0xc4d66f,_0x384ff8=_0x3d3444&&_0x3d3444[_0x1c714e(0x19c7)]?_0x3d3444[_0x1c714e(0x19c7)]:[];if(!_0x3f65c0()[_0x1c714e(0x2635)](_0x384ff8)){const _0x23ef86=_0x3f65c0()[_0x1c714e(0x1dd6)](_0x384ff8,function(_0x458efd){return _0x458efd['enabled'];});_0x15c1c8(_0x3f65c0()['some'](_0x23ef86,['category',_0x45c981]));}else _0x15c1c8(![]);})['catch'](function(_0x582f23){_0x3eb9f8(_0x582f23);});});}function _0x3ce8e8(_0x14382e){return _0x428609(function(_0x410d2d,_0x29a924){const _0x3674be=a0_0x3bb9,_0x276bd2={};_0x155d83()?(_0x14382e['forEach'](function(_0x2be733){_0x276bd2[_0x2be733]=!![];}),_0x410d2d(_0x276bd2)):_0x5d071d[_0x3674be(0x1366)]['get']({'userProfileId':_0x1305fa[_0x3674be(0x209a)]})['$promise'][_0x3674be(0x146b)](function(_0x6db4fb){const _0x56bed6=_0x3674be,_0x26a165=_0x6db4fb&&_0x6db4fb[_0x56bed6(0x19c7)]?_0x6db4fb[_0x56bed6(0x19c7)]:[];if(!_0x3f65c0()[_0x56bed6(0x2635)](_0x26a165)){const _0x1cbdeb=_0x3f65c0()(_0x26a165)['filter'](function(_0x1eaa52){const _0x23a43c=_0x56bed6;return _0x1eaa52[_0x23a43c(0x193e)]&&_0x3f65c0()[_0x23a43c(0xa4e)](_0x14382e,_0x1eaa52['category']);})[_0x56bed6(0xbab)](function(_0x446750){const _0x31bfa1=_0x56bed6;return _0x446750[_0x31bfa1(0x56f)];})[_0x56bed6(0x205)](function(_0xb20af5){const _0x2c6f06=_0x56bed6;return _0xb20af5[_0x2c6f06(0x56f)];})[_0x56bed6(0x175d)]();_0x1cbdeb[_0x56bed6(0x1df5)](function(_0x305203){_0x276bd2[_0x305203]=!![];}),_0x410d2d(_0x276bd2);}else _0x410d2d({});})['catch'](function(_0x27c953){_0x29a924(_0x27c953);});});}function _0x52ad89(){const _0x505cc7=a0_0x3bb9;return _0x198eac[_0x505cc7(0x1c39)][_0x505cc7(0x1c65)]||_0x505cc7(0x232a);}function _0x5a3635(_0x24b476){const _0x35bb65=a0_0x3bb9;_0x198eac['localStorage'][_0x35bb65(0x1c65)]=_0x24b476;}function _0x33709d(_0x484685){const _0x2654e2=a0_0x3bb9;return _0x5a3635(_0x2654e2(0x1433)),_0x2654e2(0x28ed)+_0x484685;}}const _0x9164a=_0x46298c;;_0x556b6b[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),_0x313a4d(0xd4b)];function _0x556b6b(_0x51bc7a,_0x35dbe1){const _0x21ce14=_0x313a4d,_0x4bd7f9=this;function _0x5b166c(_0x5288a4){const _0x4209dc=a0_0x3bb9;_0x51bc7a[_0x4209dc(0x2458)](_0x5288a4);}_0x4bd7f9[_0x21ce14(0xd4b)]=_0x35dbe1,_0x4bd7f9[_0x21ce14(0x13f3)]=_0x5b166c,_0x35dbe1[_0x21ce14(0x51c)]&&(_0x4bd7f9[_0x21ce14(0x19eb)]=_0x35dbe1[_0x21ce14(0x19c7)][0x0][_0x21ce14(0x19eb)]);}const _0x5ce231=_0x556b6b;;_0x471091[_0x313a4d(0x11c2)]=[_0x313a4d(0x1fc2),'$q',_0x313a4d(0x23df),_0x313a4d(0x1cd5),_0x313a4d(0x1b57)];function _0x471091(_0x43740f,_0x508fcb,_0x32aacd,_0x5f029c,_0xfa36a1){let _0x1521db;return{'request':function(_0x396cc5){const _0x5d5e09=a0_0x3bb9,_0x1f52f2=_0x32aacd[_0x5d5e09(0x16b4)]('motion.token');return _0x396cc5[_0x5d5e09(0xa01)]=_0x396cc5['headers']||{},(![]||_0xfa36a1[_0x5d5e09(0x30f)](_0x396cc5[_0x5d5e09(0x20cc)]))&&_0x1f52f2&&(_0x396cc5['headers'][_0x5d5e09(0x19f2)]='Bearer\x20'+_0x1f52f2),_0x396cc5;},'responseError':function(_0x5565e6){const _0x24a813=a0_0x3bb9;switch(_0x5565e6[_0x24a813(0x107b)]){case 0x191:_0x32aacd[_0x24a813(0x2640)](_0x24a813(0xe2d)),(_0x1521db||(_0x1521db=_0x5f029c[_0x24a813(0x16b4)](_0x24a813(0x1862))))['go']('app.login');break;case 0x194:break;default:break;}return _0x508fcb[_0x24a813(0xa6f)](_0x5565e6);}};}const _0x56f789=_0x471091;;_0x567a51[_0x313a4d(0x11c2)]=[_0x313a4d(0x1aa9)];function _0x567a51(_0x2f4368){const _0x389fa4={'safeCb':function(_0x30a895){const _0xa86397=a0_0x3bb9;return angular[_0xa86397(0x1e2c)](_0x30a895)?_0x30a895:angular[_0xa86397(0x309)];},'urlParse':function(_0x506f38){const _0x2298ab=a0_0x3bb9,_0x9e5a6f=document[_0x2298ab(0x24ec)]('a');return _0x9e5a6f[_0x2298ab(0x1b3c)]=_0x506f38,_0x9e5a6f[_0x2298ab(0x148e)]===''&&(_0x9e5a6f[_0x2298ab(0x1b3c)]=_0x9e5a6f[_0x2298ab(0x1b3c)]),_0x9e5a6f;},'isSameOrigin':function(_0x4e41d4,_0xd83992){const _0x124cbf=a0_0x3bb9;return _0x4e41d4=_0x389fa4[_0x124cbf(0x99a)](_0x4e41d4),_0xd83992=_0xd83992&&[][_0x124cbf(0x163c)](_0xd83992)||[],_0xd83992=_0xd83992[_0x124cbf(0x205)](_0x389fa4[_0x124cbf(0x99a)]),_0xd83992[_0x124cbf(0x1f47)](_0x2f4368[_0x124cbf(0x1002)]),_0xd83992=_0xd83992[_0x124cbf(0x1dd6)](function(_0x582421){const _0x296a03=_0x124cbf,_0x2fb64d=_0x4e41d4[_0x296a03(0x1e7e)]===_0x582421[_0x296a03(0x1e7e)],_0x1b1c8e=_0x4e41d4[_0x296a03(0x2414)]===_0x582421[_0x296a03(0x2414)],_0x48bdce=_0x4e41d4[_0x296a03(0x1553)]===_0x582421['port']||_0x582421['port']===''&&(_0x4e41d4['port']==='80'||_0x4e41d4[_0x296a03(0x1553)]===_0x296a03(0x9af));return _0x2fb64d&&_0x1b1c8e&&_0x48bdce;}),_0xd83992[_0x124cbf(0x402)]>=0x1;}};return _0x389fa4;}const _0x489b0c=_0x567a51;;angular[_0x313a4d(0x2528)](_0x313a4d(0x2513),[])[_0x313a4d(0x989)](_0x2ace89)['run'](_0x5d3b89)['factory']('Auth',_0x9164a)[_0x313a4d(0x28f0)]('HotDeskDialogController',_0x5ce231)[_0x313a4d(0x1750)]('authInterceptor',_0x56f789)['factory'](_0x313a4d(0x1b57),_0x489b0c);var _0x15b6e4=_0x4acfac(0xca2),_0x4e2517=_0x4acfac(0x1e94),_0x871a91=_0x4acfac(0x1e80),_0x5bbb0a=_0x4acfac(0x11ee),_0x164a4a=_0x4acfac(0x36e),_0x51cb15=_0x4acfac(0x1bdb),_0x59316b=_0x4acfac(0x1c17),_0x550f4d=_0x4acfac(0x813),_0x5df35c=_0x4acfac(0xdff),_0x3c4102=_0x4acfac(0x251),_0x4f1a2c=_0x4acfac(0xdf),_0x40b040=_0x4acfac(0x1299),_0x81833a=_0x4acfac(0x177),_0x2e10c3=_0x4acfac(0x573);;function _0x3af8ab(){const _0x168d32=_0x313a4d;let _0x4aa867={'disableCustomScrollbars':![],'disableMdInkRippleOnMobile':!![],'disableCustomScrollbarsOnMobile':!![]};function _0x4aa48b(_0x12701a){const _0x157883=a0_0x3bb9;_0x4aa867=angular[_0x157883(0xd9b)]({},_0x4aa867,_0x12701a);}function _0x160f07(_0x1bf1ad){const _0x24d829=a0_0x3bb9;if(angular[_0x24d829(0x1619)](_0x4aa867[_0x1bf1ad]))return![];return _0x4aa867[_0x1bf1ad];}function _0x3d6dcf(_0x22485c,_0x190d93){_0x4aa867[_0x22485c]=_0x190d93;}this[_0x168d32(0x980)]=function(){const _0x32c9fe={'getConfig':_0x160f07,'setConfig':_0x3d6dcf};return _0x32c9fe;},this[_0x168d32(0x989)]=_0x4aa48b;}const _0x5e62d5=_0x3af8ab;;_0x4f7740[_0x313a4d(0x11c2)]=[_0x313a4d(0x12c6),'$logProvider','msScrollConfigProvider',_0x313a4d(0x9cf)];function _0x4f7740(_0x4dce17,_0x2f927f,_0xa26b30,_0x24fb87){const _0x20313f=_0x313a4d;_0x2f927f['debugEnabled'](!![]),_0x4dce17[_0x20313f(0x989)]({'tabindex':![]}),_0x24fb87[_0x20313f(0x989)]({'disableCustomScrollbars':![],'disableCustomScrollbarsOnMobile':!![],'disableMdInkRippleOnMobile':!![]}),_0xa26b30[_0x20313f(0x989)]({'wheelPropagation':!![]});}const _0x3ab618=_0x4f7740;;_0x299113[_0x313a4d(0x11c2)]=[_0x313a4d(0x216c),_0x313a4d(0x1373),'motionConfig'];function _0x299113(_0x67a291,_0x4d1e2d,_0x397be5){const _0x299ff3=_0x313a4d;_0x4d1e2d[_0x299ff3(0x1bf)]();if(_0x397be5[_0x299ff3(0xfe8)]('disableMdInkRippleOnMobile')&&_0x67a291[_0x299ff3(0x2574)]()){const _0x3a4048=angular[_0x299ff3(0x1853)](_0x299ff3(0x2586));_0x3a4048[_0x299ff3(0x1861)](_0x299ff3(0x1ff),!![]);}_0x67a291[_0x299ff3(0x2574)]()&&angular['element'](_0x299ff3(0x1931))['addClass'](_0x299ff3(0x112c));const _0x2d598a=_0x67a291[_0x299ff3(0x17dc)]();if(_0x2d598a){const _0x1d5fc2=_0x2d598a[_0x299ff3(0x1506)]+'\x20'+_0x2d598a[_0x299ff3(0x11b7)]+'\x20'+_0x2d598a['os'];angular[_0x299ff3(0x1853)](_0x299ff3(0x1931))[_0x299ff3(0x14cf)](_0x1d5fc2);}}const _0x52f731=_0x299113;;_0x4a2913[_0x313a4d(0x11c2)]=[_0x313a4d(0x1aa9),_0x313a4d(0x10e8),_0x313a4d(0xa87),_0x313a4d(0x15bb),_0x313a4d(0x2867),_0x313a4d(0x15f0)];function _0x4a2913(_0x4546cc,_0x1372ec,_0x176bec,_0x9d4eca,_0x389f8a,_0x21c859){const _0x5b9447=_0x313a4d,_0x10c553=this;_0x10c553[_0x5b9447(0x2321)]=_0x176bec[_0x5b9447(0xb12)](),_0x10c553[_0x5b9447(0x704)]={'matchType':_0x5b9447(0x1221),'conditions':[],'fields':_0x389f8a},_0x10c553[_0x5b9447(0x5e1)]=_0x9d4eca,_0x10c553[_0x5b9447(0x3d4)]=_0x390c81,_0x10c553['applyFilter']=_0x331118,_0x10c553[_0x5b9447(0x736)]=_0x3c9955,_0x10c553[_0x5b9447(0xcaf)]=_0x362f2e,_0x10c553[_0x5b9447(0xa7c)]=_0x4d0695;function _0x390c81(){const _0x3b3f03=_0x5b9447;if(_0x21c859){const _0x25b00a=_0x24aee2();typeof _0x25b00a['advancedSearch']!==_0x3b3f03(0x2274)&&(_0x10c553[_0x3b3f03(0x704)]=_0x3f65c0()[_0x3b3f03(0x168d)](_0x10c553[_0x3b3f03(0x704)],_0x25b00a['advancedSearch']));}}function _0x331118(){const _0x166b80=_0x5b9447,_0x29e9bc=_0x3f65c0()[_0x166b80(0x1dd6)](_0x10c553[_0x166b80(0x704)]['conditions'],[_0x166b80(0x2862),![]]);let _0x4995e7='['+_0x10c553[_0x166b80(0x704)]['matchType']+']';const _0x3c1307=[];let _0x27623f='';_0x3f65c0()(_0x29e9bc)[_0x166b80(0x253)]('name')[_0x166b80(0x1df5)](function(_0x8faa80){const _0x505237=_0x166b80,_0x442a62=_0x8faa80[0x0],_0xa68b74=_0x8faa80[_0x505237(0x402)]>0x1?!![]:![],_0x1e9c6d=_0x442a62[_0x505237(0x1a56)]['column'];if(_0xa68b74){const _0x4ceb40=_0x3f65c0()(_0x8faa80)[_0x505237(0x253)](function(_0xb3def8){const _0x8da1e8=_0x505237;return _0xb3def8[_0x8da1e8(0x1a56)][_0x8da1e8(0x937)];})[_0x505237(0x1de4)](function(_0x37236a){const _0xf36dc3=_0x505237;return _0x3f65c0()[_0xf36dc3(0x1b28)](_0x37236a)[_0xf36dc3(0x205)](function(_0x11999f){const _0x753f7f=_0xf36dc3;return _0x11999f[_0x753f7f(0x1a56)][_0x753f7f(0x175d)];})[_0xf36dc3(0xbe3)]()[_0xf36dc3(0x175d)]();})[_0x505237(0x175d)](),_0x33877c=[];_0x3f65c0()[_0x505237(0x627)](_0x4ceb40)['forEach'](function(_0x5acd0e){const _0x175be7=_0x505237,_0x2490ec=_0x4ceb40[_0x5acd0e];let _0x4fe954=_0x5acd0e;_0x3f65c0()[_0x175be7(0xa4e)]([_0x175be7(0x138b),_0x175be7(0x1f6a),_0x175be7(0xbe5)],_0x5acd0e)?_0x4fe954+='['+_0x2490ec+']':_0x2490ec[_0x175be7(0x1df5)](function(_0x3a3f91){_0x4fe954+='['+_0x3a3f91+']';}),_0x33877c['push'](_0x4fe954);}),_0x27623f+=_0x1e9c6d+':='+_0x33877c['join'](':=');}else _0x27623f=_0x1e9c6d+':='+_0x442a62['query']['operator']+'['+_0x442a62['query']['value']+']';_0x3c1307[_0x505237(0x1f47)](_0x27623f);}),_0x4995e7+=_0x3c1307['join']('||'),_0x3c4def(),_0x1372ec[_0x166b80(0x2458)](_0x4995e7);}function _0x3c9955(){const _0x275ca5=_0x5b9447;_0x10c553['searchOptions'][_0x275ca5(0x1072)]=[],_0x3a481f();}function _0x362f2e(){const _0x3a8b8c=_0x5b9447;_0x3c4def(),_0x1372ec[_0x3a8b8c(0x2458)]();}function _0x4d0695(){const _0x1e01ad=_0x5b9447;_0x1372ec[_0x1e01ad(0x2458)](![]);}function _0x24aee2(){const _0x5a3560=_0x5b9447,_0x4e04bf=_0x4546cc[_0x5a3560(0x1c39)][_0x5a3560(0x1519)](_0x5a3560(0x21c1)+_0x21c859+':'+_0x10c553[_0x5a3560(0x2321)]['id']);return _0x4e04bf?JSON[_0x5a3560(0x975)](_0x4e04bf):{};}function _0x9ec394(_0x22afe3){const _0x374d59=_0x5b9447;_0x4546cc['localStorage']['setItem'](_0x374d59(0x21c1)+_0x21c859+':'+_0x10c553[_0x374d59(0x2321)]['id'],JSON[_0x374d59(0x10bb)](_0x22afe3));}function _0x3c4def(){const _0x82a32e=_0x5b9447;if(_0x21c859){const _0x5c3442=_0x24aee2();_0x5c3442[_0x82a32e(0xe93)]=_0x3f65c0()[_0x82a32e(0x2432)](_0x10c553['searchOptions'],'fields'),_0x9ec394(_0x5c3442);}}function _0x3a481f(){const _0x4682f9=_0x5b9447;if(_0x21c859){const _0x649d76=_0x24aee2();delete _0x649d76['advancedSearch'];if(_0x649d76['query'])delete _0x649d76['query'][_0x4682f9(0x21da)];_0x9ec394(_0x649d76);}}}const _0x52dc59=_0x4a2913;;_0x102b34[_0x313a4d(0x11c2)]=[_0x313a4d(0x1abe)];function _0x102b34(_0xd21b03){return{'restrict':'A','link':function(_0x47fd04,_0x23686d,_0x503610){const _0x357d23=a0_0x3bb9;_0x47fd04[_0x357d23(0x21e8)](_0x503610[_0x357d23(0x2588)],function(_0x29be7b){_0xd21b03(function(){const _0x4b092f=a0_0x3bb9;_0x29be7b?_0x23686d[0x0]['focus']():_0x23686d[0x0][_0x4b092f(0x2076)]();});});}};}const _0x5ea21c=_0x102b34;var _0x4acf25=_0x4acfac(0x1433),_0x327455=_0x4acfac['n'](_0x4acf25);;_0x28e3c3[_0x313a4d(0x11c2)]=[_0x313a4d(0x1abe),'$q','$interpolate'];function _0x28e3c3(_0x5a3098,_0x2cc3e2,_0x4ec29c){return{'restrict':'E','compile':function(_0x32d188,_0x5c7447){const _0x791e49=a0_0x3bb9;let _0x400b5e;return!_0x5c7447[_0x791e49(0x2148)]&&(_0x400b5e=_0x32d188['html'](),_0x32d188[_0x791e49(0xb58)]()),function(_0x9b6302,_0x34e28a,_0x5825f4){const _0x3428a0=_0x791e49;_0x5825f4['code']&&(_0x400b5e=_0x9b6302[_0x3428a0(0xb0c)](_0x5825f4[_0x3428a0(0x2148)]));const _0x418dfb=_0x9b6302[_0x3428a0(0xb0c)](_0x5825f4[_0x3428a0(0xe6e)]);_0x2cc3e2[_0x3428a0(0x19d0)](_0x400b5e)[_0x3428a0(0x146b)](function(_0x4b0a99){const _0xc80e73=_0x3428a0;if(_0x4b0a99){_0x418dfb&&(_0x4b0a99=_0x4ec29c(_0x4b0a99)(_0x9b6302));const _0xb3d4bc=angular[_0xc80e73(0x1853)](_0xc80e73(0x28c));_0x34e28a['append'](_0xb3d4bc),_0x5a3098(function(){_0x160bf6(_0x4b0a99,_0xb3d4bc);},0x22,![]);}});function _0x160bf6(_0x348fc3,_0x587c0d){const _0x4e8279=_0x3428a0,_0x3a4f06=_0x587c0d['find'](_0x4e8279(0x2148));let _0x210248=_0x348fc3[_0x4e8279(0x10c8)]('\x0a');_0x210248=_0x210248[_0x4e8279(0x1dd6)](function(_0x5dd760){const _0x5ea9bd=_0x4e8279;return _0x5dd760['trim']()[_0x5ea9bd(0x402)];});const _0x57b8bd=_0x210248[0x0]['match'](/^\s*/)[0x0],_0x48bb64=new RegExp('^'+_0x57b8bd);_0x210248=_0x210248['map'](function(_0x34d01f){const _0x348e12=_0x4e8279;return _0x34d01f['replace'](_0x48bb64,'')[_0x348e12(0x5f4)](/\s+$/,'');});const _0x2f5156=_0x327455()[_0x4e8279(0x1469)](_0x5825f4[_0x4e8279(0x90b)]||_0x5825f4[_0x4e8279(0x1a3e)],_0x210248[_0x4e8279(0xb47)]('\x0a'),!![]);_0x2f5156['value']=_0x2f5156[_0x4e8279(0x175d)]['replace'](/=""<\/span>/gi,'')[_0x4e8279(0x5f4)](_0x4e8279(0x2ec),'')[_0x4e8279(0x5f4)](_0x4e8279(0x1b33),''),_0x3a4f06[_0x4e8279(0x1034)](_0x2f5156[_0x4e8279(0x175d)])[_0x4e8279(0x14cf)](_0x4e8279(0x1469));}};}};}const _0x9081f3=_0x28e3c3;;const _0x3957bb=_0x4acfac['p']+'src/js/modules/core/directives/ms-advanced-search/ms-advanced-search.html/ms-advanced-search.html';;_0x505106['$inject']=[_0x313a4d(0x214b),'$q',_0x313a4d(0x247f),_0x313a4d(0x1fe4)];function _0x5355a1(){return{'restrict':'E','scope':{'options':'=','clearFilter':'&'},'controller':_0x505106,'controllerAs':'vm','bindToController':!![],'templateUrl':_0x3957bb};}function _0x505106(_0x52185f,_0x1367f4,_0x12c020,_0xdaf68a){const _0x4fa0ab=_0x313a4d,_0x33e76f=this;_0x33e76f[_0x4fa0ab(0x1bca)]=[{'type':_0x4fa0ab(0x6be),'symbol':'=','translate':_0x4fa0ab(0x744),'excludeFrom':[_0x4fa0ab(0x175c)]},{'type':_0x4fa0ab(0x1671),'symbol':'≠','translate':_0x4fa0ab(0x16ac),'excludeFrom':[_0x4fa0ab(0x175c)]},{'type':_0x4fa0ab(0x1f6a),'symbol':'∈','translate':'IS_AMONG','applyTo':[_0x4fa0ab(0x175c)]},{'type':'$notIn','symbol':'∉','translate':'IS_NOT_AMONG','applyTo':['multiselect']},{'type':'$substring','symbol':'⊃','translate':'CONTAINS','applyTo':[_0x4fa0ab(0xa8d)]},{'type':_0x4fa0ab(0x53d),'symbol':_0x4fa0ab(0x107c),'translate':_0x4fa0ab(0xf4e),'applyTo':['text']},{'type':'$endsWith','symbol':_0x4fa0ab(0x25f3),'translate':'ENDS_WITH','applyTo':[_0x4fa0ab(0xa8d)]},{'type':'$lt','symbol':'<','translate':'IS_LESS_THAN','applyTo':[_0x4fa0ab(0x1a10),'number']},{'type':'$gt','symbol':'>','translate':_0x4fa0ab(0xc02),'applyTo':['date','number']},{'type':'$lte','symbol':'≤','translate':'IS_LESS_THAN_OR_EQUAL_TO','applyTo':[_0x4fa0ab(0x1a10),_0x4fa0ab(0x181)]},{'type':'$gte','symbol':'≥','translate':_0x4fa0ab(0x1706),'applyTo':[_0x4fa0ab(0x1a10),_0x4fa0ab(0x181)]},{'type':'$between','symbol':'≬','translate':_0x4fa0ab(0xa42),'applyTo':[_0x4fa0ab(0x1a10),'number']}],_0x33e76f[_0x4fa0ab(0x18c2)]=_0x33e76f[_0x4fa0ab(0x1bca)],_0x33e76f[_0x4fa0ab(0x1b84)]=_0x25f6e3,_0x33e76f['editCondition']=_0x21817e,_0x33e76f[_0x4fa0ab(0x186c)]=_0x3fdac5,_0x33e76f[_0x4fa0ab(0x1447)]=_0x8ca186,_0x33e76f[_0x4fa0ab(0x25bd)]=_0x534dba,_0x33e76f[_0x4fa0ab(0x31d)]=_0x583d48,_0x33e76f[_0x4fa0ab(0x10ee)]=_0x57ddc7,_0x33e76f[_0x4fa0ab(0xb50)]=_0x246ac6,_0x33e76f['onOperatorChange']=_0x582505,_0x33e76f['onValueChange']=_0x51f26e,_0x33e76f[_0x4fa0ab(0x1ffd)]=_0x20c5ce,_0x33e76f['handleKeyboardAction']=_0x58fa32;function _0x246ac6(){const _0xc1d9ab=_0x4fa0ab;_0x33e76f[_0xc1d9ab(0x175d)]=undefined,_0x33e76f[_0xc1d9ab(0x1deb)]=undefined,_0x33e76f['searchText']=undefined,_0x33e76f[_0xc1d9ab(0x50e)]=![],_0x4fa87(),_0x33e76f[_0xc1d9ab(0xde2)]=_0x33e76f[_0xc1d9ab(0x1bca)][_0xc1d9ab(0x402)]===0x1?!![]:![];if(!_0x33e76f[_0xc1d9ab(0xde2)])_0x33e76f[_0xc1d9ab(0x588)]=undefined;}function _0x582505(_0x59af21){const _0x5235ca=_0x4fa0ab;_0x33e76f[_0x5235ca(0x99e)]=!![],_0x33e76f[_0x5235ca(0x588)]&&(_0x59af21?_0x59af21[_0x5235ca(0x8f1)]?_0x3f65c0()[_0x5235ca(0xa62)](_0x33e76f[_0x5235ca(0x588)]['applyTo'],_0x59af21[_0x5235ca(0x8f1)])[_0x5235ca(0x402)]>0x0&&(_0x33e76f[_0x5235ca(0x175d)]=null):_0x33e76f['selectedOperator']['applyTo']&&(_0x33e76f[_0x5235ca(0x175d)]=null):_0x33e76f[_0x5235ca(0x175d)]=null,_0x33e76f[_0x5235ca(0x588)][_0x5235ca(0x1142)]!='between'&&(_0x33e76f[_0x5235ca(0x1deb)]=null),_0x33e76f['selectedField'][_0x5235ca(0xa08)]&&!_0x3f65c0()[_0x5235ca(0x2635)](_0x33e76f['selectedField'][_0x5235ca(0xa08)][_0x5235ca(0xaa6)])&&(_0x33e76f[_0x5235ca(0x588)]['isExtra']=_0x3f65c0()['some'](_0x33e76f[_0x5235ca(0x16d4)][_0x5235ca(0xa08)]['extraOperators'],function(_0x3f15d4){const _0x95371f=_0x5235ca;return _0x3f15d4===_0x33e76f[_0x95371f(0x588)][_0x95371f(0x1142)];}))),_0x418d31();}function _0x51f26e(){const _0xe596f0=_0x4fa0ab;if(_0x33e76f['selectedOperator']&&_0x33e76f['selectedOperator'][_0xe596f0(0x1142)]===_0xe596f0(0x138b)){if(_0x33e76f[_0xe596f0(0x16d4)][_0xe596f0(0x1142)]===_0xe596f0(0x181))_0x20c5ce();else _0x33e76f[_0xe596f0(0x16d4)]['type']===_0xe596f0(0x1a10)&&(_0x33e76f[_0xe596f0(0x184d)]=_0x2deec6()(_0x33e76f[_0xe596f0(0x175d)])[_0xe596f0(0x387)](0x1,_0xe596f0(0x1066)),_0x418d31());}else _0x418d31();}function _0x4fa87(){const _0x2a1575=_0x4fa0ab,_0x2b75f8=_0x33e76f[_0x2a1575(0x16d4)][_0x2a1575(0xa08)]&&!_0x3f65c0()[_0x2a1575(0x2635)](_0x33e76f[_0x2a1575(0x16d4)][_0x2a1575(0xa08)][_0x2a1575(0xaa6)]),_0x354db6=_0x33e76f['selectedField'][_0x2a1575(0xa08)]&&!_0x3f65c0()[_0x2a1575(0x2635)](_0x33e76f[_0x2a1575(0x16d4)][_0x2a1575(0xa08)][_0x2a1575(0x930)]);_0x33e76f[_0x2a1575(0x1bca)]=_0x3f65c0()[_0x2a1575(0x1dd6)](_0x33e76f['operators'],function(_0x5dad4c){const _0x32373a=_0x2a1575,_0x4dc315=!_0x5dad4c[_0x32373a(0x8f1)]||_0x3f65c0()[_0x32373a(0xa4e)](_0x5dad4c[_0x32373a(0x8f1)],_0x33e76f[_0x32373a(0x16d4)][_0x32373a(0x1142)]);_0x5dad4c[_0x32373a(0x2600)]=_0x2b75f8&&_0x3f65c0()[_0x32373a(0x1360)](_0x33e76f[_0x32373a(0x16d4)]['options'][_0x32373a(0xaa6)],function(_0x183517){const _0xd96a7a=_0x32373a;return _0x183517===_0x5dad4c[_0xd96a7a(0x1142)];});const _0x49e9cc=_0x3f65c0()[_0x32373a(0xa4e)](_0x5dad4c['excludeFrom'],_0x33e76f['selectedField'][_0x32373a(0x1142)])||_0x354db6&&_0x3f65c0()[_0x32373a(0xa4e)](_0x33e76f[_0x32373a(0x16d4)][_0x32373a(0xa08)]['excludedOperators'],_0x5dad4c[_0x32373a(0x1142)]);if((_0x4dc315||_0x5dad4c[_0x32373a(0x2600)])&&!_0x49e9cc)return _0x5dad4c;});}function _0x57ddc7(){const _0x334ef3=_0x4fa0ab,_0x2bf477=angular[_0x334ef3(0x235a)](_0x33e76f[_0x334ef3(0x16d4)]['options']['route']);if(_0x33e76f['selectedField'][_0x334ef3(0x1142)]===_0x334ef3(0x14ee))for(let _0x23bbe5=0x0;_0x23bbe5Number(_0x33e76f['value'])?!![]:![]:_0x33e76f['isValidRange']=!![];else _0x33e76f['selectedField']['type']===_0x1f6489(0x1a10)&&(_0x33e76f[_0x1f6489(0xe2b)]=_0x2deec6()(_0x33e76f[_0x1f6489(0x1deb)])[_0x1f6489(0xd7b)](0x1,_0x1f6489(0x1066)),_0x33e76f[_0x1f6489(0x99e)]=!![]);_0x418d31();}function _0x25f6e3(){const _0x4972df=_0x4fa0ab,_0x278bdf=_0x48d132();_0x33e76f['options'][_0x4972df(0x1072)][_0x4972df(0x1f47)](_0x278bdf),_0x8cb56e();}function _0x21817e(_0x4e6191){const _0x1a0d04=_0x4fa0ab;_0x33e76f['pendingCondition']=_0x4e6191,_0x33e76f[_0x1a0d04(0x25da)]['style']=_0x1a0d04(0x1838),_0x33e76f[_0x1a0d04(0x16d4)]=_0x3f65c0()[_0x1a0d04(0xc84)](_0x33e76f[_0x1a0d04(0xa08)][_0x1a0d04(0x2867)],[_0x1a0d04(0x19eb),_0x33e76f[_0x1a0d04(0x25da)][_0x1a0d04(0x19eb)]]),_0x4fa87(),_0x33e76f[_0x1a0d04(0x588)]=_0x3f65c0()[_0x1a0d04(0xc84)](_0x33e76f[_0x1a0d04(0x1bca)],['type',_0x33e76f[_0x1a0d04(0x25da)]['query'][_0x1a0d04(0x937)]]),_0x33e76f[_0x1a0d04(0x16d4)]['type']===_0x1a0d04(0x1a10)&&typeof _0x33e76f[_0x1a0d04(0x25da)][_0x1a0d04(0x175d)]===_0x1a0d04(0x1f23)?(_0x33e76f[_0x1a0d04(0x175d)]=new Date(_0x33e76f[_0x1a0d04(0x25da)][_0x1a0d04(0x175d)]),_0x33e76f['minDateRange']=_0x2deec6()(_0x33e76f[_0x1a0d04(0x175d)])[_0x1a0d04(0x387)](0x1,_0x1a0d04(0x1066)),_0x33e76f[_0x1a0d04(0x1deb)]=_0x33e76f[_0x1a0d04(0x25da)][_0x1a0d04(0x25db)]?new Date(_0x33e76f[_0x1a0d04(0x25da)]['endValue']):undefined):(_0x33e76f['value']=_0x33e76f[_0x1a0d04(0x25da)][_0x1a0d04(0x175d)],_0x33e76f[_0x1a0d04(0x1deb)]=_0x33e76f[_0x1a0d04(0x25da)][_0x1a0d04(0x25db)]),_0x33e76f[_0x1a0d04(0x99e)]=!![],_0x33e76f[_0x1a0d04(0x50e)]=!![];}function _0x3fdac5(){const _0x3ad0c8=_0x4fa0ab,_0x5c77db=_0x48d132(),_0x59974d=_0x3f65c0()['findIndex'](_0x33e76f['options'][_0x3ad0c8(0x1072)],_0x3ad0c8(0x2376));_0x33e76f[_0x3ad0c8(0xa08)][_0x3ad0c8(0x1072)][_0x3ad0c8(0x1f7d)](_0x59974d,0x1,_0x5c77db),_0x8cb56e(),_0x33e76f[_0x3ad0c8(0x25da)]['style']=null,_0x33e76f[_0x3ad0c8(0x25da)]=undefined;}function _0x8ca186(){const _0x46ed60=_0x4fa0ab;_0x33e76f[_0x46ed60(0x25da)][_0x46ed60(0x2376)]=null,_0x33e76f['pendingCondition']=undefined;}function _0x534dba(_0x4d6820){const _0x4a8552=_0x4fa0ab;_0x4d6820[_0x4a8552(0x2376)]=_0x4d6820[_0x4a8552(0x2862)]?undefined:'chip-disabled',_0x4d6820[_0x4a8552(0x2862)]=!_0x4d6820['disabled'];}function _0x583d48(){const _0x590f35=_0x4fa0ab;if(_0x3f65c0()['isEmpty'](_0x33e76f[_0x590f35(0xa08)][_0x590f35(0x1072)]))_0x33e76f[_0x590f35(0x4f1)]();}function _0x58fa32(){const _0x3330ca=_0x4fa0ab;_0x33e76f[_0x3330ca(0x25da)]?_0x3fdac5():_0x25f6e3();}function _0x48d132(){const _0xea5c32=_0x4fa0ab;return{'name':_0x33e76f[_0xea5c32(0x16d4)]['name'],'operator':_0x52185f['instant']('DASHBOARDS.'+_0x33e76f[_0xea5c32(0x588)][_0xea5c32(0x2895)]),'value':_0x33e76f[_0xea5c32(0x175d)],'endValue':_0x33e76f[_0xea5c32(0x1deb)],'displayValue':_0x26b742(_0x33e76f[_0xea5c32(0x175d)]),'displayEndValue':_0x26b742(_0x33e76f[_0xea5c32(0x1deb)]),'query':{'column':_0x33e76f[_0xea5c32(0x16d4)][_0xea5c32(0x1dbb)],'operator':_0x33e76f[_0xea5c32(0x588)][_0xea5c32(0x1142)],'value':_0x2d2f90()},'disabled':![]};}function _0x26b742(_0xa80258){const _0xc04be2=_0x4fa0ab;let _0x16e5ed;if(!_0xa80258)return undefined;switch(_0x33e76f['selectedField'][_0xc04be2(0x1142)]){case _0xc04be2(0x1a10):_0x16e5ed=_0x2deec6()(_0xa80258)[_0xc04be2(0x22b0)]('YYYY-MM-DD');break;case _0xc04be2(0x175c):{const _0x3a5a30=_0x3f65c0()[_0xc04be2(0x205)](_0xa80258,function(_0x2f6455){return _0x2f6455['name'];});_0x16e5ed=_0x33e76f[_0xc04be2(0x588)][_0xc04be2(0x1142)]===_0xc04be2(0x6be)?_0x3a5a30[_0xc04be2(0xb47)](_0xc04be2(0x400)):_0x3a5a30[_0xc04be2(0xb47)](_0xc04be2(0x12a9));}break;case _0xc04be2(0xa5f):_0x16e5ed=_0xa80258[_0xc04be2(0x19eb)];break;case'autocomplete':_0x16e5ed=_0x33e76f['selectedOperator']['isExtra']?_0xa80258:_0xa80258[_0xc04be2(0x884)];break;default:_0x16e5ed=_0xa80258;break;}return _0x16e5ed;}function _0x2d2f90(){const _0x3f3ffd=_0x4fa0ab,_0x40db5a=_0x33e76f['value'],_0x2edd31=_0x33e76f['endRangeValue'];let _0x5f3d20;switch(_0x33e76f[_0x3f3ffd(0x16d4)]['type']){case _0x3f3ffd(0x1a10):{const _0x2a569c=_0x2deec6()(_0x40db5a)[_0x3f3ffd(0x65f)](0x0,!![])[_0x3f3ffd(0x22b0)](_0x3f3ffd(0x17d5));if(_0x33e76f[_0x3f3ffd(0x588)][_0x3f3ffd(0x1142)]===_0x3f3ffd(0x138b)){const _0x325873=_0x2deec6()(_0x2edd31)['utcOffset'](0x0,!![])[_0x3f3ffd(0x22b0)](_0x3f3ffd(0x17d5));_0x5f3d20=[_0x2a569c,_0x325873];}else _0x5f3d20=_0x2a569c;}break;case _0x3f3ffd(0x181):_0x5f3d20=_0x33e76f[_0x3f3ffd(0x588)][_0x3f3ffd(0x1142)]===_0x3f3ffd(0x138b)?[_0x40db5a,_0x2edd31]:_0x40db5a;break;case _0x3f3ffd(0x175c):{const _0x2176cf=_0x33e76f[_0x3f3ffd(0x16d4)][_0x3f3ffd(0xa08)][_0x3f3ffd(0x65c)]||'id';_0x5f3d20=_0x3f65c0()[_0x3f3ffd(0x205)](_0x40db5a,_0x2176cf);}break;case'select':_0x5f3d20=_0x40db5a['id'];break;case _0x3f3ffd(0x14ee):_0x5f3d20=_0x33e76f[_0x3f3ffd(0x588)][_0x3f3ffd(0x2600)]?_0x40db5a:_0x40db5a['id'];break;default:_0x5f3d20=_0x40db5a;break;}return _0x5f3d20;}function _0x8cb56e(){const _0x283d8f=_0x4fa0ab;_0x33e76f['value']=null,_0x33e76f[_0x283d8f(0x1deb)]=null,_0x33e76f[_0x283d8f(0x184d)]=null,_0x33e76f[_0x283d8f(0xe2b)]=null,_0x33e76f[_0x283d8f(0x50e)]=![];}}const _0x1af157=_0x5355a1;;function _0x34aefb(){const _0x1a9b9d=_0x313a4d;return{'restrict':'E','scope':{'templatePath':'=template','card':_0x1a9b9d(0x1453),'vm':'=viewModel'},'template':_0x1a9b9d(0x1bec),'compile':function(_0x47ffe5){const _0x473f3e=_0x1a9b9d;return _0x47ffe5[_0x473f3e(0x14cf)](_0x473f3e(0x2939)),function _0x3cb897(_0x292216,_0x4633c9){const _0x3ad706=_0x473f3e;function _0x551db6(){const _0x4d9b3a=a0_0x3bb9;_0x292216[_0x4d9b3a(0x26c1)](_0x4d9b3a(0x7ea),_0x4633c9);}_0x292216[_0x3ad706(0x12e)]=_0x551db6;};}};}const _0x1d7bca=_0x34aefb;;function _0x275873(){return{'restrict':'A','link':function(_0x5d0b44,_0x5855c6,_0x566428){const _0x3f05fe=a0_0x3bb9;_0x566428[_0x3f05fe(0x282b)](_0x3f05fe(0x2082),function(_0x15ba17){const _0x56a3c7=_0x3f05fe,_0x5a1855=_0x5855c6[_0x56a3c7(0x3fd)]()[_0x56a3c7(0x3fd)]();!_0x5a1855[_0x56a3c7(0x103c)](_0x56a3c7(0x2337))&&_0x5a1855['addClass'](_0x56a3c7(0x2337));if(!_0x3f65c0()['isEmpty'](_0x15ba17))_0x5a1855[_0x56a3c7(0x14cf)](_0x15ba17);else{const _0x17c3b4=_0x5a1855[0x0][_0x56a3c7(0x1b6c)][_0x56a3c7(0x10c8)]('\x20'),_0x2b8489=_0x17c3b4[_0x56a3c7(0x172b)](_0x56a3c7(0xdd8));_0x17c3b4[_0x56a3c7(0x402)]=_0x2b8489+0x1,_0x5a1855[0x0][_0x56a3c7(0x1b6c)]=_0x17c3b4[_0x56a3c7(0xb47)]('\x20');}});}};}const _0x2c593b=_0x275873;;const _0x5ec85a=_0x4acfac['p']+'src/js/modules/core/directives/ms-click-to-call/ms-click-to-call.html/ms-click-to-call.html';;const _0x4748cf=_0x4acfac['p']+_0x313a4d(0x11c8);;function _0x59fbc6(){const _0x227213=_0x313a4d;return{'restrict':'E','scope':{'type':'=','disabled':'=','target':'=','prefix':'=','license':'='},'controller':[_0x227213(0x910),_0x227213(0x1fc2),'$http','$translate',_0x227213(0x4d8),_0x227213(0x10e8),_0x227213(0xa87),_0x227213(0x1fe4),_0x227213(0x247f),function(_0x34e94b,_0xd9e7dd,_0x504f2c,_0x298e1f,_0x297dcb,_0x558432,_0x1b1bfe,_0x130df7,_0x237792){const _0x23759d=_0x227213;_0x34e94b['currentUser']=_0x1b1bfe[_0x23759d(0xb12)](),_0x34e94b[_0x23759d(0x6e3)]=function(){const _0x55c84a=_0x23759d;return _0x34e94b[_0x55c84a(0xe1d)]&&_0x34e94b['target'][_0x55c84a(0x172b)]('@')<0x0&&_0x34e94b[_0x55c84a(0xe1d)]!=_0x34e94b[_0x55c84a(0x2321)]['internal']&&_0x34e94b[_0x55c84a(0xe1d)]!=_0x34e94b['currentUser'][_0x55c84a(0x19eb)]&&(_0x34e94b[_0x55c84a(0x2321)][_0x55c84a(0xd29)]==0x0&&_0x34e94b[_0x55c84a(0x2321)][_0x55c84a(0x1fb4)]||_0x34e94b[_0x55c84a(0x2321)][_0x55c84a(0xd29)]==0x2&&_0x34e94b[_0x55c84a(0x2690)]['webrtc'])&&!_0x34e94b['disabled'];};function _0x2e508c(_0x5dd2bd){const _0x310572=_0x23759d;return _0x237792[_0x310572(0xe7b)][_0x310572(0x18ba)]({'id':_0x34e94b[_0x310572(0x2321)]['id']})[_0x310572(0x2945)][_0x310572(0x146b)](function(_0x126605){const _0x25e5ee=_0x310572;if(_0x126605[_0x25e5ee(0x51c)])return _0x558432[_0x25e5ee(0x2615)]({'controller':_0x25e5ee(0xaa7),'controllerAs':'vm','templateUrl':_0x4748cf,'parent':angular[_0x25e5ee(0x1853)](_0x297dcb[_0x25e5ee(0x2586)]),'clickOutsideToClose':!![],'locals':{'prefixes':_0x126605,'required':_0x34e94b['currentUser'][_0x25e5ee(0x27f8)]}});})[_0x310572(0x146b)](function(_0x229bf4){const _0x972ab9=_0x310572;if(!_0x34e94b[_0x972ab9(0x2321)]['phoneBarPrefixRequired']){if(_0x229bf4&&_0x229bf4!==-0x1)_0x5cbc3f(_0x229bf4+_0x5dd2bd);else!_0x229bf4&&_0x5cbc3f(_0x5dd2bd);}else _0x229bf4&&_0x229bf4!==-0x1&&_0x5cbc3f(_0x229bf4+_0x5dd2bd);});}function _0x5cbc3f(_0x4cd932){const _0x402a9e=_0x23759d;switch(_0x34e94b[_0x402a9e(0x2321)]['showWebBar']){case 0x0:return _0x348480('http://127.0.0.1:'+(_0x34e94b[_0x402a9e(0x2321)]['phoneBarRemoteControlPort']||_0x402a9e(0x118f))+_0x402a9e(0x596)+_0x32af2f(_0x4cd932));case 0x2:return _0xd9e7dd[_0x402a9e(0x25a4)](_0x402a9e(0x2111),{'target':_0x32af2f(_0x4cd932)});default:break;}}_0x34e94b['call']=function(_0x38d545){const _0x83b9ac=_0x23759d;return _0x34e94b[_0x83b9ac(0x2321)]['showWebBar']!==0x2?_0x2e508c(_0x38d545):_0x5cbc3f(_0x38d545);},_0x34e94b['transfer']=function(_0x38bb37){const _0x3029c2=_0x23759d;switch(_0x34e94b[_0x3029c2(0x2321)][_0x3029c2(0xd29)]){case 0x0:return _0x348480('http://127.0.0.1:'+(_0x34e94b[_0x3029c2(0x2321)][_0x3029c2(0x1dba)]||_0x3029c2(0x118f))+'/api/transfer?number='+_0x32af2f(_0x38bb37));case 0x2:_0xd9e7dd[_0x3029c2(0x25a4)]('webrtc::transfer',{'target':_0x32af2f(_0x38bb37)});break;default:break;}};function _0x32af2f(_0x234568){const _0x17df8b=_0x23759d;return!_0x3f65c0()[_0x17df8b(0x1b36)](_0x234568)?_0x234568[_0x17df8b(0x5f4)](/[^\w.+#*-]+/g,''):'';}function _0x348480(_0x2470b4){const _0x37dbb8=_0x23759d;return _0x504f2c[_0x37dbb8(0x16b4)](_0x2470b4)[_0x37dbb8(0x1c75)](function(){const _0xd09a15=_0x37dbb8;_0x130df7[_0xd09a15(0x1c75)]({'title':'Successful\x20call','msg':'Call\x20properly\x20handled!'});})[_0x37dbb8(0x1980)](function(){const _0x1310c2=_0x37dbb8;_0x130df7['error']({'title':_0x1310c2(0x58a),'msg':_0x298e1f[_0x1310c2(0xde)](_0x1310c2(0x38c))});});}}],'templateUrl':_0x5ec85a};}const _0x2dfd8e=_0x59fbc6;;_0x51e738[_0x313a4d(0x11c2)]=['msDatepickerFixConfig'];function _0x5d1725(){const _0x5795b7=_0x313a4d;_0x53961f[_0x5795b7(0x11c2)]=[_0x5795b7(0x19d7)];const _0x18526e=this;let _0x2c05e1={'formatter':function(_0x9a62e3){if(!_0x9a62e3)return'';return _0x9a62e3===''?_0x9a62e3:new Date(_0x9a62e3);},'parser':function(_0x53a993){const _0x5099ab=_0x5795b7;if(!_0x53a993)return'';return _0x2deec6()(_0x53a993)[_0x5099ab(0x387)](_0x2deec6()(_0x53a993)['utcOffset'](),'m')[_0x5099ab(0x2646)]();}};function _0x53961f(_0x13b1ea){const _0x3c47b5=_0x5795b7;_0x2c05e1=angular[_0x3c47b5(0xd9b)]({},_0x2c05e1,_0x13b1ea);}_0x18526e[_0x5795b7(0x989)]=_0x53961f,_0x18526e[_0x5795b7(0x980)]=function(){return _0x2c05e1;};}function _0x51e738(_0x532443){const _0x55d9f1=_0x313a4d;return{'require':_0x55d9f1(0x213f),'priority':0x1,'link':function(_0x5d5736,_0x4ec342,_0x241820,_0x25d939){const _0x5d405b=_0x55d9f1;_0x25d939[_0x5d405b(0x4c8)][_0x5d405b(0x1f47)](_0x532443[_0x5d405b(0x1920)]),_0x25d939[_0x5d405b(0x1102)][_0x5d405b(0x1f47)](_0x532443[_0x5d405b(0x138c)]);}};};_0x2307f6['$inject']=['api'];function _0x2307f6(_0x58c749){return{'restrict':'E','scope':{'id':'=','model':'=','element':'=','title':'=','path':'='},'replace':!![],'link':function(_0x53ba8b,_0x5053bb){const _0x3977e6=a0_0x3bb9;let _0x1c34bf=![];const _0x9b3d6e={};_0x9b3d6e['id']=_0x53ba8b['id'];!_0x3f65c0()[_0x3977e6(0x1b36)](_0x53ba8b[_0x3977e6(0x27e0)])&&(_0x9b3d6e[_0x3977e6(0x27e0)]=_0x53ba8b['path']);const _0x409a4c=document[_0x3977e6(0x24ec)](_0x53ba8b[_0x3977e6(0x1853)]);_0x409a4c[_0x3977e6(0x1652)](_0x3977e6(0x2366),'none'),_0x409a4c[_0x3977e6(0x1652)](_0x3977e6(0x1606),'true'),_0x53ba8b['element']==='audio'&&_0x409a4c[_0x3977e6(0x1652)]('style','width:\x20265px;'),_0x53ba8b[_0x3977e6(0x1853)]==='video'&&_0x409a4c['setAttribute'](_0x3977e6(0x2376),_0x3977e6(0x138)),_0x409a4c['setAttribute'](_0x3977e6(0x1189),_0x53ba8b[_0x3977e6(0x1189)]),_0x409a4c['setAttribute'](_0x3977e6(0x1006),'\x20'),_0x409a4c[_0x3977e6(0x1482)]=function(_0x9699bf){const _0x2b5b2e=_0x3977e6;!_0x1c34bf&&(_0x1c34bf=!![],_0x9699bf[_0x2b5b2e(0x115c)](),_0x58c749[_0x53ba8b[_0x2b5b2e(0x1320)]][_0x2b5b2e(0x15b1)](_0x9b3d6e)[_0x2b5b2e(0x2945)][_0x2b5b2e(0x146b)](function(_0x21cd42){const _0x293649=_0x2b5b2e,_0x426a97=[_0x21cd42[_0x293649(0x2eb)]],_0x27f346=new Blob(_0x426a97,{'type':_0x21cd42['type']});_0x409a4c[_0x293649(0x1652)](_0x293649(0x1142),_0x21cd42[_0x293649(0x1142)]),_0x409a4c['setAttribute']('src',URL['createObjectURL'](_0x27f346)),_0x409a4c[_0x293649(0x1fee)]();})[_0x2b5b2e(0x129e)](function(_0x4e3703){const _0x3d0dd7=_0x2b5b2e;console[_0x3d0dd7(0x1980)](_0x4e3703);}));},_0x5053bb[_0x3977e6(0x1034)](_0x409a4c);}};}const _0x14140d=_0x2307f6;;const _0x4065d0=_0x4acfac['p']+_0x313a4d(0x2618);;function _0x28ef33(){const _0x28ea5a=_0x313a4d;return{'restrict':'E','scope':{'options':'='},'controller':[_0x28ea5a(0x910),function(_0x3d5f12){const _0x41a68d=_0x28ea5a;_0x3d5f12['canTransfer']=function(_0x126599,_0x17c403,_0x13b238){const _0x1214b3=a0_0x3bb9;if(_0x17c403[_0x1214b3(0x192)])return;else{if(typeof _0x126599[_0x1214b3(0x146f)]!=='undefined'&&_0x126599[_0x1214b3(0x146f)])return _0x13b238?_0x3d5f12[_0x1214b3(0x15b)](_0x17c403['selectedItems'],_0x17c403[_0x1214b3(0x1fd6)],_0x17c403[_0x1214b3(0x1ecf)][_0x1214b3(0x172b)](_0x126599),_0x13b238):_0x3d5f12[_0x1214b3(0x15b)](_0x17c403[_0x1214b3(0x1fd6)],_0x17c403[_0x1214b3(0x1ecf)],_0x17c403['items']['indexOf'](_0x126599),_0x13b238);}},_0x3d5f12[_0x41a68d(0x15b)]=function(_0xda2aa8,_0x4bfbd4,_0x5c9590,_0x50b69){const _0x5103da=_0x41a68d;let _0x2e8fc0=[];if(_0x5c9590>=0x0)_0x2e8fc0[_0x5103da(0x1f47)](_0xda2aa8[_0x5c9590]),_0x4bfbd4['push'](_0xda2aa8[_0x5c9590]),_0xda2aa8[_0x5103da(0x1f7d)](_0x5c9590,0x1);else{for(let _0x585475=0x0;_0x585475<_0xda2aa8[_0x5103da(0x402)];_0x585475++){typeof _0xda2aa8[_0x585475][_0x5103da(0x146f)]!==_0x5103da(0x2274)&&_0xda2aa8[_0x585475][_0x5103da(0x146f)]&&_0x4bfbd4[_0x5103da(0x1f47)](_0xda2aa8[_0x585475]);}for(let _0x3436f6=_0xda2aa8['length']-0x1;_0x3436f6>=0x0;_0x3436f6--){_0xda2aa8[_0x3436f6][_0x5103da(0x146f)]&&_0xda2aa8['splice'](_0x3436f6,0x1);}_0x2e8fc0=_0x4bfbd4;}_0x3d5f12[_0x5103da(0xa08)][_0x5103da(0x201b)]&&_0x3d5f12[_0x5103da(0xa08)]['transferCallback'](_0x2e8fc0,_0x50b69);},_0x3d5f12['getLine']=function(_0x7f2eff,_0x506c17){const _0x44eef5=_0x41a68d;let _0x52e6c5='';if(_0x3f65c0()[_0x44eef5(0x1b36)](_0x506c17))return _0x52e6c5;if(_0x3f65c0()['isArray'](_0x506c17)){for(let _0x59c930=0x0;_0x59c930<_0x506c17[_0x44eef5(0x402)];_0x59c930++){_0x52e6c5+='\x20'+(_0x7f2eff[_0x506c17[_0x59c930]]?_0x7f2eff[_0x506c17[_0x59c930]]:'');}return _0x52e6c5;}else return _0x7f2eff[_0x506c17]?_0x7f2eff[_0x506c17]:'';};}],'templateUrl':_0x4065d0};}const _0xe97843=_0x28ef33;;_0x4673ce[_0x313a4d(0x11c2)]=[_0x313a4d(0x214b)];function _0x4673ce(_0x6b1c0b){const _0x379378=_0x313a4d;return{'restrict':'E','replace':!![],'scope':{'onReply':'&','ngModel':'=','ctrlMethods':'=','pickerPosition':'=','search':'=','recentEmojis':'=','placeholder':'='},'template':_0x379378(0x19ca),'link':function(_0x29ba2b,_0x1771aa){const _0x7378ef=_0x379378,_0x22f32c=_0x1771aa[_0x7378ef(0x1114)]({'pickerPosition':_0x29ba2b[_0x7378ef(0xbc3)],'search':_0x29ba2b[_0x7378ef(0x21da)],'recentEmojis':_0x29ba2b[_0x7378ef(0xb99)],'placeholder':_0x6b1c0b['instant'](_0x29ba2b[_0x7378ef(0x1a02)]||_0x7378ef(0x27ce)),'attributes':{'spellcheck':!![]},'events':{'keypress':function(_0x461b09,_0x5df703){const _0x10bd34=_0x7378ef;_0x29ba2b[_0x10bd34(0x213f)]=this[_0x10bd34(0x23c5)](),_0x29ba2b[_0x10bd34(0x18ca)]({'event':_0x5df703,'body':this[_0x10bd34(0x23c5)]()});},'emojibtn_click':function(){const _0x13cee8=_0x7378ef;_0x29ba2b[_0x13cee8(0x213f)]=this[_0x13cee8(0x23c5)]();}}});_0x29ba2b[_0x7378ef(0x213f)]&&_0x22f32c[0x0][_0x7378ef(0x1114)][_0x7378ef(0xa0d)](_0x29ba2b['ngModel']),_0x29ba2b['internalControl']=_0x29ba2b['ctrlMethods']||{},_0x29ba2b[_0x7378ef(0x299)][_0x7378ef(0xa0d)]=function(_0x1dfe7a){const _0x207a68=_0x7378ef;_0x22f32c[0x0][_0x207a68(0x1114)][_0x207a68(0xa0d)](_0x1dfe7a);},_0x29ba2b[_0x7378ef(0x299)]['getText']=function(){const _0xfcc048=_0x7378ef;return _0x22f32c[0x0][_0xfcc048(0x1114)][_0xfcc048(0x23c5)]();},_0x29ba2b[_0x7378ef(0x21e8)](_0x7378ef(0x213f),function(_0x5b182a){const _0x2b5d6e=_0x7378ef;!_0x5b182a&&_0x22f32c[0x0]['emojioneArea'][_0x2b5d6e(0xa0d)]('');});}};}const _0x4f18c7=_0x4673ce;;const _0x26bdf3=_0x4acfac['p']+_0x313a4d(0x1170);;function _0x53565d(){return{'restrict':'E','scope':{'ngModel':'='},'controller':['$scope',function(_0x3aef42){const _0x146805=a0_0x3bb9;_0x3aef42[_0x146805(0x22d7)]=[{'option':'Arial','value':_0x146805(0x2012)},{'option':_0x146805(0xda8),'value':_0x146805(0x1776)},{'option':_0x146805(0x454),'value':_0x146805(0x1cda)},{'option':_0x146805(0x1c7a),'value':_0x146805(0x261a)},{'option':'Courier\x20New','value':_0x146805(0xc46)},{'option':_0x146805(0xe3a),'value':_0x146805(0xe95)},{'option':_0x146805(0x26af),'value':_0x146805(0x2568)},{'option':_0x146805(0x1670),'value':'Tahoma,Geneva,sans-serif'},{'option':_0x146805(0x28dc),'value':_0x146805(0x1b1d)},{'option':_0x146805(0x721),'value':_0x146805(0x4fb)},{'option':_0x146805(0x28fb),'value':'Verdana,Geneva,sans-serif'}];}],'templateUrl':_0x26bdf3};}const _0xcb3466=_0x53565d;;function _0x19d7eb(){const _0x1c741f=_0x313a4d,_0x40e76c=this;_0x40e76c[_0x1c741f(0x1cf)]=[],_0x40e76c[_0x1c741f(0xc55)]=0x0,_0x40e76c['registerForm']=_0x30d2b5,_0x40e76c[_0x1c741f(0x98a)]=_0x360615,_0x40e76c[_0x1c741f(0x1398)]=_0x3ef85a,_0x40e76c[_0x1c741f(0x182c)]=_0x3620d8,_0x40e76c[_0x1c741f(0x4dc)]=_0x7e2d8a,_0x40e76c['totalSteps']=_0x28ecab,_0x40e76c['isFirstStep']=_0x420198,_0x40e76c[_0x1c741f(0x281)]=_0x38c96c,_0x40e76c[_0x1c741f(0x1305)]=_0x4eb693,_0x40e76c[_0x1c741f(0x9f5)]=_0x5cdce4,_0x40e76c[_0x1c741f(0x392)]=_0xd52a1d,_0x40e76c[_0x1c741f(0x984)]=_0x49b613;function _0x30d2b5(_0x4c909c){const _0x4fa282=_0x1c741f;_0x40e76c[_0x4fa282(0x1cf)][_0x4fa282(0x1f47)](_0x4c909c);}function _0x360615(){const _0x53dbd3=_0x1c741f;if(_0x420198())return;_0x40e76c[_0x53dbd3(0xc55)]--;}function _0x3ef85a(){const _0x12f8a0=_0x1c741f;if(_0x38c96c())return;_0x40e76c[_0x12f8a0(0xc55)]++;}function _0x3620d8(){const _0x308365=_0x1c741f;_0x40e76c[_0x308365(0xc55)]=0x0;}function _0x7e2d8a(){const _0x4b38d3=_0x1c741f;_0x40e76c[_0x4b38d3(0xc55)]=_0x28ecab()-0x1;}function _0x28ecab(){const _0x55b481=_0x1c741f;return _0x40e76c[_0x55b481(0x1cf)][_0x55b481(0x402)];}function _0x420198(){const _0x58fee2=_0x1c741f;return _0x40e76c[_0x58fee2(0xc55)]===0x0;}function _0x38c96c(){return _0x40e76c['selectedIndex']===_0x28ecab()-0x1;}function _0x4eb693(){const _0x1a02c8=_0x1c741f;return angular[_0x1a02c8(0x5ef)](_0x40e76c[_0x1a02c8(0x1cf)][_0x40e76c[_0x1a02c8(0xc55)]])&&_0x40e76c[_0x1a02c8(0x1cf)][_0x40e76c[_0x1a02c8(0xc55)]][_0x1a02c8(0x17d2)];}function _0x5cdce4(){const _0x2935d7=_0x1c741f;return _0x40e76c[_0x2935d7(0xc55)]>0x0&&angular['isDefined'](_0x40e76c[_0x2935d7(0x1cf)][_0x40e76c[_0x2935d7(0xc55)]-0x1])&&_0x40e76c[_0x2935d7(0x1cf)][_0x40e76c[_0x2935d7(0xc55)]-0x1]['$invalid'];}function _0xd52a1d(){const _0x5165a4=_0x1c741f;for(let _0x134cd9=0x0;_0x134cd9<_0x40e76c[_0x5165a4(0x1cf)]['length'];_0x134cd9++){if(_0x40e76c['forms'][_0x134cd9]['$invalid'])return!![];}return![];}function _0x49b613(){const _0x96fa6f=_0x1c741f;_0x40e76c[_0x96fa6f(0xc55)]=0x0;for(let _0xcf07b9=0x0;_0xcf07b9<_0x40e76c[_0x96fa6f(0x1cf)][_0x96fa6f(0x402)];_0xcf07b9++){_0x40e76c[_0x96fa6f(0x1cf)][_0xcf07b9][_0x96fa6f(0x1968)](),_0x40e76c['forms'][_0xcf07b9][_0x96fa6f(0x354)]();}}}function _0x5493f5(){return{'restrict':'E','scope':!![],'controller':'MsFormWizardController\x20as\x20msWizard','compile':function(_0x4b0d29){const _0xea7931=a0_0x3bb9;return _0x4b0d29[_0xea7931(0x14cf)](_0xea7931(0x1806)),function _0xed7dec(){};}};}function _0x2573c2(){const _0x50a9a8=_0x313a4d;return{'restrict':'A','require':[_0x50a9a8(0x247c),_0x50a9a8(0x27ca)],'compile':function(_0x4d4d98){const _0x1c93f=_0x50a9a8;return _0x4d4d98[_0x1c93f(0x14cf)](_0x1c93f(0x2289)),function _0x8c9b62(_0x3194d4,_0x473b6a,_0x57ccfa,_0x563254){const _0xcb31f7=_0x1c93f,_0x190cf0=_0x563254[0x0],_0x1a9cf2=_0x563254[0x1];_0x1a9cf2[_0xcb31f7(0x1bd)](_0x190cf0);};}};};const _0x1f46ae=_0x4acfac['p']+'src/js/modules/core/directives/ms-info-bar/ms-info-bar.html/ms-info-bar.html';;_0x535715[_0x313a4d(0x11c2)]=['$document'];function _0x535715(_0x23fe4f){return{'restrict':'E','scope':{'onClose':'&'},'transclude':!![],'templateUrl':_0x1f46ae,'link':function(_0x5a761a,_0x4f6314){const _0x59be72=a0_0x3bb9,_0x44ffef=_0x23fe4f['find'](_0x59be72(0x1f18)),_0x2e0220=_0x59be72(0x1f18);_0x44ffef[_0x59be72(0x14cf)](_0x2e0220);function _0x267a75(){const _0x181e38=_0x59be72;_0x44ffef['removeClass'](_0x2e0220),_0x4f6314[_0x181e38(0x2640)](),_0x5a761a[_0x181e38(0xa9d)]&&_0x5a761a[_0x181e38(0xa9d)](),_0x5a761a['$destroy']();}_0x5a761a['removeInfoBar']=_0x267a75;}};}const _0x58a159=_0x535715;;_0x42d98c[_0x313a4d(0x11c2)]=[_0x313a4d(0x1abe)],_0x1e5a09['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1f70),'$timeout'];function _0x1e5a09(_0x5656c2,_0x32d388,_0x1c87a7,_0x19a958){const _0x52d2dc=_0x313a4d,_0x1352e0=this,_0x40cab2={'columnCount':0x5,'respectItemOrder':![],'reLayoutDebounce':0x190,'responsive':{'md':0x3,'sm':0x2,'xs':0x1}};let _0x5712be=!![];_0x1352e0[_0x52d2dc(0xa08)]=null,_0x1352e0[_0x52d2dc(0x1784)]=[],_0x1352e0[_0x52d2dc(0x6e7)]='',_0x1352e0[_0x52d2dc(0x146a)]='',_0x1352e0[_0x52d2dc(0x1fd6)]=[],_0x1352e0['reLayout']=_0x128067,_0x1352e0[_0x52d2dc(0x2764)]=_0x577013,_0x1352e0[_0x52d2dc(0x1d9a)]=_0x4b02e8;function _0x577013(){const _0x20e88b=_0x52d2dc;_0x1352e0['options']=!_0x1352e0[_0x20e88b(0xa08)]?_0x40cab2:angular[_0x20e88b(0xd9b)](_0x40cab2,_0x1352e0[_0x20e88b(0xa08)]),_0x3537e7();}_0x5656c2[_0x52d2dc(0x16ad)](_0x52d2dc(0xd55),function(){_0x128067();});function _0x4b02e8(_0x4f3b0a,_0x2f4a0e){const _0x512417=_0x52d2dc;if(typeof imagesLoaded!==_0x512417(0x2274)){const _0x45d0aa=_0x32d388[_0x512417(0x16ea)](_0x4f3b0a);_0x45d0aa['on']('done',function(){_0x2f4a0e();});}else _0x2f4a0e();}function _0x3537e7(){const _0x445142=_0x52d2dc;_0x5656c2[_0x445142(0x21e8)](function(){const _0x3ad7ba=_0x445142;return _0x1352e0[_0x3ad7ba(0x1784)][_0x3ad7ba(0xdcf)]();},function(_0x287abd,_0x1e599c){_0x287abd!==_0x1e599c&&_0x128067();});}function _0x128067(){const _0x278e78=_0x52d2dc;_0x5712be&&_0x19a958[_0x278e78(0x6c3)](_0x5712be);_0x5712be=_0x19a958(function(){const _0x112f5b=_0x278e78;_0x40629b(),_0x5656c2[_0x112f5b(0x25a4)](_0x112f5b(0x1a72));},_0x1352e0['options']['reLayoutDebounce']);function _0x40629b(){const _0x505bad=_0x278e78;_0x1352e0[_0x505bad(0x6e7)]=_0x1352e0['container'][0x0][_0x505bad(0x697)](),_0x19d0d6(),_0x5656c2[_0x505bad(0x25a4)](_0x505bad(0x1074)),_0x1352e0[_0x505bad(0x1fd6)]=_0x1352e0[_0x505bad(0x1784)][_0x505bad(0xc84)]('ms-masonry-item');const _0x3afa56=Array[_0x505bad(0x1c3c)](null,new Array(_0x1352e0[_0x505bad(0x1987)]))[_0x505bad(0x205)](function(){return 0x0;});for(let _0x429957=0x0;_0x429957<_0x1352e0['items'][_0x505bad(0x402)];_0x429957++){let _0x3c7cdc=_0x1352e0[_0x505bad(0x1fd6)][_0x429957],_0x3ee3af,_0xb2bd85;_0x3c7cdc=angular[_0x505bad(0x1853)](_0x3c7cdc);_0x3c7cdc[_0x505bad(0x1886)]()&&_0x3c7cdc[_0x505bad(0x1886)]()[_0x505bad(0x25a4)](_0x505bad(0x237e));_0x3c7cdc[_0x505bad(0xdba)]({'width':_0x1352e0[_0x505bad(0x146a)]});_0x1352e0['options'][_0x505bad(0x17bf)]?(_0x3ee3af=_0x429957%_0x1352e0[_0x505bad(0x1987)],_0xb2bd85=_0x3afa56[_0x3ee3af]):(_0xb2bd85=Math[_0x505bad(0x28ad)]['apply'](Math,_0x3afa56),_0x3ee3af=_0x3afa56[_0x505bad(0x172b)](_0xb2bd85));_0x3afa56[_0x3ee3af]=_0xb2bd85+_0x3c7cdc[0x0][_0x505bad(0x697)]()['height'];const _0x57fb86=Math['round'](_0x3ee3af*_0x1352e0['columnWidth']),_0xa809e1=_0xb2bd85;_0x3c7cdc[_0x505bad(0xdba)]({'transform':_0x505bad(0x2039)+_0x57fb86+_0x505bad(0x2262)+_0xa809e1+'px,0px)'}),_0x3c7cdc[_0x505bad(0x14cf)](_0x505bad(0x179a)),_0x3c7cdc[_0x505bad(0x1886)]()&&_0x3c7cdc['scope']()['$broadcast'](_0x505bad(0xd8f));}}}function _0x19d0d6(){const _0x22f4dc=_0x52d2dc;_0x1352e0['columnCount']=_0x1352e0['options'][_0x22f4dc(0x1987)];if(_0x1c87a7(_0x22f4dc(0x284d)))_0x1352e0[_0x22f4dc(0x1987)]=_0x1352e0[_0x22f4dc(0xa08)]['columnCount'];else{if(_0x1c87a7('md'))_0x1352e0[_0x22f4dc(0x1987)]=_0x1352e0[_0x22f4dc(0x1987)]>_0x1352e0[_0x22f4dc(0xa08)]['responsive']['md']?_0x1352e0[_0x22f4dc(0xa08)][_0x22f4dc(0x18bc)]['md']:_0x1352e0['columnCount'];else _0x1c87a7('sm')?_0x1352e0['columnCount']=_0x1352e0[_0x22f4dc(0x1987)]>_0x1352e0[_0x22f4dc(0xa08)][_0x22f4dc(0x18bc)]['sm']?_0x1352e0[_0x22f4dc(0xa08)]['responsive']['sm']:_0x1352e0[_0x22f4dc(0x1987)]:_0x1352e0['columnCount']=_0x1352e0[_0x22f4dc(0xa08)]['responsive']['xs'];}_0x1352e0[_0x22f4dc(0x146a)]=_0x1352e0[_0x22f4dc(0x6e7)]['width']/_0x1352e0['columnCount'];}}function _0x42d98c(_0x4bffe3){const _0x4aa5a7=_0x313a4d;return{'restrict':_0x4aa5a7(0x22d1),'controller':_0x4aa5a7(0x1c84),'compile':_0x57298b};function _0x57298b(_0x5ba2e5,_0x3990bb){return{'pre':function _0x3c3415(_0x1cd523,_0x2ebd3b,_0x506abb,_0x2ea61b){const _0x20f9a8=a0_0x3bb9;_0x2ea61b[_0x20f9a8(0xa08)]=angular[_0x20f9a8(0xb08)](_0x3990bb[_0x20f9a8(0xa08)]||'{}'),_0x2ea61b[_0x20f9a8(0x1784)]=_0x5ba2e5;},'post':function _0x5cfbab(_0x3a42bd,_0x16cf67,_0x3315b8,_0x348460){_0x4bffe3(function(){const _0x44210e=a0_0x3bb9;_0x348460[_0x44210e(0x2764)]();});}};}}function _0x411ffd(){const _0x47c5ce=_0x313a4d;return{'restrict':'AEC','require':_0x47c5ce(0x8c6),'priority':0x1,'link':_0x144faa};function _0x144faa(_0x280e98,_0x19b0e2,_0x485450,_0x36e9a3){const _0x46985f=_0x47c5ce;_0x36e9a3[_0x46985f(0x1d9a)](_0x19b0e2,function(){const _0x43a56c=_0x46985f;_0x36e9a3[_0x43a56c(0x1ee3)]();}),_0x280e98[_0x46985f(0x16ad)](_0x46985f(0xd8f),function(){const _0x254c54=_0x46985f;_0x280e98[_0x254c54(0x21e8)](function(){const _0x2d3235=_0x254c54;return _0x19b0e2[_0x2d3235(0x92b)]();},function(_0x386c35,_0x3fd6bb){_0x386c35!==_0x3fd6bb&&_0x36e9a3['reLayout']();});}),_0x19b0e2['on'](_0x46985f(0x116f),function(){_0x36e9a3['reLayout']();});}};const _0xd2f539=_0x4acfac['p']+_0x313a4d(0x24ff);;_0x12358a[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1eb6),_0x313a4d(0x1573),_0x313a4d(0x1373)];function _0x12358a(_0x11deda,_0x199455,_0x69e6f9,_0x2976c5){const _0x1c64dc=_0x313a4d,_0x45d426=this;_0x45d426[_0x1c64dc(0x64c)]=_0x199455,_0x45d426[_0x1c64dc(0x192c)]=![],_0x45d426['selectedHues']=![],_0x11deda['$selectedColor']={},_0x45d426['activateHueSelection']=_0x4541a7,_0x45d426[_0x1c64dc(0x13b2)]=_0x3466be,_0x45d426[_0x1c64dc(0x20c4)]=_0x370166,_0x11deda[_0x1c64dc(0x21e8)](_0x1c64dc(0x213f),_0x157a31);function _0x4541a7(_0xedc107,_0x742f2e){const _0x51af18=_0x1c64dc;_0x45d426[_0x51af18(0x192c)]=_0xedc107,_0x45d426[_0x51af18(0x16c1)]=_0x742f2e;}function _0x3466be(_0x25c105,_0x3863a1){const _0x2f672f=_0x1c64dc;_0x4bb956(_0x25c105,_0x3863a1),_0x3a1960(),_0x69e6f9[_0x2f672f(0x2458)]();}function _0x370166(){const _0x82bb44=_0x1c64dc;_0x45d426[_0x82bb44(0x15cc)]={'palette':'','hue':'','class':''},_0x4541a7(![],![]),_0x3a1960();}function _0x157a31(){const _0x105d3e=_0x1c64dc;if(!_0x45d426[_0x105d3e(0x1cb8)][_0x105d3e(0x1751)]||_0x45d426[_0x105d3e(0x1cb8)]['$viewValue']===''){_0x370166();return;}let _0x339a7f,_0x3dd207;if(_0x45d426[_0x105d3e(0x17a4)]===_0x105d3e(0x6f6)){const _0x5f3316=_0x45d426[_0x105d3e(0x1cb8)]['$viewValue'][_0x105d3e(0x10c8)]('-');_0x5f3316[_0x105d3e(0x402)]>=0x5?(_0x339a7f=_0x5f3316[0x1]+'-'+_0x5f3316[0x2],_0x3dd207=_0x5f3316[0x3]):(_0x339a7f=_0x5f3316[0x1],_0x3dd207=_0x5f3316[0x2]);}else _0x45d426['msModelType']===_0x105d3e(0x9a5)&&(_0x339a7f=_0x45d426[_0x105d3e(0x1cb8)][_0x105d3e(0x1751)][_0x105d3e(0x15bc)],_0x3dd207=_0x45d426[_0x105d3e(0x1cb8)][_0x105d3e(0x1751)][_0x105d3e(0x1314)]||0x1f4);_0x4bb956(_0x339a7f,_0x3dd207);}function _0x4bb956(_0xd39659,_0x2f722e){const _0x52a616=_0x1c64dc;_0x45d426[_0x52a616(0x15cc)]={'palette':_0xd39659,'hue':_0x2f722e,'class':_0x52a616(0x1162)+_0xd39659+'-'+_0x2f722e+_0x52a616(0x12d9),'bgColorValue':_0x2976c5[_0x52a616(0x12d)](_0x45d426[_0x52a616(0x64c)][_0xd39659][_0x2f722e][_0x52a616(0x175d)]),'fgColorValue':_0x2976c5['rgba'](_0x45d426[_0x52a616(0x64c)][_0xd39659][_0x2f722e][_0x52a616(0xb45)])},_0x45d426[_0x52a616(0x17a4)]===_0x52a616(0x9a5)&&!angular[_0x52a616(0x26b4)](_0x45d426[_0x52a616(0x15cc)],_0x45d426[_0x52a616(0x1cb8)][_0x52a616(0x1751)])&&_0x3a1960(),_0x4541a7(_0xd39659,_0x45d426[_0x52a616(0x64c)][_0xd39659]),_0x11deda[_0x52a616(0x35a)]=_0x45d426[_0x52a616(0x15cc)];}function _0x3a1960(){const _0xef917=_0x1c64dc;if(_0x45d426[_0xef917(0x17a4)]===_0xef917(0x6f6))_0x45d426[_0xef917(0x1cb8)][_0xef917(0x2878)](_0x45d426['selectedColor'][_0xef917(0x6f6)]);else _0x45d426[_0xef917(0x17a4)]===_0xef917(0x9a5)&&_0x45d426['modelCtrl'][_0xef917(0x2878)](_0x45d426[_0xef917(0x15cc)]);}}function _0x9d5c31(){const _0x18dedc=_0x313a4d;return{'require':[_0x18dedc(0x26e),_0x18dedc(0x213f)],'restrict':'E','scope':{'ngModel':'=','msModelType':'@?'},'controller':_0x18dedc(0x21fd),'transclude':!![],'templateUrl':_0xd2f539,'link':function(_0x2ab513,_0x99f5e0,_0x226a0c,_0x2d3aaf,_0x5d2887){const _0x40dd6a=_0x18dedc,_0x8fe5ce=_0x2d3aaf[0x0];_0x8fe5ce['modelCtrl']=_0x2d3aaf[0x1],_0x8fe5ce[_0x40dd6a(0x17a4)]=_0x2ab513[_0x40dd6a(0x17a4)]||_0x40dd6a(0x6f6),_0x5d2887(_0x2ab513,function(_0x318d3b){const _0x5ddb02=_0x40dd6a;_0x318d3b=_0x318d3b[_0x5ddb02(0x1dd6)](function(_0x115bee,_0x20e748){const _0x15c4d4=_0x5ddb02;return _0x20e748[_0x15c4d4(0xb6b)]===0x1?!![]:![];}),_0x318d3b['length']&&_0x99f5e0[_0x5ddb02(0xc84)](_0x5ddb02(0x634))[_0x5ddb02(0x22d)](_0x318d3b);});}};};_0x524f66[_0x313a4d(0x11c2)]=[_0x313a4d(0x1fc2),'$q',_0x313a4d(0x1240),_0x313a4d(0x1862)],_0x42ff92[_0x313a4d(0x11c2)]=[_0x313a4d(0x1fc2),_0x313a4d(0xe53),_0x313a4d(0x220f)],_0x534b31[_0x313a4d(0x11c2)]=[_0x313a4d(0x4d8),'$rootScope',_0x313a4d(0x220f)];function _0x1fdf01(){let _0x37b414={};const _0x34aec1={'setFoldable':_0x5d8b12,'isNavFoldedOpen':_0x399b9e,'toggleFold':_0xceb86e,'openFolded':_0x2d93aa,'closeFolded':_0x1a1add};return _0x34aec1;function _0x5d8b12(_0x19a7ef,_0x3e0081){_0x37b414={'scope':_0x19a7ef,'element':_0x3e0081};}function _0x399b9e(){const _0x1aab57=a0_0x3bb9;return _0x37b414[_0x1aab57(0x1886)][_0x1aab57(0x233a)]();}function _0xceb86e(){const _0x251456=a0_0x3bb9;_0x37b414['scope'][_0x251456(0x1aea)]();}function _0x2d93aa(){const _0x31224a=a0_0x3bb9;_0x37b414[_0x31224a(0x1886)][_0x31224a(0x1f5a)]();}function _0x1a1add(){const _0x11bf90=a0_0x3bb9;_0x37b414[_0x11bf90(0x1886)]['closeFolded']();}}function _0x534b31(_0x791908,_0x2244ae,_0x43addd){return{'restrict':'A','link':function(_0x441317,_0x2c7eab,_0x499662){const _0x2e4a2f=a0_0x3bb9;let _0x4e02f3=_0x499662[_0x2e4a2f(0x1cb7)]===_0x2e4a2f(0x1185),_0x437b71=![];const _0x5c7d0b=angular['element'](_0x791908[0x0][_0x2e4a2f(0x2586)]),_0x1cf270=angular[_0x2e4a2f(0x1853)](_0x2e4a2f(0x998)),_0xe68a1d=angular['element'](_0x2e4a2f(0x3bf)),_0x2ef94a=_0x2c7eab[_0x2e4a2f(0x3fd)]();_0x43addd['setFoldable'](_0x441317,_0x2c7eab,_0x4e02f3);_0x4e02f3?_0x20bcde():_0x254f0c();function _0x3834ca(){return _0x437b71;}function _0x3e1e43(){_0x4e02f3=!_0x4e02f3,_0x4e02f3?_0x20bcde():_0x254f0c();}function _0x20bcde(){const _0x25d73c=_0x2e4a2f;_0x5c7d0b[_0x25d73c(0x14cf)](_0x25d73c(0x2426)),_0x2244ae[_0x25d73c(0x25a4)](_0x25d73c(0xb6c)),_0x2c7eab[_0x25d73c(0xa49)](0x0),_0x2ef94a['append'](_0x1cf270),_0x1cf270['on'](_0x25d73c(0x1d97),function(_0x457f2e){_0xbd56b2(_0x457f2e),_0x437b71=!![];});}function _0xbd56b2(_0x44b770){const _0x52a6a8=_0x2e4a2f;angular['isDefined'](_0x44b770)&&_0x44b770[_0x52a6a8(0x115c)](),_0x5c7d0b['addClass']('ms-nav-folded-open'),_0x2244ae[_0x52a6a8(0x25a4)]('msNav::expandMatchingToggles'),_0x2ef94a['find'](_0x1cf270)['remove'](),_0x2ef94a[_0x52a6a8(0x3fd)]()[_0x52a6a8(0x1034)](_0xe68a1d),_0xe68a1d['on'](_0x52a6a8(0x1d97),function(_0x4cf897){_0x4e6840(_0x4cf897),_0x437b71=![];});}function _0x4e6840(_0x46322d){const _0x10eb26=_0x2e4a2f;angular[_0x10eb26(0x5ef)](_0x46322d)&&_0x46322d[_0x10eb26(0x115c)](),_0x2244ae[_0x10eb26(0x25a4)]('msNav::forceCollapse'),_0x2c7eab[_0x10eb26(0xa49)](0x0),_0x5c7d0b[_0x10eb26(0x1973)](_0x10eb26(0x452)),_0x2ef94a[_0x10eb26(0x3fd)]()[_0x10eb26(0xc84)](_0xe68a1d)[_0x10eb26(0x2640)](),_0x2ef94a['append'](_0x1cf270),_0x1cf270['on'](_0x10eb26(0x1d97),function(_0x10933f){_0xbd56b2(_0x10933f),_0x437b71=!![];});}function _0x254f0c(){const _0x15c23d=_0x2e4a2f;_0x5c7d0b[_0x15c23d(0x1973)]('ms-nav-folded\x20ms-nav-folded-open'),_0x2244ae[_0x15c23d(0x25a4)](_0x15c23d(0x233)),_0x2c7eab[_0x15c23d(0x151d)](_0x15c23d(0xf97));}_0x441317['toggleFold']=_0x3e1e43,_0x441317['openFolded']=_0xbd56b2,_0x441317[_0x2e4a2f(0x219d)]=_0x4e6840,_0x441317[_0x2e4a2f(0x233a)]=_0x3834ca,_0x441317[_0x2e4a2f(0x16ad)](_0x2e4a2f(0x116f),function(){const _0x939697=_0x2e4a2f;_0x1cf270[_0x939697(0x151d)](_0x939697(0x1d97)),_0xe68a1d[_0x939697(0x151d)](_0x939697(0x1d97)),_0x2c7eab[_0x939697(0x151d)]('mouseenter\x20mouseleave');});}};}function _0x39f75b(){const _0x27866c=_0x313a4d,_0x31c262=this;let _0x6ccc5f=![];const _0x230354=[];let _0x52511e=[];_0x31c262['isDisabled']=_0x440c5e,_0x31c262[_0x27866c(0x168e)]=_0x3804af,_0x31c262[_0x27866c(0x1cdf)]=_0x23956a,_0x31c262[_0x27866c(0x82b)]=_0x5f3549,_0x31c262['getLockedItems']=_0x5a3b69,_0x31c262[_0x27866c(0x271a)]=_0x5ed1e9,_0x31c262[_0x27866c(0x1d7)]=_0x3e1c10;function _0x440c5e(){return _0x6ccc5f;}function _0x23956a(){_0x6ccc5f=!![];}function _0x3804af(){_0x6ccc5f=![];}function _0x5f3549(_0x557056,_0x15186e){_0x230354['push']({'element':_0x557056,'scope':_0x15186e});}function _0x5a3b69(){return _0x52511e;}function _0x5ed1e9(_0x1aa3e3,_0x4a1f1f){_0x52511e['push']({'element':_0x1aa3e3,'scope':_0x4a1f1f});}function _0x3e1c10(){_0x52511e=[];}}function _0x42ff92(_0x223e32,_0x3640a2,_0x3db420){return{'restrict':'E','scope':{},'controller':'MsNavController','compile':function(_0x284569){const _0x31730c=a0_0x3bb9;return _0x284569[_0x31730c(0x14cf)](_0x31730c(0x2246)),function _0x4fce6b(_0x4410ee){const _0x4bb1fc=_0x31730c;_0x223e32[_0x4bb1fc(0x25a4)](_0x4bb1fc(0x233));const _0x4c27bd=_0x223e32[_0x4bb1fc(0x16ad)](_0x4bb1fc(0x1dfb),function(){const _0x20f12b=_0x4bb1fc;_0x223e32[_0x20f12b(0x25a4)](_0x20f12b(0x233)),_0x3640a2[_0x20f12b(0x19d0)](_0x20f12b(0x145c))[_0x20f12b(0x146b)](function(_0x546c1b){const _0x2e9bec=_0x20f12b;_0x546c1b[_0x2e9bec(0xa7c)](),_0x3db420[_0x2e9bec(0x233a)]()&&_0x3db420[_0x2e9bec(0x219d)]();});});_0x4410ee['$on'](_0x4bb1fc(0x116f),function(){_0x4c27bd();});};}};}function _0x4818ed(){return{'restrict':'A','compile':function(_0x3d1ca5){const _0x27c835=a0_0x3bb9;return _0x3d1ca5[_0x27c835(0x14cf)](_0x27c835(0x25d5)),function _0x2cfed1(){};}};}function _0x448133(){return{'restrict':'AE','compile':function(_0x5de68f){const _0x1faae0=a0_0x3bb9;return _0x5de68f[_0x1faae0(0x14cf)](_0x1faae0(0x576)),function _0x538e40(){};}};}function _0x524f66(_0x3de6f8,_0x51fd7d,_0x4cab1a,_0x226f34){const _0x6fa3b8=_0x313a4d;return{'restrict':'A','require':_0x6fa3b8(0x20a2),'scope':!![],'compile':function(_0xb0258f,_0x24621d){const _0x4f63d5=_0x6fa3b8;return _0xb0258f['addClass'](_0x4f63d5(0x15af)),angular[_0x4f63d5(0x1619)](_0x24621d[_0x4f63d5(0x1822)])&&(_0x24621d[_0x4f63d5(0x1822)]=!![]),_0xb0258f[_0x4f63d5(0x1861)](_0x4f63d5(0x1822),_0x24621d[_0x4f63d5(0x1822)]),function _0x17191d(_0x459090,_0x164d18,_0x1e06a6,_0x34c59b){const _0x8e7e14=_0x4f63d5,_0x160919={'expanded':_0x8e7e14(0x257f),'expandAnimation':_0x8e7e14(0x2166),'collapseAnimation':_0x8e7e14(0x186e)},_0x4e7b03=_0x164d18[_0x8e7e14(0xc84)]('a'),_0x523064=[],_0x398fb2=/\(.*\)/g;angular[_0x8e7e14(0x1df5)](_0x4e7b03,function(_0x4f786f){const _0x29bda6=_0x8e7e14;let _0x5bc4ac=angular[_0x29bda6(0x1853)](_0x4f786f)[_0x29bda6(0x1861)](_0x29bda6(0x1af5));if(angular[_0x29bda6(0x1619)](_0x5bc4ac))return;_0x5bc4ac=_0x5bc4ac['replace'](_0x398fb2,''),_0x523064[_0x29bda6(0x1f47)](_0x5bc4ac);}),_0x34c59b[_0x8e7e14(0x82b)](_0x164d18,_0x459090),_0x164d18['children'](_0x8e7e14(0xb4a))['on']('click',_0x270bf1);function _0x270bf1(){const _0x23b0bd=_0x8e7e14;if(_0x34c59b[_0x23b0bd(0x17ae)]())return;_0x34c59b[_0x23b0bd(0x1cdf)](),_0x491701()?(_0x34c59b[_0x23b0bd(0x1d7)](),_0x459090[_0x23b0bd(0x26c1)](_0x23b0bd(0x225d)),_0x3de6f8[_0x23b0bd(0x25a4)](_0x23b0bd(0x21ee)),_0x2ea2aa()[_0x23b0bd(0x146b)](function(){_0x34c59b['enable']();})):_0x459090[_0x23b0bd(0x25a4)](_0x23b0bd(0xb6c));}_0x459090[_0x8e7e14(0x16ad)](_0x8e7e14(0x116f),function(){const _0x164ff8=_0x8e7e14;_0x164d18[_0x164ff8(0x20d0)]('.ms-nav-button')[_0x164ff8(0x151d)](_0x164ff8(0x1fa5));}),_0x459090[_0x8e7e14(0x16ad)](_0x8e7e14(0x21ee),function(){const _0x20e900=_0x8e7e14,_0x55583b=_0x34c59b[_0x20e900(0x13f4)]();let _0x2f3354=![];angular['forEach'](_0x55583b,function(_0x34b18a){const _0x46e303=_0x20e900;angular[_0x46e303(0x26b4)](_0x34b18a[_0x46e303(0x1886)],_0x459090)&&(_0x2f3354=!![]);});if(_0x2f3354)return;_0x32a8b9()['then'](function(){_0x34c59b['enable']();});}),_0x459090[_0x8e7e14(0x16ad)](_0x8e7e14(0xb6c),function(){_0x32a8b9()['then'](function(){const _0x30460e=a0_0x3bb9;_0x34c59b[_0x30460e(0x168e)]();});}),_0x459090['$on'](_0x8e7e14(0x233),function(){const _0x166d61=_0x8e7e14,_0x55d72f=_0x226f34[_0x166d61(0x2d7)]['name'];let _0x5471e1=![];angular[_0x166d61(0x1df5)](_0x523064,function(_0xf057fa){_0x55d72f===_0xf057fa&&(_0x5471e1=!![]);}),_0x5471e1?_0x2ea2aa():_0x32a8b9();}),_0x459090[_0x8e7e14(0x16ad)]('msNav::pushToLockedList',function(){const _0x244783=_0x8e7e14;_0x34c59b[_0x244783(0x271a)](_0x164d18,_0x459090);});function _0x491701(){const _0x5e2f7a=_0x8e7e14;return _0x164d18[_0x5e2f7a(0x1861)]('collapsed')===_0x5e2f7a(0x1185);}function _0x11d214(){return!_0x491701();}function _0x2ea2aa(){const _0x16399f=_0x8e7e14,_0x46e031=_0x51fd7d[_0x16399f(0xce3)]();if(_0x11d214())return _0x46e031['reject']({'error':!![]}),_0x46e031[_0x16399f(0xb9c)];_0x164d18['attr']('collapsed',![]);const _0x4f0a61=angular[_0x16399f(0x1853)](_0x164d18[_0x16399f(0xc84)](_0x16399f(0xc59))[0x0]);_0x4f0a61[_0x16399f(0xdba)]({'position':_0x16399f(0x153),'visibility':_0x16399f(0x28fc),'display':_0x16399f(0x152a),'height':_0x16399f(0x1b08)});const _0x4e32e6=_0x4f0a61[0x0][_0x16399f(0x1a37)];return _0x4f0a61[_0x16399f(0xdba)]({'position':'','visibility':'','display':'','height':''}),_0x459090['$evalAsync'](function(){const _0x4d344a=_0x16399f;_0x4cab1a[_0x4d344a(0x233b)](_0x4f0a61,{'display':'block','height':_0x4d344a(0x439)},{'height':_0x4e32e6+'px'},_0x160919[_0x4d344a(0x4a9)])['then'](function(){const _0x5c5c3b=_0x4d344a;_0x4f0a61['addClass'](_0x160919[_0x5c5c3b(0x257f)]),_0x4f0a61[_0x5c5c3b(0xdba)]({'height':''}),_0x46e031[_0x5c5c3b(0x2922)]({'success':!![]});});}),_0x46e031[_0x16399f(0xb9c)];}function _0x32a8b9(){const _0x2c1160=_0x8e7e14,_0x46d79c=_0x51fd7d[_0x2c1160(0xce3)]();if(_0x491701())return _0x46d79c['reject']({'error':!![]}),_0x46d79c[_0x2c1160(0xb9c)];_0x164d18[_0x2c1160(0x1861)](_0x2c1160(0x1822),!![]);const _0x5267c1=angular[_0x2c1160(0x1853)](_0x164d18['find'](_0x2c1160(0xc59))[0x0]),_0x2380c6=_0x5267c1[0x0][_0x2c1160(0x1a37)];return _0x459090[_0x2c1160(0x239f)](function(){const _0x2d0526=_0x2c1160;_0x4cab1a['animate'](_0x5267c1,{'height':_0x2380c6+'px'},{'height':_0x2d0526(0x439)},_0x160919[_0x2d0526(0x170)])['then'](function(){const _0x1f0a1d=_0x2d0526;_0x5267c1[_0x1f0a1d(0x1973)](_0x160919['expanded']),_0x5267c1[_0x1f0a1d(0xdba)]({'display':'','height':''}),_0x46d79c[_0x1f0a1d(0x2922)]({'success':!![]});});}),_0x46d79c[_0x2c1160(0xb9c)];}};}};};const _0xda6584=_0x4acfac['p']+'src/js/modules/core/directives/ms-navigation/templates/horizontal.html/horizontal.html';;const _0x135b49=_0x4acfac['p']+_0x313a4d(0x270d);;_0x544ef0[_0x313a4d(0x11c2)]=[_0x313a4d(0x1f70)],_0x28f06f['$inject']=[_0x313a4d(0x910),_0x313a4d(0x961),'$rootScope',_0x313a4d(0x1862),_0x313a4d(0x1f9a)],_0x2d14b9[_0x313a4d(0x11c2)]=['msNavigationService'],_0x3bcac9['$inject']=[_0x313a4d(0x910),_0x313a4d(0x961),_0x313a4d(0x1fc2),_0x313a4d(0x1240),'$state',_0x313a4d(0x1f9a)],_0x463732[_0x313a4d(0x11c2)]=['$rootScope','$timeout',_0x313a4d(0x15fe),'msNavigationService'],_0x5996f8[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1f9a)];function _0x1f77ff(){const _0x4fef10=_0x313a4d,_0x429132=angular['injector'](['ng'])[_0x4fef10(0x16b4)](_0x4fef10(0x2032));let _0x1854a2=[];const _0x5566eb=this;_0x5566eb[_0x4fef10(0x150e)]=_0x474e8c,_0x5566eb['deleteItem']=_0x34e832,_0x5566eb[_0x4fef10(0x1206)]=_0x36f569;function _0x474e8c(_0xba119e,_0xafdbe4){const _0x18bd04=_0x4fef10;if(!angular[_0x18bd04(0x54e)](_0xba119e)){_0x429132['error']('path\x20must\x20be\x20a\x20string\x20(eg.\x20`dashboard.project`)');return;}const _0x103127=_0xba119e[_0x18bd04(0x10c8)]('.'),_0x3c0bfb=_0x103127[_0x103127['length']-0x1],_0x931be2=_0x292d2c(_0x103127);let _0x180bdc=![];for(let _0x58bed0=0x0;_0x58bed0<_0x931be2[_0x18bd04(0x402)];_0x58bed0++){if(_0x931be2[_0x58bed0][_0x18bd04(0x208c)]===_0x3c0bfb){_0x180bdc=_0x931be2[_0x58bed0];break;}}_0x180bdc?(angular['extend'](_0x180bdc,_0xafdbe4),_0x180bdc['uisref']=_0x8630dd(_0x180bdc)):(_0xafdbe4[_0x18bd04(0x20d0)]=[],(angular[_0x18bd04(0x1619)](_0xafdbe4[_0x18bd04(0x1ac2)])||!angular[_0x18bd04(0x77d)](_0xafdbe4[_0x18bd04(0x1ac2)]))&&(_0xafdbe4['weight']=0x1),_0xafdbe4[_0x18bd04(0x208c)]=_0x3c0bfb,_0xafdbe4[_0x18bd04(0x26d3)]=_0xba119e,_0xafdbe4['uisref']=_0x8630dd(_0xafdbe4),_0x931be2[_0x18bd04(0x1f47)](_0xafdbe4));}function _0x34e832(_0x24281e){const _0x2000c4=_0x4fef10;if(!angular['isString'](_0x24281e)){_0x429132[_0x2000c4(0x1980)](_0x2000c4(0x1672));return;}let _0x4c8015=_0x1854a2;const _0xb22e4c=_0x24281e[_0x2000c4(0x10c8)]('.');for(let _0x2626ee=0x0;_0x2626ee<_0xb22e4c[_0x2000c4(0x402)];_0x2626ee++){const _0x19b205=_0xb22e4c[_0x2626ee];for(let _0x8cc005=0x0;_0x8cc005<_0x4c8015[_0x2000c4(0x402)];_0x8cc005++){if(_0x4c8015[_0x8cc005][_0x2000c4(0x208c)]===_0x19b205){if(_0x4c8015[_0x8cc005][_0x2000c4(0x26d3)]===_0x24281e)return _0x4c8015[_0x2000c4(0x1f7d)](_0x8cc005,0x1),!![];_0x4c8015=_0x4c8015[_0x8cc005]['children'];break;}}}return![];}function _0x36f569(_0x23aa0e){const _0x281461=_0x4fef10;!_0x23aa0e&&(_0x23aa0e=_0x1854a2,_0x23aa0e[_0x281461(0x28fa)](_0x2d9775));for(let _0x318494=0x0;_0x318494<_0x23aa0e[_0x281461(0x402)];_0x318494++){const _0x586907=_0x23aa0e[_0x318494][_0x281461(0x20d0)];_0x586907[_0x281461(0x402)]>0x1&&_0x586907[_0x281461(0x28fa)](_0x2d9775),_0x586907[_0x281461(0x402)]>0x0&&_0x36f569(_0x586907);}}function _0x292d2c(_0x4caaed){const _0x48c780=_0x4fef10;let _0x6d9b55=_0x1854a2;if(_0x4caaed[_0x48c780(0x402)]===0x1)return _0x6d9b55;_0x4caaed[_0x48c780(0x18c1)]();for(let _0x36767c=0x0;_0x36767c<_0x4caaed[_0x48c780(0x402)];_0x36767c++){const _0x2a0ed7=_0x4caaed[_0x36767c];let _0x10421a=!![];for(let _0x3d207f=0x0;_0x3d207f<_0x6d9b55[_0x48c780(0x402)];_0x3d207f++){if(_0x6d9b55[_0x3d207f][_0x48c780(0x208c)]===_0x2a0ed7){_0x6d9b55=_0x6d9b55[_0x3d207f][_0x48c780(0x20d0)],_0x10421a=![];break;}}if(_0x10421a){const _0x316916={'_id':_0x2a0ed7,'_path':_0x4caaed['join']('.'),'title':_0x2a0ed7,'weight':0x1,'children':[]};_0x6d9b55[_0x48c780(0x1f47)](_0x316916),_0x6d9b55=_0x316916['children'];}}return _0x6d9b55;}function _0x2d9775(_0x2914a1,_0x15510c){const _0x1ac24d=_0x4fef10;return parseInt(_0x2914a1[_0x1ac24d(0x1ac2)])-parseInt(_0x15510c[_0x1ac24d(0x1ac2)]);}function _0x8630dd(_0x1deff5){const _0x303f86=_0x4fef10;let _0x4504a4='';return angular[_0x303f86(0x5ef)](_0x1deff5[_0x303f86(0x13d6)])&&(_0x4504a4=_0x1deff5[_0x303f86(0x13d6)],angular[_0x303f86(0x5ef)](_0x1deff5['stateParams'])&&angular[_0x303f86(0x1a1e)](_0x1deff5[_0x303f86(0x1de9)])&&(_0x4504a4=_0x4504a4+'('+angular[_0x303f86(0x217d)](_0x1deff5['stateParams'])+')')),_0x4504a4;}this[_0x4fef10(0x980)]=function(){let _0x7a4d89=null,_0x1e8a71=null,_0x5eae90=null,_0xad0593=null;const _0x3853db={'saveItem':_0x474e8c,'deleteItem':_0x34e832,'sort':_0x36f569,'clearNavigation':_0x3d4e5c,'setActiveItem':_0x5f307b,'getActiveItem':_0x14d7d8,'getNavigation':_0x47ebb1,'getFlatNavigation':_0x100bb2,'setNavigationScope':_0x1893b6,'setFolded':_0x146c72,'getFolded':_0x5775df,'setFoldedOpen':_0x1c007b,'getFoldedOpen':_0x3bc6d8,'toggleFolded':_0x7f6fe8};return _0x3853db;function _0x3d4e5c(){_0x1854a2=[],_0x1e8a71&&(_0x1e8a71['vm']['navigation']=_0x1854a2);}function _0x5f307b(_0x9330fb,_0x5b2ad6){_0x7a4d89={'node':_0x9330fb,'scope':_0x5b2ad6};}function _0x14d7d8(){return _0x7a4d89;}function _0x47ebb1(_0xa86454){const _0x4b483a=a0_0x3bb9;if(_0xa86454){for(let _0x5edbe2=0x0;_0x5edbe2<_0x1854a2[_0x4b483a(0x402)];_0x5edbe2++){if(_0x1854a2[_0x5edbe2][_0x4b483a(0x208c)]===_0xa86454)return[_0x1854a2[_0x5edbe2]];}return null;}return _0x1854a2;}function _0x100bb2(_0x268843){const _0x32a106=_0x47ebb1(_0x268843);return _0x1b37b4(_0x32a106);}function _0x1893b6(_0x3e8eee){_0x1e8a71=_0x3e8eee;}function _0x146c72(_0x5a7616){_0x5eae90=_0x5a7616;}function _0x5775df(){return _0x5eae90;}function _0x1c007b(_0x522205){_0xad0593=_0x522205;}function _0x3bc6d8(){return _0xad0593;}function _0x7f6fe8(){const _0x4bc8cf=a0_0x3bb9;_0x1e8a71[_0x4bc8cf(0x25a3)]();}function _0x1b37b4(_0xbe0a6b){const _0x803c37=a0_0x3bb9;let _0x34dbef=[];for(let _0x490885=0x0;_0x490885<_0xbe0a6b['length'];_0x490885++){const _0x2fe126=angular[_0x803c37(0x235a)](_0xbe0a6b[_0x490885]);_0x2fe126[_0x803c37(0x20d0)]=[],_0x34dbef['push'](_0x2fe126),_0xbe0a6b[_0x490885][_0x803c37(0x20d0)][_0x803c37(0x402)]>0x0&&(_0x34dbef=_0x34dbef[_0x803c37(0x163c)](_0x1b37b4(_0xbe0a6b[_0x490885]['children'])));}return _0x34dbef;}};}function _0x5996f8(_0x5a67af,_0x2629ec){const _0x22c360=_0x313a4d,_0x449857=this;_0x5a67af[_0x22c360(0x258f)]?_0x449857[_0x22c360(0x145c)]=_0x2629ec['getNavigation'](_0x5a67af[_0x22c360(0x258f)]):_0x449857[_0x22c360(0x145c)]=_0x2629ec[_0x22c360(0x5c4)]();_0x449857[_0x22c360(0x8e7)]=_0xd7a3c5,_0xab18f6();function _0xab18f6(){const _0x2fa404=_0x22c360;_0x2629ec[_0x2fa404(0x28fa)]();}function _0xd7a3c5(){const _0x3172d5=_0x22c360;angular[_0x3172d5(0x1853)](_0x3172d5(0x2586))[_0x3172d5(0x1851)](_0x3172d5(0x1f58));}}function _0x463732(_0x3fe00b,_0x29a221,_0x5553c7,_0x5d89b6){return{'restrict':'E','scope':{'folded':'=','root':'@'},'controller':'MsNavigationController\x20as\x20vm','templateUrl':_0x135b49,'transclude':!![],'compile':function(_0x424673){const _0x1e1759=a0_0x3bb9;return _0x424673[_0x1e1759(0x14cf)]('ms-navigation'),function _0x4d24ae(_0x4e81b0,_0x43be86){const _0x378aba=_0x1e1759,_0x40f2e0=angular[_0x378aba(0x1853)](_0x378aba(0x2586)),_0x1d739d=angular[_0x378aba(0x1853)](_0x378aba(0x10c7)),_0x3a3162=angular['element'](''),_0x51f8c3=_0x5553c7(_0x378aba(0x145c));_0x5d89b6[_0x378aba(0x2c0)](_0x4e81b0),_0x1bbc12();function _0x1bbc12(){const _0x4ce63e=_0x378aba;_0x5d89b6['getFolded']()===null&&_0x5d89b6[_0x4ce63e(0x190d)](_0x4e81b0[_0x4ce63e(0x2834)]),_0x5d89b6[_0x4ce63e(0x3bc)]()&&(_0x29a221(function(){const _0x45d51e=_0x4ce63e;_0x3fe00b[_0x45d51e(0x25a4)](_0x45d51e(0x91b));}),_0x40f2e0[_0x4ce63e(0x14cf)]('ms-navigation-folded'),_0x3350c0());}_0x4e81b0['$watch'](function(){const _0x3d68ce=_0x378aba;return _0x51f8c3[_0x3d68ce(0x1d0)]();},function(_0x38bb30,_0x50c20f){const _0x1cfbc6=_0x378aba;if(angular[_0x1cfbc6(0x1619)](_0x38bb30)||angular[_0x1cfbc6(0x26b4)](_0x38bb30,_0x50c20f))return;const _0x479a07=_0x5d89b6['getFolded']();if(_0x479a07){if(_0x38bb30)_0x3fe00b[_0x1cfbc6(0x25a4)](_0x1cfbc6(0x91b));else{const _0x123161=_0x5d89b6[_0x1cfbc6(0x1e0)]();_0x123161&&_0x123161[_0x1cfbc6(0x1886)]['$emit'](_0x1cfbc6(0x151c));}}}),_0x4e81b0['$watch'](_0x378aba(0x2834),function(_0x15ceeb,_0x35fd04){const _0x45a02a=_0x378aba;if(angular[_0x45a02a(0x1619)](_0x15ceeb)||angular[_0x45a02a(0x26b4)](_0x15ceeb,_0x35fd04))return;_0x579654(_0x15ceeb);});function _0x579654(_0x5cfbb7){const _0x7499f0=_0x378aba;_0x5d89b6['setFolded'](_0x5cfbb7);if(_0x5cfbb7)_0x3fe00b[_0x7499f0(0x25a4)](_0x7499f0(0x91b)),_0x40f2e0[_0x7499f0(0x14cf)](_0x7499f0(0x1245)),_0x3350c0();else{const _0x479e97=_0x5d89b6[_0x7499f0(0x1e0)]();_0x479e97&&_0x479e97[_0x7499f0(0x1886)][_0x7499f0(0x26c1)](_0x7499f0(0x151c)),_0x40f2e0['removeClass']('ms-navigation-folded\x20ms-navigation-folded-open'),_0x124306();}}function _0x3350c0(){const _0x4d7c24=_0x378aba;_0x43be86['parent']()[_0x4d7c24(0x1034)](_0x1d739d),_0x29a221(function(){const _0xcd95d=_0x4d7c24;_0x1d739d['on'](_0xcd95d(0x1d97),_0x1f37e4);});}function _0x2e3603(){const _0x2ab589=_0x378aba;_0x40f2e0[_0x2ab589(0xc84)](_0x2ab589(0x2446))[_0x2ab589(0x1034)](_0x3a3162),_0x3a3162['on'](_0x2ab589(0x1d97),_0x1f39d2);}function _0x124306(){const _0xb4e3b4=_0x378aba;_0x3a3162[_0xb4e3b4(0x2640)]();}function _0x1f37e4(_0x520344){const _0x37bb73=_0x378aba;_0x520344&&_0x520344[_0x37bb73(0x115c)]();_0x5d89b6[_0x37bb73(0x103e)](!![]);const _0x5d8c38=_0x5d89b6[_0x37bb73(0x1e0)]();_0x5d8c38&&_0x5d8c38[_0x37bb73(0x1886)]['$emit'](_0x37bb73(0x151c)),_0x40f2e0[_0x37bb73(0x14cf)](_0x37bb73(0x22c)),_0x1d739d[_0x37bb73(0x2640)](),_0x2e3603();}function _0x1f39d2(_0x4d4192){const _0x40892c=_0x378aba;_0x4d4192&&_0x4d4192[_0x40892c(0x115c)](),_0x5d89b6[_0x40892c(0x103e)](![]),_0x3fe00b['$broadcast'](_0x40892c(0x91b)),_0x40f2e0[_0x40892c(0x1973)](_0x40892c(0x22c)),_0x3a3162[_0x40892c(0x2640)](),_0x3350c0();}_0x4e81b0[_0x378aba(0x25a3)]=function(){const _0x2561a2=_0x378aba,_0x33b4ce=_0x5d89b6[_0x2561a2(0x3bc)]();_0x579654(!_0x33b4ce);},_0x4e81b0[_0x378aba(0x16ad)]('$stateChangeStart',function(){const _0x3bc020=_0x378aba;_0x51f8c3[_0x3bc020(0xa7c)]();}),_0x4e81b0['$on'](_0x378aba(0x116f),function(){const _0xa10b5b=_0x378aba;_0x3a3162[_0xa10b5b(0x151d)](_0xa10b5b(0x1d97)),_0x1d739d['off'](_0xa10b5b(0x1d97));});};}};}function _0x3bcac9(_0x3c4fb8,_0x5936da,_0x2a4931,_0x1e7ec3,_0x1f9629,_0x54dd05){const _0x3ddb3e=_0x313a4d,_0x265a04=this;_0x265a04[_0x3ddb3e(0x1853)]=_0x5936da,_0x265a04['node']=_0x3c4fb8[_0x3ddb3e(0x8f7)],_0x265a04[_0x3ddb3e(0xb00)]=undefined,_0x265a04[_0x3ddb3e(0x1822)]=undefined,_0x265a04[_0x3ddb3e(0x1d5c)]=undefined,_0x265a04[_0x3ddb3e(0x1b12)]=undefined,_0x265a04[_0x3ddb3e(0x1406)]=_0x3ddb3e(0x2448),_0x265a04[_0x3ddb3e(0xbc5)]=_0xbe72e5,_0x265a04[_0x3ddb3e(0x2800)]=_0x191f64,_0x265a04[_0x3ddb3e(0x5a8)]=_0x41f4ed,_0x265a04[_0x3ddb3e(0x1e15)]=_0x3e9794,_0x265a04[_0x3ddb3e(0xbaa)]=_0x460456,_0x35b187();function _0x35b187(){const _0x5d576b=_0x3ddb3e;_0x265a04['hasChildren']=_0x265a04[_0x5d576b(0x8f7)][_0x5d576b(0x20d0)][_0x5d576b(0x402)]>0x0,_0x265a04[_0x5d576b(0x1b12)]=!!(angular[_0x5d576b(0x5ef)](_0x265a04['node'][_0x5d576b(0x1b12)])&&_0x265a04[_0x5d576b(0x8f7)][_0x5d576b(0x1b12)]===!![]);!_0x265a04['hasChildren']||_0x265a04['group']?_0x265a04[_0x5d576b(0x1d5c)]=![]:_0x265a04[_0x5d576b(0x1d5c)]=!!(angular[_0x5d576b(0x1619)](_0x265a04[_0x5d576b(0x8f7)][_0x5d576b(0x1d5c)])||typeof _0x265a04[_0x5d576b(0x8f7)][_0x5d576b(0x1d5c)]!=='boolean'||_0x265a04[_0x5d576b(0x8f7)]['collapsable']===!![]);!_0x265a04['collapsable']?_0x265a04[_0x5d576b(0x1822)]=![]:_0x265a04[_0x5d576b(0x1822)]=!!(angular['isUndefined'](_0x265a04['node']['collapsed'])||typeof _0x265a04['node'][_0x5d576b(0x1822)]!=='boolean'||_0x265a04[_0x5d576b(0x8f7)]['collapsed']===!![]);if(_0x265a04['node']['state']===_0x1f9629[_0x5d576b(0x2d7)][_0x5d576b(0x19eb)]||_0x1f9629[_0x5d576b(0xa4e)](_0x265a04[_0x5d576b(0x8f7)][_0x5d576b(0x13d6)])){if(angular[_0x5d576b(0x5ef)](_0x265a04['node'][_0x5d576b(0x1de9)])&&angular['isDefined'](_0x1f9629[_0x5d576b(0x16a)])&&!angular[_0x5d576b(0x26b4)](_0x265a04['node'][_0x5d576b(0x1de9)],_0x1f9629[_0x5d576b(0x16a)]))return;_0x3c4fb8[_0x5d576b(0x26c1)](_0x5d576b(0x151c)),_0x54dd05[_0x5d576b(0x1c8f)](_0x265a04[_0x5d576b(0x8f7)],_0x3c4fb8);}_0x3c4fb8['$on'](_0x5d576b(0x151c),function(){const _0x166f93=_0x5d576b;_0x265a04[_0x166f93(0x1d5c)]&&_0x265a04[_0x166f93(0x1822)]&&_0x3c4fb8[_0x166f93(0x239f)](function(){const _0x35657b=_0x166f93;_0x265a04[_0x35657b(0x1822)]=![];});}),_0x3c4fb8['$on'](_0x5d576b(0x91b),function(_0x156fcf,_0x43b05a){const _0x19e742=_0x5d576b;if(_0x265a04['collapsed']||!_0x265a04[_0x19e742(0x1d5c)])return;if(angular[_0x19e742(0x1619)](_0x43b05a))_0x265a04[_0x19e742(0x2800)]();else{const _0x38a2a3=_0x43b05a[_0x19e742(0x10c8)]('.');let _0x31449a=[];const _0x20fbad=_0x54dd05['getActiveItem']();_0x20fbad&&(_0x31449a=_0x20fbad[_0x19e742(0x8f7)]['_path'][_0x19e742(0x10c8)]('.'));if(_0x38a2a3[_0x19e742(0x172b)](_0x265a04['node'][_0x19e742(0x208c)])>-0x1)return;if(_0x31449a['indexOf'](_0x265a04['node']['_id'])>-0x1)return;_0x265a04['collapse']();}}),_0x3c4fb8[_0x5d576b(0x16ad)](_0x5d576b(0x1dfb),function(){const _0x32db78=_0x5d576b;if(_0x265a04[_0x32db78(0x8f7)][_0x32db78(0x13d6)]===_0x1f9629[_0x32db78(0x2d7)][_0x32db78(0x19eb)]){if(angular[_0x32db78(0x5ef)](_0x265a04[_0x32db78(0x8f7)][_0x32db78(0x1de9)])&&angular[_0x32db78(0x5ef)](_0x1f9629[_0x32db78(0x16a)])&&!angular[_0x32db78(0x26b4)](_0x265a04[_0x32db78(0x8f7)]['stateParams'],_0x1f9629['params']))return;_0x54dd05[_0x32db78(0x1c8f)](_0x265a04[_0x32db78(0x8f7)],_0x3c4fb8),_0x2a4931[_0x32db78(0x25a4)](_0x32db78(0x91b),_0x265a04[_0x32db78(0x8f7)][_0x32db78(0x26d3)]);}if(_0x1f9629[_0x32db78(0xa4e)](_0x265a04['node'][_0x32db78(0x13d6)])){if(angular[_0x32db78(0x5ef)](_0x265a04[_0x32db78(0x8f7)][_0x32db78(0x1de9)])&&angular[_0x32db78(0x5ef)](_0x1f9629[_0x32db78(0x16a)])&&!angular['equals'](_0x265a04[_0x32db78(0x8f7)][_0x32db78(0x1de9)],_0x1f9629[_0x32db78(0x16a)]))return;_0x3c4fb8['$emit'](_0x32db78(0x151c));}});}function _0xbe72e5(){const _0x231faf=_0x3ddb3e;_0x265a04['collapsed']?_0x265a04[_0x231faf(0x5a8)]():_0x265a04['collapse']();}function _0x191f64(){const _0x2c44b6=_0x3ddb3e,_0x480ae0=_0x265a04['element'][_0x2c44b6(0x20d0)]('ul'),_0x246574=_0x480ae0[0x0][_0x2c44b6(0x1a37)];_0x3c4fb8['$evalAsync'](function(){const _0x1e0769=_0x2c44b6;_0x265a04[_0x1e0769(0x1822)]=!![],_0x265a04['element'][_0x1e0769(0x14cf)](_0x1e0769(0x1287)),_0x1e7ec3[_0x1e0769(0x233b)](_0x480ae0,{'display':_0x1e0769(0x152a),'height':_0x246574+'px'},{'height':'0px'},_0x265a04[_0x1e0769(0x1406)])[_0x1e0769(0x146b)](function(){const _0x49bf84=_0x1e0769;_0x480ae0[_0x49bf84(0xdba)]({'display':'','height':''}),_0x265a04['element'][_0x49bf84(0x1973)](_0x49bf84(0x1287));}),_0x3c4fb8[_0x1e0769(0x25a4)]('msNavigation::collapse');});}function _0x41f4ed(){const _0x39048d=_0x3ddb3e,_0x17fa44=_0x265a04[_0x39048d(0x1853)][_0x39048d(0x20d0)]('ul');_0x17fa44[_0x39048d(0xdba)]({'position':_0x39048d(0x153),'visibility':_0x39048d(0x28fc),'display':_0x39048d(0x152a),'height':_0x39048d(0x1b08)});const _0x572857=_0x17fa44[0x0][_0x39048d(0x1a37)];_0x17fa44['css']({'position':'','visibility':'','display':'','height':''}),_0x3c4fb8['$evalAsync'](function(){const _0x660c06=_0x39048d;_0x265a04[_0x660c06(0x1822)]=![],_0x265a04['element']['addClass'](_0x660c06(0x36a)),_0x1e7ec3[_0x660c06(0x233b)](_0x17fa44,{'display':_0x660c06(0x152a),'height':_0x660c06(0x439)},{'height':_0x572857+'px'},_0x265a04['animateHeightClass'])[_0x660c06(0x146b)](function(){const _0x1d5f90=_0x660c06;_0x17fa44[_0x1d5f90(0xdba)]({'height':''}),_0x265a04['element'][_0x1d5f90(0x1973)]('expanding');}),_0x2a4931[_0x660c06(0x25a4)](_0x660c06(0x91b),_0x265a04['node']['_path']);});}function _0x3e9794(){const _0x918bf3=_0x3ddb3e;return _0x265a04[_0x918bf3(0x8f7)][_0x918bf3(0x6f6)];}function _0x460456(){const _0x2cbc77=_0x3ddb3e;if(angular[_0x2cbc77(0x5ef)](_0x265a04[_0x2cbc77(0x8f7)][_0x2cbc77(0x28fc)])&&angular[_0x2cbc77(0x1e2c)](_0x265a04['node']['hidden']))return _0x265a04[_0x2cbc77(0x8f7)][_0x2cbc77(0x28fc)]();return![];}}function _0x9d5ee6(){const _0x10e0c9=_0x313a4d;return{'restrict':'A','bindToController':{'node':_0x10e0c9(0x24d2)},'controller':_0x10e0c9(0x22bd),'compile':function(_0x4ffede){const _0x5e4c9a=_0x10e0c9;return _0x4ffede[_0x5e4c9a(0x14cf)](_0x5e4c9a(0x2113)),function _0x36de40(_0x344616,_0xa6c28,_0x4cde5c,_0xf34211){const _0x552270=_0x5e4c9a;_0xa6c28[_0x552270(0x14cf)](_0xf34211[_0x552270(0x1e15)]()),_0xf34211['group']&&_0xa6c28[_0x552270(0x14cf)]('group');};}};}function _0x569e92(){const _0xb9bb5=_0x313a4d;return{'restrict':'A','require':_0xb9bb5(0x14ef),'compile':function(_0x4ebaad){const _0x1cd7b2=_0xb9bb5;return _0x4ebaad[_0x1cd7b2(0x14cf)](_0x1cd7b2(0x1e5e)),function _0x38eaaf(_0x531081,_0x436bf1,_0x392df1,_0x1f34e4){const _0x59b3f9=_0x1cd7b2;_0x1f34e4[_0x59b3f9(0x1d5c)]&&_0x436bf1['on'](_0x59b3f9(0x1fa5),_0x1f34e4[_0x59b3f9(0xbc5)]),_0x531081[_0x59b3f9(0x16ad)](_0x59b3f9(0x116f),function(){const _0x2f0b39=_0x59b3f9;_0x436bf1[_0x2f0b39(0x151d)](_0x2f0b39(0x1fa5));});};}};}function _0x2d14b9(_0x99612b){const _0x3f16bb=_0x313a4d;return{'restrict':'E','scope':{'root':'@'},'controller':_0x3f16bb(0x59f),'templateUrl':_0xda6584,'transclude':!![],'compile':function(_0xf6bf69){const _0x5a8fcd=_0x3f16bb;return _0xf6bf69[_0x5a8fcd(0x14cf)]('ms-navigation-horizontal'),function _0x4a1926(_0x16a0fb){const _0x1be67c=_0x5a8fcd;_0x99612b[_0x1be67c(0x2c0)](_0x16a0fb);};}};}function _0x28f06f(_0x5c8fae,_0x511f4e,_0x1d88a2,_0x198676,_0x3ae1e7){const _0x1c5db2=_0x313a4d,_0x753443=this;_0x753443[_0x1c5db2(0x1853)]=_0x511f4e,_0x753443[_0x1c5db2(0x8f7)]=_0x5c8fae[_0x1c5db2(0x8f7)],_0x753443[_0x1c5db2(0xb00)]=undefined,_0x753443[_0x1c5db2(0x1b12)]=undefined,_0x753443['getClass']=_0xbd9d93,_0x19dc65();function _0x19dc65(){const _0xf2668a=_0x1c5db2;_0x753443['isActive']=![],_0x753443[_0xf2668a(0xb00)]=_0x753443[_0xf2668a(0x8f7)][_0xf2668a(0x20d0)][_0xf2668a(0x402)]>0x0,_0x753443[_0xf2668a(0x1b12)]=!!(angular[_0xf2668a(0x5ef)](_0x753443[_0xf2668a(0x8f7)][_0xf2668a(0x1b12)])&&_0x753443[_0xf2668a(0x8f7)][_0xf2668a(0x1b12)]===!![]);if(_0x753443[_0xf2668a(0x8f7)][_0xf2668a(0x13d6)]===_0x198676[_0xf2668a(0x2d7)][_0xf2668a(0x19eb)]||_0x198676['includes'](_0x753443[_0xf2668a(0x8f7)]['state'])){if(angular[_0xf2668a(0x5ef)](_0x753443['node'][_0xf2668a(0x1de9)])&&angular['isDefined'](_0x198676[_0xf2668a(0x16a)])&&!angular['equals'](_0x753443[_0xf2668a(0x8f7)]['stateParams'],_0x198676[_0xf2668a(0x16a)]))return;_0x5c8fae[_0xf2668a(0x26c1)](_0xf2668a(0x151c)),_0x3ae1e7['setActiveItem'](_0x753443['node'],_0x5c8fae);}_0x5c8fae[_0xf2668a(0x16ad)](_0xf2668a(0x151c),function(){const _0x1ee27b=_0xf2668a;_0x753443[_0x1ee27b(0xb00)]&&_0x5c8fae[_0x1ee27b(0x239f)](function(){const _0x265591=_0x1ee27b;_0x753443[_0x265591(0xd17)]=!![];});}),_0x5c8fae[_0xf2668a(0x16ad)](_0xf2668a(0x4b3),function(){const _0x440491=_0xf2668a;if(!_0x753443[_0x440491(0xb00)])return;let _0x93dfa3=[];const _0x2fc9eb=_0x3ae1e7[_0x440491(0x1e0)]();_0x2fc9eb&&(_0x93dfa3=_0x2fc9eb[_0x440491(0x8f7)][_0x440491(0x26d3)]['split']('.')),_0x93dfa3[_0x440491(0x172b)](_0x753443['node']['_id'])>-0x1?_0x5c8fae[_0x440491(0x239f)](function(){const _0x2f996b=_0x440491;_0x753443[_0x2f996b(0xd17)]=!![];}):_0x5c8fae[_0x440491(0x239f)](function(){_0x753443['isActive']=![];});}),_0x5c8fae[_0xf2668a(0x16ad)]('$stateChangeSuccess',function(){const _0x175679=_0xf2668a;if(_0x753443[_0x175679(0x8f7)][_0x175679(0x13d6)]===_0x198676['current'][_0x175679(0x19eb)]||_0x198676['includes'](_0x753443[_0x175679(0x8f7)][_0x175679(0x13d6)])){if(angular[_0x175679(0x5ef)](_0x753443[_0x175679(0x8f7)][_0x175679(0x1de9)])&&angular[_0x175679(0x5ef)](_0x198676[_0x175679(0x16a)])&&!angular[_0x175679(0x26b4)](_0x753443[_0x175679(0x8f7)][_0x175679(0x1de9)],_0x198676[_0x175679(0x16a)]))return;_0x3ae1e7['setActiveItem'](_0x753443[_0x175679(0x8f7)],_0x5c8fae),_0x1d88a2[_0x175679(0x25a4)](_0x175679(0x4b3));}});}function _0xbd9d93(){const _0x57d27d=_0x1c5db2;return _0x753443['node'][_0x57d27d(0x6f6)];}}function _0x2061a4(){return{'restrict':'A','bindToController':{'node':'=msNavigationHorizontalNode'},'controller':'MsNavigationHorizontalNodeController\x20as\x20vm','compile':function(_0x900d5b){const _0x2a8d2c=a0_0x3bb9;return _0x900d5b[_0x2a8d2c(0x14cf)]('ms-navigation-horizontal-node'),function _0x2811d1(_0x4aa003,_0x47e6c2,_0x3ea36a,_0x561584){const _0x3db2ed=_0x2a8d2c;_0x47e6c2['addClass'](_0x561584[_0x3db2ed(0x1e15)]()),_0x561584[_0x3db2ed(0x1b12)]&&_0x47e6c2[_0x3db2ed(0x14cf)]('group');};}};}function _0x544ef0(_0xf40bf8){const _0x431b68=_0x313a4d;return{'restrict':'A','require':_0x431b68(0x1d36),'compile':function(_0x1f896a){const _0x577b04=_0x431b68;return _0x1f896a[_0x577b04(0x14cf)](_0x577b04(0xff)),function _0x37e0a6(_0x7f27a2,_0x306450,_0x1c7e1f,_0x45dd38){const _0x237476=_0x577b04;_0x306450['on'](_0x237476(0x1fa5),_0x1d79d1);function _0x1d79d1(){const _0x2a617e=_0x237476;if(!_0x45dd38[_0x2a617e(0xb00)]||_0xf40bf8(_0x2a617e(0x284d)))return;_0x306450[_0x2a617e(0x1851)](_0x2a617e(0x257f));}_0x7f27a2[_0x237476(0x16ad)](_0x237476(0x116f),function(){const _0x1b0822=_0x237476;_0x306450[_0x1b0822(0x151d)](_0x1b0822(0x1fa5));});};}};};const _0x124190=_0x4acfac['p']+_0x313a4d(0x1975);;const _0x4394bc=_0x4acfac['p']+'src/js/modules/core/directives/ms-phonebar/referAttended/dialog.html/dialog.html';;const _0x554c60=_0x4acfac['p']+_0x313a4d(0x6b5);;const _0xc1e95f=_0x4acfac['p']+_0x313a4d(0x358);var _0x39a8c9=_0x4acfac(0x175b),_0x252c7a=_0x4acfac['n'](_0x39a8c9),_0x375d60=_0x4acfac(0x26ba),_0x9107e8=_0x4acfac['n'](_0x375d60);;_0x3ed748[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0xa0a),'$mdDialog',_0x313a4d(0x1c7e),_0x313a4d(0x1aa9),_0x313a4d(0x214b),_0x313a4d(0x237),_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0x28fe),_0x313a4d(0xa87),'socket'];function _0x3ed748(_0x5caa1e,_0x3bdbc6,_0x4295ce,_0x5e4a0,_0x4995fc,_0x418c15,_0x4188b5,_0x406910,_0x413fcd,_0x251af4,_0x2c9a89,_0x4bcdb3,_0x457357,_0x2fcf15){const _0x5c8295=_0x313a4d,_0x3d1315=this,_0x448765=window['jabra'];_0x3d1315[_0x5c8295(0x1b1f)]=_0x2c9a89[_0x5c8295(0x1b1f)],_0x3d1315[_0x5c8295(0x190c)]=_0x5caa1e[_0x5c8295(0x2870)]['vm']['conf'];const _0x1469f3=[_0x5c8295(0x1903),_0x5c8295(0xbc8),_0x5c8295(0xa0e),_0x5c8295(0x22b8),_0x5c8295(0x17a),'microphoneVolume','ringingMute',_0x5c8295(0x119d),_0x5c8295(0xa34)],_0x2ef105=_0x3d1315[_0x5c8295(0x190c)]&&JSON[_0x5c8295(0x975)](_0x4188b5[_0x5c8295(0x1c39)]['getItem'](_0x5c8295(0x327)+_0x3d1315[_0x5c8295(0x190c)]['id']))||{'webrtc':{'ringingVolume':0.5,'speakerVolume':0.5,'microphoneVolume':0x1,'ringingMute':![],'speakerMute':![],'microphoneMute':![]}};_0x5e4a0(function(){},0x3e7),_0x3d1315[_0x5c8295(0x26cc)]=_0x5c8295(0x2236),_0x3d1315[_0x5c8295(0x758)]=_0x5c8295(0x796),_0x3d1315[_0x5c8295(0xe1d)]='',_0x3d1315['showDialpad']=![],_0x3d1315[_0x5c8295(0x21e9)]=document[_0x5c8295(0x24ec)](_0x5c8295(0x922)),_0x3d1315[_0x5c8295(0x142f)]=document[_0x5c8295(0x156b)](_0x3d1315['conf'][_0x5c8295(0x11f9)]),_0x3d1315[_0x5c8295(0x21e9)]['volume']=0x1,_0x3d1315[_0x5c8295(0x1e00)]={'mediaConstraints':{'audio':!![],'video':![]},'pcConfig':{'iceServers':[]}},_0x3d1315[_0x5c8295(0x2ca)]=[],_0x3d1315[_0x5c8295(0x197c)]=[],_0x3d1315['callbackQueue']=[],_0x3d1315[_0x5c8295(0x1609)]=![],_0x3d1315['isInConference']=![],_0x3d1315[_0x5c8295(0x1ef2)]=[],_0x3d1315['ua']=new(_0x252c7a())['UA']({'sockets':[new(_0x252c7a())[(_0x5c8295(0x3c3))]('wss://'+_0x3d1315[_0x5c8295(0x190c)][_0x5c8295(0x148e)]+':8089/ws')],'uri':new(_0x252c7a())[(_0x5c8295(0x1354))](_0x5c8295(0x1d4f),_0x3d1315[_0x5c8295(0x190c)][_0x5c8295(0x19eb)],_0x3d1315[_0x5c8295(0x190c)][_0x5c8295(0x148e)],0x13c4,null,null)[_0x5c8295(0xd5f)](),'authorization_user':_0x3d1315['conf'][_0x5c8295(0x19eb)],'ha1':_0x3d1315[_0x5c8295(0x190c)][_0x5c8295(0x21e0)],'realm':_0x3d1315[_0x5c8295(0x190c)]['realm'],'user_agent':_0x3d1315['conf']['ua'],'session_timers_refresh_method':'invite','register_expires':_0x3d1315[_0x5c8295(0x190c)]['phoneBarExpires']||0x3c,'register':!![]}),_0x3d1315[_0x5c8295(0x190c)]=_0x3f65c0()[_0x5c8295(0x168d)](_0x2ef105[_0x5c8295(0x1e42)],_0x3d1315[_0x5c8295(0x190c)]),_0x3d1315['initDeviceInProgress']=![],_0x3d1315['isJabraEnabled']=![],_0x3d1315[_0x5c8295(0x1090)]=![],_0x3d1315[_0x5c8295(0x1390)]=null,_0x3d1315['jabraClicked']=![],_0x3d1315[_0x5c8295(0x84d)]=![],_0x3d1315[_0x5c8295(0xe2c)]=![];function _0x374fda(){const _0x3dc9ec=_0x5c8295;_0xddba7a(![]),navigator[_0x3dc9ec(0x10e7)][_0x3dc9ec(0xba0)]=function(){const _0xf41945=_0x3dc9ec;!_0x3d1315[_0xf41945(0x1d40)]&&(_0x3d1315[_0xf41945(0x1d40)]=!![],_0x448765&&_0x3d1315['isJabraInitialized']?_0x448765['shutdown']()[_0xf41945(0x146b)](function(){const _0x3c7f74=_0xf41945;_0x3d1315[_0x3c7f74(0x1090)]=![],_0x3d1315[_0x3c7f74(0x1669)]=![],_0xddba7a(!![]);})['catch'](function(_0x43f0f8){const _0x3731a3=_0xf41945;console[_0x3731a3(0x1980)]('Unable\x20to\x20shutdown\x20Jabra\x20library',_0x43f0f8);}):_0xddba7a(!![]));};const _0x1d0496=[_0x2c9a89['network']['get']({'type':_0x3dc9ec(0xf87),'nolimit':!![]})[_0x3dc9ec(0x2945)],_0x2c9a89[_0x3dc9ec(0x1f0c)][_0x3dc9ec(0x16b4)]({'type':_0x3dc9ec(0x1956),'nolimit':!![]})[_0x3dc9ec(0x2945)]];Promise[_0x3dc9ec(0x1be2)](_0x1d0496)[_0x3dc9ec(0x146b)](function(_0x1f0395){const _0x107948=_0x3dc9ec,_0x1e0662=_0x1f0395[0x0][_0x107948(0x19c7)],_0x37224b=_0x1f0395[0x1]['rows'],_0x4489be=[],_0x10e583=[];_0x37224b[_0x107948(0x1df5)](function(_0x3e8fa7){const _0x368512=_0x107948;_0x10e583['push'](_0x368512(0xdaf)+_0x3e8fa7['value']);}),_0x4489be[_0x107948(0x1f47)]({'urls':_0x10e583}),_0x1e0662[_0x107948(0x1df5)](function(_0x3867ce){const _0x58472e=_0x107948,_0xc9855d={'urls':_0x58472e(0x1c52)+_0x3867ce[_0x58472e(0x175d)]};_0x3867ce['username']&&(_0xc9855d[_0x58472e(0x14b7)]=_0x3867ce[_0x58472e(0x14b7)]),_0x3867ce['password']&&(_0xc9855d[_0x58472e(0x19ed)]=_0x3867ce[_0x58472e(0xf37)]),_0x4489be['push'](_0xc9855d);}),_0x3d1315['sessionConf'][_0x107948(0x450)]={'iceServers':_0x4489be};}),this['ua']['on']('registered',_0x370453['bind'](this)),this['ua']['on'](_0x3dc9ec(0xdce),_0x284c5c[_0x3dc9ec(0x2544)](this)),this['ua']['on']('newRTCSession',_0x84eebf[_0x3dc9ec(0x2544)](this)),_0x2fcf15['on']('webbar:originate',_0x2b4c82[_0x3dc9ec(0x2544)](this)),_0x2fcf15['on'](_0x3dc9ec(0x15ce),_0x1a41c7[_0x3dc9ec(0x2544)](this)),_0x2fcf15['on'](_0x3dc9ec(0x187f),_0x23836b[_0x3dc9ec(0x2544)](this)),_0x2fcf15['on'](_0x3dc9ec(0x246c),_0x4af7d5[_0x3dc9ec(0x2544)](this)),_0x2fcf15['on'](_0x3dc9ec(0xee1),_0x390fa6[_0x3dc9ec(0x2544)](this)),_0x2fcf15['on'](_0x3dc9ec(0x41c),_0xdccc96[_0x3dc9ec(0x2544)](this));}function _0xddba7a(_0x8f9bca){const _0x535abd=_0x5c8295;let _0x54b7cf,_0x1ec136,_0xc64e99;return _0x3d1315['initDeviceInProgress']=!![],navigator[_0x535abd(0x10e7)][_0x535abd(0x1fc3)]({'audio':!![]})['then'](function(_0x1645a7){const _0xa89e7e=_0x535abd;return _0x1645a7[_0xa89e7e(0x1b7f)]()[_0xa89e7e(0x1df5)](function(_0x1d699a){const _0x4e6280=_0xa89e7e;_0x1d699a[_0x4e6280(0x1edf)]();}),navigator[_0xa89e7e(0x10e7)][_0xa89e7e(0x1b78)]();})[_0x535abd(0x146b)](function(_0xc93626){const _0x410ef1=_0x535abd,_0x3f5991=_0x3f65c0()[_0x410ef1(0x1dd6)](_0xc93626,function(_0x32d9b5){const _0x718198=_0x410ef1;return _0x32d9b5[_0x718198(0x484)]===_0x718198(0x2567)&&_0x32d9b5[_0x718198(0x2492)]!=='default'&&_0x32d9b5['deviceId']!==_0x718198(0xa48);});if(_0x3f5991[_0x410ef1(0x402)]>0x0){const _0x56c6d6=_0x3f65c0()[_0x410ef1(0xc84)](_0xc93626,function(_0x53287e){const _0x12bed8=_0x410ef1;return _0x53287e[_0x12bed8(0x2492)]===_0x12bed8(0x13b1)&&_0x53287e[_0x12bed8(0x484)]===_0x12bed8(0x2567);});_0xc64e99=_0x3f65c0()[_0x410ef1(0xc84)](_0x3f5991,function(_0x3f2482){const _0x3bd6b2=_0x410ef1;return _0x3f2482[_0x3bd6b2(0x7a5)]===_0x56c6d6[_0x3bd6b2(0x7a5)];});if(_0x3d1315[_0x410ef1(0x190c)][_0x410ef1(0xa0e)]&&!_0x8f9bca){const _0x3ee5f4=_0x3f65c0()['find'](_0x3f5991,function(_0x5e08c7){const _0x1dd080=_0x410ef1;return _0x5e08c7[_0x1dd080(0x2492)]===_0x3d1315['conf'][_0x1dd080(0xa0e)];});_0x3ee5f4&&(_0xc64e99=_0x3ee5f4);}_0x3d1315[_0x410ef1(0x190c)]['microphoneId']=_0xc64e99[_0x410ef1(0x2492)];}else _0x251af4[_0x410ef1(0x195d)]({'title':_0x406910[_0x410ef1(0xde)](_0x410ef1(0x26b9)),'msg':_0x406910['instant']('TOOLBAR.WEBRTC_MICROPHONE_NOT_AVAILABLE'),'clickToClose':!![],'showClose':!![],'shake':![],'timeout':![],'position':_0x410ef1(0x1620)});_0x3d1315[_0x410ef1(0x190c)][_0x410ef1(0xa0e)]?_0x3d1315[_0x410ef1(0x1e00)]['mediaConstraints'][_0x410ef1(0x922)]={'deviceId':_0x3d1315[_0x410ef1(0x190c)][_0x410ef1(0xa0e)]}:_0x3d1315[_0x410ef1(0x1e00)]['mediaConstraints'][_0x410ef1(0x922)]=!![];_0xdf61c5(_0x410ef1(0x1d75),![]);const _0x1b68d5=_0x3f65c0()[_0x410ef1(0x1dd6)](_0xc93626,function(_0x5eab93){const _0x5e2e7c=_0x410ef1;return _0x5eab93[_0x5e2e7c(0x484)]===_0x5e2e7c(0x1bcf)&&_0x5eab93[_0x5e2e7c(0x2492)]!==_0x5e2e7c(0x13b1)&&_0x5eab93['deviceId']!=='communications';});if(_0x1b68d5[_0x410ef1(0x402)]>0x0){const _0x5ba2d3=_0x3f65c0()[_0x410ef1(0xc84)](_0xc93626,function(_0x476438){const _0x50bb58=_0x410ef1;return _0x476438['deviceId']===_0x50bb58(0x13b1)&&_0x476438[_0x50bb58(0x484)]===_0x50bb58(0x1bcf);});_0x54b7cf=_0x3f65c0()[_0x410ef1(0xc84)](_0x1b68d5,function(_0x3879bc){const _0x1fba24=_0x410ef1;return _0x3879bc[_0x1fba24(0x7a5)]===_0x5ba2d3[_0x1fba24(0x7a5)];}),_0x1ec136=_0x54b7cf;if(_0x3d1315[_0x410ef1(0x190c)][_0x410ef1(0xbc8)]&&!_0x8f9bca){const _0x311bab=_0x3f65c0()[_0x410ef1(0xc84)](_0x1b68d5,function(_0x2c9079){const _0x38033f=_0x410ef1;return _0x2c9079['deviceId']===_0x3d1315['conf'][_0x38033f(0xbc8)];});_0x311bab&&(_0x1ec136=_0x311bab);}_0x3d1315[_0x410ef1(0x190c)][_0x410ef1(0xbc8)]=_0x1ec136[_0x410ef1(0x2492)];if(_0x3d1315['conf']['ringingId']&&!_0x8f9bca){const _0x422173=_0x3f65c0()[_0x410ef1(0xc84)](_0x1b68d5,function(_0x1d8c49){const _0x337b08=_0x410ef1;return _0x1d8c49[_0x337b08(0x2492)]===_0x3d1315[_0x337b08(0x190c)][_0x337b08(0x1903)];});_0x422173&&(_0x54b7cf=_0x422173);}_0x3d1315[_0x410ef1(0x190c)]['ringingId']=_0x54b7cf[_0x410ef1(0x2492)];}else _0x251af4[_0x410ef1(0x195d)]({'title':_0x406910['instant'](_0x410ef1(0x26b9)),'msg':_0x406910[_0x410ef1(0xde)](_0x410ef1(0xa0f)),'clickToClose':!![],'showClose':!![],'shake':![],'timeout':![],'position':_0x410ef1(0x1620)});return _0xdf61c5('speaker',![]);})['then'](function(){const _0x4b1fd0=_0x535abd;return _0xdf61c5(_0x4b1fd0(0xad4),![]);})['then'](function(){const _0x5bf44c=_0x535abd;if(_0x448765)return _0x448765[_0x5bf44c(0x5aa)]()[_0x5bf44c(0x146b)](function(){const _0x3a2f3a=_0x5bf44c;return _0x3d1315[_0x3a2f3a(0x1090)]=!![],_0x448765[_0x3a2f3a(0x1e28)]();})['then'](function(){const _0x586286=_0x5bf44c;return _0x448765[_0x586286(0x19ec)]();})['then'](function(_0x566ab8){const _0x571173=_0x5bf44c;_0xc64e99&&_0x1ec136&&_0x54b7cf&&_0x566ab8&&(_0x3f65c0()[_0x571173(0xa4e)]([_0xc64e99[_0x571173(0x7a5)],_0x1ec136[_0x571173(0x7a5)],_0x54b7cf[_0x571173(0x7a5)]],_0x566ab8[_0x571173(0x1835)][_0x571173(0x2307)])&&(console['log'](_0x571173(0xe39)),_0x3d1315[_0x571173(0x1669)]=!![],_0x448765[_0x571173(0x10fc)](),_0x448765[_0x571173(0x1c69)](_0x571173(0x223),_0x40c6e5[_0x571173(0x2544)](this)),_0x448765['addEventListener'](_0x571173(0x7da),_0x4e63de[_0x571173(0x2544)](this)),_0x448765[_0x571173(0x1c69)]('unmute',_0x36a678[_0x571173(0x2544)](this)),_0x448765['addEventListener'](_0x571173(0x22b4),_0x5596bf[_0x571173(0x2544)](this)),_0x448765['addEventListener'](_0x571173(0x28c5),_0x13408d[_0x571173(0x2544)](this))));})[_0x5bf44c(0x129e)](function(_0x101be6){const _0x15fd8d=_0x5bf44c;console[_0x15fd8d(0x1859)](_0x15fd8d(0x2475),_0x101be6);});})[_0x535abd(0x129e)](function(_0xf9a0da){const _0x4bb1d1=_0x535abd;console[_0x4bb1d1(0x1980)](_0x4bb1d1(0x142c),_0xf9a0da),_0xf9a0da[_0x4bb1d1(0x19eb)]===_0x4bb1d1(0x1264)&&_0x251af4['warning']({'title':_0x406910[_0x4bb1d1(0xde)](_0x4bb1d1(0x26b9)),'msg':_0x406910[_0x4bb1d1(0xde)](_0x4bb1d1(0x58b)),'clickToClose':!![],'showClose':!![],'shake':![],'timeout':![],'position':_0x4bb1d1(0x1620)});})[_0x535abd(0x1ec6)](function(){const _0x1f81f7=_0x535abd;_0x3d1315[_0x1f81f7(0x1d40)]=![],_0x4c329e(),_0x9107e8()['load'](_0x9972e2['bind'](this));});}function _0x40c6e5(_0x1c1607){const _0x2fd31b=_0x5c8295,_0x4a5839=_0x3f65c0()[_0x2fd31b(0x22f2)](_0x3d1315[_0x2fd31b(0x2ca)]);_0x4a5839?_0x4a5839['direction']==='incoming'&&_0x4a5839['isInProgress']()?_0x3d1315['answer'](_0x4a5839):console[_0x2fd31b(0x1980)](_0x2fd31b(0x44a),_0x1c1607):console[_0x2fd31b(0x1980)]('Unable\x20to\x20answer\x20the\x20call',_0x1c1607);}function _0x4e63de(){const _0x48b14e=_0x5c8295;_0x3d1315[_0x48b14e(0x190c)][_0x48b14e(0xa34)]=!![],_0xdf61c5(_0x48b14e(0x1d75),!![]);}function _0x36a678(){const _0x39985b=_0x5c8295;_0x3d1315[_0x39985b(0x190c)][_0x39985b(0xa34)]=![],_0xdf61c5(_0x39985b(0x1d75),!![]);}function _0x5596bf(){const _0x53cce2=_0x5c8295;_0x3d1315[_0x53cce2(0x1390)][_0x53cce2(0x77e)]?_0x3d1315[_0x53cce2(0x584)](_0x3d1315[_0x53cce2(0x1390)]):_0x3d1315['hold'](_0x3d1315[_0x53cce2(0x1390)]);}function _0x13408d(){const _0x34c565=_0x5c8295;_0xdbab30()[_0x34c565(0x146b)](function(_0x72115b){const _0x4fc6ad=_0x34c565;_0x72115b!==null&&_0x3d1315[_0x4fc6ad(0xcad)](_0x72115b);});}function _0x4c329e(){const _0xa2565f=_0x5c8295;_0x2ef105[_0xa2565f(0x1e42)]=_0x3f65c0()[_0xa2565f(0x40e)](_0x3d1315[_0xa2565f(0x190c)],_0x1469f3),_0x4188b5['localStorage'][_0xa2565f(0xc32)](_0xa2565f(0x327)+_0x3d1315[_0xa2565f(0x190c)]['id'],JSON[_0xa2565f(0x10bb)](_0x2ef105));}function _0x370453(){const _0xd8b5f3=_0x5c8295;this[_0xd8b5f3(0x6e6)]=!![];}function _0x284c5c(){const _0x280fb0=_0x5c8295;this[_0x280fb0(0x6e6)]=![];}function _0x9972e2(){const _0x1da933=_0x5c8295;_0x3d1315[_0x1da933(0x190c)]['hasMicrophone']=_0x9107e8()[_0x1da933(0x284b)],_0x3d1315[_0x1da933(0x190c)][_0x1da933(0x1eb7)]=_0x9107e8()[_0x1da933(0x1506)][_0x1da933(0x19eb)]==='Chrome'||_0x9107e8()[_0x1da933(0x1506)]['name']==='Edge'||_0x9107e8()[_0x1da933(0x1506)][_0x1da933(0x19eb)]==='Opera'?_0x9107e8()[_0x1da933(0x1eb7)]:!![],_0x3d1315[_0x1da933(0x190c)][_0x1da933(0x23d1)]=_0x9107e8()['isWebRTCSupported'],_0x3d1315[_0x1da933(0x190c)][_0x1da933(0x4c4)]=_0x9107e8()[_0x1da933(0x4c4)],_0x3d1315[_0x1da933(0x190c)][_0x1da933(0x1b7d)]=_0x9107e8()[_0x1da933(0x1b7d)],_0x3d1315[_0x1da933(0x190c)]['browserName']=_0x9107e8()[_0x1da933(0x1506)]['name'],_0x3d1315['conf'][_0x1da933(0x123b)]=_0x9107e8()[_0x1da933(0x1506)][_0x1da933(0x11b7)];if(_0x617eb3())!_0x3d1315[_0x1da933(0x2e5)]&&_0x3d1315['ua'][_0x1da933(0x48e)]();else!_0x3d1315['conf'][_0x1da933(0x2690)]&&_0x251af4[_0x1da933(0x195d)]({'title':_0x406910[_0x1da933(0xde)](_0x1da933(0x26b9)),'msg':_0x406910[_0x1da933(0xde)](_0x1da933(0xd81)),'clickToClose':!![],'showClose':!![],'shake':![],'timeout':![],'position':_0x1da933(0x1620)});}function _0x617eb3(){const _0x3f59e5=_0x5c8295;return _0x3d1315[_0x3f59e5(0x190c)][_0x3f59e5(0x2690)]&&_0x3d1315['conf'][_0x3f59e5(0x23d1)]&&_0x3d1315[_0x3f59e5(0x190c)][_0x3f59e5(0x284b)]&&_0x3d1315[_0x3f59e5(0x190c)]['hasSpeakers']&&_0x3d1315['conf']['protocol']===_0x3f59e5(0x26ae)&&_0x4cb450();}function _0x4cb450(){const _0x4070b6=_0x5c8295;return _0x3d1315[_0x4070b6(0x190c)][_0x4070b6(0x6cf)][_0x4070b6(0x256e)]()==='chrome'||_0x3d1315['conf'][_0x4070b6(0x6cf)][_0x4070b6(0x256e)]()===_0x4070b6(0x91d)||_0x3d1315[_0x4070b6(0x190c)][_0x4070b6(0x6cf)][_0x4070b6(0x256e)]()==='safari';}function _0x84eebf(_0x2a2c91){const _0x4680f9=_0x5c8295;console[_0x4680f9(0xf98)](_0x4680f9(0x89c),_0x2a2c91);const _0x3ee913=_0x2a2c91['session'];let _0x302ea1=!![],_0xa05c62='',_0x5e30b4=0x0,_0xe1f87a;switch(_0x2a2c91['originator']){case'local':{_0x3ee913['outgoing']=!![],_0x3ee913[_0x4680f9(0x19eb)]=_0x2a2c91[_0x4680f9(0xe1f)][_0x4680f9(0x1554)][_0x4680f9(0xe7b)],_0x3ee913[_0x4680f9(0xe7b)]=_0x2a2c91[_0x4680f9(0xe1f)][_0x4680f9(0x1554)][_0x4680f9(0xe7b)],_0x287684(_0x3ee913['user'],![]);const _0x4cb1b4=_0x4680f9(0x64d);_0xe1f87a=_0x1a94d3(_0x2a2c91[_0x4680f9(0xe1f)][_0x4680f9(0x5af)],_0x4cb1b4),_0x3d1315[_0x4680f9(0x1669)]&&(_0x3d1315['jabraSession']&&_0x448765[_0x4680f9(0xa57)](),_0x3d1315[_0x4680f9(0x1390)]=_0x3ee913,_0x448765[_0x4680f9(0xd5a)]());}break;case _0x4680f9(0xc75):{const _0x5b81fc=_0x457357[_0x4680f9(0xb12)]();if(_0x5b81fc['voicePause']&&_0x5b81fc[_0x4680f9(0x1587)]||_0x3d1315[_0x4680f9(0xe2c)])_0x302ea1=![];else{_0x3ee913[_0x4680f9(0x19a0)]=!![],_0x3ee913[_0x4680f9(0x19eb)]=_0x2a2c91[_0x4680f9(0xe1f)][_0x4680f9(0xfa5)][_0x4680f9(0x18d)],_0x3ee913['user']=_0x2a2c91[_0x4680f9(0xe1f)][_0x4680f9(0xfa5)][_0x4680f9(0x1e73)][_0x4680f9(0xe7b)],_0xa05c62=_0x2a2c91[_0x4680f9(0xe1f)]['getHeader'](_0x4680f9(0x96e))||'',_0x5e30b4=_0x2a2c91[_0x4680f9(0xe1f)][_0x4680f9(0x1c08)](_0x4680f9(0xb70))||0x0,_0x455140(_0x2a2c91[_0x4680f9(0x258b)]),_0x287684(_0x2a2c91[_0x4680f9(0x258b)]['user'],!![]);_0x3d1315['isJabraEnabled']&&_0x448765['ring']();_0x3d1315[_0x4680f9(0x190c)][_0x4680f9(0x1502)]&&_0x4295ce(function(){const _0x3a21be=_0x4680f9;_0x3ee913[_0x3a21be(0x18bf)]()&&(_0x3ee913[_0x3a21be(0x1502)]=!![],_0x5651d8(_0x3ee913));},_0x3d1315[_0x4680f9(0x190c)]['autoAnswerDelay']?_0x3d1315[_0x4680f9(0x190c)][_0x4680f9(0xa40)]*0x3e8:0x0);console[_0x4680f9(0xf98)](_0x4680f9(0x20f2),_0xa05c62),console[_0x4680f9(0xf98)](_0x4680f9(0x4a0),_0x5e30b4);if(_0xa05c62['toLowerCase']()=='auto'){const _0x3f1408=_0x5e30b4==0x0?0x1f4:_0x5e30b4*0x3e8;console[_0x4680f9(0xf98)](_0x4680f9(0x2441)+_0x3f1408+_0x4680f9(0x2442)),_0x4295ce(function(){const _0x23323e=_0x4680f9;_0x3ee913[_0x23323e(0x18bf)]()&&(_0x3ee913['autoAnswer']=!![],_0x5651d8(_0x3ee913));},_0x3f1408);}}break;}default:}_0x302ea1?(_0x3ee913['call_id']=_0x2a2c91[_0x4680f9(0xe1f)][_0x4680f9(0x219c)],_0xe1f87a&&(_0x3d1315[_0x4680f9(0x25e5)][_0x3ee913[_0x4680f9(0x219c)]]=_0xe1f87a,_0x4d58b7(_0xe1f87a,{'call_id':_0x3ee913[_0x4680f9(0x219c)]})[_0x4680f9(0x146b)](function(){const _0x1c699b=_0x4680f9;console[_0x1c699b(0x1a74)](_0x1c699b(0x1f43)+_0xe1f87a+_0x1c699b(0x190a));})[_0x4680f9(0x129e)](function(_0x47d64c){const _0x1ca2f1=_0x4680f9;console[_0x1ca2f1(0x1a74)](_0x1ca2f1(0x2775)+_0xe1f87a+_0x1ca2f1(0x195a),_0x47d64c);})),_0x3ee913[_0x4680f9(0x1978)]&&(_0x3ee913[_0x4680f9(0x1978)][_0x4680f9(0x79a)]=_0x15984f['bind'](this)),_0x3ee913['iceCandidateTimeout']=null,_0x3ee913['on'](_0x4680f9(0x2141),_0x31f299[_0x4680f9(0x2544)](this,_0x3ee913)),_0x3ee913['on']('confirmed',_0x4dd49a['bind'](this,_0x3ee913)),_0x3ee913['on']('hold',_0x3472be[_0x4680f9(0x2544)](this,_0x3ee913)),_0x3ee913['on'](_0x4680f9(0x584),_0x23963d[_0x4680f9(0x2544)](this,_0x3ee913)),_0x3ee913['on']('ended',_0x295099['bind'](this,_0x3ee913)),_0x3ee913['on'](_0x4680f9(0x18de),_0x1da68c[_0x4680f9(0x2544)](this,_0x3ee913)),_0x3ee913['on'](_0x4680f9(0x1d29),_0x1775da[_0x4680f9(0x2544)](this,_0x3ee913)),_0x3d1315[_0x4680f9(0x2ca)][_0x4680f9(0x1f47)](_0x3ee913),_0x4295ce(function(){const _0xd77f0=_0x4680f9;_0x5caa1e[_0xd77f0(0x6fc)](),console[_0xd77f0(0xf98)](_0xd77f0(0xa28),_0x3d1315[_0xd77f0(0x2ca)]);})):_0x376125(_0x3ee913,0x1e6);}function _0x287684(_0x514113,_0x5d9492,_0x5bd868){const _0xbd06e9=_0x5c8295;console[_0xbd06e9(0xf98)](_0xbd06e9(0x216),_0x514113,_0x5d9492,_0x5bd868),_0x3d1315['calls']['unshift']({'target':_0x514113,'fullname':_0x5bd868||_0x514113,'inbound':_0x5d9492,'time':_0x2deec6()()[_0xbd06e9(0x22b0)](_0xbd06e9(0x28b4))}),_0x3d1315[_0xbd06e9(0x197c)][_0xbd06e9(0x402)]>0x32&&_0x3d1315['calls'][_0xbd06e9(0x18c1)]();}function _0x455140(_0x14b35b){const _0x31aaaa=_0x5c8295;console[_0x31aaaa(0xf98)](_0x31aaaa(0x1b76),_0x14b35b);const _0x23d238=_0x14b35b[_0x31aaaa(0x19eb)]?_0x14b35b[_0x31aaaa(0x19eb)]+'\x20<'+_0x14b35b[_0x31aaaa(0xe7b)]+'>':_0x14b35b[_0x31aaaa(0xe7b)];_0x4bcdb3[_0x31aaaa(0x50f)](_0x31aaaa(0x5a0),_0x23d238,null,function(){_0x5651d8(_0x14b35b);},function(){_0x376125(_0x14b35b);})['then'](function(_0x45c44e){const _0x562e6d=_0x31aaaa;_0x14b35b[_0x562e6d(0xcee)]=_0x45c44e;})['catch'](function(_0x2c0a5e){const _0x2553c6=_0x31aaaa;console[_0x2553c6(0x1980)](_0x2553c6(0x229),_0x2c0a5e);});}function _0x5651d8(_0x47ae2e){const _0x1ddd3b=_0x5c8295;console[_0x1ddd3b(0xf98)](_0x1ddd3b(0x1075),_0x47ae2e),_0x3d1315[_0x1ddd3b(0x190c)][_0x1ddd3b(0xa0e)]?_0x3d1315[_0x1ddd3b(0x1e00)]['mediaConstraints'][_0x1ddd3b(0x922)]={'deviceId':_0x3d1315[_0x1ddd3b(0x190c)][_0x1ddd3b(0xa0e)]}:_0x3d1315[_0x1ddd3b(0x1e00)][_0x1ddd3b(0x1f7f)]['audio']=!![],_0x47ae2e['answer'](_0x3d1315[_0x1ddd3b(0x1e00)]),_0x3d1315['isJabraEnabled']&&(_0x448765[_0x1ddd3b(0xd5a)](),_0x3d1315[_0x1ddd3b(0x1390)]=_0x47ae2e);}function _0x376125(_0x35cdfe,_0x3f44e5){const _0x42915e=_0x5c8295;console[_0x42915e(0xf98)](_0x42915e(0xec7),_0x35cdfe);const _0x5716fc={};if(_0x3f44e5)_0x5716fc['status_code']=_0x3f44e5;else!_0x35cdfe['isEstablished']()&&(_0x5716fc[_0x42915e(0xb9a)]=0x25b);_0x35cdfe[_0x42915e(0xcad)](_0x5716fc);if(_0x3d1315[_0x42915e(0x1669)]){if(_0x3d1315['sessions'][_0x42915e(0x402)]===0x0)_0x35cdfe[_0x42915e(0x77e)]&&_0x448765['resume'](),_0x448765['onHook'](),_0x3d1315[_0x42915e(0x1390)]=null;else{const _0x48248e=_0x3f65c0()[_0x42915e(0x22f2)](_0x3d1315[_0x42915e(0x2ca)]);_0x48248e[_0x42915e(0x77e)]?(_0x448765[_0x42915e(0x10fc)](),_0x448765[_0x42915e(0x1d87)](),_0x3d1315['jabraSession']=_0x48248e):_0x448765[_0x42915e(0xd5a)]();}}}function _0x31f299(_0x40c17a,_0x3293a1){const _0x2f235b=_0x5c8295;console['debug']('onProgress\x20->\x20session,\x20data',_0x40c17a,_0x3293a1);let _0x5f2396=![];switch(_0x3293a1[_0x2f235b(0xb4c)]){case'local':!this[_0x2f235b(0x190c)][_0x2f235b(0xac1)]&&(this[_0x2f235b(0x21e9)]['setAttribute']('src',_0x2f235b(0x3b2)),_0x5f2396=!![]);break;case _0x2f235b(0xc75):this['putOtherCallsOnHold'](_0x40c17a),this[_0x2f235b(0x21e9)][_0x2f235b(0x1652)](_0x2f235b(0x1006),_0x2f235b(0x1e83)),_0x5f2396=!![];break;}_0x3d1315[_0x2f235b(0xe2c)]&&(_0x3d1315[_0x2f235b(0xe2c)]=![]),_0x5f2396&&(this[_0x2f235b(0x21e9)][_0x2f235b(0x213d)]=_0x2f235b(0x213d),this[_0x2f235b(0x21e9)][_0x2f235b(0x1fee)]()[_0x2f235b(0x129e)](function(_0x7d48b6){const _0x5a9500=_0x2f235b;console[_0x5a9500(0x1a74)](_0x7d48b6['message']);})),_0x2b9ba2(_0x40c17a)[_0x2f235b(0x146b)](function(){const _0x3c2e14=_0x2f235b,_0x5a6f98=_0x3d1315['callbackQueue'][_0x40c17a['call_id']];_0x5a6f98&&_0x4d58b7(_0x5a6f98,{'uniqueid':_0x40c17a[_0x3c2e14(0x4f8)],'call_id':_0x40c17a[_0x3c2e14(0x219c)],'number_called':_0x40c17a[_0x3c2e14(0xe7b)]})[_0x3c2e14(0x146b)](function(){const _0x6bb30a=_0x3c2e14;console[_0x6bb30a(0x1a74)](_0x6bb30a(0x1f43)+_0x5a6f98+'\x22\x20called\x20successfully');})[_0x3c2e14(0x129e)](function(_0x45f15f){const _0x53b527=_0x3c2e14;console[_0x53b527(0x1a74)](_0x53b527(0x2775)+_0x5a6f98+_0x53b527(0x195a),_0x45f15f);})[_0x3c2e14(0x1ec6)](function(){const _0x6eba2e=_0x3c2e14;delete _0x3d1315[_0x6eba2e(0x25e5)][_0x40c17a[_0x6eba2e(0x219c)]];});})[_0x2f235b(0x129e)](function(_0x363846){const _0x3f2388=_0x2f235b;console[_0x3f2388(0x1980)](_0x3f2388(0x1f33),_0x363846);});}function _0x2b9ba2(_0x495f23){const _0x3402f4=_0x5c8295;return console[_0x3402f4(0xf98)](_0x3402f4(0xe5a),_0x495f23),_0x2c9a89[_0x3402f4(0x1af7)][_0x3402f4(0x944)]()[_0x3402f4(0x2945)][_0x3402f4(0x146b)](function(_0x250007){const _0x426794=_0x3402f4,_0x37b383=_0x3f65c0()['find'](_0x250007[_0x426794(0x19c7)],function(_0x187512){const _0x5ee6ce=_0x426794;if(_0x187512['sipcalllinkedid']===_0x495f23['call_id'])return _0x187512;if(_0x187512['sipcalluniqueid']===_0x495f23[_0x5ee6ce(0x219c)])return _0x187512;return null;});_0x37b383&&(_0x495f23[_0x426794(0x234c)]=_0x37b383[_0x426794(0x234c)],_0x495f23[_0x426794(0x4f8)]=_0x37b383[_0x426794(0x4f8)],_0x495f23[_0x426794(0x25fe)]=_0x37b383[_0x426794(0x25fe)]);return;});}function _0x4dd49a(_0x1af3ce,_0x4c8935){const _0x4268b6=_0x5c8295;console[_0x4268b6(0xf98)]('onConfirmed\x20->\x20session,\x20data',_0x1af3ce,_0x4c8935);const _0x5e70b5=document[_0x4268b6(0x156b)]('div_session_'+_0x1af3ce['id'][_0x4268b6(0x16eb)](0x0,0x20));_0x5e70b5&&$(_0x5e70b5)[_0x4268b6(0x1886)]()[_0x4268b6(0x25a4)](_0x4268b6(0x1829)),_0x1af3ce['confirmed']=!![],_0x4c8935['originator']===_0x4268b6(0xc75)&&_0x1af3ce[_0x4268b6(0x1978)]&&(_0x1af3ce[_0x4268b6(0x1978)][_0x4268b6(0x79a)]=_0x15984f[_0x4268b6(0x2544)](this),_0x3f65c0()[_0x4268b6(0x6d8)](_0x1af3ce['connection'][_0x4268b6(0x23d)]())&&(this[_0x4268b6(0x142f)][_0x4268b6(0xfe6)]=_0x3f65c0()[_0x4268b6(0x6d8)](_0x1af3ce['connection']['getRemoteStreams']()),this[_0x4268b6(0x142f)][_0x4268b6(0x1fee)]())),this[_0x4268b6(0x21e9)]['pause'](),this[_0x4268b6(0x21e9)][_0x4268b6(0x213d)]=null,this[_0x4268b6(0x14e0)](_0x1af3ce),_0x1af3ce['autoAnswer']&&(this['soundPlayer'][_0x4268b6(0x1652)](_0x4268b6(0x1006),_0x4268b6(0x6b4)),this[_0x4268b6(0x21e9)][_0x4268b6(0x1fee)]()[_0x4268b6(0x129e)](function(_0x5034b5){const _0x58474d=_0x4268b6;console[_0x58474d(0x1a74)](_0x58474d(0x2038),_0x5034b5);})),_0x3d1315[_0x4268b6(0x1609)]=_0x3d1315[_0x4268b6(0x2ca)]['length']==0x2,_0x2b9ba2(_0x1af3ce)[_0x4268b6(0x129e)](function(_0x2e5166){const _0x1c2bd3=_0x4268b6;console[_0x1c2bd3(0x1980)]('Unable\x20to\x20retrieve\x20voice\x20channels',_0x2e5166);});}function _0x15984f(_0x3ad30a){const _0x40593d=_0x5c8295;console[_0x40593d(0xf98)]('onAddstream\x20->\x20data',_0x3ad30a),this['remotePlayer'][_0x40593d(0xfe6)]=_0x3ad30a[_0x40593d(0x2897)],this[_0x40593d(0x142f)][_0x40593d(0x1fee)]();}function _0x3472be(_0x198af0,_0x38ff73){const _0x16f59d=_0x5c8295;console[_0x16f59d(0xf98)](_0x16f59d(0x589),_0x198af0,_0x38ff73),_0x38ff73[_0x16f59d(0xb4c)]===_0x16f59d(0x106b)&&(_0x198af0[_0x16f59d(0x77e)]=!![]);}function _0x23963d(_0x170335,_0x1adf66){const _0x5bb5cc=_0x5c8295;console[_0x5bb5cc(0xf98)]('onUnhold\x20->\x20session,\x20data',_0x170335,_0x1adf66),_0x1adf66[_0x5bb5cc(0xb4c)]===_0x5bb5cc(0x106b)&&(_0x170335['localHold']=![]);}function _0x295099(_0x2c4ea5,_0x23f9cd){const _0x5858b3=_0x5c8295;console[_0x5858b3(0xf98)]('onEnded\x20->\x20session,\x20data',_0x2c4ea5,_0x23f9cd);_0x3d1315[_0x5858b3(0x2919)]&&(_0x3f65c0()[_0x5858b3(0xa4e)](_0x3d1315[_0x5858b3(0x1ef2)],_0x2c4ea5['id'])&&(_0x7aeebd(),_0x3d1315[_0x5858b3(0x84d)]=!![]));_0x3f65c0()[_0x5858b3(0x2640)](this[_0x5858b3(0x2ca)],{'id':_0x2c4ea5['id']});_0x3d1315[_0x5858b3(0x2ca)][_0x5858b3(0x402)]!==0x2&&(_0x3d1315[_0x5858b3(0x1609)]=![]);if(_0x3d1315['isJabraEnabled']){if(_0x3d1315[_0x5858b3(0x2ca)][_0x5858b3(0x402)]===0x0)_0x2c4ea5[_0x5858b3(0x77e)]&&_0x448765[_0x5858b3(0xa57)](),_0x448765[_0x5858b3(0x10fc)](),_0x3d1315[_0x5858b3(0x1390)]=null;else{const _0x1e7089=_0x3f65c0()[_0x5858b3(0x22f2)](_0x3d1315[_0x5858b3(0x2ca)]);_0x1e7089[_0x5858b3(0x77e)]?(_0x448765['onHook'](),_0x448765['hold'](),_0x3d1315[_0x5858b3(0x1390)]=_0x1e7089):_0x448765[_0x5858b3(0xd5a)]();}}}function _0x7aeebd(){const _0x46e7d6=_0x5c8295;_0x3d1315[_0x46e7d6(0x1609)]=![],_0x3d1315[_0x46e7d6(0x2919)]=![],_0x3d1315[_0x46e7d6(0x1515)]=[];}function _0x1da68c(_0x101b8d,_0x49af74){const _0x348a0f=_0x5c8295;console[_0x348a0f(0xf98)]('onFailed\x20->\x20session,\x20data',_0x101b8d,_0x49af74),this[_0x348a0f(0x21e9)][_0x348a0f(0x1e3e)](),this[_0x348a0f(0x21e9)][_0x348a0f(0x213d)]=null;_0x101b8d[_0x348a0f(0xcee)]&&_0x101b8d[_0x348a0f(0xcee)]['close']();_0x3d1315[_0x348a0f(0x2919)]&&(_0x3f65c0()['includes'](_0x3d1315['currentConferenceSessions'],_0x101b8d['id'])&&_0x7aeebd());_0x3d1315['originateInProgress']&&(_0x3d1315[_0x348a0f(0xe2c)]=![]);_0x3f65c0()[_0x348a0f(0x2640)](this['sessions'],{'id':_0x101b8d['id']});_0x3d1315[_0x348a0f(0x2ca)][_0x348a0f(0x402)]!==0x2&&(_0x3d1315['canGoInConference']=![]);if(_0x3d1315[_0x348a0f(0x1669)]){if(_0x3d1315[_0x348a0f(0x2ca)][_0x348a0f(0x402)]===0x0)_0x101b8d['localHold']&&_0x448765[_0x348a0f(0xa57)](),_0x448765[_0x348a0f(0x10fc)](),_0x3d1315[_0x348a0f(0x1390)]=null;else{const _0x4a9b8b=_0x3f65c0()[_0x348a0f(0x22f2)](_0x3d1315[_0x348a0f(0x2ca)]);_0x4a9b8b[_0x348a0f(0x77e)]?(_0x448765['onHook'](),_0x448765[_0x348a0f(0x1d87)](),_0x3d1315[_0x348a0f(0x1390)]=_0x4a9b8b):_0x448765[_0x348a0f(0xd5a)]();}}}function _0x1775da(_0xd63357,_0x272495){const _0x5d47ec=_0x5c8295;console[_0x5d47ec(0xf98)](_0x5d47ec(0x1a95),_0xd63357,_0x272495),_0xd63357[_0x5d47ec(0xdc0)]!==null&&clearTimeout(_0xd63357[_0x5d47ec(0xdc0)]),_0xd63357[_0x5d47ec(0xdc0)]=setTimeout(_0x272495[_0x5d47ec(0x120a)],0x1*0x3e8);}function _0x25d1c3(){const _0x3b2742=_0x5c8295;_0x3d1315[_0x3b2742(0x2326)]=![];}function _0x2d933b(_0xc11459,_0x59e402){const _0x35bf0e=_0x5c8295;let _0x4191cb=_0xc11459;!_0x3d1315[_0x35bf0e(0xe1d)]&&(_0x3d1315[_0x35bf0e(0xe1d)]='');_0x59e402&&(_0x3d1315[_0x35bf0e(0xe1d)]+=_0xc11459,_0x5caa1e[_0x35bf0e(0x25a4)]('angucomplete-alt:changeInput',_0x35bf0e(0x5b4),_0x3d1315[_0x35bf0e(0xe1d)]));switch(_0xc11459){case'*':_0x4191cb=_0x35bf0e(0x1c50);break;case'#':_0x4191cb='pound';break;default:}_0x3d1315[_0x35bf0e(0x190c)][_0x35bf0e(0x21b5)]&&(_0x3d1315[_0x35bf0e(0x21e9)][_0x35bf0e(0x1652)]('src',_0x35bf0e(0x13a6)+_0x4191cb+_0x35bf0e(0x1509)),_0x3d1315[_0x35bf0e(0x21e9)][_0x35bf0e(0x1fee)]()['catch'](function(_0x4ffc05){const _0x529b84=_0x35bf0e;console[_0x529b84(0x1a74)](_0x4ffc05[_0x529b84(0x7fd)]);}));for(let _0x591440=0x0;_0x591440<_0x3d1315[_0x35bf0e(0x2ca)][_0x35bf0e(0x402)];_0x591440+=0x1){_0x3d1315[_0x35bf0e(0x2ca)][_0x591440][_0x35bf0e(0x286b)]()&&!_0x3d1315[_0x35bf0e(0x2ca)][_0x591440][_0x35bf0e(0x2396)]()['local']&&_0x3d1315[_0x35bf0e(0x2ca)][_0x591440]['sendDTMF'](_0xc11459);}}function _0xdf61c5(_0xfe907,_0x6ead7b){const _0x37cd9a=_0x5c8295;switch(_0xfe907){case _0x37cd9a(0xad4):_0x3d1315[_0x37cd9a(0x21e9)][_0x37cd9a(0x1f7a)]=_0x3d1315[_0x37cd9a(0x190c)]['ringingMute'];_0x3d1315[_0x37cd9a(0x190c)][_0x37cd9a(0x1903)]&&_0x3d1315[_0x37cd9a(0x21e9)]['setSinkId'](_0x3d1315[_0x37cd9a(0x190c)][_0x37cd9a(0x1903)])[_0x37cd9a(0x146b)](function(){const _0x398419=_0x37cd9a;_0x3d1315[_0x398419(0x21e9)][_0x398419(0x10b2)]=_0x3d1315['conf'][_0x398419(0x22b8)];})[_0x37cd9a(0x146b)](function(){_0x6ead7b&&_0x4c329e();})[_0x37cd9a(0x129e)](function(_0xf6976c){const _0x58b0e9=_0x37cd9a;console[_0x58b0e9(0x271e)](_0xf6976c[_0x58b0e9(0x7fd)],_0x3d1315[_0x58b0e9(0x190c)][_0x58b0e9(0x1903)]);});break;case _0x37cd9a(0xf4a):_0x3d1315[_0x37cd9a(0x142f)][_0x37cd9a(0x1f7a)]=_0x3d1315[_0x37cd9a(0x190c)]['speakerMute'];_0x3d1315[_0x37cd9a(0x190c)][_0x37cd9a(0xbc8)]&&_0x3d1315[_0x37cd9a(0x142f)][_0x37cd9a(0x1159)](_0x3d1315['conf'][_0x37cd9a(0xbc8)])[_0x37cd9a(0x146b)](function(){const _0x144947=_0x37cd9a;_0x3d1315[_0x144947(0x142f)][_0x144947(0x10b2)]=_0x3d1315[_0x144947(0x190c)][_0x144947(0x17a)];})[_0x37cd9a(0x146b)](function(){_0x6ead7b&&_0x4c329e();})[_0x37cd9a(0x129e)](function(_0x3a5576){const _0x454af4=_0x37cd9a;console['info'](_0x3a5576[_0x454af4(0x7fd)],_0x3d1315[_0x454af4(0x190c)][_0x454af4(0xbc8)]);});break;case _0x37cd9a(0x1d75):for(let _0x2b0753=0x0;_0x2b0753<_0x3d1315['sessions'][_0x37cd9a(0x402)];_0x2b0753+=0x1){_0x3d1315[_0x37cd9a(0x190c)][_0x37cd9a(0xa34)]?_0x3d1315['sessions'][_0x2b0753][_0x37cd9a(0x7da)]():_0x3d1315[_0x37cd9a(0x2ca)][_0x2b0753][_0x37cd9a(0x2807)]();}_0x448765&&_0x3d1315['isJabraEnabled']&&(_0x3d1315['conf'][_0x37cd9a(0xa34)]?_0x448765[_0x37cd9a(0x7da)]():_0x448765['unmute']());_0x6ead7b&&_0x4c329e();break;default:console[_0x37cd9a(0x1a74)]('unknown\x20device');}}function _0x43a265(_0x982338){const _0x279eac=_0x5c8295;if(!_0x982338)_0x50df02();else/^(\w|\.|\+|#|\*|\(|\)|\s|-)*$/['test'](_0x982338)?(_0x3d1315['target']=_0x982338,_0x5caa1e['$broadcast'](_0x279eac(0x1f37),_0x279eac(0x5b4),_0x3d1315[_0x279eac(0xe1d)])):_0x3d1315['target']?_0x5caa1e[_0x279eac(0x25a4)](_0x279eac(0x1f37),'ms-target-wrap',_0x3d1315[_0x279eac(0xe1d)]):_0x50df02();}function _0x50df02(){const _0x7b2f52=_0x5c8295;_0x3d1315[_0x7b2f52(0xe1d)]='',document[_0x7b2f52(0x156b)](_0x7b2f52(0x1cba))[_0x7b2f52(0x2076)](),document[_0x7b2f52(0x156b)]('ms-target')[_0x7b2f52(0x175d)]='',_0x5caa1e[_0x7b2f52(0x25a4)]('angucomplete-alt:clearInput','ms-target-wrap');}function _0x5f1b31(_0x4cef73){const _0x45e207=_0x5c8295;_0x4cef73&&(_0x3d1315['target']=_0x4cef73[_0x45e207(0x23e5)][_0x45e207(0xe1d)],_0x3d1315[_0x45e207(0x133b)](),_0x50df02());}function _0x293bc2(){const _0x25fc8c=_0x5c8295;if(_0x3d1315[_0x25fc8c(0xe1d)]&&_0x3d1315[_0x25fc8c(0xe1d)]!==_0x3d1315[_0x25fc8c(0x190c)][_0x25fc8c(0x19eb)]&&_0x3d1315[_0x25fc8c(0xe1d)]!==_0x3d1315[_0x25fc8c(0x190c)][_0x25fc8c(0x113f)]){_0x3d1315[_0x25fc8c(0x190c)][_0x25fc8c(0xa0e)]?_0x3d1315[_0x25fc8c(0x1e00)][_0x25fc8c(0x1f7f)][_0x25fc8c(0x922)]={'deviceId':_0x3d1315[_0x25fc8c(0x190c)][_0x25fc8c(0xa0e)]}:_0x3d1315[_0x25fc8c(0x1e00)][_0x25fc8c(0x1f7f)][_0x25fc8c(0x922)]=!![];let _0x3246b9=_0x3d1315[_0x25fc8c(0xe1d)][_0x25fc8c(0x5f4)](/ /g,'');return _0x3246b9=_0x3246b9[_0x25fc8c(0x5f4)](/\(/g,''),_0x3246b9=_0x3246b9[_0x25fc8c(0x5f4)](/\)/g,''),_0x11e9c5(_0x3246b9)[_0x25fc8c(0x146b)](function(_0x38317c){const _0x5c3f1d=_0x25fc8c;_0x38317c&&_0x3d1315['ua'][_0x5c3f1d(0x133b)](_0x38317c,_0x3d1315[_0x5c3f1d(0x1e00)]);})[_0x25fc8c(0x146b)](function(){_0x50df02();});}}function _0x11e9c5(_0x257860){const _0x3c3976=_0x5c8295;return _0x2c9a89[_0x3c3976(0xe7b)]['getVoicePrefixes']({'id':_0x3d1315[_0x3c3976(0x190c)]['id']})[_0x3c3976(0x2945)][_0x3c3976(0x146b)](function(_0x4e5579){const _0xb9fdec=_0x3c3976;if(_0x4e5579['count'])return _0x4995fc[_0xb9fdec(0x2615)]({'controller':_0xb9fdec(0xaa7),'controllerAs':'vm','templateUrl':_0x4748cf,'parent':angular[_0xb9fdec(0x1853)](_0x3bdbc6[_0xb9fdec(0x2586)]),'clickOutsideToClose':!![],'locals':{'prefixes':_0x4e5579,'required':_0x3d1315[_0xb9fdec(0x190c)][_0xb9fdec(0x27f8)]}});})[_0x3c3976(0x146b)](function(_0x1890bd){const _0x3813f6=_0x3c3976;if(!_0x3d1315[_0x3813f6(0x190c)][_0x3813f6(0x27f8)]){if(_0x1890bd&&_0x1890bd!==-0x1)return _0x1890bd+_0x257860;else{if(!_0x1890bd)return _0x257860;}}else{if(_0x1890bd&&_0x1890bd!==-0x1)return _0x1890bd+_0x257860;}});}function _0x42d69e(_0xf1a1af){const _0x3e5f5b=_0x5c8295;switch(_0xf1a1af[_0x3e5f5b(0x2293)][_0x3e5f5b(0x256e)]()){case'0':case'1':case'2':case'3':case'4':case'5':case'6':case'7':case'8':case'9':case'*':case'#':_0x3d1315[_0x3e5f5b(0x1142)](_0xf1a1af[_0x3e5f5b(0x2293)]);break;case _0x3e5f5b(0xd7a):_0x3d1315[_0x3e5f5b(0x133b)]();break;default:}}function _0x290d68(){const _0x2a676a=_0x5c8295;_0x3d1315[_0x2a676a(0x2326)]=!_0x3d1315['showDialpad'];}_0x3bdbc6['bind'](_0x5c8295(0x1ce9),function(_0x137da6){const _0x460143=_0x5c8295;switch(_0x137da6[_0x460143(0x1252)]){case 0x1b:_0x4295ce(function(){const _0x1a223d=_0x460143;_0x3d1315[_0x1a223d(0x2326)]=![];});break;default:}});function _0x961872(){const _0x114c80=_0x5c8295;_0x3d1315[_0x114c80(0x2919)]=!![],_0x3d1315[_0x114c80(0x1609)]=![],_0x3d1315['currentConferenceSessions']['push'](_0x3d1315['sessions'][0x0]['id']),_0x3d1315[_0x114c80(0x1ef2)][_0x114c80(0x1f47)](_0x3d1315[_0x114c80(0x2ca)][0x1]['id']);const _0x5f0b44=_0x3d1315[_0x114c80(0x2ca)][0x0][_0x114c80(0x1978)][_0x114c80(0xd3f)]()[0x0],_0x4b826d=_0x3d1315[_0x114c80(0x2ca)][0x0]['connection']['getReceivers']()[0x0];_0x4b826d[_0x114c80(0x486)][_0x114c80(0x1c69)](_0x114c80(0x2807),function(){setTimeout(function(){_0x7eec0d();},0x3e8);},![]);const _0x1ab6aa=_0x3d1315[_0x114c80(0x2ca)][0x1]['connection'][_0x114c80(0xd3f)]()[0x0],_0x2dd5b1=_0x3d1315[_0x114c80(0x2ca)][0x1][_0x114c80(0x1978)][_0x114c80(0x22fa)]()[0x0];_0x2dd5b1['track'][_0x114c80(0x1c69)]('unmute',function(){setTimeout(function(){_0x7eec0d();},0x3e8);},![]),_0x3d1315[_0x114c80(0x2ca)][0x0]['unhold']({'useUpdate':![]}),_0x3d1315[_0x114c80(0x2ca)][0x1][_0x114c80(0x584)]({'useUpdate':![]}),_0x4b826d[_0x114c80(0x486)][_0x114c80(0x193e)]=!![],_0x2dd5b1[_0x114c80(0x486)][_0x114c80(0x193e)]=!![];const _0x21b16f=new MediaStream(),_0x37d75b=new AudioContext();let _0x5e43a2=_0x37d75b[_0x114c80(0xa7a)](),_0x4ebead=_0x37d75b[_0x114c80(0xc2c)](new MediaStream([_0x2dd5b1[_0x114c80(0x486)]]));_0x4ebead[_0x114c80(0x2e3)](_0x5e43a2),_0x4ebead=_0x37d75b[_0x114c80(0xc2c)](new MediaStream([_0x5f0b44[_0x114c80(0x486)]])),_0x4ebead['connect'](_0x5e43a2),_0x5f0b44['replaceTrack'](_0x5e43a2['stream']['getTracks']()[0x0])[_0x114c80(0x146b)](function(){}),_0x5e43a2=_0x37d75b[_0x114c80(0xa7a)](),_0x4ebead=_0x37d75b['createMediaStreamSource'](new MediaStream([_0x4b826d[_0x114c80(0x486)]])),_0x4ebead[_0x114c80(0x2e3)](_0x5e43a2),_0x4ebead=_0x37d75b[_0x114c80(0xc2c)](new MediaStream([_0x1ab6aa[_0x114c80(0x486)]])),_0x4ebead['connect'](_0x5e43a2),_0x1ab6aa[_0x114c80(0x339)](_0x5e43a2[_0x114c80(0x2897)][_0x114c80(0x1b7f)]()[0x0])['then'](function(){const _0x6051d7=_0x114c80;_0x21b16f[_0x6051d7(0x27f2)](_0x4b826d[_0x6051d7(0x486)]),_0x21b16f[_0x6051d7(0x27f2)](_0x2dd5b1[_0x6051d7(0x486)]);const _0x273221=document['getElementById'](_0x6051d7(0x2629));_0x273221[_0x6051d7(0xfe6)]=_0x21b16f;const _0x15b669=_0x273221[_0x6051d7(0x1fee)]();_0x15b669!==undefined&&_0x15b669['then'](function(){})['catch'](function(_0x48daa7){const _0x3c9215=_0x6051d7;console[_0x3c9215(0x1a74)](_0x48daa7);});});}function _0x7eec0d(){const _0x14db90=_0x5c8295;if(_0x3d1315[_0x14db90(0x2919)]){const _0x28ddb8=_0x3d1315[_0x14db90(0x2ca)][0x0]['connection'][_0x14db90(0x22fa)]()[0x0],_0x4cf85e=_0x3d1315[_0x14db90(0x2ca)][0x1][_0x14db90(0x1978)]['getReceivers']()[0x0],_0x313a6f=new MediaStream();_0x313a6f[_0x14db90(0x27f2)](_0x28ddb8[_0x14db90(0x486)]),_0x313a6f['addTrack'](_0x4cf85e[_0x14db90(0x486)]);const _0x3e467b=document[_0x14db90(0x156b)]('remote-audio');_0x3e467b[_0x14db90(0xfe6)]=_0x313a6f;const _0x4d3db4=_0x3e467b[_0x14db90(0x1fee)]();_0x4d3db4!==undefined&&_0x4d3db4[_0x14db90(0x146b)](function(){})[_0x14db90(0x129e)](function(_0x4ff5df){const _0x23a2bc=_0x14db90;console[_0x23a2bc(0x1a74)](_0x4ff5df);});}}function _0x132991(_0x45198e){const _0x523bff=_0x5c8295;console[_0x523bff(0xf98)]('selectSession\x20->\x20session',_0x45198e),_0x3d1315['unhold'](_0x45198e);}function _0x983ff0(_0x4d1901){const _0x798181=_0x5c8295;console[_0x798181(0xf98)]('unhold\x20->\x20session',_0x4d1901),_0x4d1901[_0x798181(0x584)]({'useUpdate':![]}),_0x3d1315['putOtherCallsOnHold'](_0x4d1901),_0x3d1315['isJabraEnabled']&&(_0x448765['offHook'](),_0x448765['resume']());}function _0xe69f5b(_0x40a9c0){const _0x5779c5=_0x5c8295;console[_0x5779c5(0xf98)](_0x5779c5(0x2231),_0x40a9c0),_0x4995fc[_0x5779c5(0x2615)]({'controller':'RecordDialogController','controllerAs':'vm','templateUrl':_0x124190,'parent':angular[_0x5779c5(0x1853)](_0x3bdbc6['body']),'clickOutsideToClose':!![],'locals':{'session':_0x40a9c0,'sessions':_0x3d1315[_0x5779c5(0x2ca)]}});}function _0x19529d(_0x58d602){const _0x33de48=_0x5c8295;console['debug'](_0x33de48(0xf2b),_0x58d602),_0x58d602['hold']({'useUpdate':![]}),_0x3d1315[_0x33de48(0x1669)]&&(_0x448765[_0x33de48(0x1d87)](),_0x448765['onHook']());}function _0x1696bc(_0xe627fb){const _0x4c8365=_0x5c8295;console[_0x4c8365(0xf98)](_0x4c8365(0x2578),_0xe627fb);const _0x2cf5d7=_0x4995fc[_0x4c8365(0x212a)]()['title'](_0x4c8365(0x9ff))[_0x4c8365(0x199c)]('Type\x20the\x20target')[_0x4c8365(0x1a02)]('Target')[_0x4c8365(0x4bd)](_0x4c8365(0x2468))['ok'](_0x4c8365(0x9ff))['cancel'](_0x4c8365(0xcf0));_0x4995fc[_0x4c8365(0x2615)](_0x2cf5d7)[_0x4c8365(0x146b)](function(_0x173ee3){return _0x11e9c5(_0x173ee3);})[_0x4c8365(0x146b)](function(_0x397414){const _0x811210=_0x4c8365;_0xe627fb['refer'](_0x397414,{'eventHandlers':{'requestSucceeded':_0x210073(_0xe627fb,_0x811210(0x2668),_0x811210(0x25f2),0xbb8),'requestFailed':_0x210073(_0xe627fb,_0x811210(0xdc5),'top\x20right',0xbb8)}});});}function _0x210073(_0x899d8,_0x7decd7,_0x1d6ed6,_0x46c6eb){const _0x3d2ca2=_0x5c8295;return console['debug'](_0x3d2ca2(0x460),_0x899d8,_0x7decd7,_0x1d6ed6,_0x46c6eb),function(){const _0x474cca=_0x3d2ca2;_0x418c15[_0x474cca(0x2615)](_0x418c15['simple']()[_0x474cca(0x199c)](_0x7decd7)['position'](_0x1d6ed6)[_0x474cca(0x1605)](_0x46c6eb)),_0x376125(_0x899d8);};}function _0x37ff70(_0x67e919){const _0x144adc=_0x5c8295;console[_0x144adc(0xf98)](_0x144adc(0x1a9),_0x67e919),_0x4995fc[_0x144adc(0x2615)]({'controller':_0x144adc(0x1af),'controllerAs':'vm','templateUrl':_0x4394bc,'parent':angular[_0x144adc(0x1853)](_0x3bdbc6[_0x144adc(0x2586)]),'clickOutsideToClose':!![],'locals':{'session':_0x67e919,'sessions':_0x3f65c0()[_0x144adc(0xa6f)](_0x3d1315['sessions'],{'id':_0x67e919['id']}),'isJabraEnabled':_0x3d1315[_0x144adc(0x1669)]}});}function _0x2d6236(_0x587994){const _0xe6530e=_0x5c8295;console[_0xe6530e(0xf98)](_0xe6530e(0x1db6),_0x587994);if(_0x3d1315[_0xe6530e(0x2ca)][_0xe6530e(0x402)]>0x1)for(let _0x4790fe=0x0;_0x4790fe<_0x3d1315['sessions'][_0xe6530e(0x402)];_0x4790fe+=0x1){_0x3d1315[_0xe6530e(0x2ca)][_0x4790fe]['id']!==_0x587994['id']&&!_0x3d1315['sessions'][_0x4790fe]['isOnHold']()[_0xe6530e(0xc75)]&&_0x3d1315[_0xe6530e(0x2ca)][_0x4790fe]['hold']({'useUpdate':![]});}}function _0x41680a(_0x3eee5c){const _0x1fcc99=_0x5c8295;_0x4995fc[_0x1fcc99(0x2615)]({'controller':_0x1fcc99(0x16a2),'controllerAs':'vm','templateUrl':_0x554c60,'parent':angular['element'](_0x3bdbc6[_0x1fcc99(0x2586)]),'targetEvent':_0x3eee5c,'clickOutsideToClose':!![],'resolve':{'devices':function(){const _0x24cdc9=_0x1fcc99;return navigator[_0x24cdc9(0x10e7)][_0x24cdc9(0x1b78)]();}},'locals':{'conf':_0x3d1315[_0x1fcc99(0x190c)]}})[_0x1fcc99(0x146b)](function(_0x41fbe4){const _0x23120e=_0x1fcc99;_0x41fbe4&&(_0x3d1315[_0x23120e(0x190c)]=_0x41fbe4,!_0x3d1315[_0x23120e(0x1d40)]&&(_0x3d1315[_0x23120e(0x1d40)]=!![],_0x448765&&_0x3d1315[_0x23120e(0x1090)]?_0x448765[_0x23120e(0x25d9)]()[_0x23120e(0x146b)](function(){const _0x2b0f5f=_0x23120e;_0x3d1315[_0x2b0f5f(0x1090)]=![],_0x3d1315[_0x2b0f5f(0x1669)]=![],_0xddba7a(![]);})['catch'](function(_0x59b9c1){const _0x17bcb3=_0x23120e;console['error'](_0x17bcb3(0x2110),_0x59b9c1);}):_0xddba7a(![])));});}function _0x8343ed(){const _0x2d243c=_0x5c8295;_0x3d1315['ua'][_0x2d243c(0x1edf)](),_0x448765&&_0x3d1315[_0x2d243c(0x1090)]&&_0x448765['shutdown'](),navigator[_0x2d243c(0x10e7)]['ondevicechange']=function(){};}function _0x86a192(_0x2bdd70,_0x2e3e33){const _0x3f0765=_0x5c8295;console['debug'](_0x3f0765(0xe1e),_0x2bdd70,_0x2e3e33),_0x2e3e33[_0x3f0765(0xe1d)]&&(_0x3d1315[_0x3f0765(0xe1d)]=_0x2e3e33['target'],_0x3d1315[_0x3f0765(0x133b)]());}function _0x29702e(_0x1df5cd,_0x351399){const _0x4a2744=_0x5c8295;console['debug'](_0x4a2744(0x184),_0x1df5cd,_0x351399);if(_0x351399['target'])for(let _0x5677ac=0x0;_0x5677ac<_0x3d1315[_0x4a2744(0x2ca)][_0x4a2744(0x402)];_0x5677ac+=0x1){if(_0x3d1315['sessions'][_0x5677ac]['isEstablished']()&&!_0x3d1315['sessions'][_0x5677ac][_0x4a2744(0x2396)]()['local'])return _0x11e9c5(_0x351399['target'])['then'](function(_0x157e5f){const _0x5bba3b=_0x4a2744;_0x157e5f&&_0x3d1315['sessions'][_0x5677ac][_0x5bba3b(0x1143)](_0x157e5f,{'eventHandlers':{'requestSucceeded':_0x210073(_0x3d1315['sessions'][_0x5677ac],'requestSucceeded',_0x5bba3b(0x25f2),0xbb8),'requestFailed':_0x210073(_0x3d1315['sessions'][_0x5677ac],_0x5bba3b(0xdc5),_0x5bba3b(0x25f2),0xbb8)}});});}}function _0xdbab30(){return new Promise(function(_0x36a23d){const _0x232c0b=a0_0x3bb9;let _0x1d1a9e=null;for(let _0xf2f5ee=0x0;_0xf2f5ee<_0x3d1315[_0x232c0b(0x2ca)]['length'];_0xf2f5ee++){!_0x3f65c0()['has'](_0x3d1315[_0x232c0b(0x2ca)][_0xf2f5ee],'localHold')?_0x1d1a9e=_0x3d1315['sessions'][_0xf2f5ee]:!_0x3d1315['sessions'][_0xf2f5ee][_0x232c0b(0x77e)]&&(_0x1d1a9e=_0x3d1315[_0x232c0b(0x2ca)][_0xf2f5ee]);}_0x36a23d(_0x1d1a9e);});}function _0x2b4c82(_0x3c5056){const _0x476f34=_0x5c8295;console[_0x476f34(0xf98)](_0x476f34(0x9b2),_0x3c5056);const _0x2757e1=_0x3c5056[_0x476f34(0x20af)],_0x1a97ac=_0x3c5056[_0x476f34(0x1d43)],_0x52f2ce=_0x3c5056[_0x476f34(0x68d)];_0x3d1315[_0x476f34(0x190c)][_0x476f34(0xa0e)]?_0x3d1315['sessionConf'][_0x476f34(0x1f7f)]['audio']={'deviceId':_0x3d1315['conf'][_0x476f34(0xa0e)]}:_0x3d1315['sessionConf'][_0x476f34(0x1f7f)][_0x476f34(0x922)]=!![];let _0x1cae1a=_0x2757e1[_0x476f34(0x5f4)](/ /g,'');_0x1cae1a=_0x1cae1a[_0x476f34(0x5f4)](/\(/g,''),_0x1cae1a=_0x1cae1a[_0x476f34(0x5f4)](/\)/g,'');if(_0x1cae1a){const _0x193a6f=angular['copy'](_0x3d1315[_0x476f34(0x1e00)]);_0x193a6f[_0x476f34(0x5af)]=[],_0x1a97ac&&_0x193a6f[_0x476f34(0x5af)]['push'](_0x476f34(0xc6c)+_0x1a97ac),_0x52f2ce&&_0x193a6f[_0x476f34(0x5af)]['push'](_0x476f34(0x1864)+_0x52f2ce),_0x3d1315[_0x476f34(0xe2c)]=!![],_0x3d1315['ua'][_0x476f34(0x133b)](_0x1cae1a,_0x193a6f);}}function _0x1a41c7(_0x230905){const _0x47ce9c=_0x5c8295;console[_0x47ce9c(0xf98)](_0x47ce9c(0x235c),_0x230905);let _0x44bba9=_0x3d1315[_0x47ce9c(0x2ca)];if(_0x230905['sessionId']){_0x44bba9=[];const _0x5caf4d=_0x230905[_0x47ce9c(0x1d2d)];_0x44bba9[_0x47ce9c(0x1f47)](_0x1ffba3(_0x230905[_0x47ce9c(0x1d2d)]));if(_0x44bba9[_0x47ce9c(0x402)]==0x0){console['warn']('call\x20with\x20session\x20Id\x20'+_0x5caf4d+_0x47ce9c(0x57b));return;}}for(let _0x6c5626=0x0;_0x6c5626<_0x44bba9[_0x47ce9c(0x402)];_0x6c5626++){const _0x5e5b2d=_0x44bba9[_0x6c5626];console['log']('hangupHook\x20->\x20sessionToHangup',_0x5e5b2d),_0x3d1315[_0x47ce9c(0xcad)](_0x5e5b2d);}}function _0x23836b(_0x2d262d){const _0x157df9=_0x5c8295;console[_0x157df9(0xf98)](_0x157df9(0x2694),_0x2d262d);let _0x1ac6c6;_0x2d262d[_0x157df9(0x1d2d)]?_0x1ac6c6=_0x1ffba3(_0x2d262d[_0x157df9(0x1d2d)]):_0x1ac6c6=_0x291e82(),_0x2d262d[_0x157df9(0x1d2d)]&&!_0x1ac6c6&&console['warn']('call\x20with\x20session\x20Id\x20'+_0x2d262d[_0x157df9(0x1d2d)]+_0x157df9(0x57b)),_0x1ac6c6&&_0x3d1315[_0x157df9(0x2336)](_0x1ac6c6);}function _0x291e82(){const _0x4dccca=_0x5c8295,_0x1cca58=_0x3f65c0()[_0x4dccca(0xc84)](_0x3d1315[_0x4dccca(0x2ca)],function(_0x5cb999){const _0x20954d=_0x4dccca;return _0x5cb999['incoming']&&!_0x5cb999[_0x20954d(0x1275)];});return _0x1cca58;}function _0x4af7d5(_0xe725fd){const _0x21c1ea=_0x5c8295;console[_0x21c1ea(0xf98)](_0x21c1ea(0x8b8),_0xe725fd);let _0x45cef6=_0x3d1315[_0x21c1ea(0x2ca)];if(_0xe725fd[_0x21c1ea(0x1d2d)]){_0x45cef6=[],_0x45cef6[_0x21c1ea(0x1f47)](_0x1ffba3(_0xe725fd[_0x21c1ea(0x1d2d)]));const _0xec5cdf=_0xe725fd[_0x21c1ea(0x1d2d)];if(_0x45cef6[_0x21c1ea(0x402)]==0x0){console['warn'](_0x21c1ea(0x284)+_0xec5cdf+'\x20not\x20found');return;}}for(let _0x40e4c3=0x0;_0x40e4c3<_0x45cef6[_0x21c1ea(0x402)];_0x40e4c3++){const _0x27158c=_0x45cef6[_0x40e4c3];_0x3d1315['hold'](_0x27158c);}}function _0x390fa6(_0x33aaec){const _0x53f082=_0x5c8295;console['debug'](_0x53f082(0x8b8),_0x33aaec);if(!_0x33aaec[_0x53f082(0x1d2d)]){console[_0x53f082(0x1980)](_0x53f082(0x286c));return;}const _0x43e50f=_0x1ffba3(_0x33aaec[_0x53f082(0x1d2d)]);if(!_0x43e50f){const _0x155beb=_0x33aaec[_0x53f082(0x1d2d)];console[_0x53f082(0x1859)](_0x53f082(0x284)+_0x155beb+_0x53f082(0x57b));return;}_0x3d1315[_0x53f082(0x584)](_0x43e50f);}function _0xdccc96(_0x2e3e67){const _0x154289=_0x5c8295;console['debug'](_0x154289(0x15da),_0x2e3e67);if(!_0x2e3e67[_0x154289(0x1d2d)]){console['error']('sessionId\x20required');return;}if(!_0x2e3e67['transferNumber']){console['error'](_0x154289(0x2926));return;}const _0x390207=_0x1ffba3(_0x2e3e67['sessionId']);if(!_0x390207){const _0x4968a2=_0x2e3e67['sessionId'];console[_0x154289(0x1859)](_0x154289(0x284)+_0x4968a2+_0x154289(0x57b));return;}_0x390207[_0x154289(0x1143)](_0x2e3e67[_0x154289(0x28bd)],{'eventHandlers':{'requestSucceeded':_0x210073(_0x390207,_0x154289(0x2668),_0x154289(0x25f2),0xbb8),'requestFailed':_0x210073(_0x390207,'requestFailed',_0x154289(0x25f2),0xbb8)}});}function _0x1ffba3(_0x494d22){const _0x9b2310=_0x5c8295;console[_0x9b2310(0xf98)](_0x9b2310(0x108d),_0x494d22,_0x3d1315[_0x9b2310(0x2ca)]);const _0x5f391d=_0x3f65c0()[_0x9b2310(0xc84)](_0x3d1315[_0x9b2310(0x2ca)],function(_0x56224b){const _0xe83944=_0x9b2310;return _0x56224b[_0xe83944(0x219c)]==_0x494d22;});return _0x5f391d;}function _0x4d58b7(_0x3ced96,_0x37a43e){const _0x4e9f0d=_0x5c8295;return console[_0x4e9f0d(0xf98)](_0x4e9f0d(0x2354),_0x3ced96,_0x37a43e),_0x413fcd({'url':_0x3ced96,'method':'GET','params':_0x37a43e});}function _0x1a94d3(_0x4cf28e,_0x37652b,_0x4bcadd){const _0x48f433=_0x5c8295,_0x29b6e0=_0x3f65c0()['find'](_0x4cf28e,function(_0x15c2d6){const _0x537315=a0_0x3bb9;return _0x3f65c0()[_0x537315(0xd4d)](_0x15c2d6,_0x37652b);});if(_0x29b6e0)return _0x3f65c0()[_0x48f433(0x15a3)](_0x29b6e0[_0x48f433(0x5f4)](_0x37652b,'')[_0x48f433(0x5f4)](_0x4bcadd||':',''));return![];}_0x3d1315[_0x5c8295(0x20de)]=_0x374fda['bind'](this),_0x3d1315['type']=_0x2d933b,_0x3d1315[_0x5c8295(0x133b)]=_0x293bc2,_0x3d1315['hold']=_0x19529d,_0x3d1315['refer']=_0x1696bc,_0x3d1315[_0x5c8295(0x243d)]=_0xe69f5b,_0x3d1315[_0x5c8295(0x584)]=_0x983ff0,_0x3d1315[_0x5c8295(0x2336)]=_0x5651d8,_0x3d1315[_0x5c8295(0xcad)]=_0x376125,_0x3d1315[_0x5c8295(0x1a30)]=_0x132991,_0x3d1315[_0x5c8295(0xd67)]=_0x42d69e,_0x3d1315['toggleDialpad']=_0x290d68,_0x3d1315[_0x5c8295(0x2b7)]=_0x37ff70,_0x3d1315['closeDialpad']=_0x25d1c3,_0x3d1315[_0x5c8295(0x427)]=_0xdf61c5,_0x3d1315[_0x5c8295(0x14e0)]=_0x2d6236,_0x3d1315[_0x5c8295(0x28a1)]=_0x43a265,_0x3d1315['targetSelected']=_0x5f1b31,_0x3d1315[_0x5c8295(0x2043)]=_0x961872,_0x3d1315[_0x5c8295(0x2304)]=_0x7eec0d,_0x5caa1e[_0x5c8295(0x16ad)](_0x5c8295(0x18d8),_0x41680a),_0x5caa1e['$on']('webrtc::logout',_0x8343ed),_0x5caa1e[_0x5c8295(0x16ad)](_0x5c8295(0x2111),_0x86a192),_0x5caa1e['$on'](_0x5c8295(0x1eb1),_0x29702e),_0x5caa1e['$on'](_0x5c8295(0x116f),function(){const _0x2782f5=_0x5c8295;console[_0x2782f5(0xf98)](_0x2782f5(0x8cc)),_0x2fcf15[_0x2782f5(0x1c5f)](_0x2782f5(0x9b9)),_0x2fcf15['removeAllListeners'](_0x2782f5(0x15ce)),_0x2fcf15[_0x2782f5(0x1c5f)](_0x2782f5(0x187f)),_0x2fcf15[_0x2782f5(0x1c5f)]('webbar:hold'),_0x2fcf15[_0x2782f5(0x1c5f)]('webbar:unhold'),_0x2fcf15['removeAllListeners'](_0x2782f5(0x41c));});}function _0x4eb318(){const _0x28684c=_0x313a4d;return{'restrict':'E','scope':{'conf':'='},'require':_0x28684c(0x234b),'controller':_0x28684c(0x11cb),'controllerAs':_0x28684c(0xdbe),'bindToController':!![],'templateUrl':_0xc1e95f};};_0x33aca6['$inject']=[_0x313a4d(0x10e8),'prefixes','required'];function _0x33aca6(_0x596ff4,_0x5e987c,_0x29ebd3){const _0x311abb=_0x313a4d,_0x24db2e=this;function _0x236ee4(){const _0x75520d=a0_0x3bb9;_0x596ff4[_0x75520d(0x2458)](_0x24db2e[_0x75520d(0x1e12)]);}function _0x587be0(_0x45feb4){const _0x34f534=a0_0x3bb9;_0x596ff4[_0x34f534(0x2458)](_0x45feb4);}_0x24db2e[_0x311abb(0x1a7c)]=_0x5e987c,_0x24db2e['required']=_0x29ebd3,_0x24db2e['closeDialog']=_0x587be0,_0x24db2e['call']=_0x236ee4;}const _0xfe22c8=_0x33aca6;;_0x1e5ae9[_0x313a4d(0x11c2)]=['$mdDialog','$q',_0x313a4d(0x247f),_0x313a4d(0x2ca),_0x313a4d(0x258b)],_0x34d685['$inject']=[_0x313a4d(0x1abe)];function _0x34d685(_0x1af0a3){const _0x293ef3=_0x313a4d;return{'restrict':'C','controller':[_0x293ef3(0x910),_0x293ef3(0x961),function(_0x54930b,_0x416667){function _0x437c20(){const _0x2f5a30=a0_0x3bb9;_0x416667[_0x2f5a30(0xdba)](_0x2f5a30(0x365),_0x2f5a30(0xc83)),_0x1af0a3(_0x25abeb,0x3e8);}function _0x25abeb(){const _0x5c494a=a0_0x3bb9;_0x416667[_0x5c494a(0xdba)]('display',_0x5c494a(0x1642)),_0x1af0a3(_0x437c20,0x3e8);}_0x437c20();}],'replace':!![]};}function _0x1e5ae9(_0x526e44,_0x20240d,_0x1213bf,_0x58bb6a,_0x147a48){const _0x235cc0=_0x313a4d,_0x5482e1=this;_0x5482e1[_0x235cc0(0x1189)]=_0x235cc0(0x1b98),_0x5482e1[_0x235cc0(0x2ca)]=_0x58bb6a,_0x5482e1[_0x235cc0(0x258b)]=_0x147a48,_0x5482e1[_0x235cc0(0x13f3)]=_0x44e470,_0x5482e1[_0x235cc0(0x672)]=_0x4f2c85,_0x5482e1[_0x235cc0(0x1edf)]=_0x1615cb,_0x5482e1[_0x235cc0(0x48e)]=_0xa63c26;function _0x58e876(){function _0x1946e0(){const _0x2f8739=a0_0x3bb9;return Math[_0x2f8739(0x19ad)]((0x1+Math[_0x2f8739(0x28e8)]())*0x10000)[_0x2f8739(0xd5f)](0x10)[_0x2f8739(0xe83)](0x1);}return _0x1946e0()+_0x1946e0()+'-'+_0x1946e0()+'-'+_0x1946e0()+'-'+_0x1946e0()+'-'+_0x1946e0()+_0x1946e0()+_0x1946e0();}function _0xa63c26(_0x13fba8,_0x380a3d){const _0x2ffd2b=_0x235cc0;console['log'](_0x2ffd2b(0x278));const _0x2e3475=_0x20240d[_0x2ffd2b(0xce3)](),_0x280c57=_0x380a3d||_0x13fba8[_0x2ffd2b(0x920)]['replace'](/^.*[\\/]/,''),_0x345a05=_0x280c57[_0x2ffd2b(0x10c8)]('.')[0x0];return _0x1213bf[_0x2ffd2b(0x1af7)][_0x2ffd2b(0x278)]({'uniqueid':_0x5482e1[_0x2ffd2b(0x258b)][_0x2ffd2b(0x4f8)],'filename':_0x345a05,'format':_0x2ffd2b(0x52d)})[_0x2ffd2b(0x2945)]['then'](function(_0x2fa480){const _0x86afde=_0x2ffd2b;_0x13fba8[_0x86afde(0x920)]=_0x345a05+_0x86afde(0xa44),_0x13fba8['mixmonitorid']=_0x2fa480['mixmonitorid'],_0x13fba8['status']='rec',_0x5482e1[_0x86afde(0x258b)][_0x86afde(0x234c)]=!![],_0x2e3475['resolve'](_0x13fba8);})[_0x2ffd2b(0x129e)](function(_0x50c2ef){const _0x2a18b6=_0x2ffd2b;_0x2e3475[_0x2a18b6(0xa6f)](_0x50c2ef);}),_0x2e3475['promise'];}function _0x1615cb(_0x127631){const _0x28b010=_0x235cc0;return console[_0x28b010(0x1a74)]('stopMonitor'),_0x1213bf[_0x28b010(0x1af7)][_0x28b010(0xe41)]({'uniqueid':_0x5482e1[_0x28b010(0x258b)][_0x28b010(0x4f8)],'mixmonitorid':_0x127631['mixmonitorid']})['$promise']['then'](function(){const _0x1cfe62=_0x28b010;_0x127631[_0x1cfe62(0x107b)]=_0x1cfe62(0x1e3e),_0x5482e1[_0x1cfe62(0x258b)][_0x1cfe62(0x234c)]=_0x3f65c0()[_0x1cfe62(0xa4e)](_0x5482e1[_0x1cfe62(0x258b)][_0x1cfe62(0x25fe)],{'status':_0x1cfe62(0x2493)});})[_0x28b010(0x129e)](function(_0xde8b7a){const _0x3f148c=_0x28b010;console[_0x3f148c(0x1980)](_0xde8b7a);});}function _0x4f2c85(){const _0xef2c7b=_0x235cc0,_0x47135e=_0x526e44[_0xef2c7b(0x212a)]({'skipHide':!![]})[_0xef2c7b(0x1189)]('Start\x20new\x20recording')[_0xef2c7b(0x199c)](_0xef2c7b(0x100f))[_0xef2c7b(0x1a02)](_0xef2c7b(0x2c9))[_0xef2c7b(0x4bd)](_0xef2c7b(0x2c9))['initialValue'](_0x58e876())['ok']('Start')[_0xef2c7b(0x6c3)](_0xef2c7b(0xcf0));_0x526e44[_0xef2c7b(0x2615)](_0x47135e)['then'](function(_0x13d558){return _0xa63c26({},_0x13d558);})[_0xef2c7b(0x146b)](function(_0x507bce){const _0xb24966=_0xef2c7b;_0x5482e1[_0xb24966(0x258b)][_0xb24966(0x25fe)]['push'](_0x507bce);});}function _0x44e470(){const _0x2cc3eb=_0x235cc0;_0x526e44[_0x2cc3eb(0x2458)]();}};_0x587550[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$mdToast',_0x313a4d(0x2ca),_0x313a4d(0x258b),_0x313a4d(0x1669)];function _0x587550(_0x136b06,_0xf07bda,_0x45d4b8,_0x4e616a,_0x3dd7c1){const _0x55456a=_0x313a4d,_0x37cf4a=this,_0x5299e0=window[_0x55456a(0x158e)];_0x37cf4a[_0x55456a(0x1189)]=_0x55456a(0x90d),_0x37cf4a['sessions']=_0x45d4b8,_0x37cf4a['session']=_0x4e616a,_0x37cf4a[_0x55456a(0x1669)]=_0x3dd7c1,_0x37cf4a[_0x55456a(0xc8b)]=null;_0x45d4b8[_0x55456a(0x402)]&&(_0x37cf4a[_0x55456a(0xe1d)]=_0x45d4b8[0x0][_0x55456a(0xe7b)]);_0x37cf4a[_0x55456a(0x13f3)]=_0x465ab0,_0x37cf4a['transfer']=_0x571976;function _0x571976(){const _0x148e9b=_0x55456a;let _0x285e64,_0x21ea9c;const _0x507538=_0x3f65c0()['find'](_0x45d4b8,function(_0x1da18e){const _0x5d1be1=a0_0x3bb9;if(_0x1da18e[_0x5d1be1(0xe7b)]===_0x37cf4a[_0x5d1be1(0xe1d)])return _0x1da18e;});_0x507538[_0x148e9b(0x187b)]?(_0x285e64=_0x507538,_0x21ea9c=_0x37cf4a[_0x148e9b(0x258b)]):(_0x285e64=_0x37cf4a[_0x148e9b(0x258b)],_0x21ea9c=_0x507538),_0x21ea9c[_0x148e9b(0x1143)](_0x285e64[_0x148e9b(0xe7b)],{'replaces':_0x285e64,'eventHandlers':{'requestSucceeded':_0x30cc25(_0x4e616a,_0x148e9b(0x2668),'top\x20right',0xbb8,_0x37cf4a[_0x148e9b(0x1669)]),'requestFailed':_0x30cc25(_0x4e616a,'requestFailed',_0x148e9b(0x25f2),0xbb8,_0x37cf4a[_0x148e9b(0x1669)])}});}function _0x30cc25(_0x203709,_0x48ffc5,_0x4013d1,_0x37db54,_0x513450){const _0x5d29ba=_0x55456a;return console['debug'](_0x5d29ba(0x769),_0x203709,_0x48ffc5,_0x4013d1,_0x37db54),function(){const _0x3af687=_0x5d29ba;_0xf07bda[_0x3af687(0x2615)](_0xf07bda[_0x3af687(0x1e7b)]()['textContent'](_0x48ffc5)['position'](_0x4013d1)['hideDelay'](_0x37db54)),_0x203709[_0x3af687(0xcad)](),_0x5299e0&&_0x513450&&_0x5299e0[_0x3af687(0x10fc)](),_0x465ab0();};}function _0x465ab0(_0xd93796){const _0x137114=_0x55456a;_0x136b06[_0x137114(0x2458)](_0xd93796);}}const _0x2b1da8=_0x587550;;_0x326648[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),_0x313a4d(0x190c),'devices'];function _0x326648(_0x292ddb,_0x40ed46,_0x2b0d0e){const _0x2d47b4=_0x313a4d,_0x56309d=this;_0x3f65c0()[_0x2d47b4(0x2640)](_0x2b0d0e,function(_0x30c335){const _0x2af2ae=_0x2d47b4;return _0x30c335[_0x2af2ae(0x484)]==='audioinput'&&(_0x30c335['deviceId']===_0x2af2ae(0x13b1)||_0x30c335[_0x2af2ae(0x2492)]===_0x2af2ae(0xa48))||_0x30c335['kind']===_0x2af2ae(0x1bcf)&&(_0x30c335[_0x2af2ae(0x2492)]===_0x2af2ae(0x13b1)||_0x30c335[_0x2af2ae(0x2492)]===_0x2af2ae(0xa48));}),_0x40ed46[_0x2d47b4(0x1903)]=_0x186f6f(_0x2b0d0e,_0x2d47b4(0x1bcf),_0x2d47b4(0x1903)),_0x40ed46[_0x2d47b4(0xbc8)]=_0x186f6f(_0x2b0d0e,_0x2d47b4(0x1bcf),_0x2d47b4(0xbc8)),_0x40ed46[_0x2d47b4(0xa0e)]=_0x186f6f(_0x2b0d0e,'audioinput',_0x2d47b4(0xa0e)),_0x40ed46[_0x2d47b4(0x22b8)]=_0x40ed46[_0x2d47b4(0x22b8)]||0.5,_0x40ed46[_0x2d47b4(0x17a)]=_0x40ed46[_0x2d47b4(0x17a)]||0.5,_0x40ed46[_0x2d47b4(0xe7e)]=_0x40ed46[_0x2d47b4(0xe7e)]||0x1,_0x40ed46[_0x2d47b4(0xac1)]=_0x40ed46[_0x2d47b4(0xac1)]||![],_0x40ed46['speakerMute']=_0x40ed46[_0x2d47b4(0x119d)]||![],_0x40ed46[_0x2d47b4(0xa34)]=_0x40ed46[_0x2d47b4(0xa34)]||![],_0x56309d['conf']=angular[_0x2d47b4(0x235a)](_0x40ed46),_0x56309d['devices']=_0x2b0d0e,_0x56309d[_0x2d47b4(0x2462)]=_0x20f4d3,_0x56309d['closeDialog']=_0x385c57,_0x56309d[_0x2d47b4(0x281a)]=_0x36a07e;function _0x36a07e(){const _0x33b194=_0x2d47b4;return _0x56309d['conf']['browserName'][_0x33b194(0x256e)]()==='chrome'||_0x56309d['conf'][_0x33b194(0x6cf)][_0x33b194(0x256e)]()===_0x33b194(0x91d)||_0x56309d[_0x33b194(0x190c)][_0x33b194(0x6cf)][_0x33b194(0x256e)]()==='safari';}function _0x186f6f(_0x1a53c4,_0x41540b,_0x5392f8){const _0x2fd912=_0x2d47b4;let _0x3dc8a7;if(!_0x40ed46[_0x5392f8])_0x3dc8a7=_0x3f65c0()[_0x2fd912(0xc84)](_0x1a53c4,function(_0x25db83){const _0x307e34=_0x2fd912;return _0x25db83[_0x307e34(0x484)]===_0x41540b;}),_0x3dc8a7&&(_0x40ed46[_0x5392f8]=_0x3dc8a7[_0x2fd912(0x2492)]);else{_0x3dc8a7=_0x3f65c0()[_0x2fd912(0xc84)](_0x1a53c4,function(_0x280038){const _0x4ef3ca=_0x2fd912;return _0x280038[_0x4ef3ca(0x2492)]===_0x40ed46[_0x5392f8];});if(_0x3dc8a7)return _0x3dc8a7[_0x2fd912(0x2492)];const _0xec84d2=_0x3f65c0()[_0x2fd912(0x99c)](_0x1a53c4,function(_0x1aabed){const _0x85a7f2=_0x2fd912;return _0x1aabed[_0x85a7f2(0x484)]===_0x41540b;});if(_0xec84d2>=0x0)return _0x1a53c4[_0xec84d2][_0x2fd912(0x2492)];}return _0x40ed46[_0x5392f8]||null;}function _0x20f4d3(){const _0x1d2680=_0x2d47b4;_0x292ddb[_0x1d2680(0x2458)](_0x56309d['conf']);}function _0x385c57(){const _0x9eb018=_0x2d47b4;_0x292ddb[_0x9eb018(0x2458)]();}}const _0x44e456=_0x326648;;const _0x188ed5=_0x4acfac['p']+_0x313a4d(0x11d);;_0x42999d[_0x313a4d(0x11c2)]=[_0x313a4d(0x1ee0),_0x313a4d(0x1768)],_0x3832bd[_0x313a4d(0x11c2)]=[_0x313a4d(0x1e49),_0x313a4d(0x2641)];function _0x3317dc(){return{'restrict':'E','scope':{'query':'=','filters':'=','search':'&'},'controller':_0x3832bd,'controllerAs':'vm','bindToController':!![],'templateUrl':_0x188ed5};}function _0x3832bd(_0x45f66f,_0x4185d0){const _0x30b24f=_0x313a4d,_0x8a4f6a=this;_0x8a4f6a[_0x30b24f(0x1a03)]={},_0x8a4f6a[_0x30b24f(0x9d3)]={},_0x8a4f6a[_0x30b24f(0x91a)]=_0x45f66f['localizationMap'],_0x8a4f6a[_0x30b24f(0x76c)]=_0x3c4ae8,_0x8a4f6a[_0x30b24f(0x1789)]=_0x631c64,_0x8a4f6a[_0x30b24f(0x180f)]=_0x44a5a9,_0x8a4f6a[_0x30b24f(0x806)]=_0x2b96f2,_0x8a4f6a['clearDate']=_0x1b550d,_0x8a4f6a['clearSelection']=_0xdc3aa4,_0x8a4f6a[_0x30b24f(0x8f0)]=_0x49eff2;function _0x3c4ae8(_0x4867cf){_0x27eac2(_0x4867cf);}function _0x631c64(_0x5926fc){const _0x44d7b5=_0x30b24f,_0x3b8def=_0x5926fc[_0x44d7b5(0x2293)];_0x8a4f6a['query'][_0x3b8def]?_0x8a4f6a[_0x44d7b5(0x1a03)][_0x3b8def]=_0x45f66f[_0x44d7b5(0x1ba2)](_0x8a4f6a[_0x44d7b5(0x1a56)][_0x3b8def]):_0x8a4f6a[_0x44d7b5(0x1a03)][_0x3b8def]={'dateStart':null};}function _0x44a5a9(_0x577ce5,_0x346151){const _0x44901d=_0x30b24f;_0x346151&&(_0x27eac2(_0x577ce5),_0x8a4f6a[_0x44901d(0x21da)]());}function _0x2b96f2(_0x195753){const _0x28f2e0=_0x30b24f,_0x17e248=_0x195753[_0x28f2e0(0x2293)];_0x8a4f6a[_0x28f2e0(0x1a03)][_0x17e248][_0x28f2e0(0x1aca)]?_0x8a4f6a['query'][_0x17e248]={'$gte':_0x2deec6()(_0x8a4f6a[_0x28f2e0(0x1a03)][_0x17e248][_0x28f2e0(0x1aca)])['utcOffset'](0x0,!![])[_0x28f2e0(0x22b0)](),'$lte':_0x2deec6()(_0x8a4f6a[_0x28f2e0(0x1a03)][_0x17e248][_0x28f2e0(0x1b6d)])['utcOffset'](0x0,!![])['add'](0x17,_0x28f2e0(0xdfa))[_0x28f2e0(0x387)](0x3b,_0x28f2e0(0x142))[_0x28f2e0(0x387)](0x3b,_0x28f2e0(0xcf5))[_0x28f2e0(0x22b0)]()}:_0x8a4f6a[_0x28f2e0(0x1a56)][_0x17e248]=undefined,_0x8a4f6a[_0x28f2e0(0x21da)]();}function _0x1b550d(_0x5daadf){const _0x3acbbf=_0x30b24f,_0x11564a=_0x5daadf[_0x3acbbf(0x2293)];_0x8a4f6a[_0x3acbbf(0x1a03)][_0x11564a]={'dateStart':null},delete _0x8a4f6a[_0x3acbbf(0x1a56)][_0x11564a],_0x8a4f6a[_0x3acbbf(0x21da)]();}function _0x49eff2(_0x277a2c){const _0x979c63=_0x30b24f,_0x42bce9=_0x277a2c['key'],_0x4e5ea9=_0x277a2c[_0x979c63(0x421)]||'id';_0x8a4f6a[_0x979c63(0x1a56)][_0x42bce9]=_0x3f65c0()[_0x979c63(0x205)](_0x277a2c[_0x979c63(0xa08)],_0x4e5ea9),_0x27eac2(_0x277a2c),_0x8a4f6a['search']();}function _0xdc3aa4(_0xebc4f5){const _0x4988f3=_0x30b24f,_0x8d3b99=_0xebc4f5['key'],_0x3a7c25=_0xebc4f5['ngValue']||'id';_0x8a4f6a[_0x4988f3(0x1a56)][_0x8d3b99]=_0xebc4f5[_0x4988f3(0x292f)]===![]?[_0x3f65c0()[_0x4988f3(0x6d8)](_0xebc4f5[_0x4988f3(0xa08)])[_0x3a7c25]]:[],_0x27eac2(_0xebc4f5),_0x8a4f6a[_0x4988f3(0x21da)]();}function _0x27eac2(_0x136917){const _0xc3711b=_0x30b24f,_0x5a3739=_0x136917[_0xc3711b(0x2293)],_0x1add45={'param':_0x8a4f6a[_0xc3711b(0x1a56)][_0x5a3739],'resources':_0x136917[_0xc3711b(0xa08)],'placeholder':_0x136917[_0xc3711b(0x1a02)],'ngValue':_0x136917[_0xc3711b(0x421)]};_0x4185d0[_0xc3711b(0x56a)](_0x1add45)['then'](function(_0x6f8d38){const _0x563027=_0xc3711b;_0x8a4f6a[_0x563027(0x9d3)][_0x5a3739]=_0x6f8d38;});}}function _0x42999d(_0x1caabf,_0x7e5a1e){const _0x45a8db={'localizationMap':_0x7e5a1e,'setSelectedDate':_0x1cdc3d};function _0x1cdc3d(_0x9b22b9){const _0x382d4f=a0_0x3bb9,_0x327205=new Date(_0x2deec6()[_0x382d4f(0x1ab5)](_0x9b22b9[_0x382d4f(0xf57)])['format'](_0x382d4f(0x17d5))),_0x333221=new Date(_0x2deec6()['utc'](_0x9b22b9[_0x382d4f(0x17bd)])[_0x382d4f(0x22b0)](_0x382d4f(0x17d5))),_0x3e6c98={'dateStart':new Date(_0x327205[_0x382d4f(0x1d3)](),_0x327205['getMonth'](),_0x327205['getDate']()),'dateEnd':new Date(_0x333221[_0x382d4f(0x1d3)](),_0x333221[_0x382d4f(0x2185)](),_0x333221[_0x382d4f(0xec3)]())};return _0x3e6c98[_0x382d4f(0x1c3e)]=_0x14256c(_0x3e6c98),_0x3e6c98;}function _0x14256c(_0x5c171c){const _0x19f307=a0_0x3bb9;if(_0x2deec6()(_0x5c171c[_0x19f307(0x1aca)])['isSame'](_0x5c171c['dateEnd'])){if(_0x136818(_0x5c171c))return _0x45a8db[_0x19f307(0x91a)]['Today'];else return _0xd95ac(_0x5c171c)?_0x45a8db[_0x19f307(0x91a)][_0x19f307(0xb55)]:_0x1caabf(_0x19f307(0x1a10))(_0x5c171c[_0x19f307(0x1aca)],'dd\x20MMM\x20yyyy');}else{if(_0x2ed653(_0x5c171c))return _0x45a8db[_0x19f307(0x91a)]['This\x20Week'];else{if(_0x54b78a(_0x5c171c))return _0x45a8db[_0x19f307(0x91a)][_0x19f307(0x2063)];else{if(_0xb6d85(_0x5c171c))return _0x45a8db[_0x19f307(0x91a)][_0x19f307(0x1724)];else{if(_0x16436a(_0x5c171c))return _0x45a8db[_0x19f307(0x91a)]['Last\x20Month'];else{if(_0x176cf6(_0x5c171c))return _0x45a8db[_0x19f307(0x91a)]['This\x20Year'];else return _0x540cf0(_0x5c171c)?_0x45a8db[_0x19f307(0x91a)][_0x19f307(0x2844)]:_0x1caabf(_0x19f307(0x1a10))(_0x5c171c[_0x19f307(0x1aca)],'dd'+(_0x5c171c[_0x19f307(0x1aca)][_0x19f307(0x2185)]()!==_0x5c171c[_0x19f307(0x1b6d)][_0x19f307(0x2185)]()||_0x5c171c['dateStart'][_0x19f307(0x1d3)]()!==_0x5c171c[_0x19f307(0x1b6d)][_0x19f307(0x1d3)]()?'\x20MMM':'')+(_0x5c171c[_0x19f307(0x1aca)][_0x19f307(0x1d3)]()!==_0x5c171c[_0x19f307(0x1b6d)][_0x19f307(0x1d3)]()?_0x19f307(0x2386):''))+_0x19f307(0x1315)+_0x1caabf(_0x19f307(0x1a10))(_0x5c171c[_0x19f307(0x1b6d)],'dd\x20MMM\x20yyyy');}}}}}}function _0x136818(_0x42221c){const _0x143351=a0_0x3bb9;return _0x2deec6()()[_0x143351(0x1fcc)]('day')[_0x143351(0x9ca)](_0x42221c[_0x143351(0x1aca)]);}function _0xd95ac(_0x11c74c){const _0x4dec35=a0_0x3bb9;return _0x2deec6()()[_0x4dec35(0xd7b)](0x1,'days')[_0x4dec35(0x1fcc)]('day')[_0x4dec35(0x9ca)](_0x11c74c[_0x4dec35(0x1aca)]);}function _0x2ed653(_0x255b67){const _0x2833f9=a0_0x3bb9;return _0x2deec6()()['startOf'](_0x2833f9(0x1fd4))[_0x2833f9(0x9ca)](_0x255b67['dateStart'],'day')&&_0x2deec6()()[_0x2833f9(0x824)](_0x2833f9(0x1fd4))[_0x2833f9(0x9ca)](_0x255b67[_0x2833f9(0x1b6d)],'day');}function _0x54b78a(_0x35a61b){const _0x38e255=a0_0x3bb9;return _0x2deec6()()[_0x38e255(0xd7b)](0x1,_0x38e255(0x1610))[_0x38e255(0x1fcc)]('isoWeek')['isSame'](_0x35a61b[_0x38e255(0x1aca)],'day')&&_0x2deec6()()[_0x38e255(0xd7b)](0x1,_0x38e255(0x1610))[_0x38e255(0x824)](_0x38e255(0x1fd4))[_0x38e255(0x9ca)](_0x35a61b[_0x38e255(0x1b6d)],_0x38e255(0x1066));}function _0xb6d85(_0x1e5ee2){const _0x256192=a0_0x3bb9;return _0x2deec6()()['startOf'](_0x256192(0x12b7))['isSame'](_0x1e5ee2[_0x256192(0x1aca)],'day')&&_0x2deec6()()[_0x256192(0x824)](_0x256192(0x12b7))[_0x256192(0x9ca)](_0x1e5ee2[_0x256192(0x1b6d)],'day');}function _0x16436a(_0x443ce1){const _0x4e05ce=a0_0x3bb9;return _0x2deec6()()[_0x4e05ce(0xd7b)](0x1,_0x4e05ce(0x4fe))[_0x4e05ce(0x1fcc)](_0x4e05ce(0x12b7))['isSame'](_0x443ce1[_0x4e05ce(0x1aca)],_0x4e05ce(0x1066))&&_0x2deec6()()['subtract'](0x1,'months')[_0x4e05ce(0x824)](_0x4e05ce(0x12b7))[_0x4e05ce(0x9ca)](_0x443ce1[_0x4e05ce(0x1b6d)],_0x4e05ce(0x1066));}function _0x176cf6(_0x192240){const _0x15579b=a0_0x3bb9;return _0x2deec6()()[_0x15579b(0x1fcc)](_0x15579b(0xadc))[_0x15579b(0x9ca)](_0x192240[_0x15579b(0x1aca)],_0x15579b(0x1066))&&_0x2deec6()()[_0x15579b(0x824)](_0x15579b(0xadc))[_0x15579b(0x9ca)](_0x192240[_0x15579b(0x1b6d)],_0x15579b(0x1066));}function _0x540cf0(_0x39168f){const _0x5cd30b=a0_0x3bb9;return _0x2deec6()()[_0x5cd30b(0xd7b)](0x1,_0x5cd30b(0x22bb))[_0x5cd30b(0x1fcc)](_0x5cd30b(0xadc))['isSame'](_0x39168f[_0x5cd30b(0x1aca)],_0x5cd30b(0x1066))&&_0x2deec6()()[_0x5cd30b(0xd7b)](0x1,_0x5cd30b(0x22bb))[_0x5cd30b(0x824)](_0x5cd30b(0xadc))[_0x5cd30b(0x9ca)](_0x39168f[_0x5cd30b(0x1b6d)],_0x5cd30b(0x1066));}return _0x45a8db;};function _0xe80888(){return{'restrict':'A','scope':{'msRandomClass':'='},'link':function(_0x170762,_0x15a145){const _0x352e4a=a0_0x3bb9,_0x4f41f6=_0x170762[_0x352e4a(0xe36)][Math[_0x352e4a(0x19ad)](Math[_0x352e4a(0x28e8)]()*_0x170762[_0x352e4a(0xe36)]['length'])];_0x15a145[_0x352e4a(0x14cf)](_0x4f41f6);}};}const _0x377109=_0xe80888;;_0x167510[_0x313a4d(0x11c2)]=[_0x313a4d(0x247f)];function _0x167510(_0x5246af){return{'restrict':'E','scope':{'id':'=','model':'=','download':'='},'replace':!![],'link':function(_0x2f2d31,_0x3454e3){const _0x3d0f79=a0_0x3bb9;let _0x5e5399=![];const _0x49b029=document['createElement'](_0x3d0f79(0x922));!_0x2f2d31['download']&&_0x49b029[_0x3d0f79(0x1652)](_0x3d0f79(0x1ea9),_0x3d0f79(0x10f6)),_0x49b029['setAttribute']('preload',_0x3d0f79(0x1642)),_0x49b029['setAttribute'](_0x3d0f79(0x1606),''),_0x49b029[_0x3d0f79(0x1652)](_0x3d0f79(0x2376),_0x3d0f79(0x1cd7)),_0x49b029[_0x3d0f79(0x1652)](_0x3d0f79(0x1006),'\x20'),_0x49b029['onplay']=function(_0x40bbfb){const _0x23e957=_0x3d0f79;!_0x5e5399&&(_0x5e5399=!![],_0x40bbfb[_0x23e957(0x115c)](),_0x5246af[_0x2f2d31[_0x23e957(0x1320)]||'voiceRecording']['download']({'id':_0x2f2d31['id']})[_0x23e957(0x2945)]['then'](function(_0x309136){const _0x59b732=_0x23e957,_0x559724=[_0x309136[_0x59b732(0x2eb)]],_0x34f6c3=new Blob(_0x559724,{'type':_0x309136[_0x59b732(0x1142)]});_0x49b029[_0x59b732(0x1652)](_0x59b732(0x1142),_0x309136['type']),_0x49b029[_0x59b732(0x1652)]('src',URL[_0x59b732(0x1c58)](_0x34f6c3)),_0x49b029[_0x59b732(0x1fee)]();})[_0x23e957(0x129e)](function(_0x24eac0){const _0x2090e8=_0x23e957;console[_0x2090e8(0x1980)](_0x24eac0);}));},_0x3454e3[_0x3d0f79(0x1034)](_0x49b029);}};}const _0x1342a7=_0x167510;;function _0x170d13(){return{'restrict':'A','link':function(_0x430ce1,_0x490200){const _0x3e7c6b=a0_0x3bb9,_0x3991d1=angular['element'](_0x3e7c6b(0x2e9));_0x490200[_0x3e7c6b(0x21dc)](_0x3991d1),_0x3991d1[_0x3e7c6b(0x1034)](_0x490200);}};}const _0x548a0d=_0x170d13;var _0x452247=_0x4acfac(0x1703),_0x2a05b9=_0x4acfac['n'](_0x452247);;_0xd7ec00[_0x313a4d(0x11c2)]=['$timeout',_0x313a4d(0x14bc),_0x313a4d(0x216c),_0x313a4d(0x22c5)];function _0x2cf52a(){const _0x4c2761=_0x313a4d;let _0x30dc89={'wheelSpeed':0x1,'wheelPropagation':![],'swipePropagation':!![],'minScrollbarLength':null,'maxScrollbarLength':null,'useBothWheelAxes':![],'useKeyboard':!![],'suppressScrollX':![],'suppressScrollY':![],'scrollXMarginOffset':0x0,'scrollYMarginOffset':0x0,'stopPropagationOnClick':!![]};this[_0x4c2761(0x980)]=function(){function _0x24509a(){return _0x30dc89;}const _0x5aa8a4={'getConfig':_0x24509a};return _0x5aa8a4;};function _0x4883b4(_0x4a2d36){const _0x177cf2=_0x4c2761;_0x30dc89=angular[_0x177cf2(0xd9b)]({},_0x30dc89,_0x4a2d36);}this['config']=_0x4883b4;}function _0xd7ec00(_0x6855fc,_0x1f02ad,_0x139512,_0x472e0e){return{'restrict':'AE','compile':function(_0x5d37be){const _0x14d1f8=a0_0x3bb9;if(_0x472e0e[_0x14d1f8(0xfe8)](_0x14d1f8(0x9c8)))return;if(_0x472e0e[_0x14d1f8(0xfe8)](_0x14d1f8(0xc4a))&&_0x139512[_0x14d1f8(0x2574)]())return;return _0x5d37be[_0x14d1f8(0x14cf)](_0x14d1f8(0x1169)),function _0x4f5fc4(_0x41f7fb,_0x29b11d,_0x3c883d){const _0x2ca4f9=_0x14d1f8;let _0x5bb684={};_0x3c883d[_0x2ca4f9(0x27fe)]&&(_0x5bb684=_0x41f7fb[_0x2ca4f9(0xb0c)](_0x3c883d['msScroll']));_0x5bb684=angular[_0x2ca4f9(0xd9b)]({},_0x1f02ad[_0x2ca4f9(0xfe8)](),_0x5bb684),_0x2a05b9()['initialize'](_0x29b11d[0x0],_0x5bb684);function _0x171eb9(){const _0x55e6cb=_0x2ca4f9;_0x2a05b9()[_0x55e6cb(0x18e1)](_0x29b11d[0x0]);}_0x29b11d['on'](_0x2ca4f9(0xf49),_0x171eb9),_0x41f7fb[_0x2ca4f9(0x21e8)](function(){const _0x367ea2=_0x2ca4f9;return _0x29b11d[_0x367ea2(0x94f)](_0x367ea2(0x9d4));},function(_0x5e0ede,_0x3f250b){const _0x31a971=_0x2ca4f9;if(angular[_0x31a971(0x1619)](_0x5e0ede)||angular['equals'](_0x5e0ede,_0x3f250b))return;_0x171eb9();}),_0x41f7fb['$watch'](function(){const _0x10470f=_0x2ca4f9;return _0x29b11d[_0x10470f(0x94f)]('scrollWidth');},function(_0x518b01,_0x2bd72c){const _0x1816f8=_0x2ca4f9;if(angular[_0x1816f8(0x1619)](_0x518b01)||angular['equals'](_0x518b01,_0x2bd72c))return;_0x171eb9();}),_0x41f7fb[_0x2ca4f9(0x16ad)](_0x2ca4f9(0x116f),function(){const _0x12db33=_0x2ca4f9;_0x29b11d[_0x12db33(0x151d)](_0x12db33(0xf49)),_0x2a05b9()[_0x12db33(0x2906)](_0x29b11d[0x0]);});};}};};const _0x25b69f=_0x4acfac['p']+_0x313a4d(0xc23);;_0x252a64['$inject']=[_0x313a4d(0x4d8)],_0x1ffb23['$inject']=[_0x313a4d(0x910),_0x313a4d(0x961),'$timeout'];function _0x1ffb23(_0x2b63d5,_0x3b498e,_0x5b37d1){const _0x25d0cf=_0x313a4d,_0x508b11=this;_0x508b11[_0x25d0cf(0x1822)]=!![],_0x508b11[_0x25d0cf(0x1a56)]=undefined,_0x508b11[_0x25d0cf(0x760)]={'debounce':_0x508b11[_0x25d0cf(0x1ebe)]||0x0};const _0x509912=_0x508b11[_0x25d0cf(0xe9c)]&&_0x508b11[_0x25d0cf(0xe9c)]==_0x25d0cf(0x1185)?!![]:![];_0x508b11['resultsLoading']=![],_0x508b11[_0x25d0cf(0x943)]=null,_0x508b11['selectedResultIndex']=0x0,_0x508b11[_0x25d0cf(0x112a)]=![],_0x508b11[_0x25d0cf(0x3d4)]=_0x3682b5,_0x508b11['populateResults']=_0x1642ab,_0x508b11[_0x25d0cf(0x5a8)]=_0x58104f,_0x508b11[_0x25d0cf(0x2800)]=_0x1faa28,_0x508b11[_0x25d0cf(0x1fb1)]=_0x537d1f,_0x508b11[_0x25d0cf(0xbad)]=_0x4a1993,_0x508b11[_0x25d0cf(0x6b1)]=_0x2261ef,_0x508b11['handleMouseenter']=_0x9cb022,_0x508b11[_0x25d0cf(0x2747)]=_0x5b705f,_0x508b11[_0x25d0cf(0x28ce)]=_0x40cfeb,_0x508b11[_0x25d0cf(0xa5d)]=_0x44ae70;function _0x3682b5(){const _0x4d01dd=_0x25d0cf;_0x2b63d5[_0x4d01dd(0x21e8)](_0x4d01dd(0x24c1),function(_0x52a215,_0x8fef49){const _0x39f006=_0x4d01dd;if(angular[_0x39f006(0x1619)](_0x52a215))return;if(angular[_0x39f006(0x26b4)](_0x52a215,_0x8fef49))return;if(_0x508b11[_0x39f006(0x1822)]){if(_0x52a215)_0x2b63d5[_0x39f006(0x5a8)]();else return;}const _0x130565=_0x2b63d5[_0x39f006(0x2870)]['$eval'](_0x508b11['onSearch'],{'query':_0x52a215}),_0x52973f=angular[_0x39f006(0x1bbb)](_0x130565),_0x5b4370=_0x130565&&!!_0x130565[_0x39f006(0x146b)];_0x52973f&&_0x508b11['populateResults'](_0x130565),_0x5b4370&&(_0x508b11['resultsLoading']=!![],_0x130565[_0x39f006(0x146b)](function(_0x2afe9d){const _0xdff331=_0x39f006;_0x508b11[_0xdff331(0x1fb3)](_0x2afe9d);},function(){_0x508b11['populateResults']([]);})[_0x39f006(0x1ec6)](function(){const _0x3516c4=_0x39f006;_0x508b11[_0x3516c4(0x22b2)]=![];}));});}function _0x1642ab(_0x4467f8){const _0x2075b4=_0x25d0cf;if(_0x508b11[_0x2075b4(0x1822)])return;const _0x2c5aba=angular[_0x2075b4(0x1bbb)](_0x4467f8),_0xad704c=_0x4467f8===null;if(!_0x2c5aba&&!_0xad704c)return;_0x508b11[_0x2075b4(0x57f)]=0x0,_0x508b11[_0x2075b4(0x943)]=_0x4467f8;}function _0x58104f(){const _0x1a2a10=_0x25d0cf;_0x508b11['collapsed']=![],_0x2b63d5[_0x1a2a10(0x5a8)](),_0x508b11['onExpand']&&angular[_0x1a2a10(0x1e2c)](_0x508b11['onExpand'])&&_0x508b11[_0x1a2a10(0x24c8)]();}function _0x1faa28(){const _0x111410=_0x25d0cf;_0x508b11[_0x111410(0x1a56)]='',_0x508b11[_0x111410(0x1fb3)](null),_0x508b11[_0x111410(0x1822)]=!![],_0x2b63d5[_0x111410(0x2800)](),_0x508b11[_0x111410(0xa2d)]&&angular[_0x111410(0x1e2c)](_0x508b11[_0x111410(0xa2d)])&&_0x508b11[_0x111410(0xa2d)]();}function _0x537d1f(){const _0x2268cb=_0x25d0cf;if(!_0x509912)return;_0x508b11[_0x2268cb(0x2800)]();}function _0x4a1993(_0x3c99e7){const _0x5e7d8e=_0x25d0cf;_0x3c99e7[_0x5e7d8e(0x115c)]();}function _0x2261ef(_0x5ebb27){const _0xa88df6=_0x25d0cf,_0x525507=_0x5ebb27[_0xa88df6(0x1252)],_0x4c0bea=[0x1b,0x26,0x28];_0x4c0bea['indexOf'](_0x525507)>-0x1&&_0x5ebb27[_0xa88df6(0x115c)]();switch(_0x525507){case 0xd:if(!_0x508b11['results'])return;_0x508b11['handleResultClick'](_0x508b11[_0xa88df6(0x943)][_0x508b11[_0xa88df6(0x57f)]]);break;case 0x1b:_0x508b11[_0xa88df6(0x2800)]();break;case 0x26:_0x508b11['selectedResultIndex']-0x1>=0x0&&(_0x508b11[_0xa88df6(0x57f)]--,_0x508b11[_0xa88df6(0xa5d)]());break;case 0x28:if(!_0x508b11[_0xa88df6(0x943)])return;_0x508b11[_0xa88df6(0x57f)]+0x1<_0x508b11[_0xa88df6(0x943)][_0xa88df6(0x402)]&&(_0x508b11['selectedResultIndex']++,_0x508b11[_0xa88df6(0xa5d)]());break;default:break;}}function _0x9cb022(_0x21427a){const _0xf868b8=_0x25d0cf;if(_0x508b11['ignoreMouseEvents'])return;_0x508b11[_0xf868b8(0x57f)]=_0x21427a;}function _0x5b705f(){const _0x31457e=_0x25d0cf;_0x508b11['ignoreMouseEvents']=!![],_0x5b37d1['cancel'](_0x508b11['mouseEventIgnoreTimeout']),_0x508b11[_0x31457e(0x36c)]=_0x5b37d1(function(){const _0x5b1b0a=_0x31457e;_0x508b11[_0x5b1b0a(0x112a)]=![];},0xfa);}function _0x40cfeb(_0x43df67){const _0x133175=_0x25d0cf;_0x508b11['onResultClick']&&_0x508b11[_0x133175(0x206a)]({'item':_0x43df67}),_0x508b11[_0x133175(0x2800)]();}function _0x44ae70(){const _0x56d50b=_0x25d0cf,_0x4467db=_0x3b498e[_0x56d50b(0xc84)](_0x56d50b(0xc8e)),_0x27e35a=angular[_0x56d50b(0x1853)](_0x4467db[_0x56d50b(0xc84)](_0x56d50b(0x18cd))[_0x508b11['selectedResultIndex']]);if(_0x4467db&&_0x27e35a){const _0x1f5f89=_0x27e35a['position']()[_0x56d50b(0x244e)]-0x8,_0x31b2ab=_0x27e35a['position']()[_0x56d50b(0x244e)]+_0x27e35a[_0x56d50b(0x9c9)]()+0x8;_0x508b11[_0x56d50b(0x2747)](),_0x4467db[_0x56d50b(0xa49)]()>_0x1f5f89&&_0x4467db['scrollTop'](_0x1f5f89),_0x31b2ab>_0x4467db['height']()+_0x4467db[_0x56d50b(0xa49)]()&&_0x4467db[_0x56d50b(0xa49)](_0x31b2ab-_0x4467db[_0x56d50b(0x92b)]());}}}function _0x252a64(_0x3103f7){const _0x2a0658=_0x313a4d;return{'restrict':'E','scope':{},'require':_0x2a0658(0x90e),'controller':_0x2a0658(0x28d1),'bindToController':{'query':'=?','debounce':'=?','direction':'@','iconColor':'@','onSearch':'@','onResultClick':'&?','onExpand':'&?','onCollapse':'&?','collapseOnBlur':'@'},'templateUrl':_0x25b69f,'compile':function(_0x63831){const _0x250bc1=_0x2a0658;return _0x63831[_0x250bc1(0x14cf)]('ms-search-bar'),function _0x4dfec0(_0x142386,_0x41225b){const _0x38bef0=_0x250bc1,_0x184fd1=_0x3103f7[_0x38bef0(0xc84)](_0x38bef0(0x2586));function _0x3cad00(){const _0xa208bb=_0x38bef0;_0x41225b[_0xa208bb(0x14cf)](_0xa208bb(0x257f));if(_0x142386['vm'][_0xa208bb(0x26cc)]===_0xa208bb(0x1982)){_0x41225b['addClass'](_0xa208bb(0x1982));const _0x1487b5=_0x41225b[_0xa208bb(0x254)](_0xa208bb(0xe4e));if(_0x1487b5)_0x1487b5[_0xa208bb(0x14cf)](_0xa208bb(0x45a));}_0x184fd1[_0xa208bb(0x14cf)](_0xa208bb(0x1c28));}function _0x510f29(){const _0x507db=_0x38bef0;_0x41225b['removeClass'](_0x507db(0x257f));if(_0x142386['vm'][_0x507db(0x26cc)]===_0x507db(0x1982)){_0x41225b['removeClass'](_0x507db(0x1982));const _0x4ccc61=_0x41225b[_0x507db(0x254)](_0x507db(0xe4e));if(_0x4ccc61)_0x4ccc61[_0x507db(0x1973)]('h-128');}_0x184fd1['removeClass'](_0x507db(0x1c28));}_0x142386[_0x38bef0(0x2800)]=_0x510f29,_0x142386[_0x38bef0(0x5a8)]=_0x3cad00;};}};};const _0x586380=_0x4acfac['p']+_0x313a4d(0x6e2);;_0x240b2a[_0x313a4d(0x11c2)]=['$scope','$cookies','$document','$timeout','$q',_0x313a4d(0x1f9a)];function _0x240b2a(_0x23576f,_0x51dadd,_0x19e327,_0x1d5779,_0x5bad5a,_0x1bd9fc){const _0x8df7a3=_0x313a4d,_0x31d8d7=this;_0x31d8d7[_0x8df7a3(0x1a56)]='',_0x31d8d7[_0x8df7a3(0x760)]={'debounce':0x12c},_0x31d8d7['resultsLoading']=![],_0x31d8d7['selectedResultIndex']=0x0,_0x31d8d7['ignoreMouseEvents']=![],_0x31d8d7[_0x8df7a3(0x1c5)]=![],_0x31d8d7['results']=null,_0x31d8d7['shortcuts']=[],_0x31d8d7[_0x8df7a3(0x2697)]={'ghostClass':'ghost','forceFallback':!![],'fallbackClass':_0x8df7a3(0x10fe),'onSort':function(){_0x31d8d7['saveShortcuts']();}};function _0x28e118(){const _0x4e8f40=_0x8df7a3;_0x31d8d7[_0x4e8f40(0xc61)]()[_0x4e8f40(0x146b)](function(_0x4ae425){const _0x4bea0c=_0x4e8f40;_0x31d8d7[_0x4bea0c(0x1b59)]=_0x4ae425,_0x31d8d7[_0x4bea0c(0x1b59)][_0x4bea0c(0x402)]>0x0&&(_0x31d8d7[_0x4bea0c(0x943)]=_0x4ae425);}),_0x23576f[_0x4e8f40(0x21e8)](_0x4e8f40(0x1341),function(_0x28da28,_0x4208b6){const _0x190230=_0x4e8f40;if(angular[_0x190230(0x1619)](_0x28da28))return;if(angular[_0x190230(0x26b4)](_0x28da28,_0x4208b6))return;_0x31d8d7[_0x190230(0x22b2)]=!![],_0x31d8d7['populateResults']()[_0x190230(0x146b)](function(_0x1ae481){const _0x4584b8=_0x190230;_0x31d8d7[_0x4584b8(0x943)]=_0x1ae481;},function(){const _0x1fa351=_0x190230;_0x31d8d7[_0x1fa351(0x943)]=[];})['finally'](function(){const _0x1898bf=_0x190230;_0x31d8d7[_0x1898bf(0x22b2)]=![];});});}function _0x1eada7(){const _0x3b32a4=_0x8df7a3;let _0x23c592=[];const _0x4d02cd=_0x1bd9fc[_0x3b32a4(0x795)](),_0x324d60=_0x5bad5a['defer']();for(let _0x37a253=0x0;_0x37a253<_0x4d02cd[_0x3b32a4(0x402)];_0x37a253++){_0x4d02cd[_0x37a253][_0x3b32a4(0x135a)]&&_0x23c592[_0x3b32a4(0x1f47)](_0x4d02cd[_0x37a253]);}if(_0x31d8d7['query']){_0x23c592=_0x23c592['filter'](function(_0x3ff997){const _0x374e3e=_0x3b32a4;if(_0x3ff997['title'][_0x374e3e(0x256e)]()[_0x374e3e(0xa4e)](_0x31d8d7[_0x374e3e(0x1a56)][_0x374e3e(0x256e)]()))return!![];});for(let _0x1882bc=0x0;_0x1882bc<_0x23c592['length'];_0x1882bc++){_0x23c592[_0x1882bc][_0x3b32a4(0x1e8b)]=![];for(let _0x880e0d=0x0;_0x880e0d<_0x31d8d7[_0x3b32a4(0x1b59)][_0x3b32a4(0x402)];_0x880e0d++){if(_0x31d8d7[_0x3b32a4(0x1b59)][_0x880e0d][_0x3b32a4(0x208c)]===_0x23c592[_0x1882bc]['_id']){_0x23c592[_0x1882bc][_0x3b32a4(0x1e8b)]=!![];break;}}}}else _0x31d8d7[_0x3b32a4(0x1b59)][_0x3b32a4(0x402)]>0x0&&(_0x23c592=_0x31d8d7[_0x3b32a4(0x1b59)]);return _0x31d8d7['selectedResultIndex']=0x0,_0x1d5779(function(){const _0x35c826=_0x3b32a4;_0x324d60[_0x35c826(0x2922)](_0x23c592);},0xfa),_0x324d60[_0x3b32a4(0xb9c)];}function _0x1c21ad(){const _0xc17939=_0x8df7a3,_0x4d28bf=_0x5bad5a['defer']();let _0x4735c6=angular[_0xc17939(0xb08)](_0x51dadd['get'](_0xc17939(0x23a7)));return angular[_0xc17939(0x1619)](_0x4735c6)&&(_0x4735c6=[{'title':_0xc17939(0xca8),'icon':'icon-account-multiple','state':'app.staff.agents','weight':0x5,'children':[],'uisref':'app.staff.agents','hasShortcut':!![]},{'title':_0xc17939(0x1dd9),'icon':_0xc17939(0x1430),'state':_0xc17939(0x198b),'weight':0xa,'children':[],'uisref':'app.settings.license','hasShortcut':!![]}],_0x51dadd[_0xc17939(0x17b7)]('motion.shortcuts',angular[_0xc17939(0x217d)](_0x4735c6))),_0x4d28bf[_0xc17939(0x2922)](_0x4735c6),_0x4d28bf[_0xc17939(0xb9c)];}function _0x37fce0(){const _0x3a9b22=_0x8df7a3,_0x2f5bd1=_0x5bad5a[_0x3a9b22(0xce3)]();return _0x51dadd[_0x3a9b22(0x17b7)](_0x3a9b22(0x23a7),angular['toJson'](_0x31d8d7['shortcuts'])),_0x1d5779(function(){const _0x48100a=_0x3a9b22;_0x2f5bd1[_0x48100a(0x2922)]({'success':!![]});},0xfa),_0x2f5bd1['promise'];}function _0x6c944b(_0x4abd6a){const _0x418f63=_0x8df7a3;_0x4abd6a[_0x418f63(0x1e8b)]=!![],_0x31d8d7[_0x418f63(0x1b59)][_0x418f63(0x1f47)](_0x4abd6a),_0x31d8d7['saveShortcuts']();}function _0x5600c5(_0x45a061){const _0xbd2242=_0x8df7a3;_0x45a061['hasShortcut']=![];for(let _0x5811cf=0x0;_0x5811cf<_0x31d8d7['shortcuts'][_0xbd2242(0x402)];_0x5811cf++){_0x31d8d7[_0xbd2242(0x1b59)][_0x5811cf][_0xbd2242(0x208c)]===_0x45a061[_0xbd2242(0x208c)]&&(_0x31d8d7['shortcuts'][_0xbd2242(0x1f7d)](_0x5811cf,0x1),!_0x31d8d7[_0xbd2242(0x1a56)]&&(_0x31d8d7['shortcuts']['length']===0x0?_0x31d8d7[_0xbd2242(0x943)]=null:_0x5811cf>=_0x31d8d7[_0xbd2242(0x1b59)][_0xbd2242(0x402)]&&(_0x31d8d7[_0xbd2242(0x57f)]=_0x31d8d7[_0xbd2242(0x1b59)][_0xbd2242(0x402)]-0x1)));}_0x31d8d7[_0xbd2242(0x227a)]();}function _0x87fddd(_0x386748){const _0x518e38=_0x8df7a3;_0x386748[_0x518e38(0x1e8b)]?_0x31d8d7[_0x518e38(0x2120)](_0x386748):_0x31d8d7['addShortcut'](_0x386748);}function _0x4be735(_0x39d124){_0x39d124['preventDefault']();}function _0x507c9b(_0x144b15){const _0x468a7f=_0x8df7a3,_0x334a9f=_0x144b15[_0x468a7f(0x1252)],_0x3dd74f=[0x26,0x28];_0x3dd74f[_0x468a7f(0x172b)](_0x334a9f)>-0x1&&_0x144b15[_0x468a7f(0x115c)]();switch(_0x334a9f){case 0xd:_0x31d8d7['handleResultClick'](_0x31d8d7['results'][_0x31d8d7[_0x468a7f(0x57f)]]);break;case 0x26:_0x31d8d7[_0x468a7f(0x57f)]-0x1>=0x0&&(_0x31d8d7[_0x468a7f(0x57f)]--,_0x31d8d7[_0x468a7f(0xa5d)]());break;case 0x28:_0x31d8d7[_0x468a7f(0x57f)]+0x1<_0x31d8d7[_0x468a7f(0x943)]['length']&&(_0x31d8d7[_0x468a7f(0x57f)]++,_0x31d8d7[_0x468a7f(0xa5d)]());break;default:break;}}function _0x44bf20(_0x12eb0b){const _0x4df252=_0x8df7a3;if(_0x31d8d7[_0x4df252(0x112a)])return;_0x31d8d7[_0x4df252(0x57f)]=_0x12eb0b;}function _0x2ccc49(){const _0x10119b=_0x8df7a3;_0x31d8d7[_0x10119b(0x112a)]=!![],_0x1d5779[_0x10119b(0x6c3)](_0x31d8d7[_0x10119b(0x36c)]),_0x31d8d7[_0x10119b(0x36c)]=_0x1d5779(function(){const _0x3e6a3b=_0x10119b;_0x31d8d7[_0x3e6a3b(0x112a)]=![];},0xfa);}function _0xcbb30f(){const _0x55a569=_0x8df7a3,_0x5b4ce5=_0x19e327[_0x55a569(0xc84)](_0x55a569(0x15ad))[_0x55a569(0xc84)](_0x55a569(0x774)),_0x591911=angular[_0x55a569(0x1853)](_0x5b4ce5[_0x55a569(0xc84)](_0x55a569(0x18cd))[_0x31d8d7[_0x55a569(0x57f)]]);if(_0x5b4ce5&&_0x591911){const _0x28b86e=_0x591911[_0x55a569(0x21ca)]()['top']-0x8,_0x26f99c=_0x591911[_0x55a569(0x21ca)]()[_0x55a569(0x244e)]+_0x591911[_0x55a569(0x9c9)]()+0x8;_0x31d8d7[_0x55a569(0x2747)](),_0x5b4ce5[_0x55a569(0xa49)]()>_0x28b86e&&_0x5b4ce5['scrollTop'](_0x28b86e),_0x26f99c>_0x5b4ce5[_0x55a569(0x92b)]()+_0x5b4ce5['scrollTop']()&&_0x5b4ce5[_0x55a569(0xa49)](_0x26f99c-_0x5b4ce5['height']());}}function _0x560315(){const _0xce4960=_0x8df7a3;_0x31d8d7[_0xce4960(0x1c5)]=!_0x31d8d7[_0xce4960(0x1c5)];}_0x31d8d7[_0x8df7a3(0x1fb3)]=_0x1eada7,_0x31d8d7[_0x8df7a3(0xc61)]=_0x1c21ad,_0x31d8d7['saveShortcuts']=_0x37fce0,_0x31d8d7[_0x8df7a3(0x10a2)]=_0x6c944b,_0x31d8d7['removeShortcut']=_0x5600c5,_0x31d8d7[_0x8df7a3(0x28ce)]=_0x87fddd,_0x31d8d7['absorbEvent']=_0x4be735,_0x31d8d7[_0x8df7a3(0x6b1)]=_0x507c9b,_0x31d8d7[_0x8df7a3(0x1d3f)]=_0x44bf20,_0x31d8d7[_0x8df7a3(0x2747)]=_0x2ccc49,_0x31d8d7[_0x8df7a3(0xa5d)]=_0xcbb30f,_0x31d8d7[_0x8df7a3(0x2b1)]=_0x560315,_0x28e118();}function _0x468a3e(){const _0x44f153=_0x313a4d;return{'restrict':'E','scope':{},'require':_0x44f153(0x237a),'controller':_0x44f153(0x2093),'bindToController':{},'templateUrl':_0x586380,'compile':function(_0xcbb35){const _0x3d55ce=_0x44f153;return _0xcbb35[_0x3d55ce(0x14cf)]('ms-shortcuts'),function _0x244bab(){};}};};function _0x1e0a4c(){return{'restrict':'A','require':'^mdSidenav','link':function(_0x2c0272,_0x3ead6e,_0x131578,_0x461737){const _0x2cea47=a0_0x3bb9;_0x2c0272[_0x2cea47(0x21e8)](function(){const _0x37e3fe=_0x2cea47;return _0x461737[_0x37e3fe(0xe7a)]()&&!_0x461737['isLockedOpen']();},function(_0x1679af){const _0x7eda1b=_0x2cea47;if(angular['isUndefined'](_0x1679af))return;_0x3ead6e[_0x7eda1b(0x3fd)]()[_0x7eda1b(0x1851)]('full-height',_0x1679af),angular['element']('html')[_0x7eda1b(0x1851)](_0x7eda1b(0x1a43),_0x1679af);});}};}const _0x16868d=_0x1e0a4c;;_0x40a771[_0x313a4d(0x11c2)]=[_0x313a4d(0x1240)];function _0x40a771(_0xf87108){return{'restrict':'E','link':function(_0x15d3f3,_0xd0f240){const _0xa6671c=a0_0x3bb9,_0x2e340b=_0x15d3f3['$on'](_0xa6671c(0x26ab),function(){_0xf87108['leave'](_0xd0f240)['then'](function(){_0x2e340b(),_0x15d3f3=null,_0xd0f240=null;});});}};}const _0x2116b5=_0x40a771;;const _0x1a29bb=_0x4acfac['p']+_0x313a4d(0x14c1);;const _0x291ad4=_0x4acfac['p']+_0x313a4d(0x259d);;const _0x540085=_0x4acfac['p']+_0x313a4d(0x1bc1);;_0xf12080[_0x313a4d(0x11c2)]=['$timeout'],_0x57e9da[_0x313a4d(0x11c2)]=[_0x313a4d(0x1abe)];function _0x57e9da(_0x16438b){const _0x53fa47=_0x313a4d,_0x543b9a=this;_0x543b9a[_0x53fa47(0x18c9)]=undefined,_0x543b9a['orientation']=_0x53fa47(0x2890),_0x543b9a[_0x53fa47(0x21b9)]=[],_0x543b9a[_0x53fa47(0x2384)]=undefined,_0x543b9a[_0x53fa47(0x1e7)]=0x1;function _0x1e13f8(_0xd4ae23){const _0x241680=_0x53fa47;return!(angular[_0x241680(0x1619)](_0xd4ae23)||_0xd4ae23<0x1||_0xd4ae23>_0x543b9a['steps']['length']);}function _0x5ca09a(_0xead3c2){const _0x93cc92=_0x53fa47;_0x543b9a[_0x93cc92(0x2581)]=_0xead3c2||_0x93cc92(0x2890);}function _0x4619c9(_0x1473bd){const _0x27cae0=_0x53fa47;_0x543b9a[_0x27cae0(0x18c9)]=_0x1473bd;}function _0x405908(){const _0x1c9645=_0x53fa47;_0x543b9a[_0x1c9645(0x284f)](0x1);}function _0x4ece9a(_0x40f703){const _0x144680=_0x53fa47;if(!_0x1e13f8(_0x40f703))return null;return!!_0x543b9a['steps'][_0x40f703-0x1]['scope'][_0x144680(0x176e)];}function _0x458774(_0x43e66e,_0x2f58ee,_0x119ce5){const _0x6ae42f=_0x53fa47,_0x1e3522={'element':_0x43e66e,'scope':_0x2f58ee,'form':_0x119ce5,'stepNumber':_0x2f58ee[_0x6ae42f(0x249a)]||_0x543b9a[_0x6ae42f(0x21b9)][_0x6ae42f(0x402)]+0x1,'stepTitle':_0x2f58ee[_0x6ae42f(0x1710)],'stepTitleTranslate':_0x2f58ee[_0x6ae42f(0x1608)]};return _0x543b9a[_0x6ae42f(0x21b9)][_0x6ae42f(0x1f47)](_0x1e3522),_0x543b9a[_0x6ae42f(0x21b9)][_0x6ae42f(0x28fa)](function(_0x33aba0,_0x450f2b){const _0x1bd36f=_0x6ae42f;return _0x33aba0[_0x1bd36f(0x20cf)]-_0x450f2b[_0x1bd36f(0x20cf)];}),_0x1e3522;}function _0x2a9b03(){const _0x72f8ab=_0x53fa47;_0x543b9a[_0x72f8ab(0x284f)](_0x543b9a[_0x72f8ab(0x1e7)]);}function _0x4233bd(){_0x16438b(function(){const _0x368620=a0_0x3bb9;for(let _0x2226ac=0x0;_0x2226ac<_0x543b9a['steps'][_0x368620(0x402)];_0x2226ac++){_0x543b9a[_0x368620(0x21b9)][_0x2226ac][_0x368620(0x247c)][_0x368620(0x1968)](),_0x543b9a[_0x368620(0x21b9)][_0x2226ac][_0x368620(0x247c)][_0x368620(0x354)]();}_0x543b9a['mainForm'][_0x368620(0x1968)](),_0x543b9a[_0x368620(0x18c9)][_0x368620(0x354)](),_0x405908();});}function _0x412118(_0x31d72d){const _0x166739=_0x53fa47;if(!_0x1e13f8(_0x31d72d))return;_0x543b9a['currentStepNumber']=_0x31d72d;if(_0x543b9a[_0x166739(0x2581)]===_0x166739(0x2890)){for(let _0x173251=0x0;_0x173251<_0x543b9a[_0x166739(0x21b9)][_0x166739(0x402)];_0x173251++){_0x543b9a[_0x166739(0x21b9)][_0x173251]['element'][_0x166739(0x2458)]();}_0x543b9a[_0x166739(0x21b9)][_0x543b9a['currentStepNumber']-0x1][_0x166739(0x1853)]['show']();}else{if(_0x543b9a['orientation']===_0x166739(0x2ff)){for(let _0x30b7e1=0x0;_0x30b7e1<_0x543b9a['steps'][_0x166739(0x402)];_0x30b7e1++){_0x543b9a[_0x166739(0x21b9)][_0x30b7e1][_0x166739(0x1853)][_0x166739(0xc84)](_0x166739(0x1a6c))[_0x166739(0x2458)]();}_0x543b9a[_0x166739(0x21b9)][_0x543b9a[_0x166739(0x1e7)]-0x1][_0x166739(0x1853)]['find'](_0x166739(0x1a6c))[_0x166739(0x2615)]();}}}function _0x2b6742(_0x59b67b){const _0x9be35d=_0x53fa47;if(_0x4ece9a(_0x59b67b))return;_0x543b9a[_0x9be35d(0x284f)](_0x59b67b);}function _0x2b7e9b(){const _0x19f4c7=_0x53fa47;let _0x4f98a7=_0x543b9a[_0x19f4c7(0x1e7)]-0x1;for(let _0x1b4372=_0x4f98a7;_0x1b4372>=0x1;_0x1b4372--){if(!_0x4ece9a(_0x1b4372)){_0x4f98a7=_0x1b4372;break;}}_0x543b9a[_0x19f4c7(0x284f)](_0x4f98a7);}function _0x51b821(){const _0x238e0e=_0x53fa47;let _0x53d5be=_0x543b9a[_0x238e0e(0x1e7)]+0x1;for(let _0xdace31=_0x53d5be;_0xdace31<=_0x543b9a['steps'][_0x238e0e(0x402)];_0xdace31++){if(!_0x4ece9a(_0xdace31)){_0x53d5be=_0xdace31;break;}}_0x543b9a[_0x238e0e(0x284f)](_0x53d5be);}function _0x567703(){const _0x4e5251=_0x53fa47;_0x543b9a[_0x4e5251(0x284f)](_0x543b9a[_0x4e5251(0x21b9)][_0x4e5251(0x402)]);}function _0x2d973a(){const _0x3e94a5=_0x53fa47;return _0x543b9a[_0x3e94a5(0x1e7)]===0x1;}function _0x597d7d(){const _0x343d61=_0x53fa47;return _0x543b9a['currentStepNumber']===_0x543b9a[_0x343d61(0x21b9)][_0x343d61(0x402)];}function _0x1013e2(_0x4cb7c0){if(!_0x1e13f8(_0x4cb7c0))return null;return _0x543b9a['currentStepNumber']===_0x4cb7c0;}function _0x1f8bd0(_0x53e67c){const _0x296430=_0x53fa47;if(!_0x1e13f8(_0x53e67c))return null;return _0x543b9a['steps'][_0x53e67c-0x1][_0x296430(0x1886)]['optionalStep'];}function _0x46bf49(_0x192dee){const _0x1b9a52=_0x53fa47;if(!_0x1e13f8(_0x192dee))return null;if(_0x1f8bd0(_0x192dee))return!![];return _0x543b9a[_0x1b9a52(0x21b9)][_0x192dee-0x1][_0x1b9a52(0x247c)][_0x1b9a52(0x19c)];}function _0x45c82f(_0x42821d){if(!_0x1e13f8(_0x42821d))return null;let _0x2fa138=![];for(let _0x2a5ab2=0x1;_0x2a5ab2<_0x42821d;_0x2a5ab2++){if(!_0x46bf49(_0x2a5ab2)){_0x2fa138=!![];break;}}return _0x2fa138;}function _0x4b085d(_0x2e3b69){const _0x39844f=_0x53fa47;return!_0x4ece9a(_0x2e3b69[_0x39844f(0x20cf)]);}function _0x56a9ff(){const _0x24cb03=_0x53fa47;return _0x543b9a[_0x24cb03(0x18c9)][_0x24cb03(0x19c)];}_0x543b9a[_0x53fa47(0x1f61)]=_0x5ca09a,_0x543b9a[_0x53fa47(0x148f)]=_0x4619c9,_0x543b9a[_0x53fa47(0x1810)]=_0x458774,_0x543b9a[_0x53fa47(0x25d8)]=_0x2a9b03,_0x543b9a['resetForm']=_0x4233bd,_0x543b9a['setCurrentStep']=_0x412118,_0x543b9a[_0x53fa47(0x7e8)]=_0x2b6742,_0x543b9a[_0x53fa47(0x18f4)]=_0x2b7e9b,_0x543b9a[_0x53fa47(0x16a3)]=_0x51b821,_0x543b9a['gotoFirstStep']=_0x405908,_0x543b9a['gotoLastStep']=_0x567703,_0x543b9a[_0x53fa47(0x1d31)]=_0x2d973a,_0x543b9a[_0x53fa47(0x281)]=_0x597d7d,_0x543b9a['isStepCurrent']=_0x1013e2,_0x543b9a[_0x53fa47(0x1337)]=_0x45c82f,_0x543b9a[_0x53fa47(0x25b0)]=_0x1f8bd0,_0x543b9a[_0x53fa47(0x319)]=_0x4ece9a,_0x543b9a['filterHiddenStep']=_0x4b085d,_0x543b9a[_0x53fa47(0x20b4)]=_0x46bf49,_0x543b9a[_0x53fa47(0x49d)]=_0x1e13f8,_0x543b9a[_0x53fa47(0x19a1)]=_0x56a9ff;}function _0x18a71a(){const _0x88eabc=_0x313a4d;return{'restrict':'A','scope':{},'require':[_0x88eabc(0x247c),'msHorizontalStepper'],'priority':0x3e9,'controller':'MsStepperController\x20as\x20MsStepper','bindToController':{'model':_0x88eabc(0x1453)},'transclude':!![],'templateUrl':_0x1a29bb,'compile':function(_0x3bb3a4){const _0x471727=_0x88eabc;return _0x3bb3a4[_0x471727(0x14cf)](_0x471727(0x21bf)),function _0x17b80c(_0x40c9b2,_0x678a1c,_0x3512bc,_0x3efdf9){const _0xdb1d4d=_0x471727,_0x12f851=_0x3efdf9[0x0],_0x4c8751=_0x3efdf9[0x1];_0x4c8751[_0xdb1d4d(0x1f61)](_0xdb1d4d(0x2890)),_0x4c8751[_0xdb1d4d(0x148f)](_0x12f851),_0x4c8751['setupSteps']();};}};}function _0x33da0f(){const _0x53d59c=_0x313a4d;return{'restrict':'E','require':[_0x53d59c(0x247c),_0x53d59c(0x1b44)],'priority':0x3e8,'scope':{'step':'=?','stepTitle':'=?','stepTitleTranslate':'=?','optionalStep':'=?','hideStep':'=?'},'compile':function(_0x4d4d59){const _0x19a6a4=_0x53d59c;return _0x4d4d59[_0x19a6a4(0x14cf)](_0x19a6a4(0x8da)),function _0x7cf59c(_0x5e6e07,_0x549bb2,_0xe2fda1,_0x58d166){const _0x3b717d=_0x19a6a4,_0xd6b886=_0x58d166[0x0],_0x549676=_0x58d166[0x1];_0x5e6e07[_0x3b717d(0xc60)]=angular[_0x3b717d(0x5ef)](_0xe2fda1[_0x3b717d(0xc60)]),_0x549676['registerStep'](_0x549bb2,_0x5e6e07,_0xd6b886),_0x549bb2[_0x3b717d(0x2458)]();};}};}function _0xf12080(_0x2391e6){const _0xf9f95f=_0x313a4d;return{'restrict':'A','scope':{},'require':['form',_0xf9f95f(0x1fd2)],'priority':0x3e9,'controller':'MsStepperController\x20as\x20MsStepper','bindToController':{'model':'=ngModel'},'transclude':!![],'templateUrl':_0x291ad4,'compile':function(_0x321c7e){const _0x33fdc4=_0xf9f95f;return _0x321c7e[_0x33fdc4(0x14cf)](_0x33fdc4(0x21bf)),function _0x3d765b(_0x232b4c,_0x3fe59c,_0x3354e0,_0x3816f0){const _0x313904=_0x3816f0[0x0],_0x32dfbb=_0x3816f0[0x1];_0x2391e6(function(){const _0x373776=a0_0x3bb9;_0x32dfbb[_0x373776(0x1f61)](_0x373776(0x2ff)),_0x32dfbb[_0x373776(0x148f)](_0x313904),_0x32dfbb[_0x373776(0x25d8)]();});};}};}function _0xa54b11(){const _0x396d49=_0x313a4d;return{'restrict':'E','require':[_0x396d49(0x247c),_0x396d49(0x279)],'priority':0x3e8,'scope':{'step':'=?','stepTitle':'=?','stepTitleTranslate':'=?','optionalStep':'=?','hideStep':'=?'},'transclude':!![],'templateUrl':-_0x540085,'compile':function(_0x5c87c6){const _0x363223=_0x396d49;return _0x5c87c6['addClass'](_0x363223(0x8da)),function _0x21f541(_0x4835d3,_0x3d5a32,_0x59e6ed,_0x43aad5){const _0x2d3f3f=_0x363223,_0x290965=_0x43aad5[0x0],_0x498858=_0x43aad5[0x1];_0x4835d3[_0x2d3f3f(0xc60)]=angular[_0x2d3f3f(0x5ef)](_0x59e6ed[_0x2d3f3f(0xc60)]),_0x4835d3['stepInfo']=_0x498858[_0x2d3f3f(0x1810)](_0x3d5a32,_0x4835d3,_0x290965),_0x4835d3[_0x2d3f3f(0x1a68)]=_0x498858,_0x3d5a32[_0x2d3f3f(0xc84)](_0x2d3f3f(0x1a6c))[_0x2d3f3f(0x2458)]();};}};};const _0x3dc907=_0x4acfac['p']+'src/js/modules/core/directives/ms-tab-scroll/ms-tab-scroll.html/ms-tab-scroll.html';;function _0x2f80ee(){const _0x48d654=_0x313a4d,_0x1e17c9=this;_0x1e17c9[_0x48d654(0x1a75)]=_0x6c9045,_0x1e17c9[_0x48d654(0x209d)]=_0x526aac;function _0x6c9045(_0x158e62){const _0x252cf6=_0x48d654;_0x158e62==_0x252cf6(0x25f5)?$('#tab-container')[_0x252cf6(0x1edf)]()[_0x252cf6(0x233b)]({'scrollLeft':'-=400'},0x3e8):$(_0x252cf6(0x406))[_0x252cf6(0x1edf)]()['animate']({'scrollLeft':_0x252cf6(0x1c68)},0x3e8);}function _0x526aac(_0x38b440){const _0x6aaa4a=_0x48d654;switch(_0x2fe6f4()){case _0x6aaa4a(0x2495):return!![];case'left':return _0x38b440==_0x6aaa4a(0x25f5)?!![]:![];case _0x6aaa4a(0x2236):return _0x38b440==_0x6aaa4a(0x2236)?!![]:![];case _0x6aaa4a(0x1642):return![];default:return![];}}function _0x2fe6f4(){const _0x1daff5=_0x48d654,_0x3caff9=document[_0x1daff5(0x156b)](_0x1daff5(0x707)),_0x127b4c=document[_0x1daff5(0x156b)]('tab-container');if(_0x127b4c[_0x1daff5(0x2402)]>_0x3caff9[_0x1daff5(0x2402)]&&_0x3caff9['scrollLeft']==0x0&&_0x127b4c[_0x1daff5(0x2490)]==0x0)return _0x1daff5(0x2236);else{if(_0x127b4c[_0x1daff5(0x2402)]>_0x3caff9[_0x1daff5(0x2402)]&&_0x127b4c[_0x1daff5(0x2490)]>_0x3caff9[_0x1daff5(0x2490)]&&_0x3caff9[_0x1daff5(0x2402)]+_0x127b4c[_0x1daff5(0x2490)]>=_0x127b4c['scrollWidth'])return'left';else return _0x127b4c[_0x1daff5(0x2402)]>_0x3caff9[_0x1daff5(0x2402)]&&_0x127b4c[_0x1daff5(0x2490)]>_0x3caff9[_0x1daff5(0x2490)]&&_0x3caff9[_0x1daff5(0x2402)]+_0x127b4c[_0x1daff5(0x2490)]<_0x127b4c[_0x1daff5(0x2402)]?_0x1daff5(0x2495):'none';}}}function _0x222191(){const _0x130769=_0x313a4d;return{'restrict':'E','scope':{},'transclude':!![],'controller':_0x130769(0x6fa),'controllerAs':'vm','bindToController':!![],'templateUrl':_0x3dc907,'compile':function(_0x1a2c69){const _0x2299dc=_0x130769;return _0x1a2c69[_0x2299dc(0x14cf)](_0x2299dc(0x241c)),function _0x5a6803(){};}};};_0x3f00c1[_0x313a4d(0x11c2)]=[_0x313a4d(0x1abe),'$q'];function _0x4ef3b2(){const _0x5c8ee7=_0x313a4d,_0x794d80=this;_0x794d80['scrollEl']=undefined,_0x794d80['setScrollEl']=_0x3f681d,_0x794d80[_0x5c8ee7(0x8a5)]=_0xdaaaa0;function _0x3f681d(_0x34dc25){const _0x1d651e=_0x5c8ee7;_0x794d80[_0x1d651e(0x28f8)]=_0x34dc25;}function _0xdaaaa0(){const _0x47ad64=_0x5c8ee7;return _0x794d80[_0x47ad64(0x28f8)];}}function _0x209ece(){const _0x557ae4=_0x313a4d;return{'scope':{'msTimeline':'=?','loadMore':_0x557ae4(0x2773)},'controller':_0x557ae4(0x31f),'compile':function(_0x1b2cd6){const _0x2fb31f=_0x557ae4;return _0x1b2cd6['addClass'](_0x2fb31f(0x1869)),function _0x2bc3e2(_0x39d891,_0x340a4e,_0x2e88c3,_0x4765e2){const _0x3c1f17=_0x2fb31f,_0x34486d=angular[_0x3c1f17(0x1853)]('');_0x340a4e[_0x3c1f17(0x1034)](_0x34486d);let _0x4c6424={'scrollEl':_0x3c1f17(0x1ae6)};_0x4c6424=angular['extend'](_0x4c6424,_0x39d891[_0x3c1f17(0x870)],{});const _0x32a8d7=angular[_0x3c1f17(0x1853)](_0x4c6424['scrollEl']);_0x4765e2[_0x3c1f17(0x1e1c)](_0x32a8d7);const _0x31bfd9=0x90;_0x4eebe2();function _0x1f5053(){const _0x1943f9=_0x3c1f17;_0x32a8d7[_0x1943f9(0xa49)]()+_0x32a8d7['height']()+_0x31bfd9>_0x34486d[_0x1943f9(0x21ca)]()['top']&&(_0x34486d[_0x1943f9(0x14cf)](_0x1943f9(0x2615)),_0x48f8d6(),_0x39d891['loadMore']()[_0x1943f9(0x146b)](function(){const _0xe14981=_0x1943f9;_0x34486d[_0xe14981(0x1973)](_0xe14981(0x2615)),_0x4eebe2();},function(){const _0x164fcc=_0x1943f9;_0x34486d[_0x164fcc(0x2640)]();}));}function _0x4eebe2(){const _0x411864=_0x3c1f17;_0x32a8d7['on'](_0x411864(0x1a49),_0x1f5053);}function _0x48f8d6(){const _0x54827d=_0x3c1f17;_0x32a8d7['off'](_0x54827d(0x1a49),_0x1f5053);}_0x39d891['$on'](_0x3c1f17(0x116f),function(){_0x48f8d6();});};}};}function _0x3f00c1(_0xb546c0,_0x4a70b4){const _0x15f6ea=_0x313a4d;return{'scope':!![],'require':_0x15f6ea(0x55a),'compile':function(_0x28f190){const _0x2e7f60=_0x15f6ea;return _0x28f190[_0x2e7f60(0x14cf)]('ms-timeline-item')[_0x2e7f60(0x14cf)](_0x2e7f60(0x28fc)),function _0x9dbaab(_0x5a3cc9,_0x2989fe,_0x5cb7aa,_0x574f42){const _0x2ba17b=_0x2e7f60,_0x1f44bc=0x48;let _0x55fc75=![],_0x2a9758=![];const _0x5765bb=_0x574f42[_0x2ba17b(0x8a5)]();_0x3c7c5d();function _0x3c7c5d(){const _0x5aa045=_0x2ba17b;_0x2989fe[_0x5aa045(0xc84)](_0x5aa045(0x2939))?_0x5a3cc9[_0x5aa045(0x16ad)](_0x5aa045(0x7ea),function(_0xc3fefc,_0x4f70f0){const _0x383b6f=_0x5aa045,_0x3bcb6f=angular[_0x383b6f(0x1853)](_0x4f70f0[0x0]);_0x50a22b(_0x3bcb6f)['then'](function(){_0xb546c0(function(){_0x55fc75=!![];});});}):_0x50a22b(_0x2989fe)[_0x5aa045(0x146b)](function(){_0xb546c0(function(){_0x55fc75=!![];});}),_0x5765bb['on']('scroll',_0x48578f),_0x48578f();}const _0x59a523=_0x5a3cc9[_0x2ba17b(0x21e8)](function(){return _0x55fc75&&_0x2a9758;},function(_0x157da7,_0x5aca74){const _0x1ff0fc=_0x2ba17b;if(angular[_0x1ff0fc(0x26b4)](_0x157da7,_0x5aca74))return;_0x157da7&&(_0x2989fe['removeClass'](_0x1ff0fc(0x28fc))[_0x1ff0fc(0x14cf)]('animate'),_0x59a523());},!![]);function _0x50a22b(_0x8b8e56){const _0x16803e=_0x2ba17b,_0x1eeef2=_0x4a70b4['defer'](),_0x5f19cd=_0x8b8e56[_0x16803e(0xc84)](_0x16803e(0x28f5));return _0x5f19cd[_0x16803e(0x402)]>0x0?_0x5f19cd['on'](_0x16803e(0x2412),function(){const _0x39b976=_0x16803e;_0x1eeef2[_0x39b976(0x2922)](_0x39b976(0xdcd));}):_0x1eeef2['resolve']('No\x20images'),_0x1eeef2[_0x16803e(0xb9c)];}function _0x48578f(){const _0xeb88a1=_0x2ba17b;_0x5765bb[_0xeb88a1(0xa49)]()+_0x5765bb[_0xeb88a1(0x92b)]()>_0x2989fe[_0xeb88a1(0x21ca)]()[_0xeb88a1(0x244e)]+_0x1f44bc&&(_0xb546c0(function(){_0x2a9758=!![];}),_0x5765bb[_0xeb88a1(0x151d)](_0xeb88a1(0x1a49),_0x48578f));}};}};};_0x56fe58['$inject']=[_0x313a4d(0x910),_0x313a4d(0x3e3),_0x313a4d(0x961),'$compile','$q','api',_0x313a4d(0x1fe4)];function _0x56fe58(_0x2a1114,_0x1c344e,_0x24ed6c,_0x3e2e9e,_0x4bd18a,_0x26bfe2,_0x1868c1){const _0x3720d1=_0x313a4d;typeof String['prototype']['trim']!==_0x3720d1(0xe9f)&&(String[_0x3720d1(0xefd)][_0x3720d1(0x15a3)]=function(){const _0x370b2e=_0x3720d1;return this[_0x370b2e(0x5f4)](/^\s+|\s+$/g,'');});_0x2a1114[_0x3720d1(0xb64)]=_0x1c344e[_0x3720d1(0xb64)]||_0x1c344e[_0x3720d1(0x2a1)];_0x24ed6c[_0x3720d1(0x1931)]()[_0x3720d1(0x15a3)]()[_0x3720d1(0x402)]===0x0?_0x24ed6c[_0x3720d1(0x1034)](_0x3e2e9e(_0x3720d1(0x1180))(_0x2a1114)):_0x24ed6c[_0x3720d1(0x1034)](_0x3e2e9e(_0x24ed6c['contents']())(_0x2a1114));_0x2a1114[_0x3720d1(0x2765)]=_0x2a1114[_0x3720d1(0x1767)]||0x3e8,_0x2a1114[_0x3720d1(0x71a)]=null,_0x2a1114[_0x3720d1(0x262c)]=null,_0x2a1114['timeoutId']=null,_0x2a1114[_0x3720d1(0xd21)]=_0x2a1114[_0x3720d1(0x23be)]&&parseInt(_0x2a1114[_0x3720d1(0x23be)],0xa)>=0x0?parseInt(_0x2a1114[_0x3720d1(0x23be)],0xa):undefined,_0x2a1114[_0x3720d1(0x2582)]=![],_0x2a1114[_0x3720d1(0x21e8)](_0x3720d1(0x2653),function(_0x335d67,_0x1ae383){const _0x18a49c=_0x3720d1;_0x335d67!==_0x1ae383&&_0x2a1114[_0x18a49c(0x2582)]&&_0x2a1114[_0x18a49c(0x48e)]();}),_0x2a1114[_0x3720d1(0x16ad)]('timer-start',function(){const _0x1d66af=_0x3720d1;_0x2a1114[_0x1d66af(0x48e)]();}),_0x2a1114['$on'](_0x3720d1(0x1694),function(){_0x2a1114['resume']();}),_0x2a1114[_0x3720d1(0x16ad)]('timer-stop',function(){const _0xffe946=_0x3720d1;_0x2a1114[_0xffe946(0x1edf)]();}),_0x2a1114[_0x3720d1(0x16ad)](_0x3720d1(0xbb4),function(){_0x2a1114['clear']();}),_0x2a1114[_0x3720d1(0x16ad)](_0x3720d1(0x1643),function(_0x4b870a,_0x31c320){const _0x8d223d=_0x3720d1;_0x2a1114[_0x8d223d(0xd21)]=_0x31c320;});function _0x4de806(){const _0x3aefd2=_0x3720d1;_0x2a1114[_0x3aefd2(0x288f)]&&clearTimeout(_0x2a1114[_0x3aefd2(0x288f)]);}_0x2a1114['start']=function(){const _0x497215=_0x3720d1;_0x8b8738()[_0x497215(0x146b)](function(_0x39ae1f){const _0x5f1889=_0x497215,_0x5a3058=_0x2a1114['startTimeAttr']?_0x2deec6()(_0x2a1114[_0x5f1889(0x2653)]):null;!_0x5a3058||_0x5a3058>_0x39ae1f?_0x2a1114[_0x5f1889(0x71a)]=_0x39ae1f:_0x2a1114['startTime']=_0x5a3058;const _0x53e131=_0x2a1114[_0x5f1889(0x1e97)]?_0x2deec6()(_0x2a1114[_0x5f1889(0x1e97)]):null;!_0x53e131||_0x53e131<_0x39ae1f?_0x2a1114[_0x5f1889(0x262c)]=null:_0x2a1114[_0x5f1889(0x262c)]=_0x53e131,!_0x2a1114[_0x5f1889(0xd21)]&&(_0x2a1114[_0x5f1889(0xd21)]=_0x2a1114[_0x5f1889(0x23be)]&&parseInt(_0x2a1114[_0x5f1889(0x23be)],0xa)>0x0?parseInt(_0x2a1114[_0x5f1889(0xaaa)],0xa):undefined),_0x4de806(),_0x35316c(),_0x2a1114[_0x5f1889(0x2582)]=!![];})[_0x497215(0x129e)](function(_0x280a5a){const _0x1fed38=_0x497215;_0x1868c1[_0x1fed38(0x1980)]({'title':_0x280a5a[_0x1fed38(0x107b)]?'API:'+_0x280a5a[_0x1fed38(0x107b)]+_0x1fed38(0x1315)+_0x280a5a[_0x1fed38(0x167f)]:_0x1fed38(0x8d4),'msg':_0x280a5a['data']?JSON[_0x1fed38(0x10bb)](_0x280a5a[_0x1fed38(0x524)][_0x1fed38(0x7fd)]):_0x280a5a[_0x1fed38(0xd5f)]()});});},_0x24ed6c[0x0][_0x3720d1(0x48e)]=_0x2a1114[_0x3720d1(0x48e)],_0x2a1114['resume']=function(){const _0x10f4e5=_0x3720d1;_0x4de806(),_0x2a1114[_0x10f4e5(0x23be)]&&(_0x2a1114[_0x10f4e5(0xd21)]+=0x1),_0x2a1114[_0x10f4e5(0x71a)]=_0x1da978()['diff'](_0x2deec6()(_0x2a1114['stoppedTime'])[_0x10f4e5(0x1bb5)](_0x2deec6()(_0x2a1114['startTime']))),_0x35316c(),_0x2a1114['isRunning']=!![];},_0x24ed6c[0x0][_0x3720d1(0xa57)]=_0x2a1114[_0x3720d1(0xa57)],_0x2a1114[_0x3720d1(0x1edf)]=function(){const _0x504f19=_0x3720d1;_0x2a1114['clear'](),_0x2a1114[_0x504f19(0x26c1)](_0x504f19(0x1cb9),{'timeoutId':_0x2a1114[_0x504f19(0x288f)],'millis':_0x2a1114[_0x504f19(0x20e)],'seconds':_0x2a1114[_0x504f19(0xcf5)],'minutes':_0x2a1114['minutes'],'hours':_0x2a1114[_0x504f19(0xdfa)],'days':_0x2a1114[_0x504f19(0x13d7)]});},_0x2a1114[_0x3720d1(0x1e3e)]=_0x2a1114['stop'],_0x24ed6c[0x0][_0x3720d1(0x1edf)]=_0x2a1114[_0x3720d1(0x1edf)],_0x24ed6c[0x0][_0x3720d1(0x1e3e)]=_0x2a1114[_0x3720d1(0x1edf)],_0x2a1114[_0x3720d1(0x8ee)]=function(){const _0x2aaec3=_0x3720d1;_0x2a1114[_0x2aaec3(0x4ef)]=_0x1da978(),_0x4de806(),_0x2a1114[_0x2aaec3(0x288f)]=null,_0x2a1114[_0x2aaec3(0x2582)]=![];},_0x24ed6c[0x0][_0x3720d1(0x8ee)]=_0x2a1114[_0x3720d1(0x8ee)],_0x24ed6c[_0x3720d1(0x2544)](_0x3720d1(0x116f),function(){_0x4de806(),_0x2a1114['isRunning']=![];});function _0x3129bb(){const _0x5224c0=_0x3720d1;_0x1c344e[_0x5224c0(0x71a)]!==undefined&&(_0x2a1114[_0x5224c0(0x20e)]=_0x1da978()['diff'](_0x2deec6()(_0x2a1114['startTime'])));if(!_0x2a1114[_0x5224c0(0x14f4)]||_0x2a1114[_0x5224c0(0x14f4)]===_0x5224c0(0x1066))_0x2a1114['seconds']=Math[_0x5224c0(0x19ad)](_0x2a1114[_0x5224c0(0x20e)]/0x3e8%0x3c),_0x2a1114[_0x5224c0(0x142)]=Math[_0x5224c0(0x19ad)](_0x2a1114['millis']/0xea60%0x3c),_0x2a1114['hours']=Math[_0x5224c0(0x19ad)](_0x2a1114[_0x5224c0(0x20e)]/0x36ee80%0x18),_0x2a1114[_0x5224c0(0x13d7)]=Math['floor'](_0x2a1114[_0x5224c0(0x20e)]/0x36ee80/0x18),_0x2a1114['months']=0x0,_0x2a1114['years']=0x0;else{if(_0x2a1114[_0x5224c0(0x14f4)]===_0x5224c0(0xfe1))_0x2a1114['seconds']=Math[_0x5224c0(0x19ad)](_0x2a1114[_0x5224c0(0x20e)]/0x3e8),_0x2a1114[_0x5224c0(0x142)]=0x0,_0x2a1114[_0x5224c0(0xdfa)]=0x0,_0x2a1114[_0x5224c0(0x13d7)]=0x0,_0x2a1114['months']=0x0,_0x2a1114[_0x5224c0(0x22bb)]=0x0;else{if(_0x2a1114[_0x5224c0(0x14f4)]===_0x5224c0(0x12f3))_0x2a1114[_0x5224c0(0xcf5)]=Math[_0x5224c0(0x19ad)](_0x2a1114[_0x5224c0(0x20e)]/0x3e8%0x3c),_0x2a1114[_0x5224c0(0x142)]=Math[_0x5224c0(0x19ad)](_0x2a1114[_0x5224c0(0x20e)]/0xea60),_0x2a1114[_0x5224c0(0xdfa)]=0x0,_0x2a1114[_0x5224c0(0x13d7)]=0x0,_0x2a1114[_0x5224c0(0x4fe)]=0x0,_0x2a1114[_0x5224c0(0x22bb)]=0x0;else{if(_0x2a1114['maxTimeUnit']===_0x5224c0(0x9ee))_0x2a1114[_0x5224c0(0xcf5)]=Math[_0x5224c0(0x19ad)](_0x2a1114[_0x5224c0(0x20e)]/0x3e8%0x3c),_0x2a1114['minutes']=Math[_0x5224c0(0x19ad)](_0x2a1114['millis']/0xea60%0x3c),_0x2a1114[_0x5224c0(0xdfa)]=Math[_0x5224c0(0x19ad)](_0x2a1114[_0x5224c0(0x20e)]/0x36ee80),_0x2a1114[_0x5224c0(0x13d7)]=0x0,_0x2a1114[_0x5224c0(0x4fe)]=0x0,_0x2a1114[_0x5224c0(0x22bb)]=0x0;else{if(_0x2a1114[_0x5224c0(0x14f4)]===_0x5224c0(0x12b7))_0x2a1114[_0x5224c0(0xcf5)]=Math[_0x5224c0(0x19ad)](_0x2a1114[_0x5224c0(0x20e)]/0x3e8%0x3c),_0x2a1114[_0x5224c0(0x142)]=Math[_0x5224c0(0x19ad)](_0x2a1114[_0x5224c0(0x20e)]/0xea60%0x3c),_0x2a1114[_0x5224c0(0xdfa)]=Math[_0x5224c0(0x19ad)](_0x2a1114['millis']/0x36ee80%0x18),_0x2a1114['days']=Math[_0x5224c0(0x19ad)](_0x2a1114[_0x5224c0(0x20e)]/0x36ee80/0x18%0x1e),_0x2a1114[_0x5224c0(0x4fe)]=Math[_0x5224c0(0x19ad)](_0x2a1114['millis']/0x36ee80/0x18/0x1e),_0x2a1114[_0x5224c0(0x22bb)]=0x0;else _0x2a1114[_0x5224c0(0x14f4)]==='year'&&(_0x2a1114[_0x5224c0(0xcf5)]=Math['floor'](_0x2a1114[_0x5224c0(0x20e)]/0x3e8%0x3c),_0x2a1114['minutes']=Math[_0x5224c0(0x19ad)](_0x2a1114[_0x5224c0(0x20e)]/0xea60%0x3c),_0x2a1114[_0x5224c0(0xdfa)]=Math['floor'](_0x2a1114[_0x5224c0(0x20e)]/0x36ee80%0x18),_0x2a1114['days']=Math[_0x5224c0(0x19ad)](_0x2a1114[_0x5224c0(0x20e)]/0x36ee80/0x18%0x1e),_0x2a1114[_0x5224c0(0x4fe)]=Math[_0x5224c0(0x19ad)](_0x2a1114[_0x5224c0(0x20e)]/0x36ee80/0x18/0x1e%0xc),_0x2a1114[_0x5224c0(0x22bb)]=Math[_0x5224c0(0x19ad)](_0x2a1114['millis']/0x36ee80/0x18/0x16d));}}}}_0x2a1114[_0x5224c0(0x1f51)]=_0x2a1114[_0x5224c0(0xcf5)]===0x1||_0x2a1114[_0x5224c0(0xcf5)]===0x0?'':'s',_0x2a1114[_0x5224c0(0x15c7)]=_0x2a1114[_0x5224c0(0x142)]===0x1||_0x2a1114[_0x5224c0(0x142)]===0x0?'':'s',_0x2a1114[_0x5224c0(0x1f20)]=_0x2a1114['hours']===0x1||_0x2a1114[_0x5224c0(0xdfa)]===0x0?'':'s',_0x2a1114[_0x5224c0(0xdc6)]=_0x2a1114[_0x5224c0(0x13d7)]===0x1||_0x2a1114[_0x5224c0(0x13d7)]===0x0?'':'s',_0x2a1114[_0x5224c0(0x18b4)]=_0x2a1114[_0x5224c0(0x4fe)]===0x1||_0x2a1114[_0x5224c0(0x4fe)]===0x0?'':'s',_0x2a1114[_0x5224c0(0x20e7)]=_0x2a1114[_0x5224c0(0x22bb)]===0x1||_0x2a1114[_0x5224c0(0x22bb)]===0x0?'':'s',_0x2a1114['sseconds']=_0x2a1114['seconds']<0xa?'0'+_0x2a1114[_0x5224c0(0xcf5)]:_0x2a1114[_0x5224c0(0xcf5)],_0x2a1114['mminutes']=_0x2a1114[_0x5224c0(0x142)]<0xa?'0'+_0x2a1114[_0x5224c0(0x142)]:_0x2a1114[_0x5224c0(0x142)],_0x2a1114[_0x5224c0(0x25ab)]=_0x2a1114[_0x5224c0(0xdfa)]<0xa?'0'+_0x2a1114[_0x5224c0(0xdfa)]:_0x2a1114[_0x5224c0(0xdfa)],_0x2a1114[_0x5224c0(0xf6b)]=_0x2a1114[_0x5224c0(0x13d7)]<0xa?'0'+_0x2a1114[_0x5224c0(0x13d7)]:_0x2a1114['days'],_0x2a1114['mmonths']=_0x2a1114[_0x5224c0(0x4fe)]<0xa?'0'+_0x2a1114[_0x5224c0(0x4fe)]:_0x2a1114[_0x5224c0(0x4fe)],_0x2a1114[_0x5224c0(0x10e1)]=_0x2a1114[_0x5224c0(0x22bb)]<0xa?'0'+_0x2a1114[_0x5224c0(0x22bb)]:_0x2a1114[_0x5224c0(0x22bb)];}const _0x35316c=function(){const _0x412e2f=_0x3720d1;_0x2a1114[_0x412e2f(0x20e)]=_0x1da978()[_0x412e2f(0x1bb5)](_0x2a1114[_0x412e2f(0x71a)]);let _0x3c9f19=_0x2a1114[_0x412e2f(0x20e)]%0x3e8;_0x2a1114[_0x412e2f(0x1e97)]&&(_0x2a1114[_0x412e2f(0x20e)]=_0x2deec6()(_0x2a1114[_0x412e2f(0x262c)])[_0x412e2f(0x1bb5)](_0x1da978()),_0x3c9f19=_0x2a1114[_0x412e2f(0x2765)]-_0x2a1114[_0x412e2f(0x20e)]%0x3e8);_0x2a1114['countdownAttr']&&(_0x2a1114[_0x412e2f(0x20e)]=_0x2a1114[_0x412e2f(0xd21)]*0x3e8);if(_0x2a1114['millis']<0x0){_0x2a1114['stop'](),_0x2a1114[_0x412e2f(0x20e)]=0x0,_0x3129bb();_0x2a1114[_0x412e2f(0x27bf)]&&_0x2a1114[_0x412e2f(0xb0c)](_0x2a1114[_0x412e2f(0x27bf)]);return;}_0x3129bb(),_0x2a1114[_0x412e2f(0x288f)]=setTimeout(function(){const _0x558e4a=_0x412e2f;_0x35316c(),_0x2a1114[_0x558e4a(0x12e7)]();},_0x2a1114[_0x412e2f(0x2765)]-_0x3c9f19),_0x2a1114[_0x412e2f(0x26c1)](_0x412e2f(0x1ebc),{'timeoutId':_0x2a1114[_0x412e2f(0x288f)],'millis':_0x2a1114[_0x412e2f(0x20e)]});if(_0x2a1114[_0x412e2f(0xd21)]>0x0)_0x2a1114[_0x412e2f(0xd21)]--;else _0x2a1114[_0x412e2f(0xd21)]<=0x0&&(_0x2a1114[_0x412e2f(0x1edf)](),_0x2a1114[_0x412e2f(0x27bf)]&&_0x2a1114[_0x412e2f(0xb0c)](_0x2a1114['finishCallback']));};(_0x2a1114['autoStart']===undefined||_0x2a1114[_0x3720d1(0xb64)]===!![])&&_0x2a1114[_0x3720d1(0x48e)]();function _0x1da978(){const _0x1ab37a=_0x3720d1;return _0x2deec6()[_0x1ab37a(0x1ab5)](_0x2deec6()()['utcOffset'](_0x2a1114[_0x1ab37a(0x1e7a)]));}function _0x8b8738(){let _0x1796b9;return _0x4bd18a(function(_0x53ef8,_0x4d408b){const _0x2de1de=a0_0x3bb9;try{if(_0x2a1114[_0x2de1de(0x1e7a)]){_0x1796b9=_0x1da978(),_0x53ef8(_0x1796b9);return;}_0x26bfe2['setting'][_0x2de1de(0xec3)]()['$promise'][_0x2de1de(0x146b)](function(_0x3e1634){const _0x5c8f2f=_0x2de1de;_0x2a1114[_0x5c8f2f(0x1e7a)]=_0x3e1634['offset'],_0x1796b9=_0x1da978(),_0x53ef8(_0x1796b9);return;});}catch(_0x5f594a){_0x4d408b(_0x5f594a);}});}}function _0x12282e(){const _0x3ae0e1=_0x313a4d;return{'restrict':'EA','replace':![],'scope':{'intervalAttr':_0x3ae0e1(0x675),'startTimeAttr':_0x3ae0e1(0x17d),'endTimeAttr':'=endTime','countdownAttr':_0x3ae0e1(0x1395),'autoStart':'&autoStart','maxTimeUnit':'='},'controller':_0x3ae0e1(0x15df)};};const _0x3384d4=_0x4acfac['p']+_0x313a4d(0x1932);;function _0x4f39ff(){const _0x4f39ca=_0x313a4d;return{'restrict':'E','scope':{'ngModel':'='},'controller':[_0x4f39ca(0x910),function(_0x928400){const _0x5a86b1=_0x4f39ca;_0x928400[_0x5a86b1(0x293b)]=[{'name':_0x5a86b1(0x71e),'utcOffset':0x3c,'offsetStr':_0x5a86b1(0x1616),'countries':['AD']},{'name':'Asia/Dubai','utcOffset':0xf0,'offsetStr':_0x5a86b1(0x1e77),'countries':['AE','OM']},{'name':_0x5a86b1(0xf65),'utcOffset':0x10e,'offsetStr':'+04:30','countries':['AF']},{'name':'Europe/Tirane','utcOffset':0x3c,'offsetStr':'+01:00','countries':['AL']},{'name':_0x5a86b1(0xac8),'utcOffset':0xf0,'offsetStr':_0x5a86b1(0x1e77),'countries':['AM']},{'name':_0x5a86b1(0x211d),'utcOffset':-0xb4,'offsetStr':_0x5a86b1(0x1504),'countries':['AQ']},{'name':_0x5a86b1(0x2e4),'utcOffset':-0xb4,'offsetStr':_0x5a86b1(0x1504),'countries':['AQ']},{'name':'Antarctica/Mawson','utcOffset':0x12c,'offsetStr':_0x5a86b1(0x1ba6),'countries':['AQ']},{'name':_0x5a86b1(0xabb),'utcOffset':0x1a4,'offsetStr':_0x5a86b1(0x1fa),'countries':['AQ']},{'name':_0x5a86b1(0x17f2),'utcOffset':0x1e0,'offsetStr':_0x5a86b1(0xea7),'countries':['AQ']},{'name':_0x5a86b1(0x1f7e),'utcOffset':0x168,'offsetStr':_0x5a86b1(0x1cd1),'countries':['AQ']},{'name':_0x5a86b1(0x215c),'utcOffset':0x258,'offsetStr':'+10:00','countries':['AQ']},{'name':_0x5a86b1(0x852),'utcOffset':0xb4,'offsetStr':_0x5a86b1(0x16f1),'countries':['AQ']},{'name':_0x5a86b1(0x24ef),'utcOffset':0x0,'offsetStr':'+00:00','countries':['AQ']},{'name':_0x5a86b1(0x16e3),'utcOffset':-0xb4,'offsetStr':'-03:00','countries':['AR']},{'name':'America/Argentina/Cordoba','utcOffset':-0xb4,'offsetStr':_0x5a86b1(0x1504),'countries':['AR']},{'name':_0x5a86b1(0x21f6),'utcOffset':-0xb4,'offsetStr':'-03:00','countries':['AR']},{'name':_0x5a86b1(0x2515),'utcOffset':-0xb4,'offsetStr':_0x5a86b1(0x1504),'countries':['AR']},{'name':_0x5a86b1(0x1c92),'utcOffset':-0xb4,'offsetStr':'-03:00','countries':['AR']},{'name':'America/Argentina/Catamarca','utcOffset':-0xb4,'offsetStr':_0x5a86b1(0x1504),'countries':['AR']},{'name':_0x5a86b1(0x11f4),'utcOffset':-0xb4,'offsetStr':_0x5a86b1(0x1504),'countries':['AR']},{'name':_0x5a86b1(0x1be),'utcOffset':-0xb4,'offsetStr':_0x5a86b1(0x1504),'countries':['AR']},{'name':_0x5a86b1(0x198d),'utcOffset':-0xb4,'offsetStr':_0x5a86b1(0x1504),'countries':['AR']},{'name':_0x5a86b1(0x1acf),'utcOffset':-0xb4,'offsetStr':_0x5a86b1(0x1504),'countries':['AR']},{'name':_0x5a86b1(0x2554),'utcOffset':-0xb4,'offsetStr':'-03:00','countries':['AR']},{'name':'America/Argentina/Ushuaia','utcOffset':-0xb4,'offsetStr':'-03:00','countries':['AR']},{'name':_0x5a86b1(0x289f),'utcOffset':-0x294,'offsetStr':_0x5a86b1(0x355),'countries':['AS','UM']},{'name':'Europe/Vienna','utcOffset':0x3c,'offsetStr':_0x5a86b1(0x1616),'countries':['AT']},{'name':'Australia/Lord_Howe','utcOffset':0x294,'offsetStr':_0x5a86b1(0x2311),'countries':['AU']},{'name':_0x5a86b1(0xe97),'utcOffset':0x294,'offsetStr':'+11:00','countries':['AU']},{'name':_0x5a86b1(0xdb9),'utcOffset':0x294,'offsetStr':_0x5a86b1(0x2311),'countries':['AU']},{'name':_0x5a86b1(0x3d2),'utcOffset':0x294,'offsetStr':_0x5a86b1(0x2311),'countries':['AU']},{'name':_0x5a86b1(0x14b1),'utcOffset':0x294,'offsetStr':_0x5a86b1(0x2311),'countries':['AU']},{'name':'Australia/Sydney','utcOffset':0x294,'offsetStr':'+11:00','countries':['AU']},{'name':_0x5a86b1(0x275e),'utcOffset':0x276,'offsetStr':_0x5a86b1(0x12dc),'countries':['AU']},{'name':'Australia/Brisbane','utcOffset':0x258,'offsetStr':_0x5a86b1(0x15f),'countries':['AU']},{'name':'Australia/Lindeman','utcOffset':0x258,'offsetStr':_0x5a86b1(0x15f),'countries':['AU']},{'name':'Australia/Adelaide','utcOffset':0x276,'offsetStr':_0x5a86b1(0x12dc),'countries':['AU']},{'name':_0x5a86b1(0x50c),'utcOffset':0x23a,'offsetStr':_0x5a86b1(0x26b2),'countries':['AU']},{'name':_0x5a86b1(0x27cb),'utcOffset':0x1e0,'offsetStr':'+08:00','countries':['AU']},{'name':'Australia/Eucla','utcOffset':0x20d,'offsetStr':_0x5a86b1(0x24b8),'countries':['AU']},{'name':_0x5a86b1(0x344),'utcOffset':0xf0,'offsetStr':_0x5a86b1(0x1e77),'countries':['AZ']},{'name':'America/Barbados','utcOffset':-0xf0,'offsetStr':_0x5a86b1(0xaba),'countries':['BB']},{'name':_0x5a86b1(0x12a4),'utcOffset':0x168,'offsetStr':_0x5a86b1(0x1cd1),'countries':['BD']},{'name':_0x5a86b1(0x1d4),'utcOffset':0x3c,'offsetStr':_0x5a86b1(0x1616),'countries':['BE']},{'name':'Europe/Sofia','utcOffset':0x78,'offsetStr':'+02:00','countries':['BG']},{'name':_0x5a86b1(0x2739),'utcOffset':-0xf0,'offsetStr':'-04:00','countries':['BM']},{'name':'Asia/Brunei','utcOffset':0x1e0,'offsetStr':'+08:00','countries':['BN']},{'name':_0x5a86b1(0xcdd),'utcOffset':-0xf0,'offsetStr':'-04:00','countries':['BO']},{'name':_0x5a86b1(0x856),'utcOffset':-0x78,'offsetStr':_0x5a86b1(0x2802),'countries':['BR']},{'name':_0x5a86b1(0x26aa),'utcOffset':-0xb4,'offsetStr':_0x5a86b1(0x1504),'countries':['BR']},{'name':_0x5a86b1(0x1d07),'utcOffset':-0xb4,'offsetStr':_0x5a86b1(0x1504),'countries':['BR']},{'name':'America/Recife','utcOffset':-0xb4,'offsetStr':_0x5a86b1(0x1504),'countries':['BR']},{'name':'America/Araguaina','utcOffset':-0xb4,'offsetStr':'-03:00','countries':['BR']},{'name':_0x5a86b1(0x27eb),'utcOffset':-0xb4,'offsetStr':_0x5a86b1(0x1504),'countries':['BR']},{'name':_0x5a86b1(0x1a18),'utcOffset':-0xb4,'offsetStr':'-03:00','countries':['BR']},{'name':_0x5a86b1(0x23ef),'utcOffset':-0x78,'offsetStr':_0x5a86b1(0x2802),'countries':['BR']},{'name':_0x5a86b1(0x112d),'utcOffset':-0xb4,'offsetStr':'-03:00','countries':['BR']},{'name':'America/Cuiaba','utcOffset':-0xb4,'offsetStr':'-03:00','countries':['BR']},{'name':_0x5a86b1(0x1e37),'utcOffset':-0xb4,'offsetStr':_0x5a86b1(0x1504),'countries':['BR']},{'name':'America/Porto_Velho','utcOffset':-0xf0,'offsetStr':_0x5a86b1(0xaba),'countries':['BR']},{'name':'America/Boa_Vista','utcOffset':-0xf0,'offsetStr':_0x5a86b1(0xaba),'countries':['BR']},{'name':_0x5a86b1(0xfb),'utcOffset':-0xf0,'offsetStr':_0x5a86b1(0xaba),'countries':['BR']},{'name':_0x5a86b1(0x2320),'utcOffset':-0x12c,'offsetStr':_0x5a86b1(0x1c0d),'countries':['BR']},{'name':'America/Rio_Branco','utcOffset':-0x12c,'offsetStr':'-05:00','countries':['BR']},{'name':'America/Nassau','utcOffset':-0x12c,'offsetStr':_0x5a86b1(0x1c0d),'countries':['BS']},{'name':_0x5a86b1(0x2457),'utcOffset':0x168,'offsetStr':'+06:00','countries':['BT']},{'name':_0x5a86b1(0x1429),'utcOffset':0xb4,'offsetStr':_0x5a86b1(0x16f1),'countries':['BY']},{'name':'America/Belize','utcOffset':-0x168,'offsetStr':'-06:00','countries':['BZ']},{'name':_0x5a86b1(0x1baf),'utcOffset':-0xd2,'offsetStr':_0x5a86b1(0x12d2),'countries':['CA']},{'name':_0x5a86b1(0x7cd),'utcOffset':-0xf0,'offsetStr':_0x5a86b1(0xaba),'countries':['CA']},{'name':'America/Glace_Bay','utcOffset':-0xf0,'offsetStr':'-04:00','countries':['CA']},{'name':_0x5a86b1(0x1ace),'utcOffset':-0xf0,'offsetStr':_0x5a86b1(0xaba),'countries':['CA']},{'name':_0x5a86b1(0x8b5),'utcOffset':-0xf0,'offsetStr':_0x5a86b1(0xaba),'countries':['CA']},{'name':'America/Blanc-Sablon','utcOffset':-0xf0,'offsetStr':_0x5a86b1(0xaba),'countries':['CA']},{'name':_0x5a86b1(0x8bb),'utcOffset':-0x12c,'offsetStr':'-05:00','countries':['CA']},{'name':_0x5a86b1(0x37e),'utcOffset':-0x12c,'offsetStr':'-05:00','countries':['CA']},{'name':_0x5a86b1(0x312),'utcOffset':-0x12c,'offsetStr':_0x5a86b1(0x1c0d),'countries':['CA']},{'name':'America/Iqaluit','utcOffset':-0x12c,'offsetStr':'-05:00','countries':['CA']},{'name':_0x5a86b1(0xfec),'utcOffset':-0x12c,'offsetStr':_0x5a86b1(0x1c0d),'countries':['CA']},{'name':_0x5a86b1(0x2249),'utcOffset':-0x168,'offsetStr':_0x5a86b1(0x22a9),'countries':['CA']},{'name':_0x5a86b1(0x19dd),'utcOffset':-0x12c,'offsetStr':'-05:00','countries':['CA']},{'name':'America/Rankin_Inlet','utcOffset':-0x168,'offsetStr':_0x5a86b1(0x22a9),'countries':['CA']},{'name':_0x5a86b1(0x1b66),'utcOffset':-0x168,'offsetStr':_0x5a86b1(0x22a9),'countries':['CA']},{'name':_0x5a86b1(0x3ef),'utcOffset':-0x168,'offsetStr':_0x5a86b1(0x22a9),'countries':['CA']},{'name':_0x5a86b1(0x1abd),'utcOffset':-0x168,'offsetStr':_0x5a86b1(0x22a9),'countries':['CA']},{'name':_0x5a86b1(0x17a6),'utcOffset':-0x168,'offsetStr':_0x5a86b1(0x22a9),'countries':['CA']},{'name':_0x5a86b1(0x23e2),'utcOffset':-0x1a4,'offsetStr':_0x5a86b1(0x2f6),'countries':['CA']},{'name':_0x5a86b1(0x1659),'utcOffset':-0x1a4,'offsetStr':'-07:00','countries':['CA']},{'name':'America/Yellowknife','utcOffset':-0x1a4,'offsetStr':_0x5a86b1(0x2f6),'countries':['CA']},{'name':_0x5a86b1(0x216e),'utcOffset':-0x1a4,'offsetStr':_0x5a86b1(0x2f6),'countries':['CA']},{'name':_0x5a86b1(0xe44),'utcOffset':-0x1a4,'offsetStr':_0x5a86b1(0x2f6),'countries':['CA']},{'name':_0x5a86b1(0xc1f),'utcOffset':-0x1a4,'offsetStr':'-07:00','countries':['CA']},{'name':'America/Fort_Nelson','utcOffset':-0x1a4,'offsetStr':_0x5a86b1(0x2f6),'countries':['CA']},{'name':'America/Vancouver','utcOffset':-0x1e0,'offsetStr':_0x5a86b1(0x185e),'countries':['CA']},{'name':_0x5a86b1(0x116c),'utcOffset':-0x1e0,'offsetStr':_0x5a86b1(0x185e),'countries':['CA']},{'name':_0x5a86b1(0x1796),'utcOffset':-0x1e0,'offsetStr':_0x5a86b1(0x185e),'countries':['CA']},{'name':'Indian/Cocos','utcOffset':0x186,'offsetStr':'+06:30','countries':['CC']},{'name':_0x5a86b1(0xf9e),'utcOffset':0x3c,'offsetStr':_0x5a86b1(0x1616),'countries':['CH','DE','LI']},{'name':'Africa/Abidjan','utcOffset':0x0,'offsetStr':_0x5a86b1(0x2a7),'countries':['CI','BF','GM','GN','ML','MR','SH','SL','SN','ST','TG']},{'name':'Pacific/Rarotonga','utcOffset':-0x258,'offsetStr':'-10:00','countries':['CK']},{'name':'America/Santiago','utcOffset':-0xb4,'offsetStr':_0x5a86b1(0x1504),'countries':['CL']},{'name':_0x5a86b1(0x97c),'utcOffset':-0x12c,'offsetStr':_0x5a86b1(0x1c0d),'countries':['CL']},{'name':_0x5a86b1(0x1d3d),'utcOffset':0x1e0,'offsetStr':_0x5a86b1(0xea7),'countries':['CN']},{'name':_0x5a86b1(0x2535),'utcOffset':0x168,'offsetStr':'+06:00','countries':['CN']},{'name':_0x5a86b1(0x117a),'utcOffset':-0x12c,'offsetStr':_0x5a86b1(0x1c0d),'countries':['CO']},{'name':_0x5a86b1(0x636),'utcOffset':-0x168,'offsetStr':_0x5a86b1(0x22a9),'countries':['CR']},{'name':_0x5a86b1(0x2695),'utcOffset':-0x12c,'offsetStr':_0x5a86b1(0x1c0d),'countries':['CU']},{'name':_0x5a86b1(0x1203),'utcOffset':-0x3c,'offsetStr':'-01:00','countries':['CV']},{'name':_0x5a86b1(0x17ef),'utcOffset':-0xf0,'offsetStr':_0x5a86b1(0xaba),'countries':['CW','AW','BQ','SX']},{'name':_0x5a86b1(0x1570),'utcOffset':0x1a4,'offsetStr':_0x5a86b1(0x1fa),'countries':['CX']},{'name':'Asia/Nicosia','utcOffset':0x78,'offsetStr':_0x5a86b1(0x101b),'countries':['CY']},{'name':'Europe/Prague','utcOffset':0x3c,'offsetStr':_0x5a86b1(0x1616),'countries':['CZ','SK']},{'name':_0x5a86b1(0x70a),'utcOffset':0x3c,'offsetStr':_0x5a86b1(0x1616),'countries':['DE']},{'name':_0x5a86b1(0xe09),'utcOffset':0x3c,'offsetStr':_0x5a86b1(0x1616),'countries':['DK']},{'name':_0x5a86b1(0x151a),'utcOffset':-0xf0,'offsetStr':_0x5a86b1(0xaba),'countries':['DO']},{'name':_0x5a86b1(0x15f9),'utcOffset':0x3c,'offsetStr':_0x5a86b1(0x1616),'countries':['DZ']},{'name':_0x5a86b1(0xfce),'utcOffset':-0x12c,'offsetStr':'-05:00','countries':['EC']},{'name':'Pacific/Galapagos','utcOffset':-0x168,'offsetStr':_0x5a86b1(0x22a9),'countries':['EC']},{'name':_0x5a86b1(0xc38),'utcOffset':0x78,'offsetStr':_0x5a86b1(0x101b),'countries':['EE']},{'name':_0x5a86b1(0x2a5),'utcOffset':0x78,'offsetStr':_0x5a86b1(0x101b),'countries':['EG']},{'name':_0x5a86b1(0x1d0e),'utcOffset':0x0,'offsetStr':_0x5a86b1(0x2a7),'countries':['EH']},{'name':_0x5a86b1(0x1f52),'utcOffset':0x3c,'offsetStr':_0x5a86b1(0x1616),'countries':['ES']},{'name':'Africa/Ceuta','utcOffset':0x3c,'offsetStr':_0x5a86b1(0x1616),'countries':['ES']},{'name':_0x5a86b1(0x21d1),'utcOffset':0x0,'offsetStr':_0x5a86b1(0x2a7),'countries':['ES']},{'name':_0x5a86b1(0x1ad1),'utcOffset':0x78,'offsetStr':_0x5a86b1(0x101b),'countries':['FI','AX']},{'name':_0x5a86b1(0x2631),'utcOffset':0x2d0,'offsetStr':_0x5a86b1(0x53f),'countries':['FJ']},{'name':_0x5a86b1(0x1cf3),'utcOffset':-0xb4,'offsetStr':_0x5a86b1(0x1504),'countries':['FK']},{'name':_0x5a86b1(0x12fa),'utcOffset':0x258,'offsetStr':_0x5a86b1(0x15f),'countries':['FM']},{'name':'Pacific/Pohnpei','utcOffset':0x294,'offsetStr':_0x5a86b1(0x2311),'countries':['FM']},{'name':_0x5a86b1(0x182e),'utcOffset':0x294,'offsetStr':_0x5a86b1(0x2311),'countries':['FM']},{'name':_0x5a86b1(0x1131),'utcOffset':0x0,'offsetStr':_0x5a86b1(0x2a7),'countries':['FO']},{'name':_0x5a86b1(0xbde),'utcOffset':0x3c,'offsetStr':_0x5a86b1(0x1616),'countries':['FR']},{'name':'Europe/London','utcOffset':0x0,'offsetStr':'+00:00','countries':['GB','GG','IM','JE']},{'name':_0x5a86b1(0xd70),'utcOffset':0xf0,'offsetStr':_0x5a86b1(0x1e77),'countries':['GE']},{'name':_0x5a86b1(0x61f),'utcOffset':-0xb4,'offsetStr':_0x5a86b1(0x1504),'countries':['GF']},{'name':_0x5a86b1(0x62f),'utcOffset':0x0,'offsetStr':_0x5a86b1(0x2a7),'countries':['GH']},{'name':_0x5a86b1(0x8b7),'utcOffset':0x3c,'offsetStr':_0x5a86b1(0x1616),'countries':['GI']},{'name':_0x5a86b1(0x200c),'utcOffset':-0xb4,'offsetStr':'-03:00','countries':['GL']},{'name':_0x5a86b1(0x1594),'utcOffset':0x0,'offsetStr':_0x5a86b1(0x2a7),'countries':['GL']},{'name':_0x5a86b1(0x1b73),'utcOffset':-0x3c,'offsetStr':'-01:00','countries':['GL']},{'name':_0x5a86b1(0x1aed),'utcOffset':-0xf0,'offsetStr':'-04:00','countries':['GL']},{'name':_0x5a86b1(0xa41),'utcOffset':0x78,'offsetStr':_0x5a86b1(0x101b),'countries':['GR']},{'name':_0x5a86b1(0x1396),'utcOffset':-0x78,'offsetStr':'-02:00','countries':['GS']},{'name':_0x5a86b1(0x264b),'utcOffset':-0x168,'offsetStr':_0x5a86b1(0x22a9),'countries':['GT']},{'name':'Pacific/Guam','utcOffset':0x258,'offsetStr':_0x5a86b1(0x15f),'countries':['GU','MP']},{'name':'Africa/Bissau','utcOffset':0x0,'offsetStr':'+00:00','countries':['GW']},{'name':'America/Guyana','utcOffset':-0xf0,'offsetStr':_0x5a86b1(0xaba),'countries':['GY']},{'name':'Asia/Hong_Kong','utcOffset':0x1e0,'offsetStr':_0x5a86b1(0xea7),'countries':['HK']},{'name':_0x5a86b1(0x902),'utcOffset':-0x168,'offsetStr':'-06:00','countries':['HN']},{'name':_0x5a86b1(0x1826),'utcOffset':-0x12c,'offsetStr':_0x5a86b1(0x1c0d),'countries':['HT']},{'name':_0x5a86b1(0x837),'utcOffset':0x3c,'offsetStr':_0x5a86b1(0x1616),'countries':['HU']},{'name':'Asia/Jakarta','utcOffset':0x1a4,'offsetStr':'+07:00','countries':['ID']},{'name':_0x5a86b1(0x246),'utcOffset':0x1a4,'offsetStr':_0x5a86b1(0x1fa),'countries':['ID']},{'name':_0x5a86b1(0xd01),'utcOffset':0x1e0,'offsetStr':_0x5a86b1(0xea7),'countries':['ID']},{'name':_0x5a86b1(0xdb1),'utcOffset':0x21c,'offsetStr':_0x5a86b1(0xe9),'countries':['ID']},{'name':'Europe/Dublin','utcOffset':0x0,'offsetStr':_0x5a86b1(0x2a7),'countries':['IE']},{'name':_0x5a86b1(0x965),'utcOffset':0x78,'offsetStr':'+02:00','countries':['IL']},{'name':_0x5a86b1(0xf09),'utcOffset':0x14a,'offsetStr':_0x5a86b1(0x1a45),'countries':['IN']},{'name':_0x5a86b1(0xc28),'utcOffset':0x168,'offsetStr':_0x5a86b1(0x1cd1),'countries':['IO']},{'name':_0x5a86b1(0x2461),'utcOffset':0xb4,'offsetStr':_0x5a86b1(0x16f1),'countries':['IQ']},{'name':_0x5a86b1(0x1d85),'utcOffset':0xd2,'offsetStr':_0x5a86b1(0x1019),'countries':['IR']},{'name':_0x5a86b1(0x2633),'utcOffset':0x0,'offsetStr':_0x5a86b1(0x2a7),'countries':['IS']},{'name':_0x5a86b1(0xcde),'utcOffset':0x3c,'offsetStr':_0x5a86b1(0x1616),'countries':['IT','SM','VA']},{'name':'America/Jamaica','utcOffset':-0x12c,'offsetStr':_0x5a86b1(0x1c0d),'countries':['JM']},{'name':_0x5a86b1(0xf14),'utcOffset':0x78,'offsetStr':_0x5a86b1(0x101b),'countries':['JO']},{'name':_0x5a86b1(0x1597),'utcOffset':0x21c,'offsetStr':_0x5a86b1(0xe9),'countries':['JP']},{'name':_0x5a86b1(0x1581),'utcOffset':0xb4,'offsetStr':_0x5a86b1(0x16f1),'countries':['KE','DJ','ER','ET','KM','MG','SO','TZ','UG','YT']},{'name':_0x5a86b1(0x1511),'utcOffset':0x168,'offsetStr':'+06:00','countries':['KG']},{'name':_0x5a86b1(0x1abc),'utcOffset':0x2d0,'offsetStr':_0x5a86b1(0x53f),'countries':['KI']},{'name':_0x5a86b1(0xda5),'utcOffset':0x30c,'offsetStr':'+13:00','countries':['KI']},{'name':_0x5a86b1(0x2ab),'utcOffset':0x348,'offsetStr':_0x5a86b1(0x5d9),'countries':['KI']},{'name':'Asia/Pyongyang','utcOffset':0x1fe,'offsetStr':'+08:30','countries':['KP']},{'name':'Asia/Seoul','utcOffset':0x21c,'offsetStr':_0x5a86b1(0xe9),'countries':['KR']},{'name':_0x5a86b1(0x28a2),'utcOffset':-0x12c,'offsetStr':'-05:00','countries':['KY']},{'name':_0x5a86b1(0x63e),'utcOffset':0x168,'offsetStr':'+06:00','countries':['KZ']},{'name':_0x5a86b1(0x1eda),'utcOffset':0x168,'offsetStr':'+06:00','countries':['KZ']},{'name':_0x5a86b1(0x111a),'utcOffset':0x12c,'offsetStr':'+05:00','countries':['KZ']},{'name':_0x5a86b1(0x2cf),'utcOffset':0x12c,'offsetStr':_0x5a86b1(0x1ba6),'countries':['KZ']},{'name':_0x5a86b1(0xaa1),'utcOffset':0x12c,'offsetStr':_0x5a86b1(0x1ba6),'countries':['KZ']},{'name':_0x5a86b1(0x1e6b),'utcOffset':0x78,'offsetStr':_0x5a86b1(0x101b),'countries':['LB']},{'name':'Asia/Colombo','utcOffset':0x14a,'offsetStr':_0x5a86b1(0x1a45),'countries':['LK']},{'name':_0x5a86b1(0x11fb),'utcOffset':0x0,'offsetStr':_0x5a86b1(0x2a7),'countries':['LR']},{'name':_0x5a86b1(0x2604),'utcOffset':0x78,'offsetStr':_0x5a86b1(0x101b),'countries':['LT']},{'name':_0x5a86b1(0x25e2),'utcOffset':0x3c,'offsetStr':_0x5a86b1(0x1616),'countries':['LU']},{'name':'Europe/Riga','utcOffset':0x78,'offsetStr':_0x5a86b1(0x101b),'countries':['LV']},{'name':_0x5a86b1(0x227c),'utcOffset':0x78,'offsetStr':'+02:00','countries':['LY']},{'name':_0x5a86b1(0xb1e),'utcOffset':0x0,'offsetStr':'+00:00','countries':['MA']},{'name':_0x5a86b1(0x786),'utcOffset':0x3c,'offsetStr':_0x5a86b1(0x1616),'countries':['MC']},{'name':'Europe/Chisinau','utcOffset':0x78,'offsetStr':_0x5a86b1(0x101b),'countries':['MD']},{'name':_0x5a86b1(0x1c17),'utcOffset':0x2d0,'offsetStr':_0x5a86b1(0x53f),'countries':['MH']},{'name':'Pacific/Kwajalein','utcOffset':0x2d0,'offsetStr':_0x5a86b1(0x53f),'countries':['MH']},{'name':_0x5a86b1(0x1ee),'utcOffset':0x186,'offsetStr':'+06:30','countries':['MM']},{'name':_0x5a86b1(0x46f),'utcOffset':0x1e0,'offsetStr':_0x5a86b1(0xea7),'countries':['MN']},{'name':'Asia/Hovd','utcOffset':0x1a4,'offsetStr':'+07:00','countries':['MN']},{'name':'Asia/Choibalsan','utcOffset':0x1e0,'offsetStr':_0x5a86b1(0xea7),'countries':['MN']},{'name':'Asia/Macau','utcOffset':0x1e0,'offsetStr':_0x5a86b1(0xea7),'countries':['MO']},{'name':_0x5a86b1(0x1675),'utcOffset':-0xf0,'offsetStr':_0x5a86b1(0xaba),'countries':['MQ']},{'name':'Europe/Malta','utcOffset':0x3c,'offsetStr':_0x5a86b1(0x1616),'countries':['MT']},{'name':'Indian/Mauritius','utcOffset':0xf0,'offsetStr':_0x5a86b1(0x1e77),'countries':['MU']},{'name':'Indian/Maldives','utcOffset':0x12c,'offsetStr':_0x5a86b1(0x1ba6),'countries':['MV']},{'name':_0x5a86b1(0x2576),'utcOffset':-0x168,'offsetStr':'-06:00','countries':['MX']},{'name':_0x5a86b1(0x228),'utcOffset':-0x12c,'offsetStr':_0x5a86b1(0x1c0d),'countries':['MX']},{'name':'America/Merida','utcOffset':-0x168,'offsetStr':_0x5a86b1(0x22a9),'countries':['MX']},{'name':'America/Monterrey','utcOffset':-0x168,'offsetStr':_0x5a86b1(0x22a9),'countries':['MX']},{'name':_0x5a86b1(0xd43),'utcOffset':-0x168,'offsetStr':_0x5a86b1(0x22a9),'countries':['MX']},{'name':_0x5a86b1(0x2909),'utcOffset':-0x1a4,'offsetStr':'-07:00','countries':['MX']},{'name':_0x5a86b1(0x19f8),'utcOffset':-0x1a4,'offsetStr':_0x5a86b1(0x2f6),'countries':['MX']},{'name':_0x5a86b1(0x2314),'utcOffset':-0x1a4,'offsetStr':_0x5a86b1(0x2f6),'countries':['MX']},{'name':'America/Hermosillo','utcOffset':-0x1a4,'offsetStr':'-07:00','countries':['MX']},{'name':'America/Tijuana','utcOffset':-0x1e0,'offsetStr':_0x5a86b1(0x185e),'countries':['MX']},{'name':'America/Santa_Isabel','utcOffset':-0x1e0,'offsetStr':_0x5a86b1(0x185e),'countries':['MX']},{'name':'America/Bahia_Banderas','utcOffset':-0x168,'offsetStr':_0x5a86b1(0x22a9),'countries':['MX']},{'name':_0x5a86b1(0x2892),'utcOffset':0x1e0,'offsetStr':'+08:00','countries':['MY']},{'name':'Asia/Kuching','utcOffset':0x1e0,'offsetStr':_0x5a86b1(0xea7),'countries':['MY']},{'name':'Africa/Maputo','utcOffset':0x78,'offsetStr':_0x5a86b1(0x101b),'countries':['MZ','BI','BW','CD','MW','RW','ZM','ZW']},{'name':'Africa/Windhoek','utcOffset':0x78,'offsetStr':_0x5a86b1(0x101b),'countries':['NA']},{'name':_0x5a86b1(0x2235),'utcOffset':0x294,'offsetStr':_0x5a86b1(0x2311),'countries':['NC']},{'name':_0x5a86b1(0x1532),'utcOffset':0x294,'offsetStr':_0x5a86b1(0x2311),'countries':['NF']},{'name':'Africa/Lagos','utcOffset':0x3c,'offsetStr':_0x5a86b1(0x1616),'countries':['NG','AO','BJ','CD','CF','CG','CM','GA','GQ','NE']},{'name':'America/Managua','utcOffset':-0x168,'offsetStr':_0x5a86b1(0x22a9),'countries':['NI']},{'name':_0x5a86b1(0x243b),'utcOffset':0x3c,'offsetStr':'+01:00','countries':['NL']},{'name':_0x5a86b1(0xe17),'utcOffset':0x3c,'offsetStr':_0x5a86b1(0x1616),'countries':['NO','SJ']},{'name':'Asia/Kathmandu','utcOffset':0x159,'offsetStr':'+05:45','countries':['NP']},{'name':'Pacific/Nauru','utcOffset':0x2d0,'offsetStr':_0x5a86b1(0x53f),'countries':['NR']},{'name':'Pacific/Niue','utcOffset':-0x294,'offsetStr':_0x5a86b1(0x355),'countries':['NU']},{'name':'Pacific/Auckland','utcOffset':0x30c,'offsetStr':_0x5a86b1(0x2531),'countries':['NZ','AQ']},{'name':'Pacific/Chatham','utcOffset':0x339,'offsetStr':_0x5a86b1(0x1d03),'countries':['NZ']},{'name':_0x5a86b1(0x1ab4),'utcOffset':-0x12c,'offsetStr':'-05:00','countries':['PA']},{'name':_0x5a86b1(0x1d30),'utcOffset':-0x12c,'offsetStr':'-05:00','countries':['PE']},{'name':'Pacific/Tahiti','utcOffset':-0x258,'offsetStr':_0x5a86b1(0x11f5),'countries':['PF']},{'name':_0x5a86b1(0x1ce),'utcOffset':-0x23a,'offsetStr':_0x5a86b1(0x2732),'countries':['PF']},{'name':_0x5a86b1(0x1800),'utcOffset':-0x21c,'offsetStr':_0x5a86b1(0x1ffb),'countries':['PF']},{'name':_0x5a86b1(0x2105),'utcOffset':0x258,'offsetStr':_0x5a86b1(0x15f),'countries':['PG']},{'name':_0x5a86b1(0xc14),'utcOffset':0x294,'offsetStr':_0x5a86b1(0x2311),'countries':['PG']},{'name':_0x5a86b1(0x21f0),'utcOffset':0x1e0,'offsetStr':_0x5a86b1(0xea7),'countries':['PH']},{'name':_0x5a86b1(0x2590),'utcOffset':0x12c,'offsetStr':'+05:00','countries':['PK']},{'name':_0x5a86b1(0x7c4),'utcOffset':0x3c,'offsetStr':_0x5a86b1(0x1616),'countries':['PL']},{'name':_0x5a86b1(0xad1),'utcOffset':-0xb4,'offsetStr':'-03:00','countries':['PM']},{'name':'Pacific/Pitcairn','utcOffset':-0x1e0,'offsetStr':_0x5a86b1(0x185e),'countries':['PN']},{'name':'America/Puerto_Rico','utcOffset':-0xf0,'offsetStr':'-04:00','countries':['PR']},{'name':_0x5a86b1(0x2876),'utcOffset':0x78,'offsetStr':'+02:00','countries':['PS']},{'name':'Asia/Hebron','utcOffset':0x78,'offsetStr':_0x5a86b1(0x101b),'countries':['PS']},{'name':'Europe/Lisbon','utcOffset':0x0,'offsetStr':'+00:00','countries':['PT']},{'name':_0x5a86b1(0x1e0a),'utcOffset':0x0,'offsetStr':'+00:00','countries':['PT']},{'name':_0x5a86b1(0x1a42),'utcOffset':-0x3c,'offsetStr':_0x5a86b1(0x1140),'countries':['PT']},{'name':_0x5a86b1(0xb88),'utcOffset':0x21c,'offsetStr':_0x5a86b1(0xe9),'countries':['PW']},{'name':_0x5a86b1(0x27a3),'utcOffset':-0xb4,'offsetStr':_0x5a86b1(0x1504),'countries':['PY']},{'name':_0x5a86b1(0x230c),'utcOffset':0xb4,'offsetStr':'+03:00','countries':['QA','BH']},{'name':'Indian/Reunion','utcOffset':0xf0,'offsetStr':_0x5a86b1(0x1e77),'countries':['RE','TF']},{'name':_0x5a86b1(0x1bc7),'utcOffset':0x78,'offsetStr':_0x5a86b1(0x101b),'countries':['RO']},{'name':_0x5a86b1(0x23b8),'utcOffset':0x3c,'offsetStr':_0x5a86b1(0x1616),'countries':['RS','BA','HR','ME','MK','SI']},{'name':'Europe/Kaliningrad','utcOffset':0x78,'offsetStr':'+02:00','countries':['RU']},{'name':_0x5a86b1(0x100),'utcOffset':0xb4,'offsetStr':_0x5a86b1(0x16f1),'countries':['RU']},{'name':_0x5a86b1(0x1de1),'utcOffset':0xb4,'offsetStr':_0x5a86b1(0x16f1),'countries':['RU']},{'name':_0x5a86b1(0xd62),'utcOffset':0xb4,'offsetStr':'+03:00','countries':['RU']},{'name':_0x5a86b1(0xe08),'utcOffset':0xf0,'offsetStr':_0x5a86b1(0x1e77),'countries':['RU']},{'name':_0x5a86b1(0x1aa0),'utcOffset':0x12c,'offsetStr':_0x5a86b1(0x1ba6),'countries':['RU']},{'name':'Asia/Omsk','utcOffset':0x168,'offsetStr':_0x5a86b1(0x1cd1),'countries':['RU']},{'name':_0x5a86b1(0xba7),'utcOffset':0x168,'offsetStr':'+06:00','countries':['RU']},{'name':_0x5a86b1(0x162),'utcOffset':0x1a4,'offsetStr':'+07:00','countries':['RU']},{'name':'Asia/Krasnoyarsk','utcOffset':0x1a4,'offsetStr':_0x5a86b1(0x1fa),'countries':['RU']},{'name':_0x5a86b1(0x164e),'utcOffset':0x1e0,'offsetStr':_0x5a86b1(0xea7),'countries':['RU']},{'name':_0x5a86b1(0x908),'utcOffset':0x1e0,'offsetStr':_0x5a86b1(0xea7),'countries':['RU']},{'name':_0x5a86b1(0x649),'utcOffset':0x21c,'offsetStr':_0x5a86b1(0xe9),'countries':['RU']},{'name':_0x5a86b1(0x263b),'utcOffset':0x21c,'offsetStr':_0x5a86b1(0xe9),'countries':['RU']},{'name':_0x5a86b1(0x2404),'utcOffset':0x258,'offsetStr':_0x5a86b1(0x15f),'countries':['RU']},{'name':'Asia/Sakhalin','utcOffset':0x258,'offsetStr':_0x5a86b1(0x15f),'countries':['RU']},{'name':_0x5a86b1(0xbac),'utcOffset':0x258,'offsetStr':'+10:00','countries':['RU']},{'name':_0x5a86b1(0x15a2),'utcOffset':0x258,'offsetStr':'+10:00','countries':['RU']},{'name':_0x5a86b1(0x22e5),'utcOffset':0x294,'offsetStr':'+11:00','countries':['RU']},{'name':_0x5a86b1(0x205a),'utcOffset':0x2d0,'offsetStr':_0x5a86b1(0x53f),'countries':['RU']},{'name':_0x5a86b1(0x10d1),'utcOffset':0x2d0,'offsetStr':'+12:00','countries':['RU']},{'name':_0x5a86b1(0x179),'utcOffset':0xb4,'offsetStr':_0x5a86b1(0x16f1),'countries':['SA','KW','YE']},{'name':_0x5a86b1(0x1a34),'utcOffset':0x294,'offsetStr':'+11:00','countries':['SB']},{'name':_0x5a86b1(0x115e),'utcOffset':0xf0,'offsetStr':_0x5a86b1(0x1e77),'countries':['SC']},{'name':_0x5a86b1(0x2085),'utcOffset':0xb4,'offsetStr':_0x5a86b1(0x16f1),'countries':['SD','SS']},{'name':_0x5a86b1(0x669),'utcOffset':0x3c,'offsetStr':_0x5a86b1(0x1616),'countries':['SE']},{'name':_0x5a86b1(0x15a5),'utcOffset':0x1e0,'offsetStr':'+08:00','countries':['SG']},{'name':_0x5a86b1(0x270f),'utcOffset':-0xb4,'offsetStr':_0x5a86b1(0x1504),'countries':['SR']},{'name':'America/El_Salvador','utcOffset':-0x168,'offsetStr':_0x5a86b1(0x22a9),'countries':['SV']},{'name':_0x5a86b1(0x35d),'utcOffset':0x78,'offsetStr':_0x5a86b1(0x101b),'countries':['SY']},{'name':_0x5a86b1(0x27bb),'utcOffset':-0xf0,'offsetStr':_0x5a86b1(0xaba),'countries':['TC']},{'name':_0x5a86b1(0x1cb4),'utcOffset':0x3c,'offsetStr':_0x5a86b1(0x1616),'countries':['TD']},{'name':_0x5a86b1(0x1a3f),'utcOffset':0x12c,'offsetStr':'+05:00','countries':['TF']},{'name':_0x5a86b1(0x1747),'utcOffset':0x1a4,'offsetStr':_0x5a86b1(0x1fa),'countries':['TH','KH','LA','VN']},{'name':'Asia/Dushanbe','utcOffset':0x12c,'offsetStr':_0x5a86b1(0x1ba6),'countries':['TJ']},{'name':_0x5a86b1(0x12e4),'utcOffset':0x30c,'offsetStr':_0x5a86b1(0x2531),'countries':['TK']},{'name':_0x5a86b1(0x17a7),'utcOffset':0x21c,'offsetStr':'+09:00','countries':['TL']},{'name':_0x5a86b1(0x11a2),'utcOffset':0x12c,'offsetStr':_0x5a86b1(0x1ba6),'countries':['TM']},{'name':_0x5a86b1(0x14f5),'utcOffset':0x3c,'offsetStr':_0x5a86b1(0x1616),'countries':['TN']},{'name':_0x5a86b1(0xba9),'utcOffset':0x30c,'offsetStr':_0x5a86b1(0x2531),'countries':['TO']},{'name':_0x5a86b1(0x7fe),'utcOffset':0x78,'offsetStr':_0x5a86b1(0x101b),'countries':['TR']},{'name':_0x5a86b1(0x8c1),'utcOffset':-0xf0,'offsetStr':_0x5a86b1(0xaba),'countries':['TT','AG','AI','BL','DM','GD','GP','KN','LC','MF','MS','VC','VG','VI']},{'name':'Pacific/Funafuti','utcOffset':0x2d0,'offsetStr':_0x5a86b1(0x53f),'countries':['TV']},{'name':_0x5a86b1(0x2681),'utcOffset':0x1e0,'offsetStr':_0x5a86b1(0xea7),'countries':['TW']},{'name':_0x5a86b1(0x15c),'utcOffset':0x78,'offsetStr':'+02:00','countries':['UA']},{'name':_0x5a86b1(0x268),'utcOffset':0x78,'offsetStr':_0x5a86b1(0x101b),'countries':['UA']},{'name':'Europe/Zaporozhye','utcOffset':0x78,'offsetStr':'+02:00','countries':['UA']},{'name':_0x5a86b1(0x15ee),'utcOffset':0x2d0,'offsetStr':_0x5a86b1(0x53f),'countries':['UM']},{'name':_0x5a86b1(0x24c0),'utcOffset':-0x12c,'offsetStr':_0x5a86b1(0x1c0d),'countries':['US']},{'name':_0x5a86b1(0x4ce),'utcOffset':-0x12c,'offsetStr':_0x5a86b1(0x1c0d),'countries':['US']},{'name':_0x5a86b1(0x27e9),'utcOffset':-0x12c,'offsetStr':_0x5a86b1(0x1c0d),'countries':['US']},{'name':_0x5a86b1(0x28b1),'utcOffset':-0x12c,'offsetStr':_0x5a86b1(0x1c0d),'countries':['US']},{'name':_0x5a86b1(0xd0f),'utcOffset':-0x12c,'offsetStr':'-05:00','countries':['US']},{'name':_0x5a86b1(0x1d9),'utcOffset':-0x12c,'offsetStr':'-05:00','countries':['US']},{'name':'America/Indiana/Winamac','utcOffset':-0x12c,'offsetStr':_0x5a86b1(0x1c0d),'countries':['US']},{'name':_0x5a86b1(0x4ae),'utcOffset':-0x12c,'offsetStr':_0x5a86b1(0x1c0d),'countries':['US']},{'name':_0x5a86b1(0x125),'utcOffset':-0x12c,'offsetStr':_0x5a86b1(0x1c0d),'countries':['US']},{'name':'America/Indiana/Vevay','utcOffset':-0x12c,'offsetStr':'-05:00','countries':['US']},{'name':_0x5a86b1(0x20e4),'utcOffset':-0x168,'offsetStr':_0x5a86b1(0x22a9),'countries':['US']},{'name':'America/Indiana/Tell_City','utcOffset':-0x168,'offsetStr':_0x5a86b1(0x22a9),'countries':['US']},{'name':_0x5a86b1(0x2341),'utcOffset':-0x168,'offsetStr':_0x5a86b1(0x22a9),'countries':['US']},{'name':_0x5a86b1(0x26a4),'utcOffset':-0x168,'offsetStr':_0x5a86b1(0x22a9),'countries':['US']},{'name':'America/North_Dakota/Center','utcOffset':-0x168,'offsetStr':'-06:00','countries':['US']},{'name':_0x5a86b1(0xbd3),'utcOffset':-0x168,'offsetStr':'-06:00','countries':['US']},{'name':_0x5a86b1(0x715),'utcOffset':-0x168,'offsetStr':_0x5a86b1(0x22a9),'countries':['US']},{'name':_0x5a86b1(0xf5e),'utcOffset':-0x1a4,'offsetStr':_0x5a86b1(0x2f6),'countries':['US']},{'name':_0x5a86b1(0x149),'utcOffset':-0x1a4,'offsetStr':'-07:00','countries':['US']},{'name':'America/Phoenix','utcOffset':-0x1a4,'offsetStr':_0x5a86b1(0x2f6),'countries':['US']},{'name':_0x5a86b1(0xc81),'utcOffset':-0x1e0,'offsetStr':_0x5a86b1(0x185e),'countries':['US']},{'name':_0x5a86b1(0x1193),'utcOffset':-0x1e0,'offsetStr':'-08:00','countries':['US']},{'name':_0x5a86b1(0x16e0),'utcOffset':-0x21c,'offsetStr':_0x5a86b1(0x1ffb),'countries':['US']},{'name':'America/Juneau','utcOffset':-0x21c,'offsetStr':'-09:00','countries':['US']},{'name':_0x5a86b1(0x26fa),'utcOffset':-0x21c,'offsetStr':_0x5a86b1(0x1ffb),'countries':['US']},{'name':'America/Yakutat','utcOffset':-0x21c,'offsetStr':_0x5a86b1(0x1ffb),'countries':['US']},{'name':_0x5a86b1(0x2c8),'utcOffset':-0x21c,'offsetStr':_0x5a86b1(0x1ffb),'countries':['US']},{'name':_0x5a86b1(0x1078),'utcOffset':-0x258,'offsetStr':_0x5a86b1(0x11f5),'countries':['US']},{'name':_0x5a86b1(0x27b2),'utcOffset':-0x258,'offsetStr':_0x5a86b1(0x11f5),'countries':['US','UM']},{'name':_0x5a86b1(0x262f),'utcOffset':-0xb4,'offsetStr':_0x5a86b1(0x1504),'countries':['UY']},{'name':'Asia/Samarkand','utcOffset':0x12c,'offsetStr':_0x5a86b1(0x1ba6),'countries':['UZ']},{'name':_0x5a86b1(0x2ae),'utcOffset':0x12c,'offsetStr':_0x5a86b1(0x1ba6),'countries':['UZ']},{'name':_0x5a86b1(0x237d),'utcOffset':-0x10e,'offsetStr':_0x5a86b1(0x928),'countries':['VE']},{'name':_0x5a86b1(0x1408),'utcOffset':0x1a4,'offsetStr':_0x5a86b1(0x1fa),'countries':['VN']},{'name':_0x5a86b1(0x1dce),'utcOffset':0x294,'offsetStr':_0x5a86b1(0x2311),'countries':['VU']},{'name':_0x5a86b1(0x2726),'utcOffset':0x2d0,'offsetStr':_0x5a86b1(0x53f),'countries':['WF']},{'name':_0x5a86b1(0x1ae5),'utcOffset':0x348,'offsetStr':_0x5a86b1(0x5d9),'countries':['WS']},{'name':_0x5a86b1(0x293a),'utcOffset':0x78,'offsetStr':_0x5a86b1(0x101b),'countries':['ZA','LS','SZ']}];}],'templateUrl':_0x3384d4};}const _0x49fc36=_0x4f39ff;;function _0x24b0a3(){const _0x16920d=_0x313a4d,_0x30c91b=this;_0x30c91b[_0x16920d(0x1dd6)]=[],_0x30c91b[_0x16920d(0xb79)]=![],_0x30c91b[_0x16920d(0x498)]=0x14;function _0x37c286(_0x57ce2b){const _0x48b906=_0x16920d;let _0x551c0c=0x0;if(_0x57ce2b){_0x30c91b[_0x48b906(0x498)]=_0x57ce2b[_0x48b906(0x63a)][0x2]&&_0x57ce2b['attrs'][0x2]['value']?parseInt(_0x57ce2b[_0x48b906(0x63a)][0x2][_0x48b906(0x175d)])-parseInt(_0x57ce2b[_0x48b906(0x63a)][0x2][_0x48b906(0x175d)])%0x2:0x14;_0x57ce2b[_0x48b906(0x63a)][0x1][_0x48b906(0x175d)]&&_0x57ce2b['attrs'][0x1][_0x48b906(0x175d)]['length']?(_0x30c91b[_0x48b906(0xb79)]=!![],_0x30c91b[_0x48b906(0x1dd6)]=_0x3f65c0()[_0x48b906(0x1be4)](_0x57ce2b[_0x48b906(0x131b)],_0x57ce2b[_0x48b906(0x63a)][0x1][_0x48b906(0x175d)])):(_0x30c91b['filtered']=![],_0x30c91b[_0x48b906(0x1dd6)]=_0x57ce2b['voiceQueuesSelected']);for(let _0x3d02c9=0x0;_0x3d02c9<_0x57ce2b[_0x48b906(0xa27)][_0x48b906(0x19c7)][_0x48b906(0x402)];_0x3d02c9+=0x1){_0x3f65c0()[_0x48b906(0xa4e)](_0x30c91b['filter'],_0x57ce2b[_0x48b906(0xa27)][_0x48b906(0x19c7)][_0x3d02c9]['id'])&&(_0x551c0c+=_0x57ce2b[_0x48b906(0xa27)][_0x48b906(0x19c7)][_0x3d02c9][_0x57ce2b['attrs'][0x0][_0x48b906(0x175d)]]?parseInt(_0x57ce2b[_0x48b906(0xa27)][_0x48b906(0x19c7)][_0x3d02c9][_0x57ce2b[_0x48b906(0x63a)][0x0][_0x48b906(0x175d)]]):0x0);}}return _0x551c0c;}_0x30c91b[_0x16920d(0x25cf)]=_0x37c286;}const _0x1f567b=_0x24b0a3;;_0x49de48[_0x313a4d(0x11c2)]=[_0x313a4d(0x214b)];function _0x49de48(_0x29eac0){const _0x5d682d=_0x313a4d,_0x55f5dc=this;_0x55f5dc[_0x5d682d(0x1dd6)]=[],_0x55f5dc[_0x5d682d(0xb79)]=![],_0x55f5dc[_0x5d682d(0x1063)]=[],_0x55f5dc[_0x5d682d(0x5a1)]={'labels':[_0x5d682d(0x340)],'series':['TOTAL'],'data':[[0x32]],'options':{'responsive':!![],'legend':{'display':!![],'fontColor':_0x5d682d(0x171e)},'scales':{'xAxes':[{'gridLines':{'display':!![]},'ticks':{'fontColor':_0x5d682d(0x171e),'stepSize':0x1,'beginAtZero':!![]}}],'yAxes':[{'gridLines':{'display':![]},'ticks':{'fontColor':_0x5d682d(0x171e)}}]}}};function _0x591cc0(_0x599373){const _0x2a5e99=_0x5d682d;_0x55f5dc['properties']=_0x3f65c0()[_0x2a5e99(0x205)](_0x3f65c0()[_0x2a5e99(0x1dd6)](_0x599373[_0x2a5e99(0x63a)],function(_0x17ff51){const _0x3e8279=_0x2a5e99;return _0x17ff51[_0x3e8279(0x19eb)]&&_0x17ff51[_0x3e8279(0x19eb)][_0x3e8279(0x172b)](_0x3e8279(0xe33))>=0x0&&_0x17ff51[_0x3e8279(0x175d)]&&_0x17ff51[_0x3e8279(0x175d)]!==_0x3e8279(0x203c);}),_0x2a5e99(0x175d));_0x599373[_0x2a5e99(0x63a)][0x3][_0x2a5e99(0x175d)]&&_0x599373['attrs'][0x3][_0x2a5e99(0x175d)][_0x2a5e99(0x402)]?(_0x55f5dc['filtered']=!![],_0x55f5dc['filter']=_0x3f65c0()[_0x2a5e99(0x1be4)](_0x599373['voiceQueuesSelected'],_0x599373[_0x2a5e99(0x63a)][0x3][_0x2a5e99(0x175d)])):(_0x55f5dc[_0x2a5e99(0xb79)]=![],_0x55f5dc[_0x2a5e99(0x1dd6)]=_0x599373['voiceQueuesSelected']);if(_0x55f5dc['multiBarChart'][_0x2a5e99(0x293)][_0x2a5e99(0x402)]>_0x55f5dc['properties'][_0x2a5e99(0x402)]){const _0x40f053=_0x55f5dc[_0x2a5e99(0x5a1)][_0x2a5e99(0x293)]['length']-_0x55f5dc[_0x2a5e99(0x1063)][_0x2a5e99(0x402)];_0x55f5dc['multiBarChart'][_0x2a5e99(0x293)][_0x2a5e99(0x1f7d)](0x0,_0x40f053),_0x55f5dc['multiBarChart'][_0x2a5e99(0x524)][_0x2a5e99(0x1f7d)](0x0,_0x40f053);}for(let _0x1edc63=0x0;_0x1edc63<_0x55f5dc[_0x2a5e99(0x1063)]['length'];_0x1edc63+=0x1){_0x55f5dc[_0x2a5e99(0x5a1)]['series'][_0x1edc63]=_0x29eac0[_0x2a5e99(0xde)](_0x2a5e99(0x14d9)+_0x55f5dc[_0x2a5e99(0x1063)][_0x1edc63][_0x2a5e99(0x1c37)]());_0x3f65c0()['isNil'](_0x55f5dc[_0x2a5e99(0x5a1)]['data'][_0x1edc63])&&(_0x55f5dc[_0x2a5e99(0x5a1)][_0x2a5e99(0x524)][_0x1edc63]=[]);if(_0x55f5dc[_0x2a5e99(0x5a1)]['labels'][_0x2a5e99(0x402)]>_0x55f5dc[_0x2a5e99(0x1dd6)][_0x2a5e99(0x402)]){const _0x226bf6=_0x55f5dc[_0x2a5e99(0x5a1)]['labels']['length']-_0x55f5dc['filter'][_0x2a5e99(0x402)];_0x55f5dc[_0x2a5e99(0x5a1)][_0x2a5e99(0x6ea)][_0x2a5e99(0x1f7d)](0x0,_0x226bf6),_0x55f5dc[_0x2a5e99(0x5a1)][_0x2a5e99(0x524)][_0x1edc63][_0x2a5e99(0x1f7d)](0x0,_0x226bf6);}for(let _0x4402f8=0x0,_0x2ddb6b=0x0;_0x4402f8<_0x599373[_0x2a5e99(0xa27)][_0x2a5e99(0x19c7)][_0x2a5e99(0x402)];_0x4402f8+=0x1){_0x3f65c0()[_0x2a5e99(0xa4e)](_0x55f5dc[_0x2a5e99(0x1dd6)],_0x599373[_0x2a5e99(0xa27)][_0x2a5e99(0x19c7)][_0x4402f8]['id'])&&(_0x55f5dc[_0x2a5e99(0x5a1)][_0x2a5e99(0x6ea)][_0x2ddb6b]=_0x599373[_0x2a5e99(0xa27)][_0x2a5e99(0x19c7)][_0x4402f8][_0x2a5e99(0x19eb)],_0x55f5dc[_0x2a5e99(0x5a1)]['data'][_0x1edc63][_0x2ddb6b]=_0x599373[_0x2a5e99(0xa27)][_0x2a5e99(0x19c7)][_0x4402f8][_0x55f5dc[_0x2a5e99(0x1063)][_0x1edc63]]?parseInt(_0x599373[_0x2a5e99(0xa27)]['rows'][_0x4402f8][_0x55f5dc['properties'][_0x1edc63]]):0x0,_0x2ddb6b+=0x1);}}}function _0x1274fb(_0x27ad88){const _0x49f45b=_0x5d682d;_0x55f5dc[_0x49f45b(0x5a1)][_0x49f45b(0xa08)][_0x49f45b(0x1145)][_0x49f45b(0xc6b)]=_0x27ad88['foreground'];for(let _0x367202=0x0;_0x367202<_0x55f5dc[_0x49f45b(0x5a1)][_0x49f45b(0xa08)][_0x49f45b(0x28b6)][_0x49f45b(0x14f7)][_0x49f45b(0x402)];_0x367202+=0x1){_0x55f5dc[_0x49f45b(0x5a1)]['options'][_0x49f45b(0x28b6)][_0x49f45b(0x14f7)][_0x367202][_0x49f45b(0x1094)][_0x49f45b(0xc6b)]=_0x27ad88[_0x49f45b(0x820)];}for(let _0x1ece77=0x0;_0x1ece77<_0x55f5dc[_0x49f45b(0x5a1)][_0x49f45b(0xa08)][_0x49f45b(0x28b6)][_0x49f45b(0xba3)][_0x49f45b(0x402)];_0x1ece77+=0x1){_0x55f5dc[_0x49f45b(0x5a1)]['options'][_0x49f45b(0x28b6)][_0x49f45b(0xba3)][_0x1ece77][_0x49f45b(0x1094)][_0x49f45b(0xc6b)]=_0x27ad88[_0x49f45b(0x820)];}}function _0x328586(_0x4e0d28){const _0x11c161=_0x5d682d;_0x4e0d28&&(_0x4e0d28[_0x11c161(0x63a)]&&_0x591cc0(_0x4e0d28),_0x4e0d28[_0x11c161(0x820)]&&_0x1274fb(_0x4e0d28));}_0x55f5dc['getMultiBarChart']=_0x328586;}const _0x350d7c=_0x49de48;;_0x737e[_0x313a4d(0x11c2)]=[_0x313a4d(0x214b)];function _0x737e(_0x55ff48){const _0xef992=_0x313a4d,_0x2005c9=this;_0x2005c9['filter']=[],_0x2005c9[_0xef992(0xb79)]=![],_0x2005c9['properties']=[],_0x2005c9['pieChart']={'labels':[_0xef992(0x1a52)],'data':[0x1e],'options':{'responsive':!![],'legend':{'display':!![]}}};function _0x20a722(_0x566299){const _0x4ba6cc=_0xef992;_0x2005c9[_0x4ba6cc(0x1063)]=_0x3f65c0()[_0x4ba6cc(0x205)](_0x3f65c0()[_0x4ba6cc(0x1dd6)](_0x566299[_0x4ba6cc(0x63a)],function(_0x29f2bb){const _0x347d45=_0x4ba6cc;return _0x29f2bb[_0x347d45(0x19eb)]&&_0x29f2bb[_0x347d45(0x19eb)][_0x347d45(0x172b)]('attrSerie')>=0x0&&_0x29f2bb[_0x347d45(0x175d)]&&_0x29f2bb[_0x347d45(0x175d)]!=='null';}),_0x4ba6cc(0x175d));_0x566299['attrs'][0x3]['value']&&_0x566299['attrs'][0x3][_0x4ba6cc(0x175d)]['length']?(_0x2005c9['filtered']=!![],_0x2005c9[_0x4ba6cc(0x1dd6)]=_0x3f65c0()['intersection'](_0x566299[_0x4ba6cc(0x131b)],_0x566299['attrs'][0x3][_0x4ba6cc(0x175d)])):(_0x2005c9['filtered']=![],_0x2005c9['filter']=_0x566299['voiceQueuesSelected']);if(_0x2005c9['pieChart'][_0x4ba6cc(0x6ea)][_0x4ba6cc(0x402)]>_0x2005c9['properties'][_0x4ba6cc(0x402)]){const _0x4ececa=_0x2005c9[_0x4ba6cc(0x6f0)]['labels'][_0x4ba6cc(0x402)]-_0x2005c9[_0x4ba6cc(0x1063)][_0x4ba6cc(0x402)];_0x2005c9['pieChart']['labels'][_0x4ba6cc(0x1f7d)](0x0,_0x4ececa),_0x2005c9[_0x4ba6cc(0x6f0)][_0x4ba6cc(0x524)][_0x4ba6cc(0x1f7d)](0x0,_0x4ececa);}for(let _0x27ad31=0x0,_0x57e3ad=0x0;_0x27ad31<_0x2005c9['properties'][_0x4ba6cc(0x402)];_0x27ad31+=0x1){_0x2005c9[_0x4ba6cc(0x6f0)][_0x4ba6cc(0x6ea)][_0x27ad31]=_0x55ff48[_0x4ba6cc(0xde)]('TOOLS.'+_0x2005c9[_0x4ba6cc(0x1063)][_0x27ad31][_0x4ba6cc(0x1c37)]());for(let _0x20ae26=0x0;_0x20ae26<_0x566299[_0x4ba6cc(0xa27)]['rows'][_0x4ba6cc(0x402)];_0x20ae26+=0x1){_0x3f65c0()[_0x4ba6cc(0xa4e)](_0x2005c9[_0x4ba6cc(0x1dd6)],_0x566299[_0x4ba6cc(0xa27)][_0x4ba6cc(0x19c7)][_0x20ae26]['id'])&&(_0x57e3ad+=_0x566299['voiceQueues']['rows'][_0x20ae26][_0x2005c9[_0x4ba6cc(0x1063)][_0x27ad31]]?parseInt(_0x566299[_0x4ba6cc(0xa27)]['rows'][_0x20ae26][_0x2005c9[_0x4ba6cc(0x1063)][_0x27ad31]]):0x0);}_0x2005c9['pieChart'][_0x4ba6cc(0x524)][_0x27ad31]=_0x57e3ad,_0x57e3ad=0x0;}}function _0x34a07a(_0x1499ad){const _0x4cce00=_0xef992;_0x2005c9[_0x4cce00(0x6f0)][_0x4cce00(0xa08)][_0x4cce00(0x1145)]['fontColor']=_0x1499ad[_0x4cce00(0x820)];}function _0x4a78ec(_0x3b42d1){const _0x474225=_0xef992;_0x3b42d1&&(_0x3b42d1[_0x474225(0x63a)]&&_0x20a722(_0x3b42d1),_0x3b42d1[_0x474225(0x820)]&&_0x34a07a(_0x3b42d1));}_0x2005c9[_0xef992(0xcbc)]=_0x4a78ec;}const _0x47edcb=_0x737e;;_0x2c623c[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$timeout',_0x313a4d(0xa0a),_0x313a4d(0x247f)];function _0x2c623c(_0x1f62f6,_0x2f11e0,_0xfdeea4,_0x982d40){const _0x5e02a1=_0x313a4d,_0x12616c=this;_0x12616c[_0x5e02a1(0x1b00)]=0x0,_0x12616c[_0x5e02a1(0x258a)]=0x0,_0x12616c[_0x5e02a1(0x1189)]='',_0x12616c[_0x5e02a1(0x194f)]=[],_0x12616c[_0x5e02a1(0x943)]={'rows':[],'count':0x0},_0x12616c[_0x5e02a1(0x1a56)]={'limit':0xa,'page':0x1,'startDate':_0x2deec6()()[_0x5e02a1(0x1fcc)](_0x5e02a1(0x1066))[_0x5e02a1(0x22b0)](_0x5e02a1(0x1ce2)),'endDate':_0x2deec6()()[_0x5e02a1(0x824)]('day')['format'](_0x5e02a1(0x1ce2)),'output':_0x5e02a1(0x1c63)},_0x12616c['onInit']=_0x4b2e2c,_0x12616c[_0x5e02a1(0x1198)]=_0x1ae1b2,_0x12616c['valueReplacer']=_0x38a946;function _0x4b2e2c(_0x4a135a){const _0x2e5367=_0x5e02a1;_0x4a135a&&(_0x4a135a['attrs']&&(_0x4a135a[_0x2e5367(0x63a)][0x0]&&_0x4a135a[_0x2e5367(0x63a)][0x0][_0x2e5367(0x175d)]&&(_0x12616c[_0x2e5367(0x1a56)]['id']=_0x4a135a['attrs'][0x0][_0x2e5367(0x175d)],_0x982d40['analyticFieldReport'][_0x2e5367(0x16b4)]({'fields':_0x2e5367(0x2545),'nolimit':!![],'DefaultReportId':_0x4a135a[_0x2e5367(0x63a)][0x0][_0x2e5367(0x1142)]===_0x2e5367(0x13b1)?_0x4a135a[_0x2e5367(0x63a)][0x0][_0x2e5367(0x175d)]:undefined,'CustomReportId':_0x4a135a['attrs'][0x0][_0x2e5367(0x1142)]===_0x2e5367(0x1802)?_0x4a135a[_0x2e5367(0x63a)][0x0]['value']:undefined})[_0x2e5367(0x2945)][_0x2e5367(0x146b)](function(_0x50afc8){const _0x30259c=_0x2e5367;_0x50afc8&&_0x50afc8[_0x30259c(0x19c7)]&&(_0x12616c[_0x30259c(0x194f)]=_0x50afc8['rows']);switch(_0x4a135a[_0x30259c(0x63a)][0x0][_0x30259c(0x1142)]){case'default':_0x12616c[_0x30259c(0x1ad8)]=_0x30259c(0x20fa);break;case _0x30259c(0x1802):_0x12616c[_0x30259c(0x1ad8)]=_0x30259c(0x12a5);break;}return _0x982d40[_0x12616c[_0x30259c(0x1ad8)]][_0x30259c(0x16b4)]({'id':_0x4a135a['attrs'][0x0][_0x30259c(0x175d)],'fields':_0x30259c(0x7a7)})[_0x30259c(0x2945)];})[_0x2e5367(0x146b)](function(_0x43a6ab){const _0x16a695=_0x2e5367;_0x43a6ab&&_0x43a6ab['name']&&(_0x12616c[_0x16a695(0x1189)]=_0x43a6ab[_0x16a695(0x19eb)]),_0x1ae1b2();})['catch'](function(_0x26d98b){console['log'](_0x26d98b);})),_0x4a135a[_0x2e5367(0x63a)][0x1]&&_0x4a135a[_0x2e5367(0x63a)][0x1][_0x2e5367(0x175d)]&&(_0x12616c[_0x2e5367(0x258a)]=parseInt(_0x4a135a[_0x2e5367(0x63a)][0x1][_0x2e5367(0x175d)]),!_0x3f65c0()[_0x2e5367(0x15ca)](_0x12616c[_0x2e5367(0x258a)])&&_0x12616c[_0x2e5367(0x258a)]>0x0?(_0x12616c[_0x2e5367(0x1b00)]=parseInt(_0x4a135a[_0x2e5367(0x63a)][0x1][_0x2e5367(0x175d)]),_0x12616c[_0x2e5367(0x2765)]=_0xfdeea4(function(){const _0x50b34a=_0x2e5367;_0x12616c[_0x50b34a(0x1b00)]-=0x1;},0x3e8,0x0),_0x12616c[_0x2e5367(0xa9c)]=_0x2f11e0(function(){const _0x191e32=_0x2e5367;_0x12616c[_0x191e32(0x2765)]&&_0xfdeea4['cancel'](_0x12616c[_0x191e32(0x2765)]),_0x4b2e2c(_0x4a135a);},_0x12616c[_0x2e5367(0x258a)]*0x3e8)):(_0x12616c['interval']&&_0xfdeea4[_0x2e5367(0x6c3)](_0x12616c[_0x2e5367(0x2765)]),_0x12616c['timeout']&&_0x2f11e0['cancel'](_0x12616c[_0x2e5367(0xa9c)])))));}function _0x456bb0(_0x4b46d8){const _0x4aa3a2=_0x5e02a1;_0x12616c[_0x4aa3a2(0x943)]=_0x4b46d8||{'count':0x0,'rows':[]};}function _0x1ae1b2(){const _0x57f54d=_0x5e02a1;_0x12616c[_0x57f54d(0x1a56)][_0x57f54d(0x145d)]=(_0x12616c[_0x57f54d(0x1a56)][_0x57f54d(0x844)]-0x1)*_0x12616c[_0x57f54d(0x1a56)][_0x57f54d(0x221e)],_0x12616c['promise']=_0x982d40[_0x12616c[_0x57f54d(0x1ad8)]][_0x57f54d(0x1caa)](_0x12616c[_0x57f54d(0x1a56)],_0x456bb0)[_0x57f54d(0x2945)];}function _0x38a946(_0x38aa12,_0x4152f5){const _0x4a68c3=_0x5e02a1;return _0x2deec6()(_0x4152f5,_0x4a68c3(0x11c6),!![])[_0x4a68c3(0x146f)]()&&(_0x4152f5=_0x2deec6()(_0x4152f5,'')[_0x4a68c3(0x22b0)](_0x4a68c3(0x1ce2))),_0x4152f5;}_0x1f62f6[_0x5e02a1(0x16ad)](_0x5e02a1(0x116f),function(){const _0x25df2d=_0x5e02a1;_0x12616c[_0x25df2d(0x2765)]&&_0xfdeea4[_0x25df2d(0x6c3)](_0x12616c[_0x25df2d(0x2765)]),_0x12616c[_0x25df2d(0xa9c)]&&_0x2f11e0[_0x25df2d(0x6c3)](_0x12616c[_0x25df2d(0xa9c)]);});}const _0x1cf6d7=_0x2c623c;;const _0xf3be68=_0x4acfac['p']+_0x313a4d(0x139f);;_0x50a228['$inject']=['$mdDialog',_0x313a4d(0xb51)];function _0x50a228(_0x2385b6,_0x5b5d33){const _0x42112c=_0x313a4d,_0x48d59f=this;function _0x89f705(_0x40c70d){const _0x533a99=a0_0x3bb9;return _0x5b5d33[_0x533a99(0x66b)](_0x40c70d);}_0x48d59f[_0x42112c(0x208b)]=_0x89f705;}function _0x42185c(){return{'restrict':'E','scope':{'config':'=?','onEdit':'&?','onDelete':'&?'},'require':'msWidgetEngine','controller':'MsWidgetEngineController','controllerAs':'vm','bindToController':!![],'templateUrl':_0xf3be68};};_0x1bf15f['$inject']=[_0x313a4d(0x910),_0x313a4d(0x961)];function _0x1bf15f(_0x30901f,_0x4b2fc7){const _0x87fc4b=_0x313a4d,_0x15712b=this;_0x15712b['flipped']=![];function _0x48db34(){const _0x5861f1=a0_0x3bb9;return angular['isDefined'](_0x30901f[_0x5861f1(0x443)])&&_0x30901f[_0x5861f1(0x443)]===!![];}function _0x100d37(){const _0x5e0f72=a0_0x3bb9;if(!_0x48db34())return;_0x15712b['flipped']=!_0x15712b[_0x5e0f72(0x14d2)],_0x4b2fc7[_0x5e0f72(0x1851)](_0x5e0f72(0x14d2),_0x15712b[_0x5e0f72(0x14d2)]);}_0x15712b[_0x87fc4b(0xfe5)]=_0x100d37;}function _0x68e426(){const _0x34cd59=_0x313a4d;return{'restrict':'E','scope':{'flippable':'=?'},'controller':_0x34cd59(0x21c4),'transclude':!![],'compile':function(_0x441272){const _0x2eceb6=_0x34cd59;return _0x441272[_0x2eceb6(0x14cf)](_0x2eceb6(0x15a1)),function _0x472dcf(_0x19a7a0,_0x3d0fe1,_0x24c535,_0x404934,_0xdf68d2){_0xdf68d2(function(_0x4ad611){const _0x143140=a0_0x3bb9;_0x3d0fe1[_0x143140(0xb58)](),_0x3d0fe1[_0x143140(0x1034)](_0x4ad611);});};}};}function _0x1a8449(){const _0x5e2782=_0x313a4d;return{'restrict':'E','require':_0x5e2782(0x86b),'transclude':!![],'compile':function(_0x5e6267){const _0x171d47=_0x5e2782;return _0x5e6267[_0x171d47(0x14cf)](_0x171d47(0xff8)),function _0x3ae924(_0x27c4ca,_0x43a74b,_0x2edc62,_0x2681ba,_0x3367c2){const _0x44d2e2=_0x171d47;_0x3367c2(function(_0x466a87){const _0x231be1=a0_0x3bb9;_0x43a74b[_0x231be1(0xb58)](),_0x43a74b['append'](_0x466a87);}),_0x27c4ca[_0x44d2e2(0x199f)]=_0x2681ba[_0x44d2e2(0xfe5)];};}};}function _0x489cd5(){return{'restrict':'E','require':'^msWidget','transclude':!![],'compile':function(_0x195480){const _0x33a099=a0_0x3bb9;return _0x195480['addClass'](_0x33a099(0x91c)),function _0x57f70a(_0x4df1b2,_0x4f0656,_0x189878,_0x11fd7a,_0x13c57a){const _0x1192d3=_0x33a099;_0x13c57a(function(_0x4032d9){const _0x3c4de1=a0_0x3bb9;_0x4f0656[_0x3c4de1(0xb58)](),_0x4f0656[_0x3c4de1(0x1034)](_0x4032d9);}),_0x4df1b2[_0x1192d3(0x199f)]=_0x11fd7a[_0x1192d3(0xfe5)];};}};};const _0x4ce6f8=[[_0x313a4d(0x2588),_0x5ea21c,_0x313a4d(0x2ba)],[_0x313a4d(0x5de),_0x9081f3,_0x313a4d(0x2ba)],[_0x313a4d(0x2098),_0x1af157,_0x313a4d(0x2ba)],[_0x313a4d(0x19db),_0x1d7bca,'directive'],[_0x313a4d(0x2082),_0x2c593b,'directive'],[_0x313a4d(0x273),_0x2dfd8e,_0x313a4d(0x2ba)],[_0x313a4d(0x950),_0x5d1725,_0x313a4d(0x2122)],['msDatepickerFix',_0x51e738,_0x313a4d(0x2ba)],['msDialogRecording',_0x14140d,_0x313a4d(0x2ba)],[_0x313a4d(0x947),_0xe97843,_0x313a4d(0x2ba)],[_0x313a4d(0x118a),_0x4f18c7,'directive'],[_0x313a4d(0x67f),_0xcb3466,_0x313a4d(0x2ba)],['MsFormWizardController',_0x19d7eb,_0x313a4d(0x28f0)],['msFormWizard',_0x5493f5,_0x313a4d(0x2ba)],[_0x313a4d(0xdc4),_0x2573c2,_0x313a4d(0x2ba)],[_0x313a4d(0xdef),_0x58a159,_0x313a4d(0x2ba)],['msMasonryController',_0x1e5a09,_0x313a4d(0x28f0)],[_0x313a4d(0x766),_0x42d98c,_0x313a4d(0x2ba)],[_0x313a4d(0x190f),_0x411ffd,_0x313a4d(0x2ba)],[_0x313a4d(0x88d),_0x12358a,_0x313a4d(0x28f0)],[_0x313a4d(0x26e),_0x9d5c31,_0x313a4d(0x2ba)],[_0x313a4d(0x220f),_0x1fdf01,_0x313a4d(0x1750)],[_0x313a4d(0x1cb7),_0x534b31,_0x313a4d(0x2ba)],['MsNavController',_0x39f75b,'controller'],[_0x313a4d(0x1d7c),_0x42ff92,_0x313a4d(0x2ba)],[_0x313a4d(0x757),_0x4818ed,'directive'],['msNavButton',_0x448133,_0x313a4d(0x2ba)],['msNavToggle',_0x524f66,_0x313a4d(0x2ba)],[_0x313a4d(0x1f9a),_0x1f77ff,_0x313a4d(0x2122)],[_0x313a4d(0x72d),_0x5996f8,_0x313a4d(0x28f0)],[_0x313a4d(0x111b),_0x463732,_0x313a4d(0x2ba)],['MsNavigationNodeController',_0x3bcac9,_0x313a4d(0x28f0)],[_0x313a4d(0x108e),_0x9d5ee6,_0x313a4d(0x2ba)],[_0x313a4d(0x2278),_0x569e92,_0x313a4d(0x2ba)],[_0x313a4d(0x1f3a),_0x2d14b9,_0x313a4d(0x2ba)],[_0x313a4d(0x2301),_0x28f06f,_0x313a4d(0x28f0)],['msNavigationHorizontalNode',_0x2061a4,_0x313a4d(0x2ba)],[_0x313a4d(0x329),_0x544ef0,_0x313a4d(0x2ba)],['MsPhonebarController',_0x3ed748,_0x313a4d(0x28f0)],[_0x313a4d(0x234b),_0x4eb318,'directive'],['PrefixDialogController',_0xfe22c8,'controller'],['msBlink',_0x34d685,'directive'],[_0x313a4d(0x15fa),_0x1e5ae9,_0x313a4d(0x28f0)],[_0x313a4d(0x1af),_0x2b1da8,_0x313a4d(0x28f0)],[_0x313a4d(0x16a2),_0x44e456,'controller'],[_0x313a4d(0x58e),_0x3317dc,_0x313a4d(0x2ba)],[_0x313a4d(0x1e49),_0x42999d,_0x313a4d(0x1750)],[_0x313a4d(0xe36),_0x377109,'directive'],[_0x313a4d(0x1d0b),_0x1342a7,_0x313a4d(0x2ba)],['msResponsiveTable',_0x548a0d,_0x313a4d(0x2ba)],['msScrollConfig',_0x2cf52a,_0x313a4d(0x2122)],['msScroll',_0xd7ec00,'directive'],[_0x313a4d(0x869),_0x1ffb23,_0x313a4d(0x28f0)],[_0x313a4d(0x90e),_0x252a64,'directive'],[_0x313a4d(0x1579),_0x240b2a,_0x313a4d(0x28f0)],[_0x313a4d(0x237a),_0x468a3e,_0x313a4d(0x2ba)],['msSidenavHelper',_0x16868d,_0x313a4d(0x2ba)],['msSplashScreen',_0x2116b5,_0x313a4d(0x2ba)],[_0x313a4d(0x1c38),_0x57e9da,'controller'],[_0x313a4d(0x1bcc),_0x18a71a,_0x313a4d(0x2ba)],['msHorizontalStepperStep',_0x33da0f,_0x313a4d(0x2ba)],[_0x313a4d(0x1fd2),_0xf12080,_0x313a4d(0x2ba)],[_0x313a4d(0x7e3),_0xa54b11,_0x313a4d(0x2ba)],[_0x313a4d(0x6fa),_0x2f80ee,_0x313a4d(0x28f0)],[_0x313a4d(0x1171),_0x222191,'directive'],['MsTimelineController',_0x4ef3b2,'controller'],[_0x313a4d(0x870),_0x209ece,_0x313a4d(0x2ba)],[_0x313a4d(0x853),_0x3f00c1,_0x313a4d(0x2ba)],['MsTimerController',_0x56fe58,_0x313a4d(0x28f0)],[_0x313a4d(0x1397),_0x12282e,_0x313a4d(0x2ba)],[_0x313a4d(0x217),_0x49fc36,_0x313a4d(0x2ba)],[_0x313a4d(0xd60),_0x1f567b,_0x313a4d(0x28f0)],[_0x313a4d(0x24a3),_0x350d7c,_0x313a4d(0x28f0)],[_0x313a4d(0xe10),_0x47edcb,'controller'],[_0x313a4d(0x2419),_0x1cf6d7,_0x313a4d(0x28f0)],['MsWidgetEngineController',_0x50a228,'controller'],[_0x313a4d(0x2074),_0x42185c,'directive'],[_0x313a4d(0x21c4),_0x1bf15f,_0x313a4d(0x28f0)],[_0x313a4d(0x22a2),_0x68e426,'directive'],[_0x313a4d(0x2794),_0x1a8449,_0x313a4d(0x2ba)],[_0x313a4d(0x1d95),_0x489cd5,_0x313a4d(0x2ba)]],_0xdcbf80=_0x4ce6f8;;_0x35701b[_0x313a4d(0x11c2)]=['$q',_0x313a4d(0x214b)];function _0x35701b(_0x3061d5,_0x5ae849){function _0x51142b(_0xbd924a){let _0x34faba;return _0x3061d5(function(_0x48a413){const _0xcadeb7=a0_0x3bb9;try{if(_0x3f65c0()[_0xcadeb7(0x2635)](_0xbd924a[_0xcadeb7(0x240)]))_0x34faba=_0x5ae849[_0xcadeb7(0xde)](_0xcadeb7(0x69c));else{if(_0x3f65c0()[_0xcadeb7(0x2635)](_0xbd924a[_0xcadeb7(0x26d4)]))_0x34faba=_0xbd924a[_0xcadeb7(0x1a02)]?_0x5ae849['instant'](_0xbd924a[_0xcadeb7(0x1a02)]):_0x5ae849[_0xcadeb7(0xde)]('DASHBOARDS.CHOOSE');else{if(_0xbd924a[_0xcadeb7(0x26d4)][_0xcadeb7(0x402)]===_0xbd924a[_0xcadeb7(0x240)][_0xcadeb7(0x402)])_0x34faba=_0x5ae849[_0xcadeb7(0xde)](_0xcadeb7(0x175e));else{const _0xddbc1c=_0xbd924a[_0xcadeb7(0x421)]||'id';_0x34faba=_0x3f65c0()(_0xbd924a[_0xcadeb7(0x240)])['filter'](function(_0xdad015){const _0x488ce5=_0xcadeb7;return _0x3f65c0()[_0x488ce5(0xa4e)](_0xbd924a['param'],_0xdad015[_0xddbc1c]);})[_0xcadeb7(0x205)]('name')[_0xcadeb7(0x175d)]()['join'](',\x20');}}}_0x48a413(_0x34faba);}catch(_0x45c197){console[_0xcadeb7(0x1a74)](_0xcadeb7(0x7a3),_0x45c197),_0x48a413(null);}});}const _0x4401e5={'setPlaceholder':_0x51142b};return _0x4401e5;}const _0x52197e=_0x35701b;;function _0x4d0e90(){return function(_0x60f33e){const _0x104ed8=a0_0x3bb9,_0x16eaf6=Date[_0x104ed8(0x709)]()-new Date(_0x60f33e);if(_0x16eaf6<0x3c*0x3c*0x3e8)return _0x2deec6()(_0x60f33e)[_0x104ed8(0xbd5)]();else{if(_0x16eaf6<0x3c*0x3c*0x18*0x3e8)return _0x2deec6()(_0x60f33e)[_0x104ed8(0x22b0)](_0x104ed8(0x28b4));else return _0x16eaf6<0x3c*0x3c*0x18*0x7*0x3e8?_0x2deec6()(_0x60f33e)[_0x104ed8(0x22b0)](_0x104ed8(0x3eb)):_0x2deec6()(_0x60f33e)['calendar']();}};}const _0x24564d=_0x4d0e90;;_0x3cf718[_0x313a4d(0x11c2)]=['$sce'];function _0x3cf718(_0x4b7cc0){return function(_0x33a2a3){const _0xf91bd8=a0_0x3bb9;return _0x4b7cc0[_0xf91bd8(0x1d72)](_0x33a2a3);};}function _0x2d4398(){return function(_0x3845bb){const _0x828ae7=a0_0x3bb9;return String(_0x3845bb)[_0x828ae7(0x5f4)](/<[^>]+>/gm,'');};}function _0x15bc34(){return function(_0x12c9f0){const _0x470c94=a0_0x3bb9;return!_0x12c9f0?'':_0x12c9f0[_0x470c94(0x5f4)](/ /g,'');};}function _0x29e680(){return function(_0x258a2d){const _0x54a272=a0_0x3bb9;if(!_0x258a2d)return;if(_0x258a2d[_0x54a272(0x1142)]===_0x54a272(0x2ba))return _0x258a2d[_0x54a272(0x19eb)]['replace'](/([A-Z])/g,function(_0xeb826d){const _0xe10e53=_0x54a272;return'-'+_0xeb826d[_0xe10e53(0x256e)]();});return _0x258a2d[_0x54a272(0x11f8)]||_0x258a2d['name'];};};function _0x421af9(){return function(_0x11f97b){if(!_0x11f97b)return'';return _0x3f65c0()['capitalize'](_0x11f97b);};}const _0xd8cfa1=_0x421af9;;function _0x188e09(){return function(_0x233bb5,_0x238eda){const _0x51ed20=a0_0x3bb9;if(_0x233bb5[_0x51ed20(0x402)]===0x0||!_0x238eda)return _0x233bb5;if(_0x238eda[_0x51ed20(0x402)]===0x0)return[];const _0x509c3c=[];for(let _0x10d758=0x0;_0x10d758<_0x233bb5['length'];_0x10d758++){const _0x56a393=_0x233bb5[_0x10d758];let _0x3dbf20=![];for(let _0x5536d9=0x0;_0x5536d9<_0x238eda[_0x51ed20(0x402)];_0x5536d9++){const _0x24ce90=_0x238eda[_0x5536d9];if(_0x56a393['id']===_0x24ce90){_0x3dbf20=!![];break;}}_0x3dbf20&&_0x509c3c[_0x51ed20(0x1f47)](_0x56a393);}return _0x509c3c;};}const _0x5c7cb0=_0x188e09;;function _0x4f2b30(){return function(_0x42e9f8,_0x19d6f3,_0x3fd1b8){const _0x4f526b=a0_0x3bb9;if(_0x42e9f8[_0x4f526b(0x402)]===0x0||!_0x3fd1b8||_0x3fd1b8[_0x4f526b(0x402)]===0x0)return _0x42e9f8;const _0x443f88=[];for(let _0x1d08d8=0x0;_0x1d08d8<_0x42e9f8[_0x4f526b(0x402)];_0x1d08d8++){const _0x22ea0f=_0x42e9f8[_0x1d08d8];let _0xd97db2=![];for(let _0x20dc33=0x0;_0x20dc33<_0x3fd1b8['length'];_0x20dc33++){const _0x2297bb=_0x3fd1b8[_0x20dc33];if(_0x22ea0f[_0x19d6f3][_0x4f526b(0x172b)](_0x2297bb)>-0x1){_0xd97db2=!![];break;}}_0xd97db2&&_0x443f88[_0x4f526b(0x1f47)](_0x22ea0f);}return _0x443f88;};}const _0xe1b971=_0x4f2b30;;function _0x2fe331(){return function(_0x51d67e){const _0x37fdb3=a0_0x3bb9;if(!_0x51d67e)return'';return _0x3f65c0()[_0x37fdb3(0x2836)](_0x51d67e);};}const _0x2a9c66=_0x2fe331;;function _0x4d98ce(){return function(_0x1f5b60){const _0x265b28=a0_0x3bb9;if(!_0x1f5b60)return'';return _0x3f65c0()[_0x265b28(0x20d1)](_0x1f5b60);};}const _0x5b65a6=_0x4d98ce;;function _0xbb4f31(){return function(_0x2f3213,_0x13d9da){const _0x1cbfd1=a0_0x3bb9;if(_0x2f3213['length']===0x0||_0x13d9da[_0x1cbfd1(0x402)]===0x0)return _0x2f3213;const _0x1e67d0=[];return _0x2f3213[_0x1cbfd1(0x1df5)](function(_0x2b70ba){const _0x5c9544=_0x1cbfd1,_0x21a50c=_0x13d9da[_0x5c9544(0xb14)](function(_0x2f0f42){const _0x176985=_0x5c9544;let _0x367076=![];return _0x2b70ba['tags'][_0x176985(0x1df5)](function(_0x2ed38e){const _0x3ea7ff=_0x176985;if(_0x2ed38e[_0x3ea7ff(0x19eb)]===_0x2f0f42['name']){_0x367076=!![];return;}}),_0x367076;});_0x21a50c&&_0x1e67d0[_0x5c9544(0x1f47)](_0x2b70ba);}),_0x1e67d0;};}function _0x4bf12a(){return function(_0x8aa5d0,_0x4aed8f){const _0x59f108=a0_0x3bb9;if(_0x8aa5d0[_0x59f108(0x402)]===0x0||_0x4aed8f['length']===0x0)return;if(_0x8aa5d0[_0x59f108(0x402)]<_0x4aed8f[_0x59f108(0x402)])return[];const _0x38e46e=[],_0x201c8d=_0x4aed8f['every'](function(_0x1b03e3){const _0x1a1563=_0x59f108;let _0x31cc0f=![];return _0x8aa5d0[_0x1a1563(0x1df5)](function(_0x573613){const _0x5b0bf7=_0x1a1563;if(_0x573613[_0x5b0bf7(0x19eb)]===_0x1b03e3[_0x5b0bf7(0x19eb)]){_0x31cc0f=!![];return;}}),_0x31cc0f;});return _0x201c8d&&_0x38e46e[_0x59f108(0x1f47)](_0x8aa5d0),_0x38e46e;};};class _0x1f0a75{constructor(_0x588b7b,_0x5c101f,_0x250fa8,_0x42cfe3){const _0x4692df=_0x313a4d;this['id']=Math[_0x4692df(0x28e8)]()[_0x4692df(0xd5f)](0x24)[_0x4692df(0xe83)](0x2,0xf)+Math[_0x4692df(0x28e8)]()[_0x4692df(0xd5f)](0x24)[_0x4692df(0xe83)](0x2,0xf),this[_0x4692df(0x1189)]=_0x588b7b,this['body']=_0x5c101f,this[_0x4692df(0x204a)]=_0x250fa8,this[_0x4692df(0xa6f)]=_0x42cfe3,this[_0x4692df(0xa7c)]=_0x33f76f;}}function _0xfdb87a(){const _0x27357c=_0x313a4d;return!(window[_0x27357c(0xef3)][_0x27357c(0x28fc)]||window[_0x27357c(0xef3)]['mozHidden']||window[_0x27357c(0xef3)]['webkitHidden']);}function _0xf48884(){const _0x41f014=_0x313a4d;return/Chrome/[_0x41f014(0x1e1e)](navigator[_0x41f014(0x1ca9)])&&/Google Inc/[_0x41f014(0x1e1e)](navigator[_0x41f014(0x8ca)]);}function _0x33f76f(){const _0x3e81ff=_0x313a4d,_0x59bcbe=this;navigator[_0x3e81ff(0x248f)][_0x3e81ff(0x120a)][_0x3e81ff(0x146b)](function(_0x20856a){const _0x57effa=_0x3e81ff;_0x20856a[_0x57effa(0x17d9)]()['then'](function(_0x2fa45a){const _0x5a7fdd=_0x57effa,_0x18d23c=_0x3f65c0()[_0x5a7fdd(0xc84)](_0x2fa45a,function(_0x10cb37){const _0x3df6de=_0x5a7fdd;return _0x10cb37[_0x3df6de(0x524)]['id']===_0x59bcbe['id'];});_0x18d23c&&_0x18d23c[_0x5a7fdd(0xa7c)]();});});}function _0x40799e(){return{'$get':[_0x22fb74]};function _0x22fb74(){const _0x48e241=a0_0x3bb9,_0x2a51ff=[];let _0x2b32cc,_0x5a4ca0;return _0x48e241(0x248f)in navigator&&_0xf48884()?navigator[_0x48e241(0x248f)][_0x48e241(0x2e5)](_0x48e241(0x14d4))[_0x48e241(0x146b)](function(_0x2e112f){const _0x41beef=_0x48e241;_0x2b32cc=_0x2e112f,_0x2b32cc[_0x41beef(0x18e1)]();if(_0x2b32cc[_0x41beef(0xfbf)])_0x5a4ca0=_0x2b32cc[_0x41beef(0xfbf)];else{if(_0x2b32cc['waiting'])_0x5a4ca0=_0x2b32cc[_0x41beef(0x12a3)];else _0x2b32cc[_0x41beef(0x275a)]&&(_0x5a4ca0=_0x2b32cc['active']);}})[_0x48e241(0x129e)](function(_0x4c3721){const _0x220d64=_0x48e241;console[_0x220d64(0x1980)](_0x220d64(0x779),_0x4c3721);}):console['error'](_0x48e241(0xbdd)),navigator[_0x48e241(0x248f)][_0x48e241(0x1c69)]('message',function(_0x46a0d0){const _0x25a940=_0x48e241;if(_0x46a0d0[_0x25a940(0x1d24)]!==_0x5a4ca0)return;const _0x326211=_0x3f65c0()[_0x25a940(0xc84)](_0x2a51ff,{'id':_0x46a0d0['data']['id']});if(_0x326211)switch(_0x46a0d0[_0x25a940(0x524)][_0x25a940(0x233c)]){case'accept':_0x326211['accept']&&_0x326211[_0x25a940(0x204a)]();break;case _0x25a940(0xa6f):_0x326211[_0x25a940(0xa6f)]&&_0x326211[_0x25a940(0xa6f)]();break;default:}}),{'create':function(_0x54bef5,_0x35de4a,_0x54ae83,_0xc73328,_0xa88c8d){return new Promise(function(_0x34ae5a,_0x10f957){const _0x54307d=a0_0x3bb9;let _0x1ad5ef;_0xf48884()&&!_0xfdb87a()?(_0x1ad5ef=new _0x1f0a75(_0x54bef5||_0x54307d(0x2510),_0x35de4a||_0x54307d(0x18d5),_0xc73328,_0xa88c8d),_0x2a51ff[_0x54307d(0x1f47)](_0x1ad5ef),_0x1ad5ef?window[_0x54307d(0xacc)][_0x54307d(0x1eb9)]()['then'](function(_0x56e85d){const _0x47eb8f=_0x54307d;if(_0x56e85d==='granted')return _0x2b32cc['showNotification'](_0x1ad5ef[_0x47eb8f(0x1189)],{'body':_0x1ad5ef[_0x47eb8f(0x2586)],'requireInteraction':!![],'icon':_0x54ae83||_0x47eb8f(0xf76),'data':{'id':_0x1ad5ef['id']},'actions':[{'action':_0x47eb8f(0x204a),'title':_0x47eb8f(0xa35)},{'action':_0x47eb8f(0xa6f),'title':_0x47eb8f(0x257d)}]});})[_0x54307d(0x146b)](function(){_0x34ae5a(_0x1ad5ef);})[_0x54307d(0x129e)](function(_0x477658){_0x10f957(_0x477658);}):_0x10f957(new Error(_0x54307d(0x1f03)))):_0x34ae5a();});}};}}const _0x341f96=_0x40799e;;_0xf29ba6[_0x313a4d(0x11c2)]=['$q',_0x313a4d(0x2032),_0x313a4d(0x247f)];function _0xf29ba6(_0x312e2d,_0x10cc21,_0x1f7ec1){const _0x2a9798={'resolve':_0x85035};return _0x2a9798;function _0x85035(_0x223e6a,_0x30e9dd){const _0x33f351=a0_0x3bb9,_0x5005fc=_0x223e6a[_0x33f351(0x10c8)]('@'),_0x44c8a6=_0x5005fc[0x0],_0x37a892=_0x5005fc[0x1],_0xb6de79=_0x30e9dd||{};if(!_0x44c8a6||!_0x37a892)return _0x10cc21[_0x33f351(0x1980)](_0x33f351(0x182b)),![];const _0x1ceb35=_0x312e2d[_0x33f351(0xce3)](),_0x26a8e6=_0x32f1b7(_0x44c8a6);return!_0x26a8e6?(_0x10cc21[_0x33f351(0x1980)]('Resource\x20\x22'+_0x44c8a6+_0x33f351(0x8ea)),_0x1ceb35[_0x33f351(0xa6f)](_0x33f351(0xad6)+_0x44c8a6+'\x22\x20is\x20not\x20defined\x20in\x20the\x20api\x20service!')):_0x26a8e6[_0x37a892](_0xb6de79,function(_0x1679db){const _0x34adcf=_0x33f351;_0x1ceb35[_0x34adcf(0x2922)](_0x1679db);},function(_0x25840b){const _0x359f7b=_0x33f351;_0x1ceb35[_0x359f7b(0xa6f)](_0x25840b);}),_0x1ceb35[_0x33f351(0xb9c)];}function _0x32f1b7(_0x28f0a0){const _0xa23117=a0_0x3bb9,_0x32f2a7=_0x28f0a0['split']('.');let _0x11e52e=_0x1f7ec1;for(let _0x5971ee=0x0;_0x5971ee<_0x32f2a7[_0xa23117(0x402)];_0x5971ee++){if(angular[_0xa23117(0x1619)](_0x11e52e[_0x32f2a7[_0x5971ee]])){_0x10cc21[_0xa23117(0x1980)](_0xa23117(0x1e9d)+_0x32f2a7[_0x5971ee]+_0xa23117(0x240a)),_0x11e52e=![];break;}_0x11e52e=_0x11e52e[_0x32f2a7[_0x5971ee]];}if(!_0x11e52e)return![];return _0x11e52e;}}const _0x27fceb=_0xf29ba6;;_0xa4074['$inject']=[_0x313a4d(0x1fc2)];function _0xa4074(_0x50d221){function _0x7ff61e(){const _0x1b3f1c=a0_0x3bb9;_0x50d221[_0x1b3f1c(0x651)]=!![];}function _0x6bf2ba(){const _0x268ddc=a0_0x3bb9;_0x50d221[_0x268ddc(0x651)]=![];}const _0x3f710b={'showLoadingIndicator':_0x7ff61e,'hideLoadingIndicator':_0x6bf2ba};return _0x3f710b;}const _0x34798b=_0xa4074;;function _0x5524c9(){const _0x53e171=_0x313a4d,_0x518ff8=this,_0xa720b=angular[_0x53e171(0x24f2)](['ng'])[_0x53e171(0x16b4)](_0x53e171(0x2032));let _0x4c8e73='';const _0x3bf6fd=[];_0x518ff8[_0x53e171(0x1a2)]=_0x3fc499,_0x518ff8[_0x53e171(0x9fa)]=_0x1c9b46,_0x518ff8[_0x53e171(0x18f2)]=_0x2f9ae8,_0x518ff8[_0x53e171(0x2e5)]=_0x4b6949;function _0x3fc499(_0x399011){_0x4c8e73=_0x399011;}function _0x1c9b46(){return _0x4c8e73;}function _0x2f9ae8(){return _0x3bf6fd;}function _0x4b6949(_0xae3640,_0x4bab77){const _0x228581=_0x53e171;if(!angular[_0x228581(0x54e)](_0xae3640)){_0xa720b[_0x228581(0x1980)](_0x228581(0x2333));return;}if(!angular[_0x228581(0x1bbb)](_0x4bab77)){_0xa720b[_0x228581(0x1980)](_0x228581(0xac9));return;}_0x3bf6fd[_0xae3640]={'url':_0x4c8e73+(_0x4bab77[0x0]||''),'paramDefaults':_0x4bab77[0x1]||[],'actions':_0x4bab77[0x2]||[],'options':_0x4bab77[0x3]||{}};}this[_0x53e171(0x980)]=function(_0xa2939b,_0x29c3b9,_0x21067f,_0x557bd1){const _0x2d497c={'setBaseUrl':_0x3fc499,'getBaseUrl':_0x1c9b46,'register':_0x4b6949,'resolve':_0x4eca41,'request':_0x31bb28};return _0x2d497c;function _0x4eca41(_0x9b5e4e,_0xd6fab){const _0xee73c6=a0_0x3bb9;_0x557bd1[_0xee73c6(0x25a4)](_0xee73c6(0x687));const _0xbb91c=_0x9b5e4e[_0xee73c6(0x10c8)]('@'),_0x435c07=_0xbb91c[0x0],_0x34c539=_0xbb91c[0x1],_0x1b53ca=_0xd6fab||{};if(!_0x435c07||!_0x34c539)return _0xa2939b['error']('msApi.resolve\x20requires\x20correct\x20action\x20parameter\x20(resourceName@methodName)'),![];const _0x2b2e5e=_0x29c3b9[_0xee73c6(0xce3)](),_0x41e9b5=_0x3bf6fd[_0x435c07];if(!_0x41e9b5)_0xa2939b[_0xee73c6(0x1980)]('Resource\x20\x22'+_0x435c07+'\x22\x20is\x20not\x20defined\x20in\x20the\x20api\x20service!'),_0x2b2e5e[_0xee73c6(0xa6f)](_0xee73c6(0xad6)+_0x435c07+_0xee73c6(0x8ea));else{const _0x50d34b=_0x21067f(_0x41e9b5['url'],_0x41e9b5[_0xee73c6(0x21fe)],_0x41e9b5[_0xee73c6(0x713)],_0x41e9b5[_0xee73c6(0xa08)]);_0x50d34b[_0x34c539](_0x1b53ca,function(_0x4b45b8){const _0x2068e5=_0xee73c6;_0x2b2e5e['resolve'](_0x4b45b8),_0x557bd1[_0x2068e5(0x25a4)](_0x2068e5(0x7df));},function(_0x4be87f){const _0x83c3b4=_0xee73c6;_0x2b2e5e[_0x83c3b4(0xa6f)](_0x4be87f),_0x557bd1[_0x83c3b4(0x25a4)](_0x83c3b4(0xb2b));});}return _0x2b2e5e[_0xee73c6(0xb9c)];}function _0x31bb28(_0x584e77,_0x48f2d0,_0x51438a,_0x285d06){const _0x543e22=a0_0x3bb9;_0x557bd1[_0x543e22(0x25a4)](_0x543e22(0xed5));const _0x2201c4=_0x584e77[_0x543e22(0x10c8)]('@'),_0x564be2=_0x2201c4[0x0],_0x575b76=_0x2201c4[0x1],_0x536ac7=_0x48f2d0||{};if(!_0x564be2||!_0x575b76)return _0xa2939b[_0x543e22(0x1980)](_0x543e22(0x1d86)),![];const _0x3c2f66=_0x29c3b9[_0x543e22(0xce3)](),_0x15398f=_0x3bf6fd[_0x564be2];if(!_0x15398f)_0xa2939b[_0x543e22(0x1980)](_0x543e22(0xad6)+_0x564be2+_0x543e22(0x8ea)),_0x3c2f66[_0x543e22(0xa6f)](_0x543e22(0xad6)+_0x564be2+_0x543e22(0x8ea));else{const _0x5ddb2e=_0x21067f(_0x15398f[_0x543e22(0x20cc)],_0x15398f[_0x543e22(0x21fe)],_0x15398f[_0x543e22(0x713)],_0x15398f[_0x543e22(0xa08)]);_0x5ddb2e[_0x575b76](_0x536ac7,function(_0x119edc){const _0x2bc2e0=_0x543e22;_0x557bd1[_0x2bc2e0(0x25a4)](_0x2bc2e0(0xeba)),_0x3c2f66[_0x2bc2e0(0x2922)](_0x119edc),angular[_0x2bc2e0(0x5ef)](_0x51438a)&&angular[_0x2bc2e0(0x1e2c)](_0x51438a)&&_0x51438a(_0x119edc);},function(_0x4a814d){const _0x31ecc0=_0x543e22;_0x557bd1[_0x31ecc0(0x25a4)](_0x31ecc0(0x1ff7)),_0x3c2f66['reject'](_0x4a814d),angular[_0x31ecc0(0x5ef)](_0x285d06)&&angular[_0x31ecc0(0x1e2c)](_0x285d06)&&_0x285d06(_0x4a814d);});}return _0x3c2f66[_0x543e22(0xb9c)];}};}const _0xa1d329=_0x5524c9;var _0x393f65=_0x4acfac(0x985),_0x539ed3=_0x4acfac['n'](_0x393f65);;_0xafc308[_0x313a4d(0x11c2)]=[_0x313a4d(0x1aa9)];function _0xafc308(_0x348cbd){const _0x349538=_0x313a4d,_0x497512=new(_0x539ed3())(_0x348cbd['navigator'][_0x349538(0x1ca9)]);let _0x19d428=null;const _0x575063={'exists':_0xe0e2c6,'detectBrowser':_0x138a8f,'guidGenerator':_0x357830,'isMobile':_0x4bf869,'toggleInArray':_0x193d30,'firstLastDayMonth':_0x57b181};return _0x575063;function _0xe0e2c6(_0x2aeaa5,_0x3f74d2){const _0x310229=_0x349538;return _0x3f74d2[_0x310229(0x172b)](_0x2aeaa5)>-0x1;}function _0x138a8f(){const _0xdabc9d=_0x349538;if(_0x19d428)return _0x19d428;const _0x105cad=[{'string':_0x348cbd[_0xdabc9d(0x27ae)][_0xdabc9d(0x1ca9)],'subString':_0xdabc9d(0x22af),'versionSearch':'Edge','identity':_0xdabc9d(0x22af)},{'string':_0x348cbd['navigator']['userAgent'],'subString':_0xdabc9d(0x52c),'identity':_0xdabc9d(0x52c)},{'string':_0x348cbd[_0xdabc9d(0x27ae)][_0xdabc9d(0x1ca9)],'subString':_0xdabc9d(0x1c7b),'versionSearch':_0xdabc9d(0x1e72),'identity':_0xdabc9d(0x1c7b)},{'string':_0x348cbd['navigator'][_0xdabc9d(0x8ca)],'subString':_0xdabc9d(0x169e),'versionSearch':'Version','identity':_0xdabc9d(0x2920)},{'prop':_0x348cbd['opera'],'identity':_0xdabc9d(0x29e)},{'string':_0x348cbd['navigator'][_0xdabc9d(0x8ca)],'subString':_0xdabc9d(0x5b0),'identity':'iCab'},{'string':_0x348cbd['navigator'][_0xdabc9d(0x8ca)],'subString':_0xdabc9d(0x26de),'identity':_0xdabc9d(0x14f1)},{'string':_0x348cbd[_0xdabc9d(0x27ae)][_0xdabc9d(0x1ca9)],'subString':_0xdabc9d(0x192e),'identity':_0xdabc9d(0x192e)},{'string':_0x348cbd[_0xdabc9d(0x27ae)][_0xdabc9d(0x8ca)],'subString':'Camino','identity':_0xdabc9d(0x817)},{'string':_0x348cbd[_0xdabc9d(0x27ae)][_0xdabc9d(0x1ca9)],'subString':_0xdabc9d(0x548),'identity':'Netscape'},{'string':_0x348cbd['navigator'][_0xdabc9d(0x1ca9)],'subString':_0xdabc9d(0x18b),'identity':'Explorer','versionSearch':_0xdabc9d(0x18b)},{'string':_0x348cbd[_0xdabc9d(0x27ae)][_0xdabc9d(0x1ca9)],'subString':_0xdabc9d(0x1743),'identity':'Explorer','versionSearch':'rv'},{'string':_0x348cbd[_0xdabc9d(0x27ae)][_0xdabc9d(0x1ca9)],'subString':_0xdabc9d(0x1af2),'identity':'Mozilla','versionSearch':'rv'},{'string':_0x348cbd[_0xdabc9d(0x27ae)][_0xdabc9d(0x1ca9)],'subString':_0xdabc9d(0x1b7),'identity':_0xdabc9d(0x548),'versionSearch':'Mozilla'}],_0x74db71=[{'string':_0x348cbd[_0xdabc9d(0x27ae)][_0xdabc9d(0x2b0)],'subString':_0xdabc9d(0x1feb),'identity':_0xdabc9d(0xe07)},{'string':_0x348cbd['navigator'][_0xdabc9d(0x2b0)],'subString':_0xdabc9d(0x2483),'identity':_0xdabc9d(0x2483)},{'string':_0x348cbd[_0xdabc9d(0x27ae)][_0xdabc9d(0x2b0)],'subString':_0xdabc9d(0x2853),'identity':_0xdabc9d(0x2853)},{'string':_0x348cbd[_0xdabc9d(0x27ae)][_0xdabc9d(0x2b0)],'subString':'iPhone','identity':_0xdabc9d(0x289b)},{'string':_0x348cbd[_0xdabc9d(0x27ae)][_0xdabc9d(0x2b0)],'subString':_0xdabc9d(0x1bc5),'identity':_0xdabc9d(0x1bc5)},{'string':_0x348cbd[_0xdabc9d(0x27ae)][_0xdabc9d(0x2b0)],'subString':_0xdabc9d(0x27f1),'identity':_0xdabc9d(0x27f1)},{'string':_0x348cbd[_0xdabc9d(0x27ae)][_0xdabc9d(0x2b0)],'subString':'Android','identity':'Android'}];let _0x1f3e75='';function _0x5d1756(_0x29da95){const _0x4055d6=_0xdabc9d;for(let _0x42599b=0x0;_0x42599b<_0x29da95[_0x4055d6(0x402)];_0x42599b++){const _0x4eefc7=_0x29da95[_0x42599b][_0x4055d6(0x1f23)],_0x420238=_0x29da95[_0x42599b][_0x4055d6(0x94f)];_0x1f3e75=_0x29da95[_0x42599b]['versionSearch']||_0x29da95[_0x42599b][_0x4055d6(0x86c)];if(_0x4eefc7){if(_0x4eefc7['indexOf'](_0x29da95[_0x42599b][_0x4055d6(0x1464)])!==-0x1)return _0x29da95[_0x42599b]['identity'];}else{if(_0x420238)return _0x29da95[_0x42599b][_0x4055d6(0x86c)];}}}function _0x313e01(_0x262977){const _0x46652b=_0xdabc9d,_0x151d26=_0x262977[_0x46652b(0x172b)](_0x1f3e75);if(_0x151d26===-0x1)return;return parseInt(_0x262977['substring'](_0x151d26+_0x1f3e75[_0x46652b(0x402)]+0x1));}let _0x4d6363=_0x5d1756(_0x105cad)||'unknown-browser',_0x9c3186=_0x313e01(_0x348cbd['navigator'][_0xdabc9d(0x1ca9)])||_0x313e01(_0x348cbd[_0xdabc9d(0x27ae)]['appVersion'])||_0xdabc9d(0x891),_0x151df8=_0x5d1756(_0x74db71)||_0xdabc9d(0x144c);return _0x4d6363=_0x4d6363[_0xdabc9d(0x256e)](),_0x9c3186=_0x4d6363+'-'+_0x9c3186,_0x151df8=_0x151df8['toLowerCase'](),_0x19d428={'browser':_0x4d6363,'version':_0x9c3186,'os':_0x151df8},_0x19d428;}function _0x357830(){const _0x12ef73=function(){const _0x24e5be=a0_0x3bb9;return((0x1+Math[_0x24e5be(0x28e8)]())*0x10000||0x0)[_0x24e5be(0xd5f)](0x10)[_0x24e5be(0xe83)](0x1);};return _0x12ef73()+_0x12ef73()+_0x12ef73()+_0x12ef73()+_0x12ef73()+_0x12ef73();}function _0x4bf869(){return _0x497512['mobile']();}function _0x193d30(_0x567b05,_0x242b50){const _0x9db2d9=_0x349538;_0x242b50[_0x9db2d9(0x172b)](_0x567b05)===-0x1?_0x242b50[_0x9db2d9(0x1f47)](_0x567b05):_0x242b50[_0x9db2d9(0x1f7d)](_0x242b50[_0x9db2d9(0x172b)](_0x567b05),0x1);}function _0x57b181(){const _0x1b9886=_0x349538;return{'$gte':_0x2deec6()()[_0x1b9886(0x1fcc)](_0x1b9886(0x12b7))[_0x1b9886(0x65f)](0x0,!![])[_0x1b9886(0x22b0)](),'$lte':_0x2deec6()()[_0x1b9886(0x824)]('month')[_0x1b9886(0x65f)](0x0,!![])[_0x1b9886(0x22b0)]()};}}const _0x579f71=_0xafc308;;const _0x11da21=_0x4acfac['p']+_0x313a4d(0x140a);;_0x53774c[_0x313a4d(0x11c2)]=[_0x313a4d(0x15fe)],_0x21ffcf[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),'motionTheming'];function _0x21ffcf(_0x29f346,_0x2a6aa6){const _0x3d6c39=_0x313a4d,_0x3d48b7=this;_0x3d48b7[_0x3d6c39(0x122e)]=_0x2a6aa6[_0x3d6c39(0x122e)],_0x3d48b7[_0x3d6c39(0xb6a)]=[{'label':_0x3d6c39(0x291),'value':'boxed'},{'label':_0x3d6c39(0x7d6),'value':_0x3d6c39(0x1175)}],_0x3d48b7[_0x3d6c39(0x210a)]=[{'label':_0x3d6c39(0xd28),'value':'verticalNavigation','figure':_0x3d6c39(0x26db)},{'label':'Vertical\x20Navigation\x20with\x20Fullwidth\x20Toolbar','value':'verticalNavigationFullwidthToolbar','figure':_0x3d6c39(0x2595)},{'label':_0x3d6c39(0xfa2),'value':_0x3d6c39(0x1e3f),'figure':'/assets/images/theme-options/vertical-nav-with-full-toolbar-2.jpg'},{'label':_0x3d6c39(0x1a2c),'value':_0x3d6c39(0x1b58),'figure':_0x3d6c39(0x2299)},{'label':_0x3d6c39(0x2101),'value':_0x3d6c39(0x1852),'figure':'/assets/images/theme-options/content-with-toolbar.jpg'},{'label':_0x3d6c39(0x1323),'value':_0x3d6c39(0xe69),'figure':_0x3d6c39(0x1a55)}],_0x3d48b7[_0x3d6c39(0x3d0)]=_0x3d6c39(0x1175),_0x3d48b7['layoutStyle']=_0x29f346[_0x3d6c39(0x16b4)](_0x3d6c39(0x1151))||_0x3d6c39(0x18d9),_0x3d48b7['setActiveTheme']=_0x4799cc,_0x3d48b7[_0x3d6c39(0x505)]=_0xc3109a,_0x3d48b7[_0x3d6c39(0x7f0)]=_0x5e16f6,_0x3d48b7[_0x3d6c39(0x1bba)]=_0x1ca2b3;function _0x4799cc(_0x4c617a){_0x2a6aa6['setActiveTheme'](_0x4c617a);}function _0xc3109a(){const _0x939c95=_0x3d6c39;return _0x2a6aa6['themes'][_0x939c95(0x275a)];}function _0x5e16f6(){const _0x4db8c4=_0x3d6c39,_0x508251=angular['element']('body');_0x508251[_0x4db8c4(0x1851)](_0x4db8c4(0x1e39),_0x3d48b7[_0x4db8c4(0x3d0)]===_0x4db8c4(0x1e39));}function _0x1ca2b3(){const _0x325d83=_0x3d6c39;_0x29f346[_0x325d83(0x17b7)]('motion.layoutStyle',_0x3d48b7[_0x325d83(0x388)]),location[_0x325d83(0x2502)]();}}function _0x53774c(_0xa2d427){return{'restrict':'E','scope':{},'controller':'MsThemeOptionsController\x20as\x20vm','templateUrl':_0x11da21,'compile':function(_0x1d4956){const _0x4f920e=a0_0x3bb9;return _0x1d4956[_0x4f920e(0x14cf)](_0x4f920e(0xce7)),function _0x352577(_0x24b40){const _0x55781a=_0x4f920e;function _0x202291(){const _0x50f282=a0_0x3bb9;_0xa2d427(_0x50f282(0x1166))[_0x50f282(0x1f05)]();}_0x24b40[_0x55781a(0x214a)]=_0x202291;};}};};_0x198ea8[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),_0x313a4d(0x2032),'motionTheming'];function _0x198ea8(_0xe1cfa4,_0x3dc352,_0x1d76be){const _0x3c112d={},_0xd8939c={'generate':_0x5a512c,'rgba':_0x17c421};return _0xd8939c;function _0x5a512c(){const _0x42f698=a0_0x3bb9,_0xc976b9=angular[_0x42f698(0x235a)](_0x1d76be[_0x42f698(0x226b)]()),_0x33afc4=angular[_0x42f698(0x235a)](_0x1d76be[_0x42f698(0x248c)]());angular['forEach'](_0xc976b9,function(_0x108b18){const _0x8b278a=_0x42f698;_0x3c112d[_0x108b18['name']]={},angular['forEach'](_0x108b18[_0x8b278a(0x109f)],function(_0x2f75a9,_0x2d2bda){const _0x50f17d=_0x8b278a;_0x3c112d[_0x108b18[_0x50f17d(0x19eb)]][_0x2d2bda]={'name':_0x2f75a9[_0x50f17d(0x19eb)],'levels':{'default':{'color':_0x17c421(_0x33afc4[_0x2f75a9[_0x50f17d(0x19eb)]][_0x2f75a9['hues'][_0x50f17d(0x13b1)]][_0x50f17d(0x175d)]),'contrast1':_0x17c421(_0x33afc4[_0x2f75a9['name']][_0x2f75a9[_0x50f17d(0xb21)][_0x50f17d(0x13b1)]]['contrast'],0x1),'contrast2':_0x17c421(_0x33afc4[_0x2f75a9[_0x50f17d(0x19eb)]][_0x2f75a9[_0x50f17d(0xb21)][_0x50f17d(0x13b1)]][_0x50f17d(0xb45)],0x2),'contrast3':_0x17c421(_0x33afc4[_0x2f75a9[_0x50f17d(0x19eb)]][_0x2f75a9[_0x50f17d(0xb21)]['default']][_0x50f17d(0xb45)],0x3),'contrast4':_0x17c421(_0x33afc4[_0x2f75a9[_0x50f17d(0x19eb)]][_0x2f75a9[_0x50f17d(0xb21)][_0x50f17d(0x13b1)]][_0x50f17d(0xb45)],0x4)},'hue1':{'color':_0x17c421(_0x33afc4[_0x2f75a9[_0x50f17d(0x19eb)]][_0x2f75a9[_0x50f17d(0xb21)][_0x50f17d(0xa83)]][_0x50f17d(0x175d)]),'contrast1':_0x17c421(_0x33afc4[_0x2f75a9[_0x50f17d(0x19eb)]][_0x2f75a9[_0x50f17d(0xb21)][_0x50f17d(0xa83)]][_0x50f17d(0xb45)],0x1),'contrast2':_0x17c421(_0x33afc4[_0x2f75a9[_0x50f17d(0x19eb)]][_0x2f75a9[_0x50f17d(0xb21)][_0x50f17d(0xa83)]][_0x50f17d(0xb45)],0x2),'contrast3':_0x17c421(_0x33afc4[_0x2f75a9[_0x50f17d(0x19eb)]][_0x2f75a9['hues'][_0x50f17d(0xa83)]]['contrast'],0x3),'contrast4':_0x17c421(_0x33afc4[_0x2f75a9[_0x50f17d(0x19eb)]][_0x2f75a9[_0x50f17d(0xb21)]['hue-1']]['contrast'],0x4)},'hue2':{'color':_0x17c421(_0x33afc4[_0x2f75a9[_0x50f17d(0x19eb)]][_0x2f75a9[_0x50f17d(0xb21)][_0x50f17d(0xab8)]][_0x50f17d(0x175d)]),'contrast1':_0x17c421(_0x33afc4[_0x2f75a9[_0x50f17d(0x19eb)]][_0x2f75a9[_0x50f17d(0xb21)]['hue-2']][_0x50f17d(0xb45)],0x1),'contrast2':_0x17c421(_0x33afc4[_0x2f75a9[_0x50f17d(0x19eb)]][_0x2f75a9[_0x50f17d(0xb21)][_0x50f17d(0xab8)]][_0x50f17d(0xb45)],0x2),'contrast3':_0x17c421(_0x33afc4[_0x2f75a9['name']][_0x2f75a9[_0x50f17d(0xb21)][_0x50f17d(0xab8)]]['contrast'],0x3),'contrast4':_0x17c421(_0x33afc4[_0x2f75a9[_0x50f17d(0x19eb)]][_0x2f75a9[_0x50f17d(0xb21)][_0x50f17d(0xab8)]][_0x50f17d(0xb45)],0x4)},'hue3':{'color':_0x17c421(_0x33afc4[_0x2f75a9[_0x50f17d(0x19eb)]][_0x2f75a9[_0x50f17d(0xb21)]['hue-3']][_0x50f17d(0x175d)]),'contrast1':_0x17c421(_0x33afc4[_0x2f75a9[_0x50f17d(0x19eb)]][_0x2f75a9[_0x50f17d(0xb21)][_0x50f17d(0x26bf)]][_0x50f17d(0xb45)],0x1),'contrast2':_0x17c421(_0x33afc4[_0x2f75a9[_0x50f17d(0x19eb)]][_0x2f75a9[_0x50f17d(0xb21)][_0x50f17d(0x26bf)]][_0x50f17d(0xb45)],0x2),'contrast3':_0x17c421(_0x33afc4[_0x2f75a9['name']][_0x2f75a9[_0x50f17d(0xb21)][_0x50f17d(0x26bf)]]['contrast'],0x3),'contrast4':_0x17c421(_0x33afc4[_0x2f75a9[_0x50f17d(0x19eb)]][_0x2f75a9['hues'][_0x50f17d(0x26bf)]][_0x50f17d(0xb45)],0x4)}}};});}),_0x59efb5(_0x3c112d);let _0x29c98f={};angular[_0x42f698(0x1df5)](_0x3c112d,function(_0x25198a,_0x519441){const _0x49fa82=_0x42f698;_0x29c98f={},_0x29c98f[_0x49fa82(0x19d6)]=_0x519441,angular[_0x49fa82(0x1df5)](_0x25198a,function(_0x88d1b2,_0x2009bd){const _0x8168e1=_0x49fa82;angular[_0x8168e1(0x1df5)](_0x88d1b2[_0x8168e1(0xd92)],function(_0x3a3318,_0x57aea4){const _0x9347ac=_0x8168e1;angular[_0x9347ac(0x1df5)](_0x3a3318,function(_0x5e3ad9,_0x2b28ed){_0x29c98f['@'+_0x2009bd+_0x31958f(_0x57aea4)+_0x31958f(_0x2b28ed)]=_0x5e3ad9;});});}),_0x4417a4(_0x29c98f);});}function _0x59efb5(_0x5a213e){const _0x5add8c=a0_0x3bb9,_0x5d600b=angular[_0x5add8c(0x235a)](_0x5a213e);angular[_0x5add8c(0x1df5)](_0x5d600b,function(_0x12e4f1){const _0x22034e=_0x5add8c;angular[_0x22034e(0x1df5)](_0x12e4f1,function(_0x12f640,_0x393581){const _0xcc4b17=_0x22034e;_0x12e4f1[_0x393581]=_0x12f640[_0xcc4b17(0xd92)],_0x12e4f1[_0x393581][_0xcc4b17(0x15bb)]=_0x12f640[_0xcc4b17(0xd92)]['default']['color'],_0x12e4f1[_0x393581][_0xcc4b17(0x1ce0)]=_0x12f640[_0xcc4b17(0xd92)][_0xcc4b17(0x13b1)][_0xcc4b17(0x1ce0)],_0x12e4f1[_0x393581]['contrast2']=_0x12f640[_0xcc4b17(0xd92)][_0xcc4b17(0x13b1)][_0xcc4b17(0xd52)],_0x12e4f1[_0x393581][_0xcc4b17(0x290c)]=_0x12f640['levels']['default'][_0xcc4b17(0x290c)],_0x12e4f1[_0x393581][_0xcc4b17(0xd02)]=_0x12f640[_0xcc4b17(0xd92)][_0xcc4b17(0x13b1)]['contrast4'],delete _0x12e4f1[_0x393581][_0xcc4b17(0x13b1)];});}),_0x1d76be[_0x5add8c(0x1953)](_0x5d600b);const _0x5dd127=_0xe1cfa4[_0x5add8c(0x16b4)](_0x5add8c(0x1a23));_0x5dd127?_0x1d76be[_0x5add8c(0x1168)](_0x5dd127):_0x1d76be[_0x5add8c(0x1168)](_0x5add8c(0x13b1));}function _0x4417a4(_0x339d4a){const _0x36ade7=a0_0x3bb9,_0x4c6f6e=_0x36ade7(0x1422),_0x12e778=new RegExp(Object[_0x36ade7(0x627)](_0x339d4a)['join']('|'),'gi'),_0x4ed2e7=_0x4c6f6e[_0x36ade7(0x5f4)](_0x12e778,function(_0x437340){return _0x339d4a[_0x437340];}),_0x11f9c3=angular[_0x36ade7(0x1853)](_0x36ade7(0x6d8)),_0x5429ba=angular[_0x36ade7(0x1853)](_0x36ade7(0x11fd));_0x5429ba[_0x36ade7(0x1931)](_0x4ed2e7),_0x11f9c3[_0x36ade7(0x1034)](_0x5429ba);}function _0x17c421(_0x5beab0,_0x2956b9){const _0x22c198=a0_0x3bb9,_0x413a41=_0x2956b9||![];_0x5beab0[_0x22c198(0x402)]===0x4&&_0x5beab0[0x0]===0xff&&_0x5beab0[0x1]===0xff&&_0x5beab0[0x2]===0xff&&_0x5beab0[_0x22c198(0x1f7d)](0x3,0x4);_0x413a41&&(_0x5beab0=_0x51a10d(_0x5beab0,_0x413a41));if(_0x5beab0[_0x22c198(0x402)]===0x3)return _0x22c198(0xb34)+_0x5beab0[_0x22c198(0xb47)](',')+')';else{if(_0x5beab0['length']===0x4)return _0x22c198(0x2338)+_0x5beab0[_0x22c198(0xb47)](',')+')';else _0x3dc352['error'](_0x22c198(0x1685)+_0x5beab0[_0x22c198(0x402)]+'\x0a'+'The\x20array\x20must\x20have\x203\x20or\x204\x20colors.');}}function _0x51a10d(_0x2375ee,_0x2ac6f2){const _0x47aa57=a0_0x3bb9,_0x2cc18d={'white':{0x1:'1',0x2:_0x47aa57(0x1cb5),0x3:_0x47aa57(0x2399),0x4:'0.12'},'black':{0x1:_0x47aa57(0x222b),0x2:_0x47aa57(0x1e4a),0x3:_0x47aa57(0x183c),0x4:_0x47aa57(0x2361)}};if(_0x2375ee[0x0]===0xff&&_0x2375ee[0x1]===0xff&&_0x2375ee[0x2]===0xff)_0x2375ee[0x3]=_0x2cc18d['white'][_0x2ac6f2];else _0x2375ee[0x0]===0x0&&_0x2375ee[0x1]===0x0&&_0x2375ee[0x2]===0x0&&(_0x2375ee[0x3]=_0x2cc18d[_0x47aa57(0x13fb)][_0x2ac6f2]);return _0x2375ee;}function _0x31958f(_0x278f7d){const _0x579fa7=a0_0x3bb9;return _0x278f7d[_0x579fa7(0x1121)](0x0)[_0x579fa7(0x1c37)]()+_0x278f7d[_0x579fa7(0x1298)](0x1);}}const _0x25c0aa=_0x198ea8;;const _0x1d808f=[{'name':_0x313a4d(0x19ae),'options':{0x32:_0x313a4d(0x1fab),0x64:_0x313a4d(0x2454),0xc8:_0x313a4d(0x20fe),0x12c:_0x313a4d(0xf16),0x190:_0x313a4d(0x404),0x1f4:_0x313a4d(0x46e),0x258:_0x313a4d(0xb5b),0x2bc:'#275498',0x320:_0x313a4d(0xc93),0x384:_0x313a4d(0x1418),'A100':_0x313a4d(0x2454),'A200':_0x313a4d(0x20fe),'A400':'#5688d3','A700':_0x313a4d(0x118d),'contrastDefaultColor':'light','contrastDarkColors':_0x313a4d(0x1eb2),'contrastStrongLightColors':_0x313a4d(0x1b2)}},{'name':_0x313a4d(0x4e9),'options':{0x32:_0x313a4d(0xf66),0x64:_0x313a4d(0x228a),0xc8:_0x313a4d(0x1f88),0x12c:_0x313a4d(0x10b1),0x190:_0x313a4d(0x278b),0x1f4:_0x313a4d(0x16b5),0x258:_0x313a4d(0x840),0x2bc:_0x313a4d(0xa9a),0x320:_0x313a4d(0x1c09),0x384:_0x313a4d(0x25a8),'A100':_0x313a4d(0x228a),'A200':_0x313a4d(0x1f88),'A400':'#5c616f','A700':_0x313a4d(0xa9a),'contrastDefaultColor':_0x313a4d(0x157b),'contrastDarkColors':_0x313a4d(0x1eb2),'contrastStrongLightColors':_0x313a4d(0x1b2)}}],_0xf9d376=_0x1d808f;;const _0x24a482={'default':{'primary':{'name':'motion-paleblue','hues':{'default':_0x313a4d(0x1a82),'hue-1':_0x313a4d(0x25ae),'hue-2':_0x313a4d(0x9de),'hue-3':_0x313a4d(0x10a1)}},'accent':{'name':_0x313a4d(0x34f),'hues':{'default':_0x313a4d(0x9de),'hue-1':_0x313a4d(0x10a1),'hue-2':'700','hue-3':_0x313a4d(0x1746)}},'warn':{'name':_0x313a4d(0x10f)},'background':{'name':'grey','hues':{'default':_0x313a4d(0x1746),'hue-1':_0x313a4d(0x1746),'hue-2':'100','hue-3':_0x313a4d(0xd30)}}},'pinkTheme':{'primary':{'name':_0x313a4d(0x1f3c),'hues':{'default':'800','hue-1':_0x313a4d(0x9de),'hue-2':_0x313a4d(0x10a1),'hue-3':_0x313a4d(0x1746)}},'accent':{'name':_0x313a4d(0xac3),'hues':{'default':_0x313a4d(0x10a1),'hue-1':'300','hue-2':'600','hue-3':_0x313a4d(0x1746)}},'warn':{'name':_0x313a4d(0x47c)},'background':{'name':'grey','hues':{'default':_0x313a4d(0x1746),'hue-1':_0x313a4d(0x1746),'hue-2':'100','hue-3':'300'}}},'tealTheme':{'primary':{'name':_0x313a4d(0x19ae),'hues':{'default':'900','hue-1':_0x313a4d(0x9de),'hue-2':_0x313a4d(0x25ae),'hue-3':_0x313a4d(0x1746)}},'accent':{'name':'teal','hues':{'default':_0x313a4d(0x25ae),'hue-1':_0x313a4d(0x10a1),'hue-2':_0x313a4d(0x9de),'hue-3':_0x313a4d(0x1746)}},'warn':{'name':_0x313a4d(0xaf1)},'background':{'name':'grey','hues':{'default':'A100','hue-1':_0x313a4d(0x1746),'hue-2':_0x313a4d(0x1e9f),'hue-3':'300'}}}},_0x9d0ac0=_0x24a482;;_0x385066[_0x313a4d(0x11c2)]=[_0x313a4d(0xfea),'motionPalettes',_0x313a4d(0x1ba5)];function _0x385066(_0x356201,_0x5c80f6,_0x36eea7){const _0x495045=_0x313a4d;let _0x5986d5;angular['injector']([_0x495045(0x1633)])[_0x495045(0x1e21)]([_0x495045(0x23df),function(_0x5d00ad){_0x5986d5=_0x5d00ad;}]);const _0x26fd94=_0x5986d5[_0x495045(0x1115)](_0x495045(0x1fb2));_0x26fd94&&(_0x36eea7[_0x495045(0x1802)]=_0x26fd94),_0x356201[_0x495045(0x5c1)](!![]),angular[_0x495045(0x1df5)](_0x5c80f6,function(_0x1d1389){const _0x5a9bf6=_0x495045;_0x356201['definePalette'](_0x1d1389['name'],_0x1d1389[_0x5a9bf6(0xa08)]);}),angular[_0x495045(0x1df5)](_0x36eea7,function(_0x4a6816,_0x311b0c){const _0x437836=_0x495045;_0x356201['theme'](_0x311b0c)[_0x437836(0xc24)](_0x4a6816['primary'][_0x437836(0x19eb)],_0x4a6816[_0x437836(0x1e07)][_0x437836(0xb21)])[_0x437836(0x24d9)](_0x4a6816[_0x437836(0x1119)][_0x437836(0x19eb)],_0x4a6816[_0x437836(0x1119)][_0x437836(0xb21)])['warnPalette'](_0x4a6816[_0x437836(0x1859)][_0x437836(0x19eb)],_0x4a6816[_0x437836(0x1859)][_0x437836(0xb21)])[_0x437836(0xf63)](_0x4a6816[_0x437836(0x156f)][_0x437836(0x19eb)],_0x4a6816[_0x437836(0x156f)][_0x437836(0xb21)]);});}const _0x4eb07f=_0x385066;;_0x5cdb98[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),_0x313a4d(0x2032),'$mdTheming'];function _0x5cdb98(_0xda95b0,_0x594e0d,_0x3be5dc){const _0x3531e1={'getRegisteredPalettes':_0x2c1db7,'getRegisteredThemes':_0x212b89,'setActiveTheme':_0x185ae6,'setThemesList':_0x46b671,'themes':{'list':{},'active':{'name':'','theme':{}}}};return _0x3531e1;function _0x2c1db7(){const _0x5eef64=a0_0x3bb9;return _0x3be5dc[_0x5eef64(0x269)];}function _0x212b89(){const _0x1d8632=a0_0x3bb9;return _0x3be5dc[_0x1d8632(0x1e8c)];}function _0x185ae6(_0x5de05b){const _0x18c57e=a0_0x3bb9;if(angular[_0x18c57e(0x1619)](_0x3531e1['themes'][_0x18c57e(0x25f4)][_0x5de05b])){if(angular[_0x18c57e(0x1619)](_0x3531e1['themes']['list'][_0x18c57e(0x13b1)])){_0x594e0d[_0x18c57e(0x1980)](_0x18c57e(0xa6b));return;}_0x594e0d[_0x18c57e(0x1859)](_0x18c57e(0x126f)+_0x5de05b+_0x18c57e(0x2575)),_0x3531e1[_0x18c57e(0x122e)][_0x18c57e(0x275a)][_0x18c57e(0x19eb)]=_0x18c57e(0x13b1),_0x3531e1[_0x18c57e(0x122e)][_0x18c57e(0x275a)][_0x18c57e(0x6bf)]=_0x3531e1[_0x18c57e(0x122e)][_0x18c57e(0x25f4)][_0x18c57e(0x13b1)],_0xda95b0['put']('motion.selectedTheme',_0x3531e1['themes']['active']['name']);return;}_0x3531e1[_0x18c57e(0x122e)][_0x18c57e(0x275a)][_0x18c57e(0x19eb)]=_0x5de05b,_0x3531e1['themes'][_0x18c57e(0x275a)]['theme']=_0x3531e1['themes']['list'][_0x5de05b],_0xda95b0['put'](_0x18c57e(0x1a23),_0x5de05b);}function _0x46b671(_0x334797){const _0x5bd1b3=a0_0x3bb9;_0x3531e1[_0x5bd1b3(0x122e)]['list']=_0x334797;}}const _0x313651=_0x5cdb98;;let _0x3d1dd8=angular[_0x313a4d(0x2528)](_0x313a4d(0x283b),[_0x313a4d(0xc86),_0x313a4d(0x15ef),'ngCookies',_0x313a4d(0xd95),_0x313a4d(0x1cb6),_0x313a4d(0x149c),'ngMaterial',_0x313a4d(0x24bb),_0x313a4d(0x334),_0x313a4d(0x2699),_0x313a4d(0xe1),_0x313a4d(0x26ef),_0x313a4d(0x28a5)])[_0x313a4d(0x2122)](_0x313a4d(0x22c5),_0x5e62d5)['config'](_0x3ab618)['run'](_0x52f731)[_0x313a4d(0x28f0)](_0x313a4d(0x26bc),_0x52dc59);_0x3d1dd8=_0xdcbf80['reduce']((_0xfcf353,[_0x4a1330,_0x1b8489,_0xd76307])=>{const _0x19f76e=_0x313a4d;switch(_0xd76307){case'directive':_0xfcf353[_0x19f76e(0x2ba)](_0x4a1330,_0x1b8489);break;case _0x19f76e(0x2122):_0xfcf353[_0x19f76e(0x2122)](_0x4a1330,_0x1b8489);break;case _0x19f76e(0x28f0):_0xfcf353[_0x19f76e(0x28f0)](_0x4a1330,_0x1b8489);break;case _0x19f76e(0x1750):_0xfcf353[_0x19f76e(0x1750)](_0x4a1330,_0x1b8489);break;default:break;}return _0xfcf353;},_0x3d1dd8),_0x3d1dd8[_0x313a4d(0x1750)](_0x313a4d(0x2641),_0x52197e)[_0x313a4d(0x1dd6)]('altDate',_0x24564d)[_0x313a4d(0x1dd6)](_0x313a4d(0x1062),_0x3cf718)['filter'](_0x313a4d(0x985),_0x2d4398)[_0x313a4d(0x1dd6)](_0x313a4d(0x2d2),_0x15bc34)[_0x313a4d(0x1dd6)](_0x313a4d(0xcea),_0x29e680)[_0x313a4d(0x1dd6)](_0x313a4d(0x83f),_0xd8cfa1)['filter'](_0x313a4d(0x1b5c),_0x5c7cb0)['filter']('filterByPropIds',_0xe1b971)['filter'](_0x313a4d(0x1333),_0x2a9c66)['filter'](_0x313a4d(0x2042),_0x5b65a6)['filter']('filterByTags',_0xbb4f31)[_0x313a4d(0x1dd6)](_0x313a4d(0x1b4f),_0x4bf12a)[_0x313a4d(0x2122)]('motNotification',_0x341f96)[_0x313a4d(0x1750)](_0x313a4d(0x362),_0x27fceb)['factory'](_0x313a4d(0xc5f),_0x34798b)['provider'](_0x313a4d(0x13ae),_0xa1d329)[_0x313a4d(0x1750)](_0x313a4d(0x216c),_0x579f71)['controller'](_0x313a4d(0x223d),_0x21ffcf)[_0x313a4d(0x2ba)](_0x313a4d(0x539),_0x53774c)[_0x313a4d(0x1750)](_0x313a4d(0x1373),_0x25c0aa)[_0x313a4d(0x24fe)]('motionPalettes',_0xf9d376)[_0x313a4d(0x24fe)](_0x313a4d(0x1ba5),_0x9d0ac0)[_0x313a4d(0x989)](_0x4eb07f)[_0x313a4d(0x243a)](_0x313a4d(0x1053),_0x313651);;_0x1b3b32['$inject']=[_0x313a4d(0x910),'$cookies',_0x313a4d(0x15b9),_0x313a4d(0x279d),'msNavigationService',_0x313a4d(0xa87),_0x313a4d(0x247f)];function _0x1b3b32(_0x5ec818,_0x1e2cbf,_0x2a8f87,_0xb2ff64,_0x3ba2b9,_0x1a3dcb,_0x2aa3ba){const _0x550576=_0x313a4d,_0x423fb6=this;_0x423fb6[_0x550576(0x1377)]=angular[_0x550576(0x1853)]('body'),_0x423fb6['msScrollOptions']={'suppressScrollX':!![]},_0x423fb6[_0x550576(0x15b9)]=_0x2a8f87,_0x423fb6[_0x550576(0x1b1f)]=_0x2aa3ba['baseUrl'],_0x423fb6[_0x550576(0x20de)]=_0x1626c1,_0x423fb6['toggleMsNavigationFolded']=_0x94391,_0x423fb6[_0x550576(0x1dd7)]=_0x231203,_0xb2ff64['on'](_0x550576(0x50b),_0x885ea7),_0xb2ff64['on']('dashboard:update',_0x885ea7),_0xb2ff64['on'](_0x550576(0x256c),_0x226890),_0xb2ff64['on'](_0x550576(0xc4f),_0xbe6ec2),_0xb2ff64['on'](_0x550576(0xa60),_0xbe6ec2),_0xb2ff64['on'](_0x550576(0xf78),_0x523651);function _0x1626c1(){const _0x37a618=_0x550576;_0x423fb6[_0x37a618(0x2834)]=_0x1e2cbf[_0x37a618(0x16b4)](_0x37a618(0x9ec))===_0x37a618(0x1185)||![];}function _0x94391(){const _0x24d7ae=_0x550576;_0x423fb6['folded']=!_0x423fb6[_0x24d7ae(0x2834)],_0x1e2cbf['put'](_0x24d7ae(0x9ec),_0x423fb6[_0x24d7ae(0x2834)]);}function _0x231203(){const _0x44527a=_0x550576;return _0x423fb6[_0x44527a(0x15b9)]['defaultHeaderWhiteLabel']?_0x44527a(0x23f2):_0x423fb6[_0x44527a(0x15b9)][_0x44527a(0xdac)];}function _0x885ea7(_0x393974){const _0x5f0b50=_0x550576;if(_0x393974&&_0x393974['id']){const _0x29f9cb=0x1869f+_0x393974['id'];_0x3ba2b9[_0x5f0b50(0x150e)](_0x5f0b50(0x1c77)+_0x29f9cb,{'id':_0x29f9cb,'title':_0x393974[_0x5f0b50(0x19eb)]||_0x5f0b50(0x19f9),'state':_0x5f0b50(0x993),'stateParams':_0x393974,'weight':0x2,'hidden':function(){const _0x47ff8f=_0x5f0b50;return _0x1a3dcb['hasRole'](_0x47ff8f(0x1755))||!_0x1a3dcb[_0x47ff8f(0x2434)](_0x29f9cb)&&!_0x1a3dcb[_0x47ff8f(0x23e0)](_0x47ff8f(0x174b));}});}}function _0x226890(_0x5da2c8){const _0x426031=_0x550576;if(_0x5da2c8&&_0x5da2c8['id']){const _0x540fc8=0x1869f+_0x5da2c8['id'];_0x3ba2b9[_0x426031(0xbaf)]('apps.dashboards.custom_'+_0x540fc8);}}function _0xbe6ec2(_0x26a1eb){const _0x4d5b2d=_0x550576;if(_0x26a1eb&&_0x26a1eb['id']&&_0x26a1eb[_0x4d5b2d(0x25c9)]!=_0x4d5b2d(0x6d2)){if(_0x26a1eb[_0x4d5b2d(0x275a)]){if(_0x26a1eb[_0x4d5b2d(0x25c9)]==_0x4d5b2d(0xd85)||_0x26a1eb[_0x4d5b2d(0x25c9)]==_0x4d5b2d(0x2497)){_0x3ba2b9[_0x4d5b2d(0x150e)](_0x4d5b2d(0x1e74),{'id':0x76c,'title':'Plugins','translate':_0x4d5b2d(0x5b2),'icon':_0x4d5b2d(0x1205),'weight':0x11,'hidden':function(){const _0x395159=_0x4d5b2d;return _0x1a3dcb['hasRole']('agent')||!_0x1a3dcb[_0x395159(0x2434)](0x76c)&&!_0x1a3dcb[_0x395159(0x23e0)](_0x395159(0x174b));}});const _0x23d6ea=0x15b38+_0x26a1eb['id'];_0x3ba2b9[_0x4d5b2d(0x150e)]('apps.plugins.plugin_'+_0x23d6ea,{'id':_0x23d6ea,'title':_0x26a1eb['name']||_0x4d5b2d(0x19f9),'state':_0x4d5b2d(0x9ce),'stateParams':_0x26a1eb,'weight':0x2,'hidden':function(){const _0x33fa34=_0x4d5b2d;return _0x1a3dcb[_0x33fa34(0x23e0)](_0x33fa34(0x1755))||!_0x1a3dcb[_0x33fa34(0x2434)](_0x23d6ea)&&!_0x1a3dcb[_0x33fa34(0x23e0)]('admin');}}),_0x3ba2b9['sort']();}}else{const _0x1af7fa=0x15b38+_0x26a1eb['id'];_0x11a079(0x76c,_0x1af7fa)&&(_0x26a1eb[_0x4d5b2d(0x25c9)]==_0x4d5b2d(0xd85)||_0x26a1eb[_0x4d5b2d(0x25c9)]==_0x4d5b2d(0x2497))&&(_0x3ba2b9[_0x4d5b2d(0xbaf)](_0x4d5b2d(0x1df2)+_0x1af7fa),!_0x594d7f(0x76c)&&_0x3ba2b9[_0x4d5b2d(0x150e)]('apps.plugins',{'id':0x76c,'title':_0x4d5b2d(0x40f),'translate':_0x4d5b2d(0x5b2),'icon':'icon-apps','weight':0x11,'hidden':function(){return!![];}}));}}}function _0x523651(_0x177528){const _0x209ea5=_0x550576;if(_0x177528&&_0x177528['id']&&_0x177528[_0x209ea5(0x25c9)]!=_0x209ea5(0x6d2)){const _0x9a711f=0x15b38+_0x177528['id'];(_0x177528['sidebar']=='always'||_0x177528[_0x209ea5(0x25c9)]==_0x209ea5(0x2497))&&(_0x3ba2b9['deleteItem'](_0x209ea5(0x1df2)+_0x9a711f),!_0x594d7f(0x76c)&&_0x3ba2b9[_0x209ea5(0x150e)]('apps.plugins',{'id':0x76c,'title':_0x209ea5(0x40f),'translate':_0x209ea5(0x5b2),'icon':_0x209ea5(0x1205),'weight':0x11,'hidden':function(){return!![];}}));}}function _0x594d7f(_0x1439b0){const _0xb6f124=_0x550576,_0x4629ef=_0x3ba2b9['getNavigation'](),_0x3874d4=_0x3f65c0()[_0xb6f124(0xc84)](_0x4629ef[0x0][_0xb6f124(0x20d0)],{'id':_0x1439b0});return _0x3874d4&&_0x3874d4['children']['length']>0x0?!![]:![];}function _0x11a079(_0x2cd3c3,_0x5882f1){const _0x13a042=_0x550576,_0xdd8991=_0x3ba2b9[_0x13a042(0x5c4)](),_0x2ae437=_0x3f65c0()[_0x13a042(0xc84)](_0xdd8991[0x0][_0x13a042(0x20d0)],{'id':_0x2cd3c3});if(!_0x2ae437)return![];return _0x3f65c0()[_0x13a042(0x1360)](_0x2ae437[_0x13a042(0x20d0)],{'id':_0x5882f1});}_0x5ec818[_0x550576(0x16ad)](_0x550576(0x1dfb),function(){const _0x302481=_0x550576;_0x423fb6[_0x302481(0x1377)][_0x302481(0x1973)]('ms-navigation-horizontal-mobile-menu-active');});}const _0x4da434=_0x1b3b32;;function _0x1fc1a3(){}angular[_0x313a4d(0x2528)](_0x313a4d(0x276c),[])[_0x313a4d(0x989)](_0x1fc1a3)[_0x313a4d(0x28f0)]('NavigationController',_0x4da434);;_0x465172['$inject']=[_0x313a4d(0x910),_0x313a4d(0x10e8),'$mdToast',_0x313a4d(0x247f),_0x313a4d(0xe7b),_0x313a4d(0x15b9),'reset'];function _0x465172(_0x4cf27f,_0x445ade,_0x5e8b9b,_0xab263d,_0x3e28c8,_0x1840c8,_0x31c974){const _0x4d12c2=_0x313a4d,_0x1ad16d=this;_0x1ad16d['errors']=[],_0x1ad16d[_0x4d12c2(0xe7b)]=_0x3e28c8,_0x1ad16d[_0x4d12c2(0x15b9)]=_0x1840c8,_0x1ad16d[_0x4d12c2(0x2450)]=_0x31c974,_0x1ad16d[_0x4d12c2(0x2251)]=_0x1ad16d[_0x4d12c2(0x15b9)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x1ad16d[_0x4d12c2(0x23b7)]=_0x4f8c5a,_0x1ad16d[_0x4d12c2(0x13f3)]=_0x140449,_0x4cf27f[_0x4d12c2(0x21e8)]('vm.password',function(_0x427a56){const _0x183cd0=_0x4d12c2;!_0x3f65c0()[_0x183cd0(0x1b36)](_0x1ad16d[_0x183cd0(0x2571)])&&(_0x427a56===_0x1ad16d[_0x183cd0(0x2571)]?_0x4cf27f['changePasswordForm']['password']['$setValidity'](_0x183cd0(0x1e40),![]):_0x4cf27f[_0x183cd0(0x2943)][_0x183cd0(0xf37)][_0x183cd0(0x24f8)]('oldPasswordMatch',!![]));});function _0x4f8c5a(){const _0x3deea9=_0x4d12c2;_0x1ad16d[_0x3deea9(0xcef)]=[],_0xab263d['user'][_0x3deea9(0x171a)]({'id':_0x1ad16d[_0x3deea9(0xe7b)]['id']},{'oldPassword':_0x1ad16d[_0x3deea9(0x2571)],'newPassword':_0x1ad16d[_0x3deea9(0xf37)]})[_0x3deea9(0x2945)][_0x3deea9(0x146b)](function(){const _0x2b9594=_0x3deea9;_0x5e8b9b[_0x2b9594(0x2615)](_0x5e8b9b[_0x2b9594(0x1e7b)]()['textContent'](_0x2b9594(0x5b8))[_0x2b9594(0x21ca)]('top\x20right')[_0x2b9594(0x1605)](0x1388)),_0x140449();})['catch'](function(_0x3c5009){const _0x2b9350=_0x3deea9;console[_0x2b9350(0x1980)](_0x3c5009),_0x1ad16d['errors']=_0x3c5009['data'][_0x2b9350(0xcef)]&&_0x3c5009[_0x2b9350(0x524)][_0x2b9350(0xcef)][_0x2b9350(0x402)]?_0x3c5009[_0x2b9350(0x524)]['errors']:[{'message':_0x3c5009[_0x2b9350(0x524)][_0x2b9350(0x7fd)]||'Authorization\x20error','type':_0x2b9350(0x9ad)}];});}function _0x140449(){const _0x9473e8=_0x4d12c2;_0x445ade[_0x9473e8(0x2458)]();}}const _0x3e84d9=_0x465172;;_0x35306d[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$state',_0x313a4d(0xd08),'$mdDialog',_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0x17d7),_0x313a4d(0x10ba)];function _0x35306d(_0x24e5cf,_0x198185,_0x1f8c8c,_0x3a7655,_0x3374c7,_0x3881e6,_0x5892d9,_0x56920c){const _0xb9bc29=_0x313a4d,_0x2b6355=this;_0x2b6355['customFieldsMap']=_0x56920c&&_0x56920c[_0xb9bc29(0x19c7)]?_0x3f65c0()['mapValues'](_0x3f65c0()['keyBy'](_0x56920c['rows'],function(_0x1b1853){const _0x3b0841=_0xb9bc29;return _0x3b0841(0x21ef)+_0x1b1853['id'];}),_0xb9bc29(0x19eb)):{},_0x2b6355['errors']=[],_0x2b6355[_0xb9bc29(0x5a9)]=[],_0x2b6355['preview']=_0x5892d9,_0x2b6355[_0xb9bc29(0x1e38)]=![],_0x2b6355[_0xb9bc29(0x1cdf)]=![],_0x2b6355[_0xb9bc29(0x579)]=![],_0x2b6355[_0xb9bc29(0x5a9)][_0xb9bc29(0x1f47)](_0x2b6355[_0xb9bc29(0x17d7)]['id']),_0x2b6355[_0xb9bc29(0x240c)]=_0xa94cd,_0x2b6355[_0xb9bc29(0x13f3)]=_0x4f79a8,_0x2b6355['nextContact']=_0x45ac5b,_0x2b6355[_0xb9bc29(0x54b)]=_0x138c6f,_0x2b6355[_0xb9bc29(0x1122)]=_0x3654,_0x2b6355[_0xb9bc29(0xcb8)]=_0x516a60,_0x2b6355[_0xb9bc29(0x2871)]=_0x3225de,_0x2b6355['isNil']=_0x14fe51;function _0x14fe51(_0xaf4ff6){const _0x5b15d6=_0xb9bc29;return _0x3f65c0()[_0x5b15d6(0x1b36)](_0xaf4ff6);}function _0x516a60(_0x1aab0b){const _0x498ab9=_0xb9bc29;return _0x3f65c0()[_0x498ab9(0xd4d)](_0x1aab0b,_0x498ab9(0x21ef));}function _0x3225de(_0x237568){const _0x838a05=_0xb9bc29;return _0x3f65c0()[_0x838a05(0xa4e)]([_0x838a05(0x26c9),_0x838a05(0xe7c),_0x838a05(0xb7c),_0x838a05(0xea2),_0x838a05(0xc68),_0x838a05(0x13fc),'id','priority',_0x838a05(0x432),'tags',_0x838a05(0x144f),_0x838a05(0xe77),_0x838a05(0x27c),_0x838a05(0x7a2),_0x838a05(0x106e),_0x838a05(0x26f4),_0x838a05(0x12d3),_0x838a05(0x152),'telegram'],_0x237568);}function _0xa94cd(){const _0x40cc0b=_0xb9bc29;_0x2b6355[_0x40cc0b(0x1e38)]=!![],_0x2b6355[_0x40cc0b(0x1cdf)]=!![],_0x3f65c0()['isEmpty'](_0x2b6355[_0x40cc0b(0x17d7)])?(_0x3374c7[_0x40cc0b(0x1980)]({'title':_0x40cc0b(0xe2),'msg':_0x40cc0b(0x1612)}),_0x2b6355[_0x40cc0b(0x1cdf)]=![],_0x2b6355[_0x40cc0b(0x5a9)]=[],_0x4f79a8(!![])):_0x3881e6[_0x40cc0b(0x1af7)][_0x40cc0b(0x2803)]({'id':_0x2b6355[_0x40cc0b(0x17d7)]['id']})['$promise'][_0x40cc0b(0x146b)](function(){_0x4f79a8(!![]);})[_0x40cc0b(0x129e)](function(_0x34d26b){const _0x576385=_0x40cc0b;_0x3374c7[_0x576385(0x1980)]({'title':_0x576385(0xe2),'msg':_0x34d26b[_0x576385(0x524)]}),_0x4f79a8(!![]);})['finally'](function(){const _0x41b03e=_0x40cc0b;_0x2b6355['disable']=![],_0x2b6355[_0x41b03e(0x5a9)]=[];});}function _0x4f79a8(_0x45d90b){const _0x2594db=_0xb9bc29;_0x3f65c0()[_0x2594db(0x1b36)](_0x45d90b)&&_0x3881e6[_0x2594db(0x39f)][_0x2594db(0x18e1)]({'id':_0x2b6355[_0x2594db(0x17d7)]['id'],'UserId':null}),_0x3a7655[_0x2594db(0x2458)]();}_0x24e5cf[_0xb9bc29(0x16ad)](_0xb9bc29(0x116f),function(){const _0x499123=_0xb9bc29;!_0x2b6355[_0x499123(0x1e38)]&&_0x3881e6[_0x499123(0x39f)][_0x499123(0x18e1)]({'id':_0x2b6355[_0x499123(0x17d7)]['id'],'UserId':null});});function _0x45ac5b(){const _0x1aff9e=_0xb9bc29;return _0x2b6355['disableGetContact']=!![],_0x3881e6[_0x1aff9e(0x39f)][_0x1aff9e(0x551)]({'hopperIds':_0x2b6355[_0x1aff9e(0x5a9)],'direction':_0x1aff9e(0xb66)})[_0x1aff9e(0x2945)][_0x1aff9e(0x146b)](function(_0x491994){const _0x2a1e7a=_0x1aff9e;_0x2b6355[_0x2a1e7a(0x579)]=![],!_0x3f65c0()['isNil'](_0x491994)&&!_0x3f65c0()[_0x2a1e7a(0x1b36)](_0x491994[_0x2a1e7a(0xe26)])&&_0x491994[_0x2a1e7a(0xe26)]['dialActive']?(!_0x2b6355['originated']&&_0x3881e6[_0x2a1e7a(0x39f)]['update']({'id':_0x2b6355[_0x2a1e7a(0x17d7)]['id'],'UserId':null}),_0x2b6355[_0x2a1e7a(0x17d7)]=_0x491994,_0x2b6355['hopperIds'][_0x2a1e7a(0x1f47)](_0x2b6355[_0x2a1e7a(0x17d7)]['id'])):(_0x2b6355[_0x2a1e7a(0x5a9)]=[],_0x3a7655['hide'](),_0x3374c7[_0x2a1e7a(0x271e)]({'title':_0x2a1e7a(0xe66),'msg':_0x2a1e7a(0x1133)}));})[_0x1aff9e(0x129e)](function(_0x2839ae){const _0xefd164=_0x1aff9e;_0x2b6355[_0xefd164(0x579)]=![],_0x2b6355['hopperIds']=[];if(_0x2839ae['status']===0x194)_0x2b6355['getFirstAvailableContact']();else{if(_0x2839ae['data']&&_0x2839ae[_0xefd164(0x524)][_0xefd164(0xcef)]&&_0x2839ae['data'][_0xefd164(0xcef)][_0xefd164(0x402)]){_0x3a7655[_0xefd164(0x2458)]();for(let _0x453824=0x0;_0x453824<_0x2839ae['data'][_0xefd164(0xcef)]['length'];_0x453824+=0x1){_0x3374c7['error']({'title':_0x2839ae['data'][_0xefd164(0xcef)][_0x453824]['type'],'msg':_0x2839ae[_0xefd164(0x524)][_0xefd164(0xcef)][_0x453824][_0xefd164(0x7fd)]});}}else _0x3a7655['hide'](),_0x3374c7[_0xefd164(0x1980)]({'title':_0x2839ae[_0xefd164(0x107b)]?_0xefd164(0x262a)+_0x2839ae[_0xefd164(0x107b)]+'\x20-\x20'+_0x2839ae['statusText']:_0xefd164(0x2583),'msg':_0x2839ae[_0xefd164(0x524)]?JSON['stringify'](_0x2839ae['data'][_0xefd164(0x7fd)]):_0x2839ae[_0xefd164(0xd5f)]()});}});}function _0x138c6f(){const _0x345fde=_0xb9bc29;_0x2b6355[_0x345fde(0x579)]=!![];if(_0x2b6355['hopperIds'][_0x345fde(0x402)]>0x1){const _0x4a8a4c=_0x3f65c0()[_0x345fde(0x332)](_0x2b6355[_0x345fde(0x5a9)],_0x2b6355[_0x345fde(0x17d7)]['id']);return _0x3881e6[_0x345fde(0x39f)][_0x345fde(0x551)]({'hopperIds':_0x4a8a4c,'direction':_0x345fde(0x15c2)})[_0x345fde(0x2945)][_0x345fde(0x146b)](function(_0x29b806){const _0x525af9=_0x345fde;_0x2b6355[_0x525af9(0x579)]=![],!_0x3f65c0()[_0x525af9(0x1b36)](_0x29b806)&&!_0x3f65c0()['isNil'](_0x29b806['Queue'])&&_0x29b806['Queue'][_0x525af9(0x1095)]?(_0x3f65c0()[_0x525af9(0x2640)](_0x2b6355[_0x525af9(0x5a9)],function(_0xea99c6){const _0x345b74=_0x525af9;return _0xea99c6==_0x2b6355[_0x345b74(0x17d7)]['id'];}),!_0x2b6355[_0x525af9(0x1e38)]&&_0x3881e6[_0x525af9(0x39f)][_0x525af9(0x18e1)]({'id':_0x2b6355[_0x525af9(0x17d7)]['id'],'UserId':null}),_0x2b6355[_0x525af9(0x17d7)]=_0x29b806):(_0x2b6355['hopperIds']=[],_0x3a7655[_0x525af9(0x2458)](),_0x3374c7[_0x525af9(0x271e)]({'title':_0x525af9(0xe66),'msg':'CAMPAIGN\x20NOT\x20ACTIVE'}));})[_0x345fde(0x129e)](function(_0x55a8f2){const _0xdbd09f=_0x345fde;if(_0x55a8f2[_0xdbd09f(0x107b)]===0x194)_0x2b6355[_0xdbd09f(0x5a9)]=[_0x2b6355[_0xdbd09f(0x17d7)]['id']],_0x3374c7[_0xdbd09f(0x271e)]({'title':_0xdbd09f(0xe66),'msg':_0xdbd09f(0x2059)});else{if(_0x55a8f2[_0xdbd09f(0x524)]&&_0x55a8f2[_0xdbd09f(0x524)][_0xdbd09f(0xcef)]&&_0x55a8f2[_0xdbd09f(0x524)][_0xdbd09f(0xcef)][_0xdbd09f(0x402)]){_0x2b6355[_0xdbd09f(0x5a9)]=[],_0x3a7655[_0xdbd09f(0x2458)]();for(let _0x23663e=0x0;_0x23663e<_0x55a8f2[_0xdbd09f(0x524)][_0xdbd09f(0xcef)][_0xdbd09f(0x402)];_0x23663e+=0x1){_0x3374c7[_0xdbd09f(0x1980)]({'title':_0x55a8f2[_0xdbd09f(0x524)]['errors'][_0x23663e][_0xdbd09f(0x1142)],'msg':_0x55a8f2['data'][_0xdbd09f(0xcef)][_0x23663e][_0xdbd09f(0x7fd)]});}}else _0x2b6355[_0xdbd09f(0x5a9)]=[],_0x3a7655['hide'](),_0x3374c7[_0xdbd09f(0x1980)]({'title':_0x55a8f2[_0xdbd09f(0x107b)]?_0xdbd09f(0x262a)+_0x55a8f2[_0xdbd09f(0x107b)]+_0xdbd09f(0x1315)+_0x55a8f2[_0xdbd09f(0x167f)]:_0xdbd09f(0x2583),'msg':_0x55a8f2[_0xdbd09f(0x524)]?JSON[_0xdbd09f(0x10bb)](_0x55a8f2[_0xdbd09f(0x524)][_0xdbd09f(0x7fd)]):_0x55a8f2[_0xdbd09f(0xd5f)]()});}});}}function _0x3654(){const _0x28825a=_0xb9bc29;return _0x2b6355['disableGetContact']=!![],_0x3374c7[_0x28825a(0x271e)]({'title':_0x28825a(0xe66),'msg':_0x28825a(0x1549)}),_0x3881e6['cmHopper'][_0x28825a(0x551)]({'hopperIds':[_0x2b6355['preview']['id']],'direction':'next'})['$promise'][_0x28825a(0x146b)](function(_0x4498e7){const _0x4e9295=_0x28825a;!_0x3f65c0()[_0x4e9295(0x1b36)](_0x4498e7)&&!_0x3f65c0()['isNil'](_0x4498e7['Queue'])&&_0x4498e7[_0x4e9295(0xe26)]['dialActive']?(!_0x2b6355[_0x4e9295(0x1e38)]&&_0x3881e6['cmHopper'][_0x4e9295(0x18e1)]({'id':_0x2b6355[_0x4e9295(0x17d7)]['id'],'UserId':null}),_0x2b6355['preview']=_0x4498e7,_0x2b6355[_0x4e9295(0x5a9)][_0x4e9295(0x1f47)](_0x2b6355[_0x4e9295(0x17d7)]['id'])):(_0x3a7655['hide'](),_0x3374c7['info']({'title':_0x4e9295(0xe66),'msg':_0x4e9295(0x1133)}));})['catch'](function(_0x42b4f4){const _0x53dfd4=_0x28825a;_0x3a7655[_0x53dfd4(0x2458)]();if(_0x42b4f4[_0x53dfd4(0x107b)]===0x194)_0x3374c7[_0x53dfd4(0x271e)]({'title':'PREVIEW','msg':_0x53dfd4(0x1a90)});else{if(_0x42b4f4[_0x53dfd4(0x524)]&&_0x42b4f4[_0x53dfd4(0x524)]['errors']&&_0x42b4f4[_0x53dfd4(0x524)][_0x53dfd4(0xcef)][_0x53dfd4(0x402)])for(let _0x3a03e9=0x0;_0x3a03e9<_0x42b4f4[_0x53dfd4(0x524)][_0x53dfd4(0xcef)]['length'];_0x3a03e9+=0x1){_0x3374c7[_0x53dfd4(0x1980)]({'title':_0x42b4f4['data'][_0x53dfd4(0xcef)][_0x3a03e9][_0x53dfd4(0x1142)],'msg':_0x42b4f4[_0x53dfd4(0x524)]['errors'][_0x3a03e9][_0x53dfd4(0x7fd)]});}else _0x3374c7[_0x53dfd4(0x1980)]({'title':_0x42b4f4[_0x53dfd4(0x107b)]?_0x53dfd4(0x262a)+_0x42b4f4[_0x53dfd4(0x107b)]+_0x53dfd4(0x1315)+_0x42b4f4[_0x53dfd4(0x167f)]:'api\x20preview','msg':_0x42b4f4[_0x53dfd4(0x524)]?JSON[_0x53dfd4(0x10bb)](_0x42b4f4[_0x53dfd4(0x524)]['message']):_0x42b4f4[_0x53dfd4(0xd5f)]()});}})[_0x28825a(0x1ec6)](function(){_0x2b6355['disableGetContact']=![];});}}const _0x308f03=_0x35306d;;const _0x4ea558=_0x4acfac['p']+_0x313a4d(0x6ae);;const _0x19e607=_0x4acfac['p']+_0x313a4d(0x1da3);;_0x23cf9a[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1fc2),'$q',_0x313a4d(0x1862),_0x313a4d(0x1abe),_0x313a4d(0xd08),_0x313a4d(0x15fe),'$translate',_0x313a4d(0x1c7e),_0x313a4d(0x1aa9),_0x313a4d(0x10e8),'$document',_0x313a4d(0x23df),_0x313a4d(0x1fe4),_0x313a4d(0x1f9a),_0x313a4d(0xa87),_0x313a4d(0x279d),_0x313a4d(0x247f),_0x313a4d(0x2690),_0x313a4d(0x15b9),'$interval'];function _0x23cf9a(_0x2c79a9,_0x29a108,_0x2e8af7,_0x40ec1f,_0x5142da,_0x1dd8ec,_0x17b35a,_0x37f55b,_0x4ff89b,_0x2f66f9,_0x2adaee,_0x299daa,_0x347b72,_0x28b2f0,_0x3b9b5a,_0x29d962,_0xf0689d,_0x478105,_0x2b5600,_0x58c02e,_0x233423){const _0x4009a1=_0x313a4d,_0x5e01e3=this;_0x5e01e3[_0x4009a1(0xa27)]={},_0x5e01e3['polling']=!![],_0x5e01e3[_0x4009a1(0x1b1f)]=_0x478105[_0x4009a1(0x1b1f)];let _0x17c33b;_0x29a108['global']={'search':''},_0x5e01e3[_0x4009a1(0x23bb)]=[],_0x5e01e3[_0x4009a1(0x2690)]=_0x2b5600,_0x5e01e3['currentUser']=_0x29d962[_0x4009a1(0xb12)](),_0x5e01e3[_0x4009a1(0x570)]=0x0,_0x5e01e3[_0x4009a1(0x1490)]=0x0,_0x5e01e3[_0x4009a1(0xac0)]=0x0;_0x5e01e3['currentUser']['role']===_0x4009a1(0x1755)&&(_0x17c33b=_0x233423(_0x28e726,0x3a97));_0x5e01e3['setting']=_0x58c02e,_0x5e01e3[_0x4009a1(0x1377)]=angular[_0x4009a1(0x1853)](_0x4009a1(0x2586)),_0x5e01e3[_0x4009a1(0x190c)]={'realm':_0x4009a1(0x1c50),'host':_0x1dd8ec[_0x4009a1(0x148e)](),'protocol':_0x1dd8ec['protocol'](),'remotePlayerId':_0x4009a1(0x2629),'ua':'Motion\x20WebRTC\x20Bar\x200.2.0','license':_0x5e01e3[_0x4009a1(0x2690)][_0x4009a1(0x1e42)],'phoneBarExpires':_0x5e01e3['currentUser'][_0x4009a1(0x205b)],'phoneBarPrefixRequired':_0x5e01e3[_0x4009a1(0x2321)][_0x4009a1(0x27f8)]},_0x5e01e3[_0x4009a1(0x1a56)]={'id':_0x29d962[_0x4009a1(0xb12)]()['id'],'fields':_0x4009a1(0x1285),'channel':_0x4009a1(0xe6),'nolimit':!![]},_0x5e01e3['userStatusOptions']=[{'title':_0x4009a1(0x28b8),'icon':_0x4009a1(0x8f6),'color':'#4CAF50'},{'title':'Away','icon':_0x4009a1(0x2746),'color':_0x4009a1(0x8fe)},{'title':_0x4009a1(0x2189),'icon':_0x4009a1(0xb54),'color':_0x4009a1(0x14bd)},{'title':_0x4009a1(0x2698),'icon':_0x4009a1(0x1b2e),'color':_0x4009a1(0x2a9)},{'title':'Offline','icon':_0x4009a1(0x1b2e),'color':'#616161'}],_0x5e01e3[_0x4009a1(0x20b7)]={'ar':{'title':_0x4009a1(0x1123),'translation':_0x4009a1(0x1d3a),'code':'ar','flag':'ar'},'da':{'title':'Danish','translation':_0x4009a1(0x1a33),'code':'da','flag':'da'},'de':{'title':_0x4009a1(0x592),'translation':_0x4009a1(0x4fd),'code':'de','flag':'de'},'en':{'title':_0x4009a1(0x1ce8),'translation':_0x4009a1(0x26c8),'code':'en','flag':'us'},'es':{'title':'Spanish','translation':_0x4009a1(0x1be3),'code':'es','flag':'es'},'fa':{'title':_0x4009a1(0x120e),'translation':_0x4009a1(0x2850),'code':'fa','flag':'fa'},'fi':{'title':_0x4009a1(0x1229),'translation':_0x4009a1(0x618),'code':'fi','flag':'fi'},'fr':{'title':_0x4009a1(0x12ea),'translation':_0x4009a1(0x977),'code':'fr','flag':'fr'},'he':{'title':'Hebrew','translation':_0x4009a1(0x20c0),'code':'he','flag':'he'},'hi':{'title':_0x4009a1(0x28c1),'translation':'TOOLBAR.HINDI','code':'hi','flag':'hi'},'id':{'title':'Indonesian','translation':_0x4009a1(0x20d2),'code':'id','flag':'id'},'it':{'title':'Italian','translation':_0x4009a1(0xf9b),'code':'it','flag':'it'},'ja':{'title':_0x4009a1(0xf90),'translation':_0x4009a1(0xfe),'code':'ja','flag':'ja'},'ko':{'title':_0x4009a1(0x1dc),'translation':_0x4009a1(0x2845),'code':'ko','flag':'ko'},'lv':{'title':_0x4009a1(0x1ebd),'translation':'TOOLBAR.LATVIAN','code':'lv','flag':'lv'},'nl':{'title':'Dutch','translation':_0x4009a1(0x25d3),'code':'nl','flag':'nl'},'no':{'title':_0x4009a1(0xcb4),'translation':_0x4009a1(0x1e16),'code':'no','flag':'no'},'pl':{'title':_0x4009a1(0xe06),'translation':_0x4009a1(0x1100),'code':'pl','flag':'pl'},'pt-BR':{'title':_0x4009a1(0x1204),'translation':_0x4009a1(0x75d),'code':'pt-BR','flag':'pt-BR'},'pt-PT':{'title':_0x4009a1(0x7b7),'translation':_0x4009a1(0x10b7),'code':_0x4009a1(0x23f5),'flag':'pt-PT'},'ru':{'title':'Russian','translation':'TOOLBAR.RUSSIAN','code':'ru','flag':'ru'},'sv':{'title':_0x4009a1(0x2081),'translation':_0x4009a1(0x1022),'code':'sv','flag':'sv'},'tr':{'title':'Turkish','translation':_0x4009a1(0x1272),'code':'tr','flag':'tr'},'zh-CN':{'title':'ChineseSimplified','translation':_0x4009a1(0x7c5),'code':_0x4009a1(0xc56),'flag':_0x4009a1(0xc56)},'zh-TW':{'title':_0x4009a1(0x464),'translation':_0x4009a1(0x26c6),'code':_0x4009a1(0xf12),'flag':_0x4009a1(0xf12)}};const _0x69468=['ar','fa','he'];_0x5e01e3[_0x4009a1(0xf19)]=_0x28225f,_0x5e01e3[_0x4009a1(0x2044)]=_0x248f17,_0x5e01e3['changeLanguage']=_0x47fdd8,_0x5e01e3[_0x4009a1(0x1cdd)]=_0x32d0ee,_0x5e01e3[_0x4009a1(0x8e7)]=_0x19fe4c,_0x5e01e3[_0x4009a1(0x879)]=_0x16c7aa,_0x5e01e3['search']=_0x486f80,_0x5e01e3[_0x4009a1(0x1ced)]=_0x518db3,_0x5e01e3[_0x4009a1(0x5bf)]=_0x1c95f6,_0x5e01e3[_0x4009a1(0x171a)]=_0x48428a,_0x5e01e3[_0x4009a1(0x224e)]=_0x22ce3f,_0x5e01e3[_0x4009a1(0x1b17)]=_0x555090,_0x5e01e3[_0x4009a1(0x17aa)]=_0x2b9af2,_0x5e01e3[_0x4009a1(0x1e3e)]=_0x5bf76d,_0x5e01e3[_0x4009a1(0x974)]=_0xb3c581,_0x5e01e3[_0x4009a1(0x17d7)]=_0x4571d9,_0x5e01e3['getLogo']=_0x50f477,_0x5e01e3[_0x4009a1(0x31a)]=_0x2c2359,_0x5e01e3[_0x4009a1(0x361)]=_0xfe8c4c,_0x5e01e3['onInternalMessageUpdate']=_0x1b6adc,_0x5e01e3[_0x4009a1(0x28fd)]=_0x4fb728,_0x5e01e3[_0x4009a1(0x1e2)]=_0x2444c7,_0x555755(),_0x77544e(),_0x4b0256();function _0x555755(){const _0x48fb8e=_0x4009a1;_0x5e01e3[_0x48fb8e(0xe7b)]=_0x29d962[_0x48fb8e(0xb12)](),_0x5e01e3['conf'][_0x48fb8e(0x21e0)]=_0x5e01e3[_0x48fb8e(0xe7b)][_0x48fb8e(0x1c10)],_0x5e01e3[_0x48fb8e(0x190c)]['id']=_0x5e01e3[_0x48fb8e(0xe7b)]['id'],_0x5e01e3[_0x48fb8e(0x190c)][_0x48fb8e(0x19eb)]=_0x5e01e3[_0x48fb8e(0xe7b)][_0x48fb8e(0x19eb)],_0x5e01e3[_0x48fb8e(0x190c)][_0x48fb8e(0x286a)]=_0x5e01e3[_0x48fb8e(0xe7b)][_0x48fb8e(0x286a)],_0x5e01e3[_0x48fb8e(0x190c)][_0x48fb8e(0x113f)]=_0x5e01e3['user'][_0x48fb8e(0x113f)],_0x5e01e3[_0x48fb8e(0x190c)][_0x48fb8e(0x15c0)]=_0x5e01e3['user'][_0x48fb8e(0xa8f)],_0x5e01e3['conf']['autoAnswer']=_0x5e01e3['user']['phoneBarAutoAnswer'],_0x5e01e3[_0x48fb8e(0x190c)][_0x48fb8e(0x1625)]=_0x5e01e3['user'][_0x48fb8e(0x1222)],_0x5e01e3[_0x48fb8e(0x190c)][_0x48fb8e(0x21b5)]=_0x5e01e3[_0x48fb8e(0xe7b)][_0x48fb8e(0x7aa)],_0x5e01e3[_0x48fb8e(0x190c)][_0x48fb8e(0xa40)]=_0x5e01e3[_0x48fb8e(0xe7b)][_0x48fb8e(0xb86)],_0x5e01e3[_0x48fb8e(0x1f55)]=_0x5e01e3[_0x48fb8e(0x2766)][0x0];const _0x12f447=_0x347b72[_0x48fb8e(0x16b4)](_0x48fb8e(0x8d6));_0x12f447&&typeof _0x5e01e3[_0x48fb8e(0x20b7)][_0x12f447]!==_0x48fb8e(0x2274)?_0x5e01e3[_0x48fb8e(0xf42)]=_0x5e01e3[_0x48fb8e(0x20b7)][_0x12f447]:_0x5e01e3[_0x48fb8e(0xf42)]=_0x5e01e3['languages'][_0x37f55b['preferredLanguage']()];_0x478105[_0x48fb8e(0x1e3e)]['get']({'fields':'name','nolimit':!![]})[_0x48fb8e(0x2945)][_0x48fb8e(0x146b)](function(_0x228f34){const _0x17e340=_0x48fb8e;_0x5e01e3[_0x17e340(0x23bb)]=_0x228f34[_0x17e340(0x19c7)]?_0x228f34[_0x17e340(0x19c7)]:[];})['catch'](function(_0x2d597c){const _0xb47bdb=_0x48fb8e;_0x28b2f0[_0xb47bdb(0x1980)]({'title':_0x2d597c[_0xb47bdb(0x107b)]?_0xb47bdb(0x262a)+_0x2d597c[_0xb47bdb(0x107b)]+_0xb47bdb(0x1315)+_0x2d597c['statusText']:_0xb47bdb(0x14fb),'msg':_0x2d597c[_0xb47bdb(0x107b)]?JSON[_0xb47bdb(0x10bb)](_0x2d597c[_0xb47bdb(0x524)]):_0x2d597c[_0xb47bdb(0xd5f)]()});});if(_0x29d962[_0x48fb8e(0x2007)]()==_0x48fb8e(0x2298)){if(_0x5e01e3['setting'][_0x48fb8e(0x976)]){if(!_0x5e01e3[_0x48fb8e(0xe7b)]['passwordResetAt'])_0x48428a(null,!![]);else{const _0x2d647d=_0x2deec6()(_0x5e01e3[_0x48fb8e(0xe7b)][_0x48fb8e(0xe8f)])['add'](_0x5e01e3[_0x48fb8e(0x15b9)][_0x48fb8e(0x13e)]||0x5a,_0x48fb8e(0x1066));if(_0x2deec6()()['isAfter'](_0x2d647d))_0x48428a(null,!![]);else _0x2deec6()()[_0x48fb8e(0x387)](0xf,'day')['isAfter'](_0x2d647d)&&_0x28b2f0[_0x48fb8e(0x195d)]({'title':_0x37f55b[_0x48fb8e(0xde)]('TOOLBAR.WARNING')+'!','msg':_0x37f55b[_0x48fb8e(0xde)](_0x48fb8e(0x242e))+':\x20'+Math[_0x48fb8e(0x157c)](_0x2d647d[_0x48fb8e(0x1bb5)](_0x2deec6()(),'days',!![])),'timeout':0x4e20});}}}}function _0x4b0256(){const _0x20a5cb=_0x4009a1;_0xf0689d[_0x20a5cb(0x2e3)](),_0xf0689d['on'](_0x20a5cb(0x324),_0x5e01e3[_0x20a5cb(0x1b17)]),_0xf0689d['on'](_0x20a5cb(0x1dbc),_0x5e01e3[_0x20a5cb(0x17aa)]),_0xf0689d['on'](_0x20a5cb(0xb56),_0x5e01e3[_0x20a5cb(0x361)]),_0xf0689d['on'](_0x20a5cb(0x10d2),_0x5e01e3['onInternalMessageUpdate']),_0xf0689d['on'](_0x20a5cb(0x109d),_0x5e01e3['onUserVoiceQueue']),_0xf0689d['on'](_0x20a5cb(0x11a5),_0x5e01e3[_0x20a5cb(0x1e2)]),_0xf0689d['on'](_0x20a5cb(0x1d3e),_0x5e01e3['onUserVoiceQueue']),_0xf0689d['on'](_0x20a5cb(0x1907),_0x5e01e3['onVoiceQueue']),_0xf0689d['on'](_0x20a5cb(0x235b),_0x5e01e3['onVoiceQueue']),_0xf0689d['on'](_0x20a5cb(0x1045),_0x2ae602),_0xf0689d['on'](_0x20a5cb(0x240b),_0x43bb80);}function _0x30e834(){const _0x346514=_0x4009a1;_0xf0689d[_0x346514(0x1c5f)](_0x346514(0x1dbc)),_0xf0689d[_0x346514(0x1c5f)](_0x346514(0x324)),_0xf0689d[_0x346514(0x1c5f)](_0x346514(0xb56)),_0xf0689d['removeAllListeners'](_0x346514(0x10d2)),_0xf0689d[_0x346514(0x1c5f)](_0x346514(0x109d)),_0xf0689d['removeAllListeners'](_0x346514(0x11a5)),_0xf0689d[_0x346514(0x1c5f)](_0x346514(0x1d3e)),_0xf0689d[_0x346514(0x1c5f)](_0x346514(0x1907)),_0xf0689d[_0x346514(0x1c5f)](_0x346514(0x235b)),_0xf0689d[_0x346514(0x1c5f)](_0x346514(0x1045)),_0xf0689d[_0x346514(0x1c5f)](_0x346514(0x240b)),_0xf0689d['disconnect']();}function _0x5a1a6c(_0x178507){const _0x571525=_0x4009a1;_0x5e01e3['voiceQueues']=_0x178507?_0x3f65c0()[_0x571525(0x194)](_0x178507['rows']?_0x178507['rows']:[],'id'):{};}function _0x77544e(){const _0x273fbb=_0x4009a1;_0x5e01e3[_0x273fbb(0xb9c)]=_0x478105[_0x273fbb(0xe7b)][_0x273fbb(0xc53)](_0x5e01e3[_0x273fbb(0x1a56)],_0x5a1a6c)[_0x273fbb(0x2945)];}function _0x2444c7(){_0x77544e();}function _0x4fb728(_0x2c77bb){const _0x3ec536=_0x4009a1;_0x3f65c0()['toInteger'](_0x2c77bb[_0x3ec536(0xea2)])===_0x29d962['getCurrentUser']()['id']&&_0x77544e();}function _0x5bf76d(_0x288a1b){const _0x314b6a=_0x4009a1;return _0x478105[_0x314b6a(0xe7b)][_0x314b6a(0x1e3e)]({'id':_0x5e01e3['user']['id'],'type':_0x288a1b||_0x314b6a(0x9ba)})[_0x314b6a(0x2945)][_0x314b6a(0x129e)](function(_0x9ae596){const _0x31afaa=_0x314b6a;_0x28b2f0['error']({'title':_0x9ae596[_0x31afaa(0x107b)]?'API:'+_0x9ae596['status']+_0x31afaa(0x1315)+_0x9ae596['statusText']:_0x31afaa(0xae4),'msg':_0x9ae596['status']?JSON[_0x31afaa(0x10bb)](_0x9ae596['data']):_0x9ae596[_0x31afaa(0xd5f)]()});});}function _0xb3c581(){const _0x3838e8=_0x4009a1;return _0x478105[_0x3838e8(0xe7b)][_0x3838e8(0x974)]({'id':_0x5e01e3['user']['id']})[_0x3838e8(0x2945)][_0x3838e8(0x129e)](function(_0x2c1242){const _0x140491=_0x3838e8;_0x28b2f0[_0x140491(0x1980)]({'title':_0x2c1242['status']?_0x140491(0x262a)+_0x2c1242[_0x140491(0x107b)]+_0x140491(0x1315)+_0x2c1242['statusText']:_0x140491(0x1ac9),'msg':_0x2c1242[_0x140491(0x107b)]?JSON['stringify'](_0x2c1242['data']):_0x2c1242[_0x140491(0xd5f)]()});});}function _0x4571d9(_0x241683){const _0x224553=_0x4009a1;return _0x5e01e3[_0x224553(0x1cdf)]=!![],_0x478105[_0x224553(0x39f)][_0x224553(0x551)]()[_0x224553(0x2945)][_0x224553(0x146b)](function(_0x1e975b){const _0x1ff30d=_0x224553;!_0x3f65c0()['isNil'](_0x1e975b)&&!_0x3f65c0()[_0x1ff30d(0x1b36)](_0x1e975b[_0x1ff30d(0xe26)])&&_0x1e975b[_0x1ff30d(0xe26)][_0x1ff30d(0x1095)]?_0x2adaee[_0x1ff30d(0x2615)]({'controller':'PreviewDialogController','controllerAs':'vm','templateUrl':_0x4ea558,'parent':angular[_0x1ff30d(0x1853)](_0x299daa['body']),'targetEvent':_0x241683,'clickOutsideToClose':!![],'locals':{'preview':_0x1e975b},'resolve':{'customFields':['apiResolver',function(_0x237236){const _0x221431=_0x1ff30d;return _0x237236['resolve'](_0x221431(0x1f60),{'nolimit':!![]});}]}}):_0x28b2f0['info']({'title':_0x1ff30d(0xe66),'msg':_0x1ff30d(0x1133)});})[_0x224553(0x129e)](function(_0x3ed5a6){const _0x43ff0d=_0x224553;if(_0x3ed5a6[_0x43ff0d(0x107b)]===0x194)_0x28b2f0[_0x43ff0d(0x271e)]({'title':_0x43ff0d(0xe66),'msg':_0x43ff0d(0x2011)});else{if(_0x3ed5a6[_0x43ff0d(0x524)]&&_0x3ed5a6[_0x43ff0d(0x524)]['errors']&&_0x3ed5a6[_0x43ff0d(0x524)][_0x43ff0d(0xcef)][_0x43ff0d(0x402)]){_0x5e01e3[_0x43ff0d(0xcef)]=_0x3ed5a6['data']['errors']||[{'message':_0x3ed5a6['toString'](),'type':_0x43ff0d(0x1156)}];for(let _0x5d204c=0x0;_0x5d204c<_0x3ed5a6[_0x43ff0d(0x524)]['errors']['length'];_0x5d204c+=0x1){_0x28b2f0['error']({'title':_0x3ed5a6[_0x43ff0d(0x524)][_0x43ff0d(0xcef)][_0x5d204c]['type'],'msg':_0x3ed5a6['data'][_0x43ff0d(0xcef)][_0x5d204c][_0x43ff0d(0x7fd)]});}}else _0x28b2f0[_0x43ff0d(0x1980)]({'title':_0x3ed5a6[_0x43ff0d(0x107b)]?_0x43ff0d(0x262a)+_0x3ed5a6[_0x43ff0d(0x107b)]+_0x43ff0d(0x1315)+_0x3ed5a6['statusText']:_0x43ff0d(0x1156),'msg':_0x3ed5a6[_0x43ff0d(0x524)]?JSON[_0x43ff0d(0x10bb)](_0x3ed5a6[_0x43ff0d(0x524)][_0x43ff0d(0x7fd)]):_0x3ed5a6[_0x43ff0d(0xd5f)]()});}})[_0x224553(0x1ec6)](function(){const _0x573b25=_0x224553;_0x5e01e3[_0x573b25(0x1cdf)]=![];});}function _0x28225f(_0x41001e){const _0x2c42b1=_0x4009a1;_0x17b35a(_0x41001e)[_0x2c42b1(0x1f05)]();}function _0x2c2359(){const _0x4577b6=_0x4009a1;return _0x5e01e3['currentUser']['role']===_0x4577b6(0x174b)||_0x5e01e3[_0x4577b6(0x2321)][_0x4577b6(0xfb0)]===_0x4577b6(0xe7b)||_0x5e01e3['currentUser'][_0x4577b6(0xfb0)]==='agent'&&_0x5e01e3[_0x4577b6(0x2321)]['showWebBar']!==0x0;}_0x478105[_0x4009a1(0x1841)]['get']({'fields':'FromId','ToId':_0x5e01e3[_0x4009a1(0x2321)]['id'],'read':![],'nolimit':!![]})[_0x4009a1(0x2945)]['then'](function(_0x3bccc4){const _0x325519=_0x4009a1;_0x5e01e3[_0x325519(0x570)]=_0x3bccc4[_0x325519(0x19c7)]['length'];});function _0xfe8c4c(_0x311b43){const _0x204923=_0x4009a1;_0x311b43[_0x204923(0x5b1)]===_0x5e01e3['currentUser']['id']&&!_0x311b43[_0x204923(0x1769)]&&(_0x5e01e3['unreadTotalMessages']+=0x1),_0x311b43[_0x204923(0x5b1)]===_0x5e01e3['currentUser']['id']&&_0x311b43[_0x204923(0xf96)]!==_0x5e01e3['currentUser']['id']&&!_0x311b43[_0x204923(0x229e)]&&_0x311b43['ChatGroupId']&&_0x5e01e3[_0x204923(0x1490)]++;}function _0x1b6adc(_0x5341d6){const _0xd3d3ea=_0x4009a1;_0x5341d6[_0xd3d3ea(0x5b1)]===_0x5e01e3['currentUser']['id']&&_0x5341d6[_0xd3d3ea(0x229e)]&&_0x5e01e3[_0xd3d3ea(0x570)]>0x0&&!_0x5341d6[_0xd3d3ea(0x1769)]&&(_0x5e01e3[_0xd3d3ea(0x570)]-=0x1),_0x5341d6[_0xd3d3ea(0x5b1)]===_0x5e01e3[_0xd3d3ea(0x2321)]['id']&&_0x5341d6[_0xd3d3ea(0x229e)]&&_0x5341d6['ChatGroupId']&&_0x5e01e3[_0xd3d3ea(0x1490)]>0x0&&_0x5e01e3[_0xd3d3ea(0x1490)]--;}function _0x32d0ee(_0x3fe801){const _0x3cf94e=_0x4009a1;_0x5e01e3[_0x3cf94e(0x1f55)]=_0x3fe801;}function _0x248f17(_0x4e8474){const _0x3e0faf=_0x4009a1;return _0x30e834(),_0x29d962['logout'](_0x4e8474)[_0x3e0faf(0x146b)](function(){const _0x5c3fea=_0x3e0faf;return _0x29a108[_0x5c3fea(0x25a4)](_0x5c3fea(0xf84)),_0x40ec1f['go'](_0x5c3fea(0x14a4));})[_0x3e0faf(0x129e)](function(_0x22e357){const _0x51adda=_0x3e0faf;console[_0x51adda(0x1980)](_0x22e357);});}function _0x47fdd8(_0x25c4e9){const _0x1d3104=_0x4009a1;if(typeof _0x5e01e3['languages'][_0x25c4e9[_0x1d3104(0x2148)]]==_0x1d3104(0x2274)){const _0x1691a4=_0x1d3104(0x15e1);_0x4ff89b[_0x1d3104(0x2615)]({'template':_0x1d3104(0x2210)+_0x1691a4+_0x1d3104(0x1782),'hideDelay':0x1b58,'position':'top\x20right','parent':_0x1d3104(0x1ae6)});return;}_0x5e01e3['selectedLanguage']=_0x25c4e9,_0x347b72[_0x1d3104(0x17b7)](_0x1d3104(0x8d6),_0x25c4e9['code']),_0x37f55b['use'](_0x25c4e9[_0x1d3104(0x2148)]),_0x40ec1f[_0x1d3104(0x2d7)][_0x1d3104(0x19eb)]===_0x1d3104(0x257)&&_0x2f66f9['location']['reload'](),_0x69468['indexOf'](_0x25c4e9[_0x1d3104(0x2148)])>=0x0?_0x28b2f0[_0x1d3104(0x271e)]({'title':_0x37f55b[_0x1d3104(0xde)]('TOOLBAR.CHANGE_LANGUAGE_TITLE'),'msg':_0x37f55b[_0x1d3104(0xde)]('TOOLBAR.CHANGE_LANGUAGE_MESSAGE')}):_0x2c79a9[_0x1d3104(0x2870)][_0x1d3104(0x2870)]['vm'][_0x1d3104(0x26cc)]=_0x1d3104(0x1666);}function _0x19fe4c(){const _0x1a6481=_0x4009a1;_0x5e01e3[_0x1a6481(0x1377)]['toggleClass'](_0x1a6481(0x1f58));}function _0x16c7aa(){const _0x4cf4eb=_0x4009a1;_0x3b9b5a[_0x4cf4eb(0x25a3)]();}function _0x486f80(_0x11ee61){const _0x502f3d=_0x4009a1;let _0x594c6e=[];const _0x3f7901=_0x3b9b5a[_0x502f3d(0x795)](),_0x5cd8fc=_0x2e8af7[_0x502f3d(0xce3)]();for(let _0x38870d=0x0;_0x38870d<_0x3f7901['length'];_0x38870d++){_0x3f7901[_0x38870d][_0x502f3d(0x135a)]&&_0x594c6e[_0x502f3d(0x1f47)](_0x3f7901[_0x38870d]);}return _0x11ee61&&(_0x594c6e=_0x594c6e[_0x502f3d(0x1dd6)](function(_0x248505){const _0x45819d=_0x502f3d;if(_0x248505[_0x45819d(0x1189)][_0x45819d(0x256e)]()['includes'](_0x11ee61[_0x45819d(0x256e)]()))return!![];})),_0x5142da(function(){_0x5cd8fc['resolve'](_0x594c6e);},0x3e8),_0x5cd8fc[_0x502f3d(0xb9c)];}function _0x518db3(_0x288dd8){const _0x21f538=_0x4009a1;_0x288dd8[_0x21f538(0x135a)]&&(_0x288dd8[_0x21f538(0x1de9)]?_0x40ec1f['go'](_0x288dd8['state'],_0x288dd8[_0x21f538(0x1de9)]):_0x40ec1f['go'](_0x288dd8[_0x21f538(0x13d6)]));}function _0x1c95f6(){const _0x463749=_0x4009a1;_0x29a108[_0x463749(0x25a4)](_0x463749(0x18d8));}function _0x48428a(_0x4ffc3b,_0x1522d1){const _0x3f5505=_0x4009a1;_0x2adaee[_0x3f5505(0x2615)]({'controller':_0x3f5505(0x42d),'controllerAs':'vm','templateUrl':_0x19e607,'parent':angular[_0x3f5505(0x1853)](_0x299daa['body']),'targetEvent':_0x4ffc3b,'clickOutsideToClose':_0x1522d1?![]:!![],'escapeToClose':_0x1522d1?![]:!![],'locals':{'user':_0x5e01e3['user'],'setting':_0x58c02e,'reset':_0x1522d1}});}function _0x22ce3f(){const _0x54f490=_0x4009a1;return _0x29d962['getCurrentUser']()&&_0x29d962[_0x54f490(0x23e0)]('agent');}function _0x555090(_0x50f684){const _0x45604b=_0x4009a1;if(_0x50f684['id']===_0x5e01e3[_0x45604b(0xe7b)]['id']){if(_0x50f684[_0x45604b(0xfb0)]===_0x45604b(0x1755)&&_0x3f65c0()[_0x45604b(0x1399)](_0x50f684['online'])&&!_0x50f684[_0x45604b(0x538)]){if(_0x5e01e3[_0x45604b(0xe7b)][_0x45604b(0xd29)]!==0x0){_0x30e834();const _0x1c4b2d=_0x2adaee[_0x45604b(0x1e8a)]()[_0x45604b(0x1189)](_0x37f55b[_0x45604b(0xde)]('TOOLBAR.WARNING')+'!')[_0x45604b(0x199c)](_0x37f55b[_0x45604b(0xde)](_0x45604b(0x221c)))[_0x45604b(0x4bd)](_0x37f55b['instant'](_0x45604b(0x26b9))+'!')['ok'](_0x37f55b['instant'](_0x45604b(0x1fe0)))[_0x45604b(0x6c3)](_0x45604b(0x1394));_0x2adaee['show'](_0x1c4b2d)[_0x45604b(0x146b)](function(){const _0x14f2b2=_0x45604b;return _0x29d962[_0x14f2b2(0xc63)]()['then'](function(){const _0x5c9a37=_0x14f2b2;_0x4b0256(),_0x3f65c0()[_0x5c9a37(0x168d)](_0x5e01e3['user'],_0x50f684),_0x29d962[_0x5c9a37(0x1016)](_0x5e01e3[_0x5c9a37(0xe7b)]);});})['catch'](function(){_0x248f17(!![]);});}else _0x248f17(!![]);}else _0x3f65c0()[_0x45604b(0x168d)](_0x5e01e3['user'],_0x50f684),_0x29d962[_0x45604b(0x1016)](_0x5e01e3[_0x45604b(0xe7b)]);}}function _0x2b9af2(_0x518397){const _0x2e6a37=_0x4009a1;_0x5e01e3[_0x2e6a37(0x2321)][_0x2e6a37(0xd29)]===0x2&&_0x5e01e3['currentUser'][_0x2e6a37(0xfb0)]==='agent'&&_0x5e01e3[_0x2e6a37(0x2321)][_0x2e6a37(0x19eb)]===_0x518397[_0x2e6a37(0x1801)]&&_0x28b2f0[_0x2e6a37(0x271e)]({'title':_0x2e6a37(0x374),'msg':_0x2e6a37(0x68e)+_0x518397[_0x2e6a37(0xa5c)]+'

\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20\x20Queue:\x20'+_0x518397['queue']+_0x2e6a37(0x41b)+(_0x518397['variables']&&_0x518397[_0x2e6a37(0x85b)][_0x2e6a37(0x1aba)]?_0x518397['variables'][_0x2e6a37(0x1aba)]:_0x2e6a37(0x5f6))+_0x2e6a37(0x1458),'showClose':!![],'timeout':0x2710,'html':!![]});}function _0x50f477(){const _0x14ddfc=_0x4009a1;return _0x5e01e3[_0x14ddfc(0x15b9)]['defaultHeaderWhiteLabel']?_0x14ddfc(0x23f2):_0x5e01e3[_0x14ddfc(0x15b9)][_0x14ddfc(0xdac)];}function _0x28e726(){const _0x1e80cb=_0x4009a1;_0x3f65c0()['some'](_0x5e01e3['voiceQueues'],{'dialMethod':_0x1e80cb(0x17d7),'dialActive':!![]})&&_0x5e01e3['license'][_0x1e80cb(0x2842)]&&_0x5e01e3[_0x1e80cb(0x1b0b)]?(_0x5e01e3['polling']=![],_0x478105[_0x1e80cb(0x39f)][_0x1e80cb(0x1601)]()[_0x1e80cb(0x2945)][_0x1e80cb(0x146b)](function(_0x38c184){const _0x58b85d=_0x1e80cb;_0x38c184&&_0x3f65c0()[_0x58b85d(0x77d)](_0x38c184[_0x58b85d(0x1471)])&&(_0x5e01e3[_0x58b85d(0x1471)]=_0x38c184[_0x58b85d(0x1471)]);})[_0x1e80cb(0x1ec6)](function(){const _0x388cd0=_0x1e80cb;_0x5e01e3[_0x388cd0(0x1b0b)]=!![];})):_0x5e01e3['contacts']=0x0;}function _0x1767dd(){const _0x4eb3b0=_0x4009a1;_0x17c33b&&(_0x233423[_0x4eb3b0(0x6c3)](_0x17c33b),_0x17c33b=null);}function _0x2ae602(_0x4bc891){const _0x4329f9=_0x4009a1;console['debug']('onForceLogout\x20->\x20data',_0x4bc891);if(_0x5e01e3[_0x4329f9(0xe7b)][_0x4329f9(0xd29)]!==0x0)return _0x30e834(),_0x29d962['logout'](!![])['then'](function(){const _0x902bcc=_0x4329f9;_0x29a108[_0x902bcc(0x25a4)](_0x902bcc(0xf84)),_0x2adaee[_0x902bcc(0x2615)](_0x2adaee['alert']()[_0x902bcc(0x3fd)](angular[_0x902bcc(0x1853)](_0x299daa[_0x902bcc(0x2586)]))[_0x902bcc(0xa70)](![])[_0x902bcc(0x1d12)](![])[_0x902bcc(0x1189)](_0x37f55b[_0x902bcc(0xde)](_0x902bcc(0x26b9)))[_0x902bcc(0x199c)](_0x37f55b[_0x902bcc(0xde)](_0x902bcc(0xb46),{'ip':_0x4bc891['ip']}))['ok']('OK'))[_0x902bcc(0x146b)](function(){const _0x5f1ef4=_0x902bcc;return _0x40ec1f['go'](_0x5f1ef4(0x14a4));});})[_0x4329f9(0x129e)](function(_0x99d8db){const _0x580b3e=_0x4329f9;console[_0x580b3e(0x1980)](_0x99d8db);});}function _0x43bb80(_0x1beba9){const _0x450efd=_0x4009a1,_0x43e444=_0x1beba9;if(_0x5e01e3[_0x450efd(0x2321)]['id']===_0x43e444[_0x450efd(0x424)]){const _0x4efedf=_0x37f55b['instant'](_0x450efd(0x158d),{'sender':_0x43e444[_0x450efd(0x1ecc)]}),_0x190add=_0x43e444[_0x450efd(0xb17)]?_0x37f55b[_0x450efd(0xde)](_0x450efd(0x123c),{'recipient':_0x43e444[_0x450efd(0xb17)]}):null;_0x28b2f0[_0x450efd(0x2070)]({'title':_0x190add?_0x4efedf+'\x20'+_0x190add:_0x4efedf,'msg':_0x43e444[_0x450efd(0x80f)],'clickToClose':![],'timeout':![],'sound':_0x43e444[_0x450efd(0x2672)],'html':!![]});}}_0x2c79a9[_0x4009a1(0x16ad)](_0x4009a1(0x116f),function(){const _0x22dc9a=_0x4009a1;_0xf0689d[_0x22dc9a(0x1c5f)](_0x22dc9a(0x1dbc)),_0xf0689d[_0x22dc9a(0x1c5f)](_0x22dc9a(0x324)),_0xf0689d['removeAllListeners'](_0x22dc9a(0xb56)),_0xf0689d['removeAllListeners']('chatInternalMessage:update'),_0xf0689d['removeAllListeners'](_0x22dc9a(0x109d)),_0xf0689d[_0x22dc9a(0x1c5f)]('voiceQueue:save'),_0xf0689d[_0x22dc9a(0x1c5f)](_0x22dc9a(0x1d3e)),_0xf0689d['removeAllListeners']('voiceQueue:remove'),_0xf0689d['removeAllListeners'](_0x22dc9a(0x235b)),_0xf0689d[_0x22dc9a(0x1c5f)]('user:forcelogout'),_0xf0689d[_0x22dc9a(0x1c5f)](_0x22dc9a(0x240b)),_0x1767dd();});}const _0x266970=_0x23cf9a;;_0x578d08[_0x313a4d(0x11c2)]=[_0x313a4d(0x695)];function _0x578d08(_0x4f9352){const _0xe5255e=_0x313a4d;_0x4f9352[_0xe5255e(0x15bf)](_0xe5255e(0x2065));}angular[_0x313a4d(0x2528)](_0x313a4d(0x10a4),[])[_0x313a4d(0x989)](_0x578d08)[_0x313a4d(0x28f0)]('ChangePasswordController',_0x3e84d9)[_0x313a4d(0x28f0)]('PreviewDialogController',_0x308f03)[_0x313a4d(0x28f0)](_0x313a4d(0x1347),_0x266970);;_0x487976[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x214b),'$q',_0x313a4d(0xa87),_0x313a4d(0x279d),_0x313a4d(0x247f),_0x313a4d(0x3db),'license'];function _0x487976(_0x2ad623,_0x47f17a,_0x4b4fc5,_0x3d92e6,_0x5e3008,_0x370d9c,_0x99e7a,_0x4cf22c){const _0x34cc7a=_0x313a4d,_0x5d8331=this;_0x5d8331[_0x34cc7a(0x1a10)]=_0x2deec6()()[_0x34cc7a(0x22b0)](),_0x5d8331[_0x34cc7a(0x2321)]=_0x3d92e6['getCurrentUser'](),_0x5d8331['license']=_0x4cf22c,_0x5d8331[_0x34cc7a(0x570)]=0x0,_0x5d8331[_0x34cc7a(0x1490)]=0x0,_0x5d8331[_0x34cc7a(0x31a)]=_0x657649,_0x5d8331[_0x34cc7a(0x22dc)]=_0x2e37c7,_0x5d8331['onMessageUpdate']=_0x40174b,_0x5e3008['on'](_0x34cc7a(0xb56),_0x5d8331[_0x34cc7a(0x22dc)]),_0x5e3008['on'](_0x34cc7a(0x10d2),_0x5d8331['onMessageUpdate']),_0x370d9c[_0x34cc7a(0x1841)][_0x34cc7a(0x16b4)]({'fields':_0x34cc7a(0xf96),'ToId':_0x5d8331[_0x34cc7a(0x2321)]['id'],'read':![],'nolimit':!![],'ChatGroupId':'null'})[_0x34cc7a(0x2945)][_0x34cc7a(0x146b)](function(_0x103054){const _0x2f3e12=_0x34cc7a;return _0x103054&&_0x103054['count']&&(_0x5d8331[_0x2f3e12(0x570)]=_0x103054[_0x2f3e12(0x51c)]),_0x370d9c[_0x2f3e12(0xe7b)][_0x2f3e12(0x809)]({'id':_0x5d8331[_0x2f3e12(0x2321)]['id']})[_0x2f3e12(0x2945)];})[_0x34cc7a(0x146b)](function(_0x4bfb71){const _0xa91008=_0x34cc7a,_0x56c7df=[],_0x496c04=_0x4bfb71[_0xa91008(0x19c7)];for(let _0x525d66=0x0;_0x525d66<_0x496c04[_0xa91008(0x402)];_0x525d66++){_0x56c7df[_0xa91008(0x1f47)](_0x370d9c[_0xa91008(0x1340)][_0xa91008(0x3c6)]({'id':_0x496c04[_0x525d66]['id']})[_0xa91008(0x2945)]);}return _0x4b4fc5['all'](_0x56c7df);})[_0x34cc7a(0x146b)](function(_0x2e511f){const _0x157ac6=_0x34cc7a;for(let _0xe2fb9a=0x0;_0xe2fb9a<_0x2e511f['length'];_0xe2fb9a++){_0x5d8331[_0x157ac6(0x1490)]+=_0x2e511f[_0xe2fb9a][_0x157ac6(0x51c)];}})[_0x34cc7a(0x129e)](function(_0x44d645){const _0x10604f=_0x34cc7a;console[_0x10604f(0x1980)](_0x44d645);});function _0x47c1fa(_0x4c92ec){const _0x5ee64a=_0x34cc7a,_0x14339={'autoClose':!![],'duration':0xa,'showOnPageHidden':!![],'icon':_0x5ee64a(0x461)+_0x4c92ec[_0x5ee64a(0xf96)]+_0x5ee64a(0xf07),'body':_0x4c92ec[_0x5ee64a(0x2586)]||'','focusOnclick':!![],'closeOnClick':!![]};_0x99e7a[_0x5ee64a(0x2615)](_0x47f17a[_0x5ee64a(0xde)](_0x5ee64a(0x1e50)),_0x14339);}function _0x5eedf6(_0x4cb283){const _0x9a4b71=_0x34cc7a;_0x99e7a[_0x9a4b71(0x1335)]()?_0x99e7a['requestPermission']()['then'](function(){_0x47c1fa(_0x4cb283);},function(_0x36adb9){const _0x5c6a06=_0x9a4b71;console[_0x5c6a06(0x1980)]('Please\x20enable\x20browser\x20notifications!',_0x36adb9);}):console[_0x9a4b71(0x1980)](_0x9a4b71(0x1773));}function _0x657649(){const _0x40db71=_0x34cc7a;return _0x5d8331[_0x40db71(0x2321)][_0x40db71(0xfb0)]===_0x40db71(0x174b)||_0x5d8331['currentUser'][_0x40db71(0xfb0)]===_0x40db71(0xe7b)||_0x5d8331[_0x40db71(0x2321)][_0x40db71(0xfb0)]===_0x40db71(0x1755)&&_0x5d8331[_0x40db71(0x2321)][_0x40db71(0xd29)]!==0x0;}function _0x2e37c7(_0x1b0866){const _0x54f067=_0x34cc7a;_0x1b0866['ToId']===_0x5d8331[_0x54f067(0x2321)]['id']&&_0x1b0866[_0x54f067(0xf96)]!==_0x5d8331['currentUser']['id']&&!_0x1b0866[_0x54f067(0x229e)]&&_0x1b0866[_0x54f067(0x1769)]&&(_0x5d8331['unreadTotalGroupMessages']++,_0x5eedf6(_0x1b0866)),_0x1b0866[_0x54f067(0x5b1)]===_0x5d8331[_0x54f067(0x2321)]['id']&&!_0x1b0866[_0x54f067(0x1769)]&&(_0x5d8331[_0x54f067(0x570)]+=0x1,_0x5eedf6(_0x1b0866));}function _0x40174b(_0xfe0226){const _0x1342c1=_0x34cc7a;_0xfe0226[_0x1342c1(0x5b1)]===_0x5d8331[_0x1342c1(0x2321)]['id']&&_0xfe0226[_0x1342c1(0x229e)]&&_0x5d8331[_0x1342c1(0x570)]>0x0&&!_0xfe0226['ChatGroupId']&&(_0x5d8331[_0x1342c1(0x570)]-=0x1),_0xfe0226[_0x1342c1(0x5b1)]==_0x5d8331[_0x1342c1(0x2321)]['id']&&_0xfe0226[_0x1342c1(0xf96)]!==_0x5d8331[_0x1342c1(0x2321)]['id']&&_0xfe0226[_0x1342c1(0x1769)]&&_0xfe0226[_0x1342c1(0x229e)]&&(_0x5d8331[_0x1342c1(0x1490)]>0x0&&_0x5d8331[_0x1342c1(0x1490)]--);}_0x2ad623['$on'](_0x34cc7a(0x116f),function(){const _0x1de898=_0x34cc7a;_0x5e3008[_0x1de898(0x1c5f)]('chatInternalMessage:save'),_0x5e3008[_0x1de898(0x1c5f)](_0x1de898(0x10d2));});}const _0x68ca83=_0x487976;;_0x2226ba[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1fe4),'api',_0x313a4d(0x1abe),'Auth',_0x313a4d(0x279d),_0x313a4d(0x15fe),'$q',_0x313a4d(0xa0a),_0x313a4d(0x214b),'$rootScope',_0x313a4d(0x237)];function _0x2226ba(_0x243c9c,_0x3e44e8,_0x413cf4,_0x3077e8,_0xdd8435,_0x489d07,_0x445e14,_0x66cbe7,_0x59d038,_0x5444f5,_0x5e1b76,_0x335a8d){const _0x281022=_0x313a4d,_0x4d27d1=this;_0x4d27d1['currentUser']=_0xdd8435[_0x281022(0xb12)](),_0x4d27d1['user']=undefined,_0x4d27d1[_0x281022(0x1995)]={'count':0x0,'rows':[]},_0x4d27d1[_0x281022(0x1d49)]=_0x2deec6()(0x0)[_0x281022(0x22b0)](_0x281022(0x1ce2)),_0x4d27d1[_0x281022(0x53c)]={},_0x4d27d1[_0x281022(0x1312)]='',_0x4d27d1[_0x281022(0x2862)]=![],_0x4d27d1[_0x281022(0x5aa)]=_0x530b52,_0x4d27d1[_0x281022(0xbd6)]=_0x27520b,_0x4d27d1[_0x281022(0x512)]=_0x13822b,_0x4d27d1[_0x281022(0x22dc)]=_0x53d447,_0x4d27d1[_0x281022(0x2232)]=_0x224644,_0x4d27d1['onUserUpdate']=_0x1c0a18,_0x4d27d1[_0x281022(0x1d38)]=_0x1a641e,_0x4d27d1[_0x281022(0x133b)]=_0x35520f,_0x4d27d1[_0x281022(0x15b)]=_0x59ddbe,_0x489d07['on'](_0x281022(0xb56),_0x4d27d1['onMessageSave']),_0x489d07['on']('chatInternalMessage:update',_0x4d27d1['onMessageUpdate']),_0x489d07['on'](_0x281022(0x324),_0x4d27d1[_0x281022(0x1b17)]),_0x445e14(_0x281022(0x1414))['onClose'](function(){_0x4d27d1['user']=undefined;}),_0x413cf4[_0x281022(0x1841)]['get']({'fields':_0x281022(0xf96),'ToId':_0x4d27d1[_0x281022(0x2321)]['id'],'read':![],'nolimit':!![],'ChatGroupId':_0x281022(0x203c)})[_0x281022(0x2945)][_0x281022(0x146b)](function(_0x5f065d){const _0x4f92cc=_0x281022;_0x4d27d1[_0x4f92cc(0x53c)]=_0x3f65c0()[_0x4f92cc(0xf0d)](_0x5f065d[_0x4f92cc(0x19c7)],'FromId');})[_0x281022(0x129e)](function(){}),_0x413cf4[_0x281022(0x1841)]['getUsers']()[_0x281022(0x2945)][_0x281022(0x146b)](function(_0x5c23bc){const _0xe9e193=_0x281022;_0x4d27d1[_0xe9e193(0x1995)]=_0x5c23bc;})[_0x281022(0x129e)](function(_0x1b0218){const _0x1aecda=_0x281022;console[_0x1aecda(0x1980)](_0x1b0218);});function _0x530b52(_0x4d51bb){const _0x4ce54d=_0x281022;_0x4d27d1[_0x4ce54d(0x2690)]=_0x4d51bb;}function _0x53d447(_0x17566f){const _0x4f1759=_0x281022;if(_0x17566f[_0x4f1759(0x5b1)]===_0x4d27d1[_0x4f1759(0x2321)]['id']&&!_0x17566f['ChatGroupId']){_0x4d27d1[_0x4f1759(0xe7b)]&&_0x4d27d1['user']['id']===_0x17566f[_0x4f1759(0xf96)]?(_0x4d27d1[_0x4f1759(0xe7b)][_0x4f1759(0x8ac)]['rows'][_0x4f1759(0x1f47)](_0x17566f),_0x27a102(0x0),_0x413cf4[_0x4f1759(0x1841)]['update']({'id':_0x17566f['id'],'read':!![]})):_0x4d27d1[_0x4f1759(0x53c)][_0x17566f['FromId']]=_0x4d27d1[_0x4f1759(0x53c)][_0x17566f['FromId']]?_0x4d27d1['unreadMessage'][_0x17566f['FromId']]+0x1:0x1;const _0x4a71c4=_0x3f65c0()[_0x4f1759(0xc84)](_0x4d27d1[_0x4f1759(0x1995)][_0x4f1759(0x19c7)],{'id':_0x17566f['FromId']});_0x4a71c4&&(_0x4a71c4[_0x4f1759(0x1d9b)]=_0x17566f[_0x4f1759(0xc68)],_0x4a71c4[_0x4f1759(0x186)]=_0x17566f);}}function _0x224644(_0x33755a){const _0x521ef4=_0x281022;if((_0x33755a['FromId']===_0x4d27d1['currentUser']['id']||_0x33755a[_0x521ef4(0x5b1)]===_0x4d27d1[_0x521ef4(0x2321)]['id'])&&!_0x33755a['ChatGroupId']){if(_0x4d27d1[_0x521ef4(0xe7b)]){const _0xd52a12=_0x3f65c0()[_0x521ef4(0xc84)](_0x4d27d1[_0x521ef4(0xe7b)][_0x521ef4(0x8ac)][_0x521ef4(0x19c7)],{'id':_0x33755a['id']});_0xd52a12&&_0x3f65c0()[_0x521ef4(0x168d)](_0xd52a12,_0x33755a);}const _0x4abfe1=_0x3f65c0()[_0x521ef4(0xc84)](_0x4d27d1['users'][_0x521ef4(0x19c7)],{'id':_0x4d27d1['currentUser']['id']===_0x33755a['FromId']?_0x33755a[_0x521ef4(0x5b1)]:_0x33755a[_0x521ef4(0xf96)]});_0x4abfe1&&_0x4abfe1[_0x521ef4(0x186)]&&_0x3f65c0()[_0x521ef4(0x168d)](_0x4abfe1[_0x521ef4(0x186)],_0x33755a),_0x33755a[_0x521ef4(0x5b1)]===_0x4d27d1[_0x521ef4(0x2321)]['id']&&(_0x33755a[_0x521ef4(0x229e)]&&_0x4d27d1[_0x521ef4(0x53c)][_0x33755a[_0x521ef4(0xf96)]]>0x0&&(_0x4d27d1[_0x521ef4(0x53c)][_0x33755a[_0x521ef4(0xf96)]]-=0x1));}}function _0x1c0a18(_0x50bf05){const _0x38c434=_0x281022,_0x288aa7=_0x3f65c0()[_0x38c434(0xc84)](_0x4d27d1[_0x38c434(0x1995)][_0x38c434(0x19c7)],{'id':_0x50bf05['id']});_0x288aa7&&(!_0x3f65c0()['isNil'](_0x50bf05[_0x38c434(0x538)])&&_0x288aa7['online']!==_0x50bf05[_0x38c434(0x538)]&&(_0x288aa7[_0x38c434(0x538)]=_0x50bf05[_0x38c434(0x538)]),!_0x3f65c0()[_0x38c434(0x1b36)](_0x50bf05[_0x38c434(0x175a)])&&_0x288aa7[_0x38c434(0x175a)]!==_0x50bf05[_0x38c434(0x175a)]&&(_0x288aa7[_0x38c434(0x175a)]=_0x50bf05[_0x38c434(0x175a)]));}function _0x27520b(_0x3b69ef){const _0x1d8044=_0x281022;if(_0x3b69ef){if(!_0x3b69ef['allowmessenger']&&_0x3b69ef[_0x1d8044(0xfb0)]===_0x1d8044(0x1755)){_0x3e44e8[_0x1d8044(0x195d)]({'title':_0x5444f5[_0x1d8044(0xde)](_0x1d8044(0x1415)),'msg':_0x5444f5[_0x1d8044(0xde)](_0x1d8044(0x93c))}),_0x4d27d1[_0x1d8044(0xe7b)]=undefined;return;}_0x4d27d1[_0x1d8044(0xe7b)]=_0x3b69ef,_0x4d27d1[_0x1d8044(0x1312)]='',_0x413cf4[_0x1d8044(0x1841)]['get']({'sort':'createdAt','FromId':_0x4d27d1['currentUser']['id']+','+_0x3b69ef['id'],'ToId':_0x4d27d1['currentUser']['id']+','+_0x3b69ef['id'],'ChatGroupId':_0x1d8044(0x203c)})[_0x1d8044(0x2945)][_0x1d8044(0x146b)](function(_0x4eb8f7){const _0x5555ee=_0x1d8044;if(_0x4eb8f7&&_0x4eb8f7['rows']){_0x4d27d1[_0x5555ee(0xe7b)][_0x5555ee(0x8ac)]=_0x4eb8f7;for(let _0x5f2550=0x0;_0x5f2550<_0x4d27d1[_0x5555ee(0xe7b)]['messages']['rows'][_0x5555ee(0x402)];_0x5f2550+=0x1){_0x4d27d1[_0x5555ee(0xe7b)][_0x5555ee(0x8ac)][_0x5555ee(0x19c7)][_0x5f2550][_0x5555ee(0x229e)]===![]&&_0x4d27d1[_0x5555ee(0xe7b)][_0x5555ee(0x8ac)][_0x5555ee(0x19c7)][_0x5f2550][_0x5555ee(0x5b1)]===_0x4d27d1[_0x5555ee(0x2321)]['id']&&_0x413cf4['chatInternalMessage']['update']({'id':_0x4d27d1[_0x5555ee(0xe7b)][_0x5555ee(0x8ac)]['rows'][_0x5f2550]['id'],'read':!![]});}}})[_0x1d8044(0x1ec6)](function(){_0x27a102(0x0);});}else _0x4d27d1[_0x1d8044(0xe7b)]=undefined;}function _0x13822b(){const _0x792a=_0x281022;_0x4d27d1[_0x792a(0x2862)]=!![];if(_0x4d27d1[_0x792a(0x1312)]===''){_0x4d27d1[_0x792a(0x2862)]=![];return;}const _0x2b0b22={'FromId':_0x4d27d1[_0x792a(0x2321)]['id'],'ToId':_0x4d27d1[_0x792a(0xe7b)]['id'],'body':_0x4d27d1[_0x792a(0x1312)],'read':![]};_0x4d27d1['replyMessage']='',_0x413cf4[_0x792a(0x1841)][_0x792a(0x1e3)](_0x2b0b22)[_0x792a(0x2945)][_0x792a(0x146b)](function(_0xff8092){const _0x5095a8=_0x792a;_0x4d27d1[_0x5095a8(0xe7b)][_0x5095a8(0x8ac)][_0x5095a8(0x19c7)][_0x5095a8(0x1f47)](_0xff8092),_0x4d27d1[_0x5095a8(0xe7b)][_0x5095a8(0x1d9b)]=_0xff8092[_0x5095a8(0xc68)],_0x4d27d1[_0x5095a8(0xe7b)]['lastMessage']=_0xff8092,_0x27a102(0x190);})['catch'](function(){})[_0x792a(0x1ec6)](function(){_0x4d27d1['disabled']=![];});}function _0x27a102(_0x45c7fe){const _0x2a78a1=_0x281022,_0x1effcf=angular[_0x2a78a1(0x1853)](_0x2a78a1(0xcf7));_0x3077e8(function(){const _0x8a0e6c=_0x2a78a1;_0x1effcf[_0x8a0e6c(0x233b)]({'scrollTop':_0x1effcf[0x0][_0x8a0e6c(0x9d4)]},_0x45c7fe);},0x0);}function _0x3cb8d6(_0x23603c){const _0x570e5a=_0x281022;return!_0x3f65c0()[_0x570e5a(0x1b36)](_0x23603c)?_0x23603c[_0x570e5a(0x5f4)](/[^\w.+#*-]+/g,''):'';}function _0x1a641e(_0x5b6286){const _0x3f1f9b=_0x281022;_0x5e1b76[_0x3f1f9b(0x25a4)]('webrtc::call',{'target':_0x3cb8d6(_0x5b6286)});}function _0x35520f(_0x3610c9){const _0xcbefae=_0x281022;return _0x58b445(_0xcbefae(0x7af)+(_0x4d27d1[_0xcbefae(0xe7b)]['phoneBarRemoteControlPort']||_0xcbefae(0x118f))+_0xcbefae(0x596)+_0x3cb8d6(_0x3610c9));}function _0x59ddbe(_0x1e8677){const _0x2a267e=_0x281022;return _0x58b445(_0x2a267e(0x7af)+(_0x4d27d1[_0x2a267e(0xe7b)][_0x2a267e(0x1dba)]||_0x2a267e(0x118f))+_0x2a267e(0x2683)+_0x3cb8d6(_0x1e8677));}function _0x58b445(_0x507a6e){const _0xde1d15=_0x281022;return _0x335a8d[_0xde1d15(0x16b4)](_0x507a6e)[_0xde1d15(0x1c75)](function(){const _0xad644b=_0xde1d15;_0x3e44e8[_0xad644b(0x1c75)]({'title':_0xad644b(0x11a7),'msg':_0xad644b(0x2d3)});})['error'](function(){const _0x513352=_0xde1d15;_0x3e44e8[_0x513352(0x1980)]({'title':_0x513352(0x58a),'msg':_0x5444f5[_0x513352(0xde)](_0x513352(0x38c))});});}_0x243c9c[_0x281022(0x16ad)](_0x281022(0x116f),function(){const _0x6e7449=_0x281022;_0x489d07[_0x6e7449(0x1c5f)](_0x6e7449(0xb56)),_0x489d07[_0x6e7449(0x1c5f)](_0x6e7449(0x10d2)),_0x489d07[_0x6e7449(0x1c5f)](_0x6e7449(0x324));});}const _0x45eec4=_0x2226ba;;_0x10ed41['$inject']=['$scope',_0x313a4d(0x1862),_0x313a4d(0xd08),'$mdDialog',_0x313a4d(0x1fe4),'api',_0x313a4d(0xa87),_0x313a4d(0x1b12),_0x313a4d(0x1910)];function _0x10ed41(_0x13af87,_0x218a00,_0x5c3d24,_0xeef679,_0x18dc1f,_0x53d31b,_0x25ba6e,_0x9377b,_0x1b6a4f){const _0x59447f=_0x313a4d,_0x3b6a90=this;_0x3b6a90[_0x59447f(0xcef)]=[],_0x3b6a90[_0x59447f(0x1189)]=_0x59447f(0x1863),_0x3b6a90[_0x59447f(0x1b12)]=angular[_0x59447f(0x235a)](_0x9377b),_0x3b6a90[_0x59447f(0x2321)]=_0x25ba6e['getCurrentUser'](),_0x3b6a90[_0x59447f(0x1910)]=_0x1b6a4f,_0x3b6a90[_0x59447f(0xf7c)]=!![];!_0x3b6a90['group']&&(_0x3b6a90[_0x59447f(0x1b12)]={'write':!![],'members':[]},_0x3b6a90[_0x59447f(0x1189)]=_0x59447f(0x24b1));_0x3b6a90[_0x59447f(0x5db)]=_0x4d1574,_0x3b6a90[_0x59447f(0x13f3)]=_0x25da3d,_0x3b6a90[_0x59447f(0x2791)]=_0x50c609;function _0x535289(_0x31d59e){const _0x47be27=_0x59447f,_0x48ebec=_0x31d59e[_0x47be27(0x256e)]();return function _0x283966(_0x5c647d){const _0x24d97c=_0x47be27,_0x2064e1=_0x5c647d['name'][_0x24d97c(0x256e)]();return _0x2064e1[_0x24d97c(0x172b)](_0x48ebec)!=-0x1;};}function _0x50c609(_0x492bb6){const _0x14295f=_0x59447f;return _0x492bb6?_0x3b6a90['members'][_0x14295f(0x19c7)][_0x14295f(0x1dd6)](_0x535289(_0x492bb6)):[];}function _0x4d1574(){const _0x4667a3=_0x59447f;let _0x552362;return _0x3b6a90[_0x4667a3(0xcef)]=[],_0x53d31b[_0x4667a3(0x1340)]['save']({'name':_0x3b6a90['group'][_0x4667a3(0x19eb)],'write':_0x3b6a90[_0x4667a3(0x1b12)][_0x4667a3(0xa29)]})['$promise'][_0x4667a3(0x146b)](function(_0x4cb322){const _0x39301f=_0x4667a3,_0x366f32=_0x3f65c0()[_0x39301f(0x205)](_0x3b6a90[_0x39301f(0x1b12)]['members'],'id');return _0x366f32['unshift'](_0x3b6a90[_0x39301f(0x2321)]['id']),_0x552362=_0x4cb322,_0x53d31b[_0x39301f(0x1340)]['addMembers']({'id':_0x552362['id'],'ids':_0x366f32})[_0x39301f(0x2945)];})['then'](function(){const _0x4f0f7b=_0x4667a3;_0x18dc1f[_0x4f0f7b(0x1c75)]({'title':_0x4f0f7b(0x1c3),'msg':_0x552362[_0x4f0f7b(0x19eb)]+_0x4f0f7b(0x24db)}),_0x25da3d(_0x552362);})[_0x4667a3(0x129e)](function(_0x125729){const _0x4bdda6=_0x4667a3;_0x18dc1f[_0x4bdda6(0x1980)]({'title':_0x125729[_0x4bdda6(0x107b)]?_0x4bdda6(0x262a)+_0x125729[_0x4bdda6(0x107b)]+'\x20-\x20'+_0x125729[_0x4bdda6(0x167f)]:'api.chatQueue.update','msg':_0x125729[_0x4bdda6(0x524)]?JSON[_0x4bdda6(0x10bb)](_0x125729[_0x4bdda6(0x524)][_0x4bdda6(0x7fd)]):_0x125729['toString']()});});}function _0x25da3d(_0x78d4f7){const _0x25cc2=_0x59447f;_0xeef679[_0x25cc2(0x2458)](_0x78d4f7);}}const _0x45f675=_0x10ed41;;const _0x4f134c=_0x4acfac['p']+'src/js/modules/quick-panel/tabs/groups/create/dialog.html/dialog.html';;const _0x1a51a9=_0x4acfac['p']+_0x313a4d(0x173d);;_0x3b9923[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x4d8),_0x313a4d(0x1abe),'$mdDialog',_0x313a4d(0x15fe),'$q',_0x313a4d(0xa87),_0x313a4d(0x247f),_0x313a4d(0x279d),_0x313a4d(0x1fe4)];function _0x3b9923(_0x49f0d8,_0x3b748e,_0x460b08,_0x4035c7,_0x195794,_0x37a646,_0x17f035,_0x54dcfb,_0x4113fe,_0x407f1f){const _0x5b7a1e=_0x313a4d,_0xc6b358=this;_0xc6b358['currentUser']=_0x17f035[_0x5b7a1e(0xb12)](),_0xc6b358[_0x5b7a1e(0x19c9)]={},_0xc6b358['groups']={},_0xc6b358[_0x5b7a1e(0x1f4b)],_0xc6b358[_0x5b7a1e(0x1b12)],_0xc6b358[_0x5b7a1e(0x5aa)]=_0x45086f,_0xc6b358[_0x5b7a1e(0x531)]=_0x460e7a,_0xc6b358[_0x5b7a1e(0x1f86)]=_0x57bc50,_0xc6b358[_0x5b7a1e(0x1109)]=_0x7938aa,_0xc6b358['groupsToArray']=_0xcd5c04,_0xc6b358[_0x5b7a1e(0x1068)]=_0x5da414,_0xc6b358['toggleChat']=_0x50596c,_0xc6b358[_0x5b7a1e(0x512)]=_0x1565d9,_0x4113fe['on'](_0x5b7a1e(0xb56),_0x293213),_0x4113fe['on'](_0x5b7a1e(0x10d2),_0x2631d3),_0x4113fe['on'](_0x5b7a1e(0x18e0),_0x559329),_0x195794(_0x5b7a1e(0x1414))[_0x5b7a1e(0xa9d)](_0x460e7a);function _0x559329(_0xf68ba6){const _0x55c0f8=_0x5b7a1e;_0xc6b358[_0x55c0f8(0x482)][_0xf68ba6['id']]&&delete _0xc6b358[_0x55c0f8(0x482)][_0xf68ba6['id']];}function _0x2631d3(_0x34bb43){const _0x127f20=_0x5b7a1e;_0x34bb43[_0x127f20(0x5b1)]==_0xc6b358['currentUser']['id']&&_0x34bb43[_0x127f20(0xf96)]!==_0xc6b358[_0x127f20(0x2321)]['id']&&_0x34bb43[_0x127f20(0x1769)]&&_0x34bb43[_0x127f20(0x229e)]&&(_0xc6b358[_0x127f20(0x482)][_0x34bb43[_0x127f20(0x1769)]][_0x127f20(0x19c9)]>0x0&&_0xc6b358[_0x127f20(0x482)][_0x34bb43[_0x127f20(0x1769)]]['unreadGroupMessages']--,_0xc6b358[_0x127f20(0x1b12)]['messages'][_0x34bb43['id']]&&(_0xc6b358[_0x127f20(0x1b12)]['messages'][_0x34bb43['id']][_0x127f20(0x229e)]=!![]));}function _0x293213(_0x1f2d45){const _0x2f542e=_0x5b7a1e;if(!_0xc6b358[_0x2f542e(0x1b12)]&&_0x1f2d45['ToId']===_0xc6b358['currentUser']['id']&&_0x1f2d45[_0x2f542e(0xf96)]!==_0xc6b358['currentUser']['id']&&!_0x1f2d45[_0x2f542e(0x229e)]&&_0x1f2d45[_0x2f542e(0x1769)]){const _0x38731e=_0xc6b358[_0x2f542e(0x482)][_0x1f2d45[_0x2f542e(0x1769)]];if(_0x38731e)!_0x38731e[_0x2f542e(0x19c9)]&&(_0x38731e[_0x2f542e(0x19c9)]=0x0),_0x38731e['unreadGroupMessages']++;else return _0x54dcfb[_0x2f542e(0x1340)][_0x2f542e(0x16b4)]({'id':_0x1f2d45[_0x2f542e(0x1769)]})[_0x2f542e(0x2945)]['then'](function(_0x4c576f){const _0x4e2de5=_0x2f542e;_0x4c576f['unreadGroupMessages']=0x1,_0xc6b358[_0x4e2de5(0x482)][_0x4c576f['id']]=_0x4c576f;});}_0xc6b358[_0x2f542e(0x1b12)]&&_0x1f2d45['ChatGroupId']===_0xc6b358[_0x2f542e(0x1b12)]['id']&&_0x1f2d45[_0x2f542e(0x5b1)]===_0xc6b358['currentUser']['id']&&_0x54dcfb[_0x2f542e(0x1841)][_0x2f542e(0x18e1)]({'id':_0x1f2d45['id'],'read':!![]})['$promise'][_0x2f542e(0x146b)](function(){_0x5df917(_0x1f2d45);});}function _0x45086f(_0x2697e4){const _0x43c400=_0x5b7a1e;return _0xc6b358['license']=_0x2697e4,_0x54dcfb[_0x43c400(0xe7b)][_0x43c400(0x809)]({'id':_0xc6b358[_0x43c400(0x2321)]['id']})[_0x43c400(0x2945)][_0x43c400(0x146b)](function(_0x3e9f4c){const _0x120bb3=_0x43c400,_0x178a40=[];for(let _0x5ca1eb=0x0;_0x5ca1eb<_0x3e9f4c['rows'][_0x120bb3(0x402)];_0x5ca1eb++){_0x3e9f4c[_0x120bb3(0x19c7)][_0x5ca1eb][_0x120bb3(0x19c9)]=0x0,_0xc6b358['groups'][_0x3e9f4c[_0x120bb3(0x19c7)][_0x5ca1eb]['id']]=_0x3e9f4c[_0x120bb3(0x19c7)][_0x5ca1eb],_0x178a40[_0x120bb3(0x1f47)](_0x54dcfb[_0x120bb3(0x1340)][_0x120bb3(0x3c6)]({'id':_0x3e9f4c[_0x120bb3(0x19c7)][_0x5ca1eb]['id']})[_0x120bb3(0x2945)]);}return _0x37a646[_0x120bb3(0x1be2)](_0x178a40);})['then'](function(_0x2bdb2d){const _0x27850d=_0x43c400;for(let _0x2132c6=0x0;_0x2132c6<_0x2bdb2d[_0x27850d(0x402)];_0x2132c6++){_0xc6b358[_0x27850d(0x482)][_0x2bdb2d[_0x2132c6]['id']][_0x27850d(0x19c9)]+=_0x2bdb2d[_0x2132c6][_0x27850d(0x51c)];}})['catch'](function(_0x3b524a){const _0x6c7a54=_0x43c400;console[_0x6c7a54(0x1980)](_0x3b524a);});}function _0xcd5c04(){const _0x39cb06=_0x5b7a1e;return _0x3f65c0()['values'](_0xc6b358[_0x39cb06(0x482)]);}function _0x5da414(_0x2c4909,_0xaa9486){const _0x6d13ee=_0x5b7a1e;_0x4035c7[_0x6d13ee(0x2615)]({'controller':'ShowMessageInfoDialogController','controllerAs':'vm','templateUrl':_0x1a51a9,'parent':angular['element'](_0x3b748e[_0x6d13ee(0x2586)]),'targetEvent':_0x2c4909,'clickOutsideToClose':!![],'locals':{'message':_0xaa9486},'resolve':{'data':function(){const _0x182ab2=_0x6d13ee,_0x53f214={'messages':[]};return _0x54dcfb[_0x182ab2(0x1841)][_0x182ab2(0x16b4)]({'ChatInternalMessageId':_0xaa9486['id']})['$promise'][_0x182ab2(0x146b)](function(_0x112761){const _0x46a797=_0x182ab2,_0x4aea7d=[];_0x53f214['messages']=_0x112761['rows'],_0x53f214[_0x46a797(0x8ac)][_0x46a797(0xb3d)](_0xaa9486);for(let _0x1a48be=0x0;_0x1a48be<_0x53f214[_0x46a797(0x8ac)][_0x46a797(0x402)];_0x1a48be++){_0x4aea7d['push'](_0x54dcfb[_0x46a797(0xe7b)][_0x46a797(0x16b4)]({'id':_0x53f214[_0x46a797(0x8ac)][_0x1a48be][_0x46a797(0x5b1)],'fields':_0x46a797(0x266d)})[_0x46a797(0x2945)]);}return _0x37a646[_0x46a797(0x1be2)](_0x4aea7d);})['then'](function(_0x4c9ddf){const _0x3f42c0=_0x182ab2;return _0x53f214[_0x3f42c0(0x1995)]=_0x4c9ddf,_0x53f214;})['catch'](function(_0xf77436){const _0x2eac55=_0x182ab2;_0xf77436&&console[_0x2eac55(0x1980)](_0xf77436);});}}})[_0x6d13ee(0x146b)](function(){})[_0x6d13ee(0x129e)](function(_0x91185){const _0x85efdc=_0x6d13ee;_0x91185&&console[_0x85efdc(0x1980)](_0x91185['message']);});}function _0x1565d9(){const _0x307288=_0x5b7a1e;if(!_0xc6b358[_0x307288(0x1312)])return;const _0xa7c04b={'id':_0xc6b358[_0x307288(0x1b12)]['id'],'FromId':_0xc6b358[_0x307288(0x2321)]['id'],'ToId':_0xc6b358['currentUser']['id'],'body':_0xc6b358['replyMessage'],'read':!![]},_0x51912e=[];_0xc6b358[_0x307288(0x1312)]='',_0x54dcfb[_0x307288(0x1340)]['addMessage'](_0xa7c04b)[_0x307288(0x2945)][_0x307288(0x146b)](function(_0xbdef4c){const _0x1ff712=_0x307288;_0xa7c04b['read']=![],_0xa7c04b[_0x1ff712(0x70e)]=_0xbdef4c['id'];for(let _0x3066c3=0x0;_0x3066c3<_0xc6b358[_0x1ff712(0x1b12)][_0x1ff712(0x1910)][_0x1ff712(0x402)];_0x3066c3++){_0xc6b358[_0x1ff712(0x1b12)][_0x1ff712(0x1910)][_0x3066c3]['id']!==_0xc6b358[_0x1ff712(0x2321)]['id']&&(_0xa7c04b[_0x1ff712(0x5b1)]=_0xc6b358[_0x1ff712(0x1b12)]['members'][_0x3066c3]['id'],_0x51912e[_0x1ff712(0x1f47)](_0x54dcfb[_0x1ff712(0x1340)][_0x1ff712(0x220)](angular[_0x1ff712(0x235a)](_0xa7c04b))['$promise']));}return _0x37a646[_0x1ff712(0x1be2)](_0x51912e);})['catch'](function(_0x3625ae){const _0x2090e6=_0x307288;console[_0x2090e6(0x1a74)](_0x3625ae);});}function _0x5df917(_0x32e8f5){const _0x7c221c=_0x5b7a1e;_0xc6b358[_0x7c221c(0x1b12)][_0x7c221c(0x8ac)][_0x32e8f5['id']]=_0x32e8f5,_0x166d7c(0x190);}function _0x460e7a(){const _0x2aeab7=_0x5b7a1e;delete _0xc6b358[_0x2aeab7(0x1b12)];}function _0x166d7c(_0x591ac2){const _0x328185=_0x5b7a1e,_0xb3e332=angular[_0x328185(0x1853)]('#chat-group-dialog');_0x460b08(function(){const _0x15bd5e=_0x328185;_0xb3e332['animate']({'scrollTop':_0xb3e332[0x0][_0x15bd5e(0x9d4)]},_0x591ac2);},0x0);}function _0x50596c(_0x2fabd1){const _0x3e73d6=_0x5b7a1e;_0xc6b358[_0x3e73d6(0x1b12)]={'id':_0x2fabd1['id'],'write':_0x2fabd1['write'],'messages':{}},_0x54dcfb['chatGroup'][_0x3e73d6(0x24c3)]({'id':_0x2fabd1['id'],'fields':_0x3e73d6(0x12bf)})[_0x3e73d6(0x2945)][_0x3e73d6(0x146b)](function(_0x34d918){const _0x3f9fad=_0x3e73d6;return _0xc6b358[_0x3f9fad(0x1b12)][_0x3f9fad(0x2476)]=_0x3f65c0()['keyBy'](_0x34d918['rows'],'id'),_0xc6b358[_0x3f9fad(0x1b12)][_0x3f9fad(0x1910)]=_0x3f65c0()['differenceBy'](_0x34d918[_0x3f9fad(0x19c7)],[{'id':_0xc6b358[_0x3f9fad(0x2321)]['id']}],'id'),_0xc6b358[_0x3f9fad(0x1f4b)]=_0x3f65c0()['map'](_0xc6b358['group'][_0x3f9fad(0x1910)],'fullname')[_0x3f9fad(0xb47)](',\x20'),_0x54dcfb['chatGroup'][_0x3f9fad(0x1c0f)]({'id':_0x2fabd1['id'],'nolimit':!![]})[_0x3f9fad(0x2945)];})[_0x3e73d6(0x146b)](function(_0xffa5de){const _0x4d8003=_0x3e73d6,_0x3acc3f=[];let _0x502340;for(let _0x1f7a17=0x0;_0x1f7a17<_0xffa5de[_0x4d8003(0x51c)];_0x1f7a17++){_0xffa5de['rows'][_0x1f7a17]['ToId']===_0xc6b358['currentUser']['id']&&(_0x502340=_0xffa5de['rows'][_0x1f7a17],_0xc6b358[_0x4d8003(0x1b12)][_0x4d8003(0x8ac)][_0x502340['id']]=_0x502340,_0xffa5de['rows'][_0x1f7a17][_0x4d8003(0x5b1)]==_0xc6b358['currentUser']['id']&&_0xffa5de[_0x4d8003(0x19c7)][_0x1f7a17][_0x4d8003(0xf96)]!==_0xc6b358['currentUser']['id']&&!_0x502340['read']&&_0x3acc3f[_0x4d8003(0x1f47)](_0x54dcfb[_0x4d8003(0x1841)][_0x4d8003(0x18e1)]({'id':_0x502340['id'],'read':!![]})));}return _0x37a646['all'](_0x3acc3f);})[_0x3e73d6(0x146b)](function(){_0x166d7c(0x190);})[_0x3e73d6(0x129e)](function(_0x21b130){const _0x3a238f=_0x3e73d6;console[_0x3a238f(0x1980)](_0x21b130[_0x3a238f(0x7fd)]);});}function _0x7938aa(_0x25959b,_0x204427){const _0x2cc5da=_0x5b7a1e,_0x28627c=_0x4035c7[_0x2cc5da(0x1e8a)]()[_0x2cc5da(0x1189)](_0x2cc5da(0x16e7))[_0x2cc5da(0x1cbe)]('Group\x20'+_0x25959b[_0x2cc5da(0x19eb)]+''+_0x2cc5da(0xe01))['ariaLabel'](_0x2cc5da(0x2917))[_0x2cc5da(0x1f27)](_0x204427)['ok']('OK')['cancel'](_0x2cc5da(0x39a));_0x4035c7[_0x2cc5da(0x2615)](_0x28627c)[_0x2cc5da(0x146b)](function(){const _0x3d69e2=_0x2cc5da;return _0x54dcfb[_0x3d69e2(0x1340)]['delete']({'id':_0x25959b['id']})[_0x3d69e2(0x2945)];})[_0x2cc5da(0x146b)](function(){const _0x4106f1=_0x2cc5da;delete _0xc6b358[_0x4106f1(0x482)][_0x25959b['id']],_0x407f1f[_0x4106f1(0x1c75)]({'title':_0x4106f1(0x2356),'msg':_0x25959b[_0x4106f1(0x19eb)]+_0x4106f1(0x23e3)});})['catch'](function(_0x59907e){const _0x366929=_0x2cc5da;_0x59907e&&_0x407f1f[_0x366929(0x1980)]({'title':_0x59907e[_0x366929(0x107b)]?_0x366929(0x262a)+_0x59907e[_0x366929(0x107b)]+_0x366929(0x1315)+_0x59907e[_0x366929(0x167f)]:'api.chatGroup.delete','msg':_0x59907e[_0x366929(0x524)]?JSON['stringify'](_0x59907e[_0x366929(0x524)][_0x366929(0x7fd)]):_0x59907e[_0x366929(0xd5f)]()});});}function _0x57bc50(_0x1d614a,_0x8d1167){const _0x1edf0e=_0x5b7a1e;_0x4035c7['show']({'controller':_0x1edf0e(0x2368),'controllerAs':'vm','templateUrl':_0x4f134c,'parent':angular[_0x1edf0e(0x1853)](_0x3b748e[_0x1edf0e(0x2586)]),'targetEvent':_0x8d1167,'clickOutsideToClose':!![],'locals':{'group':_0x1d614a,'me':_0xc6b358[_0x1edf0e(0x2321)],'license':null,'setting':null},'resolve':{'members':function(){const _0x181eeb=_0x1edf0e;return _0x17f035[_0x181eeb(0x23e0)]('admin')?_0x54dcfb[_0x181eeb(0xe7b)][_0x181eeb(0x16b4)]({'role':_0x181eeb(0x1755)})['$promise']:_0x54dcfb[_0x181eeb(0x26b6)][_0x181eeb(0x158f)]({'id':_0x17f035[_0x181eeb(0xb12)]()[_0x181eeb(0x209a)],'section':_0x181eeb(0xca8),'role':_0x181eeb(0x1755)})['$promise'];}}})[_0x1edf0e(0x146b)](function(_0x3e4446){_0x3e4446&&(_0xc6b358['groups'][_0x3e4446['id']]=_0x3e4446);})[_0x1edf0e(0x129e)](function(_0x138393){const _0x872bd8=_0x1edf0e;_0x138393&&console[_0x872bd8(0x1980)](_0x138393[_0x872bd8(0x7fd)]);});}_0x49f0d8[_0x5b7a1e(0x16ad)](_0x5b7a1e(0x116f),function(){const _0x3eb11b=_0x5b7a1e;_0x4113fe['removeAllListeners'](_0x3eb11b(0xb56)),_0x4113fe[_0x3eb11b(0x1c5f)](_0x3eb11b(0x10d2)),_0x4113fe['removeAllListeners'](_0x3eb11b(0x18e0));});}const _0x22405c=_0x3b9923;;_0x5e17d5[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),_0x313a4d(0x1fe4),'api',_0x313a4d(0xa87),_0x313a4d(0x524)];function _0x5e17d5(_0x3f8df8,_0x61352d,_0x54acac,_0x2ba876,_0x20b9d9,_0x486e5a,_0x2b9d57,_0x24642c){const _0x18fb46=_0x313a4d,_0x3c6f90=this;_0x3c6f90[_0x18fb46(0x524)]=_0x24642c,_0x3c6f90['title']='QUICKPANEL.INFO';function _0x2c216c(){const _0x164ac1=_0x18fb46;_0x2ba876[_0x164ac1(0x2458)]();}_0x3c6f90['closeDialog']=_0x2c216c;}const _0x6a7e5c=_0x5e17d5;;_0x590a72[_0x313a4d(0x11c2)]=[_0x313a4d(0x695)];function _0x590a72(_0x516bdb){const _0x1d275b=_0x313a4d;_0x516bdb[_0x1d275b(0x15bf)]('app/quick-panel');}angular[_0x313a4d(0x2528)](_0x313a4d(0xe87),[])['config'](_0x590a72)[_0x313a4d(0x28f0)](_0x313a4d(0xf1b),_0x68ca83)[_0x313a4d(0x28f0)](_0x313a4d(0x209f),_0x45eec4)[_0x313a4d(0x28f0)](_0x313a4d(0x2368),_0x45f675)[_0x313a4d(0x28f0)](_0x313a4d(0xed0),_0x22405c)[_0x313a4d(0x28f0)](_0x313a4d(0x2a2),_0x6a7e5c);;_0x5874ec[_0x313a4d(0x11c2)]=[_0x313a4d(0xa87),_0x313a4d(0x23df)];function _0x5874ec(_0x570ab,_0x443596){const _0x53beeb=_0x313a4d,_0x1447b3=this;function _0x54872b(){const _0x5a9378=a0_0x3bb9;_0x443596[_0x5a9378(0x17b7)](_0x5a9378(0xbed)+_0x1447b3[_0x5a9378(0x2321)]['id'],!![]);}_0x1447b3[_0x53beeb(0x2321)]=_0x570ab['getCurrentUser'](),_0x1447b3['newsAlertHide']=_0x443596[_0x53beeb(0x16b4)](_0x53beeb(0xbed)+_0x1447b3['currentUser']['id'])||![],_0x1447b3[_0x53beeb(0x1857)]=_0x54872b;}const _0x37b879=_0x5874ec;;_0x49373e[_0x313a4d(0x11c2)]=[_0x313a4d(0x695)];function _0x49373e(_0x5baa78){const _0x58830f=_0x313a4d;_0x5baa78[_0x58830f(0x15bf)](_0x58830f(0x108c));}angular[_0x313a4d(0x2528)]('app.header',[])['config'](_0x49373e)['controller'](_0x313a4d(0x1969),_0x37b879);;_0x504331[_0x313a4d(0x11c2)]=[_0x313a4d(0xa87),_0x313a4d(0x23df)];function _0x504331(_0x219e1a,_0x243787){const _0x53ed03=_0x313a4d,_0x10313e=this;function _0x3a307d(){const _0x5dfa4e=a0_0x3bb9;_0x243787[_0x5dfa4e(0x17b7)](_0x5dfa4e(0x1c5a)+_0x10313e[_0x5dfa4e(0x2321)]['id'],!![]);}_0x10313e['currentUser']=_0x219e1a['getCurrentUser'](),_0x10313e[_0x53ed03(0xfbb)]=_0x243787[_0x53ed03(0x16b4)](_0x53ed03(0x1c5a)+_0x10313e[_0x53ed03(0x2321)]['id'])||![],_0x10313e[_0x53ed03(0x1a07)]=_0x3a307d;}const _0x1bef37=_0x504331;;_0x5d359b[_0x313a4d(0x11c2)]=[_0x313a4d(0x695)];function _0x5d359b(_0x4c9839){const _0x58679c=_0x313a4d;_0x4c9839['addPart'](_0x58679c(0xb89));}angular['module']('app.footer',[])[_0x313a4d(0x989)](_0x5d359b)[_0x313a4d(0x28f0)]('FooterController',_0x1bef37);var _0x34a9a0=_0x4acfac(0x1cbe),_0x5d76c7=_0x4acfac(0x2303),_0x19b3e0=_0x4acfac(0xde6),_0x4d9086=_0x4acfac(0x321),_0x2184cc=_0x4acfac(0x1c92),_0x3858f4=_0x4acfac(0x2361),_0x474f48=_0x4acfac(0xdd5),_0x52611e=_0x4acfac(0x9d6),_0x2d97ca=_0x4acfac(0x20aa),_0x23ea04=_0x4acfac(0x1ac1),_0x5793b3=_0x4acfac(0x15c3),_0x27ff47=_0x4acfac(0x154a),_0x3deb7f=_0x4acfac(0x2154),_0x597a73=_0x4acfac(0x23a1),_0x104be4=_0x4acfac(0xa7c),_0x5ab9e9=_0x4acfac(0x1eaf),_0x843c31=_0x4acfac(0x444),_0x491f49=_0x4acfac(0x1c4),_0x453051=_0x4acfac(0x121a),_0x1d6590=_0x4acfac(0x1be),_0x18d917=_0x4acfac(0xd3e);;const _0x5c97d6=_0x4acfac['p']+_0x313a4d(0x2896);;const _0x57509d=_0x4acfac['p']+_0x313a4d(0x1cc6);;const _0x7fb38f=_0x4acfac['p']+_0x313a4d(0x1e6a);;const _0x5bcc79=_0x4acfac['p']+_0x313a4d(0xf34);;const _0x3072e7=_0x4acfac['p']+_0x313a4d(0x2666);;const _0x2c3ae5=_0x4acfac['p']+'src/js/modules/main/apps/dashboards/views/general/user/openchannel/user.general.openchannel.html/user.general.openchannel.html';;const _0xb15ad6=_0x4acfac['p']+_0x313a4d(0x1584);;const _0x1f2a38=_0x4acfac['p']+'src/js/modules/main/apps/dashboards/views/general/user/whatsapp/user.general.whatsapp.html/user.general.whatsapp.html';;_0xc86927[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x279d),'chatInteractions',_0x313a4d(0x2710)];function _0xc86927(_0x1a4892,_0x2bc88a,_0x3ef216,_0x146143){const _0x1e1dde=_0x313a4d,_0x34ce58=this,_0x1916d5=[];_0x34ce58['config']={'format':'hh:mm:ss','unit':_0x1e1dde(0xcf5),'trim':![]},_0x34ce58['inbound']={'waiting':0x0,'talking':0x0,'answered':0x0,'abandoned':0x0,'unmanaged':0x0,'sumHoldTime':0x0,'sumBillable':0x0,'total':0x0,'avgHoldTime':_0x1e1dde(0x169a),'avgTalkTime':_0x1e1dde(0x169a),'answerRate':0x0,'abandonRate':0x0},_0x34ce58[_0x1e1dde(0x2710)]=_0x146143?_0x3f65c0()[_0x1e1dde(0x194)](_0x146143['rows']?_0x146143[_0x1e1dde(0x19c7)]:[],'id'):{},_0x34ce58[_0x1e1dde(0x21fa)]=_0x26a369,_0x34ce58['onUpdateChatInteraction']=_0x3169a3,_0x2bc88a['on'](_0x1e1dde(0x1284),_0x34ce58[_0x1e1dde(0x21fa)]),_0x2bc88a['on'](_0x1e1dde(0xcd1),_0x34ce58[_0x1e1dde(0xd44)]),_0x188635();function _0x46f55e(_0x3745e8,_0x51afa7){const _0x13fb83=_0x1e1dde;!_0x3745e8[_0x13fb83(0x1943)]&&!_0x3745e8[_0x13fb83(0xea2)]&&_0x51afa7&&(_0x34ce58[_0x13fb83(0x7b0)]['waiting']+=0x1);!_0x3745e8['closed']&&_0x3745e8[_0x13fb83(0xea2)]&&!_0x3f65c0()['includes'](_0x1916d5,_0x3745e8['id'])&&(_0x34ce58['inbound'][_0x13fb83(0x10ed)]+=0x1,_0x1916d5[_0x13fb83(0x1f47)](_0x3745e8['id']),!_0x51afa7&&_0x34ce58[_0x13fb83(0x7b0)][_0x13fb83(0x12a3)]&&(_0x34ce58[_0x13fb83(0x7b0)][_0x13fb83(0x12a3)]-=0x1));if(_0x3745e8[_0x13fb83(0x1943)]&&_0x3745e8['disposition']===_0x13fb83(0x521))_0x34ce58[_0x13fb83(0x7b0)]['abandoned']+=0x1,!_0x51afa7&&_0x34ce58[_0x13fb83(0x7b0)][_0x13fb83(0x12a3)]&&(_0x34ce58[_0x13fb83(0x7b0)]['waiting']-=0x1);else{if(_0x3745e8['closed']&&!_0x3745e8['UserId']&&_0x3745e8[_0x13fb83(0x9e1)])_0x34ce58[_0x13fb83(0x7b0)][_0x13fb83(0x2389)]+=0x1,!_0x51afa7&&_0x34ce58[_0x13fb83(0x7b0)][_0x13fb83(0x12a3)]&&(_0x34ce58[_0x13fb83(0x7b0)]['waiting']-=0x1);else _0x3745e8['closed']&&_0x3745e8[_0x13fb83(0xea2)]&&(_0x34ce58[_0x13fb83(0x7b0)][_0x13fb83(0x2761)]+=_0x2deec6()(_0x3745e8[_0x13fb83(0xc73)])['diff'](_0x2deec6()(_0x3745e8[_0x13fb83(0x801)]),_0x34ce58['config'][_0x13fb83(0x2408)]),_0x34ce58[_0x13fb83(0x7b0)][_0x13fb83(0x1098)]+=_0x2deec6()(_0x3745e8[_0x13fb83(0x801)])[_0x13fb83(0x1bb5)](_0x2deec6()(_0x3745e8[_0x13fb83(0xc68)]),_0x34ce58[_0x13fb83(0x989)]['unit']),_0x34ce58[_0x13fb83(0x7b0)]['answered']+=0x1,!_0x51afa7&&_0x34ce58[_0x13fb83(0x7b0)][_0x13fb83(0x10ed)]&&(_0x34ce58['inbound'][_0x13fb83(0x10ed)]-=0x1));}_0x51afa7&&(_0x34ce58[_0x13fb83(0x7b0)][_0x13fb83(0x2877)]+=0x1);}function _0x4c28e5(){const _0x59db60=_0x1e1dde;_0x34ce58[_0x59db60(0x7b0)]['abandonRate']=Math['round']((_0x34ce58[_0x59db60(0x7b0)][_0x59db60(0x521)]+_0x34ce58[_0x59db60(0x7b0)][_0x59db60(0x2389)])/(_0x34ce58['inbound'][_0x59db60(0x2877)]-_0x34ce58[_0x59db60(0x7b0)][_0x59db60(0x10ed)]-_0x34ce58['inbound'][_0x59db60(0x12a3)])*0x64,-0x2),_0x34ce58[_0x59db60(0x7b0)][_0x59db60(0x11f1)]=Math[_0x59db60(0x15dc)](_0x34ce58['inbound'][_0x59db60(0x23c3)]/(_0x34ce58['inbound'][_0x59db60(0x2877)]-_0x34ce58[_0x59db60(0x7b0)][_0x59db60(0x10ed)]-_0x34ce58[_0x59db60(0x7b0)][_0x59db60(0x12a3)])*0x64,-0x2),_0x34ce58[_0x59db60(0x7b0)]['avgHoldTime']=_0x2deec6()[_0x59db60(0x11cc)](Math[_0x59db60(0x15dc)](_0x34ce58[_0x59db60(0x7b0)][_0x59db60(0x1098)]/_0x34ce58[_0x59db60(0x7b0)]['answered']),_0x34ce58[_0x59db60(0x989)][_0x59db60(0x2408)])[_0x59db60(0x22b0)](_0x34ce58['config'][_0x59db60(0x22b0)],_0x34ce58['config']),_0x34ce58['inbound'][_0x59db60(0x1112)]=_0x2deec6()[_0x59db60(0x11cc)](Math['round'](_0x34ce58[_0x59db60(0x7b0)][_0x59db60(0x2761)]/_0x34ce58[_0x59db60(0x7b0)][_0x59db60(0x23c3)]),_0x34ce58[_0x59db60(0x989)][_0x59db60(0x2408)])[_0x59db60(0x22b0)](_0x34ce58[_0x59db60(0x989)][_0x59db60(0x22b0)],_0x34ce58['config']);}function _0x188635(){const _0x1514e8=_0x1e1dde;if(Object['keys'](_0x34ce58[_0x1514e8(0x2710)])['length']>0x0)for(let _0xc2663e=0x0;_0xc2663e<_0x3ef216[_0x1514e8(0x19c7)][_0x1514e8(0x402)];_0xc2663e+=0x1){if(_0x5501eb(_0x3ef216['rows'][_0xc2663e])){const _0x40e1ee=_0x3ef216[_0x1514e8(0x19c7)][_0xc2663e];_0x46f55e(_0x40e1ee,!![]),_0x4c28e5();}}}function _0x5501eb(_0x187e0b){const _0x6f087=_0x1e1dde;if(_0x3f65c0()[_0x6f087(0x1360)](_0x34ce58[_0x6f087(0x2710)],{'id':_0x3f65c0()[_0x6f087(0x123)](_0x187e0b[_0x6f087(0x1d92)])}))return!![];return![];}function _0x2554c7(_0x12bcfc){const _0x5ac45b=_0x1e1dde,_0x529dd7=_0x2deec6()()[_0x5ac45b(0x65f)](0x0,!![])[_0x5ac45b(0x1fcc)](_0x5ac45b(0x1066)),_0x5730e8=_0x2deec6()()[_0x5ac45b(0x65f)](0x0,!![])[_0x5ac45b(0x824)](_0x5ac45b(0x1066));return _0x2deec6()(_0x12bcfc)[_0x5ac45b(0x65f)](0x0,!![])[_0x5ac45b(0xb87)](_0x529dd7,_0x5730e8);}function _0x26a369(_0x534941){const _0xd6b892=_0x1e1dde;_0x5501eb(_0x534941)&&_0x2554c7(_0x534941[_0xd6b892(0xc68)])&&(_0x46f55e(_0x534941,!![]),_0x4c28e5());}function _0x3169a3(_0x4c36da){const _0x411b07=_0x1e1dde;_0x5501eb(_0x4c36da)&&_0x2554c7(_0x4c36da[_0x411b07(0xc68)])&&(_0x46f55e(_0x4c36da,![]),_0x4c28e5());}_0x1a4892[_0x1e1dde(0x16ad)](_0x1e1dde(0x116f),function(){const _0x1eacff=_0x1e1dde;_0x2bc88a[_0x1eacff(0x1c5f)](_0x1eacff(0x1284)),_0x2bc88a['removeAllListeners']('chatInteraction:update');});}const _0x4cabdf=_0xc86927;;_0x1fde2b[_0x313a4d(0x11c2)]=['$scope','$interval',_0x313a4d(0x279d),'outboundQueues',_0x313a4d(0x21af),_0x313a4d(0x128f),_0x313a4d(0x1882),_0x313a4d(0x163)];function _0x1fde2b(_0x55f4ae,_0x2ee65a,_0x52649c,_0x9aed9b,_0x47fe3d,_0x48f07d,_0x515799,_0x14048d){const _0x3f8e2c=_0x313a4d,_0x14819d=this;_0x14819d[_0x3f8e2c(0x1a83)]=_0x9aed9b?_0x3f65c0()[_0x3f8e2c(0x194)](_0x9aed9b[_0x3f8e2c(0x19c7)]?_0x9aed9b[_0x3f8e2c(0x19c7)]:[],'id'):{},_0x14819d[_0x3f8e2c(0x21af)]=_0x47fe3d?_0x3f65c0()[_0x3f8e2c(0x194)](_0x47fe3d[_0x3f8e2c(0x19c7)]?_0x47fe3d[_0x3f8e2c(0x19c7)]:[],'id'):{},_0x14819d['rpcCampaigns']=_0x14048d?_0x3f65c0()[_0x3f8e2c(0x194)](_0x14048d['rows']?_0x14048d[_0x3f8e2c(0x19c7)]:[],'id'):{},_0x14819d[_0x3f8e2c(0x1f0)]={'originated':0x0,'limitCalls':0x0},_0x14819d[_0x3f8e2c(0x7b0)]={'waiting':0x0,'talking':0x0,'pTalking':0x0,'answered':0x0,'abandoned':0x0,'unmanaged':0x0,'sumHoldTime':0x0,'sumBillable':0x0,'total':0x0,'avgHoldTime':_0x3f8e2c(0x169a),'avgTalkTime':_0x3f8e2c(0x169a),'answerRate':0x0,'abandonRate':0x0,'outboundDropCallsDayCallersExit':0x0,'outboundDropCallsDayTimeout':0x0},_0x14819d[_0x3f8e2c(0x2606)]=_0x10d5b4,_0x14819d[_0x3f8e2c(0x12a6)]=_0x5239fb,_0x52649c[_0x3f8e2c(0x13b7)]('voice_queue:save',_0x14819d[_0x3f8e2c(0x2606)]),_0x14819d['interval']=_0x2ee65a(function(){_0x409bd2(),_0x413aa7();},0x3e7),_0x52649c[_0x3f8e2c(0x13b7)](_0x3f8e2c(0x1d5e),_0x14819d[_0x3f8e2c(0x12a6)]),_0x409bd2(),_0x413aa7();function _0x409bd2(){const _0x37e6d7=_0x3f8e2c;_0x48f07d({'queues':_0x14819d[_0x37e6d7(0x1a83)],'rpcQueues':_0x14819d[_0x37e6d7(0x21af)]})[_0x37e6d7(0x146b)](function(_0x250c4a){_0x14819d['inbound']=_0x250c4a;});}function _0x413aa7(){const _0xfb223e=_0x3f8e2c;_0x515799({'rpcCampaigns':_0x14819d['rpcCampaigns']})[_0xfb223e(0x146b)](function(_0x53f68c){const _0xac3f4f=_0xfb223e;_0x14819d[_0xac3f4f(0x1f0)]=_0x53f68c;});}function _0x10d5b4(_0x276942){const _0x3f0975=_0x3f8e2c;_0x14819d[_0x3f0975(0x1a83)][_0x276942['id']]&&(_0x14819d[_0x3f0975(0x21af)][_0x276942['id']]&&(_0x14819d['rpcQueues'][_0x276942['id']]=_0x276942));}function _0x5239fb(_0x3adc1a){const _0x323060=_0x3f8e2c;_0x14819d[_0x323060(0x163)][_0x3adc1a['id']]&&(_0x14819d['rpcCampaigns'][_0x3adc1a['id']]=_0x3adc1a);}_0x55f4ae['$on'](_0x3f8e2c(0x116f),function(){const _0x5d2b34=_0x3f8e2c;_0x52649c[_0x5d2b34(0x1c5f)](_0x5d2b34(0x101d)),_0x52649c[_0x5d2b34(0x1c5f)](_0x5d2b34(0x1d5e)),_0x14819d['interval']&&_0x2ee65a['cancel'](_0x14819d[_0x5d2b34(0x2765)]);});}const _0x271689=_0x1fde2b;;_0x38a48d[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x279d),_0x313a4d(0x1938),'faxAccounts'];function _0x38a48d(_0x418ee2,_0x2ac84e,_0x43f432,_0x120645){const _0xaa96c7=_0x313a4d,_0x256659=this,_0x242fa9=[];_0x256659[_0xaa96c7(0x989)]={'format':'hh:mm:ss','unit':_0xaa96c7(0xcf5),'trim':![]},_0x256659[_0xaa96c7(0x7b0)]={'waiting':0x0,'talking':0x0,'answered':0x0,'abandoned':0x0,'unmanaged':0x0,'sumHoldTime':0x0,'sumBillable':0x0,'total':0x0,'avgHoldTime':'00:00:00','avgTalkTime':'00:00:00','answerRate':0x0,'abandonRate':0x0},_0x256659[_0xaa96c7(0x8a9)]=_0x120645?_0x3f65c0()[_0xaa96c7(0x194)](_0x120645[_0xaa96c7(0x19c7)]?_0x120645['rows']:[],'id'):{},_0x256659[_0xaa96c7(0x15a)]=_0x4da5bc,_0x256659[_0xaa96c7(0x2547)]=_0x579fc0,_0x2ac84e['on'](_0xaa96c7(0x180a),_0x256659[_0xaa96c7(0x15a)]),_0x2ac84e['on'](_0xaa96c7(0x1bb8),_0x256659[_0xaa96c7(0x2547)]),_0x287349();function _0x17fee3(_0x13d3a8,_0x34d2f9){const _0x2e9fe7=_0xaa96c7;!_0x13d3a8[_0x2e9fe7(0x1943)]&&!_0x13d3a8[_0x2e9fe7(0xea2)]&&_0x34d2f9&&(_0x256659[_0x2e9fe7(0x7b0)]['waiting']+=0x1),!_0x13d3a8[_0x2e9fe7(0x1943)]&&_0x13d3a8[_0x2e9fe7(0xea2)]&&!_0x3f65c0()[_0x2e9fe7(0xa4e)](_0x242fa9,_0x13d3a8['id'])&&(_0x256659[_0x2e9fe7(0x7b0)][_0x2e9fe7(0x10ed)]+=0x1,_0x242fa9[_0x2e9fe7(0x1f47)](_0x13d3a8['id']),!_0x34d2f9&&_0x256659[_0x2e9fe7(0x7b0)][_0x2e9fe7(0x12a3)]&&(_0x256659[_0x2e9fe7(0x7b0)][_0x2e9fe7(0x12a3)]-=0x1)),_0x13d3a8['closed']&&_0x13d3a8[_0x2e9fe7(0xea2)]&&(_0x256659[_0x2e9fe7(0x7b0)][_0x2e9fe7(0x2761)]+=_0x2deec6()(_0x13d3a8[_0x2e9fe7(0xc73)])[_0x2e9fe7(0x1bb5)](_0x2deec6()(_0x13d3a8[_0x2e9fe7(0x801)]),_0x256659['config'][_0x2e9fe7(0x2408)]),_0x256659['inbound'][_0x2e9fe7(0x1098)]+=_0x2deec6()(_0x13d3a8[_0x2e9fe7(0x801)])[_0x2e9fe7(0x1bb5)](_0x2deec6()(_0x13d3a8[_0x2e9fe7(0xc68)]),_0x256659[_0x2e9fe7(0x989)][_0x2e9fe7(0x2408)]),_0x256659[_0x2e9fe7(0x7b0)][_0x2e9fe7(0x23c3)]+=0x1,!_0x34d2f9&&_0x256659[_0x2e9fe7(0x7b0)][_0x2e9fe7(0x10ed)]&&(_0x256659[_0x2e9fe7(0x7b0)][_0x2e9fe7(0x10ed)]-=0x1)),_0x13d3a8[_0x2e9fe7(0x1943)]&&!_0x13d3a8[_0x2e9fe7(0xea2)]&&(_0x256659[_0x2e9fe7(0x7b0)][_0x2e9fe7(0x2389)]+=0x1,!_0x34d2f9&&_0x256659[_0x2e9fe7(0x7b0)][_0x2e9fe7(0x12a3)]&&(_0x256659['inbound'][_0x2e9fe7(0x12a3)]-=0x1)),_0x34d2f9&&(_0x256659[_0x2e9fe7(0x7b0)]['total']+=0x1);}function _0x282b70(){const _0x49c885=_0xaa96c7;_0x256659[_0x49c885(0x7b0)]['abandonRate']=Math['round']((_0x256659[_0x49c885(0x7b0)]['abandoned']+_0x256659[_0x49c885(0x7b0)][_0x49c885(0x2389)])/(_0x256659[_0x49c885(0x7b0)][_0x49c885(0x2877)]-_0x256659['inbound']['talking']-_0x256659[_0x49c885(0x7b0)]['waiting'])*0x64,-0x2),_0x256659[_0x49c885(0x7b0)][_0x49c885(0x11f1)]=Math['round'](_0x256659[_0x49c885(0x7b0)][_0x49c885(0x23c3)]/(_0x256659[_0x49c885(0x7b0)][_0x49c885(0x2877)]-_0x256659[_0x49c885(0x7b0)][_0x49c885(0x10ed)]-_0x256659[_0x49c885(0x7b0)][_0x49c885(0x12a3)])*0x64,-0x2),_0x256659[_0x49c885(0x7b0)][_0x49c885(0x1a7b)]=_0x2deec6()[_0x49c885(0x11cc)](Math[_0x49c885(0x15dc)](_0x256659[_0x49c885(0x7b0)][_0x49c885(0x1098)]/_0x256659[_0x49c885(0x7b0)][_0x49c885(0x23c3)]),_0x256659['config'][_0x49c885(0x2408)])[_0x49c885(0x22b0)](_0x256659[_0x49c885(0x989)][_0x49c885(0x22b0)],_0x256659['config']),_0x256659[_0x49c885(0x7b0)][_0x49c885(0x1112)]=_0x2deec6()[_0x49c885(0x11cc)](Math[_0x49c885(0x15dc)](_0x256659['inbound'][_0x49c885(0x2761)]/_0x256659[_0x49c885(0x7b0)][_0x49c885(0x23c3)]),_0x256659[_0x49c885(0x989)]['unit'])['format'](_0x256659[_0x49c885(0x989)][_0x49c885(0x22b0)],_0x256659[_0x49c885(0x989)]);}function _0x287349(){const _0x52edb5=_0xaa96c7;if(Object['keys'](_0x256659[_0x52edb5(0x8a9)])[_0x52edb5(0x402)]>0x0)for(let _0x25bdc1=0x0;_0x25bdc1<_0x43f432[_0x52edb5(0x19c7)][_0x52edb5(0x402)];_0x25bdc1+=0x1){if(_0x547ccc(_0x43f432[_0x52edb5(0x19c7)][_0x25bdc1])){const _0x3acd03=_0x43f432[_0x52edb5(0x19c7)][_0x25bdc1];_0x17fee3(_0x3acd03,!![]),_0x282b70();}}}function _0x547ccc(_0x50db81){const _0x19fbc3=_0xaa96c7;if(_0x3f65c0()[_0x19fbc3(0x1360)](_0x256659[_0x19fbc3(0x8a9)],{'id':_0x3f65c0()[_0x19fbc3(0x123)](_0x50db81[_0x19fbc3(0x74d)])}))return!![];return![];}function _0x150f0c(_0x96ce88){const _0x5c063c=_0xaa96c7,_0x2361f7=_0x2deec6()()[_0x5c063c(0x65f)](0x0,!![])[_0x5c063c(0x1fcc)](_0x5c063c(0x1066)),_0x4c4080=_0x2deec6()()['utcOffset'](0x0,!![])[_0x5c063c(0x824)](_0x5c063c(0x1066));return _0x2deec6()(_0x96ce88)[_0x5c063c(0x65f)](0x0,!![])[_0x5c063c(0xb87)](_0x2361f7,_0x4c4080);}function _0x4da5bc(_0x329b8e){const _0x4368e6=_0xaa96c7;_0x547ccc(_0x329b8e)&&_0x150f0c(_0x329b8e[_0x4368e6(0xc68)])&&(_0x17fee3(_0x329b8e,!![]),_0x282b70());}function _0x579fc0(_0x4b2481){const _0x2ef096=_0xaa96c7;_0x547ccc(_0x4b2481)&&_0x150f0c(_0x4b2481[_0x2ef096(0xc68)])&&(_0x17fee3(_0x4b2481,![]),_0x282b70());}_0x418ee2[_0xaa96c7(0x16ad)](_0xaa96c7(0x116f),function(){const _0x53bc2f=_0xaa96c7;_0x2ac84e[_0x53bc2f(0x1c5f)]('faxInteraction:save'),_0x2ac84e[_0x53bc2f(0x1c5f)](_0x53bc2f(0x1bb8));});}const _0x4466d7=_0x38a48d;;_0x218c86['$inject']=['$scope',_0x313a4d(0x279d),_0x313a4d(0x1250),_0x313a4d(0x1593)];function _0x218c86(_0xf8dcf1,_0x15d668,_0x448070,_0x4dc701){const _0x167910=_0x313a4d,_0x20b00d=this,_0x2a4691=[];_0x20b00d[_0x167910(0x989)]={'format':_0x167910(0x84f),'unit':_0x167910(0xcf5),'trim':![]},_0x20b00d[_0x167910(0x7b0)]={'waiting':0x0,'talking':0x0,'answered':0x0,'abandoned':0x0,'unmanaged':0x0,'sumHoldTime':0x0,'sumBillable':0x0,'total':0x0,'avgHoldTime':_0x167910(0x169a),'avgTalkTime':_0x167910(0x169a),'answerRate':0x0,'abandonRate':0x0},_0x20b00d[_0x167910(0x1593)]=_0x4dc701?_0x3f65c0()[_0x167910(0x194)](_0x4dc701[_0x167910(0x19c7)]?_0x4dc701[_0x167910(0x19c7)]:[],'id'):{},_0x20b00d[_0x167910(0x2379)]=_0x4c4afc,_0x20b00d[_0x167910(0xc52)]=_0x1606f4,_0x15d668['on']('mailInteraction:save',_0x20b00d[_0x167910(0x2379)]),_0x15d668['on'](_0x167910(0xb1d),_0x20b00d[_0x167910(0xc52)]),_0x1efae1();function _0x29bac6(_0x1ffb86,_0x1d16ee){const _0x2db67b=_0x167910;!_0x1ffb86[_0x2db67b(0x1943)]&&!_0x1ffb86[_0x2db67b(0xea2)]&&_0x1d16ee&&(_0x20b00d['inbound'][_0x2db67b(0x12a3)]+=0x1),!_0x1ffb86['closed']&&_0x1ffb86[_0x2db67b(0xea2)]&&!_0x3f65c0()['includes'](_0x2a4691,_0x1ffb86['id'])&&(_0x20b00d['inbound'][_0x2db67b(0x10ed)]+=0x1,_0x2a4691[_0x2db67b(0x1f47)](_0x1ffb86['id']),!_0x1d16ee&&_0x20b00d[_0x2db67b(0x7b0)]['waiting']&&(_0x20b00d[_0x2db67b(0x7b0)][_0x2db67b(0x12a3)]-=0x1)),_0x1ffb86['closed']&&_0x1ffb86[_0x2db67b(0xea2)]&&(_0x20b00d['inbound'][_0x2db67b(0x2761)]+=_0x2deec6()(_0x1ffb86['closedAt'])[_0x2db67b(0x1bb5)](_0x2deec6()(_0x1ffb86['read1stAt']),_0x20b00d[_0x2db67b(0x989)][_0x2db67b(0x2408)]),_0x20b00d[_0x2db67b(0x7b0)][_0x2db67b(0x1098)]+=_0x2deec6()(_0x1ffb86[_0x2db67b(0x801)])[_0x2db67b(0x1bb5)](_0x2deec6()(_0x1ffb86[_0x2db67b(0xc68)]),_0x20b00d[_0x2db67b(0x989)][_0x2db67b(0x2408)]),_0x20b00d[_0x2db67b(0x7b0)]['answered']+=0x1,!_0x1d16ee&&_0x20b00d['inbound'][_0x2db67b(0x10ed)]&&(_0x20b00d[_0x2db67b(0x7b0)]['talking']-=0x1)),_0x1ffb86[_0x2db67b(0x1943)]&&!_0x1ffb86[_0x2db67b(0xea2)]&&(_0x20b00d[_0x2db67b(0x7b0)][_0x2db67b(0x2389)]+=0x1,!_0x1d16ee&&_0x20b00d['inbound'][_0x2db67b(0x12a3)]&&(_0x20b00d['inbound'][_0x2db67b(0x12a3)]-=0x1)),_0x1d16ee&&(_0x20b00d['inbound']['total']+=0x1);}function _0x4e59e1(){const _0x523f59=_0x167910;_0x20b00d[_0x523f59(0x7b0)][_0x523f59(0x349)]=Math[_0x523f59(0x15dc)]((_0x20b00d[_0x523f59(0x7b0)]['abandoned']+_0x20b00d[_0x523f59(0x7b0)][_0x523f59(0x2389)])/(_0x20b00d['inbound'][_0x523f59(0x2877)]-_0x20b00d['inbound'][_0x523f59(0x10ed)]-_0x20b00d['inbound'][_0x523f59(0x12a3)])*0x64,-0x2),_0x20b00d[_0x523f59(0x7b0)][_0x523f59(0x11f1)]=Math[_0x523f59(0x15dc)](_0x20b00d[_0x523f59(0x7b0)]['answered']/(_0x20b00d[_0x523f59(0x7b0)][_0x523f59(0x2877)]-_0x20b00d['inbound'][_0x523f59(0x10ed)]-_0x20b00d[_0x523f59(0x7b0)][_0x523f59(0x12a3)])*0x64,-0x2),_0x20b00d[_0x523f59(0x7b0)]['avgHoldTime']=_0x2deec6()[_0x523f59(0x11cc)](Math[_0x523f59(0x15dc)](_0x20b00d[_0x523f59(0x7b0)][_0x523f59(0x1098)]/_0x20b00d['inbound'][_0x523f59(0x23c3)]),_0x20b00d[_0x523f59(0x989)][_0x523f59(0x2408)])[_0x523f59(0x22b0)](_0x20b00d['config'][_0x523f59(0x22b0)],_0x20b00d['config']),_0x20b00d['inbound']['avgTalkTime']=_0x2deec6()[_0x523f59(0x11cc)](Math[_0x523f59(0x15dc)](_0x20b00d[_0x523f59(0x7b0)][_0x523f59(0x2761)]/_0x20b00d[_0x523f59(0x7b0)][_0x523f59(0x23c3)]),_0x20b00d[_0x523f59(0x989)][_0x523f59(0x2408)])[_0x523f59(0x22b0)](_0x20b00d[_0x523f59(0x989)][_0x523f59(0x22b0)],_0x20b00d[_0x523f59(0x989)]);}function _0x1efae1(){const _0x44fb93=_0x167910;if(Object[_0x44fb93(0x627)](_0x20b00d[_0x44fb93(0x1593)])[_0x44fb93(0x402)]>0x0)for(let _0x1eb62e=0x0;_0x1eb62e<_0x448070[_0x44fb93(0x19c7)][_0x44fb93(0x402)];_0x1eb62e+=0x1){if(_0xb66ce(_0x448070[_0x44fb93(0x19c7)][_0x1eb62e])){const _0x2012a7=_0x448070[_0x44fb93(0x19c7)][_0x1eb62e];_0x29bac6(_0x2012a7,!![]),_0x4e59e1();}}}function _0xb66ce(_0x56554e){const _0x39cde0=_0x167910;if(_0x3f65c0()[_0x39cde0(0x1360)](_0x20b00d[_0x39cde0(0x1593)],{'id':_0x3f65c0()[_0x39cde0(0x123)](_0x56554e[_0x39cde0(0x658)])}))return!![];return![];}function _0x3eb066(_0x1f9e09){const _0x55ec32=_0x167910,_0x5a1e27=_0x2deec6()()[_0x55ec32(0x65f)](0x0,!![])[_0x55ec32(0x1fcc)](_0x55ec32(0x1066)),_0x123652=_0x2deec6()()[_0x55ec32(0x65f)](0x0,!![])['endOf'](_0x55ec32(0x1066));return _0x2deec6()(_0x1f9e09)[_0x55ec32(0x65f)](0x0,!![])[_0x55ec32(0xb87)](_0x5a1e27,_0x123652);}function _0x4c4afc(_0x1c8862){_0xb66ce(_0x1c8862)&&_0x3eb066(_0x1c8862['createdAt'])&&(_0x29bac6(_0x1c8862,!![]),_0x4e59e1());}function _0x1606f4(_0x20ee1d){const _0x563fa8=_0x167910;_0xb66ce(_0x20ee1d)&&_0x3eb066(_0x20ee1d[_0x563fa8(0xc68)])&&(_0x29bac6(_0x20ee1d,![]),_0x4e59e1());}_0xf8dcf1['$on'](_0x167910(0x116f),function(){const _0x385fda=_0x167910;_0x15d668[_0x385fda(0x1c5f)](_0x385fda(0x240e)),_0x15d668['removeAllListeners'](_0x385fda(0xb1d));});}const _0x5c2fe6=_0x218c86;;_0x143f72['$inject']=['$scope',_0x313a4d(0x279d),_0x313a4d(0xcb6),_0x313a4d(0x1690)];function _0x143f72(_0x2c230a,_0x5bdb37,_0x3e9470,_0x1518ff){const _0xba70a0=_0x313a4d,_0x58cc87=this,_0x4ca752=[];_0x58cc87[_0xba70a0(0x989)]={'format':_0xba70a0(0x84f),'unit':'seconds','trim':![]},_0x58cc87['inbound']={'waiting':0x0,'talking':0x0,'answered':0x0,'abandoned':0x0,'unmanaged':0x0,'sumHoldTime':0x0,'sumBillable':0x0,'total':0x0,'avgHoldTime':_0xba70a0(0x169a),'avgTalkTime':_0xba70a0(0x169a),'answerRate':0x0,'abandonRate':0x0},_0x58cc87[_0xba70a0(0x1690)]=_0x1518ff?_0x3f65c0()['keyBy'](_0x1518ff['rows']?_0x1518ff[_0xba70a0(0x19c7)]:[],'id'):{},_0x58cc87[_0xba70a0(0x9b6)]=_0xbd3daa,_0x58cc87['onUpdateOpenchannelInteraction']=_0x3e8a47,_0x5bdb37['on'](_0xba70a0(0x5ad),_0x58cc87[_0xba70a0(0x9b6)]),_0x5bdb37['on'](_0xba70a0(0xe65),_0x58cc87[_0xba70a0(0x138a)]),_0x1f700b();function _0x221d82(_0x5d6589,_0x2781b2){const _0x10aa9e=_0xba70a0;!_0x5d6589[_0x10aa9e(0x1943)]&&!_0x5d6589[_0x10aa9e(0xea2)]&&_0x2781b2&&(_0x58cc87[_0x10aa9e(0x7b0)][_0x10aa9e(0x12a3)]+=0x1),!_0x5d6589[_0x10aa9e(0x1943)]&&_0x5d6589[_0x10aa9e(0xea2)]&&!_0x3f65c0()[_0x10aa9e(0xa4e)](_0x4ca752,_0x5d6589['id'])&&(_0x58cc87[_0x10aa9e(0x7b0)][_0x10aa9e(0x10ed)]+=0x1,_0x4ca752[_0x10aa9e(0x1f47)](_0x5d6589['id']),!_0x2781b2&&_0x58cc87[_0x10aa9e(0x7b0)][_0x10aa9e(0x12a3)]&&(_0x58cc87['inbound']['waiting']-=0x1)),_0x5d6589[_0x10aa9e(0x1943)]&&_0x5d6589[_0x10aa9e(0xea2)]&&(_0x58cc87[_0x10aa9e(0x7b0)][_0x10aa9e(0x2761)]+=_0x2deec6()(_0x5d6589['closedAt'])['diff'](_0x2deec6()(_0x5d6589[_0x10aa9e(0x801)]),_0x58cc87[_0x10aa9e(0x989)][_0x10aa9e(0x2408)]),_0x58cc87[_0x10aa9e(0x7b0)][_0x10aa9e(0x1098)]+=_0x2deec6()(_0x5d6589['read1stAt'])['diff'](_0x2deec6()(_0x5d6589[_0x10aa9e(0xc68)]),_0x58cc87[_0x10aa9e(0x989)][_0x10aa9e(0x2408)]),_0x58cc87[_0x10aa9e(0x7b0)][_0x10aa9e(0x23c3)]+=0x1,!_0x2781b2&&_0x58cc87[_0x10aa9e(0x7b0)]['talking']&&(_0x58cc87[_0x10aa9e(0x7b0)][_0x10aa9e(0x10ed)]-=0x1)),_0x5d6589[_0x10aa9e(0x1943)]&&!_0x5d6589[_0x10aa9e(0xea2)]&&(_0x58cc87['inbound'][_0x10aa9e(0x2389)]+=0x1,!_0x2781b2&&_0x58cc87[_0x10aa9e(0x7b0)]['waiting']&&(_0x58cc87[_0x10aa9e(0x7b0)][_0x10aa9e(0x12a3)]-=0x1)),_0x2781b2&&(_0x58cc87['inbound']['total']+=0x1);}function _0x513621(){const _0x553e7a=_0xba70a0;_0x58cc87['inbound'][_0x553e7a(0x349)]=Math[_0x553e7a(0x15dc)]((_0x58cc87[_0x553e7a(0x7b0)]['abandoned']+_0x58cc87['inbound'][_0x553e7a(0x2389)])/(_0x58cc87['inbound'][_0x553e7a(0x2877)]-_0x58cc87[_0x553e7a(0x7b0)][_0x553e7a(0x10ed)]-_0x58cc87['inbound'][_0x553e7a(0x12a3)])*0x64,-0x2),_0x58cc87['inbound']['answerRate']=Math['round'](_0x58cc87[_0x553e7a(0x7b0)][_0x553e7a(0x23c3)]/(_0x58cc87[_0x553e7a(0x7b0)]['total']-_0x58cc87[_0x553e7a(0x7b0)]['talking']-_0x58cc87['inbound'][_0x553e7a(0x12a3)])*0x64,-0x2),_0x58cc87[_0x553e7a(0x7b0)][_0x553e7a(0x1a7b)]=_0x2deec6()[_0x553e7a(0x11cc)](Math['round'](_0x58cc87[_0x553e7a(0x7b0)][_0x553e7a(0x1098)]/_0x58cc87[_0x553e7a(0x7b0)]['answered']),_0x58cc87[_0x553e7a(0x989)][_0x553e7a(0x2408)])[_0x553e7a(0x22b0)](_0x58cc87['config'][_0x553e7a(0x22b0)],_0x58cc87[_0x553e7a(0x989)]),_0x58cc87[_0x553e7a(0x7b0)]['avgTalkTime']=_0x2deec6()[_0x553e7a(0x11cc)](Math[_0x553e7a(0x15dc)](_0x58cc87['inbound'][_0x553e7a(0x2761)]/_0x58cc87[_0x553e7a(0x7b0)][_0x553e7a(0x23c3)]),_0x58cc87['config']['unit'])[_0x553e7a(0x22b0)](_0x58cc87[_0x553e7a(0x989)]['format'],_0x58cc87['config']);}function _0x1f700b(){const _0x2fa158=_0xba70a0;if(Object[_0x2fa158(0x627)](_0x58cc87[_0x2fa158(0x1690)])[_0x2fa158(0x402)]>0x0)for(let _0x3d0568=0x0;_0x3d0568<_0x3e9470['rows'][_0x2fa158(0x402)];_0x3d0568+=0x1){if(_0x41658c(_0x3e9470[_0x2fa158(0x19c7)][_0x3d0568])){const _0x1d28f8=_0x3e9470['rows'][_0x3d0568];_0x221d82(_0x1d28f8,!![]),_0x513621();}}}function _0x41658c(_0x23ea65){const _0x3f1280=_0xba70a0;if(_0x3f65c0()[_0x3f1280(0x1360)](_0x58cc87[_0x3f1280(0x1690)],{'id':_0x3f65c0()[_0x3f1280(0x123)](_0x23ea65[_0x3f1280(0x534)])}))return!![];return![];}function _0x45e29f(_0x3e39dc){const _0x13f9c6=_0xba70a0,_0x47f3ef=_0x2deec6()()[_0x13f9c6(0x65f)](0x0,!![])[_0x13f9c6(0x1fcc)](_0x13f9c6(0x1066)),_0x5131ee=_0x2deec6()()[_0x13f9c6(0x65f)](0x0,!![])[_0x13f9c6(0x824)](_0x13f9c6(0x1066));return _0x2deec6()(_0x3e39dc)['utcOffset'](0x0,!![])[_0x13f9c6(0xb87)](_0x47f3ef,_0x5131ee);}function _0xbd3daa(_0x19a3df){const _0x1e61d8=_0xba70a0;_0x41658c(_0x19a3df)&&_0x45e29f(_0x19a3df[_0x1e61d8(0xc68)])&&(_0x221d82(_0x19a3df,!![]),_0x513621());}function _0x3e8a47(_0x449fca){const _0x2a0214=_0xba70a0;_0x41658c(_0x449fca)&&_0x45e29f(_0x449fca[_0x2a0214(0xc68)])&&(_0x221d82(_0x449fca,![]),_0x513621());}_0x2c230a['$on'](_0xba70a0(0x116f),function(){const _0x1a52c0=_0xba70a0;_0x5bdb37[_0x1a52c0(0x1c5f)]('openchannelInteraction:save'),_0x5bdb37[_0x1a52c0(0x1c5f)](_0x1a52c0(0xe65));});}const _0x7ad1d6=_0x143f72;;_0x3053b5[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x279d),_0x313a4d(0xdbc),_0x313a4d(0x1c34)];function _0x3053b5(_0x4f8b57,_0x2d584b,_0x26ba40,_0x11ff3){const _0x5e47e9=_0x313a4d,_0x1867c5=this,_0xcec7f1=[];_0x1867c5[_0x5e47e9(0x989)]={'format':_0x5e47e9(0x84f),'unit':_0x5e47e9(0xcf5),'trim':![]},_0x1867c5[_0x5e47e9(0x7b0)]={'waiting':0x0,'talking':0x0,'answered':0x0,'abandoned':0x0,'unmanaged':0x0,'sumHoldTime':0x0,'sumBillable':0x0,'total':0x0,'avgHoldTime':'00:00:00','avgTalkTime':'00:00:00','answerRate':0x0,'abandonRate':0x0},_0x1867c5[_0x5e47e9(0x1c34)]=_0x11ff3?_0x3f65c0()[_0x5e47e9(0x194)](_0x11ff3[_0x5e47e9(0x19c7)]?_0x11ff3[_0x5e47e9(0x19c7)]:[],'id'):{},_0x1867c5[_0x5e47e9(0xfa3)]=_0x59c9b2,_0x1867c5[_0x5e47e9(0x16c2)]=_0x104899,_0x2d584b['on'](_0x5e47e9(0x1fa2),_0x1867c5[_0x5e47e9(0xfa3)]),_0x2d584b['on'](_0x5e47e9(0x15ae),_0x1867c5[_0x5e47e9(0x16c2)]),_0x16b737();function _0x46ec4c(_0x4652c3,_0x35f08a){const _0x519f9f=_0x5e47e9;!_0x4652c3[_0x519f9f(0x1943)]&&!_0x4652c3[_0x519f9f(0xea2)]&&_0x35f08a&&(_0x1867c5[_0x519f9f(0x7b0)][_0x519f9f(0x12a3)]+=0x1),!_0x4652c3['closed']&&_0x4652c3['UserId']&&!_0x3f65c0()[_0x519f9f(0xa4e)](_0xcec7f1,_0x4652c3['id'])&&(_0x1867c5[_0x519f9f(0x7b0)]['talking']+=0x1,_0xcec7f1[_0x519f9f(0x1f47)](_0x4652c3['id']),!_0x35f08a&&_0x1867c5[_0x519f9f(0x7b0)][_0x519f9f(0x12a3)]&&(_0x1867c5[_0x519f9f(0x7b0)][_0x519f9f(0x12a3)]-=0x1)),_0x4652c3[_0x519f9f(0x1943)]&&_0x4652c3['UserId']&&(_0x1867c5[_0x519f9f(0x7b0)][_0x519f9f(0x2761)]+=_0x2deec6()(_0x4652c3[_0x519f9f(0xc73)])['diff'](_0x2deec6()(_0x4652c3[_0x519f9f(0x801)]),_0x1867c5[_0x519f9f(0x989)][_0x519f9f(0x2408)]),_0x1867c5['inbound'][_0x519f9f(0x1098)]+=_0x2deec6()(_0x4652c3[_0x519f9f(0x801)])['diff'](_0x2deec6()(_0x4652c3[_0x519f9f(0xc68)]),_0x1867c5[_0x519f9f(0x989)][_0x519f9f(0x2408)]),_0x1867c5['inbound'][_0x519f9f(0x23c3)]+=0x1,!_0x35f08a&&_0x1867c5[_0x519f9f(0x7b0)][_0x519f9f(0x10ed)]&&(_0x1867c5[_0x519f9f(0x7b0)][_0x519f9f(0x10ed)]-=0x1)),_0x4652c3[_0x519f9f(0x1943)]&&!_0x4652c3[_0x519f9f(0xea2)]&&(_0x1867c5[_0x519f9f(0x7b0)][_0x519f9f(0x2389)]+=0x1,!_0x35f08a&&_0x1867c5[_0x519f9f(0x7b0)][_0x519f9f(0x12a3)]&&(_0x1867c5[_0x519f9f(0x7b0)][_0x519f9f(0x12a3)]-=0x1)),_0x35f08a&&(_0x1867c5[_0x519f9f(0x7b0)][_0x519f9f(0x2877)]+=0x1);}function _0x1acbd8(){const _0x56c7d3=_0x5e47e9;_0x1867c5['inbound'][_0x56c7d3(0x349)]=Math['round']((_0x1867c5['inbound'][_0x56c7d3(0x521)]+_0x1867c5[_0x56c7d3(0x7b0)][_0x56c7d3(0x2389)])/(_0x1867c5[_0x56c7d3(0x7b0)][_0x56c7d3(0x2877)]-_0x1867c5['inbound']['talking']-_0x1867c5[_0x56c7d3(0x7b0)][_0x56c7d3(0x12a3)])*0x64,-0x2),_0x1867c5[_0x56c7d3(0x7b0)]['answerRate']=Math[_0x56c7d3(0x15dc)](_0x1867c5['inbound'][_0x56c7d3(0x23c3)]/(_0x1867c5[_0x56c7d3(0x7b0)][_0x56c7d3(0x2877)]-_0x1867c5['inbound'][_0x56c7d3(0x10ed)]-_0x1867c5['inbound'][_0x56c7d3(0x12a3)])*0x64,-0x2),_0x1867c5[_0x56c7d3(0x7b0)][_0x56c7d3(0x1a7b)]=_0x2deec6()['duration'](Math['round'](_0x1867c5['inbound'][_0x56c7d3(0x1098)]/_0x1867c5[_0x56c7d3(0x7b0)]['answered']),_0x1867c5['config'][_0x56c7d3(0x2408)])[_0x56c7d3(0x22b0)](_0x1867c5['config']['format'],_0x1867c5[_0x56c7d3(0x989)]),_0x1867c5['inbound'][_0x56c7d3(0x1112)]=_0x2deec6()[_0x56c7d3(0x11cc)](Math['round'](_0x1867c5[_0x56c7d3(0x7b0)][_0x56c7d3(0x2761)]/_0x1867c5[_0x56c7d3(0x7b0)][_0x56c7d3(0x23c3)]),_0x1867c5[_0x56c7d3(0x989)][_0x56c7d3(0x2408)])[_0x56c7d3(0x22b0)](_0x1867c5['config']['format'],_0x1867c5[_0x56c7d3(0x989)]);}function _0x16b737(){const _0x39eaee=_0x5e47e9;if(Object[_0x39eaee(0x627)](_0x1867c5[_0x39eaee(0x1c34)])[_0x39eaee(0x402)]>0x0)for(let _0x52e4c6=0x0;_0x52e4c6<_0x26ba40['rows'][_0x39eaee(0x402)];_0x52e4c6+=0x1){if(_0x53ab1d(_0x26ba40[_0x39eaee(0x19c7)][_0x52e4c6])){const _0x249c31=_0x26ba40[_0x39eaee(0x19c7)][_0x52e4c6];_0x46ec4c(_0x249c31,!![]),_0x1acbd8();}}}function _0x53ab1d(_0x44f834){const _0x3547c5=_0x5e47e9;if(_0x3f65c0()[_0x3547c5(0x1360)](_0x1867c5['smsAccounts'],{'id':_0x3f65c0()['toNumber'](_0x44f834[_0x3547c5(0x1481)])}))return!![];return![];}function _0x188f47(_0x2c108d){const _0x1921e4=_0x5e47e9,_0x34513a=_0x2deec6()()['utcOffset'](0x0,!![])[_0x1921e4(0x1fcc)]('day'),_0xf290da=_0x2deec6()()[_0x1921e4(0x65f)](0x0,!![])[_0x1921e4(0x824)](_0x1921e4(0x1066));return _0x2deec6()(_0x2c108d)[_0x1921e4(0x65f)](0x0,!![])[_0x1921e4(0xb87)](_0x34513a,_0xf290da);}function _0x59c9b2(_0x4e114e){_0x53ab1d(_0x4e114e)&&_0x188f47(_0x4e114e['createdAt'])&&(_0x46ec4c(_0x4e114e,!![]),_0x1acbd8());}function _0x104899(_0x522442){const _0x59177e=_0x5e47e9;_0x53ab1d(_0x522442)&&_0x188f47(_0x522442[_0x59177e(0xc68)])&&(_0x46ec4c(_0x522442,![]),_0x1acbd8());}_0x4f8b57[_0x5e47e9(0x16ad)]('$destroy',function(){const _0xcec5cd=_0x5e47e9;_0x2d584b[_0xcec5cd(0x1c5f)](_0xcec5cd(0x1fa2)),_0x2d584b[_0xcec5cd(0x1c5f)]('smsInteraction:update');});}const _0x1f610a=_0x3053b5;;_0x460ee3[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),_0x313a4d(0xa87),_0x313a4d(0x2690)],_0x13b7e5[_0x313a4d(0x11c2)]=['$q'],_0x371bb5[_0x313a4d(0x11c2)]=['$q'];function _0x371bb5(_0x35acae){const _0x58b798=[],_0x2df023=function(){const _0x4155da=a0_0x3bb9,_0x2e7069=_0x58b798[0x0],_0x593d7a={'waiting':0x0,'talking':0x0,'pTalking':0x0,'answered':0x0,'abandoned':0x0,'unmanaged':0x0,'sumHoldTime':0x0,'sumBillable':0x0,'total':0x0,'avgHoldTime':_0x4155da(0x169a),'avgTalkTime':_0x4155da(0x169a),'answerRate':0x0,'abandonRate':0x0,'originated':0x0,'outboundDropCallsDayCallersExit':0x0,'outboundDropCallsDayTimeout':0x0};_0x3f65c0()[_0x4155da(0x1a04)](_0x2e7069[_0x4155da(0x1b32)],function(_0x34d161,_0x3eb530){const _0x5b2bfd=_0x4155da;_0x2e7069[_0x5b2bfd(0x21af)][_0x3eb530]&&(_0x593d7a[_0x5b2bfd(0x12a3)]+=_0x2e7069[_0x5b2bfd(0x21af)][_0x3eb530][_0x5b2bfd(0x12a3)]||0x0,_0x593d7a[_0x5b2bfd(0x10ed)]+=(_0x2e7069[_0x5b2bfd(0x21af)][_0x3eb530][_0x5b2bfd(0x10ed)]||0x0)+(_0x2e7069[_0x5b2bfd(0x21af)][_0x3eb530][_0x5b2bfd(0x166f)]||0x0),_0x593d7a[_0x5b2bfd(0x23c3)]+=_0x2e7069[_0x5b2bfd(0x21af)][_0x3eb530][_0x5b2bfd(0x23c3)]||0x0,_0x593d7a[_0x5b2bfd(0x521)]+=_0x2e7069[_0x5b2bfd(0x21af)][_0x3eb530][_0x5b2bfd(0x521)]||0x0,_0x593d7a[_0x5b2bfd(0x1098)]+=_0x2e7069[_0x5b2bfd(0x21af)][_0x3eb530][_0x5b2bfd(0x1098)]||0x0,_0x593d7a['sumBillable']+=_0x2e7069[_0x5b2bfd(0x21af)][_0x3eb530][_0x5b2bfd(0x2761)]||0x0,_0x593d7a[_0x5b2bfd(0x2877)]+=_0x2e7069['rpcQueues'][_0x3eb530][_0x5b2bfd(0x2877)]||0x0,_0x593d7a[_0x5b2bfd(0x1e38)]+=_0x2e7069[_0x5b2bfd(0x21af)][_0x3eb530][_0x5b2bfd(0x1e38)]||0x0,_0x593d7a['outboundDropCallsDayCallersExit']+=_0x2e7069['rpcQueues'][_0x3eb530][_0x5b2bfd(0x3d7)]||0x0,_0x593d7a[_0x5b2bfd(0xcb5)]+=_0x2e7069[_0x5b2bfd(0x21af)][_0x3eb530][_0x5b2bfd(0xcb5)]||0x0);});const _0x1b8883=_0x593d7a[_0x4155da(0x2877)]-_0x593d7a[_0x4155da(0x23c3)]-_0x593d7a['abandoned'];_0x593d7a[_0x4155da(0x2389)]=_0x1b8883>0x0?_0x1b8883:0x0,_0x593d7a['avgHoldTime']=Math[_0x4155da(0x15dc)](_0x593d7a[_0x4155da(0x2877)]>0x0?_0x593d7a[_0x4155da(0x1098)]/_0x593d7a[_0x4155da(0x2877)]:0x0),_0x593d7a['avgHoldTime']=new Date(_0x593d7a[_0x4155da(0x1a7b)]*0x3e8)[_0x4155da(0x2394)]()[_0x4155da(0x16eb)](0xb,0x8),_0x593d7a[_0x4155da(0x1112)]=Math[_0x4155da(0x15dc)](_0x593d7a[_0x4155da(0x23c3)]>0x0?_0x593d7a[_0x4155da(0x2761)]/_0x593d7a[_0x4155da(0x23c3)]:0x0),_0x593d7a['avgTalkTime']=new Date(_0x593d7a['avgTalkTime']*0x3e8)[_0x4155da(0x2394)]()[_0x4155da(0x16eb)](0xb,0x8),_0x593d7a[_0x4155da(0x11f1)]=Math[_0x4155da(0x15dc)]((_0x593d7a[_0x4155da(0x2877)]>0x0?_0x593d7a['answered']/_0x593d7a[_0x4155da(0x2877)]*0x64:0x0)*Math['pow'](0xa,0x1))/Math[_0x4155da(0x4ed)](0xa,0x1),_0x593d7a[_0x4155da(0x349)]=Math[_0x4155da(0x15dc)]((_0x593d7a[_0x4155da(0x2877)]>0x0?_0x593d7a[_0x4155da(0x521)]/_0x593d7a[_0x4155da(0x2877)]*0x64:0x0)*Math[_0x4155da(0x4ed)](0xa,0x1))/Math['pow'](0xa,0x1),_0x593d7a[_0x4155da(0x62b)]=Math[_0x4155da(0x15dc)]((_0x593d7a[_0x4155da(0x2877)]>0x0?_0x593d7a['outboundDropCallsDayTimeout']/_0x593d7a['total']*0x64:0x0)*Math['pow'](0xa,0x1))/Math[_0x4155da(0x4ed)](0xa,0x1),_0x593d7a[_0x4155da(0xd9d)]=Math[_0x4155da(0x15dc)]((_0x593d7a[_0x4155da(0x2877)]>0x0?_0x593d7a['outboundDropCallsDayCallersExit']/_0x593d7a[_0x4155da(0x2877)]*0x64:0x0)*Math[_0x4155da(0x4ed)](0xa,0x1))/Math[_0x4155da(0x4ed)](0xa,0x1),_0x593d7a[_0x4155da(0x2175)]=0x64-_0x593d7a[_0x4155da(0x62b)]-_0x593d7a[_0x4155da(0xd9d)]>0x0&&(_0x593d7a[_0x4155da(0x2877)]||0x0>0x0)?0x64-_0x593d7a[_0x4155da(0x62b)]-_0x593d7a['outboundAbandonRate']:0x0,_0x58b798[_0x4155da(0x659)](),_0x2e7069[_0x4155da(0xce3)][_0x4155da(0x2922)](_0x593d7a),_0x58b798['length']>0x0&&_0x2df023();};return function(_0x1ec757){const _0x1a1573=a0_0x3bb9,_0x399d6f=_0x35acae[_0x1a1573(0xce3)]();return _0x58b798[_0x1a1573(0x1f47)]({'queues':_0x1ec757[_0x1a1573(0x1b32)],'rpcQueues':_0x1ec757[_0x1a1573(0x21af)],'defer':_0x399d6f}),_0x58b798[_0x1a1573(0x402)]===0x1&&_0x2df023(),_0x399d6f[_0x1a1573(0xb9c)];};}function _0x13b7e5(_0xf73b8a){const _0x101ad3=[],_0x1d6292=function(){const _0xecf046=a0_0x3bb9,_0x50c322=_0x101ad3[0x0],_0x113abc={'originated':0x0,'limitCalls':0x0};_0x3f65c0()[_0xecf046(0x1a04)](_0x50c322[_0xecf046(0x163)],function(_0x4ac5b8,_0x4fa0f4){const _0xe501eb=_0xecf046;_0x50c322['rpcCampaigns'][_0x4fa0f4]&&(_0x113abc[_0xe501eb(0x1e38)]+=_0x50c322[_0xe501eb(0x163)][_0x4fa0f4]['originated']||0x0,_0x113abc[_0xe501eb(0x114c)]+=_0x50c322['rpcCampaigns'][_0x4fa0f4][_0xe501eb(0x114c)]||0x0);}),_0x101ad3['shift'](),_0x50c322[_0xecf046(0xce3)][_0xecf046(0x2922)](_0x113abc),_0x101ad3[_0xecf046(0x402)]>0x0&&_0x1d6292();};return function(_0x47c76c){const _0x1ac0ce=a0_0x3bb9,_0x3d0f1f=_0xf73b8a[_0x1ac0ce(0xce3)]();return _0x101ad3['push']({'rpcCampaigns':_0x47c76c[_0x1ac0ce(0x163)],'defer':_0x3d0f1f}),_0x101ad3['length']===0x1&&_0x1d6292(),_0x3d0f1f[_0x1ac0ce(0xb9c)];};}function _0x1e5231(){return function(_0xe4d1dd){const _0x3aec95=a0_0x3bb9;return new Date(_0xe4d1dd*0x3e8)[_0x3aec95(0x2394)]()['substr'](0xb,0x8);};}function _0x460ee3(_0x3c7aa9,_0x57cfc9,_0x41a965){const _0x1ad140=_0x313a4d,_0x3536bc=this;_0x3536bc[_0x1ad140(0x2690)]=_0x41a965,_0x3536bc[_0x1ad140(0x3d4)]=_0x49557f;function _0x49557f(){const _0x23dda2=_0x1ad140;_0x3536bc[_0x23dda2(0x3d8)]=_0x57cfc9[_0x23dda2(0x23e0)](_0x23dda2(0xe7b))?_0x57cfc9[_0x23dda2(0x2434)](0x65):!![];if(_0x3536bc['hasDashboardPermissions']){const _0x3777fc=_0x3c7aa9['current']['name'];switch(_0x3777fc){case'app.dashboards.general':_0x280528();break;case _0x23dda2(0x913):_0x3536bc[_0x23dda2(0x1b09)]=0x0;break;case'app.dashboards.general.dialer':_0x3536bc[_0x23dda2(0x1b09)]=0x1;break;case _0x23dda2(0x66c):_0x3536bc[_0x23dda2(0x1b09)]=0x2;break;case _0x23dda2(0x1c6d):_0x3536bc['selectedTab']=0x3;break;case _0x23dda2(0x4c9):_0x3536bc['selectedTab']=0x4;break;case _0x23dda2(0x1575):_0x3536bc[_0x23dda2(0x1b09)]=0x5;break;case _0x23dda2(0x1b03):_0x3536bc[_0x23dda2(0x1b09)]=0x6;break;case _0x23dda2(0x26ee):_0x3536bc[_0x23dda2(0x1b09)]=0x7;break;}}}function _0x280528(){const _0x290e19=_0x1ad140,_0x22be5b=[];_0x3536bc['license'][_0x290e19(0xe6)]&&_0x22be5b['push'](_0x290e19(0x913)),_0x3536bc[_0x290e19(0x2690)][_0x290e19(0x2842)]&&_0x22be5b[_0x290e19(0x1f47)](_0x290e19(0xeb3)),_0x3536bc['license'][_0x290e19(0xa7f)]&&_0x22be5b[_0x290e19(0x1f47)](_0x290e19(0x66c)),_0x3536bc[_0x290e19(0x2690)][_0x290e19(0x56b)]&&_0x22be5b['push'](_0x290e19(0x1c6d)),_0x3536bc[_0x290e19(0x2690)][_0x290e19(0x25ca)]&&_0x22be5b[_0x290e19(0x1f47)](_0x290e19(0x4c9)),_0x3536bc[_0x290e19(0x2690)][_0x290e19(0x7d9)]&&_0x22be5b[_0x290e19(0x1f47)](_0x290e19(0x1575)),_0x3536bc['license']['fax']&&_0x22be5b[_0x290e19(0x1f47)](_0x290e19(0x1b03)),_0x3536bc[_0x290e19(0x2690)]['whatsapp']&&_0x22be5b[_0x290e19(0x1f47)](_0x290e19(0x26ee));if(_0x22be5b[_0x290e19(0x402)]>0x0)_0x3c7aa9['go'](_0x3f65c0()[_0x290e19(0xb68)](_0x22be5b));}};_0x346bfb[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x279d),_0x313a4d(0x1d4c),_0x313a4d(0x21af),_0x313a4d(0xc9c),_0x313a4d(0x128f)];function _0x346bfb(_0x487464,_0x2ce720,_0x56bd74,_0x335658,_0x3ed9f8,_0x1c8eb2){const _0x3e2fef=_0x313a4d,_0x368d50=this;_0x368d50[_0x3e2fef(0x1d4c)]=_0x56bd74?_0x3f65c0()[_0x3e2fef(0x194)](_0x56bd74['rows']?_0x56bd74[_0x3e2fef(0x19c7)]:[],'id'):{},_0x368d50[_0x3e2fef(0x21af)]=_0x335658?_0x3f65c0()[_0x3e2fef(0x194)](_0x335658[_0x3e2fef(0x19c7)]?_0x335658[_0x3e2fef(0x19c7)]:[],'id'):{},_0x368d50[_0x3e2fef(0x7b0)]={'waiting':0x0,'talking':0x0,'answered':0x0,'abandoned':0x0,'unmanaged':0x0,'sumHoldTime':0x0,'sumBillable':0x0,'total':0x0,'avgHoldTime':'00:00:00','avgTalkTime':_0x3e2fef(0x169a),'answerRate':0x0,'abandonRate':0x0},_0x368d50[_0x3e2fef(0xc9c)]=_0x3ed9f8||{'answered':0x0,'sumBillable':0x0,'sumDuration':0x0,'sumHoldTime':0x0,'total':0x0},_0x368d50[_0x3e2fef(0x2606)]=_0x4a5b3e,_0x368d50[_0x3e2fef(0x209c)]=_0x6344db,_0x2ce720['on'](_0x3e2fef(0x101d),_0x368d50[_0x3e2fef(0x2606)]),_0x2ce720['on'](_0x3e2fef(0x1a44),_0x368d50[_0x3e2fef(0x209c)]),_0x37b921();function _0x37b921(){const _0x2d7133=_0x3e2fef;_0x1c8eb2({'queues':_0x368d50[_0x2d7133(0x1d4c)],'rpcQueues':_0x368d50[_0x2d7133(0x21af)]})[_0x2d7133(0x146b)](function(_0x22f617){const _0x5a56a7=_0x2d7133;_0x368d50[_0x5a56a7(0x7b0)]=_0x22f617;});}function _0x4a5b3e(_0xde0ee5){const _0x1c4a73=_0x3e2fef;_0x368d50[_0x1c4a73(0x1d4c)][_0xde0ee5['id']]&&(_0x368d50['rpcQueues'][_0xde0ee5['id']]&&(_0x368d50['rpcQueues'][_0xde0ee5['id']]=_0xde0ee5,_0x37b921()));}function _0x6344db(_0x48172e){const _0x14733c=_0x3e2fef;_0x368d50[_0x14733c(0xc9c)]=_0x48172e;}_0x487464[_0x3e2fef(0x16ad)](_0x3e2fef(0x116f),function(){const _0x459375=_0x3e2fef;_0x2ce720[_0x459375(0x1c5f)]('voice_queue:save'),_0x2ce720['removeAllListeners']('voice_outbound:save');});}const _0x577485=_0x346bfb;;_0x1a0918['$inject']=['$scope',_0x313a4d(0x279d),'whatsappInteractions','whatsappAccounts'];function _0x1a0918(_0x11ccce,_0x50f662,_0x2597a9,_0x1fb29b){const _0x4f245f=_0x313a4d,_0x547aa9=this,_0x51c690=[];_0x547aa9['config']={'format':'hh:mm:ss','unit':_0x4f245f(0xcf5),'trim':![]},_0x547aa9[_0x4f245f(0x7b0)]={'waiting':0x0,'talking':0x0,'answered':0x0,'abandoned':0x0,'unmanaged':0x0,'sumHoldTime':0x0,'sumBillable':0x0,'total':0x0,'avgHoldTime':_0x4f245f(0x169a),'avgTalkTime':_0x4f245f(0x169a),'answerRate':0x0,'abandonRate':0x0},_0x547aa9[_0x4f245f(0x23c)]=_0x1fb29b?_0x3f65c0()[_0x4f245f(0x194)](_0x1fb29b[_0x4f245f(0x19c7)]?_0x1fb29b[_0x4f245f(0x19c7)]:[],'id'):{},_0x547aa9['onSaveWhatsappInteraction']=_0x4a94b6,_0x547aa9[_0x4f245f(0xc2e)]=_0x1a3a9a,_0x50f662['on'](_0x4f245f(0xf40),_0x547aa9[_0x4f245f(0xba5)]),_0x50f662['on'](_0x4f245f(0x2887),_0x547aa9[_0x4f245f(0xc2e)]),_0x3035fb();function _0x2468da(_0x67a9c4,_0x281450){const _0x3f9ef4=_0x4f245f;!_0x67a9c4[_0x3f9ef4(0x1943)]&&!_0x67a9c4[_0x3f9ef4(0xea2)]&&_0x281450&&(_0x547aa9['inbound'][_0x3f9ef4(0x12a3)]+=0x1),!_0x67a9c4['closed']&&_0x67a9c4[_0x3f9ef4(0xea2)]&&!_0x3f65c0()['includes'](_0x51c690,_0x67a9c4['id'])&&(_0x547aa9[_0x3f9ef4(0x7b0)]['talking']+=0x1,_0x51c690[_0x3f9ef4(0x1f47)](_0x67a9c4['id']),!_0x281450&&_0x547aa9[_0x3f9ef4(0x7b0)]['waiting']&&(_0x547aa9['inbound'][_0x3f9ef4(0x12a3)]-=0x1)),_0x67a9c4[_0x3f9ef4(0x1943)]&&_0x67a9c4[_0x3f9ef4(0xea2)]&&(_0x547aa9[_0x3f9ef4(0x7b0)]['sumBillable']+=_0x2deec6()(_0x67a9c4[_0x3f9ef4(0xc73)])[_0x3f9ef4(0x1bb5)](_0x2deec6()(_0x67a9c4['read1stAt']),_0x547aa9[_0x3f9ef4(0x989)][_0x3f9ef4(0x2408)]),_0x547aa9[_0x3f9ef4(0x7b0)]['sumHoldTime']+=_0x2deec6()(_0x67a9c4[_0x3f9ef4(0x801)])[_0x3f9ef4(0x1bb5)](_0x2deec6()(_0x67a9c4[_0x3f9ef4(0xc68)]),_0x547aa9['config']['unit']),_0x547aa9[_0x3f9ef4(0x7b0)][_0x3f9ef4(0x23c3)]+=0x1,!_0x281450&&_0x547aa9[_0x3f9ef4(0x7b0)][_0x3f9ef4(0x10ed)]&&(_0x547aa9[_0x3f9ef4(0x7b0)][_0x3f9ef4(0x10ed)]-=0x1)),_0x67a9c4[_0x3f9ef4(0x1943)]&&!_0x67a9c4['UserId']&&(_0x547aa9[_0x3f9ef4(0x7b0)]['unmanaged']+=0x1,!_0x281450&&_0x547aa9[_0x3f9ef4(0x7b0)][_0x3f9ef4(0x12a3)]&&(_0x547aa9[_0x3f9ef4(0x7b0)]['waiting']-=0x1)),_0x281450&&(_0x547aa9['inbound']['total']+=0x1);}function _0x5a53cd(){const _0x276c08=_0x4f245f;_0x547aa9[_0x276c08(0x7b0)][_0x276c08(0x349)]=Math[_0x276c08(0x15dc)]((_0x547aa9[_0x276c08(0x7b0)][_0x276c08(0x521)]+_0x547aa9[_0x276c08(0x7b0)][_0x276c08(0x2389)])/(_0x547aa9[_0x276c08(0x7b0)][_0x276c08(0x2877)]-_0x547aa9['inbound'][_0x276c08(0x10ed)]-_0x547aa9['inbound'][_0x276c08(0x12a3)])*0x64,-0x2),_0x547aa9[_0x276c08(0x7b0)][_0x276c08(0x11f1)]=Math[_0x276c08(0x15dc)](_0x547aa9['inbound']['answered']/(_0x547aa9[_0x276c08(0x7b0)][_0x276c08(0x2877)]-_0x547aa9[_0x276c08(0x7b0)][_0x276c08(0x10ed)]-_0x547aa9[_0x276c08(0x7b0)][_0x276c08(0x12a3)])*0x64,-0x2),_0x547aa9[_0x276c08(0x7b0)]['avgHoldTime']=_0x2deec6()[_0x276c08(0x11cc)](Math['round'](_0x547aa9[_0x276c08(0x7b0)]['sumHoldTime']/_0x547aa9['inbound'][_0x276c08(0x23c3)]),_0x547aa9['config'][_0x276c08(0x2408)])[_0x276c08(0x22b0)](_0x547aa9[_0x276c08(0x989)]['format'],_0x547aa9['config']),_0x547aa9[_0x276c08(0x7b0)][_0x276c08(0x1112)]=_0x2deec6()[_0x276c08(0x11cc)](Math[_0x276c08(0x15dc)](_0x547aa9[_0x276c08(0x7b0)][_0x276c08(0x2761)]/_0x547aa9[_0x276c08(0x7b0)][_0x276c08(0x23c3)]),_0x547aa9[_0x276c08(0x989)]['unit'])[_0x276c08(0x22b0)](_0x547aa9[_0x276c08(0x989)][_0x276c08(0x22b0)],_0x547aa9['config']);}function _0x3035fb(){const _0x1e48c0=_0x4f245f;if(Object[_0x1e48c0(0x627)](_0x547aa9[_0x1e48c0(0x23c)])['length']>0x0)for(let _0x19b7c8=0x0;_0x19b7c8<_0x2597a9['rows'][_0x1e48c0(0x402)];_0x19b7c8+=0x1){if(_0x4f7013(_0x2597a9[_0x1e48c0(0x19c7)][_0x19b7c8])){const _0x21f03d=_0x2597a9[_0x1e48c0(0x19c7)][_0x19b7c8];_0x2468da(_0x21f03d,!![]),_0x5a53cd();}}}function _0x4f7013(_0x403004){const _0xfc3020=_0x4f245f;if(_0x3f65c0()['some'](_0x547aa9[_0xfc3020(0x23c)],{'id':_0x3f65c0()[_0xfc3020(0x123)](_0x403004[_0xfc3020(0x158a)])}))return!![];return![];}function _0x3e5359(_0x4b79ae){const _0x5c5183=_0x4f245f,_0x575001=_0x2deec6()()[_0x5c5183(0x65f)](0x0,!![])[_0x5c5183(0x1fcc)](_0x5c5183(0x1066)),_0x5d0cd1=_0x2deec6()()[_0x5c5183(0x65f)](0x0,!![])[_0x5c5183(0x824)](_0x5c5183(0x1066));return _0x2deec6()(_0x4b79ae)[_0x5c5183(0x65f)](0x0,!![])[_0x5c5183(0xb87)](_0x575001,_0x5d0cd1);}function _0x4a94b6(_0x108289){const _0x2e2024=_0x4f245f;_0x4f7013(_0x108289)&&_0x3e5359(_0x108289[_0x2e2024(0xc68)])&&(_0x2468da(_0x108289,!![]),_0x5a53cd());}function _0x1a3a9a(_0x1c7ea3){const _0x3ccca9=_0x4f245f;_0x4f7013(_0x1c7ea3)&&_0x3e5359(_0x1c7ea3[_0x3ccca9(0xc68)])&&(_0x2468da(_0x1c7ea3,![]),_0x5a53cd());}_0x11ccce['$on'](_0x4f245f(0x116f),function(){const _0x25b822=_0x4f245f;_0x50f662[_0x25b822(0x1c5f)]('whatsappInteraction:save'),_0x50f662[_0x25b822(0x1c5f)](_0x25b822(0x2887));});}const _0x5b4dfa=_0x1a0918;;_0x2d6e9c['$inject']=[_0x313a4d(0x921)];function _0x2d6e9c(_0x4e9838){const _0x288498=_0x313a4d;_0x4e9838['state'](_0x288498(0x913),{'url':'/voice','data':{'selectedTab':0x0},'views':{'voice':{'templateUrl':_0x5c97d6,'controller':_0x288498(0x159f)}},'resolve':{'rpcQueues':[_0x288498(0x362),function(_0x3620f1){const _0x3d6cc4=_0x288498;return _0x3620f1[_0x3d6cc4(0x2922)]('rpc@getVoiceQueues');}],'inboundQueues':[_0x288498(0x362),_0x288498(0xa87),function(_0x3db3f7,_0x5d779b){const _0x4ec254=_0x288498;return _0x5d779b[_0x4ec254(0x23e0)](_0x4ec254(0x174b))?_0x3db3f7[_0x4ec254(0x2922)](_0x4ec254(0x5c0),{'fields':'id,name','type':'inbound','nolimit':!![]}):_0x3db3f7['resolve']('userProfile@getResources',{'id':_0x5d779b['getCurrentUser']()[_0x4ec254(0x209a)],'section':_0x4ec254(0x507),'fields':'id,name','type':_0x4ec254(0x7b0),'channel':'voice','nolimit':!![]});}],'outbound':['apiResolver',function(_0x1f152f){const _0x1a1068=_0x288498;return _0x1f152f[_0x1a1068(0x2922)](_0x1a1068(0xd26));}]},'authenticate':!![],'bodyClass':_0x288498(0x6de)})[_0x288498(0x13d6)]('app.dashboards.general.dialer',{'url':_0x288498(0x369),'data':{'selectedTab':0x1},'views':{'dialer':{'templateUrl':_0x57509d,'controller':_0x288498(0x2073)}},'resolve':{'rpcQueues':[_0x288498(0x362),function(_0x58394c){const _0x8abf6b=_0x288498;return _0x58394c[_0x8abf6b(0x2922)]('rpc@getVoiceQueues');}],'outboundQueues':[_0x288498(0x362),_0x288498(0xa87),function(_0x54d83a,_0x1262ae){const _0x466d03=_0x288498;return _0x1262ae[_0x466d03(0x23e0)](_0x466d03(0x174b))?_0x54d83a[_0x466d03(0x2922)](_0x466d03(0x5c0),{'fields':'id,name','type':'outbound','nolimit':!![]}):_0x54d83a['resolve'](_0x466d03(0x938),{'id':_0x1262ae['getCurrentUser']()[_0x466d03(0x209a)],'section':'QueueCampaigns','fields':_0x466d03(0x7a7),'type':'outbound','channel':_0x466d03(0xe6),'nolimit':!![]});}],'rpcCampaigns':[_0x288498(0x362),function(_0x1dca70){const _0x284c0c=_0x288498;return _0x1dca70[_0x284c0c(0x2922)]('rpc@getCampaigns');}]},'authenticate':!![],'bodyClass':'dashboards'})[_0x288498(0x13d6)](_0x288498(0x66c),{'url':_0x288498(0xfbe),'data':{'selectedTab':0x2},'views':{'chat':{'templateUrl':_0x7fb38f,'controller':_0x288498(0x1736)}},'resolve':{'chatInteractions':['apiResolver',function(_0x3e314f){const _0x285f80=_0x288498;return _0x3e314f[_0x285f80(0x2922)]('chatInteraction@get',{'createdAt':{'$gte':_0x2deec6()()['startOf']('day')[_0x285f80(0x65f)](0x0,!![])[_0x285f80(0x22b0)](),'$lte':_0x2deec6()()['endOf'](_0x285f80(0x1066))[_0x285f80(0x65f)](0x0,!![])['format']()},'nolimit':!![]});}],'chatWebsites':[_0x288498(0x362),_0x288498(0xa87),function(_0x3b4fa5,_0x422e26){const _0x50d1a4=_0x288498;return _0x422e26[_0x50d1a4(0x23e0)](_0x50d1a4(0x174b))?_0x3b4fa5[_0x50d1a4(0x2922)](_0x50d1a4(0x176b),{'fields':_0x50d1a4(0x1b81),'sort':_0x50d1a4(0x12f2),'limit':0xa,'offset':0x0}):_0x3b4fa5[_0x50d1a4(0x2922)](_0x50d1a4(0x938),{'id':_0x422e26[_0x50d1a4(0xb12)]()[_0x50d1a4(0x209a)],'section':_0x50d1a4(0x274c),'fields':_0x50d1a4(0x1b81),'sort':_0x50d1a4(0x12f2),'limit':0xa,'offset':0x0});}]},'authenticate':!![],'bodyClass':_0x288498(0x6de)})['state']('app.dashboards.general.mail',{'url':_0x288498(0x112f),'data':{'selectedTab':0x3},'views':{'mail':{'templateUrl':_0x5bcc79,'controller':_0x288498(0x3e9)}},'resolve':{'mailInteractions':[_0x288498(0x362),function(_0x28c438){const _0x3ecb03=_0x288498;return _0x28c438[_0x3ecb03(0x2922)](_0x3ecb03(0x25be),{'createdAt':{'$gte':_0x2deec6()()[_0x3ecb03(0x1fcc)](_0x3ecb03(0x1066))[_0x3ecb03(0x65f)](0x0,!![])[_0x3ecb03(0x22b0)](),'$lte':_0x2deec6()()[_0x3ecb03(0x824)](_0x3ecb03(0x1066))[_0x3ecb03(0x65f)](0x0,!![])[_0x3ecb03(0x22b0)]()},'nolimit':!![]});}],'mailAccounts':[_0x288498(0x362),_0x288498(0xa87),function(_0x52ce68,_0x30f521){const _0x5459e4=_0x288498;return _0x30f521[_0x5459e4(0x23e0)]('admin')?_0x52ce68['resolve'](_0x5459e4(0x541),{'fields':_0x5459e4(0xc2f),'sort':_0x5459e4(0x12f2),'limit':0xa,'offset':0x0}):_0x52ce68[_0x5459e4(0x2922)]('userProfile@getResources',{'id':_0x30f521[_0x5459e4(0xb12)]()['userProfileId'],'section':'MailAccounts','fields':_0x5459e4(0xc2f),'sort':_0x5459e4(0x12f2),'limit':0xa,'offset':0x0});}]},'authenticate':!![],'bodyClass':_0x288498(0x6de)})[_0x288498(0x13d6)]('app.dashboards.general.sms',{'url':'/sms','data':{'selectedTab':0x4},'views':{'sms':{'templateUrl':_0x3072e7,'controller':_0x288498(0x25b8)}},'resolve':{'smsInteractions':[_0x288498(0x362),function(_0x3f0ad4){const _0x392e01=_0x288498;return _0x3f0ad4[_0x392e01(0x2922)](_0x392e01(0x10f0),{'createdAt':{'$gte':_0x2deec6()()['startOf'](_0x392e01(0x1066))['utcOffset'](0x0,!![])[_0x392e01(0x22b0)](),'$lte':_0x2deec6()()[_0x392e01(0x824)]('day')[_0x392e01(0x65f)](0x0,!![])[_0x392e01(0x22b0)]()},'nolimit':!![]});}],'smsAccounts':[_0x288498(0x362),_0x288498(0xa87),function(_0x557ad1,_0x4c90e6){const _0x3c5883=_0x288498;return _0x4c90e6[_0x3c5883(0x23e0)](_0x3c5883(0x174b))?_0x557ad1['resolve'](_0x3c5883(0xe51),{'fields':_0x3c5883(0x1890),'sort':_0x3c5883(0x12f2),'limit':0xa,'offset':0x0}):_0x557ad1[_0x3c5883(0x2922)](_0x3c5883(0x938),{'id':_0x4c90e6[_0x3c5883(0xb12)]()[_0x3c5883(0x209a)],'section':_0x3c5883(0xda3),'fields':'createdAt,updatedAt,id,name,key,token,remote,ListId,phone,type,accountSid,authId,authToken,smsMethod,username,password,senderString,deliveryReport,waitForTheAssignedAgent,description,receiveUrl,deliveryReportUrl,notificationSound,notificationShake,notificationTemplate','sort':_0x3c5883(0x12f2),'limit':0xa,'offset':0x0});}]},'authenticate':!![],'bodyClass':_0x288498(0x6de)})['state'](_0x288498(0x1575),{'url':'/openchannel','data':{'selectedTab':0x5},'views':{'openchannel':{'templateUrl':_0x2c3ae5,'controller':_0x288498(0x166e)}},'resolve':{'openchannelInteractions':['apiResolver',function(_0x160429){const _0x326ebf=_0x288498;return _0x160429[_0x326ebf(0x2922)](_0x326ebf(0x1d82),{'createdAt':{'$gte':_0x2deec6()()[_0x326ebf(0x1fcc)](_0x326ebf(0x1066))[_0x326ebf(0x65f)](0x0,!![])[_0x326ebf(0x22b0)](),'$lte':_0x2deec6()()['endOf']('day')['utcOffset'](0x0,!![])[_0x326ebf(0x22b0)]()},'nolimit':!![]});}],'openchannelAccounts':[_0x288498(0x362),_0x288498(0xa87),function(_0x33e572,_0x31f43c){const _0x48fcc7=_0x288498;return _0x31f43c[_0x48fcc7(0x23e0)](_0x48fcc7(0x174b))?_0x33e572[_0x48fcc7(0x2922)](_0x48fcc7(0x14c3),{'fields':'createdAt,updatedAt,id,name,key,token,replyUri,ListId,waitForTheAssignedAgent,mapKey,description,receiveUri,notificationSound,notificationShake,notificationTemplate','sort':_0x48fcc7(0x12f2),'nolimit':!![]}):_0x33e572[_0x48fcc7(0x2922)](_0x48fcc7(0x938),{'id':_0x31f43c[_0x48fcc7(0xb12)]()[_0x48fcc7(0x209a)],'section':_0x48fcc7(0x5f9),'fields':_0x48fcc7(0x250c),'sort':_0x48fcc7(0x12f2),'nolimit':!![]});}]},'authenticate':!![],'bodyClass':_0x288498(0x6de)})['state'](_0x288498(0x1b03),{'url':_0x288498(0x231b),'data':{'selectedTab':0x6},'views':{'fax':{'templateUrl':_0xb15ad6,'controller':_0x288498(0x8b9)}},'resolve':{'faxInteractions':[_0x288498(0x362),function(_0x503ed7){const _0x1b0782=_0x288498;return _0x503ed7[_0x1b0782(0x2922)](_0x1b0782(0x1440),{'createdAt':{'$gte':_0x2deec6()()['startOf'](_0x1b0782(0x1066))[_0x1b0782(0x65f)](0x0,!![])[_0x1b0782(0x22b0)](),'$lte':_0x2deec6()()[_0x1b0782(0x824)](_0x1b0782(0x1066))[_0x1b0782(0x65f)](0x0,!![])[_0x1b0782(0x22b0)]()},'nolimit':!![]});}],'faxAccounts':[_0x288498(0x362),_0x288498(0xa87),function(_0x3f1b06,_0x3ced34){const _0x565bbc=_0x288498;return _0x3ced34[_0x565bbc(0x23e0)](_0x565bbc(0x174b))?_0x3f1b06[_0x565bbc(0x2922)]('faxAccount@get',{'fields':_0x565bbc(0xa6e),'sort':_0x565bbc(0x12f2),'limit':0xa,'offset':0x0}):_0x3f1b06[_0x565bbc(0x2922)](_0x565bbc(0x938),{'id':_0x3ced34[_0x565bbc(0xb12)]()[_0x565bbc(0x209a)],'section':_0x565bbc(0x17d1),'fields':_0x565bbc(0xa6e),'sort':_0x565bbc(0x12f2),'limit':0xa,'offset':0x0});}]},'authenticate':!![],'bodyClass':_0x288498(0x6de)})[_0x288498(0x13d6)]('app.dashboards.general.whatsapp',{'url':_0x288498(0x4a8),'data':{'selectedTab':0x7},'views':{'whatsapp':{'templateUrl':_0x1f2a38,'controller':'UserWhatsappDashboardGeneralController\x20as\x20vm'}},'resolve':{'whatsappInteractions':[_0x288498(0x362),function(_0x1d8b57){const _0x43ab79=_0x288498;return _0x1d8b57[_0x43ab79(0x2922)](_0x43ab79(0xb76),{'createdAt':{'$gte':_0x2deec6()()['startOf'](_0x43ab79(0x1066))[_0x43ab79(0x65f)](0x0,!![])[_0x43ab79(0x22b0)](),'$lte':_0x2deec6()()[_0x43ab79(0x824)]('day')[_0x43ab79(0x65f)](0x0,!![])['format']()},'nolimit':!![]});}],'whatsappAccounts':[_0x288498(0x362),_0x288498(0xa87),function(_0x349585,_0x386dc7){const _0x3de53b=_0x288498;return _0x386dc7[_0x3de53b(0x23e0)](_0x3de53b(0x174b))?_0x349585[_0x3de53b(0x2922)]('whatsappAccount@get',{'fields':'createdAt,updatedAt,id,name,key,token,remote,ListId,phone,type,accountSid,authId,authToken,whatsappMethod,username,password,senderString,deliveryReport,waitForTheAssignedAgent,description,receiveUrl,deliveryReportUrl,notificationSound,notificationShake,notificationTemplate','sort':_0x3de53b(0x12f2),'limit':0xa,'offset':0x0}):_0x349585[_0x3de53b(0x2922)]('userProfile@getResources',{'id':_0x386dc7[_0x3de53b(0xb12)]()['userProfileId'],'section':_0x3de53b(0xc7c),'fields':_0x3de53b(0x172e),'sort':_0x3de53b(0x12f2),'limit':0xa,'offset':0x0});}]},'authenticate':!![],'bodyClass':_0x288498(0x6de)});}angular[_0x313a4d(0x2528)](_0x313a4d(0x2601),[])[_0x313a4d(0x989)](_0x2d6e9c)[_0x313a4d(0x28f0)](_0x313a4d(0xbc2),_0x4cabdf)[_0x313a4d(0x28f0)](_0x313a4d(0x13b4),_0x271689)['controller'](_0x313a4d(0x26f8),_0x4466d7)[_0x313a4d(0x28f0)]('UserMailDashboardGeneralController',_0x5c2fe6)[_0x313a4d(0x28f0)](_0x313a4d(0x700),_0x7ad1d6)[_0x313a4d(0x28f0)](_0x313a4d(0x14d),_0x1f610a)['filter']('secToTime',_0x1e5231)['factory'](_0x313a4d(0x128f),_0x371bb5)['factory']('initializeRealtimeCampaign',_0x13b7e5)[_0x313a4d(0x28f0)]('UserDashboardGeneralController',_0x460ee3)['controller']('UserVoiceDashboardGeneralController',_0x577485)[_0x313a4d(0x28f0)](_0x313a4d(0xbf2),_0x5b4dfa);;const _0x56fd44=_0x4acfac['p']+_0x313a4d(0x9b3);;const _0x59999a=_0x4acfac['p']+_0x313a4d(0x5d6);var _0x5a43c9=_0x4acfac(0x1d2),_0x4cbf1a=_0x4acfac['n'](_0x5a43c9);;_0x1f1003['$inject']=[_0x313a4d(0x1fc2),_0x313a4d(0x214b),_0x313a4d(0x1aa9),_0x313a4d(0xa87)],_0x14eb60['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1abe),'$mdDialog',_0x313a4d(0x1aa9),_0x313a4d(0x214b),_0x313a4d(0x4d8),_0x313a4d(0xa87),'api',_0x313a4d(0x279d),'toasty',_0x313a4d(0xdfc),_0x313a4d(0x170b),_0x313a4d(0x1c5b),_0x313a4d(0x4b7),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x3db),'msUtils'];function _0x14eb60(_0x4453ad,_0xcfb811,_0x4ddda2,_0x2c7547,_0x42e70c,_0x176a1e,_0x4a5f0a,_0x452fc4,_0x128f83,_0xc203bb,_0x4f2b92,_0x4912e2,_0x1d7647,_0x3b4cf2,_0x37601a,_0x246bc2,_0x11af79,_0x25da19){const _0x420fa1=_0x313a4d,_0x3c1268=this;_0x3c1268['license']=_0x37601a,_0x3c1268[_0x420fa1(0x15b9)]=_0x246bc2,_0x3c1268[_0x420fa1(0x17dc)]=_0x25da19[_0x420fa1(0x17dc)](),_0x3c1268['user']=_0x4a5f0a[_0x420fa1(0xb12)](),_0x3c1268[_0x420fa1(0x276a)]=0x0,_0x3c1268[_0x420fa1(0x237b)]={},_0x3c1268[_0x420fa1(0x16fe)]={},_0x3c1268[_0x420fa1(0x2422)]={},_0x3c1268[_0x420fa1(0xdfc)]=_0x4f2b92||{'count':0x0,'rows':[]},_0x3c1268['globalLists']=_0x4912e2||{'count':0x0,'rows':[]},_0x3c1268[_0x420fa1(0x1c5b)]=_0x1d7647||{'count':0x0,'rows':[]},_0x3c1268[_0x420fa1(0x4b7)]=_0x3b4cf2||{'count':0x0,'rows':[]},_0x3c1268[_0x420fa1(0x12c4)]=_0x4adf2f,_0x3c1268[_0x420fa1(0x2fd)]=_0x4271b0,_0x3c1268[_0x420fa1(0xdb8)]=_0x50c72d,_0x3c1268[_0x420fa1(0xd14)]=_0x298802,_0x3c1268[_0x420fa1(0x2614)]=_0x5d0636,_0x3c1268['addTab']=_0xbaa1c,_0x3c1268[_0x420fa1(0x10c3)]=_0x55b5b0,_0x3c1268[_0x420fa1(0x85a)]=_0x1ec5d4,_0x3c1268['closeTab']=_0x41f692,_0x128f83['on'](_0x420fa1(0x114d),_0x12bb7b),_0x128f83['on']('trigger:jscripty',_0x22d3a7),_0x128f83['on'](_0x420fa1(0x11dc),_0x3e246d),_0x128f83['on']('trigger:browser:url',_0x4659b0),_0x128f83['on'](_0x420fa1(0x70d),_0x6f0e69),_0x128f83['on'](_0x420fa1(0x28ef),_0x3a0aaf),_0x128f83['on'](_0x420fa1(0x1f5e),_0x564215),_0x128f83['on'](_0x420fa1(0xeb8),_0x26e3ac),_0x128f83['on'](_0x420fa1(0x247e),_0x26e3ac),_0x434970();function _0x434970(){const _0x28193c=_0x420fa1;let _0x3a31ad=_0x2c7547[_0x28193c(0x1c39)]['getItem'](_0x28193c(0x327)+_0x3c1268[_0x28193c(0xe7b)]['id']);if(_0x3a31ad)try{_0x3a31ad=JSON[_0x28193c(0x975)](_0x3a31ad),_0x3c1268[_0x28193c(0x16fe)]=_0x3a31ad[_0x28193c(0x16fe)]?_0x3a31ad[_0x28193c(0x16fe)]:{},_0x3c1268[_0x28193c(0x276a)]=_0x3a31ad[_0x28193c(0x276a)]?_0x3a31ad[_0x28193c(0x276a)]:0x0,_0x560d32(_0x3c1268['tabs'][_0x3c1268[_0x28193c(0x276a)]]);}catch(_0x1fbb9e){console[_0x28193c(0x1980)](_0x1fbb9e);}}function _0x1ec5d4(_0x509265){const _0x1d9425=_0x420fa1,_0x455f63={};for(const _0x18d2dd in _0x3c1268[_0x1d9425(0x16fe)]){typeof _0x3c1268[_0x1d9425(0x16fe)][_0x18d2dd]!=='undefined'&&(_0x455f63[_0x18d2dd]=_0x3f65c0()['pick'](_0x3c1268[_0x1d9425(0x16fe)][_0x18d2dd],[_0x1d9425(0x1142),_0x1d9425(0x1189),_0x1d9425(0x2894),'icon',_0x1d9425(0x15bb),'autoCreate','id',_0x1d9425(0x1a2e),'badges']),!_0x3f65c0()[_0x1d9425(0x1b36)](_0x3c1268['tabs'][_0x18d2dd]['contact'])&&(_0x455f63[_0x18d2dd]['contact']=_0x3f65c0()[_0x1d9425(0x40e)](_0x3c1268[_0x1d9425(0x16fe)][_0x18d2dd][_0x1d9425(0x1662)],['id',_0x1d9425(0xb7c)])),!_0x3f65c0()['isNil'](_0x3c1268[_0x1d9425(0x16fe)][_0x18d2dd]['calls'])&&(_0x455f63[_0x18d2dd][_0x1d9425(0x197c)]=_0x3c1268[_0x1d9425(0x16fe)][_0x18d2dd][_0x1d9425(0x197c)]),_0x3c1268[_0x1d9425(0x16fe)][_0x18d2dd][_0x1d9425(0xe80)]&&(_0x455f63[_0x18d2dd][_0x1d9425(0xe80)]=_0x3f65c0()['pick'](_0x3c1268['tabs'][_0x18d2dd]['interaction'],['id',_0x1d9425(0x534),_0x1d9425(0x658),'ChatWebsiteId',_0x1d9425(0x74d),_0x1d9425(0x1481),_0x1d9425(0x158a),'closed',_0x1d9425(0x1d96)])));}let _0x9468a9=JSON[_0x1d9425(0x975)](_0x2c7547[_0x1d9425(0x1c39)][_0x1d9425(0x1519)]('motion2.user:'+_0x3c1268[_0x1d9425(0xe7b)]['id']));_0x9468a9?(_0x9468a9[_0x1d9425(0x16fe)]=_0x455f63,_0x9468a9[_0x1d9425(0x276a)]=_0x3c1268['currentTab']):_0x9468a9={'tabs':_0x455f63,'currentTab':_0x3c1268[_0x1d9425(0x276a)]},_0x2c7547[_0x1d9425(0x1c39)][_0x1d9425(0xc32)]('motion2.user:'+_0x3c1268['user']['id'],JSON['stringify'](_0x9468a9)),_0x509265&&_0x560d32(_0x509265);}function _0x560d32(_0xcd06ca){const _0x4a94ca=_0x420fa1;if(_0x3f65c0()[_0x4a94ca(0x1b36)](_0xcd06ca)||_0xcd06ca&&_0xcd06ca['type']==='interaction'){const _0x11d7cc={'id':_0x3c1268[_0x4a94ca(0xe7b)]['id'],'online':!![],'capacity':{'mail':0x0,'fax':0x0,'sms':0x0,'whatsapp':0x0,'openchannel':0x0,'chat':0x0},'interaction':{'mail':[],'fax':[],'sms':[],'whatsapp':[],'openchannel':[],'chat':[]}};for(const _0x57c665 in _0x3c1268[_0x4a94ca(0x16fe)]){typeof _0x3c1268[_0x4a94ca(0x16fe)][_0x57c665]!==_0x4a94ca(0x2274)&&(typeof _0x11d7cc[_0x4a94ca(0x1d2a)][_0x3c1268['tabs'][_0x57c665][_0x4a94ca(0x2894)]]!=='undefined'&&_0x3c1268['tabs'][_0x57c665][_0x4a94ca(0xe80)]&&!_0x3c1268[_0x4a94ca(0x16fe)][_0x57c665][_0x4a94ca(0xe80)][_0x4a94ca(0x1943)]&&(_0x11d7cc['capacity'][_0x3c1268['tabs'][_0x57c665]['channel']]+=0x1,_0x11d7cc[_0x4a94ca(0xe80)][_0x3c1268['tabs'][_0x57c665][_0x4a94ca(0x2894)]]['push'](_0x3c1268[_0x4a94ca(0x16fe)][_0x57c665][_0x4a94ca(0xe80)]['id'])));}if(!_0x3f65c0()[_0x4a94ca(0x15c9)](_0x3c1268['agentCapacity'],_0x11d7cc[_0x4a94ca(0x1d2a)]))return _0x3c1268[_0x4a94ca(0x2422)]=_0x11d7cc[_0x4a94ca(0x1d2a)],_0x452fc4['rpc'][_0x4a94ca(0xea1)](_0x11d7cc);}}function _0x41f692(_0x44a159){const _0x2b7f84=_0x420fa1;let _0x44b8fc=![];const _0x144e01=_0x4ddda2['confirm']()[_0x2b7f84(0x1189)](_0x44a159[_0x2b7f84(0x1142)]===_0x2b7f84(0xe80)?'Do\x20you\x20want\x20to\x20close\x20the\x20interaction?':_0x2b7f84(0x2921)+_0x44a159[_0x2b7f84(0x1142)]+'?')[_0x2b7f84(0x199c)](_0x44a159[_0x2b7f84(0x1142)]===_0x2b7f84(0xe80)?'':_0x2b7f84(0xe57)+_0x44a159[_0x2b7f84(0x1142)]+_0x2b7f84(0x11f))['ariaLabel']('Close\x20Contact')['ok'](_0x44a159[_0x2b7f84(0x1142)]===_0x2b7f84(0xe80)?_0x2b7f84(0x14c7):'OK')['cancel'](_0x44a159['type']===_0x2b7f84(0xe80)?'NO':_0x2b7f84(0x39a));if(_0x44a159[_0x2b7f84(0x1a2e)]){let _0x1cc58f=![];_0x3f65c0()[_0x2b7f84(0x1df5)](_0x44a159[_0x2b7f84(0x197c)],function(_0x2ebb74){const _0x15e42d=_0x2b7f84;_0x2ebb74[_0x15e42d(0xc9e)]&&(_0x1cc58f=!![]);});if(_0x44a159[_0x2b7f84(0x1142)]==='contact'&&_0x44a159['calls'][_0x2b7f84(0x402)]>0x0){let _0x4f541a=undefined;if(_0x1cc58f)_0x4f541a=_0x4ddda2[_0x2b7f84(0x861)]()[_0x2b7f84(0x1189)](_0x2b7f84(0xf0))[_0x2b7f84(0x199c)](_0x2b7f84(0x1c23))['ok']('OK'),_0x4ddda2[_0x2b7f84(0x2615)](_0x4f541a)[_0x2b7f84(0x1ec6)](function(){_0x4f541a=undefined;});else return _0x144e01[_0x2b7f84(0xf3b)][_0x2b7f84(0x199c)]='There\x20is\x20a\x20call\x20that\x20hasn\x27t\x20been\x20disposed\x20yet.',_0x4ddda2['show'](_0x144e01)[_0x2b7f84(0x146b)](function(){_0x55b5b0(_0x44a159);});}else _0x55b5b0(_0x44a159);}else{const _0x81996d=_0x3c1268[_0x2b7f84(0xe7b)][_0x2b7f84(0x211c)]||_0x3c1268['user']['chatPause']||_0x3c1268['user'][_0x2b7f84(0x1e9e)]||_0x3c1268[_0x2b7f84(0xe7b)][_0x2b7f84(0xcc5)]||_0x3c1268[_0x2b7f84(0xe7b)][_0x2b7f84(0x25c4)];return _0x44b8fc=![],_0x44a159[_0x2b7f84(0x1142)]==='interaction'&&(_0x44b8fc=_0x44a159[_0x2b7f84(0xe80)]['Account'][_0x2b7f84(0xc9e)]),_0x4ddda2[_0x2b7f84(0x2615)](_0x144e01)[_0x2b7f84(0x146b)](function(){const _0x5ed4f6=_0x2b7f84;_0x44a159[_0x5ed4f6(0x1142)]===_0x5ed4f6(0xe80)&&_0x4ddda2['show']({'controller':_0x5ed4f6(0xe23),'controllerAs':'vm','templateUrl':_0x56fd44,'parent':angular[_0x5ed4f6(0x1853)](_0x176a1e[_0x5ed4f6(0x2586)]),'onRemoving':function(){const _0x2fda9c=_0x5ed4f6;_0x3c1268[_0x2fda9c(0xe7b)]&&_0x44b8fc&&!_0x81996d&&_0x452fc4[_0x2fda9c(0xe7b)][_0x2fda9c(0x974)]({'id':_0x3c1268[_0x2fda9c(0xe7b)]['id']})[_0x2fda9c(0x2945)]['catch'](function(_0x18ca8f){const _0x399cf4=_0x2fda9c;console[_0x399cf4(0x1980)](_0x18ca8f);});},'clickOutsideToClose':!_0x44b8fc,'escapeToClose':!_0x44b8fc,'locals':{'user':_0x3c1268[_0x5ed4f6(0xe7b)],'channel':_0x44a159[_0x5ed4f6(0x2894)],'interaction':_0x44a159[_0x5ed4f6(0xe80)]}}),_0x55b5b0(_0x44a159);})[_0x2b7f84(0x129e)](function(){const _0x1d15c0=_0x2b7f84;_0x44a159[_0x1d15c0(0x1142)]===_0x1d15c0(0xe80)&&_0x55b5b0(_0x44a159);});}}function _0x146526(_0x4ec062){const _0x38d725=_0x420fa1;if(_0x4ec062[_0x38d725(0x2894)]&&_0x4ec062[_0x38d725(0xe80)])return _0x452fc4[_0x38d725(0xe7b)][_0x38d725(0x2640)+_0x3f65c0()[_0x38d725(0x21cf)](_0x4ec062[_0x38d725(0x2894)])+_0x38d725(0x1fbc)]({'id':_0x3c1268[_0x38d725(0xe7b)]['id'],'ids':[_0x4ec062[_0x38d725(0xe80)]['id']]})[_0x38d725(0x2945)][_0x38d725(0x129e)](function(_0x2b4244){console['error'](_0x2b4244);});}function _0x55b5b0(_0x49aa44){const _0x53755d=_0x420fa1;if(_0x3c1268[_0x53755d(0x16fe)][_0x49aa44['id']]){_0x146526(_0x3c1268['tabs'][_0x49aa44['id']]),delete _0x3c1268[_0x53755d(0x16fe)][_0x49aa44['id']],_0x3c1268[_0x53755d(0x276a)]=0x0,_0x1ec5d4(_0x49aa44);if(_0x49aa44[_0x53755d(0x1142)]===_0x53755d(0xe80))return _0x452fc4[_0x49aa44[_0x53755d(0x2894)]+_0x53755d(0x2297)]['get']({'id':_0x49aa44[_0x53755d(0xe80)]['id']})[_0x53755d(0x2945)][_0x53755d(0x146b)](function(_0x5298c6){const _0x1b7407=_0x53755d;return _0x452fc4['user']['remove'+_0x3f65c0()[_0x1b7407(0x21cf)](_0x49aa44[_0x1b7407(0x2894)])+'Interactions']({'id':_0x3c1268['user']['id'],'ids':[_0x5298c6['id']]})[_0x1b7407(0x2945)];})[_0x53755d(0x129e)](function(_0x1aeb33){const _0x394493=_0x53755d;console[_0x394493(0x1980)](_0x1aeb33);});}}function _0xbaa1c(_0x386dc8){const _0x560db0=_0x420fa1;_0x386dc8['id']=_0x3f65c0()[_0x560db0(0x28e8)](0x2540be400),_0x386dc8[_0x560db0(0x176c)]=new Date(),_0x386dc8[_0x560db0(0x1a2e)]=![],_0x386dc8[_0x560db0(0x3a4)]=0x0,_0x3c1268[_0x560db0(0x16fe)][_0x386dc8['id']]=_0x386dc8,_0x3c1268[_0x560db0(0x276a)]=_0x386dc8['id'],_0x1ec5d4(_0x386dc8);}function _0x4271b0(_0xdb8024){return _0xdb8024===_0x3c1268['currentTab'];}function _0x4adf2f(_0x584177){const _0x5368c6=_0x420fa1;_0x3c1268['currentTab']=_0x584177,_0x1ec5d4(_0x3c1268[_0x5368c6(0x16fe)][_0x584177]);}function _0x50c72d(_0x20690b){const _0x4a49f9=_0x420fa1;return _0x3f65c0()[_0x4a49f9(0xc84)](_0x3c1268[_0x4a49f9(0x16fe)],function(_0x5d0b55){const _0x2d4271=_0x4a49f9;return _0x5d0b55['type']===_0x2d4271(0xe80)&&_0x5d0b55[_0x2d4271(0xe80)]&&_0x5d0b55[_0x2d4271(0xe80)]['id']==_0x20690b[_0x2d4271(0xd7d)]&&_0x5d0b55[_0x2d4271(0x2894)]==_0x20690b[_0x2d4271(0x2894)];});}function _0x38aeed(_0x2b91c9){const _0x256c8f=_0x420fa1;let _0x1c0f63='';if(_0x2b91c9){if(_0x2b91c9['firstName']||_0x2b91c9[_0x256c8f(0xee4)])_0x2b91c9[_0x256c8f(0x1ed4)]&&(_0x1c0f63+=_0x2b91c9[_0x256c8f(0x1ed4)]+'\x20'),_0x2b91c9[_0x256c8f(0xee4)]&&(_0x1c0f63+=_0x2b91c9['lastName']);else _0x2b91c9[_0x256c8f(0x1d55)]&&(_0x1c0f63=_0x2b91c9[_0x256c8f(0x1d55)]);}return _0x1c0f63;}function _0x2a922d(_0x423c2d){const _0x4d384a=_0x420fa1;switch(_0x423c2d){case _0x4d384a(0xa7f):return'icon-hangouts';case'mail':return _0x4d384a(0x1c3d);case _0x4d384a(0x929):return _0x4d384a(0x1580);case _0x4d384a(0x7d9):return _0x4d384a(0x9b8);case'fax':return _0x4d384a(0xc0e);case'whatsapp':return'icon-whatsapp';default:return'icon-hangouts';}}function _0x15e56e(_0x30ad46){const _0x454e5b=_0x420fa1;switch(_0x30ad46){case'chat':return'green-A700';case _0x454e5b(0x56b):return _0x454e5b(0x109e);case _0x454e5b(0x929):return _0x454e5b(0x2632);case _0x454e5b(0x7d9):return'amber-A200';case'fax':return _0x454e5b(0x276);case _0x454e5b(0xff9):return _0x454e5b(0x510);default:return'grey';}}function _0x298802(_0x24f124,_0x11009b){const _0x203a8e=_0x420fa1,_0x4f6679={'type':_0x203a8e(0x1662),'title':_0x24f124?_0x38aeed(_0x24f124):_0x42e70c[_0x203a8e(0xde)]('APP.NEW_CONTACT'),'icon':'icon-account-circle','color':_0x203a8e(0x10f),'contact':_0x24f124,'calls':_0x11009b?[_0x11009b]:[],'autoCreate':_0x11009b?_0x11009b[_0x203a8e(0x239b)]:0x0};if(_0x11009b){if(_0x11009b[_0x203a8e(0x1d22)]&&_0x11009b[_0x203a8e(0x1d22)]===_0x203a8e(0x2842))_0x11009b[_0x203a8e(0xefe)]=_0x11009b[_0x203a8e(0x2190)]?_0x2deec6()(_0x11009b[_0x203a8e(0x2190)],_0x203a8e(0x1ce2))[_0x203a8e(0x2646)]():new Date();else{if(_0x11009b[_0x203a8e(0x4f8)]){const _0x51da77=_0x11009b['uniqueid'][_0x203a8e(0x10c8)]('.')[0x0];_0x11009b[_0x203a8e(0xefe)]=_0x51da77?new Date(parseInt(_0x51da77)*0x3e8):new Date();}}(_0x11009b[_0x203a8e(0x751)]||_0x11009b[_0x203a8e(0x1660)])&&_0x40eb2e(_0x11009b);}if(_0x24f124){const _0x2cc172=_0x3f65c0()[_0x203a8e(0xc84)](_0x3c1268[_0x203a8e(0x16fe)],function(_0x1982ab){const _0x246ae7=_0x203a8e;if(_0x1982ab[_0x246ae7(0x1662)]&&_0x1982ab['contact']['id'])return _0x1982ab[_0x246ae7(0x1142)]===_0x246ae7(0x1662)&&_0x1982ab[_0x246ae7(0x1662)]&&_0x1982ab[_0x246ae7(0x1662)]['id']==_0x24f124['id'];return _0x1982ab['type']===_0x246ae7(0x1662)&&_0x1982ab[_0x246ae7(0x1662)]&&_0x1982ab[_0x246ae7(0x1662)]['phone']==_0x24f124[_0x246ae7(0x1d55)];});if(_0x2cc172){if(_0x11009b)return _0x452fc4['voiceCallReport'][_0x203a8e(0x16b4)]({'uniqueid':_0x11009b['uniqueid']})[_0x203a8e(0x2945)][_0x203a8e(0x146b)](function(_0x374c3b){const _0x99245=_0x203a8e;_0x374c3b&&_0x374c3b[_0x99245(0x19c7)]['length']>0x0&&(_0x11009b[_0x99245(0x1299)]=_0x3f65c0()['first'](_0x374c3b[_0x99245(0x19c7)])['endtime']);if(_0x2cc172[_0x99245(0x197c)]){const _0x27eac5=_0x3f65c0()[_0x99245(0xc84)](_0x2cc172['calls'],function(_0x2cfdee){const _0x185b05=_0x99245;return _0x2cfdee[_0x185b05(0x4f8)]===_0x11009b[_0x185b05(0x4f8)];});!_0x27eac5&&_0x2cc172[_0x99245(0x197c)][_0x99245(0x1f47)](_0x11009b);}else _0x2cc172[_0x99245(0x197c)]=[_0x11009b];_0x3c1268['currentTab']=_0x2cc172['id'];});}else{if(_0x24f124[_0x203a8e(0xb7c)])return _0x452fc4[_0x203a8e(0x9e1)][_0x203a8e(0x16b4)]({'ListId':_0x24f124[_0x203a8e(0xb7c)]})[_0x203a8e(0x2945)]['then'](function(_0x5cfcbb){const _0x41bf2c=_0x203a8e;return _0x4f6679[_0x41bf2c(0x2647)]=_0x5cfcbb,_0x452fc4[_0x41bf2c(0xf03)][_0x41bf2c(0x2328)]({'id':_0x24f124['ListId']})[_0x41bf2c(0x2945)];})[_0x203a8e(0x146b)](function(_0x234fe6){const _0x2b0690=_0x203a8e;_0x4f6679[_0x2b0690(0x10ba)]=_0x234fe6;})['catch'](_0x3b8e06())[_0x203a8e(0x1ec6)](function(){_0xbaa1c(_0x4f6679);});else _0xbaa1c(_0x4f6679);}}else _0xbaa1c(_0x4f6679);}function _0x5d0636(_0x55ae2d,_0x21a622){const _0x533b3d=_0x420fa1;let _0x2747f1=_0x3f65c0()['find'](_0x3c1268[_0x533b3d(0x16fe)],function(_0x338d2b){const _0xd3e10c=_0x533b3d;return _0x338d2b[_0xd3e10c(0x1142)]===_0xd3e10c(0xe80)&&_0x338d2b['interaction']&&_0x338d2b[_0xd3e10c(0xe80)]['id']===_0x21a622['id']&&_0x338d2b[_0xd3e10c(0x2894)]===_0x55ae2d;});_0x2747f1?(_0x2747f1['isDraft']=_0x21a622[_0x533b3d(0x1831)]||![],_0x3c1268['currentTab']=_0x2747f1['id']):(_0x2747f1={'type':'interaction','title':_0x55ae2d?_0x55ae2d[_0x533b3d(0x1c37)]():_0x533b3d(0x7d9),'icon':_0x2a922d(_0x55ae2d),'color':_0x15e56e(_0x55ae2d),'channel':_0x55ae2d,'interaction':_0x21a622,'isDraft':_0x21a622['isDraft']||![]},_0xbaa1c(_0x2747f1));}function _0x3e29d7(_0x8e10e9){const _0x458dc9=_0x420fa1,_0x1bd784={'autoClose':!![],'duration':0xa,'showOnPageHidden':!![],'icon':_0x458dc9(0x93d),'body':_0x8e10e9[_0x458dc9(0x1c72)]?_0x458dc9(0x367)+_0x8e10e9[_0x458dc9(0x1c72)]:'','focusOnclick':!![],'closeOnClick':!![]};_0x11af79['show'](_0x8e10e9[_0x458dc9(0x1189)],_0x1bd784);}function _0x4840f9(_0x36d288){const _0x594b1a=_0x420fa1;_0x11af79[_0x594b1a(0x1335)]()?_0x11af79[_0x594b1a(0x1eb9)]()[_0x594b1a(0x146b)](function(){_0x3e29d7(_0x36d288);},function(_0x1e8d84){const _0x5db095=_0x594b1a;console[_0x5db095(0x1980)]('Please\x20enable\x20browser\x20notifications!',_0x1e8d84);}):console['error']('Browser\x20notifications\x20are\x20not\x20supported\x20by\x20the\x20browser.\x20Normal\x20notifications\x20will\x20be\x20used.');}function _0x3a0aaf(_0x560c15){const _0x67f71d=_0x420fa1;if(_0x560c15&&_0x560c15[_0x67f71d(0x4f8)]){_0x4840f9(_0x560c15);const _0x355ea0=_0x50c72d(_0x560c15);if(_0x355ea0){if(_0x452fc4[_0x560c15[_0x67f71d(0x2894)]+_0x67f71d(0xe2)])return _0x3c1268['currentTab']!==_0x355ea0['id']&&(_0x355ea0[_0x67f71d(0x3a4)]+=0x1),_0x452fc4[_0x560c15[_0x67f71d(0x2894)]+'Message'][_0x67f71d(0x204a)]({'id':_0x560c15['id'],'UserId':_0x3c1268[_0x67f71d(0xe7b)]['id']})[_0x67f71d(0x2945)][_0x67f71d(0x129e)](_0x3b8e06());}else _0xc203bb[_0x67f71d(0x2070)]({'title':_0x560c15['title'],'msg':_0x560c15[_0x67f71d(0x2362)]||'','showClose':![],'clickToClose':![],'timeout':![],'sound':_0x560c15[_0x67f71d(0x126d)],'html':!![],'shake':_0x560c15[_0x67f71d(0x641)]||![],'onAdd':function(){const _0x3a9a16=_0x67f71d;_0x3c1268[_0x3a9a16(0x237b)][_0x560c15[_0x3a9a16(0x4f8)]]=this['id'];},'onAccept':function(){const _0x8e4159=_0x67f71d;_0x564215(_0x560c15);if(_0x452fc4[_0x560c15['channel']+_0x8e4159(0xe2)])return _0x452fc4[_0x560c15[_0x8e4159(0x2894)]+_0x8e4159(0xe2)][_0x8e4159(0x204a)]({'id':_0x560c15['id'],'UserId':_0x3c1268[_0x8e4159(0xe7b)]['id'],'manual':!![]})[_0x8e4159(0x2945)][_0x8e4159(0x146b)](function(_0x25ca77){const _0x4aefd6=_0x8e4159;_0x5d0636(_0x25ca77[_0x4aefd6(0x2894)],_0x25ca77['interaction']),_0xc203bb['success']({'title':_0x4aefd6(0x1dc4),'msg':_0x4aefd6(0x2170)});})['catch'](_0x3b8e06());},'onReject':function(){const _0x320a70=_0x67f71d;_0x564215(_0x560c15);if(_0x452fc4[_0x560c15['channel']+_0x320a70(0xe2)])return _0x452fc4[_0x560c15['channel']+_0x320a70(0xe2)][_0x320a70(0xa6f)]({'id':_0x560c15['id'],'UserId':_0x3c1268[_0x320a70(0xe7b)]['id']})['$promise'][_0x320a70(0x146b)](function(){const _0x4595fa=_0x320a70;_0xc203bb[_0x4595fa(0x1c75)]({'title':_0x4595fa(0xf75),'msg':'Message\x20has\x20been\x20rejected!'});})[_0x320a70(0x129e)](_0x3b8e06());}});}}function _0x564215(_0x2aaa72){const _0x3e5612=_0x420fa1;_0x2aaa72&&_0x2aaa72[_0x3e5612(0x4f8)]&&(_0x3c1268[_0x3e5612(0x237b)][_0x2aaa72[_0x3e5612(0x4f8)]]&&(_0xc203bb[_0x3e5612(0x8ee)](_0x3c1268[_0x3e5612(0x237b)][_0x2aaa72[_0x3e5612(0x4f8)]]),delete _0x3c1268[_0x3e5612(0x237b)][_0x2aaa72[_0x3e5612(0x4f8)]]));}function _0x12bb7b(_0x32aae7){const _0x5deaf5=_0x420fa1;if(!_0x32aae7[_0x5deaf5(0x1471)]){_0x298802(_0x32aae7[_0x5deaf5(0x1662)],_0x32aae7['evt']);return;}_0x4ddda2[_0x5deaf5(0x2615)]({'controller':'ContactSelectionController','controllerAs':'vm','templateUrl':_0x59999a,'parent':angular[_0x5deaf5(0x1853)](_0x176a1e['body']),'locals':{'contacts':_0x32aae7[_0x5deaf5(0x1471)],'callNumber':_0x32aae7[_0x5deaf5(0x6af)][_0x5deaf5(0x27c7)]?_0x32aae7[_0x5deaf5(0x6af)][_0x5deaf5(0x2167)]:_0x32aae7[_0x5deaf5(0x6af)][_0x5deaf5(0xa5c)]}})[_0x5deaf5(0x146b)](function(_0x29654e){const _0x33a7b9=_0x5deaf5;_0x298802(_0x29654e,_0x32aae7[_0x33a7b9(0x6af)]);});}function _0x22d3a7(_0x493f7b){const _0x1d3830=_0x420fa1;return _0x452fc4[_0x1d3830(0x20c8)][_0x1d3830(0x16b4)]({'id':_0x493f7b['ProjectId']})[_0x1d3830(0x2945)][_0x1d3830(0x146b)](function(_0x483938){const _0x2e85a8=_0x1d3830;if(_0x483938){if(_0x3f65c0()['isUndefined'](_0x493f7b[_0x2e85a8(0x1697)])){try{_0x483938[_0x2e85a8(0x21e6)]=_0x4cbf1a()[_0x2e85a8(0x18c)](_0x483938[_0x2e85a8(0x21e6)],_0x3f65c0()[_0x2e85a8(0x168d)](_0x493f7b,{'user':_0x3c1268[_0x2e85a8(0xe7b)]}));}catch(_0x58a33a){console['error'](_0x58a33a);}_0xbaa1c({'type':_0x2e85a8(0x1640),'title':_0x483938[_0x2e85a8(0x19eb)],'icon':'icon-script','color':'grey','project':_0x483938,'call':_0x493f7b});}else return _0x452fc4[_0x2e85a8(0x18c3)][_0x2e85a8(0x16b4)]({'id':_0x493f7b[_0x2e85a8(0x1697)]})[_0x2e85a8(0x2945)]['then'](function(_0x150429){const _0x5ab8e6=_0x2e85a8;try{_0x483938[_0x5ab8e6(0x21e6)]=_0x4cbf1a()[_0x5ab8e6(0x18c)](_0x483938[_0x5ab8e6(0x21e6)],_0x3f65c0()[_0x5ab8e6(0x168d)](_0x493f7b,{'user':_0x3c1268['user'],'contact':_0x150429}));}catch(_0x502694){console[_0x5ab8e6(0x1980)](_0x502694);}_0xbaa1c({'type':_0x5ab8e6(0x1640),'title':_0x483938['name'],'icon':_0x5ab8e6(0x2933),'color':_0x5ab8e6(0xd8a),'project':_0x483938,'call':_0x493f7b,'contact':_0x150429});})[_0x2e85a8(0x129e)](_0x3b8e06());}})['catch'](_0x3b8e06());}function _0x4659b0(_0x45d03f){const _0x52f4b6=_0x420fa1;if(_0x45d03f&&_0x45d03f[_0x52f4b6(0x1e73)]){_0x45d03f[_0x52f4b6(0x1e73)]=_0x3f65c0()[_0x52f4b6(0x5f4)](_0x45d03f[_0x52f4b6(0x1e73)],_0x52f4b6(0x1564),''),_0x45d03f[_0x52f4b6(0x1e73)]=_0x3f65c0()[_0x52f4b6(0x5f4)](_0x45d03f[_0x52f4b6(0x1e73)],_0x52f4b6(0x22e8),'');if(_0x45d03f[_0x52f4b6(0x28f9)])switch(_0x45d03f[_0x52f4b6(0x28f9)]){case'0':_0x2c7547[_0x52f4b6(0x217a)](_0x45d03f[_0x52f4b6(0x1e73)]);break;case'1':_0x2c7547[_0x52f4b6(0x217a)](_0x45d03f[_0x52f4b6(0x1e73)],'_blank',_0x52f4b6(0x1bc2)+(_0x45d03f[_0x52f4b6(0x15d0)]||'800')+_0x52f4b6(0x14c5)+_0x45d03f['windowHeight']||0x0);break;case'2':{const _0x3ecc31={'type':_0x52f4b6(0x520),'title':_0x45d03f[_0x52f4b6(0x1e73)],'icon':_0x52f4b6(0x9b8),'color':_0x52f4b6(0x47c),'src':_0x45d03f[_0x52f4b6(0x1e73)]};_0xbaa1c(_0x3ecc31);}break;default:_0x2c7547[_0x52f4b6(0x217a)](_0x45d03f[_0x52f4b6(0x1e73)]);}else _0x2c7547[_0x52f4b6(0x217a)](_0x45d03f['uri']);}}function _0x6f0e69(_0x23385a){const _0x1a5ee3=_0x420fa1;_0x23385a&&_0x23385a[_0x1a5ee3(0x1931)]&&_0xbaa1c({'type':_0x1a5ee3(0xffa),'title':_0x23385a[_0x1a5ee3(0xa5c)]||_0x1a5ee3(0xffa),'icon':_0x1a5ee3(0x16cf),'color':'grey','html':_0x23385a[_0x1a5ee3(0x1931)]});}function _0x3e246d(_0x1a90fb){const _0x2ebeed=_0x420fa1,_0xae292f=_0x1a90fb['calleridname']&&_0x1a90fb[_0x2ebeed(0x1772)]!==_0x2ebeed(0x1344)?_0x1a90fb[_0x2ebeed(0x1772)]:_0x1a90fb['calleridnum'],_0x304066=_0x1a90fb[_0x2ebeed(0xa5c)];return _0x452fc4[_0x2ebeed(0xc29)][_0x2ebeed(0x16b4)]({'fields':'id','limit':0x1,'offset':0x0,'uniqueid':_0x1a90fb[_0x2ebeed(0x4f8)]})[_0x2ebeed(0x2945)]['then'](function(_0x479e85){const _0x2c708b=_0x2ebeed;_0x479e85['count']&&_0xbaa1c({'type':_0x2c708b(0x5e2),'title':_0xae292f+'\x20<'+_0x304066+'>','icon':_0x2c708b(0x14c9),'color':_0x2c708b(0xac3),'data':{'uniqueid':_0x1a90fb[_0x2c708b(0x4f8)]}});});}function _0x26e3ac(_0x4fd50b){const _0x2f978a=_0x420fa1;console[_0x2f978a(0xf98)](_0x2f978a(0x19b0),_0x4fd50b);for(const _0x56fd63 in _0x3c1268[_0x2f978a(0x16fe)]){if(typeof _0x3c1268[_0x2f978a(0x16fe)][_0x56fd63]!==_0x2f978a(0x2274)){const _0x28ef0c=_0x3c1268[_0x2f978a(0x16fe)][_0x56fd63];if(_0x28ef0c[_0x2f978a(0x197c)]){console[_0x2f978a(0xf98)](_0x2f978a(0x1ac8),_0x56fd63,_0x28ef0c,_0x28ef0c['calls']);const _0xd76e41=_0x3f65c0()[_0x2f978a(0x99c)](_0x28ef0c[_0x2f978a(0x197c)],function(_0x18f86c){const _0x19a871=_0x2f978a;return _0x18f86c[_0x19a871(0x4f8)]===_0x4fd50b['uniqueid'];});_0xd76e41>=0x0&&!_0x28ef0c[_0x2f978a(0x197c)][_0xd76e41][_0x2f978a(0x1299)]?(console[_0x2f978a(0xf98)](_0x2f978a(0x1d81),_0x4fd50b[_0x2f978a(0x4f8)]),_0x40eb2e(_0x28ef0c[_0x2f978a(0x197c)][_0xd76e41])):console[_0x2f978a(0xf98)]('Call\x20%s\x20not\x20found\x20or\x20already\x20completed',_0x4fd50b['uniqueid']),_0x3c1268[_0x2f978a(0x1662)]&&_0x3c1268[_0x2f978a(0x1662)]['id']&&_0xcfb811(_0x3ca1d1(_0x4fd50b[_0x2f978a(0x4f8)],_0x3c1268[_0x2f978a(0x1662)]['id'],_0x28ef0c),0x3e8);}}}_0x1ec5d4();}function _0x3ca1d1(_0x3954ff,_0xbade05,_0x437db7){return function(){const _0x6fac2=a0_0x3bb9,_0x5c04cb=_0x3f65c0()[_0x6fac2(0xc84)](_0x437db7[_0x6fac2(0x197c)],function(_0x54a69c){const _0x23c60f=_0x6fac2;return _0x54a69c[_0x23c60f(0x4f8)]===_0x3954ff;});if(_0x5c04cb)return _0x452fc4['voiceCallReport']['update']({'id':_0x3954ff,'ContactId':_0xbade05})[_0x6fac2(0x2945)]['catch'](function(_0x5e0b8a){const _0x1e64fd=_0x6fac2;console[_0x1e64fd(0x1980)](_0x5e0b8a);});};}function _0x40eb2e(_0xbc0b48){const _0x18d8f7=_0x420fa1;_0xbc0b48[_0x18d8f7(0x1299)]=new Date();}function _0x3b8e06(){return function(_0x4bf605){const _0x7c51a3=a0_0x3bb9;if(_0x4bf605[_0x7c51a3(0x107b)]===0x194)_0xc203bb[_0x7c51a3(0x195d)]({'title':_0x42e70c[_0x7c51a3(0xde)](_0x7c51a3(0x1b7b)),'msg':_0x42e70c[_0x7c51a3(0xde)](_0x7c51a3(0x1d62))});else{if(_0x4bf605[_0x7c51a3(0x524)]&&_0x4bf605[_0x7c51a3(0x524)]['errors']&&_0x4bf605['data']['errors'][_0x7c51a3(0x402)]){_0x3c1268['errors']=_0x4bf605[_0x7c51a3(0x524)][_0x7c51a3(0xcef)]||[{'message':_0x4bf605['toString'](),'type':_0x7c51a3(0x1156)}];for(let _0x51065e=0x0;_0x51065e<_0x4bf605['data']['errors'][_0x7c51a3(0x402)];_0x51065e+=0x1){_0xc203bb[_0x7c51a3(0x1980)]({'title':_0x4bf605[_0x7c51a3(0x524)][_0x7c51a3(0xcef)][_0x51065e][_0x7c51a3(0x1142)],'msg':_0x4bf605['data']['errors'][_0x51065e][_0x7c51a3(0x7fd)]});}}else _0xc203bb[_0x7c51a3(0x1980)]({'title':_0x4bf605[_0x7c51a3(0x107b)]?_0x7c51a3(0x262a)+_0x4bf605['status']+_0x7c51a3(0x1315)+_0x4bf605[_0x7c51a3(0x167f)]:_0x7c51a3(0x1156),'msg':_0x4bf605[_0x7c51a3(0x524)]?JSON['stringify'](_0x4bf605[_0x7c51a3(0x524)]['message']):_0x4bf605[_0x7c51a3(0xd5f)]()});}};}_0x4453ad[_0x420fa1(0x16ad)]('$destroy',function(){const _0x14cd85=_0x420fa1;for(const _0x10c0b8 in _0x3c1268[_0x14cd85(0x237b)]){typeof _0x3c1268[_0x14cd85(0x237b)][_0x10c0b8]!==_0x14cd85(0x2274)&&_0x564215({'uniqueid':_0x10c0b8});}_0x128f83[_0x14cd85(0x1c5f)](_0x14cd85(0x114d)),_0x128f83[_0x14cd85(0x1c5f)](_0x14cd85(0x1424)),_0x128f83['removeAllListeners']('trigger:browser:url'),_0x128f83['removeAllListeners'](_0x14cd85(0x70d)),_0x128f83[_0x14cd85(0x1c5f)](_0x14cd85(0x28ef)),_0x128f83[_0x14cd85(0x1c5f)](_0x14cd85(0x1f5e)),_0x128f83[_0x14cd85(0x1c5f)](_0x14cd85(0xeb8)),_0x128f83[_0x14cd85(0x1c5f)](_0x14cd85(0x247e));});}function _0x1f1003(_0x4dd61e,_0x488f11,_0x10ba1d,_0x180135){const _0xb6f3ef=_0x313a4d,_0x37c8f1={};let _0x4b9671={},_0x3f1fc4={},_0x3a29a5={};_0x37c8f1[_0xb6f3ef(0x5aa)]=function(){const _0x552abc=_0xb6f3ef;_0x37c8f1[_0x552abc(0x1689)]=_0x27a0d6();},_0x37c8f1[_0xb6f3ef(0x27df)]=function(_0x55b17f){const _0x46260f=_0xb6f3ef;return _0x37c8f1[_0x46260f(0x1689)]=_0x27a0d6(),_0x3f65c0()[_0x46260f(0xc84)](_0x3f65c0()[_0x46260f(0x81b)](_0x37c8f1[_0x46260f(0x1689)]),function(_0x5f576d){const _0x5357c4=_0x46260f;return _0x5f576d[_0x5357c4(0xe80)]&&_0x5f576d[_0x5357c4(0xe80)]['id']===_0x55b17f;});},_0x37c8f1['removeByInteraction']=function(_0x56fe67){const _0x5a40c8=_0xb6f3ef;_0x37c8f1[_0x5a40c8(0x1689)]=_0x27a0d6();const _0x4c572c=_0x3f65c0()['find'](_0x3f65c0()[_0x5a40c8(0x81b)](_0x37c8f1[_0x5a40c8(0x1689)]),function(_0x41122d){const _0x4e14f4=_0x5a40c8;return _0x41122d[_0x4e14f4(0xe80)]&&_0x41122d[_0x4e14f4(0xe80)]['id']===_0x56fe67;});_0x4c572c&&(delete _0x37c8f1[_0x5a40c8(0x1689)][_0x4c572c['id']],_0x40b06b());},_0x37c8f1[_0xb6f3ef(0x387)]=function(_0x9402ea){const _0x56055a=_0xb6f3ef;_0x37c8f1['drafts']=_0x27a0d6();let _0x1e27e4=_0x488f11[_0x56055a(0xde)](_0x56055a(0x77b));if(_0x9402ea[_0x56055a(0x1662)])_0x1e27e4=_0x9402ea[_0x56055a(0x1662)][_0x56055a(0x1ed4)]||_0x9402ea[_0x56055a(0x1662)][_0x56055a(0x1a2b)];else!_0x3f65c0()['isEmpty'](_0x9402ea[_0x56055a(0x7fd)][_0x56055a(0xe32)])&&(_0x1e27e4=_0x9402ea['message'][_0x56055a(0xe32)]);const _0x352907={'type':_0x56055a(0x1d96),'title':_0x9402ea[_0x56055a(0x1f8e)][_0x56055a(0x2293)]+_0x56055a(0x1315)+_0x1e27e4,'channel':_0x9402ea['channel'],'content':_0x9402ea[_0x56055a(0x7fd)],'account':_0x9402ea[_0x56055a(0x1f8e)],'interaction':_0x9402ea[_0x56055a(0xe80)],'id':_0x3f65c0()[_0x56055a(0x28e8)](0x2540be400),'openedAt':new Date(),'saved':![]};_0x37c8f1[_0x56055a(0x1689)][_0x352907['id']]=_0x352907,_0x40b06b();},_0x37c8f1['update']=function(_0x49e59b){const _0x54fec8=_0xb6f3ef;_0x37c8f1[_0x54fec8(0x1689)]=_0x27a0d6();const _0x1adc15=_0x37c8f1[_0x54fec8(0x1689)][_0x49e59b['id']];_0x1adc15&&(_0x1adc15[_0x54fec8(0x80f)]=_0x49e59b[_0x54fec8(0x7fd)],_0x37c8f1[_0x54fec8(0x1689)][_0x49e59b['id']]=_0x1adc15,_0x40b06b());},_0x37c8f1[_0xb6f3ef(0x217a)]=function(_0x59db05){const _0x1f8db8=_0xb6f3ef;_0x37c8f1[_0x1f8db8(0x1689)]=_0x27a0d6();const _0x3d1d7b=_0x37c8f1['drafts'][_0x59db05];_0x3d1d7b&&_0x4dd61e[_0x1f8db8(0x25a4)](_0x1f8db8(0x217a)+_0x3f65c0()[_0x1f8db8(0x20d1)](_0x3f1fc4)+_0x1f8db8(0x254c),_0x3d1d7b);},_0x37c8f1[_0xb6f3ef(0x2640)]=function(_0x562b45){const _0x47ed9a=_0xb6f3ef;_0x37c8f1[_0x47ed9a(0x1689)]=_0x27a0d6(),_0x37c8f1['drafts'][_0x562b45]&&(delete _0x37c8f1[_0x47ed9a(0x1689)][_0x562b45],_0x40b06b());};function _0x27a0d6(){const _0x3eac8c=_0xb6f3ef;_0x4b9671=_0x180135['getCurrentUser']();const _0x1d9a83=_0x10ba1d[_0x3eac8c(0x1c39)][_0x3eac8c(0x1519)](_0x3eac8c(0xc92)+_0x4b9671['id']);_0x1d9a83?_0x3f1fc4=JSON['parse'](_0x1d9a83)[_0x3eac8c(0xeaf)]:_0x3f1fc4='dashboard';_0x3a29a5=_0x10ba1d[_0x3eac8c(0x1c39)][_0x3eac8c(0x1519)]('motion2.home.'+_0x3f1fc4+':'+_0x4b9671['id']);if(_0x3a29a5){const _0x503941=JSON[_0x3eac8c(0x975)](_0x3a29a5);!_0x503941[_0x3eac8c(0x1689)]&&(_0x503941[_0x3eac8c(0x1689)]={}),_0x3a29a5=_0x503941;}else _0x3a29a5={'drafts':{}};return _0x3a29a5['drafts'];}function _0x40b06b(){const _0x597593=_0xb6f3ef;_0x3f65c0()[_0x597593(0x2635)](_0x37c8f1[_0x597593(0x1689)])?delete _0x3a29a5[_0x597593(0x1689)]:_0x3a29a5[_0x597593(0x1689)]=_0x37c8f1[_0x597593(0x1689)],_0x10ba1d['localStorage']['setItem'](_0x597593(0x384)+_0x3f1fc4+':'+_0x4b9671['id'],JSON[_0x597593(0x10bb)](_0x3a29a5));}return _0x37c8f1;};function _0x1355b5(){const _0x534751=_0x313a4d,_0x1602d4={'autoClose':!![],'duration':0x5,'showOnPageHidden':![]};return{'config':_0x42797c,'$get':['$q',_0x534751(0x1abe),_0x534751(0x1aa9),_0x534751(0xb73),_0x3277a7]};function _0x42797c(_0x5c5b1a){const _0x48b444=_0x534751;for(const _0x19b644 in _0x5c5b1a){typeof _0x1602d4[_0x19b644]!==_0x48b444(0x2274)&&typeof _0x5c5b1a[_0x19b644]!==_0x48b444(0x2274)&&(_0x1602d4[_0x19b644]=_0x5c5b1a[_0x19b644]);}}function _0x3277a7(_0x26f4aa,_0x546591,_0x9f18cc,_0x5953f9){const _0xaf254b=_0x534751,_0x2ca1c0=_0x9f18cc['Notification']||_0x9f18cc[_0xaf254b(0x21dd)]||_0x9f18cc[_0xaf254b(0x934)],_0x202a1e={'isSupported':_0x2d157f,'currentPermission':_0x47dd65,'requestPermission':_0x3e3589,'show':_0x257459,'permissions':{'default':_0x5953f9[_0xaf254b(0xa99)],'granted':_0x5953f9[_0xaf254b(0x28ea)],'denied':_0x5953f9['DENIED']}};return _0x202a1e;function _0x2d157f(){return!(typeof _0x2ca1c0==='undefined');}function _0x47dd65(){const _0xb10ff6=_0xaf254b;return(_0x2ca1c0||{})[_0xb10ff6(0x1d7d)];}function _0x3e3589(){const _0x427e71=_0xaf254b;if(!_0x2d157f())return _0x26f4aa[_0x427e71(0xa6f)]('Notification\x20API\x20not\x20supported');const _0x429d4c=_0x26f4aa[_0x427e71(0xce3)]();return Promise[_0x427e71(0x2922)](_0x2ca1c0['requestPermission'])==_0x2ca1c0[_0x427e71(0x1eb9)]?_0x2ca1c0[_0x427e71(0x1eb9)]()[_0x427e71(0x146b)](function(_0x4ecb1b){const _0x49a50c=_0x427e71;_0x5953f9[_0x49a50c(0x28ea)]===_0x4ecb1b?_0x429d4c['resolve'](_0x4ecb1b):_0x429d4c[_0x49a50c(0xa6f)](_0x4ecb1b);}):_0x2ca1c0[_0x427e71(0x1eb9)](function(_0x1fb8a0){const _0x2b50b2=_0x427e71;_0x5953f9['GRANTED']===_0x1fb8a0?_0x429d4c[_0x2b50b2(0x2922)](_0x1fb8a0):_0x429d4c[_0x2b50b2(0xa6f)](_0x1fb8a0);}),_0x429d4c['promise'];}function _0x257459(_0x51c82a,_0x5ead78){const _0x5e59c6=_0xaf254b;_0x5ead78=_0x5ead78||{};if(!_0x2d157f()||!_0x3d1d3e(_0x51c82a,_0x5ead78)||_0x4fb76f(_0x5ead78[_0x5e59c6(0x1537)])||_0x47dd65()!==_0x5953f9[_0x5e59c6(0x28ea)])return;const _0x1b34b2=new _0x2ca1c0(_0x51c82a,_0x5ead78),_0x527f64=_0x5ead78[_0x5e59c6(0x11cc)]||_0x1602d4[_0x5e59c6(0x11cc)],_0x423af1=_0x5ead78['autoClose']===undefined?_0x1602d4[_0x5e59c6(0x129b)]:_0x5ead78[_0x5e59c6(0x129b)];_0x1b34b2[_0x5e59c6(0xd79)]=function(){const _0x5d2d4f=_0x5e59c6;_0x5ead78['onClick']&&_0x5ead78['onClick'](),_0x5ead78[_0x5d2d4f(0x1b15)]&&window[_0x5d2d4f(0x14dc)](),_0x5ead78['closeOnClick']&&_0x1b34b2[_0x5d2d4f(0xa7c)]();};if(_0x423af1)_0x2a21b9(_0x1b34b2,_0x527f64);return _0x1b34b2;}function _0x3d1d3e(_0x232311,_0x2c3847){const _0x1155dd=_0xaf254b,_0x188d81=angular[_0x1155dd(0x54e)](_0x232311),_0x55dea8=!_0x2c3847[_0x1155dd(0x558)]||angular[_0x1155dd(0x1e2c)](_0x2c3847[_0x1155dd(0x558)]);return _0x188d81&&_0x55dea8;}function _0x4fb76f(_0x31f53b){const _0x33aac9=_0xaf254b;if(!_0x31f53b&&!_0x1602d4['showOnPageHidden'])return;return!(window[_0x33aac9(0xef3)][_0x33aac9(0x28fc)]||window['document'][_0x33aac9(0x28ba)]||window[_0x33aac9(0xef3)][_0x33aac9(0x288e)]);}function _0x2a21b9(_0x3316ba,_0x2485ed){const _0x39a1dc=_0xaf254b,_0x723879=_0x2485ed*0x3e8;_0x546591(_0x3316ba[_0x39a1dc(0xa7c)][_0x39a1dc(0x2544)](_0x3316ba),_0x723879,![]);}}}function _0x1a50cb(){const _0x334e44=_0x313a4d,_0x1f6128=angular[_0x334e44(0x24f2)](['ng'])[_0x334e44(0x16b4)]('$log'),_0x4ee022=[],_0x2dc365=this;_0x2dc365[_0x334e44(0x150e)]=_0x3687ad,_0x2dc365[_0x334e44(0xbaf)]=_0x535f6e;function _0x3687ad(_0x3581f6,_0xc30cf5){const _0x2d31a3=_0x334e44;if(!angular['isString'](_0x3581f6)){_0x1f6128['error'](_0x2d31a3(0x1672));return;}const _0x23baf5=_0x3581f6[_0x2d31a3(0x10c8)]('.'),_0x136c41=_0x23baf5[_0x23baf5[_0x2d31a3(0x402)]-0x1],_0x26c6cb=_0x185682(_0x23baf5);let _0x450a57=![];for(let _0x3ce090=0x0;_0x3ce090<_0x26c6cb[_0x2d31a3(0x402)];_0x3ce090++){if(_0x26c6cb[_0x3ce090][_0x2d31a3(0x208c)]===_0x136c41){_0x450a57=_0x26c6cb[_0x3ce090];break;}}_0x450a57?(angular[_0x2d31a3(0xd9b)](_0x450a57,_0xc30cf5),_0x450a57[_0x2d31a3(0x135a)]=_0x2cdb04(_0x450a57)):(_0xc30cf5[_0x2d31a3(0x20d0)]=[],(angular[_0x2d31a3(0x1619)](_0xc30cf5['weight'])||!angular[_0x2d31a3(0x77d)](_0xc30cf5[_0x2d31a3(0x1ac2)]))&&(_0xc30cf5[_0x2d31a3(0x1ac2)]=0x1),_0xc30cf5['_id']=_0x136c41,_0xc30cf5[_0x2d31a3(0x26d3)]=_0x3581f6,_0xc30cf5[_0x2d31a3(0x135a)]=_0x2cdb04(_0xc30cf5),_0x26c6cb['push'](_0xc30cf5));}function _0x535f6e(_0x569870){const _0x2cd2ee=_0x334e44;if(!angular['isString'](_0x569870)){_0x1f6128[_0x2cd2ee(0x1980)](_0x2cd2ee(0x1672));return;}let _0x330d38=_0x4ee022;const _0xf014e6=_0x569870[_0x2cd2ee(0x10c8)]('.');for(let _0x31d58b=0x0;_0x31d58b<_0xf014e6[_0x2cd2ee(0x402)];_0x31d58b++){const _0x13631c=_0xf014e6[_0x31d58b];for(let _0x6da4=0x0;_0x6da4<_0x330d38[_0x2cd2ee(0x402)];_0x6da4++){if(_0x330d38[_0x6da4][_0x2cd2ee(0x208c)]===_0x13631c){if(_0x330d38[_0x6da4]['_path']===_0x569870)return _0x330d38[_0x2cd2ee(0x1f7d)](_0x6da4,0x1),!![];_0x330d38=_0x330d38[_0x6da4][_0x2cd2ee(0x20d0)];break;}}}return![];}function _0x185682(_0x4348e7){const _0x18a9d3=_0x334e44;let _0x3c8331=_0x4ee022;if(_0x4348e7[_0x18a9d3(0x402)]===0x1)return _0x3c8331;_0x4348e7[_0x18a9d3(0x18c1)]();for(let _0x5a38ff=0x0;_0x5a38ff<_0x4348e7[_0x18a9d3(0x402)];_0x5a38ff++){const _0x20f48c=_0x4348e7[_0x5a38ff];let _0x56f070=!![];for(let _0x20e48f=0x0;_0x20e48f<_0x3c8331['length'];_0x20e48f++){if(_0x3c8331[_0x20e48f]['_id']===_0x20f48c){_0x3c8331=_0x3c8331[_0x20e48f][_0x18a9d3(0x20d0)],_0x56f070=![];break;}}if(_0x56f070){const _0x18553e={'_id':_0x20f48c,'_path':_0x4348e7[_0x18a9d3(0xb47)]('.'),'title':_0x20f48c,'weight':0x1,'children':[]};_0x3c8331[_0x18a9d3(0x1f47)](_0x18553e),_0x3c8331=_0x18553e[_0x18a9d3(0x20d0)];}}return _0x3c8331;}function _0x2cdb04(_0x20e24a){const _0x333c3a=_0x334e44;let _0x1e828c='';return angular['isDefined'](_0x20e24a[_0x333c3a(0x13d6)])&&(_0x1e828c=_0x20e24a[_0x333c3a(0x13d6)],angular['isDefined'](_0x20e24a['stateParams'])&&angular['isObject'](_0x20e24a[_0x333c3a(0x1de9)])&&(_0x1e828c=_0x1e828c+'('+angular[_0x333c3a(0x217d)](_0x20e24a['stateParams'])+')')),_0x1e828c;}this[_0x334e44(0x980)]=function(){const _0x566e9c={'saveItem':_0x3687ad,'getNavigation':_0x4dfd61};return _0x566e9c;function _0x4dfd61(_0xd85702){const _0x2ba07c=a0_0x3bb9;if(_0xd85702){for(let _0x1546e0=0x0;_0x1546e0<_0x4ee022[_0x2ba07c(0x402)];_0x1546e0++){if(_0x4ee022[_0x1546e0][_0x2ba07c(0x208c)]===_0xd85702)return[_0x4ee022[_0x1546e0]];}return null;}return _0x4ee022;}};}const _0x2d96f1={'DEFAULT':'default','GRANTED':'granted','DENIED':_0x313a4d(0xd97)};;_0x37b456['$inject']=[_0x313a4d(0x247f)];function _0x37b456(_0x46b8f5){const _0x297645=_0x313a4d,_0x5bf2df=this;_0x5bf2df[_0x297645(0x15b9)]={},_0x5bf2df[_0x297645(0x8ac)]={'count':0x0,'rows':[]},_0x5bf2df['showInteraction']=![];function _0x36eee1(_0x7ad624,_0x11d771){const _0x588851=_0x297645;_0x5bf2df['setting']=_0x11d771,_0x5bf2df[_0x588851(0x13a3)]=_0x7ad624,_0x5bf2df[_0x588851(0x13a3)][_0x588851(0x1a2e)]=!![];if(_0x5bf2df[_0x588851(0x13a3)]&&_0x5bf2df[_0x588851(0x13a3)]['data']&&_0x5bf2df[_0x588851(0x13a3)]['data']['uniqueid'])return _0x46b8f5[_0x588851(0xc29)]['get']({'uniqueid':_0x5bf2df['tab'][_0x588851(0x524)]['uniqueid']})[_0x588851(0x2945)][_0x588851(0x146b)](function(_0x9551a9){const _0x3575d4=_0x588851;_0x5bf2df[_0x3575d4(0x8ac)]=_0x9551a9;})['finally'](function(){const _0x6c114c=_0x588851;_0x5bf2df[_0x6c114c(0x1fae)]=!![];});else _0x5bf2df[_0x588851(0x1fae)]=!![];}function _0x4371f2(_0x1d6c15){const _0x589c98=_0x297645;switch(_0x5bf2df[_0x589c98(0x15b9)][_0x589c98(0x545)]){case'left':if(_0x1d6c15[_0x589c98(0x26cc)]=='in')return _0x1d6c15['direction'];return'out-left-aligned';case'right':if(_0x1d6c15[_0x589c98(0x26cc)]=='in')return _0x589c98(0x1568);return _0x1d6c15[_0x589c98(0x26cc)];default:return _0x1d6c15[_0x589c98(0x26cc)];}}_0x5bf2df[_0x297645(0x5aa)]=_0x36eee1,_0x5bf2df['getMessagesAlignment']=_0x4371f2;}const _0x5a4dc3=_0x37b456;;const _0x3f981f=_0x4acfac['p']+_0x313a4d(0x23fc);;const _0x1cccb8=_0x4acfac['p']+'src/js/modules/main/apps/contactmanager/views/contacts/merge/dialog.html/dialog.html';;const _0x10a784=_0x4acfac['p']+_0x313a4d(0x260a);;const _0x47122e=_0x4acfac['p']+_0x313a4d(0xee8);;const _0x379013=_0x4acfac['p']+'src/js/modules/main/apps/dashboards/views/general/agent/interaction/composeMail/dialog.html/dialog.html';;const _0x5c47bf=_0x4acfac['p']+_0x313a4d(0x2145);;const _0x32f327=_0x4acfac['p']+_0x313a4d(0x20c5);;const _0xeb78a6=_0x4acfac['p']+_0x313a4d(0xed2);;_0x5a4560[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0x910),'$q',_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x214b),_0x313a4d(0x216c)];const _0x3300de={'fax':_0x47122e,'mail':_0x379013,'openchannel':_0x5c47bf,'sms':_0x32f327,'whatsapp':_0xeb78a6};function _0x5a4560(_0x7d84a3,_0x2fb7c9,_0x4b9625,_0x424f21,_0x3ff0ba,_0x49eeba,_0x2fc198,_0x3b064a,_0xfe69fe,_0x3d7c5b){const _0x211d39=_0x313a4d,_0x23d590=this;_0x23d590[_0x211d39(0xe7b)]=_0x3b064a[_0x211d39(0xb12)](),_0x23d590[_0x211d39(0x17dc)]=_0x3d7c5b[_0x211d39(0x17dc)](),_0x23d590['journey']=[],_0x23d590['queryHopper']={'fields':_0x211d39(0x61b),'sort':_0x211d39(0x12f2),'limit':0xa,'page':0x1},_0x23d590[_0x211d39(0x2822)]={'fields':_0x211d39(0x1cef),'sort':_0x211d39(0x12f2),'limit':0xa,'page':0x1},_0x23d590[_0x211d39(0x1536)]={'fields':'uniqueid,calleridnum,statedesc,scheduledat,starttime,endtime','sort':_0x211d39(0x12f2),'limit':0xa,'page':0x1},_0x23d590['hoppers']={'rows':[],'count':0x0},_0x23d590[_0x211d39(0x272a)]={'rows':[],'count':0x0},_0x23d590['histories']={'rows':[],'count':0x0},_0x23d590[_0x211d39(0x23e6)]=new Date(_0x2deec6()()[_0x211d39(0x1fcc)](_0x211d39(0x12b7))[_0x211d39(0xd7b)](0x1,_0x211d39(0xcf5))[_0x211d39(0x65f)](0x0,!![])[_0x211d39(0x22b0)]()),_0x23d590[_0x211d39(0x360)]=new Date(_0x2deec6()()[_0x211d39(0x824)](_0x211d39(0x12b7))[_0x211d39(0xd7b)](0x1,_0x211d39(0x1066))[_0x211d39(0x65f)](0x0,!![])['format']()),_0x23d590['addNewContact']=_0x1313a6,_0x23d590['saveContact']=_0x190e61,_0x23d590[_0x211d39(0x2328)]=_0x1f99ff,_0x23d590[_0x211d39(0x133b)]=_0x424f21['$parent']['vm'][_0x211d39(0x133b)],_0x23d590[_0x211d39(0x36e)]=_0x10a0d8,_0x23d590[_0x211d39(0x3d1)]=_0x8a043f,_0x23d590[_0x211d39(0x1325)]=_0x4c9d76,_0x23d590[_0x211d39(0xe73)]=_0x359d20,_0x23d590[_0x211d39(0x115a)]=_0x221856,_0x23d590[_0x211d39(0x3b6)]=_0x261211,_0x23d590[_0x211d39(0x1c1d)]=_0xcede8f,_0x23d590[_0x211d39(0x2330)]=_0x3bc8d3,_0x23d590[_0x211d39(0x1225)]=_0x476c4e,_0x23d590[_0x211d39(0x44b)]=_0x44da66,_0x23d590['getFaxAccounts']=_0x3cff2e,_0x23d590[_0x211d39(0x1434)]=_0x1584ac,_0x23d590[_0x211d39(0x28f)]=_0x279920,_0x23d590[_0x211d39(0x12ef)]=_0x4b17eb,_0x23d590['getChatWebsites']=_0x2b129e,_0x23d590[_0x211d39(0x233d)]=_0x17fa2b,_0x23d590['composeInteraction']=_0x494675,_0x23d590[_0x211d39(0x5aa)]=_0xbb372,_0x23d590[_0x211d39(0x2434)]=_0x83a8c4,_0x23d590[_0x211d39(0x1839)]=_0x1a4228,_0x23d590[_0x211d39(0x898)]=_0x52bf6a,_0x23d590[_0x211d39(0x1bee)]=_0x207ffa,_0x23d590[_0x211d39(0x1225)]();function _0x207ffa(_0x8ec79d){const _0x5fa5fb=_0x211d39;if(_0x8ec79d[_0x5fa5fb(0x74d)])return _0x3f65c0()[_0x5fa5fb(0xa4e)](_0x3f65c0()[_0x5fa5fb(0x205)](_0x23d590[_0x5fa5fb(0x8a9)]?_0x23d590[_0x5fa5fb(0x8a9)][_0x5fa5fb(0x19c7)]:[],'id'),_0x8ec79d['FaxAccountId']);else{if(_0x8ec79d[_0x5fa5fb(0x534)])return _0x3f65c0()[_0x5fa5fb(0xa4e)](_0x3f65c0()[_0x5fa5fb(0x205)](_0x23d590[_0x5fa5fb(0x1690)]?_0x23d590[_0x5fa5fb(0x1690)][_0x5fa5fb(0x19c7)]:[],'id'),_0x8ec79d[_0x5fa5fb(0x534)]);else{if(_0x8ec79d[_0x5fa5fb(0x1481)])return _0x3f65c0()[_0x5fa5fb(0xa4e)](_0x3f65c0()[_0x5fa5fb(0x205)](_0x23d590[_0x5fa5fb(0x1c34)]?_0x23d590[_0x5fa5fb(0x1c34)][_0x5fa5fb(0x19c7)]:[],'id'),_0x8ec79d['SmsAccountId']);else{if(_0x8ec79d[_0x5fa5fb(0x158a)])return _0x3f65c0()['includes'](_0x3f65c0()['map'](_0x23d590[_0x5fa5fb(0x23c)]?_0x23d590[_0x5fa5fb(0x23c)][_0x5fa5fb(0x19c7)]:[],'id'),_0x8ec79d[_0x5fa5fb(0x158a)]);else{if(_0x8ec79d[_0x5fa5fb(0x658)])return _0x3f65c0()[_0x5fa5fb(0xa4e)](_0x3f65c0()[_0x5fa5fb(0x205)](_0x23d590[_0x5fa5fb(0x1593)]?_0x23d590[_0x5fa5fb(0x1593)]['rows']:[],'id'),_0x8ec79d[_0x5fa5fb(0x658)]);else{if(_0x8ec79d['ChatWebsiteId'])return _0x3f65c0()[_0x5fa5fb(0xa4e)](_0x3f65c0()[_0x5fa5fb(0x205)](_0x23d590[_0x5fa5fb(0x282c)]?_0x23d590['chatAccounts'][_0x5fa5fb(0x19c7)]:[],'id'),_0x8ec79d['ChatWebsiteId']);else{if(_0x8ec79d[_0x5fa5fb(0xcbf)])return!![];}}}}}}return![];}function _0x1a4228(_0x8ce205,_0x51f2d8){const _0x1ffa5d=_0x211d39;try{if(_0x51f2d8[_0x1ffa5d(0x658)])return _0x424f21[_0x1ffa5d(0x2870)][_0x1ffa5d(0x2870)][_0x1ffa5d(0x2870)]['vm']['addInteractionTab'](_0x1ffa5d(0x56b),_0x51f2d8);else{if(_0x51f2d8[_0x1ffa5d(0x1d92)])return _0x424f21['$parent']['$parent']['$parent']['vm'][_0x1ffa5d(0x2614)]('chat',_0x51f2d8);else{if(_0x51f2d8['SmsAccountId'])return _0x424f21[_0x1ffa5d(0x2870)][_0x1ffa5d(0x2870)]['$parent']['vm'][_0x1ffa5d(0x2614)]('sms',_0x51f2d8);else{if(_0x51f2d8[_0x1ffa5d(0x158a)])return _0x424f21[_0x1ffa5d(0x2870)]['$parent'][_0x1ffa5d(0x2870)]['vm'][_0x1ffa5d(0x2614)](_0x1ffa5d(0xff9),_0x51f2d8);else{if(_0x51f2d8[_0x1ffa5d(0x534)])return _0x424f21[_0x1ffa5d(0x2870)][_0x1ffa5d(0x2870)][_0x1ffa5d(0x2870)]['vm'][_0x1ffa5d(0x2614)](_0x1ffa5d(0x7d9),_0x51f2d8);else{if(_0x51f2d8['FaxAccountId'])return _0x424f21[_0x1ffa5d(0x2870)]['$parent'][_0x1ffa5d(0x2870)]['vm']['addInteractionTab']('fax',_0x51f2d8);}}}}}}catch(_0x45408d){_0x2fc198['error']({'title':_0x1ffa5d(0xbda),'msg':_0x1ffa5d(0xc8a)});}}function _0x19045c(_0x1e16bd){const _0x3cdb73=_0x211d39;if(!_0x1e16bd['disposition'])return'('+_0xfe69fe[_0x3cdb73(0xde)](_0x3cdb73(0x2693))+')';const _0x5deb75=_0x3f65c0()[_0x3cdb73(0x10d6)]([_0x1e16bd['disposition'],_0x1e16bd['secondDisposition'],_0x1e16bd[_0x3cdb73(0x1402)]]);return'('+_0x5deb75[_0x3cdb73(0xb47)](_0x3cdb73(0x1315))+')';}function _0x1f7998(_0x3c9299){const _0x305904=_0x211d39;_0x3c9299&&_0x3c9299[_0x305904(0x51c)]&&_0x3c9299[_0x305904(0x19c7)]['length']&&(_0x23d590['journey']=_0x3f65c0()[_0x305904(0x163c)](_0x23d590['journey'],_0x3c9299[_0x305904(0x19c7)]),_0x23d590[_0x305904(0x21db)][_0x305904(0x1df5)](function(_0x1e77d2){_0x1e77d2['dispositionsValues']=_0x19045c(_0x1e77d2);}));}function _0x357dc0(_0x4e0a07){const _0x5d75b3=_0x211d39,_0x527c8a=['mail',_0x5d75b3(0xa7f),_0x5d75b3(0x929),_0x5d75b3(0x7d9),_0x5d75b3(0x22d9),_0x5d75b3(0xff9)];if(_0x4e0a07&&_0x4e0a07['id']){for(let _0x189b72=0x0;_0x189b72<_0x527c8a[_0x5d75b3(0x402)];_0x189b72++){_0x49eeba[_0x527c8a[_0x189b72]+_0x5d75b3(0x2297)][_0x5d75b3(0x16b4)]({'ContactId':_0x4e0a07['id'],'journey':!![],'includeAll':!![]})[_0x5d75b3(0x2945)][_0x5d75b3(0x146b)](_0x1f7998);}_0x49eeba[_0x5d75b3(0x629)]['get']({'ContactId':_0x4e0a07['id'],'includeAll':!![]})[_0x5d75b3(0x2945)]['then'](_0x1f7998)[_0x5d75b3(0x129e)](function(_0x4ede88){const _0x11635f=_0x5d75b3;console[_0x11635f(0x1980)](_0x4ede88);});}}function _0x5b38e8(_0x185d71){const _0x52f063=_0x211d39;switch(_0x185d71){case'chat':return _0x52f063(0x23da);case'mail':return _0x52f063(0x109e);case _0x52f063(0x929):return'orange';case _0x52f063(0x7d9):return _0x52f063(0x154c);case _0x52f063(0x22d9):return _0x52f063(0x276);case'whatsapp':return'lime-A700';default:return'blue-A700';}}function _0x52bf6a(_0x58f356){const _0x158fc5=_0x211d39;let _0x608719='';if(_0x58f356[_0x158fc5(0x74d)])_0x608719=_0x158fc5(0x22d9);else{if(_0x58f356[_0x158fc5(0x534)])_0x608719=_0x158fc5(0x7d9);else{if(_0x58f356['SmsAccountId'])_0x608719='sms';else{if(_0x58f356[_0x158fc5(0x158a)])_0x608719=_0x158fc5(0xff9);else{if(_0x58f356[_0x158fc5(0x658)])_0x608719=_0x158fc5(0x56b);else{if(_0x58f356[_0x158fc5(0x1d92)])_0x608719=_0x158fc5(0xa7f);else _0x58f356[_0x158fc5(0xcbf)]&&(_0x608719=_0x158fc5(0xe6));}}}}}return _0x5b38e8(_0x608719);}function _0xbb372(_0x467a9b,_0x44cb77){const _0x206280=_0x211d39;return _0x23d590['tab']=_0x467a9b,_0x424f21[_0x206280(0xdf5)]([_0x206280(0x2723),_0x206280(0x195c)],function(_0x3bfe9f){const _0x1a1c48=_0x206280;_0x23d590[_0x1a1c48(0x13a3)][_0x1a1c48(0x1a2e)]=_0x3bfe9f[0x0]&&_0x3bfe9f[0x1];}),_0x23d590[_0x206280(0x2690)]=_0x44cb77,_0x23d590[_0x206280(0x1662)]=_0x23d590['tab']['contact'],_0x23d590[_0x206280(0x1513)]=![],_0x23d590[_0x206280(0x276a)]=0x0,_0x49eeba[_0x206280(0xe7b)][_0x206280(0x16b4)]({'fields':_0x206280(0x1291),'nolimit':!![]})['$promise']['then'](function(_0x50c10d){const _0x1042b1=_0x206280;_0x23d590[_0x1042b1(0x1995)]=_0x50c10d||{'count':0x0,'rows':[]},_0x23d590[_0x1042b1(0xa3e)]=_0x3f65c0()[_0x1042b1(0x1de4)](_0x3f65c0()[_0x1042b1(0x194)](_0x23d590[_0x1042b1(0x1995)][_0x1042b1(0x19c7)],'id'),_0x1042b1(0x286a));return;})[_0x206280(0x146b)](function(){const _0x37ebd0=_0x206280,_0x5f1c5a=[];for(let _0x5412a8=0x0;_0x5412a8<_0x23d590[_0x37ebd0(0x13a3)][_0x37ebd0(0x197c)]['length'];_0x5412a8++){const _0x27281e=_0x23d590[_0x37ebd0(0x13a3)][_0x37ebd0(0x197c)][_0x5412a8];_0x5f1c5a['push'](_0x49eeba[_0x37ebd0(0x629)][_0x37ebd0(0x16b4)]({'uniqueid':_0x27281e['uniqueid']})[_0x37ebd0(0x2945)]['then'](function(_0x1dca9f){const _0x34a3fa=_0x37ebd0;_0x1dca9f&&_0x1dca9f[_0x34a3fa(0x19c7)][_0x34a3fa(0x402)]>0x0&&(_0x27281e[_0x34a3fa(0x1299)]=_0x3f65c0()['first'](_0x1dca9f[_0x34a3fa(0x19c7)])['endtime']);return;}));}return _0x3ff0ba[_0x37ebd0(0x1be2)](_0x5f1c5a);})[_0x206280(0x146b)](function(){const _0x48db60=_0x206280;if(_0x23d590[_0x48db60(0x1662)]&&_0x23d590['contact']['id']){const _0x170079={'id':_0x23d590[_0x48db60(0x1662)]['id'],'ListId':_0x23d590[_0x48db60(0x1662)][_0x48db60(0xb7c)],'limit':0x1,'offset':0x0,'sort':'-updatedAt'};return _0x49eeba['cmContact'][_0x48db60(0x16b4)](_0x170079)[_0x48db60(0x2945)][_0x48db60(0x146b)](function(_0x2a7770){const _0x3bbcf2=_0x48db60;return _0x23d590[_0x3bbcf2(0x1662)]=_0x2a7770,_0x23d590[_0x3bbcf2(0x13a3)][_0x3bbcf2(0x1662)]=_0x2a7770,_0x49eeba[_0x3bbcf2(0xe7b)][_0x3bbcf2(0x89b)]({'id':_0x23d590[_0x3bbcf2(0xe7b)]['id'],'ids':[_0x23d590[_0x3bbcf2(0x1662)]['id']]});})['then'](function(){const _0x3871c0=_0x48db60;if(_0x23d590[_0x3871c0(0x1662)][_0x3871c0(0xb7c)])return _0x49eeba[_0x3871c0(0x9e1)][_0x3871c0(0x16b4)]({'ListId':_0x23d590[_0x3871c0(0x1662)]['ListId']})[_0x3871c0(0x2945)][_0x3871c0(0x146b)](function(_0x29f35e){const _0x1f63a1=_0x3871c0;return _0x23d590[_0x1f63a1(0x13a3)]['dispositions']=_0x29f35e,_0x49eeba[_0x1f63a1(0xf03)][_0x1f63a1(0x2328)]({'id':_0x23d590[_0x1f63a1(0x1662)][_0x1f63a1(0xb7c)]})[_0x1f63a1(0x2945)];})['then'](function(_0x415dcf){const _0x3620b3=_0x3871c0;_0x23d590[_0x3620b3(0x13a3)]['customFields']=_0x415dcf;return;});return;})[_0x48db60(0x146b)](function(){const _0x3ff2b3=_0x48db60;try{_0x23d590[_0x3ff2b3(0x13a3)][_0x3ff2b3(0x2477)]=_0x424f21['$parent']['$parent'][_0x3ff2b3(0x2870)]['vm'][_0x3ff2b3(0xdfc)],_0x23d590[_0x3ff2b3(0x13a3)][_0x3ff2b3(0x1324)]=_0x424f21[_0x3ff2b3(0x2870)]['$parent'][_0x3ff2b3(0x2870)]['vm'][_0x3ff2b3(0x170b)],_0x23d590['tab'][_0x3ff2b3(0x762)]=_0x424f21['$parent'][_0x3ff2b3(0x2870)][_0x3ff2b3(0x2870)]['vm'][_0x3ff2b3(0x1c5b)],_0x23d590[_0x3ff2b3(0x13a3)][_0x3ff2b3(0x1b86)]=_0x424f21['$parent']['$parent']['$parent']['vm'][_0x3ff2b3(0x4b7)],_0x23d590['tab'][_0x3ff2b3(0x239b)]=0x0,_0x23d590['contact'][_0x3ff2b3(0xb7c)]&&(_0x23d590[_0x3ff2b3(0x13a3)][_0x3ff2b3(0x2477)][_0x3ff2b3(0x19c7)]=_0x3f65c0()[_0x3ff2b3(0x11c)](_0x23d590[_0x3ff2b3(0x13a3)][_0x3ff2b3(0x2477)][_0x3ff2b3(0x19c7)],_0x3f65c0()[_0x3ff2b3(0x1dd6)](_0x23d590[_0x3ff2b3(0x13a3)][_0x3ff2b3(0x1324)]['rows'],{'id':_0x23d590['contact']['ListId']}),'id'),_0x23d590[_0x3ff2b3(0x25f4)]=_0x3f65c0()['find'](_0x23d590[_0x3ff2b3(0x13a3)][_0x3ff2b3(0x2477)][_0x3ff2b3(0x19c7)],{'id':_0x23d590[_0x3ff2b3(0x1662)]['ListId']}));}catch(_0x55e8fc){}_0x23d590['getHoppers'](),_0x23d590['getFinals'](),_0x23d590[_0x3ff2b3(0x2330)](),_0x23d590[_0x3ff2b3(0x44b)](),_0x23d590['getFaxAccounts'](),_0x23d590[_0x3ff2b3(0x1434)](),_0x23d590[_0x3ff2b3(0x28f)](),_0x23d590[_0x3ff2b3(0x12ef)](),_0x23d590[_0x3ff2b3(0x3e5)](),_0x23d590[_0x3ff2b3(0x233d)]();return;})[_0x48db60(0x146b)](function(){const _0x31da05=_0x48db60;return _0x49eeba[_0x31da05(0x18c3)]['getTags']({'id':_0x23d590[_0x31da05(0x1662)]['id']})[_0x31da05(0x2945)]['then'](function(_0x3d5955){const _0x1d7d19=_0x31da05;_0x23d590[_0x1d7d19(0x13a3)][_0x1d7d19(0x2331)]=_0x3f65c0()[_0x1d7d19(0x205)](_0x3d5955['rows'],'id');return;});});}else{_0x23d590[_0x48db60(0x1513)]=!![];if(_0x467a9b['autoCreate'])return console['log'](_0x48db60(0x239b)),_0x23d590['addNewContact']();return;}})[_0x206280(0x146b)](_0x357dc0(_0x23d590[_0x206280(0x1662)]));}function _0x494675(_0x1ed770,_0x179576,_0x1bf434,_0x4015d6){const _0x22e894=_0x211d39,_0x31a9c4=_0x179576['toLowerCase']();_0x7d84a3[_0x22e894(0x2615)]({'controller':_0x22e894(0xae9)+_0x179576+'InteractionDialogController','controllerAs':'vm','templateUrl':_0x3300de[_0x31a9c4],'parent':angular[_0x22e894(0x1853)](_0x2fb7c9[_0x22e894(0x2586)]),'clickOutsideToClose':![],'locals':{'account':_0x1bf434,'message':{'to':_0x31a9c4==_0x22e894(0x56b)?_0x4015d6:_0x4015d6[_0x22e894(0x10c8)](',')},'type':_0x22e894(0x16d9),'interaction':{'Contact':_0x23d590[_0x22e894(0x1662)]}},'fullscreen':!![]})[_0x22e894(0x146b)](function(_0x15ae04){const _0x3f44c6=_0x22e894;return _0x49eeba[_0x31a9c4+_0x3f44c6(0xb48)][_0x3f44c6(0x1443)]({'id':_0x1bf434['id']},_0x15ae04)[_0x3f44c6(0x2945)][_0x3f44c6(0x146b)](function(_0x33bf9a){const _0x2410f7=_0x3f44c6;if(_0x179576===_0x2410f7(0x3b1)){const _0x3e94f4=_0x33bf9a;if(_0x3e94f4&&_0x3e94f4[_0x2410f7(0x1c7c)]&&_0x3e94f4['Messages']['length']){if(_0x15ae04[_0x2410f7(0x2644)]&&_0x15ae04[_0x2410f7(0x2644)][_0x2410f7(0x402)])for(let _0x2806dd=0x0;_0x2806dd<_0x15ae04[_0x2410f7(0x2644)]['length'];_0x2806dd+=0x1){_0x49eeba[_0x2410f7(0x2527)]['update']({'id':_0x15ae04[_0x2410f7(0x2644)][_0x2806dd]['id']},{'MailMessageId':_0x3f65c0()[_0x2410f7(0x6d8)](_0x3e94f4[_0x2410f7(0x1c7c)])['id']});}_0x2fc198[_0x2410f7(0x1c75)]({'title':_0x2410f7(0x24ad),'msg':_0x2410f7(0x54f)});if(_0x3e94f4['closed']){_0x3e94f4[_0x2410f7(0x9e1)]=null;const _0x29589f=!_0x1bf434[_0x2410f7(0xc9e)],_0xde9d84=_0x23d590[_0x2410f7(0xe7b)][_0x2410f7(0x211c)]||_0x23d590['user'][_0x2410f7(0x5f7)]||_0x23d590[_0x2410f7(0xe7b)][_0x2410f7(0x1e9e)]||_0x23d590[_0x2410f7(0xe7b)][_0x2410f7(0xcc5)]||_0x23d590[_0x2410f7(0xe7b)]['faxPause'];_0x7d84a3[_0x2410f7(0x2615)]({'controller':_0x2410f7(0xe23),'controllerAs':'vm','templateUrl':_0x56fd44,'parent':angular[_0x2410f7(0x1853)](_0x2fb7c9[_0x2410f7(0x2586)]),'onRemoving':function(){const _0x1d8286=_0x2410f7;_0x23d590[_0x1d8286(0xe7b)]&&_0x1bf434[_0x1d8286(0xc9e)]&&!_0xde9d84&&_0x49eeba[_0x1d8286(0xe7b)][_0x1d8286(0x974)]({'id':_0x23d590[_0x1d8286(0xe7b)]['id']})[_0x1d8286(0x2945)]['catch'](function(_0x35ec0a){const _0xa8a195=_0x1d8286;console[_0xa8a195(0x1980)](_0x35ec0a);});},'clickOutsideToClose':_0x29589f,'escapeToClose':_0x29589f,'locals':{'user':_0x23d590['user'],'channel':_0x2410f7(0x56b),'interaction':_0x3e94f4}});}else _0x424f21[_0x2410f7(0x2870)]['vm'][_0x2410f7(0x2614)](_0x2410f7(0x56b),_0x3e94f4);}}else _0x2fc198['success']({'title':_0x2410f7(0x24ad),'msg':_0x2410f7(0x54f)}),_0x424f21['$parent']['vm'][_0x2410f7(0x2614)](_0x31a9c4,_0x33bf9a);})['catch'](function(_0x3447d5){const _0x43aafe=_0x3f44c6;if(_0x3447d5['data']&&_0x3447d5['data']['errors']&&_0x3447d5[_0x43aafe(0x524)]['errors'][_0x43aafe(0x402)])for(let _0x2d6a66=0x0;_0x2d6a66<_0x3447d5[_0x43aafe(0x524)]['errors'][_0x43aafe(0x402)];_0x2d6a66+=0x1){_0x2fc198[_0x43aafe(0x1980)]({'title':_0x3447d5['data'][_0x43aafe(0xcef)][_0x2d6a66][_0x43aafe(0x1142)],'msg':_0x3447d5[_0x43aafe(0x524)][_0x43aafe(0xcef)][_0x2d6a66][_0x43aafe(0x7fd)]});}else _0x31a9c4==='fax'?_0x2fc198[_0x43aafe(0x1980)]({'title':_0x43aafe(0x1c02),'msg':_0x3447d5[_0x43aafe(0x524)]?JSON[_0x43aafe(0x10bb)](_0x3447d5['data']):_0x3447d5[_0x43aafe(0x7fd)]||_0x3447d5[_0x43aafe(0xd5f)]()}):_0x2fc198[_0x43aafe(0x1980)]({'title':_0x3447d5[_0x43aafe(0x107b)]?_0x43aafe(0x262a)+_0x3447d5[_0x43aafe(0x107b)]+'\x20-\x20'+_0x3447d5['statusText']:_0x179576['toUpperCase']()+_0x43aafe(0x1f59),'msg':_0x3447d5[_0x43aafe(0x524)]?JSON[_0x43aafe(0x10bb)](_0x3447d5[_0x43aafe(0x524)][_0x43aafe(0x7fd)]):_0x3447d5[_0x43aafe(0x7fd)]||_0x3447d5[_0x43aafe(0xd5f)]()});});});}function _0x1313a6(){const _0x42dcb=_0x211d39;_0x23d590[_0x42dcb(0xcef)]=[],_0x49eeba[_0x42dcb(0x18c3)]['save'](_0x23d590[_0x42dcb(0x1662)])[_0x42dcb(0x2945)][_0x42dcb(0x146b)](function(_0x2cf792){const _0x10ca17=_0x42dcb;return _0x23d590[_0x10ca17(0x1662)]['id']=_0x2cf792['id'],_0x23d590[_0x10ca17(0x1513)]=![],_0x23d590[_0x10ca17(0x13a3)]['title']=_0x2cf792[_0x10ca17(0x1ed4)]||_0x2cf792[_0x10ca17(0x1d55)],_0x23d590[_0x10ca17(0x13a3)][_0x10ca17(0x1662)]=_0x23d590[_0x10ca17(0x1662)],_0x49eeba[_0x10ca17(0xe7b)][_0x10ca17(0x89b)]({'id':_0x3b064a[_0x10ca17(0xb12)]()['id'],'ids':[_0x2cf792['id']]});})[_0x42dcb(0x146b)](function(){const _0x1a7cab=_0x42dcb;_0x23d590['detailForm'][_0x1a7cab(0x1c96)]=!![],_0x23d590['customFieldsForm'][_0x1a7cab(0x1c96)]=!![],_0x2fc198[_0x1a7cab(0x1c75)]({'title':_0x1a7cab(0x2717),'msg':_0x23d590['contact'][_0x1a7cab(0x1ed4)]?_0x23d590['contact']['firstName']+_0x1a7cab(0x1386):''});})[_0x42dcb(0x146b)](function(){const _0x467956=_0x42dcb;return _0x49eeba[_0x467956(0x18c3)][_0x467956(0x13eb)]({'id':_0x23d590['contact']['id'],'ids':_0x23d590['tab'][_0x467956(0x2331)]})[_0x467956(0x2945)];})['catch'](function(_0x571b37){const _0x1a71e0=_0x42dcb;if(_0x571b37['data']&&_0x571b37[_0x1a71e0(0x524)][_0x1a71e0(0xcef)]&&_0x571b37[_0x1a71e0(0x524)][_0x1a71e0(0xcef)][_0x1a71e0(0x402)]){_0x23d590[_0x1a71e0(0xcef)]=_0x571b37['data'][_0x1a71e0(0xcef)]||[{'message':_0x571b37[_0x1a71e0(0xd5f)](),'type':'api.contact.save'}];for(let _0x17bfbe=0x0;_0x17bfbe<_0x571b37['data'][_0x1a71e0(0xcef)][_0x1a71e0(0x402)];_0x17bfbe+=0x1){_0x2fc198['error']({'title':_0x571b37[_0x1a71e0(0x524)][_0x1a71e0(0xcef)][_0x17bfbe][_0x1a71e0(0x1142)],'msg':_0x571b37[_0x1a71e0(0x524)]['errors'][_0x17bfbe]['message']});}}else _0x2fc198[_0x1a71e0(0x1980)]({'title':_0x571b37[_0x1a71e0(0x107b)]?_0x1a71e0(0x262a)+_0x571b37['status']+_0x1a71e0(0x1315)+_0x571b37[_0x1a71e0(0x167f)]:_0x1a71e0(0x33e),'msg':_0x571b37['data']?JSON['stringify'](_0x571b37[_0x1a71e0(0x524)][_0x1a71e0(0x7fd)]):_0x571b37['toString']()});});}function _0x190e61(){const _0x5807a6=_0x211d39;_0x23d590[_0x5807a6(0xcef)]=[],_0x49eeba['cmContact']['update']({'id':_0x23d590[_0x5807a6(0x1662)]['id']},_0x23d590[_0x5807a6(0x1662)])['$promise']['then'](function(_0x10b9ea){const _0x4b5e87=_0x5807a6;return _0x23d590[_0x4b5e87(0x13a3)]['title']=_0x10b9ea[_0x4b5e87(0x1ed4)]||_0x10b9ea[_0x4b5e87(0x1d55)],_0x23d590['tab']['contact']=_0x23d590[_0x4b5e87(0x1662)],_0x424f21[_0x4b5e87(0x2870)]['vm'][_0x4b5e87(0x85a)](),_0x49eeba[_0x4b5e87(0xe7b)]['addContacts']({'id':_0x3b064a[_0x4b5e87(0xb12)]()['id'],'ids':[_0x10b9ea['id']]});})[_0x5807a6(0x146b)](function(){const _0x578919=_0x5807a6;return _0x49eeba[_0x578919(0x18c3)][_0x578919(0x13eb)]({'id':_0x23d590[_0x578919(0x1662)]['id'],'ids':_0x23d590[_0x578919(0x13a3)][_0x578919(0x2331)]})[_0x578919(0x2945)];})[_0x5807a6(0x146b)](function(){const _0x3255aa=_0x5807a6;_0x23d590[_0x3255aa(0x2688)][_0x3255aa(0x1c96)]=!![],_0x23d590[_0x3255aa(0x277b)][_0x3255aa(0x1c96)]=!![],_0x2fc198[_0x3255aa(0x1c75)]({'title':_0x3255aa(0xc2d),'msg':_0x23d590['contact'][_0x3255aa(0x19eb)]?_0x23d590['contact'][_0x3255aa(0x19eb)]+_0x3255aa(0x6b0):''});})[_0x5807a6(0x129e)](function(_0x1c77e5){const _0x5a8e0c=_0x5807a6;if(_0x1c77e5['data']&&_0x1c77e5[_0x5a8e0c(0x524)]['errors']&&_0x1c77e5[_0x5a8e0c(0x524)]['errors'][_0x5a8e0c(0x402)]){_0x23d590[_0x5a8e0c(0xcef)]=_0x1c77e5[_0x5a8e0c(0x524)]['errors']||[{'message':_0x1c77e5['toString'](),'type':'api.contact.save'}];for(let _0x15c7f7=0x0;_0x15c7f7<_0x1c77e5['data'][_0x5a8e0c(0xcef)]['length'];_0x15c7f7+=0x1){_0x2fc198[_0x5a8e0c(0x1980)]({'title':_0x1c77e5[_0x5a8e0c(0x524)]['errors'][_0x15c7f7][_0x5a8e0c(0x1142)],'msg':_0x1c77e5[_0x5a8e0c(0x524)][_0x5a8e0c(0xcef)][_0x15c7f7][_0x5a8e0c(0x7fd)]});}}else _0x2fc198['error']({'title':_0x1c77e5[_0x5a8e0c(0x107b)]?'API:'+_0x1c77e5[_0x5a8e0c(0x107b)]+'\x20-\x20'+_0x1c77e5['statusText']:'api.contact.save','msg':_0x1c77e5['data']?JSON[_0x5a8e0c(0x10bb)](_0x1c77e5['data'][_0x5a8e0c(0x7fd)]):_0x1c77e5['toString']()});});}_0x424f21[_0x211d39(0x21e8)](_0x211d39(0x276a),function(){const _0x26a506=_0x211d39;_0x23d590[_0x26a506(0x276a)]===0x1&&(_0x23d590[_0x26a506(0x3b6)](),_0x23d590[_0x26a506(0x1c1d)](),_0x23d590[_0x26a506(0x2330)]());});let _0x172709=!![],_0x111eeb=0x1;_0x424f21['$watch'](_0x211d39(0x11a0),function(_0x1e76be,_0x160471){const _0x3093ff=_0x211d39;_0x172709?_0x4b9625(function(){_0x172709=![];}):(!_0x160471&&(_0x111eeb=_0x23d590[_0x3093ff(0x1562)][_0x3093ff(0x844)]),_0x1e76be!==_0x160471&&(_0x23d590[_0x3093ff(0x1562)][_0x3093ff(0x844)]=0x1),!_0x1e76be&&(_0x23d590[_0x3093ff(0x1562)][_0x3093ff(0x844)]=_0x111eeb),_0x23d590['getHoppers']());}),_0x424f21[_0x211d39(0x21e8)](_0x211d39(0x1f71),function(_0x15b1fd,_0x9ef03c){const _0x525410=_0x211d39;_0x172709?_0x4b9625(function(){_0x172709=![];}):(!_0x9ef03c&&(_0x111eeb=_0x23d590[_0x525410(0x2822)]['page']),_0x15b1fd!==_0x9ef03c&&(_0x23d590[_0x525410(0x2822)][_0x525410(0x844)]=0x1),!_0x15b1fd&&(_0x23d590[_0x525410(0x2822)]['page']=_0x111eeb),_0x23d590[_0x525410(0x1c1d)]());}),_0x424f21[_0x211d39(0x21e8)](_0x211d39(0x11f7),function(_0x36d9df,_0x2afc5d){const _0x2dcc38=_0x211d39;_0x172709?_0x4b9625(function(){_0x172709=![];}):(!_0x2afc5d&&(_0x111eeb=_0x23d590[_0x2dcc38(0x1536)][_0x2dcc38(0x844)]),_0x36d9df!==_0x2afc5d&&(_0x23d590[_0x2dcc38(0x1536)][_0x2dcc38(0x844)]=0x1),!_0x36d9df&&(_0x23d590['queryHistory'][_0x2dcc38(0x844)]=_0x111eeb),_0x23d590['getHistories']());});function _0x760351(_0x3f3573){return function(_0x309b4f){_0x23d590[_0x3f3573]=_0x309b4f||{'count':0x0,'rows':[]};};}function _0x261211(){const _0x50cfd6=_0x211d39;_0x23d590['contact']&&_0x23d590['contact']['id']&&(_0x23d590[_0x50cfd6(0x1562)][_0x50cfd6(0x932)]=_0x23d590['contact']['id'],_0x23d590[_0x50cfd6(0x1562)][_0x50cfd6(0x145d)]=(_0x23d590['queryHopper'][_0x50cfd6(0x844)]-0x1)*_0x23d590[_0x50cfd6(0x1562)][_0x50cfd6(0x221e)],_0x23d590['promise']=_0x49eeba[_0x50cfd6(0x39f)]['get'](_0x23d590['queryHopper'],_0x760351('hoppers'))[_0x50cfd6(0x2945)]);}function _0xcede8f(){const _0x553e91=_0x211d39;_0x23d590[_0x553e91(0x1662)]&&_0x23d590[_0x553e91(0x1662)]['id']&&(_0x23d590['queryFinal'][_0x553e91(0x932)]=_0x23d590[_0x553e91(0x1662)]['id'],_0x23d590[_0x553e91(0x2822)][_0x553e91(0x145d)]=(_0x23d590[_0x553e91(0x2822)][_0x553e91(0x844)]-0x1)*_0x23d590['queryFinal']['limit'],_0x23d590['promise']=_0x49eeba['cmHopperFinal'][_0x553e91(0x16b4)](_0x23d590[_0x553e91(0x2822)],_0x760351(_0x553e91(0x272a)))[_0x553e91(0x2945)]);}function _0x3bc8d3(){const _0x31cd97=_0x211d39;_0x23d590[_0x31cd97(0x1662)]&&_0x23d590['contact']['id']&&(_0x23d590[_0x31cd97(0x1536)][_0x31cd97(0x932)]=_0x23d590['contact']['id'],_0x23d590[_0x31cd97(0x1536)][_0x31cd97(0x145d)]=(_0x23d590[_0x31cd97(0x1536)]['page']-0x1)*_0x23d590[_0x31cd97(0x1536)][_0x31cd97(0x221e)],_0x23d590['promise']=_0x49eeba['cmHopperHistory'][_0x31cd97(0x16b4)](_0x23d590[_0x31cd97(0x1536)],_0x760351(_0x31cd97(0xf95)))[_0x31cd97(0x2945)]);}function _0x476c4e(){const _0x5bba31=_0x211d39;_0x49eeba[_0x5bba31(0x20c8)][_0x5bba31(0x16b4)]({'fields':_0x5bba31(0xa52),'sort':_0x5bba31(0x12f2),'nolimit':!![]})[_0x5bba31(0x2945)][_0x5bba31(0x146b)](function(_0x56369f){const _0xdd2a12=_0x5bba31;_0x23d590[_0xdd2a12(0x25d1)]=_0x56369f||{'count':0x0,'rows':[]};})['catch'](function(_0x148afc){const _0x81c3c4=_0x5bba31;if(_0x148afc['data']&&_0x148afc[_0x81c3c4(0x524)][_0x81c3c4(0xcef)]&&_0x148afc['data'][_0x81c3c4(0xcef)][_0x81c3c4(0x402)]){_0x23d590[_0x81c3c4(0xcef)]=_0x148afc['data']['errors']||[{'message':_0x148afc[_0x81c3c4(0xd5f)](),'type':_0x81c3c4(0x564)}];for(let _0x1cfafe=0x0;_0x1cfafe<_0x148afc[_0x81c3c4(0x524)][_0x81c3c4(0xcef)]['length'];_0x1cfafe+=0x1){_0x2fc198['error']({'title':_0x148afc[_0x81c3c4(0x524)]['errors'][_0x1cfafe][_0x81c3c4(0x1142)],'msg':_0x148afc['data'][_0x81c3c4(0xcef)][_0x1cfafe][_0x81c3c4(0x7fd)]});}}else _0x2fc198[_0x81c3c4(0x1980)]({'title':_0x148afc[_0x81c3c4(0x107b)]?_0x81c3c4(0x262a)+_0x148afc[_0x81c3c4(0x107b)]+_0x81c3c4(0x1315)+_0x148afc[_0x81c3c4(0x167f)]:_0x81c3c4(0x564),'msg':_0x148afc[_0x81c3c4(0x524)]?JSON[_0x81c3c4(0x10bb)](_0x148afc[_0x81c3c4(0x524)][_0x81c3c4(0x7fd)]):_0x148afc['toString']()});});}function _0x44da66(){const _0x306696=_0x211d39;_0x49eeba[_0x306696(0xe7b)]['getMailAccounts']({'id':_0x23d590['user']['id'],'nolimit':!![]})[_0x306696(0x2945)][_0x306696(0x146b)](function(_0x2df14a){const _0xbd53e4=_0x306696;_0x23d590[_0xbd53e4(0x1593)]=_0x2df14a||{'count':0x0,'rows':[]};})[_0x306696(0x129e)](function(_0x929990){const _0x29b466=_0x306696;if(_0x929990[_0x29b466(0x524)]&&_0x929990[_0x29b466(0x524)][_0x29b466(0xcef)]&&_0x929990[_0x29b466(0x524)][_0x29b466(0xcef)]['length']){_0x23d590[_0x29b466(0xcef)]=_0x929990[_0x29b466(0x524)]['errors']||[{'message':_0x929990[_0x29b466(0xd5f)](),'type':_0x29b466(0x1654)}];for(let _0x7664d0=0x0;_0x7664d0<_0x929990[_0x29b466(0x524)][_0x29b466(0xcef)]['length'];_0x7664d0+=0x1){_0x2fc198[_0x29b466(0x1980)]({'title':_0x929990[_0x29b466(0x524)][_0x29b466(0xcef)][_0x7664d0][_0x29b466(0x1142)],'msg':_0x929990[_0x29b466(0x524)][_0x29b466(0xcef)][_0x7664d0]['message']});}}else _0x2fc198[_0x29b466(0x1980)]({'title':_0x929990[_0x29b466(0x107b)]?'API:'+_0x929990[_0x29b466(0x107b)]+'\x20-\x20'+_0x929990[_0x29b466(0x167f)]:'api.mail.account','msg':_0x929990['data']?JSON['stringify'](_0x929990[_0x29b466(0x524)][_0x29b466(0x7fd)]):_0x929990[_0x29b466(0xd5f)]()});});}function _0x3cff2e(){const _0x2a0e64=_0x211d39;_0x49eeba[_0x2a0e64(0xe7b)][_0x2a0e64(0x12d0)]({'id':_0x23d590['user']['id'],'nolimit':!![]})[_0x2a0e64(0x2945)]['then'](function(_0x4ac5f2){const _0xc39045=_0x2a0e64;_0x23d590[_0xc39045(0x8a9)]=_0x4ac5f2||{'count':0x0,'rows':[]};})['catch'](function(_0x3ae55c){const _0x37c94f=_0x2a0e64;if(_0x3ae55c[_0x37c94f(0x524)]&&_0x3ae55c[_0x37c94f(0x524)][_0x37c94f(0xcef)]&&_0x3ae55c[_0x37c94f(0x524)]['errors'][_0x37c94f(0x402)]){_0x23d590['errors']=_0x3ae55c[_0x37c94f(0x524)][_0x37c94f(0xcef)]||[{'message':_0x3ae55c[_0x37c94f(0xd5f)](),'type':_0x37c94f(0x1ef0)}];for(let _0x31cb0d=0x0;_0x31cb0d<_0x3ae55c[_0x37c94f(0x524)][_0x37c94f(0xcef)][_0x37c94f(0x402)];_0x31cb0d+=0x1){_0x2fc198[_0x37c94f(0x1980)]({'title':_0x3ae55c[_0x37c94f(0x524)]['errors'][_0x31cb0d][_0x37c94f(0x1142)],'msg':_0x3ae55c['data'][_0x37c94f(0xcef)][_0x31cb0d][_0x37c94f(0x7fd)]});}}else _0x2fc198['error']({'title':_0x3ae55c[_0x37c94f(0x107b)]?_0x37c94f(0x262a)+_0x3ae55c[_0x37c94f(0x107b)]+'\x20-\x20'+_0x3ae55c[_0x37c94f(0x167f)]:_0x37c94f(0x1ef0),'msg':_0x3ae55c['data']?JSON['stringify'](_0x3ae55c[_0x37c94f(0x524)][_0x37c94f(0x7fd)]):_0x3ae55c[_0x37c94f(0xd5f)]()});});}function _0x1584ac(){const _0x21e40b=_0x211d39;_0x49eeba['user'][_0x21e40b(0x1434)]({'id':_0x23d590[_0x21e40b(0xe7b)]['id'],'nolimit':!![]})[_0x21e40b(0x2945)][_0x21e40b(0x146b)](function(_0x5f742d){const _0x5a03d4=_0x21e40b;_0x23d590[_0x5a03d4(0x1c34)]=_0x5f742d||{'count':0x0,'rows':[]};})[_0x21e40b(0x129e)](function(_0x3ab094){const _0x7a6697=_0x21e40b;if(_0x3ab094[_0x7a6697(0x524)]&&_0x3ab094[_0x7a6697(0x524)]['errors']&&_0x3ab094[_0x7a6697(0x524)][_0x7a6697(0xcef)]['length']){_0x23d590['errors']=_0x3ab094[_0x7a6697(0x524)]['errors']||[{'message':_0x3ab094[_0x7a6697(0xd5f)](),'type':_0x7a6697(0xaf2)}];for(let _0x31fc35=0x0;_0x31fc35<_0x3ab094[_0x7a6697(0x524)][_0x7a6697(0xcef)]['length'];_0x31fc35+=0x1){_0x2fc198[_0x7a6697(0x1980)]({'title':_0x3ab094[_0x7a6697(0x524)]['errors'][_0x31fc35][_0x7a6697(0x1142)],'msg':_0x3ab094[_0x7a6697(0x524)][_0x7a6697(0xcef)][_0x31fc35]['message']});}}else _0x2fc198[_0x7a6697(0x1980)]({'title':_0x3ab094[_0x7a6697(0x107b)]?_0x7a6697(0x262a)+_0x3ab094[_0x7a6697(0x107b)]+_0x7a6697(0x1315)+_0x3ab094[_0x7a6697(0x167f)]:_0x7a6697(0xaf2),'msg':_0x3ab094[_0x7a6697(0x524)]?JSON[_0x7a6697(0x10bb)](_0x3ab094[_0x7a6697(0x524)]['message']):_0x3ab094[_0x7a6697(0xd5f)]()});});}function _0x279920(){const _0xc163e=_0x211d39;_0x49eeba[_0xc163e(0xe7b)][_0xc163e(0x28f)]({'id':_0x23d590[_0xc163e(0xe7b)]['id'],'nolimit':!![]})[_0xc163e(0x2945)][_0xc163e(0x146b)](function(_0xf5be02){const _0x327a9a=_0xc163e;_0x23d590[_0x327a9a(0x23c)]=_0xf5be02||{'count':0x0,'rows':[]};})['catch'](function(_0x13a2f7){const _0x1656d2=_0xc163e;if(_0x13a2f7[_0x1656d2(0x524)]&&_0x13a2f7['data'][_0x1656d2(0xcef)]&&_0x13a2f7[_0x1656d2(0x524)][_0x1656d2(0xcef)][_0x1656d2(0x402)]){_0x23d590['errors']=_0x13a2f7[_0x1656d2(0x524)][_0x1656d2(0xcef)]||[{'message':_0x13a2f7[_0x1656d2(0xd5f)](),'type':_0x1656d2(0x2846)}];for(let _0x298f4a=0x0;_0x298f4a<_0x13a2f7[_0x1656d2(0x524)][_0x1656d2(0xcef)][_0x1656d2(0x402)];_0x298f4a+=0x1){_0x2fc198[_0x1656d2(0x1980)]({'title':_0x13a2f7[_0x1656d2(0x524)]['errors'][_0x298f4a]['type'],'msg':_0x13a2f7[_0x1656d2(0x524)][_0x1656d2(0xcef)][_0x298f4a][_0x1656d2(0x7fd)]});}}else _0x2fc198[_0x1656d2(0x1980)]({'title':_0x13a2f7[_0x1656d2(0x107b)]?'API:'+_0x13a2f7[_0x1656d2(0x107b)]+_0x1656d2(0x1315)+_0x13a2f7['statusText']:_0x1656d2(0x2846),'msg':_0x13a2f7[_0x1656d2(0x524)]?JSON[_0x1656d2(0x10bb)](_0x13a2f7[_0x1656d2(0x524)][_0x1656d2(0x7fd)]):_0x13a2f7[_0x1656d2(0xd5f)]()});});}function _0x4b17eb(){const _0x56d4aa=_0x211d39;_0x49eeba[_0x56d4aa(0xe7b)][_0x56d4aa(0x12ef)]({'id':_0x23d590[_0x56d4aa(0xe7b)]['id'],'nolimit':!![]})['$promise']['then'](function(_0x2edfa2){const _0x1a78fb=_0x56d4aa;_0x23d590[_0x1a78fb(0x1690)]=_0x2edfa2||{'count':0x0,'rows':[]};})['catch'](function(_0x305dca){const _0x51dd77=_0x56d4aa;if(_0x305dca['data']&&_0x305dca[_0x51dd77(0x524)]['errors']&&_0x305dca[_0x51dd77(0x524)][_0x51dd77(0xcef)][_0x51dd77(0x402)]){_0x23d590[_0x51dd77(0xcef)]=_0x305dca[_0x51dd77(0x524)]['errors']||[{'message':_0x305dca[_0x51dd77(0xd5f)](),'type':'api.openchannel.account'}];for(let _0x534256=0x0;_0x534256<_0x305dca['data'][_0x51dd77(0xcef)][_0x51dd77(0x402)];_0x534256+=0x1){_0x2fc198['error']({'title':_0x305dca[_0x51dd77(0x524)][_0x51dd77(0xcef)][_0x534256][_0x51dd77(0x1142)],'msg':_0x305dca['data'][_0x51dd77(0xcef)][_0x534256][_0x51dd77(0x7fd)]});}}else _0x2fc198['error']({'title':_0x305dca[_0x51dd77(0x107b)]?_0x51dd77(0x262a)+_0x305dca[_0x51dd77(0x107b)]+_0x51dd77(0x1315)+_0x305dca[_0x51dd77(0x167f)]:_0x51dd77(0x28e4),'msg':_0x305dca['data']?JSON['stringify'](_0x305dca[_0x51dd77(0x524)][_0x51dd77(0x7fd)]):_0x305dca[_0x51dd77(0xd5f)]()});});}function _0x2b129e(){const _0x496186=_0x211d39;_0x49eeba[_0x496186(0xe7b)][_0x496186(0x3e5)]({'id':_0x23d590['user']['id'],'nolimit':!![]})[_0x496186(0x2945)]['then'](function(_0x1a5b73){const _0x13bf63=_0x496186;_0x23d590[_0x13bf63(0x282c)]=_0x1a5b73||{'count':0x0,'rows':[]};})[_0x496186(0x129e)](function(_0x3d0c75){const _0xd578fa=_0x496186;if(_0x3d0c75[_0xd578fa(0x524)]&&_0x3d0c75['data'][_0xd578fa(0xcef)]&&_0x3d0c75[_0xd578fa(0x524)][_0xd578fa(0xcef)]['length']){_0x23d590['errors']=_0x3d0c75[_0xd578fa(0x524)][_0xd578fa(0xcef)]||[{'message':_0x3d0c75['toString'](),'type':_0xd578fa(0x2108)}];for(let _0x17ecc3=0x0;_0x17ecc3<_0x3d0c75[_0xd578fa(0x524)][_0xd578fa(0xcef)][_0xd578fa(0x402)];_0x17ecc3+=0x1){_0x2fc198[_0xd578fa(0x1980)]({'title':_0x3d0c75[_0xd578fa(0x524)][_0xd578fa(0xcef)][_0x17ecc3][_0xd578fa(0x1142)],'msg':_0x3d0c75[_0xd578fa(0x524)][_0xd578fa(0xcef)][_0x17ecc3]['message']});}}else _0x2fc198['error']({'title':_0x3d0c75['status']?_0xd578fa(0x262a)+_0x3d0c75[_0xd578fa(0x107b)]+_0xd578fa(0x1315)+_0x3d0c75[_0xd578fa(0x167f)]:'api.chatAccounts.account','msg':_0x3d0c75[_0xd578fa(0x524)]?JSON['stringify'](_0x3d0c75[_0xd578fa(0x524)][_0xd578fa(0x7fd)]):_0x3d0c75['toString']()});});}function _0x17fa2b(){const _0x4dc750=_0x211d39;_0x49eeba[_0x4dc750(0xe7b)][_0x4dc750(0x233d)]({'id':_0x23d590[_0x4dc750(0xe7b)]['id'],'nolimit':!![]})[_0x4dc750(0x2945)][_0x4dc750(0x146b)](function(_0x1d56f3){_0x23d590['lists']=_0x1d56f3||{'count':0x0,'rows':[]};})['catch'](function(_0x3db8e9){const _0x3235ee=_0x4dc750;if(_0x3db8e9[_0x3235ee(0x524)]&&_0x3db8e9['data']['errors']&&_0x3db8e9[_0x3235ee(0x524)]['errors']['length']){_0x23d590['errors']=_0x3db8e9[_0x3235ee(0x524)]['errors']||[{'message':_0x3db8e9[_0x3235ee(0xd5f)](),'type':_0x3235ee(0x287d)}];for(let _0x4cc62b=0x0;_0x4cc62b<_0x3db8e9[_0x3235ee(0x524)][_0x3235ee(0xcef)][_0x3235ee(0x402)];_0x4cc62b+=0x1){_0x2fc198[_0x3235ee(0x1980)]({'title':_0x3db8e9['data']['errors'][_0x4cc62b][_0x3235ee(0x1142)],'msg':_0x3db8e9['data'][_0x3235ee(0xcef)][_0x4cc62b]['message']});}}else _0x2fc198[_0x3235ee(0x1980)]({'title':_0x3db8e9['status']?_0x3235ee(0x262a)+_0x3db8e9[_0x3235ee(0x107b)]+_0x3235ee(0x1315)+_0x3db8e9[_0x3235ee(0x167f)]:_0x3235ee(0x287d),'msg':_0x3db8e9[_0x3235ee(0x524)]?JSON[_0x3235ee(0x10bb)](_0x3db8e9[_0x3235ee(0x524)]['message']):_0x3db8e9[_0x3235ee(0xd5f)]()});});}function _0x8a043f(_0x52430d){const _0x4ed8ea=_0x211d39;_0x7d84a3[_0x4ed8ea(0x2615)]({'controller':_0x4ed8ea(0x11f3),'controllerAs':'vm','templateUrl':_0x3f981f,'parent':angular[_0x4ed8ea(0x1853)](_0x2fb7c9[_0x4ed8ea(0x2586)]),'targetEvent':_0x52430d,'clickOutsideToClose':!![],'resolve':{'contact':[_0x4ed8ea(0x362),function(_0x32fa3d){const _0x580173=_0x4ed8ea;return _0x32fa3d['resolve'](_0x580173(0x17d4),{'id':_0x23d590[_0x580173(0x1662)]['id']});}],'lists':[_0x4ed8ea(0x362),function(_0x99fac2){const _0xccb469=_0x4ed8ea;return _0x99fac2[_0xccb469(0x2922)](_0xccb469(0x22b6),{'id':_0x3b064a['getCurrentUser']()['id'],'fields':'id,name'});}]},'locals':{'contacts':[]}});}function _0x4c9d76(_0xdd7f15){const _0x2f3ecc=_0x211d39;_0x7d84a3[_0x2f3ecc(0x2615)]({'controller':'MergeContactDialogController','controllerAs':'vm','templateUrl':_0x1cccb8,'parent':angular['element'](_0x2fb7c9[_0x2f3ecc(0x2586)]),'targetEvent':_0xdd7f15,'clickOutsideToClose':!![],'resolve':{'contact':[_0x2f3ecc(0x362),function(_0x44b3d7){return _0x44b3d7['resolve']('cmContact@get',{'id':_0x23d590['contact']['id']});}],'lists':[_0x2f3ecc(0x362),function(_0x313272){const _0x4c608d=_0x2f3ecc;return _0x313272[_0x4c608d(0x2922)](_0x4c608d(0x22b6),{'id':_0x3b064a[_0x4c608d(0xb12)]()['id'],'fields':_0x4c608d(0x7a7)});}]},'locals':{'contacts':[]}})['then'](function(_0x56532a){const _0x4bad85=_0x2f3ecc;if(_0x56532a){for(const _0x55bf30 in _0x424f21[_0x4bad85(0x2870)][_0x4bad85(0x2870)]['$parent']['vm'][_0x4bad85(0x16fe)]){if(typeof _0x424f21['$parent'][_0x4bad85(0x2870)][_0x4bad85(0x2870)]['vm'][_0x4bad85(0x16fe)][_0x55bf30]!=='undefined'){const _0x27a5d5=_0x424f21['$parent']['$parent'][_0x4bad85(0x2870)]['vm'][_0x4bad85(0x16fe)][_0x55bf30];_0x27a5d5&&_0x27a5d5[_0x4bad85(0x1662)]&&(_0x56532a['from']&&_0x56532a[_0x4bad85(0xfa5)]['id']&&_0x56532a[_0x4bad85(0xfa5)]['id']===_0x27a5d5[_0x4bad85(0x1662)]['id']&&_0x424f21['$parent'][_0x4bad85(0x2870)]['$parent']['vm'][_0x4bad85(0x10c3)](_0x27a5d5),_0x56532a['to']&&_0x56532a['to']['id']&&_0x56532a['to']['id']===_0x27a5d5[_0x4bad85(0x1662)]['id']&&_0x424f21[_0x4bad85(0x2870)]['$parent'][_0x4bad85(0x2870)]['vm'][_0x4bad85(0x10c3)](_0x27a5d5));}}_0x56532a['new']&&_0x424f21[_0x4bad85(0x2870)][_0x4bad85(0x2870)][_0x4bad85(0x2870)]['vm'][_0x4bad85(0xd14)](_0x56532a[_0x4bad85(0x16d9)]);}});}function _0x10a0d8(_0x51b56c,_0x1f0249){const _0x3ebfd1=_0x211d39;if(_0x23d590[_0x3ebfd1(0x1513)]){_0x2fc198[_0x3ebfd1(0x1980)]({'title':_0x3ebfd1(0xa13),'msg':'Please\x20save\x20the\x20contact\x20before\x20disposing!'});return;}_0x7d84a3[_0x3ebfd1(0x2615)]({'controller':_0x3ebfd1(0x2879),'controllerAs':'vm','templateUrl':_0x10a784,'parent':angular[_0x3ebfd1(0x1853)](_0x2fb7c9[_0x3ebfd1(0x2586)]),'targetEvent':_0x1f0249,'clickOutsideToClose':!_0x1f0249[_0x3ebfd1(0xc9e)],'escapeToClose':!_0x1f0249[_0x3ebfd1(0xc9e)],'resolve':{'globalDispositions':[_0x3ebfd1(0x362),function(_0x48f231){const _0x475eb0=_0x3ebfd1;return _0x48f231['resolve'](_0x475eb0(0x1041),{'MailAccountId':_0x475eb0(0x203c),'FaxAccountId':_0x475eb0(0x203c),'SmsAccountId':'null','WhatsappAccountId':_0x475eb0(0x203c),'OpenchannelAccountId':_0x475eb0(0x203c),'ChatWebsiteId':'null','ListId':_0x475eb0(0x203c)});}]},'onRemoving':function(){const _0x52bc1a=_0x3ebfd1;_0x23d590[_0x52bc1a(0xe7b)]&&_0x1f0249[_0x52bc1a(0xc9e)]&&_0x49eeba[_0x52bc1a(0xe7b)][_0x52bc1a(0x974)]({'id':_0x23d590[_0x52bc1a(0xe7b)]['id']})['$promise'][_0x52bc1a(0x129e)](function(_0x551a3d){const _0x2bf20b=_0x52bc1a;console[_0x2bf20b(0x1980)](_0x551a3d);});},'locals':{'dispositions':_0x23d590[_0x3ebfd1(0x13a3)][_0x3ebfd1(0x2647)],'contact':_0x23d590['contact'],'call':_0x1f0249}})[_0x3ebfd1(0x146b)](function(_0x30e52d){const _0x335869=_0x3ebfd1;if(_0x30e52d){if(_0x30e52d===_0x335869(0x1dc2))_0x2fc198[_0x335869(0x1c75)]({'title':_0xfe69fe['instant'](_0x335869(0x1a28)),'msg':_0xfe69fe[_0x335869(0xde)](_0x335869(0x14d5))+'\x20'+_0x30e52d});else{if(_0x30e52d===_0x335869(0x2642)||_0x30e52d===_0x335869(0x2347)){}else _0x2fc198[_0x335869(0x1c75)]({'title':_0xfe69fe[_0x335869(0xde)](_0x335869(0x1a28)),'msg':_0xfe69fe[_0x335869(0xde)](_0x335869(0x1904))});}}for(const _0x38feca in _0x424f21[_0x335869(0x2870)][_0x335869(0x2870)]['$parent']['vm'][_0x335869(0x16fe)]){if(typeof _0x424f21[_0x335869(0x2870)][_0x335869(0x2870)][_0x335869(0x2870)]['vm'][_0x335869(0x16fe)][_0x38feca]!==_0x335869(0x2274)){const _0x5ce276=_0x424f21[_0x335869(0x2870)]['$parent'][_0x335869(0x2870)]['vm'][_0x335869(0x16fe)][_0x38feca];_0x3f65c0()[_0x335869(0x2640)](_0x5ce276[_0x335869(0x197c)],function(_0x4e06cb){const _0x135355=_0x335869;return _0x4e06cb['uniqueid']===_0x1f0249[_0x135355(0x4f8)];});}}_0x424f21[_0x335869(0x2870)]['vm']['updateLocalStorage']();});}function _0x1f99ff(_0x5a7334){const _0x2332a2=_0x211d39;return _0x49eeba['cmList'][_0x2332a2(0x2328)]({'id':_0x5a7334})[_0x2332a2(0x2945)]['then'](function(_0xceadec){const _0x43ceca=_0x2332a2;_0x23d590[_0x43ceca(0x13a3)][_0x43ceca(0x10ba)]=_0xceadec;})[_0x2332a2(0x129e)](function(_0x43ecb8){const _0x3b0352=_0x2332a2;_0x2fc198['error']({'title':_0x43ecb8[_0x3b0352(0x107b)]?'API:'+_0x43ecb8[_0x3b0352(0x107b)]+_0x3b0352(0x1315)+_0x43ecb8[_0x3b0352(0x167f)]:'LIST:GETCustomFields','msg':_0x43ecb8[_0x3b0352(0x524)]?JSON[_0x3b0352(0x10bb)](_0x43ecb8[_0x3b0352(0x524)]):_0x43ecb8[_0x3b0352(0xd5f)]()});});}function _0x359d20(_0x7f8a68){return _0x7f8a68===null?undefined:new Date(_0x7f8a68);}function _0x221856(_0x5883b3){const _0x4cce37=_0x211d39;try{_0x5883b3['formData']=_0x4cbf1a()[_0x4cce37(0x18c)](_0x5883b3[_0x4cce37(0x21e6)],{'contact':_0x23d590[_0x4cce37(0x1662)],'user':_0x23d590['user']});}catch(_0x4af49d){console[_0x4cce37(0x1980)](_0x4af49d);}try{_0x424f21['$parent'][_0x4cce37(0x2870)][_0x4cce37(0x2870)]['vm'][_0x4cce37(0x465)]({'type':_0x4cce37(0x1640),'title':_0x5883b3[_0x4cce37(0x19eb)],'icon':'icon-script','color':_0x4cce37(0xd8a),'project':_0x5883b3,'contact':_0x23d590['contact']});}catch(_0x41a714){_0x2fc198[_0x4cce37(0x1980)]({'title':_0x4cce37(0x1bb0),'msg':'The\x20project\x20cannot\x20be\x20started'+JSON[_0x4cce37(0x10bb)](_0x41a714)});}}function _0x83a8c4(_0x263232){const _0x495e42=_0x211d39;return _0x3b064a[_0x495e42(0x2434)](_0x263232);}_0x424f21[_0x211d39(0x16ad)](_0x211d39(0x116f),function(){});}const _0x59c03c=_0x5a4560;;_0x1e335a[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q','toasty',_0x313a4d(0x247f),'dispositions',_0x313a4d(0x4bb),_0x313a4d(0x133b),_0x313a4d(0x1662),_0x313a4d(0xa87),_0x313a4d(0x214b)];function _0x1e335a(_0x465302,_0x5be011,_0x927be5,_0x248190,_0x3b37e1,_0x1b5c03,_0x515d3e,_0x274cee,_0x3da0d8,_0x671902){const _0x4ae00b=_0x313a4d,_0x201ede=this;_0x201ede[_0x4ae00b(0x19f6)]=![],_0x201ede[_0x4ae00b(0x2762)]=![],_0x201ede['queueCampaign']=undefined,_0x201ede[_0x4ae00b(0x17c4)]={'count':0x0,'rows':[]},_0x201ede[_0x4ae00b(0x2647)]=_0x3b37e1[_0x4ae00b(0x19c7)],_0x201ede[_0x4ae00b(0x4bb)]=_0x1b5c03['rows'],_0x201ede[_0x4ae00b(0x1662)]=_0x274cee,_0x201ede[_0x4ae00b(0x133b)]=_0x515d3e,_0x201ede[_0x4ae00b(0xd38)]=_0x201ede[_0x4ae00b(0x133b)]['note']||undefined,_0x201ede['dialerCall']=![],_0x201ede[_0x4ae00b(0x26c7)]=![],_0x201ede[_0x4ae00b(0x10fa)]={'minDate':new Date(),'dateTime':new Date()};_0x201ede['call']&&_0x201ede[_0x4ae00b(0x133b)][_0x4ae00b(0x85b)]&&_0x201ede[_0x4ae00b(0x133b)][_0x4ae00b(0x85b)]['xmd-voicequeueid']?(_0x201ede[_0x4ae00b(0x1922)]=!![],_0x248190[_0x4ae00b(0x1446)][_0x4ae00b(0x1e5f)]({'id':_0x201ede['call'][_0x4ae00b(0x85b)][_0x4ae00b(0x540)]})[_0x4ae00b(0x2945)][_0x4ae00b(0x146b)](function(_0x4bfb94){const _0x3cf5bf=_0x4ae00b;_0x4bfb94&&_0x4bfb94[_0x3cf5bf(0x19c7)]&&_0x4bfb94['rows'][_0x3cf5bf(0x402)]>0x0&&(_0x201ede[_0x3cf5bf(0x26c7)]=!![]);})[_0x4ae00b(0x129e)](function(_0x3fb441){const _0x3884df=_0x4ae00b;_0x927be5[_0x3884df(0x1980)]({'title':_0x3fb441[_0x3884df(0x107b)]?_0x3884df(0x262a)+_0x3fb441[_0x3884df(0x107b)]+_0x3884df(0x1315)+_0x3fb441[_0x3884df(0x167f)]:_0x3884df(0xcc8),'msg':_0x3fb441[_0x3884df(0x524)]?JSON[_0x3884df(0x10bb)](_0x3fb441['data']):_0x3fb441[_0x3884df(0xd5f)]()});})):_0x248190[_0x4ae00b(0xe7b)]['getQueues']({'id':_0x3da0d8[_0x4ae00b(0xb12)]()['id'],'fields':_0x4ae00b(0xecf),'channel':_0x4ae00b(0xe6),'type':'outbound','nolimit':!![]})['$promise'][_0x4ae00b(0x146b)](function(_0xf8fc23){_0x201ede['queueCampaigns']=_0xf8fc23||{'count':0x0,'rows':[]};})[_0x4ae00b(0x129e)](function(_0x53ebb4){const _0x52a4a2=_0x4ae00b;console[_0x52a4a2(0x1980)](_0x53ebb4);});_0x201ede['onDispositionChange']=_0x1c4833,_0x201ede[_0x4ae00b(0xb7d)]=_0x354469,_0x201ede[_0x4ae00b(0x2799)]=_0x3a1e4d,_0x201ede[_0x4ae00b(0x13f3)]=_0x23eecf,_0x201ede[_0x4ae00b(0x7db)]=_0x5ed8b0;function _0x1c4833(_0x279b84){const _0x876a4d=_0x4ae00b,_0x3609c9=_0x3f65c0()[_0x876a4d(0x11c)](_0x201ede[_0x876a4d(0x2647)],_0x201ede[_0x876a4d(0x4bb)],'id');switch(_0x279b84){case _0x876a4d(0xb68):_0x201ede[_0x876a4d(0x85f)]=_0x201ede[_0x876a4d(0xef2)],_0x201ede[_0x876a4d(0xf38)]=_0x3f65c0()[_0x876a4d(0x1360)](_0x3609c9,[_0x876a4d(0x11b5),_0x201ede[_0x876a4d(0xef2)]['id']]),_0x201ede[_0x876a4d(0x187a)]=undefined,_0x201ede[_0x876a4d(0xc58)]=undefined,_0x201ede[_0x876a4d(0x109b)]=![];break;case'second':_0x201ede['selectedDisposition']=_0x201ede[_0x876a4d(0x187a)],_0x201ede[_0x876a4d(0x109b)]=_0x3f65c0()[_0x876a4d(0x1360)](_0x3609c9,[_0x876a4d(0x11b5),_0x201ede[_0x876a4d(0x187a)]['id']]);break;case _0x876a4d(0xb15):_0x201ede['selectedDisposition']=_0x201ede[_0x876a4d(0xc58)];break;}}function _0x354469(_0x33ff68){const _0x5b772f=_0x4ae00b;if(_0x33ff68===_0x5b772f(0xfe1))_0x201ede[_0x5b772f(0x187a)]=undefined,_0x201ede[_0x5b772f(0xc58)]=undefined,_0x201ede[_0x5b772f(0x85f)]=_0x201ede[_0x5b772f(0xef2)];else _0x33ff68==='third'&&(_0x201ede['thirdLevelDisposition']=undefined,_0x201ede[_0x5b772f(0x85f)]=_0x201ede[_0x5b772f(0x187a)]);}function _0x43dbd2(){const _0x2b7bd3=_0x4ae00b,_0x562026=_0x201ede[_0x2b7bd3(0x85f)]?_0x201ede[_0x2b7bd3(0x85f)][_0x2b7bd3(0x19eb)][_0x2b7bd3(0x256e)]():undefined;return{'id':_0x201ede[_0x2b7bd3(0x133b)]['uniqueid'],'disposition':_0x201ede[_0x2b7bd3(0xef2)]?_0x201ede[_0x2b7bd3(0xef2)]['name']:null,'secondDisposition':_0x201ede[_0x2b7bd3(0x187a)]?_0x201ede[_0x2b7bd3(0x187a)][_0x2b7bd3(0x19eb)]:null,'thirdDisposition':_0x201ede['thirdLevelDisposition']?_0x201ede[_0x2b7bd3(0xc58)][_0x2b7bd3(0x19eb)]:null,'dispositionat':_0x2deec6()(),'amd':_0x562026===_0x2b7bd3(0xabd),'fax':_0x562026===_0x2b7bd3(0x22d9),'blacklist':_0x562026===_0x2b7bd3(0x16c0),'recallme':_0x562026===_0x2b7bd3(0x2762),'UserId':_0x562026===_0x2b7bd3(0x2762)?_0x3da0d8[_0x2b7bd3(0xb12)]()['id']:null,'OwnerId':_0x3da0d8['getCurrentUser']()['id']};}function _0x488bc7(){const _0x2187df=_0x4ae00b;return _0x248190['voiceCallReport']['update']({'id':_0x201ede['call'][_0x2187df(0x4f8)],'userDisposition':_0x201ede[_0x2187df(0xef2)]?_0x201ede[_0x2187df(0xef2)][_0x2187df(0x19eb)]:null,'userSecondDisposition':_0x201ede[_0x2187df(0x187a)]?_0x201ede[_0x2187df(0x187a)][_0x2187df(0x19eb)]:null,'userThirdDisposition':_0x201ede[_0x2187df(0xc58)]?_0x201ede[_0x2187df(0xc58)][_0x2187df(0x19eb)]:null,'note':_0x201ede['note'],'UserId':_0x3da0d8[_0x2187df(0xb12)]()['id'],'ContactId':_0x201ede[_0x2187df(0x1662)]['id']})[_0x2187df(0x2945)]['catch'](function(_0x3a91d9){const _0xfb978e=_0x2187df;_0x927be5[_0xfb978e(0x1980)]({'title':_0x3a91d9['status']?_0xfb978e(0x262a)+_0x3a91d9['status']+_0xfb978e(0x1315)+_0x3a91d9['statusText']:_0xfb978e(0x230b),'msg':_0x3a91d9[_0xfb978e(0x524)]?JSON[_0xfb978e(0x10bb)](_0x3a91d9[_0xfb978e(0x524)]):_0x3a91d9[_0xfb978e(0xd5f)]()});});}function _0x27abbf(){const _0x4b73ad=_0x4ae00b;return _0x248190[_0x4b73ad(0x1688)][_0x4b73ad(0x16b4)]({'uniqueid':_0x201ede[_0x4b73ad(0x133b)]['uniqueid']})[_0x4b73ad(0x2945)][_0x4b73ad(0x146b)](function(_0x2fb332){const _0xa378ba=_0x4b73ad;if(_0x2fb332)for(let _0x35e6ab=0x0;_0x35e6ab<_0x2fb332[_0xa378ba(0x19c7)]['length'];_0x35e6ab+=0x1){_0x248190[_0xa378ba(0x1688)][_0xa378ba(0x18e1)]({'id':_0x2fb332[_0xa378ba(0x19c7)][_0x35e6ab]['id'],'userDisposition':_0x201ede['firstLevelDisposition']?_0x201ede[_0xa378ba(0xef2)][_0xa378ba(0x19eb)]:null,'userSecondDisposition':_0x201ede[_0xa378ba(0x187a)]?_0x201ede[_0xa378ba(0x187a)][_0xa378ba(0x19eb)]:null,'userThirdDisposition':_0x201ede['thirdLevelDisposition']?_0x201ede[_0xa378ba(0xc58)][_0xa378ba(0x19eb)]:null})[_0xa378ba(0x2945)][_0xa378ba(0x129e)](function(_0xe57b04){const _0xe98fb=_0xa378ba;_0x927be5[_0xe98fb(0x1980)]({'title':_0xe57b04['status']?'API:'+_0xe57b04[_0xe98fb(0x107b)]+_0xe98fb(0x1315)+_0xe57b04[_0xe98fb(0x167f)]:'SYSTEM:UPDATEVOICERECORDING','msg':_0xe57b04[_0xe98fb(0x524)]?JSON[_0xe98fb(0x10bb)](_0xe57b04[_0xe98fb(0x524)]):_0xe57b04[_0xe98fb(0xd5f)]()});});}})['catch'](function(_0x306032){const _0x1a0694=_0x4b73ad;_0x927be5[_0x1a0694(0x1980)]({'title':_0x306032[_0x1a0694(0x107b)]?_0x1a0694(0x262a)+_0x306032[_0x1a0694(0x107b)]+'\x20-\x20'+_0x306032[_0x1a0694(0x167f)]:_0x1a0694(0x5a2),'msg':_0x306032[_0x1a0694(0x524)]?JSON[_0x1a0694(0x10bb)](_0x306032[_0x1a0694(0x524)]):_0x306032['toString']()});});}function _0x271dac(){const _0x535627=_0x4ae00b;return _0x248190[_0x535627(0x2018)][_0x535627(0x18e1)](_0x43dbd2())[_0x535627(0x2945)][_0x535627(0x129e)](function(_0x4743ce){const _0x57b601=_0x535627;_0x927be5['error']({'title':_0x4743ce[_0x57b601(0x107b)]?_0x57b601(0x262a)+_0x4743ce[_0x57b601(0x107b)]+'\x20-\x20'+_0x4743ce[_0x57b601(0x167f)]:_0x57b601(0x33b),'msg':_0x4743ce[_0x57b601(0x524)]?JSON[_0x57b601(0x10bb)](_0x4743ce[_0x57b601(0x524)]):_0x4743ce[_0x57b601(0xd5f)]()});});}function _0x4f7848(){const _0x5290a5=_0x4ae00b;return _0x248190[_0x5290a5(0x22e3)][_0x5290a5(0x18e1)](_0x43dbd2())[_0x5290a5(0x2945)][_0x5290a5(0x129e)](function(_0x14e0f2){const _0x6796aa=_0x5290a5;_0x927be5[_0x6796aa(0x1980)]({'title':_0x14e0f2[_0x6796aa(0x107b)]?_0x6796aa(0x262a)+_0x14e0f2['status']+_0x6796aa(0x1315)+_0x14e0f2[_0x6796aa(0x167f)]:'SYSTEM:UPDATECMHOPPERHISTORY','msg':_0x14e0f2['data']?JSON[_0x6796aa(0x10bb)](_0x14e0f2[_0x6796aa(0x524)]):_0x14e0f2[_0x6796aa(0xd5f)]()});});}function _0x3afdb7(_0x169d83){const _0x11a3d3=_0x4ae00b;if(_0x169d83)return _0x248190['voiceQueue'][_0x11a3d3(0x1e5f)]({'id':_0x169d83,'type':_0x11a3d3(0xc9c),'fields':'id'})['$promise'][_0x11a3d3(0x146b)](function(_0x1efd50){const _0x1d2383=_0x11a3d3,_0x2aef66=[];if(_0x1efd50&&_0x1efd50[_0x1d2383(0x51c)]>0x0){for(let _0xf7e347=0x0;_0xf7e347<_0x1efd50['rows'][_0x1d2383(0x402)];_0xf7e347+=0x1){const _0x563464=angular['copy'](_0x201ede['contact']);delete _0x563464['id'],_0x563464['ListId']=_0x1efd50[_0x1d2383(0x19c7)][_0xf7e347]['id'],_0x2aef66[_0x1d2383(0x1f47)](_0x248190['cmContact'][_0x1d2383(0x1e3)](_0x563464)['$promise']);}return _0x5be011['all'](_0x2aef66);}_0x927be5['success']({'title':_0x671902['instant'](_0x1d2383(0x1a28)),'msg':_0x671902['instant']('DASHBOARDS.NOTAVAILABLE')+'\x20'+_0x201ede['selectedDisposition']}),_0x201ede['selectedDisposition']=undefined;return;})[_0x11a3d3(0x146b)](function(_0x3f3f3a){const _0x25bb95=_0x11a3d3;_0x3f3f3a&&_0x465302[_0x25bb95(0x2458)](_0x201ede[_0x25bb95(0x85f)]?_0x201ede[_0x25bb95(0x85f)][_0x25bb95(0x19eb)][_0x25bb95(0x1c37)]():'');})['catch'](function(_0xfd3815){const _0x19251f=_0x11a3d3;_0x927be5['error']({'title':_0xfd3815[_0x19251f(0x107b)]?'API:'+_0xfd3815[_0x19251f(0x107b)]+'\x20-\x20'+_0xfd3815[_0x19251f(0x167f)]:'SYSTEM:UPDATEBLACKLIST','msg':_0xfd3815[_0x19251f(0x524)]?JSON[_0x19251f(0x10bb)](_0xfd3815[_0x19251f(0x524)]):_0xfd3815['toString']()}),_0x465302[_0x19251f(0x2458)](_0x201ede[_0x19251f(0x85f)]?_0x201ede['selectedDisposition'][_0x19251f(0x19eb)][_0x19251f(0x1c37)]():'');});}function _0x3a1e4d(_0x2490ce){const _0x94d185=_0x4ae00b;_0x201ede['selectedDisposition']=_0x3f65c0()['isNil'](_0x2490ce)?_0x201ede[_0x94d185(0x85f)]:{'name':_0x2490ce};_0x2490ce&&(_0x201ede[_0x94d185(0xef2)]=_0x201ede[_0x94d185(0x85f)]);_0x201ede[_0x94d185(0x133b)]['note']=_0x201ede['note'],_0x488bc7(),_0x27abbf();_0x201ede['dialerCall']&&(_0x271dac(),_0x4f7848());if(!_0x3f65c0()[_0x94d185(0x1b36)](_0x201ede['selectedDisposition']))switch(_0x201ede[_0x94d185(0x85f)][_0x94d185(0x19eb)][_0x94d185(0x256e)]()){case _0x94d185(0x16c0):_0x201ede[_0x94d185(0x133b)]&&_0x201ede['call'][_0x94d185(0x85b)]&&_0x201ede[_0x94d185(0x133b)][_0x94d185(0x85b)]['xmd-voicequeueid']&&_0x3afdb7(_0x201ede['call'][_0x94d185(0x85b)]['xmd-voicequeueid']);break;case _0x94d185(0x16c7):_0x201ede[_0x94d185(0x19f6)]=!![],_0x201ede[_0x94d185(0x2762)]=![];break;case'recallme':_0x201ede['isReschedule']=!![],_0x201ede[_0x94d185(0x2762)]=!![];break;default:_0x465302['hide'](_0x201ede['selectedDisposition']?_0x201ede[_0x94d185(0x85f)][_0x94d185(0x19eb)][_0x94d185(0x1c37)]():'');}else _0x465302[_0x94d185(0x2458)](_0x201ede[_0x94d185(0x85f)]?_0x201ede['selectedDisposition'][_0x94d185(0x19eb)][_0x94d185(0x1c37)]():'');}function _0x23eecf(){_0x465302['cancel']();}function _0x5ed8b0(){const _0x546865=_0x4ae00b;return _0x201ede[_0x546865(0x1cdf)]=!![],_0x248190[_0x546865(0x39f)]['save']({'phone':_0x201ede[_0x546865(0x1662)][_0x546865(0x1d55)],'UserId':_0x201ede[_0x546865(0x2762)]?_0x3da0d8[_0x546865(0xb12)]()['id']:null,'ContactId':_0x201ede[_0x546865(0x1662)]['id'],'ListId':_0x201ede[_0x546865(0x1662)][_0x546865(0xb7c)],'VoiceQueueId':_0x201ede['dialerCall']?Number(_0x201ede[_0x546865(0x133b)][_0x546865(0x540)]):_0x201ede[_0x546865(0x1f0f)]?_0x201ede['queueCampaign']['id']:null,'scheduledat':_0x2deec6()(_0x201ede[_0x546865(0x10fa)][_0x546865(0xb63)])[_0x546865(0x22b0)](_0x546865(0x1ce2)),'priority':0x3,'recallme':_0x201ede[_0x546865(0x2762)],'OwnerId':_0x3da0d8[_0x546865(0xb12)]()['id']})[_0x546865(0x2945)][_0x546865(0x146b)](function(){const _0x4ce606=_0x546865;_0x201ede[_0x4ce606(0x1922)]&&_0x248190[_0x4ce606(0x2018)][_0x4ce606(0x18e1)]({'id':_0x201ede[_0x4ce606(0x133b)]['uniqueid'],'rescheduled':!![],'rescheduledat':_0x2deec6()(_0x201ede[_0x4ce606(0x10fa)][_0x4ce606(0xb63)])[_0x4ce606(0x22b0)](_0x4ce606(0x1ce2)),'recallme':_0x201ede[_0x4ce606(0x2762)]})[_0x4ce606(0x2945)][_0x4ce606(0x129e)](function(_0x1c33dc){const _0x5d83ae=_0x4ce606;console[_0x5d83ae(0x1a74)](_0x1c33dc);});})[_0x546865(0x146b)](function(){const _0x23b732=_0x546865;_0x927be5[_0x23b732(0x1c75)]({'title':_0x671902[_0x23b732(0xde)](_0x23b732(0x1a28)),'msg':_0x671902[_0x23b732(0xde)](_0x23b732(0x14d5))+'\x20'+(_0x201ede[_0x23b732(0x2762)]?_0x671902['instant'](_0x23b732(0x1ac6)):_0x671902[_0x23b732(0xde)](_0x23b732(0x1ffe)))});})['catch'](function(){const _0x5b8f74=_0x546865;_0x465302['hide'](_0x201ede['selectedDisposition']?_0x201ede[_0x5b8f74(0x85f)][_0x5b8f74(0x19eb)]['toUpperCase']():'');})[_0x546865(0x1ec6)](function(){const _0x11c733=_0x546865;_0x201ede[_0x11c733(0x1cdf)]=![],_0x465302[_0x11c733(0x2458)](_0x201ede[_0x11c733(0x85f)]?_0x201ede[_0x11c733(0x85f)]['name'][_0x11c733(0x1c37)]():'');});}}const _0x24e225=_0x1e335a;;_0x1a8fd2[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$state',_0x313a4d(0xd08),_0x313a4d(0x10e8),_0x313a4d(0x1abe),'toasty',_0x313a4d(0x247f),_0x313a4d(0x1662),_0x313a4d(0x133b),_0x313a4d(0xa87),_0x313a4d(0x2762),_0x313a4d(0x214b)];function _0x1a8fd2(_0x5af920,_0x2977b5,_0x3c1dcf,_0xb11a59,_0x5769a2,_0x2830fe,_0x67a12d,_0x1e33b6,_0x1e3742,_0x5f3521,_0x2639e6,_0x5e5842){const _0x45e553=_0x313a4d,_0x44cb66=this;_0x44cb66[_0x45e553(0x133b)]=_0x1e3742,_0x44cb66['contact']=_0x1e33b6,_0x44cb66[_0x45e553(0x10fa)]={'minDate':new Date(),'dateTime':new Date()};function _0x2e5c77(){const _0x2a8f6b=_0x45e553;return _0x44cb66[_0x2a8f6b(0x1cdf)]=!![],_0x67a12d[_0x2a8f6b(0x39f)][_0x2a8f6b(0x1e3)]({'phone':_0x44cb66[_0x2a8f6b(0x1662)][_0x2a8f6b(0x1d55)],'UserId':_0x2639e6?_0x5f3521[_0x2a8f6b(0xb12)]()['id']:null,'ContactId':_0x44cb66[_0x2a8f6b(0x1662)]['id'],'ListId':_0x44cb66['contact']['ListId'],'VoiceQueueId':Number(_0x44cb66[_0x2a8f6b(0x133b)][_0x2a8f6b(0x540)]),'scheduledat':_0x2deec6()(_0x44cb66[_0x2a8f6b(0x10fa)][_0x2a8f6b(0xb63)])[_0x2a8f6b(0x22b0)](_0x2a8f6b(0x1ce2)),'priority':0x3,'recallme':_0x2639e6,'OwnerId':_0x5f3521['getCurrentUser']()['id']})[_0x2a8f6b(0x2945)][_0x2a8f6b(0x146b)](function(){const _0x4f6eb1=_0x2a8f6b;_0x67a12d[_0x4f6eb1(0x2018)]['update']({'id':_0x44cb66[_0x4f6eb1(0x133b)][_0x4f6eb1(0x4f8)],'rescheduled':!![],'rescheduledat':_0x2deec6()(_0x44cb66[_0x4f6eb1(0x10fa)][_0x4f6eb1(0xb63)])[_0x4f6eb1(0x22b0)](_0x4f6eb1(0x1ce2)),'recallme':_0x2639e6})[_0x4f6eb1(0x2945)];})[_0x2a8f6b(0x146b)](function(){const _0x26e600=_0x2a8f6b;_0x2830fe[_0x26e600(0x1c75)]({'title':_0x5e5842[_0x26e600(0xde)]('APP.INFO'),'msg':_0x5e5842[_0x26e600(0xde)](_0x26e600(0x14d5))+'\x20'+(_0x2639e6?_0x5e5842[_0x26e600(0xde)](_0x26e600(0x1ac6)):_0x5e5842[_0x26e600(0xde)](_0x26e600(0x1ffe)))}),_0xb11a59[_0x26e600(0x2458)]();})[_0x2a8f6b(0x129e)](function(_0x3d1c16){_0xb11a59['cancel'](_0x3d1c16);})[_0x2a8f6b(0x1ec6)](function(){const _0x576033=_0x2a8f6b;_0x44cb66[_0x576033(0x1cdf)]=![];});}function _0x5cfc27(){const _0x1f6347=_0x45e553;_0xb11a59[_0x1f6347(0x6c3)]();}_0x44cb66[_0x45e553(0x13f3)]=_0x5cfc27,_0x44cb66[_0x45e553(0x1eaf)]=_0x2e5c77;}const _0x55b80f=_0x1a8fd2;;_0x3ad9a9[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),_0x313a4d(0x214b),_0x313a4d(0x247f),_0x313a4d(0x1471),'callNumber',_0x313a4d(0x1fe4)];function _0x3ad9a9(_0x5cf76a,_0x546de9,_0x22df84,_0x439e25,_0x469280,_0x1eaee8){const _0x5398c3=_0x313a4d,_0x463777=this;_0x463777[_0x5398c3(0x20af)]=_0x469280,_0x463777['page']=0x1;function _0x11651f(){const _0x275b51=_0x5398c3;_0x22df84[_0x275b51(0xf03)][_0x275b51(0x16b4)]({'fields':_0x275b51(0x7a7),'nolimit':!![]})[_0x275b51(0x2945)][_0x275b51(0x146b)](function(_0xdb85d5){const _0x1ab073=_0x275b51;_0x463777[_0x1ab073(0x1324)]=_0x3f65c0()[_0x1ab073(0x1b28)](_0xdb85d5[_0x1ab073(0x19c7)])[_0x1ab073(0x194)]('id')[_0x1ab073(0x1de4)]('name')[_0x1ab073(0x175d)](),_0x22df84[_0x1ab073(0x14a9)][_0x1ab073(0x16b4)]({'fields':_0x1ab073(0x255d),'nolimit':!![]})[_0x1ab073(0x2945)][_0x1ab073(0x146b)](function(_0x8d0792){const _0x20de40=_0x1ab073;_0x463777[_0x20de40(0x10ba)]=_0x8d0792[_0x20de40(0x19c7)],_0x463777['contacts']=_0x3f65c0()['map'](_0x439e25,function(_0x2cd627){const _0x581d77=_0x20de40;return Object[_0x581d77(0x627)](_0x2cd627)[_0x581d77(0x1df5)](function(_0x223796){const _0x5af83b=_0x581d77;if(Number(_0x2cd627[_0x223796])===Number(_0x463777[_0x5af83b(0x20af)])){if(_0x223796[_0x5af83b(0xd4d)]('cf_')){const _0x61505c=_0x3f65c0()['find'](_0x463777[_0x5af83b(0x10ba)],['id',Number(_0x3f65c0()['trimStart'](_0x223796,_0x5af83b(0x21ef)))]);_0x2cd627[_0x5af83b(0x23e7)]=_0x61505c?_0x61505c[_0x5af83b(0x280c)]:_0x546de9['instant']('APP.UNKNOWN');}else _0x2cd627[_0x5af83b(0x23e7)]=_0x223796;}}),_0x2cd627;});});})['then'](function(){const _0x2bbbf9=_0x275b51;_0x463777[_0x2bbbf9(0x19cb)]=_0x3f65c0()[_0x2bbbf9(0xe6f)](_0x439e25,0x4);})[_0x275b51(0x129e)](function(_0xb3e9e1){const _0x4010da=_0x275b51;_0x1eaee8[_0x4010da(0x1980)]({'title':_0xb3e9e1[_0x4010da(0x107b)]?_0x4010da(0x262a)+_0xb3e9e1[_0x4010da(0x107b)]+'\x20-\x20'+_0xb3e9e1[_0x4010da(0x167f)]:_0x4010da(0x1ce7),'msg':_0xb3e9e1[_0x4010da(0x524)]?JSON['stringify'](_0xb3e9e1[_0x4010da(0x524)][_0x4010da(0x7fd)]):_0xb3e9e1[_0x4010da(0xd5f)]()});});}function _0x156ade(){_0x5cf76a['hide'](_0x463777['selectedContact']);}_0x463777[_0x5398c3(0x3d4)]=_0x11651f,_0x463777[_0x5398c3(0x1aa3)]=_0x156ade;}const _0x23d687=_0x3ad9a9;;_0x3bda35[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$interval'];function _0x3bda35(_0x10683a,_0x32e111){const _0x11fcfa=_0x313a4d;let _0x17dc37=_0x32e111(function(){},0x3e7);_0x10683a[_0x11fcfa(0x16ad)](_0x11fcfa(0x116f),function(){const _0x1676e1=_0x11fcfa;_0x17dc37&&(_0x32e111[_0x1676e1(0x6c3)](_0x17dc37),_0x17dc37=null);});}const _0x107d40=_0x3bda35;;_0x46dfca[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1abe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),'$window',_0x313a4d(0x214b),_0x313a4d(0x247f),'Auth',_0x313a4d(0x279d)];function _0x46dfca(_0x1a7d37,_0x34dd85,_0x9817c,_0x3a8c8f,_0x5e4adc,_0x1b93a2,_0x3ece86,_0x282d5d,_0x44555d){const _0x174f2f=_0x313a4d,_0x4eb1ca=this;_0x4eb1ca[_0x174f2f(0x181b)]=![],_0x4eb1ca[_0x174f2f(0x258a)]=!![],_0x4eb1ca['disable']=[],_0x4eb1ca[_0x174f2f(0x2647)]={'count':0x0,'rows':[]},_0x4eb1ca[_0x174f2f(0x2321)]=_0x282d5d['getCurrentUser'](),_0x4eb1ca[_0x174f2f(0x1b32)]={},_0x4eb1ca[_0x174f2f(0x2097)]={'count':0x0,'rows':[]},_0x4eb1ca[_0x174f2f(0x1a56)]={'fields':_0x174f2f(0x655),'type':_0x174f2f(0xc9c),'queuecallerexitreason':_0x174f2f(0x1b0d)+','+_0x174f2f(0x1f0a),'sort':'-updatedAt','limit':0xa,'page':0x1},_0x4eb1ca['getAbandonedCalls']=_0x59f60a,_0x4eb1ca[_0x174f2f(0x2482)]=_0x36140c,_0x4eb1ca[_0x174f2f(0x3d4)]=_0x5b6c09,_0x4eb1ca['reserved']=_0x5ccc83,_0x4eb1ca[_0x174f2f(0x25ed)]=_0x3e29fe,_0x4eb1ca[_0x174f2f(0x133b)]=_0x1a7d37['$parent'][_0x174f2f(0x2870)][_0x174f2f(0x2870)][_0x174f2f(0x2870)]['vm'][_0x174f2f(0x133b)],_0x4eb1ca[_0x174f2f(0x15b)]=_0x1a7d37[_0x174f2f(0x2870)][_0x174f2f(0x2870)][_0x174f2f(0x2870)]['$parent']['vm'][_0x174f2f(0x15b)],_0x4eb1ca['webcall']=_0x1a7d37[_0x174f2f(0x2870)][_0x174f2f(0x2870)][_0x174f2f(0x2870)][_0x174f2f(0x2870)]['vm'][_0x174f2f(0x1d38)],_0x4eb1ca[_0x174f2f(0x1db5)]=_0x1a7d37['$parent'][_0x174f2f(0x2870)][_0x174f2f(0x2870)][_0x174f2f(0x2870)]['vm'][_0x174f2f(0x1db5)],_0x4eb1ca['onSearchBarCollapse']=_0x50268f,_0x4eb1ca[_0x174f2f(0x28b7)]=_0x4d41e1,_0x44555d[_0x174f2f(0x13b7)](_0x174f2f(0xfd4),_0x12df89),_0x44555d[_0x174f2f(0x13b7)](_0x174f2f(0x1d59),_0x21d1c2),_0x5b6c09();function _0x5b6c09(){const _0x49b682=_0x174f2f;return _0x3ece86['disposition']['get']({'MailAccountId':_0x49b682(0x203c),'FaxAccountId':_0x49b682(0x203c),'SmsAccountId':_0x49b682(0x203c),'WhatsappAccountId':_0x49b682(0x203c),'OpenchannelAccountId':'null','ChatWebsiteId':_0x49b682(0x203c)})[_0x49b682(0x2945)][_0x49b682(0x146b)](function(_0x1c7809){const _0x42af9a=_0x49b682;return _0x4eb1ca[_0x42af9a(0x2647)]=_0x1c7809?_0x1c7809[_0x42af9a(0x19c7)]:[],_0x3ece86[_0x42af9a(0xe7b)][_0x42af9a(0xc53)]({'id':_0x282d5d[_0x42af9a(0xb12)]()['id'],'fields':_0x42af9a(0x7a7),'channel':_0x42af9a(0xe6),'type':_0x42af9a(0xc9c),'nolimit':!![]})[_0x42af9a(0x2945)];})[_0x49b682(0x146b)](function(_0x45a69f){const _0x50d54a=_0x49b682;_0x4eb1ca[_0x50d54a(0x1b32)]=_0x45a69f||{'count':0x0,'rows':[]},_0x4eb1ca['queuesMap']=_0x3f65c0()[_0x50d54a(0x1de4)](_0x3f65c0()['keyBy'](_0x4eb1ca['queues']['rows'],_0x50d54a(0x19eb)),_0x50d54a(0x19eb)),_0x4eb1ca['defaultQueues']=_0x4eb1ca[_0x50d54a(0x1b32)][_0x50d54a(0x19c7)]?_0x3f65c0()[_0x50d54a(0x205)](_0x4eb1ca[_0x50d54a(0x1b32)][_0x50d54a(0x19c7)],_0x50d54a(0x19eb))[_0x50d54a(0xb47)](','):undefined;})[_0x49b682(0x146b)](function(){_0x4eb1ca['quickFilters']=_0x11a287();})['catch'](function(_0x21a7ca){const _0x4a55a1=_0x49b682;console[_0x4a55a1(0x1a74)](_0x21a7ca);})[_0x49b682(0x1ec6)](function(){const _0x52c9b3=_0x49b682;let _0x56499b=_0x5e4adc[_0x52c9b3(0x1c39)][_0x52c9b3(0x1519)](_0x52c9b3(0x10af)+_0x4eb1ca[_0x52c9b3(0x2321)]['id']);if(_0x56499b)_0x56499b=JSON[_0x52c9b3(0x975)](_0x56499b),_0x56499b[_0x52c9b3(0x1a56)]&&(_0x4eb1ca[_0x52c9b3(0x1a56)]=_0x3f65c0()[_0x52c9b3(0x168d)](_0x56499b['query'],_0x3f65c0()['omit'](_0x4eb1ca[_0x52c9b3(0x1a56)],[_0x52c9b3(0x28fa),'limit',_0x52c9b3(0x844)])),_0x4eb1ca['query']=_0x3f65c0()[_0x52c9b3(0x2432)](_0x4eb1ca['query'],_0x52c9b3(0x1dd6)));else{const _0x4299fd={'$gte':_0x2deec6()()[_0x52c9b3(0x1fcc)](_0x52c9b3(0x12b7))['utcOffset'](0x0,!![])[_0x52c9b3(0x22b0)](),'$lte':_0x2deec6()()['endOf']('month')[_0x52c9b3(0x65f)](0x0,!![])[_0x52c9b3(0x22b0)]()};_0x4eb1ca[_0x52c9b3(0x1a56)][_0x52c9b3(0xc68)]=_0x4299fd;}_0x4eb1ca[_0x52c9b3(0x2516)]=_0x4eb1ca[_0x52c9b3(0x1a56)]['filter']?!![]:![],_0x4eb1ca[_0x52c9b3(0x1d84)]();});}function _0x11a287(){const _0x4feecd=_0x174f2f;return[{'name':_0x4feecd(0x294),'key':_0x4feecd(0xc68),'type':'date','label':'DASHBOARDS.SELECT_DATE'},{'name':_0x4feecd(0x294a),'key':'UserId','type':_0x4feecd(0xa5f),'label':_0x4feecd(0x127),'customOptions':[{'value':_0x4eb1ca[_0x4feecd(0x2321)]['id'],'translate':_0x4feecd(0x6d9)},{'value':_0x4feecd(0xa03),'translate':_0x4feecd(0x38d)},{'value':undefined,'translate':_0x4feecd(0x175e)}]},{'name':_0x4feecd(0x1cd3),'key':_0x4feecd(0x1c72),'type':_0x4feecd(0x175c),'label':_0x4feecd(0x285c),'options':_0x4eb1ca[_0x4feecd(0x1b32)][_0x4feecd(0x19c7)],'ngValue':_0x4feecd(0x19eb),'clearAll':![]}];}function _0x59f60a(){const _0x3a0789=_0x174f2f;_0x4eb1ca[_0x3a0789(0x1a56)][_0x3a0789(0x1c72)]=_0x4eb1ca[_0x3a0789(0x1a56)]['queue']||_0x4eb1ca['defaultQueues']['split'](','),_0x4eb1ca[_0x3a0789(0x1a56)][_0x3a0789(0x145d)]=(_0x4eb1ca[_0x3a0789(0x1a56)][_0x3a0789(0x844)]-0x1)*_0x4eb1ca['query']['limit'];const _0x3289ff={'query':_0x4eb1ca[_0x3a0789(0x1a56)]};_0x5e4adc[_0x3a0789(0x1c39)][_0x3a0789(0xc32)](_0x3a0789(0x10af)+_0x4eb1ca['currentUser']['id'],JSON[_0x3a0789(0x10bb)](_0x3289ff)),_0x4eb1ca[_0x3a0789(0xb9c)]=_0x3ece86[_0x3a0789(0x19ef)][_0x3a0789(0x1456)](_0x4eb1ca[_0x3a0789(0x1a56)],_0x5ae3d0)[_0x3a0789(0x2945)];}function _0x5ae3d0(_0x3e93cb){const _0x671335=_0x174f2f;_0x4eb1ca['abandonedCalls']=_0x3e93cb||{'count':0x0,'rows':[]},_0x4eb1ca[_0x671335(0x258a)]=!![];}function _0x3e29fe(_0x71f59d){const _0x313aa9=_0x174f2f;_0x9817c['show']({'controller':_0x313aa9(0x1dd0),'controllerAs':'vm','templateUrl':'app/main/apps/dashboards/views/general/agent/home/abandoned/dispose/dialog.html','parent':angular[_0x313aa9(0x1853)](_0x3a8c8f[_0x313aa9(0x2586)]),'targetEvent':_0x71f59d,'resolve':{'globalDispositions':['apiResolver',function(_0x3d770f){const _0x577e22=_0x313aa9;return _0x3d770f[_0x577e22(0x2922)](_0x577e22(0x1041),{'MailAccountId':_0x577e22(0x203c),'FaxAccountId':_0x577e22(0x203c),'SmsAccountId':_0x577e22(0x203c),'WhatsappAccountId':_0x577e22(0x203c),'OpenchannelAccountId':'null','ChatWebsiteId':_0x577e22(0x203c),'ListId':_0x577e22(0x203c)});}]},'locals':{'dispositions':_0x4eb1ca[_0x313aa9(0x2647)],'call':_0x71f59d}})['then'](function(_0xe1d1af){const _0xbb28c=_0x313aa9;if(_0xe1d1af){_0x4eb1ca[_0xbb28c(0x1cdf)][_0x71f59d['id']]=!![];const _0x4ae633={'id':_0x71f59d['id'],'lastAssignedTo':_0x71f59d[_0xbb28c(0x25ff)]?_0x4eb1ca['currentUser'][_0xbb28c(0x19eb)]:null,'assigned':_0x71f59d['assigned'],'disposition':_0xe1d1af[_0xbb28c(0x1614)]||null,'secondDisposition':_0xe1d1af['secondLevel']||null,'thirdDisposition':_0xe1d1af[_0xbb28c(0x5da)]||null};_0x3ece86[_0xbb28c(0x19ef)][_0xbb28c(0x18e1)](_0x4ae633)[_0xbb28c(0x2945)][_0xbb28c(0x129e)](function(_0x42e71f){const _0x557cbb=_0xbb28c;console[_0x557cbb(0x1a74)](_0x42e71f);})['finally'](function(){const _0x2ebf41=_0xbb28c;_0x4eb1ca[_0x2ebf41(0x1cdf)][_0x71f59d['id']]=![];});}});}function _0x50268f(){const _0x3f07b7=_0x174f2f;_0x4eb1ca['query'][_0x3f07b7(0x1dd6)]=undefined,_0x4eb1ca[_0x3f07b7(0x2516)]=![];}function _0x4d41e1(){const _0x72882e=_0x174f2f;_0x4eb1ca[_0x72882e(0x2516)]=!![];}let _0x2342bc=!![],_0x70efcb=0x1;_0x1a7d37[_0x174f2f(0x21e8)](_0x174f2f(0x2114),function(_0xc25f2d,_0x234dd1){const _0x401619=_0x174f2f;_0x2342bc?_0x34dd85(function(){_0x2342bc=![];}):(!_0x234dd1&&(_0x70efcb=_0x4eb1ca[_0x401619(0x1a56)]['page']),_0xc25f2d!==_0x234dd1&&(_0x4eb1ca['query'][_0x401619(0x844)]=0x1),!_0xc25f2d&&(_0x4eb1ca[_0x401619(0x1a56)][_0x401619(0x844)]=_0x70efcb),_0x4eb1ca[_0x401619(0x1d84)]());});function _0x36140c(){const _0x4a3393=_0x174f2f;_0x4eb1ca[_0x4a3393(0x181b)]=![],_0x4eb1ca[_0x4a3393(0x1d84)]();}function _0x5ccc83(_0x57a5eb){const _0x12204=_0x174f2f;_0x57a5eb&&(_0x4eb1ca['disable'][_0x57a5eb['id']]=!![],_0x3ece86[_0x12204(0x19ef)][_0x12204(0x18e1)]({'id':_0x57a5eb['id'],'lastAssignedTo':_0x57a5eb[_0x12204(0x25ff)]?_0x4eb1ca[_0x12204(0x2321)][_0x12204(0x19eb)]:null,'assigned':_0x57a5eb[_0x12204(0x25ff)]})[_0x12204(0x2945)][_0x12204(0x129e)](function(_0x1f424e){const _0x1fd441=_0x12204;console[_0x1fd441(0x1a74)](_0x1f424e);})['finally'](function(){const _0x44d5d3=_0x12204;_0x4eb1ca[_0x44d5d3(0x1cdf)][_0x57a5eb['id']]=![];}));}function _0x12df89(_0x1e0768){const _0x98e456=_0x174f2f;_0x4eb1ca[_0x98e456(0x212b)]&&_0x1e0768[_0x98e456(0x1142)]==='outbound'&&_0x4eb1ca[_0x98e456(0x212b)][_0x1e0768[_0x98e456(0x1c72)]]&&(_0x4eb1ca['showTooltip']=!![]);}function _0x21d1c2(_0x3582fa){const _0x1d36c9=_0x174f2f;if(_0x3582fa){const _0xa2684a=_0x3f65c0()['findIndex'](_0x4eb1ca[_0x1d36c9(0x2097)][_0x1d36c9(0x19c7)],['id',_0x3582fa['id']]);_0xa2684a>=0x0&&_0x3f65c0()['merge'](_0x4eb1ca[_0x1d36c9(0x2097)][_0x1d36c9(0x19c7)][_0xa2684a],_0x3582fa);}}_0x1a7d37['$on']('$destroy',function(){const _0x32bdf8=_0x174f2f;_0x44555d[_0x32bdf8(0x1c5f)](_0x32bdf8(0xfd4)),_0x44555d[_0x32bdf8(0x1c5f)](_0x32bdf8(0x1d59));});}const _0x2de162=_0x46dfca;;_0xa4e204['$inject']=[_0x313a4d(0x10e8),_0x313a4d(0x2647),_0x313a4d(0x4bb),_0x313a4d(0x133b)];function _0xa4e204(_0x57f8a5,_0x244b20,_0x2de37a,_0x1dbe2d){const _0x5c7b82=_0x313a4d,_0x403b56=this;_0x403b56[_0x5c7b82(0x2647)]=_0x244b20[_0x5c7b82(0x19c7)],_0x403b56[_0x5c7b82(0x4bb)]=_0x2de37a['rows'],_0x403b56[_0x5c7b82(0x133b)]=_0x1dbe2d,_0x403b56['note']=_0x403b56['call']['note']||undefined,_0x403b56[_0x5c7b82(0x1216)]=_0x205627,_0x403b56[_0x5c7b82(0xb7d)]=_0x1b30f9,_0x403b56[_0x5c7b82(0x2799)]=_0x150d06,_0x403b56['closeDialog']=_0x5098ec;function _0x205627(_0x28d6bf){const _0x2ebe24=_0x5c7b82,_0x210bc4=_0x3f65c0()[_0x2ebe24(0x11c)](_0x403b56['dispositions'],_0x403b56[_0x2ebe24(0x4bb)],'id');switch(_0x28d6bf){case _0x2ebe24(0xb68):_0x403b56[_0x2ebe24(0x85f)]=_0x403b56[_0x2ebe24(0xef2)],_0x403b56['anySecondLevelDisposition']=_0x3f65c0()['some'](_0x210bc4,[_0x2ebe24(0x11b5),_0x403b56[_0x2ebe24(0xef2)]['id']]),_0x403b56[_0x2ebe24(0x187a)]=undefined,_0x403b56[_0x2ebe24(0xc58)]=undefined,_0x403b56['anyThirdLevelDisposition']=![];break;case _0x2ebe24(0xfe1):_0x403b56[_0x2ebe24(0x85f)]=_0x403b56[_0x2ebe24(0x187a)],_0x403b56['anyThirdLevelDisposition']=_0x3f65c0()[_0x2ebe24(0x1360)](_0x210bc4,[_0x2ebe24(0x11b5),_0x403b56['secondLevelDisposition']['id']]);break;case _0x2ebe24(0xb15):_0x403b56[_0x2ebe24(0x85f)]=_0x403b56[_0x2ebe24(0xc58)];break;}}function _0x1b30f9(_0x9017a2){const _0x638e8c=_0x5c7b82;if(_0x9017a2===_0x638e8c(0xfe1))_0x403b56[_0x638e8c(0x187a)]=undefined,_0x403b56[_0x638e8c(0xc58)]=undefined,_0x403b56[_0x638e8c(0x85f)]=_0x403b56[_0x638e8c(0xef2)];else _0x9017a2==='third'&&(_0x403b56[_0x638e8c(0xc58)]=undefined,_0x403b56[_0x638e8c(0x85f)]=_0x403b56[_0x638e8c(0x187a)]);}function _0x150d06(){const _0x5b59a6=_0x5c7b82;_0x403b56[_0x5b59a6(0x133b)][_0x5b59a6(0xd38)]=_0x403b56[_0x5b59a6(0xd38)];const _0x2a6677={'firstLevel':_0x403b56[_0x5b59a6(0xef2)]?_0x403b56['firstLevelDisposition']['name']:undefined,'secondLevel':_0x403b56[_0x5b59a6(0x187a)]?_0x403b56[_0x5b59a6(0x187a)][_0x5b59a6(0x19eb)]:undefined,'thirdLevel':_0x403b56['thirdLevelDisposition']?_0x403b56[_0x5b59a6(0xc58)][_0x5b59a6(0x19eb)]:undefined};_0x57f8a5[_0x5b59a6(0x2458)](_0x2a6677);}function _0x5098ec(){const _0x44bbe3=_0x5c7b82;_0x57f8a5[_0x44bbe3(0x6c3)]();}}const _0x4816bc=_0xa4e204;;_0x35ff99[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$timeout',_0x313a4d(0x10e8),_0x313a4d(0x4d8),'$window',_0x313a4d(0x214b),_0x313a4d(0x247f),_0x313a4d(0xa87),'socket'];function _0x35ff99(_0x867c5c,_0x320b1e,_0x1215f2,_0x256cf7,_0x552ec2,_0x3503d4,_0x3376b0,_0x455174,_0x1752f8){const _0x14adee=_0x313a4d,_0x5410d3=this;_0x5410d3[_0x14adee(0x181b)]=![],_0x5410d3[_0x14adee(0x258a)]=!![],_0x5410d3[_0x14adee(0x1cdf)]=[],_0x5410d3[_0x14adee(0x2647)]={'count':0x0,'rows':[]},_0x5410d3[_0x14adee(0x2321)]=_0x455174['getCurrentUser'](),_0x5410d3['queues']={},_0x5410d3[_0x14adee(0x2097)]={'count':0x0,'rows':[]},_0x5410d3[_0x14adee(0x1a56)]={'fields':_0x14adee(0x655),'type':_0x14adee(0x7b0),'queuecallerexitreason':_0x14adee(0x1b0d)+','+'ABANDONED','sort':_0x14adee(0x12f2),'limit':0xa,'page':0x1},_0x5410d3['getAbandonedCalls']=_0x1dc1d0,_0x5410d3[_0x14adee(0x2482)]=_0x142c57,_0x5410d3['onInit']=_0x5c37e7,_0x5410d3['reserved']=_0x3eb15d,_0x5410d3[_0x14adee(0x25ed)]=_0x49e1d2,_0x5410d3[_0x14adee(0x133b)]=_0x867c5c[_0x14adee(0x2870)][_0x14adee(0x2870)]['$parent'][_0x14adee(0x2870)]['vm']['call'],_0x5410d3[_0x14adee(0x15b)]=_0x867c5c['$parent'][_0x14adee(0x2870)]['$parent'][_0x14adee(0x2870)]['vm'][_0x14adee(0x15b)],_0x5410d3[_0x14adee(0x1d38)]=_0x867c5c[_0x14adee(0x2870)]['$parent'][_0x14adee(0x2870)][_0x14adee(0x2870)]['vm'][_0x14adee(0x1d38)],_0x5410d3[_0x14adee(0x1db5)]=_0x867c5c['$parent'][_0x14adee(0x2870)][_0x14adee(0x2870)][_0x14adee(0x2870)]['vm'][_0x14adee(0x1db5)],_0x5410d3[_0x14adee(0x1c4f)]=_0x59e879,_0x5410d3[_0x14adee(0x28b7)]=_0x335dd6,_0x1752f8[_0x14adee(0x13b7)]('voiceQueueReport:save',_0x4394a0),_0x1752f8[_0x14adee(0x13b7)](_0x14adee(0x1d59),_0x503a87),_0x5c37e7();function _0x5c37e7(){const _0x480bd8=_0x14adee;return _0x3376b0[_0x480bd8(0x9e1)][_0x480bd8(0x16b4)]({'MailAccountId':_0x480bd8(0x203c),'FaxAccountId':'null','SmsAccountId':_0x480bd8(0x203c),'WhatsappAccountId':_0x480bd8(0x203c),'OpenchannelAccountId':'null','ChatWebsiteId':_0x480bd8(0x203c)})[_0x480bd8(0x2945)][_0x480bd8(0x146b)](function(_0xe5a10b){const _0x172444=_0x480bd8;return _0x5410d3[_0x172444(0x2647)]=_0xe5a10b?_0xe5a10b[_0x172444(0x19c7)]:[],_0x3376b0[_0x172444(0xe7b)][_0x172444(0xc53)]({'id':_0x455174['getCurrentUser']()['id'],'fields':_0x172444(0x7a7),'channel':_0x172444(0xe6),'type':'inbound','nolimit':!![]})['$promise'];})['then'](function(_0x16326b){const _0x209c65=_0x480bd8;_0x5410d3[_0x209c65(0x1b32)]=_0x16326b||{'count':0x0,'rows':[]},_0x5410d3['queuesMap']=_0x3f65c0()['mapValues'](_0x3f65c0()[_0x209c65(0x194)](_0x5410d3[_0x209c65(0x1b32)][_0x209c65(0x19c7)],_0x209c65(0x19eb)),_0x209c65(0x19eb)),_0x5410d3[_0x209c65(0x1a88)]=_0x5410d3[_0x209c65(0x1b32)][_0x209c65(0x19c7)]?_0x3f65c0()[_0x209c65(0x205)](_0x5410d3['queues'][_0x209c65(0x19c7)],_0x209c65(0x19eb))[_0x209c65(0xb47)](','):undefined;})[_0x480bd8(0x146b)](function(){const _0x4e7d04=_0x480bd8;_0x5410d3[_0x4e7d04(0x28af)]=_0x4e5e9e();})[_0x480bd8(0x129e)](function(_0x5d36d8){const _0x1cf4eb=_0x480bd8;console[_0x1cf4eb(0x1a74)](_0x5d36d8);})[_0x480bd8(0x1ec6)](function(){const _0xbd834c=_0x480bd8;let _0x33ebe6=_0x552ec2[_0xbd834c(0x1c39)][_0xbd834c(0x1519)](_0xbd834c(0x368)+_0x5410d3[_0xbd834c(0x2321)]['id']);if(_0x33ebe6)_0x33ebe6=JSON['parse'](_0x33ebe6),_0x33ebe6['query']&&(_0x5410d3[_0xbd834c(0x1a56)]=_0x3f65c0()[_0xbd834c(0x168d)](_0x33ebe6[_0xbd834c(0x1a56)],_0x3f65c0()[_0xbd834c(0x2432)](_0x5410d3['query'],['sort',_0xbd834c(0x221e),_0xbd834c(0x844)])),_0x5410d3[_0xbd834c(0x1a56)]=_0x3f65c0()['omit'](_0x5410d3[_0xbd834c(0x1a56)],'filter'));else{const _0x9e3caa={'$gte':_0x2deec6()()[_0xbd834c(0x1fcc)](_0xbd834c(0x12b7))[_0xbd834c(0x65f)](0x0,!![])['format'](),'$lte':_0x2deec6()()[_0xbd834c(0x824)](_0xbd834c(0x12b7))[_0xbd834c(0x65f)](0x0,!![])[_0xbd834c(0x22b0)]()};_0x5410d3[_0xbd834c(0x1a56)]['createdAt']=_0x9e3caa;}_0x5410d3[_0xbd834c(0x2516)]=_0x5410d3['query'][_0xbd834c(0x1dd6)]?!![]:![],_0x5410d3['getAbandonedCalls']();});}function _0x4e5e9e(){const _0x99c283=_0x14adee;return[{'name':'Start\x20Date','key':_0x99c283(0xc68),'type':'date','label':_0x99c283(0x2902)},{'name':'Agent','key':_0x99c283(0xea2),'type':_0x99c283(0xa5f),'label':_0x99c283(0x127),'customOptions':[{'value':_0x5410d3['currentUser']['id'],'translate':_0x99c283(0x6d9)},{'value':_0x99c283(0xa03),'translate':'DASHBOARDS.NOT_ASSIGNED'},{'value':undefined,'translate':_0x99c283(0x175e)}]},{'name':_0x99c283(0x1cd3),'key':'queue','type':_0x99c283(0x175c),'label':_0x99c283(0x1f4a),'options':_0x5410d3['queues']['rows'],'ngValue':_0x99c283(0x19eb),'clearAll':![]}];}function _0x1dc1d0(){const _0x2dd80d=_0x14adee;_0x5410d3[_0x2dd80d(0x1a56)]['queue']=_0x5410d3[_0x2dd80d(0x1a56)][_0x2dd80d(0x1c72)]||_0x5410d3[_0x2dd80d(0x1a88)][_0x2dd80d(0x10c8)](','),_0x5410d3[_0x2dd80d(0x1a56)][_0x2dd80d(0x145d)]=(_0x5410d3['query'][_0x2dd80d(0x844)]-0x1)*_0x5410d3[_0x2dd80d(0x1a56)][_0x2dd80d(0x221e)];const _0x4e44a8={'query':_0x5410d3[_0x2dd80d(0x1a56)]};_0x552ec2['localStorage'][_0x2dd80d(0xc32)](_0x2dd80d(0x368)+_0x5410d3[_0x2dd80d(0x2321)]['id'],JSON[_0x2dd80d(0x10bb)](_0x4e44a8)),_0x5410d3[_0x2dd80d(0xb9c)]=_0x3376b0[_0x2dd80d(0x19ef)][_0x2dd80d(0x1456)](_0x5410d3[_0x2dd80d(0x1a56)],_0x32d53c)['$promise'];}function _0x32d53c(_0x341f3a){const _0x3c3a4d=_0x14adee;_0x5410d3['abandonedCalls']=_0x341f3a||{'count':0x0,'rows':[]},_0x5410d3[_0x3c3a4d(0x258a)]=!![];}function _0x49e1d2(_0x1f3dab){const _0xfb81da=_0x14adee;_0x1215f2[_0xfb81da(0x2615)]({'controller':_0xfb81da(0x1dd0),'controllerAs':'vm','templateUrl':_0xfb81da(0x16d6),'parent':angular['element'](_0x256cf7[_0xfb81da(0x2586)]),'targetEvent':_0x1f3dab,'resolve':{'globalDispositions':[_0xfb81da(0x362),function(_0x412c4c){const _0x3b8e67=_0xfb81da;return _0x412c4c[_0x3b8e67(0x2922)](_0x3b8e67(0x1041),{'MailAccountId':_0x3b8e67(0x203c),'FaxAccountId':'null','SmsAccountId':_0x3b8e67(0x203c),'WhatsappAccountId':_0x3b8e67(0x203c),'OpenchannelAccountId':_0x3b8e67(0x203c),'ChatWebsiteId':_0x3b8e67(0x203c),'ListId':_0x3b8e67(0x203c)});}]},'locals':{'dispositions':_0x5410d3[_0xfb81da(0x2647)],'call':_0x1f3dab}})[_0xfb81da(0x146b)](function(_0x209e07){const _0x554da3=_0xfb81da;if(_0x209e07){_0x5410d3[_0x554da3(0x1cdf)][_0x1f3dab['id']]=!![];const _0x4f0d6e={'id':_0x1f3dab['id'],'lastAssignedTo':_0x1f3dab['assigned']?_0x5410d3[_0x554da3(0x2321)][_0x554da3(0x19eb)]:null,'assigned':_0x1f3dab['assigned'],'disposition':_0x209e07[_0x554da3(0x1614)]||null,'secondDisposition':_0x209e07[_0x554da3(0x4af)]||null,'thirdDisposition':_0x209e07[_0x554da3(0x5da)]||null};_0x3376b0[_0x554da3(0x19ef)][_0x554da3(0x18e1)](_0x4f0d6e)[_0x554da3(0x2945)]['catch'](function(_0x1e3860){const _0x5105b7=_0x554da3;console[_0x5105b7(0x1a74)](_0x1e3860);})['finally'](function(){const _0xb2b6d1=_0x554da3;_0x5410d3[_0xb2b6d1(0x1cdf)][_0x1f3dab['id']]=![];});}});}function _0x59e879(){const _0xe421ae=_0x14adee;_0x5410d3[_0xe421ae(0x1a56)][_0xe421ae(0x1dd6)]=undefined,_0x5410d3[_0xe421ae(0x2516)]=![];}function _0x335dd6(){const _0x2c9866=_0x14adee;_0x5410d3[_0x2c9866(0x2516)]=!![];}let _0x3b0641=!![],_0xd011a1=0x1;_0x867c5c[_0x14adee(0x21e8)](_0x14adee(0x1a5d),function(_0x44beae,_0x46bdb1){const _0x1609b2=_0x14adee;_0x3b0641?_0x320b1e(function(){_0x3b0641=![];}):(!_0x46bdb1&&(_0xd011a1=_0x5410d3['query'][_0x1609b2(0x844)]),_0x44beae!==_0x46bdb1&&(_0x5410d3[_0x1609b2(0x1a56)][_0x1609b2(0x844)]=0x1),!_0x44beae&&(_0x5410d3[_0x1609b2(0x1a56)]['page']=_0xd011a1),_0x5410d3[_0x1609b2(0x1d84)]());});function _0x142c57(){const _0xfe368a=_0x14adee;_0x5410d3['showTooltip']=![],_0x5410d3[_0xfe368a(0x1d84)]();}function _0x3eb15d(_0x154c51){const _0xeab9c=_0x14adee;_0x154c51&&(_0x5410d3['disable'][_0x154c51['id']]=!![],_0x3376b0[_0xeab9c(0x19ef)][_0xeab9c(0x18e1)]({'id':_0x154c51['id'],'lastAssignedTo':_0x154c51[_0xeab9c(0x25ff)]?_0x5410d3[_0xeab9c(0x2321)][_0xeab9c(0x19eb)]:null,'assigned':_0x154c51[_0xeab9c(0x25ff)]})['$promise']['catch'](function(_0x35158b){const _0x498b0d=_0xeab9c;console[_0x498b0d(0x1a74)](_0x35158b);})['finally'](function(){const _0x3036b6=_0xeab9c;_0x5410d3[_0x3036b6(0x1cdf)][_0x154c51['id']]=![];}));}function _0x4394a0(_0x168355){const _0x52fa3d=_0x14adee;_0x5410d3['queuesMap']&&_0x168355[_0x52fa3d(0x1142)]==='inbound'&&_0x5410d3[_0x52fa3d(0x212b)][_0x168355[_0x52fa3d(0x1c72)]]&&(_0x5410d3[_0x52fa3d(0x181b)]=!![]);}function _0x503a87(_0x3dc6cb){const _0x4293c9=_0x14adee;if(_0x3dc6cb){const _0x1b5618=_0x3f65c0()[_0x4293c9(0x99c)](_0x5410d3[_0x4293c9(0x2097)][_0x4293c9(0x19c7)],['id',_0x3dc6cb['id']]);_0x1b5618>=0x0&&_0x3f65c0()['merge'](_0x5410d3[_0x4293c9(0x2097)][_0x4293c9(0x19c7)][_0x1b5618],_0x3dc6cb);}}_0x867c5c['$on'](_0x14adee(0x116f),function(){const _0x1e4890=_0x14adee;_0x1752f8[_0x1e4890(0x1c5f)](_0x1e4890(0xfd4)),_0x1752f8[_0x1e4890(0x1c5f)](_0x1e4890(0x1d59));});}const _0x1ef57e=_0x35ff99;;_0x161ac7[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0xa87),_0x313a4d(0x2161),_0x313a4d(0x1909)];function _0x161ac7(_0xcc25fc,_0x3c1c2e,_0x579364,_0x2e3e7a,_0x103a43){const _0x2b9ec8=_0x313a4d,_0x2992db=this;_0x2992db[_0x2b9ec8(0xe7b)]=_0x579364[_0x2b9ec8(0xb12)](),_0x2992db['license']={},_0x2992db[_0x2b9ec8(0x5f5)]={},_0x2992db[_0x2b9ec8(0x15b9)]={},_0x2992db[_0x2b9ec8(0x25c9)]=_0x2b9ec8(0x130),_0x2992db[_0x2b9ec8(0x1909)]=_0x103a43,_0x2992db['navigation']=_0x2e3e7a[_0x2b9ec8(0x5c4)](),_0x2992db['addContactTab']=_0xcc25fc[_0x2b9ec8(0x2870)]['vm'][_0x2b9ec8(0xd14)],_0x2992db[_0x2b9ec8(0x2614)]=_0xcc25fc[_0x2b9ec8(0x2870)]['vm'][_0x2b9ec8(0x2614)],_0x2992db[_0x2b9ec8(0x5aa)]=_0x41d498,_0x2992db[_0x2b9ec8(0x215e)]=_0x2ae8b0,_0x2992db[_0x2b9ec8(0x2434)]=_0x206e22;function _0x41d498(_0xcef1c4,_0x55142e){const _0x18bfba=_0x2b9ec8;_0x2992db['license']=_0xcef1c4,_0x2992db['setting']=_0x55142e;let _0x35d47d=_0x3c1c2e[_0x18bfba(0x1c39)][_0x18bfba(0x1519)](_0x18bfba(0xc92)+_0x2992db['user']['id']);if(_0x35d47d){try{_0x35d47d=JSON['parse'](_0x35d47d),_0x2992db[_0x18bfba(0x25c9)]=_0x35d47d[_0x18bfba(0xeaf)]?_0x35d47d['currentSidebarTab']:_0x18bfba(0x130);}catch(_0x432092){console[_0x18bfba(0x1980)](_0x432092),_0x2992db[_0x18bfba(0x25c9)]=_0x18bfba(0x130);}_0x2992db[_0x18bfba(0x25c9)]===_0x18bfba(0x56b)&&_0x2992db[_0x18bfba(0x1909)]['init']();}}function _0x206e22(_0x40d63c){return _0x579364['hasPermission'](_0x40d63c);}function _0x2ae8b0(_0x1c11a0){const _0x2221d1=_0x2b9ec8;_0x2992db[_0x2221d1(0x25c9)]=_0x1c11a0[_0x2221d1(0x1bf2)],_0x2992db[_0x2221d1(0x25c9)]==='plugin'&&(_0x2992db['plugin']=_0x1c11a0[_0x2221d1(0x1de9)],_0x2992db[_0x2221d1(0x70f)]=_0x1c11a0['id'],_0xcc25fc['$broadcast'](_0x2221d1(0x1766),{'plugin':_0x2992db[_0x2221d1(0x5f5)]})),_0x3c1c2e[_0x2221d1(0x1c39)][_0x2221d1(0xc32)]('motion2.home:'+_0x2992db[_0x2221d1(0xe7b)]['id'],JSON['stringify']({'currentSidebarTab':_0x1c11a0[_0x2221d1(0x1bf2)]}));}_0xcc25fc[_0x2b9ec8(0x21e8)](_0x2b9ec8(0xf29),function(_0x4b1e4c){const _0x36609d=_0x2b9ec8;_0x4b1e4c===_0x36609d(0x56b)?_0x2992db[_0x36609d(0x1909)][_0x36609d(0x5aa)]():_0x2992db[_0x36609d(0x1909)][_0x36609d(0x1689)]={};});}const _0x1a77a6=_0x161ac7;;const _0x3fb128=_0x4acfac['p']+_0x313a4d(0x2309);;_0x3433a8[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$timeout',_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1aa9),'$translate',_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x279d),'toasty'];function _0x3433a8(_0x44e7ce,_0x1da527,_0x5c4c3b,_0x568693,_0x5f1560,_0x4a36d1,_0x530e90,_0x9ffd67,_0x2c0e61,_0x17cb94){const _0x381bc1=_0x313a4d,_0x20a965=this;_0x20a965[_0x381bc1(0xe7b)]=_0x9ffd67[_0x381bc1(0xb12)](),_0x20a965['license']={},_0x20a965['setting']={},_0x20a965['tags']={'count':0x0,'rows':[]},_0x20a965[_0x381bc1(0x1f62)]={'count':0x0,'rows':[]},_0x20a965['query']={'includeAll':!![],'sort':'-updatedAt','limit':0xa,'page':0x1,'read':null,'closed':null},_0x20a965[_0x381bc1(0xe93)]={'fields':[{'name':'Id','column':'id','type':'number'},{'name':_0x381bc1(0x364),'column':_0x381bc1(0x364),'type':_0x381bc1(0x14ee),'options':{'searchFields':[_0x381bc1(0x1ed4),'lastName',_0x381bc1(0x1a2b)],'route':{'model':_0x381bc1(0x18c3),'action':_0x381bc1(0x16b4),'params':{'fields':_0x381bc1(0x992),'Contact':_0x381bc1(0x3fb),'nolimit':!![]}},'extraOperators':[_0x381bc1(0xacb)],'excludedOperators':[_0x381bc1(0x1671)]}},{'name':_0x381bc1(0x710),'column':_0x381bc1(0x2586),'type':_0x381bc1(0xa8d),'options':{'excludedOperators':[_0x381bc1(0x6be),_0x381bc1(0x1671)]}},{'name':_0x381bc1(0x74e),'column':'closed','type':'select','values':[{'id':0x0,'translate':_0x381bc1(0x143b)},{'id':0x1,'translate':_0x381bc1(0x1805)}],'options':{'excludedOperators':[_0x381bc1(0x1671)]}},{'name':_0x381bc1(0x668),'column':_0x381bc1(0x77f),'type':_0x381bc1(0xa8d),'options':{'excludedOperators':[_0x381bc1(0x6be),_0x381bc1(0x1671),_0x381bc1(0x53d),_0x381bc1(0xe38)]}},{'name':'Agent','column':_0x381bc1(0x2393),'type':_0x381bc1(0x14ee),'options':{'table':'i','route':{'model':_0x381bc1(0xe7b),'action':_0x381bc1(0x16b4),'params':{'role':_0x381bc1(0x1755),'fields':_0x381bc1(0x12bf),'nolimit':!![]}},'searchFields':[_0x381bc1(0x286a),_0x381bc1(0x19eb)],'extraOperators':['$substring'],'excludedOperators':[_0x381bc1(0x1671)]}},{'name':_0x381bc1(0x27f4),'column':_0x381bc1(0x1d92),'type':_0x381bc1(0x175c),'options':{'route':{'model':_0x381bc1(0xe7b),'action':'getChatWebsites','params':{'id':_0x20a965[_0x381bc1(0xe7b)]['id'],'nolimit':!![]}}}},{'name':_0x381bc1(0x2331),'column':'Tag','type':'multiselect','options':{'route':{'model':_0x381bc1(0xa80),'action':_0x381bc1(0x16b4),'params':{'nolimit':!![]}},'excludedOperators':[_0x381bc1(0xbe5)]}},{'name':_0x381bc1(0x294),'column':_0x381bc1(0xc68),'type':_0x381bc1(0x1a10),'options':{'excludedOperators':[_0x381bc1(0x1671)]}},{'name':_0x381bc1(0x270b),'column':'unreadMessages','type':_0x381bc1(0xa5f),'values':[{'id':0x1,'translate':'DASHBOARDS.READ'},{'id':0x0,'translate':_0x381bc1(0x33f)}],'options':{'excludedOperators':[_0x381bc1(0x1671)]}}]},_0x20a965['sectionColor']='green-A700',_0x20a965['onInit']=_0x59a55f,_0x20a965[_0x381bc1(0x1c75)]=_0x4b83c0,_0x20a965[_0x381bc1(0x1060)]=_0x32a83e,_0x20a965['showChatInteraction']=_0x35e007,_0x20a965[_0x381bc1(0x1541)]=_0x2ed9ae,_0x20a965[_0x381bc1(0x10ef)]=_0x3661c5,_0x20a965[_0x381bc1(0x4b5)]=_0x47fc68,_0x20a965['getAgentLabel']=_0xc7c2ce,_0x20a965[_0x381bc1(0x1d2b)]=_0x48317f,_0x20a965[_0x381bc1(0x1c4f)]=_0x5a12cd,_0x20a965['onSearchBarExpand']=_0x337861,_0x20a965['openAdvancedSearch']=_0x1840a7;function _0x59a55f(_0x535d94,_0x1172a0){const _0x4c9665=_0x381bc1;return _0x20a965['license']=_0x535d94,_0x20a965[_0x4c9665(0x15b9)]=_0x1172a0,_0x530e90[_0x4c9665(0xe7b)]['get'+_0x3f65c0()['upperFirst']('ChatWebsites')]({'id':_0x20a965[_0x4c9665(0xe7b)]['id'],'nolimit':!![]})[_0x4c9665(0x2945)]['then'](function(_0x362150){const _0x35b9db=_0x4c9665;return _0x20a965[_0x35b9db(0x282c)]=_0x362150||{'count':0x0,'rows':[]},_0x530e90[_0x35b9db(0xa80)][_0x35b9db(0x16b4)]()[_0x35b9db(0x2945)];})[_0x4c9665(0x146b)](function(_0x27cd82){_0x20a965['tags']=_0x27cd82||{'count':0x0,'rows':[]};})[_0x4c9665(0x146b)](function(){_0x20a965['quickFilters']=_0x37fdac();})[_0x4c9665(0x129e)](function(_0x2fad9a){const _0xcdf03b=_0x4c9665;console[_0xcdf03b(0x1980)](_0x2fad9a);})[_0x4c9665(0x1ec6)](function(){const _0x224311=_0x4c9665;let _0x3a5e46=_0x5f1560[_0x224311(0x1c39)]['getItem'](_0x224311(0x1036)+_0x20a965[_0x224311(0xe7b)]['id']);if(_0x3a5e46)try{_0x3a5e46=JSON[_0x224311(0x975)](_0x3a5e46);if(_0x3a5e46[_0x224311(0x1a56)]){_0x20a965[_0x224311(0x1a56)]=_0x3f65c0()[_0x224311(0x168d)](_0x20a965[_0x224311(0x1a56)],_0x3a5e46[_0x224311(0x1a56)]);if(_0x3f65c0()[_0x224311(0x2635)](_0x20a965[_0x224311(0x282c)]['rows']))_0x20a965['query'][_0x224311(0x1d92)]=[];else _0x3f65c0()[_0x224311(0x2635)](_0x20a965[_0x224311(0x1a56)][_0x224311(0x1d92)])&&(_0x20a965['query'][_0x224311(0x1d92)]=_0x3f65c0()(_0x20a965[_0x224311(0x282c)][_0x224311(0x19c7)])[_0x224311(0x205)]('id')[_0x224311(0x2421)]()[_0x224311(0x175d)]());_0x20a965[_0x224311(0x1a56)][_0x224311(0x28fa)]&&_0x20a965[_0x224311(0x1a56)]['sort'][_0x224311(0x172b)](_0x224311(0x186))>=0x0&&(_0x20a965['query']=_0x3f65c0()[_0x224311(0x2432)](_0x20a965[_0x224311(0x1a56)],'sort')),_0x20a965['query'][_0x224311(0x287)]=!![],_0x20a965[_0x224311(0x2516)]=_0x20a965[_0x224311(0x1a56)][_0x224311(0x1dd6)]?!![]:![];}}catch(_0x1d96ab){console[_0x224311(0x1980)](_0x1d96ab);}else{const _0x1bde65={'$gte':_0x2deec6()()['startOf'](_0x224311(0x12b7))[_0x224311(0x65f)](0x0,!![])[_0x224311(0x22b0)](),'$lte':_0x2deec6()()['endOf'](_0x224311(0x12b7))[_0x224311(0x65f)](0x0,!![])[_0x224311(0x22b0)]()},_0x440183={'ChatWebsiteId':_0x3f65c0()[_0x224311(0x205)](_0x20a965[_0x224311(0x282c)][_0x224311(0x19c7)],'id'),'createdAt':_0x1bde65};_0x20a965[_0x224311(0x1a56)]=_0x3f65c0()[_0x224311(0x168d)](_0x20a965['query'],_0x440183);}_0x2c0e61['on'](_0x224311(0x1284),_0x38cee9),_0x2c0e61['on']('chatInteraction:update',_0x38cee9),_0x2c0e61['on']('chatInteractionTags:save',_0x5b04ee),_0x2c0e61['on'](_0x224311(0x1c2f),_0x5a9b87),_0x2c0e61['on'](_0x224311(0x2868),_0x5a9b87),_0x2c0e61['on'](_0x224311(0x15ec),_0x5e072d),_0x2c0e61['on'](_0x224311(0xec8),_0xcf24cb),_0x32a83e(_0x20a965[_0x224311(0x1a56)][_0x224311(0x844)]);});}function _0x37fdac(){const _0x2f79f2=_0x381bc1;return[{'name':'Start\x20Date','key':_0x2f79f2(0xc68),'type':_0x2f79f2(0x1a10),'label':'DASHBOARDS.SELECT_DATE'},{'name':_0x2f79f2(0x1c7c),'key':'read','type':_0x2f79f2(0xa5f),'label':'DASHBOARDS.SELECT_READ_UNREAD','customOptions':[{'value':0x0,'translate':_0x2f79f2(0x33f)},{'value':0x1,'translate':_0x2f79f2(0x1ce6)},{'value':null,'translate':'DASHBOARDS.ALL'}]},{'name':_0x2f79f2(0x74e),'key':_0x2f79f2(0x1943),'type':_0x2f79f2(0xa5f),'label':_0x2f79f2(0x1682),'customOptions':[{'value':0x0,'translate':_0x2f79f2(0x143b)},{'value':0x1,'translate':_0x2f79f2(0x1805)},{'value':null,'translate':_0x2f79f2(0x175e)}]},{'name':'Website','key':'ChatWebsiteId','type':_0x2f79f2(0x175c),'label':_0x2f79f2(0x1b49),'options':_0x20a965[_0x2f79f2(0x282c)][_0x2f79f2(0x19c7)],'clearAll':![]},{'name':_0x2f79f2(0x294a),'key':'UserId','type':'select','label':_0x2f79f2(0x127),'customOptions':[{'value':_0x20a965[_0x2f79f2(0xe7b)]['id'],'translate':_0x2f79f2(0x6d9)},{'value':_0x2f79f2(0x203c),'translate':_0x2f79f2(0x38d)},{'value':undefined,'translate':'DASHBOARDS.ALL'}]},{'name':'Tag','key':_0x2f79f2(0xa80),'type':_0x2f79f2(0x175c),'label':_0x2f79f2(0x1c0e),'options':_0x20a965[_0x2f79f2(0x1b86)][_0x2f79f2(0x19c7)],'placeholder':_0x2f79f2(0x66f)}];}function _0x4b83c0(_0x52cbd9){const _0x52fce9=_0x381bc1;_0x20a965['searchInProgress']=![],_0x20a965[_0x52fce9(0x1f62)]=_0x52cbd9||{'count':0x0,'rows':[]};for(let _0x9e3598=0x0;_0x9e3598<_0x20a965[_0x52fce9(0x1f62)][_0x52fce9(0x19c7)]['length'];_0x9e3598+=0x1){_0x47fc68(_0x20a965[_0x52fce9(0x1f62)][_0x52fce9(0x19c7)][_0x9e3598]);}}function _0x32a83e(_0x4a94fe){const _0x2fbb95=_0x381bc1;_0x20a965[_0x2fbb95(0x14eb)]=!![];!_0x4a94fe&&(_0x20a965[_0x2fbb95(0x1a56)][_0x2fbb95(0x844)]=0x1);_0x20a965[_0x2fbb95(0x1a56)][_0x2fbb95(0x145d)]=(_0x20a965[_0x2fbb95(0x1a56)][_0x2fbb95(0x844)]-0x1)*_0x20a965[_0x2fbb95(0x1a56)][_0x2fbb95(0x221e)];let _0x491884=_0x5f1560['localStorage']['getItem'](_0x2fbb95(0x1036)+_0x20a965[_0x2fbb95(0xe7b)]['id']);_0x491884=_0x491884?JSON['parse'](_0x491884):{},_0x491884[_0x2fbb95(0x1a56)]=_0x20a965[_0x2fbb95(0x1a56)],_0x5f1560['localStorage'][_0x2fbb95(0xc32)](_0x2fbb95(0x1036)+_0x20a965['user']['id'],JSON[_0x2fbb95(0x10bb)](_0x491884)),_0x20a965[_0x2fbb95(0xb9c)]=_0x530e90['chatInteraction'][_0x2fbb95(0x16b4)](_0x20a965['query'],_0x4b83c0)[_0x2fbb95(0x2945)];}function _0x35e007(_0x89f993,_0x1d700c){const _0x40e59f=_0x381bc1;_0x44e7ce[_0x40e59f(0x2870)]['vm'][_0x40e59f(0x2614)]('chat',_0x1d700c);}function _0x2ed9ae(_0x1ecde4,_0x1e693a,_0x15540e){const _0x165825=_0x381bc1;_0x530e90[_0x165825(0xc9f)][_0x165825(0x15b1)]({'id':_0x1e693a['id'],'attachments':_0x15540e})['$promise']['then'](function(_0x4b0b67){const _0x755785=_0x165825,_0x486056=[_0x4b0b67['buffer']],_0x1921a7='chat-interaction'+_0x1e693a['id']+_0x755785(0xf04),_0x50a8dc=new Blob(_0x486056,{'type':_0x4b0b67[_0x755785(0x1142)]}),_0x5ba86f=window[_0x755785(0xef3)][_0x755785(0x24ec)]('a');_0x5ba86f[_0x755785(0x1652)](_0x755785(0x1b3c),URL[_0x755785(0x1c58)](_0x50a8dc)),_0x5ba86f[_0x755785(0x1652)](_0x755785(0x15b1),_0x1921a7),document[_0x755785(0x2586)][_0x755785(0x23e)](_0x5ba86f),_0x5ba86f['click']();})[_0x165825(0x129e)](function(_0x16fe16){const _0x3749e6=_0x165825;if(_0x16fe16[_0x3749e6(0x524)]&&_0x16fe16['data'][_0x3749e6(0xcef)]&&_0x16fe16[_0x3749e6(0x524)][_0x3749e6(0xcef)]['length'])for(let _0x111e03=0x0;_0x111e03<_0x16fe16[_0x3749e6(0x524)]['errors'][_0x3749e6(0x402)];_0x111e03+=0x1){_0x17cb94[_0x3749e6(0x1980)]({'title':_0x16fe16[_0x3749e6(0x524)]['errors'][_0x111e03][_0x3749e6(0x1142)],'msg':_0x16fe16[_0x3749e6(0x524)][_0x3749e6(0xcef)][_0x111e03][_0x3749e6(0x7fd)]});}else _0x17cb94[_0x3749e6(0x1980)]({'title':_0x16fe16[_0x3749e6(0x107b)]?_0x3749e6(0x262a)+_0x16fe16[_0x3749e6(0x107b)]+_0x3749e6(0x1315)+_0x16fe16[_0x3749e6(0x167f)]:_0x3749e6(0x1541),'msg':_0x16fe16[_0x3749e6(0x524)]?JSON[_0x3749e6(0x10bb)](_0x16fe16['data'][_0x3749e6(0x7fd)]):_0x16fe16['toString']()});});}function _0x3661c5(_0x4cf3,_0x50750d){const _0x54a25a=_0x381bc1,_0x5a37cb=_0x20a965[_0x54a25a(0xe7b)]['voicePause']||_0x20a965[_0x54a25a(0xe7b)]['chatPause']||_0x20a965[_0x54a25a(0xe7b)][_0x54a25a(0x1e9e)]||_0x20a965[_0x54a25a(0xe7b)]['openchannelPause']||_0x20a965[_0x54a25a(0xe7b)][_0x54a25a(0x25c4)],_0x332c1f=!_0x50750d[_0x54a25a(0xb48)][_0x54a25a(0xc9e)];_0x5c4c3b[_0x54a25a(0x2615)]({'controller':_0x54a25a(0xe23),'controllerAs':'vm','templateUrl':_0x56fd44,'parent':angular[_0x54a25a(0x1853)](_0x568693[_0x54a25a(0x2586)]),'clickOutsideToClose':_0x332c1f,'escapeToClose':_0x332c1f,'onRemoving':function(){const _0x395293=_0x54a25a;_0x20a965['user']&&_0x50750d[_0x395293(0xb48)][_0x395293(0xc9e)]&&!_0x5a37cb&&_0x530e90[_0x395293(0xe7b)][_0x395293(0x974)]({'id':_0x20a965[_0x395293(0xe7b)]['id']})[_0x395293(0x2945)]['catch'](function(_0x5b3868){console['error'](_0x5b3868);});},'locals':{'user':_0x20a965['user'],'channel':_0x54a25a(0xa7f),'interaction':_0x50750d}});}function _0x47fc68(_0x27ae6c){const _0x49caf7=_0x381bc1;_0x27ae6c[_0x49caf7(0x364)]?_0x27ae6c['contactName']=(_0x27ae6c[_0x49caf7(0x364)][_0x49caf7(0x1ed4)]||'')+'\x20'+(_0x27ae6c[_0x49caf7(0x364)][_0x49caf7(0xee4)]||''):_0x27ae6c[_0x49caf7(0x792)]=_0x4a36d1[_0x49caf7(0xde)]('APP.UNKNOWN');}function _0xc7c2ce(_0x32955e){const _0x5b4c42=_0x381bc1;if(_0x32955e[_0x5b4c42(0xea2)])return _0x32955e[_0x5b4c42(0xea2)]===_0x20a965[_0x5b4c42(0xe7b)]['id']?_0x4a36d1['instant'](_0x5b4c42(0x6d9)):_0x32955e['Owner'][_0x5b4c42(0x286a)]+'\x20<'+_0x32955e[_0x5b4c42(0xac5)][_0x5b4c42(0x113f)]+'>';return _0x4a36d1['instant']('DASHBOARDS.NOT_ASSIGNED');}function _0x48317f(_0x4c716e){const _0x549112=_0x381bc1;if(_0x4c716e['Account'])return _0x4c716e['Account'][_0x549112(0x2293)];return _0x4a36d1[_0x549112(0xde)](_0x549112(0xe0b));}function _0x5e072d(_0x2e50f5){const _0x1e0dc9=_0x381bc1;let _0x4b8171;const _0x2e98ca=_0x3f65c0()['find'](_0x20a965[_0x1e0dc9(0x1f62)][_0x1e0dc9(0x19c7)],{'id':_0x2e50f5['ChatInteractionId']});_0x2e98ca&&_0x2e98ca[_0x1e0dc9(0x3c4)]&&(_0x4b8171=_0x3f65c0()['find'](_0x2e98ca[_0x1e0dc9(0x3c4)],{'id':_0x2e50f5[_0x1e0dc9(0xea2)]}),!_0x4b8171&&_0x2e98ca[_0x1e0dc9(0x3c4)]['push']({'id':_0x2e50f5[_0x1e0dc9(0xea2)]}));}function _0xcf24cb(_0x256c38){const _0x17fdc3=_0x381bc1,_0x58f205=_0x3f65c0()[_0x17fdc3(0xc84)](_0x20a965[_0x17fdc3(0x1f62)][_0x17fdc3(0x19c7)],{'id':_0x256c38['ChatInteractionId']});_0x58f205&&_0x58f205['Users']&&_0x3f65c0()['remove'](_0x58f205[_0x17fdc3(0x3c4)],{'id':_0x256c38[_0x17fdc3(0xea2)]});}function _0x38cee9(_0x3497e5){const _0x56758a=_0x381bc1;if(_0x3497e5){const _0x20665d=_0x3f65c0()[_0x56758a(0xc84)](_0x20a965['chatInteractions'][_0x56758a(0x19c7)],{'id':_0x3497e5['id']});if(_0x20665d){_0x20665d['Users']=[];_0x3497e5['closed']&&(_0x20665d[_0x56758a(0x26a6)]=0x0);if(_0x20a965[_0x56758a(0x1a56)]['sort']){const _0x410acf=_0x3f65c0()[_0x56758a(0xd4d)](_0x20a965[_0x56758a(0x1a56)][_0x56758a(0x28fa)],'-')?_0x56758a(0x2517):_0x56758a(0x195);_0x20a965[_0x56758a(0x1f62)][_0x56758a(0x19c7)]=_0x3f65c0()['orderBy'](_0x20a965[_0x56758a(0x1f62)]['rows'],_0x3f65c0()['trimStart'](_0x20a965[_0x56758a(0x1a56)][_0x56758a(0x28fa)],'-'),_0x410acf);}_0x3f65c0()['merge'](_0x20665d,_0x3f65c0()[_0x56758a(0x40e)](_0x3497e5,_0x3f65c0()[_0x56758a(0x627)](_0x20665d)));}else _0x32a83e(_0x20a965[_0x56758a(0x1a56)][_0x56758a(0x844)]);}}function _0x5b04ee(_0x433cd8){const _0x47ce22=_0x381bc1;if(_0x433cd8){const _0x1ae47a=_0x3f65c0()[_0x47ce22(0xc84)](_0x20a965[_0x47ce22(0x1f62)][_0x47ce22(0x19c7)],{'id':_0x433cd8['id']});_0x1ae47a&&(_0x1ae47a[_0x47ce22(0x2331)]=_0x433cd8['tags']||[],_0x3f65c0()[_0x47ce22(0x168d)](_0x1ae47a,_0x3f65c0()[_0x47ce22(0x40e)](_0x433cd8,_0x3f65c0()[_0x47ce22(0x627)](_0x1ae47a))));}}function _0x5a9b87(_0x193be3){const _0x540bc4=_0x381bc1;if(_0x193be3&&!_0x193be3['secret']){const _0x4c6448=_0x3f65c0()['find'](_0x20a965['chatInteractions'][_0x540bc4(0x19c7)],{'id':_0x193be3['ChatInteractionId']});if(_0x4c6448){const _0x2d6f09=_0x3f65c0()[_0x540bc4(0xc84)](_0x4c6448[_0x540bc4(0x1c7c)],{'id':_0x193be3['id']});_0x2d6f09?_0x3f65c0()[_0x540bc4(0x168d)](_0x2d6f09,_0x3f65c0()['pick'](_0x193be3,_0x3f65c0()[_0x540bc4(0x627)](_0x2d6f09))):(_0x3f65c0()[_0x540bc4(0x1b36)](_0x4c6448[_0x540bc4(0x1c7c)])&&(_0x4c6448['Messages']={'count':0x0}),!_0x193be3[_0x540bc4(0x229e)]&&(_0x4c6448['Messages'][_0x540bc4(0x51c)]+=0x1));}}}function _0x5a12cd(){const _0x30e587=_0x381bc1;_0x20a965[_0x30e587(0x2516)]=![];}function _0x337861(){const _0x1a320f=_0x381bc1;_0x20a965[_0x1a320f(0x2516)]=!![];}function _0x1840a7(){const _0x32bd67=_0x381bc1;_0x20a965[_0x32bd67(0x2516)]=![],_0x5c4c3b[_0x32bd67(0x2615)]({'controller':'AdvancedSearchController','controllerAs':'vm','templateUrl':_0x3fb128,'parent':angular[_0x32bd67(0x1853)](_0x568693['body']),'clickOutsideToClose':![],'locals':{'color':_0x20a965[_0x32bd67(0x1527)],'fields':_0x20a965['advancedSearch'][_0x32bd67(0x2867)],'storagePath':'home.chat'},'fullscreen':!![]})[_0x32bd67(0x146b)](function(_0x1fd212){const _0x45e26b=_0x32bd67;_0x20a965[_0x45e26b(0x1a56)][_0x45e26b(0x21da)]=_0x1fd212===![]?undefined:_0x1fd212;if(_0x20a965[_0x45e26b(0x1a56)][_0x45e26b(0x21da)]||_0x1fd212===![])_0x32a83e();})[_0x32bd67(0x129e)](function(_0x11fe38){const _0x2280a7=_0x32bd67;_0x17cb94['error']({'title':_0x2280a7(0x10f5),'msg':_0x11fe38['data']?JSON[_0x2280a7(0x10bb)](_0x11fe38['data']['message']):_0x11fe38[_0x2280a7(0xd5f)]()});});}let _0x8dd3f=!![],_0x2e9a87=0x1;_0x44e7ce['$watch'](_0x381bc1(0x2669),function(_0x25f53a,_0x457482){const _0x4db8ae=_0x381bc1;_0x8dd3f?_0x1da527(function(){_0x8dd3f=![];}):(!_0x457482&&(_0x2e9a87=_0x20a965[_0x4db8ae(0x1a56)][_0x4db8ae(0x844)]),_0x25f53a!==_0x457482&&(_0x20a965[_0x4db8ae(0x1a56)]['page']=0x1),!_0x25f53a&&(_0x20a965[_0x4db8ae(0x1a56)]['page']=_0x2e9a87),_0x32a83e(_0x20a965[_0x4db8ae(0x1a56)]['page']));}),_0x44e7ce[_0x381bc1(0x16ad)](_0x381bc1(0x116f),function(){const _0x65f295=_0x381bc1;_0x2c0e61[_0x65f295(0x1c5f)](_0x65f295(0x1c2f)),_0x2c0e61[_0x65f295(0x1c5f)](_0x65f295(0x2868)),_0x2c0e61[_0x65f295(0x1c5f)](_0x65f295(0x1284)),_0x2c0e61[_0x65f295(0x1c5f)](_0x65f295(0x4a1)),_0x2c0e61[_0x65f295(0x1c5f)](_0x65f295(0xcd1)),_0x2c0e61[_0x65f295(0x1c5f)](_0x65f295(0x15ec)),_0x2c0e61[_0x65f295(0x1c5f)]('user_has_chat_interactions:remove');});}const _0x3e5a40=_0x3433a8;;_0x25da9a[_0x313a4d(0x11c2)]=['$document','$scope',_0x313a4d(0x1abe),_0x313a4d(0x10e8),_0x313a4d(0x1aa9),_0x313a4d(0xa87),_0x313a4d(0x247f),_0x313a4d(0x1fe4)];function _0x25da9a(_0x403cbd,_0x852778,_0x2a4968,_0x13dce1,_0x39e573,_0x53e54e,_0x4a0a7a,_0x14623f){const _0x399db9=_0x313a4d,_0x323c2c=this;_0x323c2c['currentUser']=_0x53e54e[_0x399db9(0xb12)](),_0x323c2c['lists']={},_0x323c2c['contacts']={'count':0x0,'rows':[]},_0x323c2c[_0x399db9(0x1b86)]={'count':0x0,'rows':[]},_0x323c2c['query']={'includeAll':!![],'sort':'-updatedAt','limit':0xa,'page':0x1},_0x323c2c['advancedSearch']={'fields':[]},_0x323c2c[_0x399db9(0x1527)]='red',_0x323c2c[_0x399db9(0x871)]=_0x560f4f,_0x323c2c[_0x399db9(0x41d)]=_0x21f205,_0x323c2c[_0x399db9(0x1325)]=_0x554754,_0x323c2c[_0x399db9(0x3d1)]=_0x5c3e5b,_0x323c2c['openContact']=_0x11677c,_0x323c2c[_0x399db9(0x133b)]=_0x852778[_0x399db9(0x2870)]['vm']['call'],_0x323c2c[_0x399db9(0x3d4)]=_0xf599ca,_0x323c2c[_0x399db9(0x1c4f)]=_0x281fb0,_0x323c2c[_0x399db9(0x28b7)]=_0x14c92,_0x323c2c[_0x399db9(0x21a6)]=_0x54ed8f;function _0xf599ca(){const _0x3a9aa8=_0x399db9;_0x4a0a7a[_0x3a9aa8(0xe7b)][_0x3a9aa8(0x233d)]({'id':_0x323c2c[_0x3a9aa8(0x2321)]['id'],'fields':_0x3a9aa8(0x3cd),'sort':_0x3a9aa8(0x19eb),'nolimit':!![]})['$promise'][_0x3a9aa8(0x146b)](function(_0x650c6){const _0x17d46a=_0x3a9aa8;return _0x323c2c[_0x17d46a(0x1324)]=_0x650c6||{'count':0x0,'rows':[]},_0x323c2c['listsMap']=_0x3f65c0()[_0x17d46a(0x194)](_0x323c2c[_0x17d46a(0x1324)][_0x17d46a(0x19c7)],'id'),_0x4a0a7a[_0x17d46a(0xa80)][_0x17d46a(0x16b4)]()[_0x17d46a(0x2945)];})[_0x3a9aa8(0x146b)](function(_0x4ea2a4){const _0x29fddb=_0x3a9aa8;_0x323c2c[_0x29fddb(0x1b86)]=_0x4ea2a4||{'count':0x0,'rows':[]};})[_0x3a9aa8(0x146b)](function(){const _0xc5eb2a=_0x3a9aa8;_0x323c2c[_0xc5eb2a(0x28af)]=_0x4d76ef();})[_0x3a9aa8(0x129e)](function(_0x54840e){const _0x427380=_0x3a9aa8;console[_0x427380(0x1980)](_0x54840e);})[_0x3a9aa8(0x1ec6)](function(){const _0x4f8117=_0x3a9aa8;let _0x595d0c=_0x39e573[_0x4f8117(0x1c39)][_0x4f8117(0x1519)](_0x4f8117(0x1f24)+_0x323c2c[_0x4f8117(0x2321)]['id']);if(_0x595d0c)try{_0x595d0c=JSON[_0x4f8117(0x975)](_0x595d0c);if(_0x595d0c[_0x4f8117(0x1a56)]){_0x323c2c[_0x4f8117(0x1a56)]=_0x3f65c0()[_0x4f8117(0x168d)](_0x323c2c[_0x4f8117(0x1a56)],_0x595d0c[_0x4f8117(0x1a56)]);if(_0x3f65c0()[_0x4f8117(0x2635)](_0x323c2c[_0x4f8117(0x1324)]['rows']))_0x323c2c[_0x4f8117(0x1a56)][_0x4f8117(0xb7c)]=[];else _0x3f65c0()[_0x4f8117(0x2635)](_0x323c2c[_0x4f8117(0x1a56)][_0x4f8117(0xb7c)])&&(_0x323c2c['query'][_0x4f8117(0xb7c)]=_0x3f65c0()(_0x323c2c['lists'][_0x4f8117(0x19c7)])[_0x4f8117(0x205)]('id')['take']()['value']());}}catch(_0x55ce15){console['log'](_0x55ce15);}else _0x323c2c[_0x4f8117(0x1a56)][_0x4f8117(0xb7c)]=_0x3f65c0()['map'](_0x323c2c[_0x4f8117(0x1324)][_0x4f8117(0x19c7)],'id');_0x323c2c[_0x4f8117(0x2516)]=_0x323c2c[_0x4f8117(0x1a56)][_0x4f8117(0x1dd6)]?!![]:![],_0x560f4f();});}function _0x4d76ef(){const _0x3a3f64=_0x399db9;return[{'name':_0x3a3f64(0x1940),'key':_0x3a3f64(0xb7c),'type':_0x3a3f64(0x175c),'label':'CONTACTMANAGER.SELECT_LIST','options':_0x323c2c['lists'][_0x3a3f64(0x19c7)],'clearAll':![]},{'name':_0x3a3f64(0x23a8),'key':_0x3a3f64(0xa80),'type':'multiselect','label':_0x3a3f64(0x1c0e),'options':_0x323c2c[_0x3a3f64(0x1b86)][_0x3a3f64(0x19c7)],'placeholder':_0x3a3f64(0x66f)}];}function _0x112431(_0x16938b){const _0x449b8=_0x399db9;_0x323c2c[_0x449b8(0x14eb)]=![],_0x323c2c[_0x449b8(0x1471)]=_0x16938b||{'count':0x0,'rows':[]};}function _0x560f4f(_0x417fe0){const _0x2e64d2=_0x399db9;_0x323c2c[_0x2e64d2(0x14eb)]=!![],_0x3aafe4();!_0x417fe0&&(_0x323c2c['query'][_0x2e64d2(0x844)]=0x1);_0x323c2c[_0x2e64d2(0x1a56)]['offset']=(_0x323c2c[_0x2e64d2(0x1a56)]['page']-0x1)*_0x323c2c[_0x2e64d2(0x1a56)][_0x2e64d2(0x221e)];let _0x5de0fd=_0x39e573[_0x2e64d2(0x1c39)][_0x2e64d2(0x1519)](_0x2e64d2(0x1f24)+_0x323c2c['currentUser']['id']);_0x5de0fd=_0x5de0fd?JSON[_0x2e64d2(0x975)](_0x5de0fd):{},_0x5de0fd['query']=_0x323c2c[_0x2e64d2(0x1a56)],_0x39e573[_0x2e64d2(0x1c39)]['setItem'](_0x2e64d2(0x1f24)+_0x323c2c['currentUser']['id'],JSON[_0x2e64d2(0x10bb)](_0x5de0fd)),_0x323c2c[_0x2e64d2(0xb9c)]=_0x4a0a7a[_0x2e64d2(0x18c3)]['get'](_0x323c2c[_0x2e64d2(0x1a56)],_0x112431)[_0x2e64d2(0x2945)];}function _0x11677c(_0x42fcfb){const _0x1d2237=_0x399db9;return _0x4a0a7a[_0x1d2237(0x18c3)][_0x1d2237(0x16b4)]({'id':_0x42fcfb})[_0x1d2237(0x2945)][_0x1d2237(0x146b)](function(_0x536280){_0x852778['$parent']['vm']['addContactTab'](_0x536280);});}function _0x21f205(){_0x560f4f();}function _0x5c3e5b(_0x935ad2,_0x4361c8){const _0x524cb3=_0x399db9;_0x13dce1['show']({'controller':_0x524cb3(0x11f3),'controllerAs':'vm','templateUrl':_0x3f981f,'parent':angular[_0x524cb3(0x1853)](_0x403cbd[_0x524cb3(0x2586)]),'targetEvent':_0x935ad2,'clickOutsideToClose':!![],'resolve':{'contact':[_0x524cb3(0x362),function(_0x2c3731){const _0x36e1df=_0x524cb3;return _0x2c3731['resolve'](_0x36e1df(0x17d4),{'id':_0x4361c8['id']});}],'lists':['apiResolver',function(_0x52ac72){const _0x5c459f=_0x524cb3;return _0x52ac72[_0x5c459f(0x2922)](_0x5c459f(0x22b6),{'id':_0x323c2c[_0x5c459f(0x2321)]['id'],'fields':_0x5c459f(0x7a7)});}]},'locals':{'contacts':_0x323c2c[_0x524cb3(0x1471)][_0x524cb3(0x19c7)]}});}function _0x554754(_0xbce99f,_0x1ae64d){const _0x3b93ed=_0x399db9;_0x13dce1['show']({'controller':'MergeContactDialogController','controllerAs':'vm','templateUrl':_0x1cccb8,'parent':angular[_0x3b93ed(0x1853)](_0x403cbd[_0x3b93ed(0x2586)]),'targetEvent':_0xbce99f,'clickOutsideToClose':!![],'resolve':{'contact':[_0x3b93ed(0x362),function(_0x56b036){const _0x2085f3=_0x3b93ed;return _0x56b036['resolve'](_0x2085f3(0x17d4),{'id':_0x1ae64d['id']});}],'lists':[_0x3b93ed(0x362),function(_0x54f5ff){const _0x23585f=_0x3b93ed;return _0x54f5ff['resolve'](_0x23585f(0x22b6),{'id':_0x323c2c[_0x23585f(0x2321)]['id'],'fields':'id,name'});}]},'locals':{'contacts':_0x323c2c[_0x3b93ed(0x1471)][_0x3b93ed(0x19c7)]}});}function _0x281fb0(){const _0x48caf9=_0x399db9;_0x323c2c[_0x48caf9(0x2516)]=![];}function _0x14c92(){const _0x4e3a33=_0x399db9;_0x323c2c[_0x4e3a33(0x2516)]=!![];}function _0x3aafe4(){const _0x857841=_0x399db9;return _0x4a0a7a['cmContact'][_0x857841(0x1ea2)]({'id':_0x323c2c[_0x857841(0x1a56)][_0x857841(0xb7c)]})[_0x857841(0x2945)]['then'](function(_0x3b4219){const _0x528aff=_0x857841;return _0x3f65c0()(_0x3b4219['toJSON']())[_0x528aff(0x2432)](_0x528aff(0xea2))[_0x528aff(0x81b)]()[_0x528aff(0x205)](function(_0x2607e7){return _0x3ffb0a(_0x2607e7);})[_0x528aff(0x175d)]();})['then'](function(_0x56250d){const _0x36aa95=_0x857841;_0x323c2c[_0x36aa95(0xe93)][_0x36aa95(0x2867)]=_0x56250d;const _0x48ab29={'name':_0x36aa95(0x2331),'column':'Tag','type':'multiselect','options':{'route':{'model':'tag','action':_0x36aa95(0x16b4),'params':{'nolimit':!![]}},'excludedOperators':['$notIn']}};let _0x26cdf5=_0x3f65c0()[_0x36aa95(0x99c)](_0x56250d,function(_0x3f481a){const _0xdf99be=_0x36aa95;return _0x3f65c0()[_0xdf99be(0xd4d)](_0x3f481a[_0xdf99be(0x1dbb)],_0xdf99be(0x21ef));})||_0x56250d[_0x36aa95(0x402)]-0x1;if(_0x26cdf5<0x0)_0x26cdf5=_0x56250d[_0x36aa95(0x402)];_0x323c2c[_0x36aa95(0xe93)][_0x36aa95(0x2867)]['splice'](_0x26cdf5,0x0,_0x48ab29);})[_0x857841(0x129e)](function(_0x56049f){const _0x15804e=_0x857841;_0x14623f[_0x15804e(0x1980)]({'title':_0x56049f[_0x15804e(0x107b)]?_0x15804e(0x262a)+_0x56049f[_0x15804e(0x107b)]+_0x15804e(0x1315)+_0x56049f[_0x15804e(0x167f)]:'DESCRIBE_CM_CONTACTS','msg':_0x56049f[_0x15804e(0x524)]?JSON[_0x15804e(0x10bb)](_0x56049f['data']['message']):_0x56049f[_0x15804e(0xd5f)]()});});}function _0x3ffb0a(_0x10d2d2){const _0x3d6f88=_0x399db9,_0x543090={'name':_0x3f65c0()[_0x3d6f88(0x20d1)](_0x10d2d2['displayName']),'column':_0x10d2d2[_0x3d6f88(0x1e1d)],'options':{}};if(_0x10d2d2[_0x3d6f88(0x1802)])switch(_0x10d2d2['custom'][_0x3d6f88(0x1142)]){case _0x3d6f88(0xa8d):_0x543090[_0x3d6f88(0x1142)]=_0x3d6f88(0xa8d);break;case _0x3d6f88(0xa5f):_0x543090[_0x3d6f88(0x1142)]=_0x3d6f88(0x175c),_0x543090[_0x3d6f88(0x81b)]=_0x3f65c0()[_0x3d6f88(0x205)](JSON[_0x3d6f88(0x975)](_0x10d2d2[_0x3d6f88(0x1802)][_0x3d6f88(0x81b)]),function(_0x56489b,_0x16cb1d){const _0x5c34be=_0x3d6f88;return{'id':_0x16cb1d,'name':_0x56489b[_0x5c34be(0x175d)],'value':_0x56489b[_0x5c34be(0x175d)]};}),_0x543090[_0x3d6f88(0xa08)][_0x3d6f88(0x65c)]=_0x3d6f88(0x19eb);break;case _0x3d6f88(0x1197):_0x543090['type']=_0x3d6f88(0xa5f),_0x543090[_0x3d6f88(0x81b)]=[{'id':0x1,'translate':'DASHBOARDS.ENABLED'},{'id':0x0,'translate':_0x3d6f88(0x1ab0)}],_0x543090[_0x3d6f88(0xa08)][_0x3d6f88(0x930)]=[_0x3d6f88(0x1671)];break;case'number':_0x543090[_0x3d6f88(0x1142)]=_0x3d6f88(0x181);break;case'datetime':_0x543090['type']=_0x3d6f88(0x1a10);break;}else switch(_0x10d2d2[_0x3d6f88(0x1e1d)]){case _0x3d6f88(0xc5c):_0x543090[_0x3d6f88(0x1142)]=_0x3d6f88(0x1a10);break;case _0x3d6f88(0xe7c):_0x543090[_0x3d6f88(0x19eb)]=_0x3d6f88(0x26c9),_0x543090[_0x3d6f88(0x1142)]='autocomplete',_0x543090[_0x3d6f88(0xa08)]['route']={'model':_0x3d6f88(0x134d),'action':_0x3d6f88(0x16b4),'params':{'fields':_0x3d6f88(0x7a7),'nolimit':!![]}},_0x543090['options'][_0x3d6f88(0x458)]=[_0x3d6f88(0x19eb)],_0x543090[_0x3d6f88(0xa08)][_0x3d6f88(0x930)]=[_0x3d6f88(0x1671)];break;case _0x3d6f88(0xb7c):_0x543090[_0x3d6f88(0x19eb)]=_0x3d6f88(0x1940),_0x543090['type']=_0x3d6f88(0x14ee),_0x543090[_0x3d6f88(0xa08)][_0x3d6f88(0xdb0)]={'model':_0x3d6f88(0xe7b),'action':_0x3d6f88(0x233d),'params':{'id':_0x323c2c[_0x3d6f88(0x2321)]['id'],'fields':_0x3d6f88(0x7a7),'nolimit':!![]}},_0x543090[_0x3d6f88(0xa08)][_0x3d6f88(0x458)]=[_0x3d6f88(0x19eb)],_0x543090[_0x3d6f88(0xa08)][_0x3d6f88(0x930)]=[_0x3d6f88(0x1671)];break;default:if(_0x3f65c0()[_0x3d6f88(0xa4e)](_0x10d2d2[_0x3d6f88(0x1142)][_0x3d6f88(0x256e)](),_0x3d6f88(0x81c)))_0x543090[_0x3d6f88(0x1142)]=_0x3d6f88(0x181);else _0x3f65c0()[_0x3d6f88(0xa4e)](_0x10d2d2[_0x3d6f88(0x1142)][_0x3d6f88(0x256e)](),_0x3d6f88(0x1a10))?_0x543090[_0x3d6f88(0x1142)]='date':_0x543090[_0x3d6f88(0x1142)]=_0x3d6f88(0xa8d);break;}return _0x543090;}function _0x54ed8f(){const _0x1a275b=_0x399db9;_0x323c2c[_0x1a275b(0x2516)]=![],_0x13dce1[_0x1a275b(0x2615)]({'controller':_0x1a275b(0x26bc),'controllerAs':'vm','templateUrl':_0x3fb128,'parent':angular[_0x1a275b(0x1853)](_0x403cbd['body']),'clickOutsideToClose':![],'locals':{'color':_0x323c2c[_0x1a275b(0x1527)],'fields':_0x323c2c[_0x1a275b(0xe93)][_0x1a275b(0x2867)],'storagePath':_0x1a275b(0xd54)},'fullscreen':!![]})['then'](function(_0xd577d5){const _0x4e635f=_0x1a275b;_0x323c2c['query'][_0x4e635f(0x21da)]=_0xd577d5===![]?undefined:_0xd577d5;if(_0x323c2c[_0x4e635f(0x1a56)]['search']||_0xd577d5===![])_0x560f4f();})[_0x1a275b(0x129e)](function(_0xfb198c){const _0x3dbe68=_0x1a275b;_0x14623f[_0x3dbe68(0x1980)]({'title':_0x3dbe68(0x10f5),'msg':_0xfb198c[_0x3dbe68(0x524)]?JSON[_0x3dbe68(0x10bb)](_0xfb198c[_0x3dbe68(0x524)][_0x3dbe68(0x7fd)]):_0xfb198c[_0x3dbe68(0xd5f)]()});});}let _0x463e8a=!![],_0x234335=0x1;_0x852778['$watch'](_0x399db9(0x2669),function(_0x3ebeb4,_0x5b7d21){const _0x5ee5a9=_0x399db9;_0x463e8a?_0x2a4968(function(){_0x463e8a=![];}):(!_0x5b7d21&&(_0x234335=_0x323c2c[_0x5ee5a9(0x1a56)][_0x5ee5a9(0x844)]),_0x3ebeb4!==_0x5b7d21&&(_0x323c2c['query'][_0x5ee5a9(0x844)]=0x1),!_0x3ebeb4&&(_0x323c2c[_0x5ee5a9(0x1a56)][_0x5ee5a9(0x844)]=_0x234335),_0x560f4f());});}const _0x3d6357=_0x25da9a;;_0x184cbc['$inject']=[_0x313a4d(0x910),_0x313a4d(0xa0a)];function _0x184cbc(_0x1853d6,_0x496b31){const _0x16f1ae=_0x313a4d;let _0x1761c4=_0x496b31(function(){},0x3e7);_0x1853d6[_0x16f1ae(0x16ad)](_0x16f1ae(0x116f),function(){const _0x1370cf=_0x16f1ae;_0x1761c4&&(_0x496b31[_0x1370cf(0x6c3)](_0x1761c4),_0x1761c4=null);});}const _0x257aa7=_0x184cbc;;_0x28c838[_0x313a4d(0x11c2)]=['$scope','$timeout',_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1aa9),_0x313a4d(0x214b),'api',_0x313a4d(0xa87),_0x313a4d(0x279d)];function _0x28c838(_0x26ecb3,_0x1adf46,_0x5a464a,_0x37357b,_0x2a3c4f,_0x40e46c,_0x3cce0a,_0xa8f862,_0x2a756c){const _0x2b8153=_0x313a4d,_0x34adea=this,_0x1c3f84=[_0x2b8153(0x1142),_0x2b8153(0x12a3),_0x2b8153(0x1a69),'dialActive'];_0x34adea[_0x2b8153(0xa27)]={},_0x34adea[_0x2b8153(0x2363)]=0x0,_0x34adea[_0x2b8153(0x144e)]={},_0x34adea[_0x2b8153(0x1b21)]={},_0x34adea[_0x2b8153(0x18e)]={},_0x34adea[_0x2b8153(0x2916)]={},_0x34adea['deleteVoiceQueuesChannels']=[],_0x34adea[_0x2b8153(0x2412)]=!![],_0x34adea['query']={'id':_0xa8f862[_0x2b8153(0xb12)]()['id'],'fields':_0x2b8153(0x1285),'channel':_0x2b8153(0xe6),'type':_0x2b8153(0xc9c),'limit':0xa,'page':0x1},_0x34adea[_0x2b8153(0x219)]={'limit':0xa,'page':0x1},_0x34adea[_0x2b8153(0x1c75)]=_0x445d15,_0x34adea[_0x2b8153(0x1b21)]=_0x149826,_0x34adea[_0x2b8153(0x1d9e)]=_0x42182f,_0x34adea['onSaveQueue']=_0x5c8cc9,_0x34adea['onSaveChannel']=_0x158888,_0x34adea['onUserVoiceQueue']=_0x20246a,_0x34adea[_0x2b8153(0x6ac)]=_0x350f03,_0x34adea[_0x2b8153(0x1ad)]=_0xeda343,_0x34adea[_0x2b8153(0x1df7)]=_0x5ef66b,_0x2a756c[_0x2b8153(0x13b7)](_0x2b8153(0x101d),_0x34adea[_0x2b8153(0x2606)]),_0x2a756c[_0x2b8153(0x13b7)](_0x2b8153(0x1c8),_0x34adea['onSaveChannel']),_0x2a756c[_0x2b8153(0x13b7)]('userVoiceQueue:save',_0x34adea[_0x2b8153(0x28fd)]),_0x2a756c[_0x2b8153(0x13b7)]('userVoiceQueue:remove',_0x34adea[_0x2b8153(0x28fd)]),_0x2a756c['onWithoutApply'](_0x2b8153(0x11a5),_0x34adea[_0x2b8153(0x6ac)]),_0x2a756c['onWithoutApply'](_0x2b8153(0x1907),_0x34adea['onRemoveVoiceQueue']),_0x2a756c[_0x2b8153(0x13b7)]('voiceQueue:update',_0x34adea[_0x2b8153(0x1ad)]),_0x3cce0a[_0x2b8153(0x1af7)][_0x2b8153(0x1b21)]()['$promise']['then'](function(_0xcd8a7b){const _0x18d6e9=_0x2b8153;return _0x34adea[_0x18d6e9(0x144e)]=_0xcd8a7b?_0x3f65c0()['keyBy'](_0xcd8a7b[_0x18d6e9(0x19c7)]?_0xcd8a7b[_0x18d6e9(0x19c7)]:[],'id'):{},_0x3cce0a[_0x18d6e9(0x1af7)][_0x18d6e9(0x151e)]()['$promise'];})[_0x2b8153(0x146b)](function(_0x42aab6){const _0x517eaa=_0x2b8153;_0x34adea['rpcVoiceQueuesChannels']=_0x42aab6?_0x3f65c0()['keyBy'](_0x42aab6[_0x517eaa(0x19c7)]?_0x3f65c0()['filter'](_0x42aab6[_0x517eaa(0x19c7)],{'type':_0x517eaa(0xc9c),'queuecallerleaveAt':null}):[],_0x517eaa(0x4f8)):{};})['catch'](function(_0x58a1a0){const _0x13b2dd=_0x2b8153;console[_0x13b2dd(0x1980)](_0x58a1a0);})[_0x2b8153(0x1ec6)](function(){const _0x5d04f9=_0x2b8153;_0x3f65c0()[_0x5d04f9(0x168d)](_0x34adea[_0x5d04f9(0x18e)],_0x34adea['addVoiceQueuesChannels']);for(let _0x2212bf=0x0;_0x2212bf<_0x34adea[_0x5d04f9(0x536)]['length'];_0x2212bf+=0x1){delete _0x34adea[_0x5d04f9(0x18e)][_0x34adea[_0x5d04f9(0x536)][_0x2212bf]];}_0x34adea[_0x5d04f9(0x2412)]=![],_0x34adea[_0x5d04f9(0x2916)]={},_0x34adea[_0x5d04f9(0x536)]=[],_0x34adea['getVoiceQueues']();});function _0x445d15(_0x51d816){const _0x58ba55=_0x2b8153;_0x34adea[_0x58ba55(0x2363)]=_0x51d816[_0x58ba55(0x51c)]?_0x51d816[_0x58ba55(0x51c)]:0x0,_0x34adea[_0x58ba55(0xa27)]=_0x51d816?_0x3f65c0()[_0x58ba55(0x194)](_0x51d816[_0x58ba55(0x19c7)]?_0x51d816['rows']:[],'id'):{},_0xcf2277();}function _0x149826(){const _0x50f385=_0x2b8153;_0x34adea['query'][_0x50f385(0x145d)]=(_0x34adea['query'][_0x50f385(0x844)]-0x1)*_0x34adea[_0x50f385(0x1a56)][_0x50f385(0x221e)],_0x34adea[_0x50f385(0xb9c)]=_0x3cce0a[_0x50f385(0xe7b)][_0x50f385(0xc53)](_0x34adea[_0x50f385(0x1a56)],_0x445d15)[_0x50f385(0x2945)];}let _0xd44daf=!![],_0x46d53c=0x1;_0x26ecb3[_0x2b8153(0x21e8)]('vm_dc.query.filter',function(_0x2053e5,_0x54c00e){const _0x200684=_0x2b8153;_0xd44daf?_0x1adf46(function(){_0xd44daf=![];}):(!_0x54c00e&&(_0x46d53c=_0x34adea[_0x200684(0x1a56)][_0x200684(0x844)]),_0x2053e5!==_0x54c00e&&(_0x34adea['query'][_0x200684(0x844)]=0x1),!_0x2053e5&&(_0x34adea[_0x200684(0x1a56)][_0x200684(0x844)]=_0x46d53c),_0x34adea[_0x200684(0x1b21)]());});function _0x42182f(_0x229970){const _0x149cfa=_0x2b8153;return _0x3f65c0()[_0x149cfa(0x4cc)](_0x229970);}function _0xcf2277(){const _0x3c34d3=_0x2b8153;_0x3f65c0()[_0x3c34d3(0x1a04)](_0x34adea[_0x3c34d3(0xa27)],function(_0x28a040,_0x2eedda){const _0xbe096b=_0x3c34d3;_0x34adea[_0xbe096b(0x144e)][_0x2eedda]&&(_0x34adea[_0xbe096b(0xa27)][_0x2eedda][_0xbe096b(0x12a3)]=_0x34adea[_0xbe096b(0x144e)][_0x2eedda][_0xbe096b(0x12a3)]||0x0);});}function _0x5c8cc9(_0x38aedd){const _0x424910=_0x2b8153;_0x34adea['voiceQueues'][_0x38aedd['id']]&&(_0x34adea[_0x424910(0x144e)][_0x38aedd['id']]&&(_0x34adea[_0x424910(0x144e)][_0x38aedd['id']]=_0x38aedd,_0x3f65c0()[_0x424910(0x168d)](_0x34adea[_0x424910(0xa27)][_0x38aedd['id']],_0x3f65c0()[_0x424910(0x40e)](_0x38aedd,_0x1c3f84))));}function _0x158888(_0x4f7b70){const _0x1b45fa=_0x2b8153;_0x4f7b70[_0x1b45fa(0x1142)]==='outbound'&&(_0x4f7b70['queuecallerleaveAt']?(delete _0x34adea[_0x1b45fa(0x18e)][_0x4f7b70[_0x1b45fa(0x4f8)]],_0x34adea['load']&&_0x34adea['deleteVoiceQueuesChannels'][_0x1b45fa(0x1f47)](_0x4f7b70[_0x1b45fa(0x4f8)])):(_0x34adea[_0x1b45fa(0x18e)][_0x4f7b70[_0x1b45fa(0x4f8)]]=_0x4f7b70,_0x34adea[_0x1b45fa(0x2412)]&&(_0x34adea['addVoiceQueuesChannels'][_0x4f7b70[_0x1b45fa(0x4f8)]]=_0x4f7b70)));}function _0x350f03(_0x2fe0f6){const _0xe43853=_0x2b8153;!_0x3f65c0()[_0xe43853(0x1b36)](_0x2fe0f6)&&(_0x34adea['rpcVoiceQueues'][_0x2fe0f6['id']]=_0x3f65c0()['pick'](_0x2fe0f6,_0x1c3f84));}function _0xeda343(_0x10b185){const _0x2bf08b=_0x2b8153;!_0x3f65c0()['isNil'](_0x10b185)&&(_0x34adea[_0x2bf08b(0x144e)][_0x10b185['id']]&&_0x3f65c0()[_0x2bf08b(0x168d)](_0x34adea['rpcVoiceQueues'][_0x10b185['id']],_0x3f65c0()[_0x2bf08b(0x40e)](_0x10b185,_0x1c3f84)),_0x34adea[_0x2bf08b(0xa27)][_0x10b185['id']]&&_0x3f65c0()[_0x2bf08b(0x168d)](_0x34adea[_0x2bf08b(0xa27)][_0x10b185['id']],_0x3f65c0()[_0x2bf08b(0x40e)](_0x10b185,_0x1c3f84)));}function _0x5ef66b(_0x56b58e){const _0x11c47c=_0x2b8153;!_0x3f65c0()['isNil'](_0x56b58e)&&(delete _0x34adea[_0x11c47c(0x144e)][_0x56b58e['id']],delete _0x34adea[_0x11c47c(0xa27)][_0x56b58e['id']]);}function _0x20246a(_0x5438e2){const _0x32b635=_0x2b8153;_0x3f65c0()[_0x32b635(0x13dc)](_0x5438e2[_0x32b635(0xea2)])===_0xa8f862['getCurrentUser']()['id']&&_0x34adea[_0x32b635(0x1b21)]();}_0x26ecb3['$on']('$destroy',function(){const _0x2e66a4=_0x2b8153;_0x2a756c[_0x2e66a4(0x1c5f)](_0x2e66a4(0x101d)),_0x2a756c[_0x2e66a4(0x1c5f)](_0x2e66a4(0x1c8)),_0x2a756c[_0x2e66a4(0x1c5f)]('userVoiceQueue:save'),_0x2a756c['removeAllListeners'](_0x2e66a4(0x1d3e)),_0x2a756c['removeAllListeners']('voiceQueue:save'),_0x2a756c[_0x2e66a4(0x1c5f)](_0x2e66a4(0x235b)),_0x2a756c[_0x2e66a4(0x1c5f)](_0x2e66a4(0x1907));});}const _0x5efbb3=_0x28c838;;_0xa91485[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1abe),_0x313a4d(0x10e8),'$document',_0x313a4d(0x1aa9),_0x313a4d(0x214b),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x279d)];function _0xa91485(_0x349ea3,_0x2cafdd,_0x34442f,_0x58a323,_0x56087e,_0x193144,_0x5a8744,_0x523318,_0x475e03){const _0x4fd338=_0x313a4d,_0x19337b=this,_0x4ae3bd=['id',_0x4fd338(0x19eb),_0x4fd338(0x18dd),_0x4fd338(0x12a3)];let _0x52a6f6=!![];_0x19337b[_0x4fd338(0x380)]={},_0x19337b['chatQueuesTotal']=0x0,_0x19337b[_0x4fd338(0x250)]={},_0x19337b['paginatedInteractions']=[],_0x19337b[_0x4fd338(0x1dcc)]=[],_0x19337b[_0x4fd338(0x2439)]={},_0x19337b[_0x4fd338(0x1a56)]={'id':_0x523318['getCurrentUser']()['id'],'channel':_0x4fd338(0xa7f),'fields':_0x4fd338(0x255b),'limit':0xa,'page':0x1},_0x19337b[_0x4fd338(0x219)]={'limit':0xa,'page':0x1},_0x19337b['success']=_0x39e118,_0x19337b[_0x4fd338(0x12fe)]=_0x3d5de3,_0x19337b['getSize']=_0x195ab8,_0x19337b[_0x4fd338(0x17e5)]=_0x5b941c,_0x19337b['paginate']=_0x5122b5,_0x19337b[_0x4fd338(0x2606)]=_0x26367f,_0x19337b[_0x4fd338(0xf92)]=_0x3e2c2a,_0x19337b['onUpdateChatQueue']=_0x357157,_0x19337b[_0x4fd338(0x100e)]=_0x3bb113,_0x19337b[_0x4fd338(0x1e63)]=_0x8152c1,_0x19337b['onRemoveUserChatQueue']=_0x4e2f51,_0x19337b[_0x4fd338(0x791)]=_0x120ac6,_0x19337b[_0x4fd338(0x25e)]=_0x2c3ec4,_0x475e03['onWithoutApply']('chat_queue:save',_0x19337b[_0x4fd338(0x2606)]),_0x475e03[_0x4fd338(0x13b7)](_0x4fd338(0x14c8),_0x19337b[_0x4fd338(0xf92)]),_0x475e03[_0x4fd338(0x13b7)](_0x4fd338(0x475),_0x19337b[_0x4fd338(0x2132)]),_0x475e03[_0x4fd338(0x13b7)](_0x4fd338(0x14e4),_0x19337b[_0x4fd338(0x100e)]),_0x475e03[_0x4fd338(0x13b7)](_0x4fd338(0x1128),_0x19337b['onSaveUserChatQueue']),_0x475e03[_0x4fd338(0x13b7)](_0x4fd338(0x24df),_0x19337b[_0x4fd338(0x117)]),_0x475e03[_0x4fd338(0x13b7)]('chat_queue_waiting_interaction:save',_0x19337b[_0x4fd338(0x791)]),_0x475e03[_0x4fd338(0x13b7)](_0x4fd338(0x2a8),_0x19337b[_0x4fd338(0x25e)]),_0x5a8744[_0x4fd338(0x1af7)][_0x4fd338(0x12fe)]()[_0x4fd338(0x2945)][_0x4fd338(0x146b)](function(_0x5e1e18){const _0x23d84b=_0x4fd338;_0x19337b['rpcChatQueues']=_0x5e1e18?_0x3f65c0()[_0x23d84b(0x194)](_0x5e1e18['rows']?_0x5e1e18['rows']:[],'id'):{};})[_0x4fd338(0x146b)](function(){const _0x1c0cb4=_0x4fd338;return _0x5a8744[_0x1c0cb4(0xe7b)][_0x1c0cb4(0xc53)]({'id':_0x523318[_0x1c0cb4(0xb12)]()['id'],'channel':_0x1c0cb4(0xa7f)})[_0x1c0cb4(0x2945)];})[_0x4fd338(0x146b)](function(_0x4aa439){const _0x16bc32=_0x4fd338;return _0x19337b['myQueues']=_0x4aa439[_0x16bc32(0x19c7)],_0x5a8744[_0x16bc32(0x1af7)][_0x16bc32(0x43b)]()['$promise'];})['then'](function(_0x352d35){const _0x42f5e2=_0x4fd338,_0x49bae9=_0x3f65c0()[_0x42f5e2(0x1dd6)](_0x352d35[_0x42f5e2(0x19c7)],function(_0x5db015){const _0x3bddc6=_0x42f5e2;return _0x3f65c0()[_0x3bddc6(0xa4e)](_0x19337b[_0x3bddc6(0x1794)],{'id':_0x5db015['queue_id']});});_0x352d35[_0x42f5e2(0x19c7)]=_0x49bae9,_0x352d35[_0x42f5e2(0x51c)]=_0x49bae9[_0x42f5e2(0x402)],_0x3f65c0()[_0x42f5e2(0x168d)](_0x19337b[_0x42f5e2(0x2439)],_0x352d35?_0x3f65c0()[_0x42f5e2(0x194)](_0x352d35['rows']?_0x352d35[_0x42f5e2(0x19c7)]:[],'id'):{});})[_0x4fd338(0x129e)](function(_0x7c2b2b){const _0x4cc091=_0x4fd338;console[_0x4cc091(0x1980)](_0x7c2b2b);})[_0x4fd338(0x1ec6)](function(){const _0x38ea48=_0x4fd338;for(let _0x4984b5=0x0;_0x4984b5<_0x19337b[_0x38ea48(0x1dcc)][_0x38ea48(0x402)];_0x4984b5+=0x1){delete _0x19337b[_0x38ea48(0x2439)][_0x19337b[_0x38ea48(0x1dcc)][_0x4984b5]];}_0x52a6f6=![],_0x19337b['deleteChatQueuesWaitingInteractions']=[],_0x3d5de3(),_0x5122b5();});function _0x5122b5(){const _0x5cd461=_0x4fd338,_0xcbfbc5=(_0x19337b[_0x5cd461(0x219)][_0x5cd461(0x844)]-0x1)*_0x19337b[_0x5cd461(0x219)][_0x5cd461(0x221e)];_0x19337b[_0x5cd461(0x24cb)]=_0x3f65c0()['orderBy'](_0x19337b[_0x5cd461(0x2439)],[_0x5cd461(0x11f0)],[_0x5cd461(0x2517)]),_0x19337b[_0x5cd461(0x1118)]=_0x3f65c0()[_0x5cd461(0x854)](_0x19337b[_0x5cd461(0x24cb)],_0xcbfbc5)[_0x5cd461(0x1298)](0x0,_0x19337b[_0x5cd461(0x219)]['limit']);}function _0x39e118(_0x2481b8){const _0x2df919=_0x4fd338;_0x19337b[_0x2df919(0x201)]=_0x2481b8[_0x2df919(0x51c)]?_0x2481b8[_0x2df919(0x51c)]:0x0,_0x19337b['chatQueues']=_0x2481b8?_0x3f65c0()[_0x2df919(0x194)](_0x2481b8[_0x2df919(0x19c7)]?_0x2481b8['rows']:[],'id'):{},_0x2db363();}function _0x3d5de3(){const _0x2b71f8=_0x4fd338;_0x19337b['query'][_0x2b71f8(0x145d)]=(_0x19337b['query']['page']-0x1)*_0x19337b[_0x2b71f8(0x1a56)]['limit'],_0x19337b[_0x2b71f8(0xb9c)]=_0x5a8744[_0x2b71f8(0xe7b)]['getQueues'](_0x19337b[_0x2b71f8(0x1a56)],_0x39e118)[_0x2b71f8(0x2945)];}let _0x5fd47a=!![],_0x40839c=0x1;_0x349ea3[_0x4fd338(0x21e8)]('vm_dc.query.filter',function(_0x1c12f7,_0x8abbe7){const _0x173189=_0x4fd338;_0x5fd47a?_0x2cafdd(function(){_0x5fd47a=![];}):(!_0x8abbe7&&(_0x40839c=_0x19337b['query'][_0x173189(0x844)]),_0x1c12f7!==_0x8abbe7&&(_0x19337b['query'][_0x173189(0x844)]=0x1),!_0x1c12f7&&(_0x19337b[_0x173189(0x1a56)][_0x173189(0x844)]=_0x40839c),_0x19337b['getChatQueues']());});function _0x195ab8(_0x3872ad){const _0x1d00a5=_0x4fd338;return _0x3f65c0()[_0x1d00a5(0x4cc)](_0x3872ad);}function _0x2db363(){const _0x1dc075=_0x4fd338;_0x3f65c0()[_0x1dc075(0x1a04)](_0x19337b[_0x1dc075(0x380)],function(_0x7e00b7,_0x23edbd){const _0x5567de=_0x1dc075;_0x19337b[_0x5567de(0x250)][_0x23edbd]&&(_0x19337b['chatQueues'][_0x23edbd][_0x5567de(0x12a3)]=_0x19337b[_0x5567de(0x250)][_0x23edbd][_0x5567de(0x12a3)]||0x0);});}function _0x26367f(_0x1a6031){const _0x524c27=_0x4fd338;_0x19337b[_0x524c27(0x380)][_0x1a6031['id']]&&(_0x19337b['rpcChatQueues'][_0x1a6031['id']]&&(_0x3f65c0()[_0x524c27(0x168d)](_0x19337b[_0x524c27(0x250)][_0x1a6031['id']],_0x3f65c0()[_0x524c27(0x40e)](_0x1a6031,_0x4ae3bd)),_0x3f65c0()[_0x524c27(0x168d)](_0x19337b['chatQueues'][_0x1a6031['id']],_0x3f65c0()[_0x524c27(0x40e)](_0x1a6031,_0x4ae3bd))));}function _0x3e2c2a(_0x469db5){const _0x3bad35=_0x4fd338;!_0x3f65c0()['isNil'](_0x469db5)&&(_0x19337b[_0x3bad35(0x250)][_0x469db5['id']]=_0x3f65c0()[_0x3bad35(0x40e)](_0x469db5,_0x4ae3bd));}function _0x357157(_0x680960){const _0x4ff5f2=_0x4fd338;!_0x3f65c0()[_0x4ff5f2(0x1b36)](_0x680960)&&(_0x19337b['rpcChatQueues'][_0x680960['id']]&&_0x3f65c0()[_0x4ff5f2(0x168d)](_0x19337b[_0x4ff5f2(0x250)][_0x680960['id']],_0x3f65c0()['pick'](_0x680960,_0x4ae3bd)),_0x19337b[_0x4ff5f2(0x380)][_0x680960['id']]&&_0x3f65c0()[_0x4ff5f2(0x168d)](_0x19337b[_0x4ff5f2(0x380)][_0x680960['id']],_0x3f65c0()[_0x4ff5f2(0x40e)](_0x680960,_0x4ae3bd)));}function _0x3bb113(_0x1efa58){const _0x4d4346=_0x4fd338;!_0x3f65c0()['isNil'](_0x1efa58)&&(delete _0x19337b[_0x4d4346(0x250)][_0x1efa58['id']],delete _0x19337b[_0x4d4346(0x380)][_0x1efa58['id']]);}function _0x8152c1(_0x1a230c){const _0x3d89d1=_0x4fd338;_0x3f65c0()[_0x3d89d1(0x13dc)](_0x1a230c[_0x3d89d1(0xea2)])===_0x523318[_0x3d89d1(0xb12)]()['id']&&_0x19337b[_0x3d89d1(0x12fe)]();}function _0x4e2f51(_0x447260){const _0x1c9a7e=_0x4fd338;_0x3f65c0()[_0x1c9a7e(0x13dc)](_0x447260[_0x1c9a7e(0xea2)])===_0x523318[_0x1c9a7e(0xb12)]()['id']&&_0x19337b['getChatQueues']();}function _0x120ac6(_0xbc1e16){const _0x4953e1=_0x4fd338;_0x19337b[_0x4953e1(0x380)][_0xbc1e16[_0x4953e1(0x1c2a)]]&&(_0x19337b[_0x4953e1(0x2439)][_0xbc1e16['id']]=_0xbc1e16,_0x5122b5());}function _0x2c3ec4(_0x14cd2a){const _0x18c5c2=_0x4fd338;delete _0x19337b['rpcChatQueuesWaitingInteractions'][_0x14cd2a['id']],_0x52a6f6&&_0x19337b[_0x18c5c2(0x1dcc)][_0x18c5c2(0x1f47)](_0x14cd2a['id']),_0x5122b5();}function _0x5b941c(_0x206fde){const _0x537775=_0x4fd338;switch(_0x206fde){case'chat':return _0x537775(0xde9);case _0x537775(0x56b):return _0x537775(0x463);case _0x537775(0x929):return _0x537775(0xd4f);case _0x537775(0xff9):return'lime-A700-bg';case'openchannel':return'amber-A200-bg';case _0x537775(0x22d9):return _0x537775(0x18f6);default:return'white-bg';}}_0x349ea3[_0x4fd338(0x16ad)](_0x4fd338(0x116f),function(){const _0x4d8691=_0x4fd338;_0x475e03[_0x4d8691(0x1c5f)](_0x4d8691(0x1e10)),_0x475e03[_0x4d8691(0x1c5f)]('chatQueue:save'),_0x475e03[_0x4d8691(0x1c5f)]('chatQueue:update'),_0x475e03[_0x4d8691(0x1c5f)]('chatQueue:remove'),_0x475e03[_0x4d8691(0x1c5f)](_0x4d8691(0x1128)),_0x475e03['removeAllListeners'](_0x4d8691(0x24df)),_0x475e03[_0x4d8691(0x1c5f)]('chat_queue_waiting_interaction:save'),_0x475e03[_0x4d8691(0x1c5f)](_0x4d8691(0x2a8));});}const _0x5aa209=_0xa91485;;_0x480f67[_0x313a4d(0x11c2)]=['$scope','$timeout',_0x313a4d(0x10e8),_0x313a4d(0x4d8),'$window',_0x313a4d(0x214b),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x279d)];function _0x480f67(_0x47325b,_0x4be4f9,_0x2176dc,_0xdf0a00,_0x373838,_0x15c914,_0x247a45,_0x4c0a38,_0x4639c2){const _0x24e037=_0x313a4d,_0x467e0c=this,_0x35474a=['id',_0x24e037(0x19eb),_0x24e037(0x18dd),_0x24e037(0x12a3)];let _0x5e2261=!![];_0x467e0c[_0x24e037(0x27fa)]={},_0x467e0c[_0x24e037(0x1b20)]=0x0,_0x467e0c['rpcFaxQueues']={},_0x467e0c[_0x24e037(0x24cb)]=[],_0x467e0c[_0x24e037(0x1934)]=[],_0x467e0c[_0x24e037(0x23e4)]={},_0x467e0c[_0x24e037(0x1a56)]={'id':_0x4c0a38[_0x24e037(0xb12)]()['id'],'channel':_0x24e037(0x22d9),'fields':_0x24e037(0x255b),'limit':0xa,'page':0x1},_0x467e0c[_0x24e037(0x219)]={'limit':0xa,'page':0x1},_0x467e0c[_0x24e037(0x1c75)]=_0x9aadd5,_0x467e0c[_0x24e037(0xbbc)]=_0xaf5234,_0x467e0c[_0x24e037(0x1d9e)]=_0x46be36,_0x467e0c[_0x24e037(0x17e5)]=_0x1cad67,_0x467e0c['paginate']=_0x45aa94,_0x467e0c[_0x24e037(0x2606)]=_0xbab3f,_0x467e0c['onSaveFaxQueue']=_0x5fdfab,_0x467e0c[_0x24e037(0x25e0)]=_0x4a878f,_0x467e0c[_0x24e037(0x1524)]=_0x4ac3b6,_0x467e0c[_0x24e037(0xe13)]=_0x409316,_0x467e0c[_0x24e037(0x137f)]=_0x13a561,_0x467e0c[_0x24e037(0x227f)]=_0x4eccfa,_0x467e0c['onRemoveFaxQueueChannel']=_0x4c1ddb,_0x4639c2[_0x24e037(0x13b7)](_0x24e037(0x1d46),_0x467e0c['onSaveQueue']),_0x4639c2['onWithoutApply'](_0x24e037(0x1574),_0x467e0c[_0x24e037(0x27ec)]),_0x4639c2[_0x24e037(0x13b7)](_0x24e037(0x143),_0x467e0c['onUpdateFaxQueue']),_0x4639c2[_0x24e037(0x13b7)](_0x24e037(0x99b),_0x467e0c[_0x24e037(0x1524)]),_0x4639c2[_0x24e037(0x13b7)](_0x24e037(0x48a),_0x467e0c['onSaveUserFaxQueue']),_0x4639c2['onWithoutApply'](_0x24e037(0x193),_0x467e0c[_0x24e037(0x137f)]),_0x4639c2[_0x24e037(0x13b7)](_0x24e037(0x184f),_0x467e0c[_0x24e037(0x227f)]),_0x4639c2['onWithoutApply']('fax_queue_waiting_interaction:remove',_0x467e0c[_0x24e037(0x1a08)]),_0x247a45[_0x24e037(0x1af7)][_0x24e037(0xbbc)]()[_0x24e037(0x2945)][_0x24e037(0x146b)](function(_0x342d11){const _0x1dbd06=_0x24e037;_0x467e0c[_0x1dbd06(0xeff)]=_0x342d11?_0x3f65c0()['keyBy'](_0x342d11[_0x1dbd06(0x19c7)]?_0x342d11[_0x1dbd06(0x19c7)]:[],'id'):{};})['then'](function(){const _0x33023d=_0x24e037;return _0x247a45[_0x33023d(0xe7b)][_0x33023d(0xc53)]({'id':_0x4c0a38['getCurrentUser']()['id'],'channel':'fax'})['$promise'];})[_0x24e037(0x146b)](function(_0x593a59){const _0x50ee67=_0x24e037;return _0x467e0c[_0x50ee67(0x1794)]=_0x593a59[_0x50ee67(0x19c7)],_0x247a45[_0x50ee67(0x1af7)][_0x50ee67(0x1f1)]()['$promise'];})[_0x24e037(0x146b)](function(_0x1a0845){const _0x37a846=_0x24e037,_0x381906=_0x3f65c0()['filter'](_0x1a0845[_0x37a846(0x19c7)],function(_0xb3a989){const _0x7342a3=_0x37a846;return _0x3f65c0()[_0x7342a3(0xa4e)](_0x467e0c[_0x7342a3(0x1794)],{'id':_0xb3a989[_0x7342a3(0x1c2a)]});});_0x1a0845[_0x37a846(0x19c7)]=_0x381906,_0x1a0845[_0x37a846(0x51c)]=_0x381906[_0x37a846(0x402)],_0x3f65c0()[_0x37a846(0x168d)](_0x467e0c[_0x37a846(0x23e4)],_0x1a0845?_0x3f65c0()[_0x37a846(0x194)](_0x1a0845['rows']?_0x1a0845['rows']:[],'id'):{});})[_0x24e037(0x129e)](function(_0x51dc7f){const _0x468949=_0x24e037;console[_0x468949(0x1980)](_0x51dc7f);})[_0x24e037(0x1ec6)](function(){const _0x2c950f=_0x24e037;for(let _0x3a9dcc=0x0;_0x3a9dcc<_0x467e0c['deleteFaxQueuesWaitingInteractions'][_0x2c950f(0x402)];_0x3a9dcc+=0x1){delete _0x467e0c['rpcFaxQueuesWaitingInteractions'][_0x467e0c[_0x2c950f(0x1934)][_0x3a9dcc]];}_0x5e2261=![],_0x467e0c[_0x2c950f(0x1934)]=[],_0xaf5234(),_0x45aa94();});function _0x45aa94(){const _0x37a7e6=_0x24e037,_0x1083c0=(_0x467e0c[_0x37a7e6(0x219)][_0x37a7e6(0x844)]-0x1)*_0x467e0c[_0x37a7e6(0x219)][_0x37a7e6(0x221e)];_0x467e0c[_0x37a7e6(0x24cb)]=_0x3f65c0()['orderBy'](_0x467e0c['rpcFaxQueuesWaitingInteractions'],['joinAt'],[_0x37a7e6(0x2517)]),_0x467e0c[_0x37a7e6(0x1118)]=_0x3f65c0()['drop'](_0x467e0c[_0x37a7e6(0x24cb)],_0x1083c0)[_0x37a7e6(0x1298)](0x0,_0x467e0c['queryChannels'][_0x37a7e6(0x221e)]);}function _0x9aadd5(_0x543bea){const _0x460e1b=_0x24e037;_0x467e0c[_0x460e1b(0x1b20)]=_0x543bea[_0x460e1b(0x51c)]?_0x543bea['count']:0x0,_0x467e0c['faxQueues']=_0x543bea?_0x3f65c0()['keyBy'](_0x543bea[_0x460e1b(0x19c7)]?_0x543bea['rows']:[],'id'):{},_0x256346();}function _0xaf5234(){const _0x29c8e0=_0x24e037;_0x467e0c[_0x29c8e0(0x1a56)]['offset']=(_0x467e0c['query'][_0x29c8e0(0x844)]-0x1)*_0x467e0c['query']['limit'],_0x467e0c[_0x29c8e0(0xb9c)]=_0x247a45[_0x29c8e0(0xe7b)][_0x29c8e0(0xc53)](_0x467e0c[_0x29c8e0(0x1a56)],_0x9aadd5)[_0x29c8e0(0x2945)];}let _0x5bc849=!![],_0x398961=0x1;_0x47325b['$watch'](_0x24e037(0x117f),function(_0x47d8d1,_0x3b3a8d){const _0x36f9c6=_0x24e037;_0x5bc849?_0x4be4f9(function(){_0x5bc849=![];}):(!_0x3b3a8d&&(_0x398961=_0x467e0c[_0x36f9c6(0x1a56)][_0x36f9c6(0x844)]),_0x47d8d1!==_0x3b3a8d&&(_0x467e0c[_0x36f9c6(0x1a56)][_0x36f9c6(0x844)]=0x1),!_0x47d8d1&&(_0x467e0c[_0x36f9c6(0x1a56)][_0x36f9c6(0x844)]=_0x398961),_0x467e0c['getFaxQueues']());});function _0x46be36(_0x4a1df0){const _0x3440d6=_0x24e037;return _0x3f65c0()[_0x3440d6(0x4cc)](_0x4a1df0);}function _0x256346(){const _0x3614c9=_0x24e037;_0x3f65c0()[_0x3614c9(0x1a04)](_0x467e0c['faxQueues'],function(_0x2a6848,_0x8d53a7){const _0x233917=_0x3614c9;_0x467e0c[_0x233917(0xeff)][_0x8d53a7]&&(_0x467e0c[_0x233917(0x27fa)][_0x8d53a7][_0x233917(0x12a3)]=_0x467e0c[_0x233917(0xeff)][_0x8d53a7]['waiting']||0x0);});}function _0xbab3f(_0x159697){const _0x1c890b=_0x24e037;_0x467e0c[_0x1c890b(0x27fa)][_0x159697['id']]&&(_0x467e0c[_0x1c890b(0xeff)][_0x159697['id']]&&(_0x3f65c0()[_0x1c890b(0x168d)](_0x467e0c['rpcFaxQueues'][_0x159697['id']],_0x3f65c0()[_0x1c890b(0x40e)](_0x159697,_0x35474a)),_0x3f65c0()['merge'](_0x467e0c['faxQueues'][_0x159697['id']],_0x3f65c0()[_0x1c890b(0x40e)](_0x159697,_0x35474a))));}function _0x5fdfab(_0x5d2475){const _0x43bfe4=_0x24e037;!_0x3f65c0()[_0x43bfe4(0x1b36)](_0x5d2475)&&(_0x467e0c[_0x43bfe4(0xeff)][_0x5d2475['id']]=_0x3f65c0()[_0x43bfe4(0x40e)](_0x5d2475,_0x35474a));}function _0x4a878f(_0xeaad98){const _0x5a37b9=_0x24e037;!_0x3f65c0()[_0x5a37b9(0x1b36)](_0xeaad98)&&(_0x467e0c['rpcFaxQueues'][_0xeaad98['id']]&&_0x3f65c0()[_0x5a37b9(0x168d)](_0x467e0c[_0x5a37b9(0xeff)][_0xeaad98['id']],_0x3f65c0()[_0x5a37b9(0x40e)](_0xeaad98,_0x35474a)),_0x467e0c[_0x5a37b9(0x27fa)][_0xeaad98['id']]&&_0x3f65c0()[_0x5a37b9(0x168d)](_0x467e0c[_0x5a37b9(0x27fa)][_0xeaad98['id']],_0x3f65c0()[_0x5a37b9(0x40e)](_0xeaad98,_0x35474a)));}function _0x4ac3b6(_0x512216){const _0x4f698e=_0x24e037;!_0x3f65c0()[_0x4f698e(0x1b36)](_0x512216)&&(delete _0x467e0c[_0x4f698e(0xeff)][_0x512216['id']],delete _0x467e0c['faxQueues'][_0x512216['id']]);}function _0x409316(_0x291a2d){const _0x266546=_0x24e037;_0x3f65c0()[_0x266546(0x13dc)](_0x291a2d[_0x266546(0xea2)])===_0x4c0a38[_0x266546(0xb12)]()['id']&&_0x467e0c[_0x266546(0xbbc)]();}function _0x13a561(_0x42b7ed){const _0x4f47fe=_0x24e037;_0x3f65c0()['toInteger'](_0x42b7ed['UserId'])===_0x4c0a38['getCurrentUser']()['id']&&_0x467e0c[_0x4f47fe(0xbbc)]();}function _0x4eccfa(_0x4c47d2){const _0x3e7b25=_0x24e037;_0x467e0c['faxQueues'][_0x4c47d2[_0x3e7b25(0x1c2a)]]&&(_0x467e0c[_0x3e7b25(0x23e4)][_0x4c47d2['id']]=_0x4c47d2,_0x45aa94());}function _0x4c1ddb(_0x36dddf){const _0x32f682=_0x24e037;delete _0x467e0c['rpcFaxQueuesWaitingInteractions'][_0x36dddf['id']],_0x5e2261&&_0x467e0c[_0x32f682(0x1934)][_0x32f682(0x1f47)](_0x36dddf['id']),_0x45aa94();}function _0x1cad67(_0x589e56){const _0x31342b=_0x24e037;switch(_0x589e56){case'chat':return _0x31342b(0xde9);case _0x31342b(0x56b):return'teal-bg';case _0x31342b(0x929):return _0x31342b(0xd4f);case _0x31342b(0xff9):return _0x31342b(0x1ee7);case _0x31342b(0x7d9):return'amber-A200-bg';case _0x31342b(0x22d9):return'blue-grey-A200-bg';default:return _0x31342b(0x179b);}}_0x47325b['$on'](_0x24e037(0x116f),function(){const _0x467fe2=_0x24e037;_0x4639c2[_0x467fe2(0x1c5f)](_0x467fe2(0x1d46)),_0x4639c2[_0x467fe2(0x1c5f)]('faxQueue:save'),_0x4639c2[_0x467fe2(0x1c5f)](_0x467fe2(0x143)),_0x4639c2[_0x467fe2(0x1c5f)](_0x467fe2(0x99b)),_0x4639c2['removeAllListeners']('userFaxQueue:save'),_0x4639c2['removeAllListeners'](_0x467fe2(0x193)),_0x4639c2[_0x467fe2(0x1c5f)]('fax_queue_waiting_interaction:save'),_0x4639c2[_0x467fe2(0x1c5f)](_0x467fe2(0xfc9));});}const _0x168f30=_0x480f67;;_0x212ebe[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1abe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1aa9),'$translate','api',_0x313a4d(0xa87),_0x313a4d(0x279d)];function _0x212ebe(_0x112c5a,_0x19277c,_0x57e8df,_0x3f7c5d,_0x458d89,_0x418dbd,_0x4711d3,_0x26cb7a,_0x20615c){const _0x158ad6=_0x313a4d,_0x28f6d8=this,_0x2ac1bb=['id',_0x158ad6(0x19eb),_0x158ad6(0x18dd),'waiting'];let _0x572830=!![];_0x28f6d8[_0x158ad6(0x2318)]={},_0x28f6d8[_0x158ad6(0x1d88)]=0x0,_0x28f6d8['rpcMailQueues']={},_0x28f6d8['paginatedInteractions']=[],_0x28f6d8[_0x158ad6(0xd98)]=[],_0x28f6d8['rpcMailQueuesWaitingInteractions']={},_0x28f6d8[_0x158ad6(0x1a56)]={'id':_0x26cb7a[_0x158ad6(0xb12)]()['id'],'channel':_0x158ad6(0x56b),'fields':_0x158ad6(0x255b),'limit':0xa,'page':0x1},_0x28f6d8[_0x158ad6(0x219)]={'limit':0xa,'page':0x1},_0x28f6d8[_0x158ad6(0x1c75)]=_0x20ba9f,_0x28f6d8[_0x158ad6(0xaea)]=_0x54a9a5,_0x28f6d8['getSize']=_0x3e8063,_0x28f6d8[_0x158ad6(0x17e5)]=_0xd68ada,_0x28f6d8[_0x158ad6(0x51d)]=_0x1c504a,_0x28f6d8[_0x158ad6(0x2606)]=_0x17b4db,_0x28f6d8[_0x158ad6(0x1ab8)]=_0x3d9bd4,_0x28f6d8[_0x158ad6(0xb3e)]=_0x2fdb81,_0x28f6d8['onRemoveMailQueue']=_0x2265a2,_0x28f6d8[_0x158ad6(0x141a)]=_0x13d431,_0x28f6d8[_0x158ad6(0x184c)]=_0x4ea54c,_0x28f6d8[_0x158ad6(0x296)]=_0x264a4b,_0x28f6d8[_0x158ad6(0x2627)]=_0x150db4,_0x20615c[_0x158ad6(0x13b7)](_0x158ad6(0x1a8b),_0x28f6d8['onSaveQueue']),_0x20615c['onWithoutApply'](_0x158ad6(0x64b),_0x28f6d8[_0x158ad6(0x1ab8)]),_0x20615c[_0x158ad6(0x13b7)](_0x158ad6(0x239),_0x28f6d8[_0x158ad6(0xb3e)]),_0x20615c['onWithoutApply']('mailQueue:remove',_0x28f6d8[_0x158ad6(0x1c97)]),_0x20615c[_0x158ad6(0x13b7)](_0x158ad6(0x1150),_0x28f6d8[_0x158ad6(0x141a)]),_0x20615c[_0x158ad6(0x13b7)](_0x158ad6(0x4d1),_0x28f6d8[_0x158ad6(0x184c)]),_0x20615c[_0x158ad6(0x13b7)](_0x158ad6(0x2334),_0x28f6d8['onSaveMailQueueChannel']),_0x20615c['onWithoutApply']('mail_queue_waiting_interaction:remove',_0x28f6d8['onRemoveMailQueueChannel']),_0x4711d3[_0x158ad6(0x1af7)][_0x158ad6(0xaea)]()['$promise']['then'](function(_0x45010b){const _0xfcaa59=_0x158ad6;_0x28f6d8[_0xfcaa59(0x2838)]=_0x45010b?_0x3f65c0()['keyBy'](_0x45010b['rows']?_0x45010b[_0xfcaa59(0x19c7)]:[],'id'):{};})[_0x158ad6(0x146b)](function(){const _0x30b6cc=_0x158ad6;return _0x4711d3[_0x30b6cc(0xe7b)][_0x30b6cc(0xc53)]({'id':_0x26cb7a[_0x30b6cc(0xb12)]()['id'],'channel':_0x30b6cc(0x56b)})['$promise'];})[_0x158ad6(0x146b)](function(_0x175e5c){const _0xc4ed79=_0x158ad6;return _0x28f6d8['myQueues']=_0x175e5c[_0xc4ed79(0x19c7)],_0x4711d3[_0xc4ed79(0x1af7)]['getMailQueuesWaitingInteractions']()[_0xc4ed79(0x2945)];})[_0x158ad6(0x146b)](function(_0x20c9e4){const _0x57b370=_0x158ad6,_0x1a85ff=_0x3f65c0()['filter'](_0x20c9e4[_0x57b370(0x19c7)],function(_0x5bab73){const _0x3e9496=_0x57b370;return _0x3f65c0()[_0x3e9496(0xa4e)](_0x28f6d8[_0x3e9496(0x1794)],{'id':_0x5bab73[_0x3e9496(0x1c2a)]});});_0x20c9e4[_0x57b370(0x19c7)]=_0x1a85ff,_0x20c9e4[_0x57b370(0x51c)]=_0x1a85ff[_0x57b370(0x402)],_0x3f65c0()[_0x57b370(0x168d)](_0x28f6d8[_0x57b370(0x1b0f)],_0x20c9e4?_0x3f65c0()[_0x57b370(0x194)](_0x20c9e4[_0x57b370(0x19c7)]?_0x20c9e4['rows']:[],'id'):{});})[_0x158ad6(0x129e)](function(_0x2337b4){console['error'](_0x2337b4);})[_0x158ad6(0x1ec6)](function(){const _0x4be8f5=_0x158ad6;for(let _0x1b4984=0x0;_0x1b4984<_0x28f6d8[_0x4be8f5(0xd98)][_0x4be8f5(0x402)];_0x1b4984+=0x1){delete _0x28f6d8[_0x4be8f5(0x1b0f)][_0x28f6d8[_0x4be8f5(0xd98)][_0x1b4984]];}_0x572830=![],_0x28f6d8[_0x4be8f5(0xd98)]=[],_0x54a9a5(),_0x1c504a();});function _0x1c504a(){const _0x3926a6=_0x158ad6,_0x45d80d=(_0x28f6d8['queryChannels']['page']-0x1)*_0x28f6d8[_0x3926a6(0x219)][_0x3926a6(0x221e)];_0x28f6d8['paginatedInteractions']=_0x3f65c0()[_0x3926a6(0x979)](_0x28f6d8[_0x3926a6(0x1b0f)],['joinAt'],[_0x3926a6(0x2517)]),_0x28f6d8['interactions']=_0x3f65c0()[_0x3926a6(0x854)](_0x28f6d8['paginatedInteractions'],_0x45d80d)['slice'](0x0,_0x28f6d8['queryChannels']['limit']);}function _0x20ba9f(_0x1d80a1){const _0xbd67b4=_0x158ad6;_0x28f6d8[_0xbd67b4(0x1d88)]=_0x1d80a1[_0xbd67b4(0x51c)]?_0x1d80a1['count']:0x0,_0x28f6d8[_0xbd67b4(0x2318)]=_0x1d80a1?_0x3f65c0()['keyBy'](_0x1d80a1[_0xbd67b4(0x19c7)]?_0x1d80a1[_0xbd67b4(0x19c7)]:[],'id'):{},_0x585e9f();}function _0x54a9a5(){const _0x563080=_0x158ad6;_0x28f6d8['query'][_0x563080(0x145d)]=(_0x28f6d8[_0x563080(0x1a56)][_0x563080(0x844)]-0x1)*_0x28f6d8[_0x563080(0x1a56)]['limit'],_0x28f6d8[_0x563080(0xb9c)]=_0x4711d3[_0x563080(0xe7b)][_0x563080(0xc53)](_0x28f6d8[_0x563080(0x1a56)],_0x20ba9f)[_0x563080(0x2945)];}let _0x515934=!![],_0x5994d9=0x1;_0x112c5a[_0x158ad6(0x21e8)]('vm_dc.query.filter',function(_0x15cea2,_0x590c22){const _0x19f5ba=_0x158ad6;_0x515934?_0x19277c(function(){_0x515934=![];}):(!_0x590c22&&(_0x5994d9=_0x28f6d8[_0x19f5ba(0x1a56)]['page']),_0x15cea2!==_0x590c22&&(_0x28f6d8['query'][_0x19f5ba(0x844)]=0x1),!_0x15cea2&&(_0x28f6d8[_0x19f5ba(0x1a56)][_0x19f5ba(0x844)]=_0x5994d9),_0x28f6d8[_0x19f5ba(0xaea)]());});function _0x3e8063(_0xfcff8d){return _0x3f65c0()['size'](_0xfcff8d);}function _0x585e9f(){_0x3f65c0()['forIn'](_0x28f6d8['mailQueues'],function(_0x54640b,_0x59bd08){const _0x448ef1=a0_0x3bb9;_0x28f6d8[_0x448ef1(0x2838)][_0x59bd08]&&(_0x28f6d8[_0x448ef1(0x2318)][_0x59bd08][_0x448ef1(0x12a3)]=_0x28f6d8['rpcMailQueues'][_0x59bd08]['waiting']||0x0);});}function _0x17b4db(_0x48bcf0){const _0x18be09=_0x158ad6;_0x28f6d8[_0x18be09(0x2318)][_0x48bcf0['id']]&&(_0x28f6d8[_0x18be09(0x2838)][_0x48bcf0['id']]&&(_0x3f65c0()['merge'](_0x28f6d8[_0x18be09(0x2838)][_0x48bcf0['id']],_0x3f65c0()[_0x18be09(0x40e)](_0x48bcf0,_0x2ac1bb)),_0x3f65c0()[_0x18be09(0x168d)](_0x28f6d8[_0x18be09(0x2318)][_0x48bcf0['id']],_0x3f65c0()['pick'](_0x48bcf0,_0x2ac1bb))));}function _0x3d9bd4(_0x220755){const _0x2442d3=_0x158ad6;!_0x3f65c0()[_0x2442d3(0x1b36)](_0x220755)&&(_0x28f6d8['rpcMailQueues'][_0x220755['id']]=_0x3f65c0()[_0x2442d3(0x40e)](_0x220755,_0x2ac1bb));}function _0x2fdb81(_0x83b1c2){const _0x5370ad=_0x158ad6;!_0x3f65c0()[_0x5370ad(0x1b36)](_0x83b1c2)&&(_0x28f6d8['rpcMailQueues'][_0x83b1c2['id']]&&_0x3f65c0()[_0x5370ad(0x168d)](_0x28f6d8['rpcMailQueues'][_0x83b1c2['id']],_0x3f65c0()[_0x5370ad(0x40e)](_0x83b1c2,_0x2ac1bb)),_0x28f6d8[_0x5370ad(0x2318)][_0x83b1c2['id']]&&_0x3f65c0()[_0x5370ad(0x168d)](_0x28f6d8[_0x5370ad(0x2318)][_0x83b1c2['id']],_0x3f65c0()[_0x5370ad(0x40e)](_0x83b1c2,_0x2ac1bb)));}function _0x2265a2(_0x113784){const _0xe21fe2=_0x158ad6;!_0x3f65c0()[_0xe21fe2(0x1b36)](_0x113784)&&(delete _0x28f6d8['rpcMailQueues'][_0x113784['id']],delete _0x28f6d8[_0xe21fe2(0x2318)][_0x113784['id']]);}function _0x13d431(_0x5c061a){const _0x1bef08=_0x158ad6;_0x3f65c0()[_0x1bef08(0x13dc)](_0x5c061a[_0x1bef08(0xea2)])===_0x26cb7a[_0x1bef08(0xb12)]()['id']&&_0x28f6d8[_0x1bef08(0xaea)]();}function _0x4ea54c(_0x1536f8){const _0x3b0fa2=_0x158ad6;_0x3f65c0()['toInteger'](_0x1536f8[_0x3b0fa2(0xea2)])===_0x26cb7a[_0x3b0fa2(0xb12)]()['id']&&_0x28f6d8['getMailQueues']();}function _0x264a4b(_0x166b6c){_0x28f6d8['mailQueues'][_0x166b6c['queue_id']]&&(_0x28f6d8['rpcMailQueuesWaitingInteractions'][_0x166b6c['id']]=_0x166b6c,_0x1c504a());}function _0x150db4(_0x33d214){const _0x31be62=_0x158ad6;delete _0x28f6d8['rpcMailQueuesWaitingInteractions'][_0x33d214['id']],_0x572830&&_0x28f6d8[_0x31be62(0xd98)][_0x31be62(0x1f47)](_0x33d214['id']),_0x1c504a();}function _0xd68ada(_0x24056c){const _0x5e2ca6=_0x158ad6;switch(_0x24056c){case _0x5e2ca6(0xa7f):return _0x5e2ca6(0xde9);case'mail':return _0x5e2ca6(0x463);case _0x5e2ca6(0x929):return'orange-bg';case _0x5e2ca6(0xff9):return _0x5e2ca6(0x1ee7);case _0x5e2ca6(0x7d9):return _0x5e2ca6(0x1d5b);case'fax':return _0x5e2ca6(0x18f6);default:return _0x5e2ca6(0x179b);}}_0x112c5a[_0x158ad6(0x16ad)](_0x158ad6(0x116f),function(){const _0x2f3ebb=_0x158ad6;_0x20615c['removeAllListeners'](_0x2f3ebb(0x1a8b)),_0x20615c[_0x2f3ebb(0x1c5f)](_0x2f3ebb(0x64b)),_0x20615c['removeAllListeners'](_0x2f3ebb(0x239)),_0x20615c[_0x2f3ebb(0x1c5f)](_0x2f3ebb(0xbd2)),_0x20615c[_0x2f3ebb(0x1c5f)](_0x2f3ebb(0x1150)),_0x20615c['removeAllListeners'](_0x2f3ebb(0x4d1)),_0x20615c[_0x2f3ebb(0x1c5f)](_0x2f3ebb(0x2334)),_0x20615c['removeAllListeners'](_0x2f3ebb(0xc91));});}const _0x3a12fd=_0x212ebe;;_0x1fc10c[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x1abe),'$mdDialog','$document',_0x313a4d(0x1aa9),_0x313a4d(0x214b),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x279d)];function _0x1fc10c(_0x49806c,_0x17d65b,_0x3ba0c9,_0x6d9a06,_0x2e3588,_0x3605d9,_0x3cc3fc,_0x5787e4,_0x115345){const _0x45e454=_0x313a4d,_0x3b7aea=this,_0x39aa3c=['id',_0x45e454(0x19eb),_0x45e454(0x18dd),_0x45e454(0x12a3)];let _0x319340=!![];_0x3b7aea[_0x45e454(0x1ebb)]={},_0x3b7aea[_0x45e454(0xdb7)]=0x0,_0x3b7aea[_0x45e454(0x2264)]={},_0x3b7aea[_0x45e454(0x24cb)]=[],_0x3b7aea[_0x45e454(0x2022)]=[],_0x3b7aea[_0x45e454(0x71b)]={},_0x3b7aea['query']={'id':_0x5787e4[_0x45e454(0xb12)]()['id'],'channel':_0x45e454(0x7d9),'fields':_0x45e454(0x255b),'limit':0xa,'page':0x1},_0x3b7aea[_0x45e454(0x219)]={'limit':0xa,'page':0x1},_0x3b7aea[_0x45e454(0x1c75)]=_0xdb0567,_0x3b7aea[_0x45e454(0x28d2)]=_0x3718c1,_0x3b7aea[_0x45e454(0x1d9e)]=_0x49bdb5,_0x3b7aea[_0x45e454(0x17e5)]=_0x43baf0,_0x3b7aea[_0x45e454(0x51d)]=_0x5d343a,_0x3b7aea[_0x45e454(0x2606)]=_0x1c9be1,_0x3b7aea[_0x45e454(0x2820)]=_0x3c2e48,_0x3b7aea[_0x45e454(0x20b2)]=_0x36ab77,_0x3b7aea[_0x45e454(0x1c94)]=_0x2f3e9a,_0x3b7aea[_0x45e454(0x13c1)]=_0x245d12,_0x3b7aea['onRemoveUserOpenchannelQueue']=_0x16a738,_0x3b7aea[_0x45e454(0x10f7)]=_0x6da7b7,_0x3b7aea[_0x45e454(0x1200)]=_0x157b7f,_0x115345['onWithoutApply'](_0x45e454(0x1d9d),_0x3b7aea[_0x45e454(0x2606)]),_0x115345['onWithoutApply'](_0x45e454(0xbdc),_0x3b7aea[_0x45e454(0x2820)]),_0x115345[_0x45e454(0x13b7)]('openchannelQueue:update',_0x3b7aea[_0x45e454(0x20b2)]),_0x115345[_0x45e454(0x13b7)](_0x45e454(0x18fd),_0x3b7aea[_0x45e454(0x1c94)]),_0x115345[_0x45e454(0x13b7)](_0x45e454(0x27e),_0x3b7aea['onSaveUserOpenchannelQueue']),_0x115345[_0x45e454(0x13b7)](_0x45e454(0x594),_0x3b7aea[_0x45e454(0xca6)]),_0x115345[_0x45e454(0x13b7)](_0x45e454(0xbe0),_0x3b7aea['onSaveOpenchannelQueueChannel']),_0x115345['onWithoutApply'](_0x45e454(0x9a8),_0x3b7aea[_0x45e454(0x1200)]),_0x3cc3fc[_0x45e454(0x1af7)][_0x45e454(0x28d2)]()[_0x45e454(0x2945)][_0x45e454(0x146b)](function(_0xbad75a){const _0x85b5b9=_0x45e454;_0x3b7aea[_0x85b5b9(0x2264)]=_0xbad75a?_0x3f65c0()[_0x85b5b9(0x194)](_0xbad75a['rows']?_0xbad75a[_0x85b5b9(0x19c7)]:[],'id'):{};})[_0x45e454(0x146b)](function(){const _0x263223=_0x45e454;return _0x3cc3fc['user']['getQueues']({'id':_0x5787e4[_0x263223(0xb12)]()['id'],'channel':'openchannel'})[_0x263223(0x2945)];})[_0x45e454(0x146b)](function(_0x2dd0a7){const _0x540fc3=_0x45e454;return _0x3b7aea[_0x540fc3(0x1794)]=_0x2dd0a7[_0x540fc3(0x19c7)],_0x3cc3fc[_0x540fc3(0x1af7)]['getOpenchannelQueuesWaitingInteractions']()[_0x540fc3(0x2945)];})['then'](function(_0x431101){const _0x2a5768=_0x45e454,_0x364fdf=_0x3f65c0()[_0x2a5768(0x1dd6)](_0x431101[_0x2a5768(0x19c7)],function(_0x5da266){const _0x27ffe3=_0x2a5768;return _0x3f65c0()[_0x27ffe3(0xa4e)](_0x3b7aea[_0x27ffe3(0x1794)],{'id':_0x5da266[_0x27ffe3(0x1c2a)]});});_0x431101[_0x2a5768(0x19c7)]=_0x364fdf,_0x431101['count']=_0x364fdf['length'],_0x3f65c0()['merge'](_0x3b7aea['rpcOpenchannelQueuesWaitingInteractions'],_0x431101?_0x3f65c0()['keyBy'](_0x431101[_0x2a5768(0x19c7)]?_0x431101[_0x2a5768(0x19c7)]:[],'id'):{});})['catch'](function(_0x6cbd6){const _0x5d8e8e=_0x45e454;console[_0x5d8e8e(0x1980)](_0x6cbd6);})['finally'](function(){const _0x110710=_0x45e454;for(let _0x5f18c4=0x0;_0x5f18c4<_0x3b7aea[_0x110710(0x2022)][_0x110710(0x402)];_0x5f18c4+=0x1){delete _0x3b7aea[_0x110710(0x71b)][_0x3b7aea['deleteOpenchannelQueuesWaitingInteractions'][_0x5f18c4]];}_0x319340=![],_0x3b7aea[_0x110710(0x2022)]=[],_0x3718c1(),_0x5d343a();});function _0x5d343a(){const _0x4e2a1c=_0x45e454,_0x55d8b0=(_0x3b7aea['queryChannels'][_0x4e2a1c(0x844)]-0x1)*_0x3b7aea[_0x4e2a1c(0x219)][_0x4e2a1c(0x221e)];_0x3b7aea[_0x4e2a1c(0x24cb)]=_0x3f65c0()['orderBy'](_0x3b7aea[_0x4e2a1c(0x71b)],[_0x4e2a1c(0x11f0)],[_0x4e2a1c(0x2517)]),_0x3b7aea[_0x4e2a1c(0x1118)]=_0x3f65c0()[_0x4e2a1c(0x854)](_0x3b7aea[_0x4e2a1c(0x24cb)],_0x55d8b0)['slice'](0x0,_0x3b7aea['queryChannels'][_0x4e2a1c(0x221e)]);}function _0xdb0567(_0x3a2f72){const _0x58cc63=_0x45e454;_0x3b7aea[_0x58cc63(0xdb7)]=_0x3a2f72['count']?_0x3a2f72[_0x58cc63(0x51c)]:0x0,_0x3b7aea[_0x58cc63(0x1ebb)]=_0x3a2f72?_0x3f65c0()[_0x58cc63(0x194)](_0x3a2f72['rows']?_0x3a2f72[_0x58cc63(0x19c7)]:[],'id'):{},_0x1d91e3();}function _0x3718c1(){const _0x1af0b5=_0x45e454;_0x3b7aea[_0x1af0b5(0x1a56)][_0x1af0b5(0x145d)]=(_0x3b7aea[_0x1af0b5(0x1a56)]['page']-0x1)*_0x3b7aea['query']['limit'],_0x3b7aea['promise']=_0x3cc3fc[_0x1af0b5(0xe7b)]['getQueues'](_0x3b7aea[_0x1af0b5(0x1a56)],_0xdb0567)[_0x1af0b5(0x2945)];}let _0x15be74=!![],_0x3583b9=0x1;_0x49806c[_0x45e454(0x21e8)](_0x45e454(0x117f),function(_0x15b426,_0x591087){const _0x464e01=_0x45e454;_0x15be74?_0x17d65b(function(){_0x15be74=![];}):(!_0x591087&&(_0x3583b9=_0x3b7aea[_0x464e01(0x1a56)][_0x464e01(0x844)]),_0x15b426!==_0x591087&&(_0x3b7aea['query'][_0x464e01(0x844)]=0x1),!_0x15b426&&(_0x3b7aea[_0x464e01(0x1a56)]['page']=_0x3583b9),_0x3b7aea[_0x464e01(0x28d2)]());});function _0x49bdb5(_0x4439a2){const _0x33616b=_0x45e454;return _0x3f65c0()[_0x33616b(0x4cc)](_0x4439a2);}function _0x1d91e3(){const _0x3af089=_0x45e454;_0x3f65c0()['forIn'](_0x3b7aea[_0x3af089(0x1ebb)],function(_0x1d9f35,_0x39699f){const _0x2484b1=_0x3af089;_0x3b7aea[_0x2484b1(0x2264)][_0x39699f]&&(_0x3b7aea[_0x2484b1(0x1ebb)][_0x39699f][_0x2484b1(0x12a3)]=_0x3b7aea[_0x2484b1(0x2264)][_0x39699f][_0x2484b1(0x12a3)]||0x0);});}function _0x1c9be1(_0x16c618){const _0x4469f7=_0x45e454;_0x3b7aea[_0x4469f7(0x1ebb)][_0x16c618['id']]&&(_0x3b7aea['rpcOpenchannelQueues'][_0x16c618['id']]&&(_0x3f65c0()[_0x4469f7(0x168d)](_0x3b7aea['rpcOpenchannelQueues'][_0x16c618['id']],_0x3f65c0()[_0x4469f7(0x40e)](_0x16c618,_0x39aa3c)),_0x3f65c0()['merge'](_0x3b7aea[_0x4469f7(0x1ebb)][_0x16c618['id']],_0x3f65c0()[_0x4469f7(0x40e)](_0x16c618,_0x39aa3c))));}function _0x3c2e48(_0x5374f7){const _0x17895d=_0x45e454;!_0x3f65c0()[_0x17895d(0x1b36)](_0x5374f7)&&(_0x3b7aea[_0x17895d(0x2264)][_0x5374f7['id']]=_0x3f65c0()[_0x17895d(0x40e)](_0x5374f7,_0x39aa3c));}function _0x36ab77(_0x4c5b0f){const _0x1884b6=_0x45e454;!_0x3f65c0()[_0x1884b6(0x1b36)](_0x4c5b0f)&&(_0x3b7aea[_0x1884b6(0x2264)][_0x4c5b0f['id']]&&_0x3f65c0()['merge'](_0x3b7aea[_0x1884b6(0x2264)][_0x4c5b0f['id']],_0x3f65c0()[_0x1884b6(0x40e)](_0x4c5b0f,_0x39aa3c)),_0x3b7aea[_0x1884b6(0x1ebb)][_0x4c5b0f['id']]&&_0x3f65c0()[_0x1884b6(0x168d)](_0x3b7aea[_0x1884b6(0x1ebb)][_0x4c5b0f['id']],_0x3f65c0()['pick'](_0x4c5b0f,_0x39aa3c)));}function _0x2f3e9a(_0xb1262b){const _0x5782a0=_0x45e454;!_0x3f65c0()['isNil'](_0xb1262b)&&(delete _0x3b7aea[_0x5782a0(0x2264)][_0xb1262b['id']],delete _0x3b7aea[_0x5782a0(0x1ebb)][_0xb1262b['id']]);}function _0x245d12(_0x2a5410){const _0x1cd785=_0x45e454;_0x3f65c0()[_0x1cd785(0x13dc)](_0x2a5410[_0x1cd785(0xea2)])===_0x5787e4['getCurrentUser']()['id']&&_0x3b7aea[_0x1cd785(0x28d2)]();}function _0x16a738(_0x2de55b){const _0x5972f4=_0x45e454;_0x3f65c0()[_0x5972f4(0x13dc)](_0x2de55b[_0x5972f4(0xea2)])===_0x5787e4[_0x5972f4(0xb12)]()['id']&&_0x3b7aea[_0x5972f4(0x28d2)]();}function _0x6da7b7(_0xb15a02){const _0x487e8e=_0x45e454;_0x3b7aea[_0x487e8e(0x1ebb)][_0xb15a02[_0x487e8e(0x1c2a)]]&&(_0x3b7aea[_0x487e8e(0x71b)][_0xb15a02['id']]=_0xb15a02,_0x5d343a());}function _0x157b7f(_0x3d033f){const _0x4827cf=_0x45e454;delete _0x3b7aea[_0x4827cf(0x71b)][_0x3d033f['id']],_0x319340&&_0x3b7aea['deleteOpenchannelQueuesWaitingInteractions']['push'](_0x3d033f['id']),_0x5d343a();}function _0x43baf0(_0x5020d8){const _0x127ed1=_0x45e454;switch(_0x5020d8){case'chat':return _0x127ed1(0xde9);case _0x127ed1(0x56b):return _0x127ed1(0x463);case _0x127ed1(0x929):return'orange-bg';case _0x127ed1(0xff9):return'lime-A700-bg';case _0x127ed1(0x7d9):return _0x127ed1(0x1d5b);case _0x127ed1(0x22d9):return _0x127ed1(0x18f6);default:return _0x127ed1(0x179b);}}_0x49806c[_0x45e454(0x16ad)]('$destroy',function(){const _0x752e70=_0x45e454;_0x115345['removeAllListeners']('openchannel_queue:save'),_0x115345[_0x752e70(0x1c5f)](_0x752e70(0xbdc)),_0x115345[_0x752e70(0x1c5f)](_0x752e70(0x2223)),_0x115345[_0x752e70(0x1c5f)](_0x752e70(0x18fd)),_0x115345[_0x752e70(0x1c5f)](_0x752e70(0x27e)),_0x115345[_0x752e70(0x1c5f)](_0x752e70(0x594)),_0x115345[_0x752e70(0x1c5f)](_0x752e70(0xbe0)),_0x115345[_0x752e70(0x1c5f)](_0x752e70(0x9a8));});}const _0x3a23e3=_0x1fc10c;;_0x3ba691[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1abe),'$mdDialog','$document',_0x313a4d(0x1aa9),_0x313a4d(0x214b),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x279d)];function _0x3ba691(_0x5b1327,_0x1ed896,_0x98ba6d,_0x1fca33,_0x5ccaaf,_0x5e54a0,_0x22604f,_0x47e392,_0x551f05){const _0x566728=_0x313a4d,_0xbf861b=this,_0x10d191=['id',_0x566728(0x19eb),_0x566728(0x18dd),_0x566728(0x12a3)];let _0x1e1c0a=!![];_0xbf861b[_0x566728(0x1bf4)]={},_0xbf861b[_0x566728(0x16bd)]=0x0,_0xbf861b['rpcSmsQueues']={},_0xbf861b[_0x566728(0x24cb)]=[],_0xbf861b['deleteSmsQueuesWaitingInteractions']=[],_0xbf861b['rpcSmsQueuesWaitingInteractions']={},_0xbf861b[_0x566728(0x1a56)]={'id':_0x47e392[_0x566728(0xb12)]()['id'],'channel':_0x566728(0x929),'fields':_0x566728(0x255b),'limit':0xa,'page':0x1},_0xbf861b['queryChannels']={'limit':0xa,'page':0x1},_0xbf861b[_0x566728(0x1c75)]=_0x1e5a13,_0xbf861b[_0x566728(0x20c3)]=_0x2091d8,_0xbf861b['getSize']=_0xe356d0,_0xbf861b['getColorByChannel']=_0x28e738,_0xbf861b[_0x566728(0x51d)]=_0x52d6a0,_0xbf861b['onSaveQueue']=_0x3f08dd,_0xbf861b[_0x566728(0x1517)]=_0x239975,_0xbf861b[_0x566728(0x38b)]=_0x107dfd,_0xbf861b['onRemoveSmsQueue']=_0xddc569,_0xbf861b['onSaveUserSmsQueue']=_0x34a17f,_0xbf861b[_0x566728(0x720)]=_0xd191f2,_0xbf861b[_0x566728(0x4b9)]=_0xc2dc9,_0xbf861b['onRemoveSmsQueueChannel']=_0x2832a5,_0x551f05['onWithoutApply'](_0x566728(0x2066),_0xbf861b['onSaveQueue']),_0x551f05['onWithoutApply']('smsQueue:save',_0xbf861b[_0x566728(0x1517)]),_0x551f05[_0x566728(0x13b7)]('smsQueue:update',_0xbf861b['onUpdateSmsQueue']),_0x551f05[_0x566728(0x13b7)](_0x566728(0x1f97),_0xbf861b[_0x566728(0x14b)]),_0x551f05[_0x566728(0x13b7)](_0x566728(0x1ba4),_0xbf861b[_0x566728(0x1035)]),_0x551f05[_0x566728(0x13b7)](_0x566728(0xa5b),_0xbf861b[_0x566728(0x720)]),_0x551f05[_0x566728(0x13b7)](_0x566728(0x2637),_0xbf861b[_0x566728(0x4b9)]),_0x551f05[_0x566728(0x13b7)](_0x566728(0xa91),_0xbf861b[_0x566728(0x2405)]),_0x22604f[_0x566728(0x1af7)][_0x566728(0x20c3)]()[_0x566728(0x2945)][_0x566728(0x146b)](function(_0x457443){const _0xe41265=_0x566728;_0xbf861b[_0xe41265(0x1e25)]=_0x457443?_0x3f65c0()[_0xe41265(0x194)](_0x457443[_0xe41265(0x19c7)]?_0x457443[_0xe41265(0x19c7)]:[],'id'):{};})[_0x566728(0x146b)](function(){const _0x38e2c1=_0x566728;return _0x22604f[_0x38e2c1(0xe7b)][_0x38e2c1(0xc53)]({'id':_0x47e392['getCurrentUser']()['id'],'channel':_0x38e2c1(0x929)})[_0x38e2c1(0x2945)];})[_0x566728(0x146b)](function(_0x1901b8){const _0x49e1a1=_0x566728;return _0xbf861b[_0x49e1a1(0x1794)]=_0x1901b8[_0x49e1a1(0x19c7)],_0x22604f[_0x49e1a1(0x1af7)][_0x49e1a1(0x11d0)]()[_0x49e1a1(0x2945)];})[_0x566728(0x146b)](function(_0x3428fd){const _0x2a76b8=_0x566728,_0x1719f8=_0x3f65c0()[_0x2a76b8(0x1dd6)](_0x3428fd[_0x2a76b8(0x19c7)],function(_0x3118d7){const _0x5cdf56=_0x2a76b8;return _0x3f65c0()[_0x5cdf56(0xa4e)](_0xbf861b['myQueues'],{'id':_0x3118d7[_0x5cdf56(0x1c2a)]});});_0x3428fd[_0x2a76b8(0x19c7)]=_0x1719f8,_0x3428fd[_0x2a76b8(0x51c)]=_0x1719f8[_0x2a76b8(0x402)],_0x3f65c0()[_0x2a76b8(0x168d)](_0xbf861b['rpcSmsQueuesWaitingInteractions'],_0x3428fd?_0x3f65c0()['keyBy'](_0x3428fd['rows']?_0x3428fd['rows']:[],'id'):{});})[_0x566728(0x129e)](function(_0x4c7d91){const _0x1f0040=_0x566728;console[_0x1f0040(0x1980)](_0x4c7d91);})['finally'](function(){const _0x41b77c=_0x566728;for(let _0x157026=0x0;_0x157026<_0xbf861b[_0x41b77c(0x9c4)][_0x41b77c(0x402)];_0x157026+=0x1){delete _0xbf861b[_0x41b77c(0x1d3c)][_0xbf861b[_0x41b77c(0x9c4)][_0x157026]];}_0x1e1c0a=![],_0xbf861b[_0x41b77c(0x9c4)]=[],_0x2091d8(),_0x52d6a0();});function _0x52d6a0(){const _0x2f81c6=_0x566728,_0xde81cb=(_0xbf861b[_0x2f81c6(0x219)]['page']-0x1)*_0xbf861b['queryChannels'][_0x2f81c6(0x221e)];_0xbf861b[_0x2f81c6(0x24cb)]=_0x3f65c0()[_0x2f81c6(0x979)](_0xbf861b[_0x2f81c6(0x1d3c)],[_0x2f81c6(0x11f0)],['desc']),_0xbf861b['interactions']=_0x3f65c0()[_0x2f81c6(0x854)](_0xbf861b[_0x2f81c6(0x24cb)],_0xde81cb)[_0x2f81c6(0x1298)](0x0,_0xbf861b['queryChannels'][_0x2f81c6(0x221e)]);}function _0x1e5a13(_0x59a437){const _0x220dbb=_0x566728;_0xbf861b[_0x220dbb(0x16bd)]=_0x59a437[_0x220dbb(0x51c)]?_0x59a437[_0x220dbb(0x51c)]:0x0,_0xbf861b[_0x220dbb(0x1bf4)]=_0x59a437?_0x3f65c0()[_0x220dbb(0x194)](_0x59a437[_0x220dbb(0x19c7)]?_0x59a437[_0x220dbb(0x19c7)]:[],'id'):{},_0x2cb0f6();}function _0x2091d8(){const _0x1ff0ec=_0x566728;_0xbf861b['query'][_0x1ff0ec(0x145d)]=(_0xbf861b[_0x1ff0ec(0x1a56)]['page']-0x1)*_0xbf861b[_0x1ff0ec(0x1a56)][_0x1ff0ec(0x221e)],_0xbf861b[_0x1ff0ec(0xb9c)]=_0x22604f[_0x1ff0ec(0xe7b)][_0x1ff0ec(0xc53)](_0xbf861b[_0x1ff0ec(0x1a56)],_0x1e5a13)[_0x1ff0ec(0x2945)];}let _0x40c5df=!![],_0x47060b=0x1;_0x5b1327[_0x566728(0x21e8)]('vm_dc.query.filter',function(_0x22903f,_0x4066b9){const _0x45194a=_0x566728;_0x40c5df?_0x1ed896(function(){_0x40c5df=![];}):(!_0x4066b9&&(_0x47060b=_0xbf861b[_0x45194a(0x1a56)][_0x45194a(0x844)]),_0x22903f!==_0x4066b9&&(_0xbf861b[_0x45194a(0x1a56)][_0x45194a(0x844)]=0x1),!_0x22903f&&(_0xbf861b[_0x45194a(0x1a56)][_0x45194a(0x844)]=_0x47060b),_0xbf861b[_0x45194a(0x20c3)]());});function _0xe356d0(_0x5a8a02){return _0x3f65c0()['size'](_0x5a8a02);}function _0x2cb0f6(){const _0x2c1e05=_0x566728;_0x3f65c0()[_0x2c1e05(0x1a04)](_0xbf861b[_0x2c1e05(0x1bf4)],function(_0x498e62,_0x165d9c){const _0x32d178=_0x2c1e05;_0xbf861b['rpcSmsQueues'][_0x165d9c]&&(_0xbf861b[_0x32d178(0x1bf4)][_0x165d9c][_0x32d178(0x12a3)]=_0xbf861b[_0x32d178(0x1e25)][_0x165d9c]['waiting']||0x0);});}function _0x3f08dd(_0x3e9252){const _0x40e725=_0x566728;_0xbf861b[_0x40e725(0x1bf4)][_0x3e9252['id']]&&(_0xbf861b[_0x40e725(0x1e25)][_0x3e9252['id']]&&(_0x3f65c0()[_0x40e725(0x168d)](_0xbf861b[_0x40e725(0x1e25)][_0x3e9252['id']],_0x3f65c0()['pick'](_0x3e9252,_0x10d191)),_0x3f65c0()[_0x40e725(0x168d)](_0xbf861b[_0x40e725(0x1bf4)][_0x3e9252['id']],_0x3f65c0()[_0x40e725(0x40e)](_0x3e9252,_0x10d191))));}function _0x239975(_0x571944){const _0x7eccc8=_0x566728;!_0x3f65c0()[_0x7eccc8(0x1b36)](_0x571944)&&(_0xbf861b['rpcSmsQueues'][_0x571944['id']]=_0x3f65c0()['pick'](_0x571944,_0x10d191));}function _0x107dfd(_0xac9b65){const _0x21062b=_0x566728;!_0x3f65c0()[_0x21062b(0x1b36)](_0xac9b65)&&(_0xbf861b['rpcSmsQueues'][_0xac9b65['id']]&&_0x3f65c0()[_0x21062b(0x168d)](_0xbf861b[_0x21062b(0x1e25)][_0xac9b65['id']],_0x3f65c0()[_0x21062b(0x40e)](_0xac9b65,_0x10d191)),_0xbf861b['smsQueues'][_0xac9b65['id']]&&_0x3f65c0()[_0x21062b(0x168d)](_0xbf861b[_0x21062b(0x1bf4)][_0xac9b65['id']],_0x3f65c0()['pick'](_0xac9b65,_0x10d191)));}function _0xddc569(_0x16ab84){const _0x3fbba0=_0x566728;!_0x3f65c0()[_0x3fbba0(0x1b36)](_0x16ab84)&&(delete _0xbf861b['rpcSmsQueues'][_0x16ab84['id']],delete _0xbf861b[_0x3fbba0(0x1bf4)][_0x16ab84['id']]);}function _0x34a17f(_0x5469b9){const _0x2ef3b5=_0x566728;_0x3f65c0()[_0x2ef3b5(0x13dc)](_0x5469b9['UserId'])===_0x47e392['getCurrentUser']()['id']&&_0xbf861b[_0x2ef3b5(0x20c3)]();}function _0xd191f2(_0x461fa5){const _0x4f2a9e=_0x566728;_0x3f65c0()[_0x4f2a9e(0x13dc)](_0x461fa5[_0x4f2a9e(0xea2)])===_0x47e392['getCurrentUser']()['id']&&_0xbf861b[_0x4f2a9e(0x20c3)]();}function _0xc2dc9(_0x718ac7){const _0x283cb0=_0x566728;_0xbf861b[_0x283cb0(0x1bf4)][_0x718ac7[_0x283cb0(0x1c2a)]]&&(_0xbf861b[_0x283cb0(0x1d3c)][_0x718ac7['id']]=_0x718ac7,_0x52d6a0());}function _0x2832a5(_0x21e08c){const _0x159871=_0x566728;delete _0xbf861b[_0x159871(0x1d3c)][_0x21e08c['id']],_0x1e1c0a&&_0xbf861b['deleteSmsQueuesWaitingInteractions']['push'](_0x21e08c['id']),_0x52d6a0();}function _0x28e738(_0x684ee8){const _0x45f5a5=_0x566728;switch(_0x684ee8){case _0x45f5a5(0xa7f):return'green-A700-bg';case _0x45f5a5(0x56b):return _0x45f5a5(0x463);case _0x45f5a5(0x929):return _0x45f5a5(0xd4f);case _0x45f5a5(0xff9):return _0x45f5a5(0x1ee7);case _0x45f5a5(0x7d9):return'amber-A200-bg';case _0x45f5a5(0x22d9):return _0x45f5a5(0x18f6);default:return'white-bg';}}_0x5b1327[_0x566728(0x16ad)](_0x566728(0x116f),function(){const _0x511061=_0x566728;_0x551f05[_0x511061(0x1c5f)](_0x511061(0x2066)),_0x551f05[_0x511061(0x1c5f)](_0x511061(0x1e19)),_0x551f05[_0x511061(0x1c5f)](_0x511061(0xe8)),_0x551f05['removeAllListeners']('smsQueue:remove'),_0x551f05[_0x511061(0x1c5f)](_0x511061(0x1ba4)),_0x551f05[_0x511061(0x1c5f)](_0x511061(0xa5b)),_0x551f05[_0x511061(0x1c5f)](_0x511061(0x2637)),_0x551f05[_0x511061(0x1c5f)]('sms_queue_waiting_interaction:remove');});}const _0x244316=_0x3ba691;;_0x5beac7[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1abe),'$mdDialog','$document','$window',_0x313a4d(0x214b),_0x313a4d(0x247f),'Auth','socket'];function _0x5beac7(_0x255d00,_0xd9ed1d,_0x488ad8,_0x192a05,_0x24bcf9,_0x197400,_0x5aefe9,_0x57aa14,_0x30a672){const _0x4d5a1a=_0x313a4d,_0x14a683=this,_0x358df5=['type',_0x4d5a1a(0x12a3),_0x4d5a1a(0x1a69),_0x4d5a1a(0x1095)];_0x14a683[_0x4d5a1a(0xa27)]={},_0x14a683[_0x4d5a1a(0x2363)]=0x0,_0x14a683['rpcVoiceQueues']={},_0x14a683[_0x4d5a1a(0x1b21)]={},_0x14a683[_0x4d5a1a(0x18e)]={},_0x14a683[_0x4d5a1a(0x2916)]={},_0x14a683['deleteVoiceQueuesChannels']=[],_0x14a683[_0x4d5a1a(0x2412)]=!![],_0x14a683['query']={'id':_0x57aa14[_0x4d5a1a(0xb12)]()['id'],'fields':_0x4d5a1a(0x1285),'channel':'voice','type':_0x4d5a1a(0x7b0),'limit':0xa,'page':0x1},_0x14a683[_0x4d5a1a(0x219)]={'limit':0xa,'page':0x1},_0x14a683[_0x4d5a1a(0x1c75)]=_0x366ed9,_0x14a683[_0x4d5a1a(0x1b21)]=_0x9adb61,_0x14a683[_0x4d5a1a(0x1d9e)]=_0x4b323e,_0x14a683[_0x4d5a1a(0x2606)]=_0x25dff5,_0x14a683['onSaveChannel']=_0xcdf649,_0x14a683[_0x4d5a1a(0x28fd)]=_0x35c7dc,_0x14a683[_0x4d5a1a(0x6ac)]=_0x370fe8,_0x14a683['onUpdateVoiceQueue']=_0x595f4d,_0x14a683[_0x4d5a1a(0x1df7)]=_0x346497,_0x30a672[_0x4d5a1a(0x13b7)](_0x4d5a1a(0x101d),_0x14a683[_0x4d5a1a(0x2606)]),_0x30a672[_0x4d5a1a(0x13b7)](_0x4d5a1a(0x1c8),_0x14a683[_0x4d5a1a(0x663)]),_0x30a672[_0x4d5a1a(0x13b7)](_0x4d5a1a(0x109d),_0x14a683[_0x4d5a1a(0x28fd)]),_0x30a672[_0x4d5a1a(0x13b7)](_0x4d5a1a(0x1d3e),_0x14a683[_0x4d5a1a(0x28fd)]),_0x30a672[_0x4d5a1a(0x13b7)](_0x4d5a1a(0x11a5),_0x14a683[_0x4d5a1a(0x6ac)]),_0x30a672[_0x4d5a1a(0x13b7)]('voiceQueue:remove',_0x14a683[_0x4d5a1a(0x1df7)]),_0x30a672['onWithoutApply'](_0x4d5a1a(0x235b),_0x14a683[_0x4d5a1a(0x1ad)]),_0x5aefe9['user'][_0x4d5a1a(0xc53)](_0x14a683[_0x4d5a1a(0x1a56)])[_0x4d5a1a(0x2945)][_0x4d5a1a(0x146b)](function(_0x367f9e){const _0x3cc8da=_0x4d5a1a;return _0x14a683['myQueues']=_0x367f9e,_0x5aefe9[_0x3cc8da(0x1af7)][_0x3cc8da(0x1b21)]()[_0x3cc8da(0x2945)];})[_0x4d5a1a(0x146b)](function(_0xfdf792){const _0x1cc258=_0x4d5a1a,_0x6d9637=_0xfdf792[_0x1cc258(0x19c7)],_0x29759b=_0x3f65c0()['keyBy'](_0x6d9637,'id');for(let _0x24b174=0x0;_0x24b174<_0x14a683[_0x1cc258(0x1794)][_0x1cc258(0x51c)];_0x24b174++){const _0x5e25c2=_0x14a683[_0x1cc258(0x1794)][_0x1cc258(0x19c7)][_0x24b174];_0x14a683['rpcVoiceQueues'][_0x5e25c2['id']]=_0x29759b[_0x5e25c2['id']];}return _0x5aefe9[_0x1cc258(0x1af7)][_0x1cc258(0x151e)]()[_0x1cc258(0x2945)];})[_0x4d5a1a(0x146b)](function(_0x2179ba){const _0x51db0e=_0x4d5a1a;_0x14a683[_0x51db0e(0x18e)]=_0x2179ba?_0x3f65c0()[_0x51db0e(0x194)](_0x2179ba[_0x51db0e(0x19c7)]?_0x3f65c0()['filter'](_0x2179ba[_0x51db0e(0x19c7)],function(_0x2510b5){const _0x3b0b26=_0x51db0e;if(_0x2510b5['type']==='inbound'&&!_0x2510b5[_0x3b0b26(0x2714)]&&_0x3f65c0()[_0x3b0b26(0xc84)](_0x14a683[_0x3b0b26(0x1794)][_0x3b0b26(0x19c7)],{'name':_0x2510b5[_0x3b0b26(0x1c72)]}))return!![];return![];}):[],_0x51db0e(0x4f8)):{};})['catch'](function(_0xb0eed6){const _0x2405f2=_0x4d5a1a;console[_0x2405f2(0x1980)](_0xb0eed6);})[_0x4d5a1a(0x1ec6)](function(){const _0x41ea05=_0x4d5a1a;_0x3f65c0()[_0x41ea05(0x168d)](_0x14a683[_0x41ea05(0x18e)],_0x14a683['addVoiceQueuesChannels']);for(let _0x5a7bf7=0x0;_0x5a7bf7<_0x14a683['deleteVoiceQueuesChannels'][_0x41ea05(0x402)];_0x5a7bf7+=0x1){delete _0x14a683[_0x41ea05(0x18e)][_0x14a683[_0x41ea05(0x536)][_0x5a7bf7]];}_0x14a683[_0x41ea05(0x2412)]=![],_0x14a683['addVoiceQueuesChannels']={},_0x14a683[_0x41ea05(0x536)]=[],_0x14a683[_0x41ea05(0x1b21)]();});function _0x366ed9(_0x2c6e5e){const _0x558893=_0x4d5a1a;_0x14a683['voiceQueuesTotal']=_0x2c6e5e[_0x558893(0x51c)]?_0x2c6e5e[_0x558893(0x51c)]:0x0,_0x14a683[_0x558893(0xa27)]=_0x2c6e5e?_0x3f65c0()[_0x558893(0x194)](_0x2c6e5e[_0x558893(0x19c7)]?_0x2c6e5e[_0x558893(0x19c7)]:[],'id'):{},_0xe76e00();}function _0x9adb61(){const _0x41e73d=_0x4d5a1a;_0x14a683[_0x41e73d(0x1a56)][_0x41e73d(0x145d)]=(_0x14a683[_0x41e73d(0x1a56)][_0x41e73d(0x844)]-0x1)*_0x14a683[_0x41e73d(0x1a56)][_0x41e73d(0x221e)],_0x14a683[_0x41e73d(0xb9c)]=_0x5aefe9['user'][_0x41e73d(0xc53)](_0x14a683[_0x41e73d(0x1a56)],_0x366ed9)[_0x41e73d(0x2945)];}let _0x58ee34=!![],_0x4c6ef3=0x1;_0x255d00[_0x4d5a1a(0x21e8)](_0x4d5a1a(0x117f),function(_0x48bba7,_0x364b23){const _0x1591a2=_0x4d5a1a;_0x58ee34?_0xd9ed1d(function(){_0x58ee34=![];}):(!_0x364b23&&(_0x4c6ef3=_0x14a683[_0x1591a2(0x1a56)]['page']),_0x48bba7!==_0x364b23&&(_0x14a683[_0x1591a2(0x1a56)][_0x1591a2(0x844)]=0x1),!_0x48bba7&&(_0x14a683[_0x1591a2(0x1a56)]['page']=_0x4c6ef3),_0x14a683[_0x1591a2(0x1b21)]());});function _0x4b323e(_0x174c24){return _0x3f65c0()['size'](_0x174c24);}function _0xe76e00(){const _0x3bd684=_0x4d5a1a;_0x3f65c0()[_0x3bd684(0x1a04)](_0x14a683[_0x3bd684(0xa27)],function(_0x4520ed,_0x407a53){const _0x369966=_0x3bd684;_0x14a683[_0x369966(0x144e)][_0x407a53]&&(_0x14a683['voiceQueues'][_0x407a53][_0x369966(0x12a3)]=_0x14a683[_0x369966(0x144e)][_0x407a53][_0x369966(0x12a3)]||0x0);});}function _0x25dff5(_0x472d14){const _0x2ba15d=_0x4d5a1a;_0x14a683[_0x2ba15d(0xa27)][_0x472d14['id']]&&(_0x14a683[_0x2ba15d(0x144e)][_0x472d14['id']]&&(_0x14a683[_0x2ba15d(0x144e)][_0x472d14['id']]=_0x472d14,_0x3f65c0()[_0x2ba15d(0x168d)](_0x14a683[_0x2ba15d(0xa27)][_0x472d14['id']],_0x3f65c0()[_0x2ba15d(0x40e)](_0x472d14,_0x358df5))));}function _0xcdf649(_0x56c108){const _0x19e1f0=_0x4d5a1a;_0x56c108['type']===_0x19e1f0(0x7b0)&&(_0x56c108[_0x19e1f0(0x2714)]?(delete _0x14a683[_0x19e1f0(0x18e)][_0x56c108[_0x19e1f0(0x4f8)]],_0x14a683[_0x19e1f0(0x2412)]&&_0x14a683['deleteVoiceQueuesChannels']['push'](_0x56c108[_0x19e1f0(0x4f8)])):(_0x14a683['rpcVoiceQueuesChannels'][_0x56c108[_0x19e1f0(0x4f8)]]=_0x56c108,_0x14a683[_0x19e1f0(0x2412)]&&(_0x14a683[_0x19e1f0(0x2916)][_0x56c108[_0x19e1f0(0x4f8)]]=_0x56c108)));}function _0x370fe8(_0x4a2150){const _0x104666=_0x4d5a1a;!_0x3f65c0()[_0x104666(0x1b36)](_0x4a2150)&&(_0x14a683[_0x104666(0x144e)][_0x4a2150['id']]=_0x3f65c0()[_0x104666(0x40e)](_0x4a2150,_0x358df5));}function _0x595f4d(_0x5e82c2){const _0x515ab8=_0x4d5a1a;!_0x3f65c0()[_0x515ab8(0x1b36)](_0x5e82c2)&&(_0x14a683[_0x515ab8(0x144e)][_0x5e82c2['id']]&&_0x3f65c0()[_0x515ab8(0x168d)](_0x14a683[_0x515ab8(0x144e)][_0x5e82c2['id']],_0x3f65c0()[_0x515ab8(0x40e)](_0x5e82c2,_0x358df5)),_0x14a683['voiceQueues'][_0x5e82c2['id']]&&_0x3f65c0()[_0x515ab8(0x168d)](_0x14a683['voiceQueues'][_0x5e82c2['id']],_0x3f65c0()[_0x515ab8(0x40e)](_0x5e82c2,_0x358df5)));}function _0x346497(_0x46f842){const _0x387342=_0x4d5a1a;!_0x3f65c0()['isNil'](_0x46f842)&&(delete _0x14a683[_0x387342(0x144e)][_0x46f842['id']],delete _0x14a683['voiceQueues'][_0x46f842['id']]);}function _0x35c7dc(_0x38b261){const _0x5c88a1=_0x4d5a1a;_0x3f65c0()[_0x5c88a1(0x13dc)](_0x38b261[_0x5c88a1(0xea2)])===_0x57aa14['getCurrentUser']()['id']&&_0x14a683[_0x5c88a1(0x1b21)]();}_0x255d00['$on'](_0x4d5a1a(0x116f),function(){const _0x1e3bb0=_0x4d5a1a;_0x30a672[_0x1e3bb0(0x1c5f)](_0x1e3bb0(0x101d)),_0x30a672[_0x1e3bb0(0x1c5f)](_0x1e3bb0(0x1c8)),_0x30a672[_0x1e3bb0(0x1c5f)]('userVoiceQueue:save'),_0x30a672[_0x1e3bb0(0x1c5f)]('userVoiceQueue:remove'),_0x30a672[_0x1e3bb0(0x1c5f)](_0x1e3bb0(0x11a5)),_0x30a672[_0x1e3bb0(0x1c5f)](_0x1e3bb0(0x235b)),_0x30a672[_0x1e3bb0(0x1c5f)](_0x1e3bb0(0x1907));});}const _0x253d40=_0x5beac7;;_0x466927['$inject']=['$scope',_0x313a4d(0x1abe),'$mdDialog','$document',_0x313a4d(0x1aa9),_0x313a4d(0x214b),'api',_0x313a4d(0xa87),_0x313a4d(0x279d)];function _0x466927(_0x5d0129,_0x44b99a,_0x3c9346,_0x392d21,_0x3218ce,_0x1a4c47,_0x2d68eb,_0x6f25d7,_0x2d0f4e){const _0x43e53e=_0x313a4d,_0x550cf7=this,_0x3e24b2=['id',_0x43e53e(0x19eb),'mapAgentsId','waiting'];let _0x4e32fd=!![];_0x550cf7['whatsappQueues']={},_0x550cf7[_0x43e53e(0x1b37)]=0x0,_0x550cf7['rpcWhatsappQueues']={},_0x550cf7[_0x43e53e(0x24cb)]=[],_0x550cf7[_0x43e53e(0x1c0b)]=[],_0x550cf7[_0x43e53e(0x2391)]={},_0x550cf7[_0x43e53e(0x1a56)]={'id':_0x6f25d7['getCurrentUser']()['id'],'channel':'whatsapp','fields':'id,name,mapAgentsId,waiting','limit':0xa,'page':0x1},_0x550cf7[_0x43e53e(0x219)]={'limit':0xa,'page':0x1},_0x550cf7[_0x43e53e(0x1c75)]=_0x4205ce,_0x550cf7[_0x43e53e(0x1db9)]=_0x12b28e,_0x550cf7[_0x43e53e(0x1d9e)]=_0x7e376a,_0x550cf7[_0x43e53e(0x17e5)]=_0x38fc32,_0x550cf7[_0x43e53e(0x51d)]=_0x361feb,_0x550cf7[_0x43e53e(0x2606)]=_0xad7c14,_0x550cf7[_0x43e53e(0x1f7b)]=_0x22b21a,_0x550cf7['onUpdateWhatsappQueue']=_0x5c0cc9,_0x550cf7[_0x43e53e(0x1a8e)]=_0x242b64,_0x550cf7['onSaveUserWhatsappQueue']=_0x7fec6,_0x550cf7['onRemoveUserWhatsappQueue']=_0x306f56,_0x550cf7[_0x43e53e(0x1c13)]=_0x4e2dcd,_0x550cf7['onRemoveWhatsappQueueChannel']=_0x34ff37,_0x2d0f4e['onWithoutApply']('whatsapp_queue:save',_0x550cf7[_0x43e53e(0x2606)]),_0x2d0f4e['onWithoutApply'](_0x43e53e(0x20bd),_0x550cf7['onSaveWhatsappQueue']),_0x2d0f4e[_0x43e53e(0x13b7)](_0x43e53e(0x235d),_0x550cf7[_0x43e53e(0x4b1)]),_0x2d0f4e[_0x43e53e(0x13b7)](_0x43e53e(0x87e),_0x550cf7[_0x43e53e(0x1a8e)]),_0x2d0f4e['onWithoutApply'](_0x43e53e(0x2779),_0x550cf7[_0x43e53e(0x7b4)]),_0x2d0f4e[_0x43e53e(0x13b7)]('userWhatsappQueue:remove',_0x550cf7[_0x43e53e(0xcbd)]),_0x2d0f4e['onWithoutApply'](_0x43e53e(0x25ec),_0x550cf7[_0x43e53e(0x1c13)]),_0x2d0f4e['onWithoutApply'](_0x43e53e(0x2092),_0x550cf7[_0x43e53e(0x8b3)]),_0x2d68eb[_0x43e53e(0x1af7)]['getWhatsappQueues']()[_0x43e53e(0x2945)][_0x43e53e(0x146b)](function(_0x27ffc5){const _0x1a43ed=_0x43e53e;_0x550cf7[_0x1a43ed(0x1059)]=_0x27ffc5?_0x3f65c0()[_0x1a43ed(0x194)](_0x27ffc5['rows']?_0x27ffc5[_0x1a43ed(0x19c7)]:[],'id'):{};})[_0x43e53e(0x146b)](function(){const _0x4c85b8=_0x43e53e;return _0x2d68eb[_0x4c85b8(0xe7b)][_0x4c85b8(0xc53)]({'id':_0x6f25d7['getCurrentUser']()['id'],'channel':'whatsapp'})[_0x4c85b8(0x2945)];})[_0x43e53e(0x146b)](function(_0x35bdb0){const _0x31b31b=_0x43e53e;return _0x550cf7[_0x31b31b(0x1794)]=_0x35bdb0['rows'],_0x2d68eb[_0x31b31b(0x1af7)][_0x31b31b(0x207b)]()[_0x31b31b(0x2945)];})[_0x43e53e(0x146b)](function(_0x5cb174){const _0x1e0f9c=_0x43e53e,_0x253fe4=_0x3f65c0()[_0x1e0f9c(0x1dd6)](_0x5cb174[_0x1e0f9c(0x19c7)],function(_0x4b3c64){const _0xee644f=_0x1e0f9c;return _0x3f65c0()['includes'](_0x550cf7[_0xee644f(0x1794)],{'id':_0x4b3c64['queue_id']});});_0x5cb174[_0x1e0f9c(0x19c7)]=_0x253fe4,_0x5cb174[_0x1e0f9c(0x51c)]=_0x253fe4['length'],_0x3f65c0()['merge'](_0x550cf7['rpcWhatsappQueuesWaitingInteractions'],_0x5cb174?_0x3f65c0()[_0x1e0f9c(0x194)](_0x5cb174[_0x1e0f9c(0x19c7)]?_0x5cb174[_0x1e0f9c(0x19c7)]:[],'id'):{});})[_0x43e53e(0x129e)](function(_0x100c4d){const _0x3ee7f5=_0x43e53e;console[_0x3ee7f5(0x1980)](_0x100c4d);})[_0x43e53e(0x1ec6)](function(){const _0x12cb86=_0x43e53e;for(let _0x2c6776=0x0;_0x2c6776<_0x550cf7[_0x12cb86(0x1c0b)][_0x12cb86(0x402)];_0x2c6776+=0x1){delete _0x550cf7[_0x12cb86(0x2391)][_0x550cf7['deleteWhatsappQueuesWaitingInteractions'][_0x2c6776]];}_0x4e32fd=![],_0x550cf7[_0x12cb86(0x1c0b)]=[],_0x12b28e(),_0x361feb();});function _0x361feb(){const _0x3a975e=_0x43e53e,_0x187a38=(_0x550cf7[_0x3a975e(0x219)][_0x3a975e(0x844)]-0x1)*_0x550cf7['queryChannels'][_0x3a975e(0x221e)];_0x550cf7['paginatedInteractions']=_0x3f65c0()['orderBy'](_0x550cf7[_0x3a975e(0x2391)],[_0x3a975e(0x11f0)],[_0x3a975e(0x2517)]),_0x550cf7[_0x3a975e(0x1118)]=_0x3f65c0()[_0x3a975e(0x854)](_0x550cf7['paginatedInteractions'],_0x187a38)[_0x3a975e(0x1298)](0x0,_0x550cf7[_0x3a975e(0x219)][_0x3a975e(0x221e)]);}function _0x4205ce(_0x4b2845){const _0x1e40b0=_0x43e53e;_0x550cf7[_0x1e40b0(0x1b37)]=_0x4b2845['count']?_0x4b2845['count']:0x0,_0x550cf7['whatsappQueues']=_0x4b2845?_0x3f65c0()[_0x1e40b0(0x194)](_0x4b2845[_0x1e40b0(0x19c7)]?_0x4b2845['rows']:[],'id'):{},_0x985b89();}function _0x12b28e(){const _0x6e73f2=_0x43e53e;_0x550cf7[_0x6e73f2(0x1a56)][_0x6e73f2(0x145d)]=(_0x550cf7[_0x6e73f2(0x1a56)][_0x6e73f2(0x844)]-0x1)*_0x550cf7[_0x6e73f2(0x1a56)][_0x6e73f2(0x221e)],_0x550cf7[_0x6e73f2(0xb9c)]=_0x2d68eb[_0x6e73f2(0xe7b)]['getQueues'](_0x550cf7[_0x6e73f2(0x1a56)],_0x4205ce)[_0x6e73f2(0x2945)];}let _0x59143e=!![],_0x324ebd=0x1;_0x5d0129[_0x43e53e(0x21e8)](_0x43e53e(0x117f),function(_0x3ea966,_0x793a0c){const _0x47e526=_0x43e53e;_0x59143e?_0x44b99a(function(){_0x59143e=![];}):(!_0x793a0c&&(_0x324ebd=_0x550cf7[_0x47e526(0x1a56)][_0x47e526(0x844)]),_0x3ea966!==_0x793a0c&&(_0x550cf7[_0x47e526(0x1a56)][_0x47e526(0x844)]=0x1),!_0x3ea966&&(_0x550cf7[_0x47e526(0x1a56)][_0x47e526(0x844)]=_0x324ebd),_0x550cf7['getWhatsappQueues']());});function _0x7e376a(_0x2e0e4c){const _0x590494=_0x43e53e;return _0x3f65c0()[_0x590494(0x4cc)](_0x2e0e4c);}function _0x985b89(){const _0x2bf3da=_0x43e53e;_0x3f65c0()['forIn'](_0x550cf7[_0x2bf3da(0x740)],function(_0x40fd9c,_0x292559){const _0x8bcf64=_0x2bf3da;_0x550cf7[_0x8bcf64(0x1059)][_0x292559]&&(_0x550cf7[_0x8bcf64(0x740)][_0x292559]['waiting']=_0x550cf7[_0x8bcf64(0x1059)][_0x292559][_0x8bcf64(0x12a3)]||0x0);});}function _0xad7c14(_0x43d580){const _0x4b8568=_0x43e53e;_0x550cf7['whatsappQueues'][_0x43d580['id']]&&(_0x550cf7[_0x4b8568(0x1059)][_0x43d580['id']]&&(_0x3f65c0()[_0x4b8568(0x168d)](_0x550cf7[_0x4b8568(0x1059)][_0x43d580['id']],_0x3f65c0()['pick'](_0x43d580,_0x3e24b2)),_0x3f65c0()[_0x4b8568(0x168d)](_0x550cf7[_0x4b8568(0x740)][_0x43d580['id']],_0x3f65c0()[_0x4b8568(0x40e)](_0x43d580,_0x3e24b2))));}function _0x22b21a(_0x491409){const _0x1dd246=_0x43e53e;!_0x3f65c0()[_0x1dd246(0x1b36)](_0x491409)&&(_0x550cf7[_0x1dd246(0x1059)][_0x491409['id']]=_0x3f65c0()['pick'](_0x491409,_0x3e24b2));}function _0x5c0cc9(_0x204f3e){const _0x45624d=_0x43e53e;!_0x3f65c0()[_0x45624d(0x1b36)](_0x204f3e)&&(_0x550cf7[_0x45624d(0x1059)][_0x204f3e['id']]&&_0x3f65c0()[_0x45624d(0x168d)](_0x550cf7[_0x45624d(0x1059)][_0x204f3e['id']],_0x3f65c0()['pick'](_0x204f3e,_0x3e24b2)),_0x550cf7[_0x45624d(0x740)][_0x204f3e['id']]&&_0x3f65c0()[_0x45624d(0x168d)](_0x550cf7[_0x45624d(0x740)][_0x204f3e['id']],_0x3f65c0()[_0x45624d(0x40e)](_0x204f3e,_0x3e24b2)));}function _0x242b64(_0x1f1ace){const _0x2a5166=_0x43e53e;!_0x3f65c0()['isNil'](_0x1f1ace)&&(delete _0x550cf7['rpcWhatsappQueues'][_0x1f1ace['id']],delete _0x550cf7[_0x2a5166(0x740)][_0x1f1ace['id']]);}function _0x7fec6(_0x231431){const _0x3a2cb4=_0x43e53e;_0x3f65c0()[_0x3a2cb4(0x13dc)](_0x231431[_0x3a2cb4(0xea2)])===_0x6f25d7[_0x3a2cb4(0xb12)]()['id']&&_0x550cf7[_0x3a2cb4(0x1db9)]();}function _0x306f56(_0x426692){const _0x5d544b=_0x43e53e;_0x3f65c0()['toInteger'](_0x426692[_0x5d544b(0xea2)])===_0x6f25d7[_0x5d544b(0xb12)]()['id']&&_0x550cf7[_0x5d544b(0x1db9)]();}function _0x4e2dcd(_0x32601b){const _0x532aeb=_0x43e53e;_0x550cf7[_0x532aeb(0x740)][_0x32601b[_0x532aeb(0x1c2a)]]&&(_0x550cf7['rpcWhatsappQueuesWaitingInteractions'][_0x32601b['id']]=_0x32601b,_0x361feb());}function _0x34ff37(_0x5de282){const _0x259132=_0x43e53e;delete _0x550cf7[_0x259132(0x2391)][_0x5de282['id']],_0x4e32fd&&_0x550cf7['deleteWhatsappQueuesWaitingInteractions'][_0x259132(0x1f47)](_0x5de282['id']),_0x361feb();}function _0x38fc32(_0x37a0f0){const _0x131f84=_0x43e53e;switch(_0x37a0f0){case _0x131f84(0xa7f):return _0x131f84(0xde9);case _0x131f84(0x56b):return _0x131f84(0x463);case _0x131f84(0x929):return'orange-bg';case _0x131f84(0xff9):return _0x131f84(0x1ee7);case _0x131f84(0x7d9):return _0x131f84(0x1d5b);case _0x131f84(0x22d9):return _0x131f84(0x18f6);default:return _0x131f84(0x179b);}}_0x5d0129[_0x43e53e(0x16ad)](_0x43e53e(0x116f),function(){const _0x182b1e=_0x43e53e;_0x2d0f4e['removeAllListeners']('whatsapp_queue:save'),_0x2d0f4e[_0x182b1e(0x1c5f)](_0x182b1e(0x20bd)),_0x2d0f4e[_0x182b1e(0x1c5f)](_0x182b1e(0x235d)),_0x2d0f4e[_0x182b1e(0x1c5f)](_0x182b1e(0x87e)),_0x2d0f4e[_0x182b1e(0x1c5f)](_0x182b1e(0x2779)),_0x2d0f4e[_0x182b1e(0x1c5f)]('userWhatsappQueue:remove'),_0x2d0f4e[_0x182b1e(0x1c5f)](_0x182b1e(0x25ec)),_0x2d0f4e[_0x182b1e(0x1c5f)]('whatsapp_queue_waiting_interaction:remove');});}const _0x55a4b6=_0x466927;;_0x4a25dc[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x1abe),'$mdDialog','$document','$window','$translate','api',_0x313a4d(0xa87),_0x313a4d(0x279d),_0x313a4d(0x1fe4),_0x313a4d(0x1909)];function _0x4a25dc(_0x5ad11a,_0xd41f2c,_0x53d0a0,_0x3aa2d1,_0x191a14,_0x25ba88,_0x1935b4,_0x182b63,_0x510352,_0xb736e7,_0x58c2d2){const _0x2bb6b0=_0x313a4d,_0xf7ea55=this;_0xf7ea55[_0x2bb6b0(0xe7b)]=_0x182b63[_0x2bb6b0(0xb12)](),_0xf7ea55[_0x2bb6b0(0x2690)]={},_0xf7ea55[_0x2bb6b0(0x15b9)]={},_0xf7ea55['tags']={'count':0x0,'rows':[]},_0xf7ea55[_0x2bb6b0(0x1938)]={'count':0x0,'rows':[]},_0xf7ea55['query']={'includeAll':!![],'sort':_0x2bb6b0(0x12f2),'limit':0xa,'page':0x1,'read':null,'closed':null},_0xf7ea55['advancedSearch']={'fields':[{'name':'Id','column':'id','type':_0x2bb6b0(0x181)},{'name':_0x2bb6b0(0x364),'column':'Contact','type':_0x2bb6b0(0x14ee),'options':{'searchFields':['firstName','lastName',_0x2bb6b0(0x1a2b)],'route':{'model':'cmContact','action':_0x2bb6b0(0x16b4),'params':{'fields':_0x2bb6b0(0x992),'Contact':_0x2bb6b0(0x3fb),'nolimit':!![]}},'extraOperators':[_0x2bb6b0(0xacb)],'excludedOperators':['$ne']}},{'name':_0x2bb6b0(0x710),'column':_0x2bb6b0(0x2586),'type':'text','options':{'excludedOperators':[_0x2bb6b0(0x6be),'$ne']}},{'name':_0x2bb6b0(0x74e),'column':_0x2bb6b0(0x1943),'type':_0x2bb6b0(0xa5f),'values':[{'id':0x0,'translate':_0x2bb6b0(0x143b)},{'id':0x1,'translate':_0x2bb6b0(0x1805)}],'options':{'excludedOperators':[_0x2bb6b0(0x1671)]}},{'name':'Agent','column':_0x2bb6b0(0x2393),'type':'autocomplete','options':{'table':'i','route':{'model':_0x2bb6b0(0xe7b),'action':_0x2bb6b0(0x16b4),'params':{'role':'agent','fields':_0x2bb6b0(0x12bf),'nolimit':!![]}},'searchFields':[_0x2bb6b0(0x286a),_0x2bb6b0(0x19eb)],'extraOperators':['$substring'],'excludedOperators':[_0x2bb6b0(0x1671)]}},{'name':_0x2bb6b0(0xb48),'column':_0x2bb6b0(0x74d),'type':_0x2bb6b0(0x175c),'options':{'route':{'model':'user','action':_0x2bb6b0(0x12d0),'params':{'id':_0xf7ea55[_0x2bb6b0(0xe7b)]['id'],'nolimit':!![]}}}},{'name':_0x2bb6b0(0x2331),'column':'Tag','type':_0x2bb6b0(0x175c),'options':{'route':{'model':_0x2bb6b0(0xa80),'action':'get','params':{'nolimit':!![]}},'excludedOperators':[_0x2bb6b0(0xbe5)]}},{'name':_0x2bb6b0(0x294),'column':_0x2bb6b0(0xc68),'type':_0x2bb6b0(0x1a10),'options':{'excludedOperators':[_0x2bb6b0(0x1671)]}},{'name':_0x2bb6b0(0x270b),'column':_0x2bb6b0(0x26a6),'type':_0x2bb6b0(0xa5f),'values':[{'id':0x1,'translate':_0x2bb6b0(0x1ce6)},{'id':0x0,'translate':'DASHBOARDS.UNREAD'}],'options':{'excludedOperators':[_0x2bb6b0(0x1671)]}}]},_0xf7ea55['sectionColor']='blue-grey',_0xf7ea55[_0x2bb6b0(0x3d4)]=_0x1b1490,_0xf7ea55['success']=_0x426415,_0xf7ea55[_0x2bb6b0(0x2769)]=_0x259344,_0xf7ea55[_0x2bb6b0(0x16dc)]=_0x4e5737,_0xf7ea55[_0x2bb6b0(0x2949)]=_0x2bf5ca,_0xf7ea55[_0x2bb6b0(0x10ef)]=_0x31706c,_0xf7ea55[_0x2bb6b0(0x4b5)]=_0xe3bdf8,_0xf7ea55[_0x2bb6b0(0xe3b)]=_0x58112f,_0xf7ea55[_0x2bb6b0(0x9b5)]=_0x431fcd,_0xf7ea55[_0x2bb6b0(0xc96)]=_0x41988c,_0xf7ea55[_0x2bb6b0(0x1c4f)]=_0x125ece,_0xf7ea55['onSearchBarExpand']=_0x58a4f2,_0xf7ea55[_0x2bb6b0(0x21a6)]=_0x146c45;function _0x1b1490(_0x4ed422,_0x44bc07){const _0xb90328=_0x2bb6b0;return _0xf7ea55['license']=_0x4ed422,_0xf7ea55[_0xb90328(0x15b9)]=_0x44bc07,_0x1935b4[_0xb90328(0xe7b)]['get'+_0x3f65c0()[_0xb90328(0x21cf)]('faxAccounts')]({'id':_0xf7ea55[_0xb90328(0xe7b)]['id'],'nolimit':!![]})['$promise'][_0xb90328(0x146b)](function(_0x2d4670){const _0x5b9dba=_0xb90328;return _0xf7ea55[_0x5b9dba(0x8a9)]=_0x2d4670||{'count':0x0,'rows':[]},_0x1935b4[_0x5b9dba(0xa80)][_0x5b9dba(0x16b4)]()[_0x5b9dba(0x2945)];})[_0xb90328(0x146b)](function(_0x2505b8){const _0x3664bb=_0xb90328;_0xf7ea55[_0x3664bb(0x1b86)]=_0x2505b8||{'count':0x0,'rows':[]};})['then'](function(){const _0x4f2b89=_0xb90328;_0xf7ea55[_0x4f2b89(0x28af)]=_0x366ded();})[_0xb90328(0x129e)](function(_0x220cff){const _0x21c122=_0xb90328;console[_0x21c122(0x1980)](_0x220cff);})[_0xb90328(0x1ec6)](function(){const _0x9f2e1c=_0xb90328;let _0x240f47=_0x191a14[_0x9f2e1c(0x1c39)][_0x9f2e1c(0x1519)]('motion2.home.fax:'+_0xf7ea55[_0x9f2e1c(0xe7b)]['id']);if(_0x240f47)try{_0x240f47=JSON[_0x9f2e1c(0x975)](_0x240f47);if(_0x240f47[_0x9f2e1c(0x1a56)]){_0xf7ea55[_0x9f2e1c(0x1a56)]=_0x3f65c0()[_0x9f2e1c(0x168d)](_0xf7ea55[_0x9f2e1c(0x1a56)],_0x240f47['query']);if(_0x3f65c0()[_0x9f2e1c(0x2635)](_0xf7ea55[_0x9f2e1c(0x8a9)][_0x9f2e1c(0x19c7)]))_0xf7ea55[_0x9f2e1c(0x1a56)][_0x9f2e1c(0x74d)]=[];else _0x3f65c0()[_0x9f2e1c(0x2635)](_0xf7ea55[_0x9f2e1c(0x1a56)][_0x9f2e1c(0x74d)])&&(_0xf7ea55[_0x9f2e1c(0x1a56)][_0x9f2e1c(0x74d)]=_0x3f65c0()(_0xf7ea55[_0x9f2e1c(0x8a9)]['rows'])[_0x9f2e1c(0x205)]('id')[_0x9f2e1c(0x2421)]()[_0x9f2e1c(0x175d)]());_0xf7ea55[_0x9f2e1c(0x1a56)]['sort']&&_0xf7ea55[_0x9f2e1c(0x1a56)]['sort'][_0x9f2e1c(0x172b)](_0x9f2e1c(0x186))>=0x0&&(_0xf7ea55[_0x9f2e1c(0x1a56)]=_0x3f65c0()['omit'](_0xf7ea55['query'],_0x9f2e1c(0x28fa))),_0xf7ea55['query'][_0x9f2e1c(0x287)]=!![],_0xf7ea55[_0x9f2e1c(0x2516)]=_0xf7ea55[_0x9f2e1c(0x1a56)][_0x9f2e1c(0x1dd6)]?!![]:![];}}catch(_0x16b638){console[_0x9f2e1c(0x1980)](_0x16b638);}else{const _0x1ca5bf={'$gte':_0x2deec6()()['startOf'](_0x9f2e1c(0x12b7))['utcOffset'](0x0,!![])[_0x9f2e1c(0x22b0)](),'$lte':_0x2deec6()()['endOf'](_0x9f2e1c(0x12b7))[_0x9f2e1c(0x65f)](0x0,!![])[_0x9f2e1c(0x22b0)]()},_0xe2f252={'FaxAccountId':_0x3f65c0()['map'](_0xf7ea55[_0x9f2e1c(0x8a9)][_0x9f2e1c(0x19c7)],'id'),'createdAt':_0x1ca5bf};_0xf7ea55[_0x9f2e1c(0x1a56)]=_0x3f65c0()[_0x9f2e1c(0x168d)](_0xf7ea55[_0x9f2e1c(0x1a56)],_0xe2f252);}_0x510352['on'](_0x9f2e1c(0x180a),_0x460ad1),_0x510352['on'](_0x9f2e1c(0x1bb8),_0x460ad1),_0x510352['on'](_0x9f2e1c(0x1be6),_0x11dcdc),_0x510352['on'](_0x9f2e1c(0x1ed5),_0x25dfbe),_0x510352['on'](_0x9f2e1c(0xcf3),_0x25dfbe),_0x510352['on'](_0x9f2e1c(0x1efc),_0x514bf9),_0x510352['on'](_0x9f2e1c(0x2225),_0x3373b0),_0x259344(_0xf7ea55[_0x9f2e1c(0x1a56)][_0x9f2e1c(0x844)]);});}function _0x366ded(){const _0x300373=_0x2bb6b0;return[{'name':_0x300373(0x294),'key':'createdAt','type':'date','label':_0x300373(0x2902)},{'name':_0x300373(0x1c7c),'key':_0x300373(0x229e),'type':_0x300373(0xa5f),'label':'DASHBOARDS.SELECT_READ_UNREAD','customOptions':[{'value':0x0,'translate':_0x300373(0x33f)},{'value':0x1,'translate':_0x300373(0x1ce6)},{'value':null,'translate':_0x300373(0x175e)}]},{'name':_0x300373(0x74e),'key':_0x300373(0x1943),'type':_0x300373(0xa5f),'label':_0x300373(0x1682),'customOptions':[{'value':0x0,'translate':_0x300373(0x143b)},{'value':0x1,'translate':_0x300373(0x1805)},{'value':null,'translate':_0x300373(0x175e)}]},{'name':_0x300373(0xb48),'key':_0x300373(0x74d),'type':_0x300373(0x175c),'label':_0x300373(0x1b49),'options':_0xf7ea55['faxAccounts'][_0x300373(0x19c7)],'clearAll':![]},{'name':_0x300373(0x294a),'key':_0x300373(0xea2),'type':_0x300373(0xa5f),'label':'DASHBOARDS.SELECT_AGENT','customOptions':[{'value':_0xf7ea55[_0x300373(0xe7b)]['id'],'translate':_0x300373(0x6d9)},{'value':_0x300373(0x203c),'translate':_0x300373(0x38d)},{'value':undefined,'translate':'DASHBOARDS.ALL'}]},{'name':'Tag','key':_0x300373(0xa80),'type':'multiselect','label':_0x300373(0x1c0e),'options':_0xf7ea55['tags']['rows'],'placeholder':'DASHBOARDS.TAGS'}];}function _0x426415(_0x5a8447){const _0xd28c2b=_0x2bb6b0;_0xf7ea55[_0xd28c2b(0x14eb)]=![],_0xf7ea55[_0xd28c2b(0x1938)]=_0x5a8447||{'count':0x0,'rows':[]};for(let _0x3566d5=0x0;_0x3566d5<_0xf7ea55[_0xd28c2b(0x1938)][_0xd28c2b(0x19c7)][_0xd28c2b(0x402)];_0x3566d5+=0x1){_0xe3bdf8(_0xf7ea55['faxInteractions']['rows'][_0x3566d5]);}}function _0x259344(_0x248eff){const _0x936d93=_0x2bb6b0;_0xf7ea55['searchInProgress']=!![];!_0x248eff&&(_0xf7ea55[_0x936d93(0x1a56)][_0x936d93(0x844)]=0x1);_0xf7ea55['query'][_0x936d93(0x145d)]=(_0xf7ea55[_0x936d93(0x1a56)]['page']-0x1)*_0xf7ea55[_0x936d93(0x1a56)][_0x936d93(0x221e)];let _0xeda7a8=_0x191a14[_0x936d93(0x1c39)][_0x936d93(0x1519)](_0x936d93(0x105c)+_0xf7ea55['user']['id']);_0xeda7a8=_0xeda7a8?JSON[_0x936d93(0x975)](_0xeda7a8):{},_0xeda7a8[_0x936d93(0x1a56)]=_0xf7ea55[_0x936d93(0x1a56)],_0x191a14[_0x936d93(0x1c39)]['setItem'](_0x936d93(0x105c)+_0xf7ea55[_0x936d93(0xe7b)]['id'],JSON[_0x936d93(0x10bb)](_0xeda7a8)),_0xf7ea55[_0x936d93(0xb9c)]=_0x1935b4['faxInteraction'][_0x936d93(0x16b4)](_0xf7ea55[_0x936d93(0x1a56)],_0x426415)[_0x936d93(0x2945)];}function _0x4e5737(_0xc452a3,_0x388f2f){const _0xfd9977=_0x2bb6b0;_0x5ad11a[_0xfd9977(0x2870)]['vm']['addInteractionTab'](_0xfd9977(0x22d9),_0x388f2f);}function _0x2bf5ca(_0x4b1074,_0x1f2921,_0x154b05){const _0x4d45e3=_0x2bb6b0;_0x1935b4[_0x4d45e3(0xe5b)][_0x4d45e3(0x15b1)]({'id':_0x1f2921['id'],'attachments':_0x154b05})[_0x4d45e3(0x2945)][_0x4d45e3(0x146b)](function(_0xc5d20e){const _0x50971a=_0x4d45e3,_0x383f0d=[_0xc5d20e[_0x50971a(0x2eb)]],_0x40a156=_0x50971a(0x200d)+_0x1f2921['id']+_0x50971a(0xf04),_0x6d2644=new Blob(_0x383f0d,{'type':_0xc5d20e[_0x50971a(0x1142)]}),_0x13d160=window[_0x50971a(0xef3)][_0x50971a(0x24ec)]('a');_0x13d160[_0x50971a(0x1652)]('href',URL[_0x50971a(0x1c58)](_0x6d2644)),_0x13d160[_0x50971a(0x1652)](_0x50971a(0x15b1),_0x40a156),document[_0x50971a(0x2586)][_0x50971a(0x23e)](_0x13d160),_0x13d160[_0x50971a(0x1fa5)]();})[_0x4d45e3(0x129e)](function(_0x3af6a9){const _0x114474=_0x4d45e3;if(_0x3af6a9[_0x114474(0x524)]&&_0x3af6a9[_0x114474(0x524)][_0x114474(0xcef)]&&_0x3af6a9[_0x114474(0x524)]['errors'][_0x114474(0x402)])for(let _0x48a90f=0x0;_0x48a90f<_0x3af6a9['data'][_0x114474(0xcef)][_0x114474(0x402)];_0x48a90f+=0x1){_0xb736e7[_0x114474(0x1980)]({'title':_0x3af6a9[_0x114474(0x524)][_0x114474(0xcef)][_0x48a90f][_0x114474(0x1142)],'msg':_0x3af6a9['data'][_0x114474(0xcef)][_0x48a90f][_0x114474(0x7fd)]});}else _0xb736e7[_0x114474(0x1980)]({'title':_0x3af6a9[_0x114474(0x107b)]?'API:'+_0x3af6a9[_0x114474(0x107b)]+'\x20-\x20'+_0x3af6a9[_0x114474(0x167f)]:_0x114474(0x2949),'msg':_0x3af6a9[_0x114474(0x524)]?JSON[_0x114474(0x10bb)](_0x3af6a9['data'][_0x114474(0x7fd)]):_0x3af6a9['toString']()});});}function _0x31706c(_0x1a24ca,_0x559245){const _0x1e76a4=_0x2bb6b0,_0x29694d=_0xf7ea55[_0x1e76a4(0xe7b)][_0x1e76a4(0x211c)]||_0xf7ea55[_0x1e76a4(0xe7b)][_0x1e76a4(0x5f7)]||_0xf7ea55[_0x1e76a4(0xe7b)][_0x1e76a4(0x1e9e)]||_0xf7ea55[_0x1e76a4(0xe7b)][_0x1e76a4(0xcc5)]||_0xf7ea55[_0x1e76a4(0xe7b)][_0x1e76a4(0x25c4)],_0x2c50bd=!_0x559245['Account'][_0x1e76a4(0xc9e)];_0x53d0a0[_0x1e76a4(0x2615)]({'controller':_0x1e76a4(0xe23),'controllerAs':'vm','templateUrl':_0x56fd44,'parent':angular['element'](_0x3aa2d1[_0x1e76a4(0x2586)]),'clickOutsideToClose':_0x2c50bd,'escapeToClose':_0x2c50bd,'onRemoving':function(){const _0x3c9efc=_0x1e76a4;_0xf7ea55[_0x3c9efc(0xe7b)]&&_0x559245[_0x3c9efc(0xb48)][_0x3c9efc(0xc9e)]&&!_0x29694d&&_0x1935b4[_0x3c9efc(0xe7b)][_0x3c9efc(0x974)]({'id':_0xf7ea55[_0x3c9efc(0xe7b)]['id']})['$promise'][_0x3c9efc(0x129e)](function(_0x143cb7){const _0xbaa4aa=_0x3c9efc;console[_0xbaa4aa(0x1980)](_0x143cb7);});},'locals':{'user':_0xf7ea55['user'],'channel':'fax','interaction':_0x559245}});}function _0xe3bdf8(_0x54dbfc){const _0x44a8fb=_0x2bb6b0;_0x54dbfc[_0x44a8fb(0x364)]?(_0x54dbfc['contactName']=(_0x54dbfc[_0x44a8fb(0x364)][_0x44a8fb(0x1ed4)]||'')+'\x20'+(_0x54dbfc[_0x44a8fb(0x364)][_0x44a8fb(0xee4)]||''),_0x54dbfc[_0x44a8fb(0x14e3)]=_0x54dbfc[_0x44a8fb(0x364)][_0x44a8fb(0x22d9)]):_0x54dbfc[_0x44a8fb(0x792)]=_0x25ba88[_0x44a8fb(0xde)](_0x44a8fb(0xe0b));}function _0x58112f(_0xe2d16b){const _0x424556=_0x2bb6b0;if(_0xe2d16b[_0x424556(0xea2)])return _0xe2d16b[_0x424556(0xea2)]===_0xf7ea55['user']['id']?_0x25ba88[_0x424556(0xde)](_0x424556(0x6d9)):_0xe2d16b[_0x424556(0xac5)][_0x424556(0x286a)]+'\x20<'+_0xe2d16b[_0x424556(0xac5)]['internal']+'>';return _0x25ba88[_0x424556(0xde)](_0x424556(0x38d));}function _0x431fcd(_0x5608ca){const _0x54c055=_0x2bb6b0;if(_0x5608ca[_0x54c055(0xb48)])return _0x5608ca[_0x54c055(0xb48)][_0x54c055(0x2293)];return _0x25ba88[_0x54c055(0xde)]('APP.UNKNOWN');}function _0x41988c(_0x3c7a11,_0x266a0a){const _0x1dbd43=_0x2bb6b0;_0x53d0a0[_0x1dbd43(0x2615)]({'controller':_0x1dbd43(0x1c90),'controllerAs':'vm','templateUrl':_0x47122e,'parent':angular[_0x1dbd43(0x1853)](_0x3aa2d1[_0x1dbd43(0x2586)]),'clickOutsideToClose':![],'locals':{'account':_0x266a0a,'message':{},'type':_0x1dbd43(0x16d9),'interaction':{}},'fullscreen':!![]})[_0x1dbd43(0x146b)](function(_0x12de1f){const _0x86121d=_0x1dbd43;if(_0x12de1f){if(_0x12de1f[_0x86121d(0x7fd)]&&_0x12de1f[_0x86121d(0x7fd)][_0x86121d(0x1703)]){const _0x10cc37={'channel':_0x86121d(0x22d9),'account':_0x266a0a,'message':_0x12de1f[_0x86121d(0x7fd)],'contact':_0x12de1f[_0x86121d(0x1662)]};_0x58c2d2[_0x86121d(0x387)](_0x10cc37);}else{const _0x47c6ee=_0x12de1f;return _0x1935b4[_0x86121d(0x178)][_0x86121d(0x1443)]({'id':_0x266a0a['id']},_0x47c6ee)[_0x86121d(0x2945)][_0x86121d(0x146b)](function(_0x130ecf){const _0x7406ca=_0x86121d;_0xb736e7[_0x7406ca(0x1c75)]({'title':'Message\x20properly\x20sent!','msg':_0x7406ca(0x54f)}),_0x5ad11a[_0x7406ca(0x2870)]['vm'][_0x7406ca(0x2614)]('fax',_0x130ecf);})['catch'](function(_0x288cd1){const _0x5928a3=_0x86121d;if(_0x288cd1['data']&&_0x288cd1['data'][_0x5928a3(0xcef)]&&_0x288cd1[_0x5928a3(0x524)][_0x5928a3(0xcef)]['length'])for(let _0x3feb21=0x0;_0x3feb21<_0x288cd1[_0x5928a3(0x524)][_0x5928a3(0xcef)][_0x5928a3(0x402)];_0x3feb21++){_0xb736e7['error']({'title':_0x288cd1[_0x5928a3(0x524)]['errors'][_0x3feb21][_0x5928a3(0x1142)],'msg':_0x288cd1[_0x5928a3(0x524)][_0x5928a3(0xcef)][_0x3feb21][_0x5928a3(0x7fd)]});}else _0xb736e7[_0x5928a3(0x1980)]({'title':_0x5928a3(0x1c02),'msg':_0x288cd1[_0x5928a3(0x524)]?JSON[_0x5928a3(0x10bb)](_0x288cd1['data']):_0x288cd1[_0x5928a3(0x7fd)]||_0x288cd1['toString']()});});}}});}function _0x514bf9(_0x2622f9){const _0x24c03e=_0x2bb6b0;let _0x3816ef;const _0x3c2623=_0x3f65c0()['find'](_0xf7ea55[_0x24c03e(0x1938)][_0x24c03e(0x19c7)],{'id':_0x2622f9[_0x24c03e(0x19e3)]});_0x3c2623&&_0x3c2623[_0x24c03e(0x3c4)]&&(_0x3816ef=_0x3f65c0()[_0x24c03e(0xc84)](_0x3c2623[_0x24c03e(0x3c4)],{'id':_0x2622f9[_0x24c03e(0xea2)]}),!_0x3816ef&&_0x3c2623[_0x24c03e(0x3c4)][_0x24c03e(0x1f47)]({'id':_0x2622f9['UserId']}));}function _0x3373b0(_0x41fa9a){const _0x629036=_0x2bb6b0,_0x21dc2c=_0x3f65c0()['find'](_0xf7ea55[_0x629036(0x1938)][_0x629036(0x19c7)],{'id':_0x41fa9a[_0x629036(0x19e3)]});_0x21dc2c&&_0x21dc2c[_0x629036(0x3c4)]&&_0x3f65c0()[_0x629036(0x2640)](_0x21dc2c[_0x629036(0x3c4)],{'id':_0x41fa9a['UserId']});}function _0x460ad1(_0x136fcd){const _0x504fc2=_0x2bb6b0;if(_0x136fcd){const _0x1dd066=_0x3f65c0()[_0x504fc2(0xc84)](_0xf7ea55[_0x504fc2(0x1938)]['rows'],{'id':_0x136fcd['id']});if(_0x1dd066){_0x1dd066[_0x504fc2(0x3c4)]=[];_0x136fcd[_0x504fc2(0x1943)]&&(_0x1dd066[_0x504fc2(0x26a6)]=0x0);if(_0xf7ea55[_0x504fc2(0x1a56)][_0x504fc2(0x28fa)]){const _0x22aeb7=_0x3f65c0()[_0x504fc2(0xd4d)](_0xf7ea55[_0x504fc2(0x1a56)][_0x504fc2(0x28fa)],'-')?'desc':_0x504fc2(0x195);_0xf7ea55['faxInteractions']['rows']=_0x3f65c0()[_0x504fc2(0x979)](_0xf7ea55[_0x504fc2(0x1938)][_0x504fc2(0x19c7)],_0x3f65c0()[_0x504fc2(0x25b5)](_0xf7ea55[_0x504fc2(0x1a56)][_0x504fc2(0x28fa)],'-'),_0x22aeb7);}_0x3f65c0()['merge'](_0x1dd066,_0x3f65c0()[_0x504fc2(0x40e)](_0x136fcd,_0x3f65c0()[_0x504fc2(0x627)](_0x1dd066)));}else _0x259344(_0xf7ea55[_0x504fc2(0x1a56)][_0x504fc2(0x844)]);}}function _0x11dcdc(_0x41a36d){const _0x10af7f=_0x2bb6b0;if(_0x41a36d){const _0x2c5618=_0x3f65c0()[_0x10af7f(0xc84)](_0xf7ea55[_0x10af7f(0x1938)][_0x10af7f(0x19c7)],{'id':_0x41a36d['id']});_0x2c5618&&(_0x2c5618[_0x10af7f(0x2331)]=_0x41a36d[_0x10af7f(0x1b86)]||[],_0x3f65c0()[_0x10af7f(0x168d)](_0x2c5618,_0x3f65c0()[_0x10af7f(0x40e)](_0x41a36d,_0x3f65c0()[_0x10af7f(0x627)](_0x2c5618))));}}function _0x25dfbe(_0x1e9030){const _0x4412e0=_0x2bb6b0;if(_0x1e9030&&!_0x1e9030[_0x4412e0(0xaf0)]){const _0x1faa8b=_0x3f65c0()[_0x4412e0(0xc84)](_0xf7ea55[_0x4412e0(0x1938)][_0x4412e0(0x19c7)],{'id':_0x1e9030[_0x4412e0(0x19e3)]});if(_0x1faa8b){const _0x4ac613=_0x3f65c0()[_0x4412e0(0xc84)](_0x1faa8b[_0x4412e0(0x1c7c)],{'id':_0x1e9030['id']});_0x4ac613?_0x3f65c0()['merge'](_0x4ac613,_0x3f65c0()[_0x4412e0(0x40e)](_0x1e9030,_0x3f65c0()[_0x4412e0(0x627)](_0x4ac613))):(_0x3f65c0()[_0x4412e0(0x1b36)](_0x1faa8b[_0x4412e0(0x1c7c)])&&(_0x1faa8b[_0x4412e0(0x1c7c)]={'count':0x0}),!_0x1e9030[_0x4412e0(0x229e)]&&(_0x1faa8b[_0x4412e0(0x1c7c)]['count']+=0x1));}}}function _0x125ece(){const _0x5bf752=_0x2bb6b0;_0xf7ea55[_0x5bf752(0x2516)]=![];}function _0x58a4f2(){const _0x26ee6=_0x2bb6b0;_0xf7ea55[_0x26ee6(0x2516)]=!![];}function _0x146c45(){const _0x7c3592=_0x2bb6b0;_0xf7ea55[_0x7c3592(0x2516)]=![],_0x53d0a0[_0x7c3592(0x2615)]({'controller':_0x7c3592(0x26bc),'controllerAs':'vm','templateUrl':_0x3fb128,'parent':angular[_0x7c3592(0x1853)](_0x3aa2d1[_0x7c3592(0x2586)]),'clickOutsideToClose':![],'locals':{'color':_0xf7ea55[_0x7c3592(0x1527)],'fields':_0xf7ea55[_0x7c3592(0xe93)][_0x7c3592(0x2867)],'storagePath':_0x7c3592(0x69f)},'fullscreen':!![]})[_0x7c3592(0x146b)](function(_0x1219a9){const _0x470068=_0x7c3592;_0xf7ea55[_0x470068(0x1a56)][_0x470068(0x21da)]=_0x1219a9===![]?undefined:_0x1219a9;if(_0xf7ea55[_0x470068(0x1a56)][_0x470068(0x21da)]||_0x1219a9===![])_0x259344();})[_0x7c3592(0x129e)](function(_0x271fe7){const _0x16dea4=_0x7c3592;_0xb736e7[_0x16dea4(0x1980)]({'title':_0x16dea4(0x10f5),'msg':_0x271fe7[_0x16dea4(0x524)]?JSON[_0x16dea4(0x10bb)](_0x271fe7[_0x16dea4(0x524)]['message']):_0x271fe7[_0x16dea4(0xd5f)]()});});}let _0x4436be=!![],_0x15aeac=0x1;_0x5ad11a['$watch']('vm.query.filter',function(_0x47f084,_0x2c8e63){const _0x516f5a=_0x2bb6b0;_0x4436be?_0xd41f2c(function(){_0x4436be=![];}):(!_0x2c8e63&&(_0x15aeac=_0xf7ea55[_0x516f5a(0x1a56)][_0x516f5a(0x844)]),_0x47f084!==_0x2c8e63&&(_0xf7ea55[_0x516f5a(0x1a56)][_0x516f5a(0x844)]=0x1),!_0x47f084&&(_0xf7ea55[_0x516f5a(0x1a56)][_0x516f5a(0x844)]=_0x15aeac),_0x259344(_0xf7ea55[_0x516f5a(0x1a56)]['page']));}),_0x5ad11a[_0x2bb6b0(0x16ad)](_0x2bb6b0(0x116f),function(){const _0x16c619=_0x2bb6b0;_0x510352[_0x16c619(0x1c5f)](_0x16c619(0x1ed5)),_0x510352[_0x16c619(0x1c5f)](_0x16c619(0xcf3)),_0x510352['removeAllListeners']('faxInteraction:save'),_0x510352[_0x16c619(0x1c5f)](_0x16c619(0x1be6)),_0x510352['removeAllListeners'](_0x16c619(0x1bb8)),_0x510352['removeAllListeners'](_0x16c619(0x1efc)),_0x510352[_0x16c619(0x1c5f)](_0x16c619(0x2225));});}const _0x54a684=_0x4a25dc;;_0x20bafc[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x1abe),'$mdDialog','$document','$window',_0x313a4d(0x214b),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x279d),_0x313a4d(0x1fe4),_0x313a4d(0x1909)];function _0x20bafc(_0x438610,_0x134f81,_0x234533,_0x141134,_0x2111b6,_0x2c32f3,_0x368fc3,_0x2e9a03,_0x29ea70,_0x3f8898,_0x342adb){const _0x1454c6=_0x313a4d,_0x5c5e76=this;_0x5c5e76[_0x1454c6(0xe7b)]=_0x2e9a03[_0x1454c6(0xb12)](),_0x5c5e76[_0x1454c6(0x2690)]={},_0x5c5e76[_0x1454c6(0x15b9)]={},_0x5c5e76[_0x1454c6(0x1b86)]={'count':0x0,'rows':[]},_0x5c5e76[_0x1454c6(0x1250)]={'count':0x0,'rows':[]},_0x5c5e76[_0x1454c6(0x1a56)]={'includeAll':!![],'sort':_0x1454c6(0x12f2),'limit':0xa,'page':0x1,'read':null,'closed':null},_0x5c5e76[_0x1454c6(0xe93)]={'fields':[{'name':'Id','column':'id','type':_0x1454c6(0x181)},{'name':_0x1454c6(0x364),'column':_0x1454c6(0x364),'type':'autocomplete','options':{'searchFields':[_0x1454c6(0x1ed4),'lastName',_0x1454c6(0x1a2b)],'route':{'model':_0x1454c6(0x18c3),'action':_0x1454c6(0x16b4),'params':{'fields':_0x1454c6(0x992),'Contact':_0x1454c6(0x3fb),'nolimit':!![]}},'extraOperators':[_0x1454c6(0xacb)],'excludedOperators':[_0x1454c6(0x1671)]}},{'name':'Subject','column':'subject','type':'text','options':{'excludedOperators':[_0x1454c6(0x6be),'$ne']}},{'name':_0x1454c6(0x710),'column':'plainBody','type':_0x1454c6(0xa8d),'options':{'excludedOperators':['$eq','$ne']}},{'name':_0x1454c6(0x74e),'column':_0x1454c6(0x1943),'type':'select','values':[{'id':0x0,'translate':_0x1454c6(0x143b)},{'id':0x1,'translate':_0x1454c6(0x1805)}],'options':{'excludedOperators':[_0x1454c6(0x1671)]}},{'name':'Substatus','column':'substatus','type':'multiselect','options':{'field':_0x1454c6(0x19eb),'route':{'model':_0x1454c6(0x2036),'action':_0x1454c6(0x16b4),'params':{'nolimit':!![]}},'excludedOperators':['$notIn']}},{'name':_0x1454c6(0x294a),'column':_0x1454c6(0x2393),'type':_0x1454c6(0x14ee),'options':{'table':'i','route':{'model':_0x1454c6(0xe7b),'action':'get','params':{'role':_0x1454c6(0x1755),'fields':_0x1454c6(0x12bf),'nolimit':!![]}},'searchFields':[_0x1454c6(0x286a),'name'],'extraOperators':[_0x1454c6(0xacb)],'excludedOperators':[_0x1454c6(0x1671)]}},{'name':_0x1454c6(0xb48),'column':_0x1454c6(0x658),'type':_0x1454c6(0x175c),'options':{'route':{'model':_0x1454c6(0xe7b),'action':_0x1454c6(0x44b),'params':{'id':_0x5c5e76[_0x1454c6(0xe7b)]['id'],'nolimit':!![]}}}},{'name':_0x1454c6(0x2331),'column':'Tag','type':'multiselect','options':{'route':{'model':_0x1454c6(0xa80),'action':_0x1454c6(0x16b4),'params':{'nolimit':!![]}},'excludedOperators':['$notIn']}},{'name':_0x1454c6(0x294),'column':_0x1454c6(0xc68),'type':_0x1454c6(0x1a10),'options':{'excludedOperators':['$ne']}},{'name':_0x1454c6(0x270b),'column':'unreadMessages','type':_0x1454c6(0xa5f),'values':[{'id':0x1,'translate':_0x1454c6(0x1ce6)},{'id':0x0,'translate':_0x1454c6(0x33f)}],'options':{'excludedOperators':[_0x1454c6(0x1671)]}}]},_0x5c5e76[_0x1454c6(0x1527)]=_0x1454c6(0x109e),_0x5c5e76[_0x1454c6(0x3d4)]=_0x3169b0,_0x5c5e76[_0x1454c6(0x1c75)]=_0x4fb3df,_0x5c5e76['getMailInteractions']=_0x35b28b,_0x5c5e76['showMailInteraction']=_0x417282,_0x5c5e76[_0x1454c6(0xd51)]=_0x5ac9d2,_0x5c5e76[_0x1454c6(0x10ef)]=_0x36e4d7,_0x5c5e76[_0x1454c6(0x4b5)]=_0x20d647,_0x5c5e76[_0x1454c6(0xe3b)]=_0x456684,_0x5c5e76[_0x1454c6(0x21ec)]=_0x55e5ec,_0x5c5e76[_0x1454c6(0x107a)]=_0x386885,_0x5c5e76['onSearchBarCollapse']=_0x26a1dd,_0x5c5e76[_0x1454c6(0x28b7)]=_0x18ce7d,_0x5c5e76['openAdvancedSearch']=_0x366c21,_0x438610[_0x1454c6(0x16ad)](_0x1454c6(0x1fe9),_0x4875ab);function _0x3169b0(_0x488ba3,_0x45c56d){const _0x553690=_0x1454c6;return _0x5c5e76[_0x553690(0x2690)]=_0x488ba3,_0x5c5e76['setting']=_0x45c56d,_0x368fc3[_0x553690(0xe7b)]['get'+_0x3f65c0()[_0x553690(0x21cf)](_0x553690(0x1593))]({'id':_0x5c5e76[_0x553690(0xe7b)]['id'],'nolimit':!![]})[_0x553690(0x2945)][_0x553690(0x146b)](function(_0x4d1ad0){const _0x41b9d1=_0x553690;return _0x5c5e76['mailAccounts']=_0x4d1ad0||{'count':0x0,'rows':[]},_0x368fc3[_0x41b9d1(0xa80)]['get']()[_0x41b9d1(0x2945)];})[_0x553690(0x146b)](function(_0x4da3f7){_0x5c5e76['tags']=_0x4da3f7||{'count':0x0,'rows':[]};})[_0x553690(0x146b)](function(){const _0xe04e0f=_0x553690;return _0x368fc3['mailSubstatus']['get']({'nolimit':!![]})[_0xe04e0f(0x2945)];})[_0x553690(0x146b)](function(_0xd1a581){_0x5c5e76['subStatuses']=_0xd1a581||{'count':0x0,'rows':[]};})[_0x553690(0x146b)](function(){const _0x771841=_0x553690;_0x5c5e76[_0x771841(0x28af)]=_0x5c7de6();})[_0x553690(0x129e)](function(_0x4762e0){const _0x150291=_0x553690;console[_0x150291(0x1980)](_0x4762e0);})[_0x553690(0x1ec6)](function(){const _0x925f14=_0x553690;let _0x1d81d2=_0x2111b6['localStorage'][_0x925f14(0x1519)](_0x925f14(0x131c)+_0x5c5e76[_0x925f14(0xe7b)]['id']);if(_0x1d81d2)try{_0x1d81d2=JSON[_0x925f14(0x975)](_0x1d81d2);if(_0x1d81d2['query']){_0x5c5e76[_0x925f14(0x1a56)]=_0x3f65c0()[_0x925f14(0x168d)](_0x5c5e76[_0x925f14(0x1a56)],_0x1d81d2['query']);if(_0x3f65c0()[_0x925f14(0x2635)](_0x5c5e76['mailAccounts'][_0x925f14(0x19c7)]))_0x5c5e76[_0x925f14(0x1a56)]['MailAccountId']=[];else _0x3f65c0()[_0x925f14(0x2635)](_0x5c5e76[_0x925f14(0x1a56)]['MailAccountId'])&&(_0x5c5e76[_0x925f14(0x1a56)][_0x925f14(0x658)]=_0x3f65c0()(_0x5c5e76[_0x925f14(0x1593)][_0x925f14(0x19c7)])[_0x925f14(0x205)]('id')[_0x925f14(0x2421)]()['value']());_0x5c5e76[_0x925f14(0x1a56)][_0x925f14(0x28fa)]&&_0x5c5e76['query'][_0x925f14(0x28fa)][_0x925f14(0x172b)](_0x925f14(0x186))>=0x0&&(_0x5c5e76[_0x925f14(0x1a56)]=_0x3f65c0()['omit'](_0x5c5e76[_0x925f14(0x1a56)],_0x925f14(0x28fa))),_0x5c5e76['query'][_0x925f14(0x287)]=!![],_0x5c5e76[_0x925f14(0x2516)]=_0x5c5e76['query'][_0x925f14(0x1dd6)]?!![]:![];}}catch(_0x4ea903){console[_0x925f14(0x1980)](_0x4ea903);}else{const _0x3b88ed={'$gte':_0x2deec6()()[_0x925f14(0x1fcc)](_0x925f14(0x12b7))[_0x925f14(0x65f)](0x0,!![])[_0x925f14(0x22b0)](),'$lte':_0x2deec6()()[_0x925f14(0x824)](_0x925f14(0x12b7))[_0x925f14(0x65f)](0x0,!![])[_0x925f14(0x22b0)]()},_0x3792b3={'MailAccountId':_0x3f65c0()[_0x925f14(0x205)](_0x5c5e76[_0x925f14(0x1593)][_0x925f14(0x19c7)],'id'),'createdAt':_0x3b88ed};_0x5c5e76['query']=_0x3f65c0()[_0x925f14(0x168d)](_0x5c5e76['query'],_0x3792b3);}_0x29ea70['on'](_0x925f14(0x240e),_0x37feb6),_0x29ea70['on'](_0x925f14(0xb1d),_0x37feb6),_0x29ea70['on'](_0x925f14(0x26a8),_0x1ff437),_0x29ea70['on'](_0x925f14(0x27c9),_0x4a9e52),_0x29ea70['on'](_0x925f14(0x1f87),_0x4a9e52),_0x29ea70['on']('user_has_mail_interactions:save',_0x48aeef),_0x29ea70['on']('user_has_mail_interactions:remove',_0x42d9bd),_0x35b28b(_0x5c5e76[_0x925f14(0x1a56)][_0x925f14(0x844)]);});}function _0x5c7de6(){const _0x15e333=_0x1454c6;return[{'name':'Start\x20Date','key':_0x15e333(0xc68),'type':'date','label':_0x15e333(0x2902)},{'name':_0x15e333(0x1c7c),'key':_0x15e333(0x229e),'type':'select','label':_0x15e333(0x8f5),'customOptions':[{'value':0x0,'translate':'DASHBOARDS.UNREAD'},{'value':0x1,'translate':_0x15e333(0x1ce6)},{'value':null,'translate':_0x15e333(0x175e)}]},{'name':_0x15e333(0x74e),'key':_0x15e333(0x1943),'type':_0x15e333(0xa5f),'label':_0x15e333(0x1682),'customOptions':[{'value':0x0,'translate':_0x15e333(0x143b)},{'value':0x1,'translate':_0x15e333(0x1805)},{'value':null,'translate':'DASHBOARDS.ALL'}]},{'name':_0x15e333(0x2715),'key':_0x15e333(0x100d),'type':_0x15e333(0xa5f),'label':_0x15e333(0x103),'options':_0x5c5e76[_0x15e333(0xcb3)][_0x15e333(0x19c7)],'customOptions':[{'value':_0x15e333(0x203c),'translate':_0x15e333(0x69c)},{'value':undefined,'translate':'DASHBOARDS.ALL'}],'ngValue':'name','ngIf':'closed\x20===\x200'},{'name':'Account','key':_0x15e333(0x658),'type':_0x15e333(0x175c),'label':_0x15e333(0x1b49),'options':_0x5c5e76['mailAccounts']['rows'],'clearAll':![]},{'name':_0x15e333(0x294a),'key':_0x15e333(0xea2),'type':_0x15e333(0xa5f),'label':_0x15e333(0x127),'customOptions':[{'value':_0x5c5e76[_0x15e333(0xe7b)]['id'],'translate':'DASHBOARDS.ME'},{'value':'null','translate':_0x15e333(0x38d)},{'value':undefined,'translate':_0x15e333(0x175e)}]},{'name':'Tag','key':_0x15e333(0xa80),'type':_0x15e333(0x175c),'label':_0x15e333(0x1c0e),'options':_0x5c5e76['tags']['rows'],'placeholder':_0x15e333(0x66f)}];}function _0x4fb3df(_0x1f986a){const _0x27974b=_0x1454c6;_0x5c5e76[_0x27974b(0x14eb)]=![],_0x5c5e76[_0x27974b(0x1250)]=_0x1f986a||{'count':0x0,'rows':[]};for(let _0x4cf50d=0x0;_0x4cf50d<_0x5c5e76[_0x27974b(0x1250)][_0x27974b(0x19c7)][_0x27974b(0x402)];_0x4cf50d+=0x1){_0x20d647(_0x5c5e76[_0x27974b(0x1250)][_0x27974b(0x19c7)][_0x4cf50d]);}}function _0x35b28b(_0x15e82f){const _0x2c352a=_0x1454c6;_0x5c5e76[_0x2c352a(0x14eb)]=!![];!_0x15e82f&&(_0x5c5e76[_0x2c352a(0x1a56)][_0x2c352a(0x844)]=0x1);_0x5c5e76[_0x2c352a(0x1a56)]['offset']=(_0x5c5e76['query'][_0x2c352a(0x844)]-0x1)*_0x5c5e76['query'][_0x2c352a(0x221e)];let _0x2dc54e=_0x2111b6['localStorage'][_0x2c352a(0x1519)]('motion2.home.mail:'+_0x5c5e76['user']['id']);_0x2dc54e=_0x2dc54e?JSON[_0x2c352a(0x975)](_0x2dc54e):{},_0x2dc54e[_0x2c352a(0x1a56)]=_0x5c5e76[_0x2c352a(0x1a56)],_0x2111b6[_0x2c352a(0x1c39)][_0x2c352a(0xc32)](_0x2c352a(0x131c)+_0x5c5e76[_0x2c352a(0xe7b)]['id'],JSON[_0x2c352a(0x10bb)](_0x2dc54e)),_0x5c5e76[_0x2c352a(0xb9c)]=_0x368fc3[_0x2c352a(0x3c7)]['get'](_0x5c5e76[_0x2c352a(0x1a56)],_0x4fb3df)[_0x2c352a(0x2945)];}function _0x417282(_0x3894d6,_0x6a2a5d){const _0x1f3f5e=_0x1454c6;_0x438610['$parent']['vm'][_0x1f3f5e(0x2614)](_0x1f3f5e(0x56b),_0x6a2a5d);}function _0x5ac9d2(_0x32147c,_0x3e5825,_0x125f8b){const _0x3299f2=_0x1454c6;_0x368fc3[_0x3299f2(0x3c7)][_0x3299f2(0x15b1)]({'id':_0x3e5825['id'],'attachments':_0x125f8b})['$promise']['then'](function(_0x371128){const _0x1b0dbd=_0x3299f2,_0x2aefc9=[_0x371128[_0x1b0dbd(0x2eb)]],_0x95da5b=_0x1b0dbd(0x16ff)+_0x3e5825['id']+_0x1b0dbd(0xf04),_0x306cbe=new Blob(_0x2aefc9,{'type':_0x371128[_0x1b0dbd(0x1142)]}),_0xb45206=window['document'][_0x1b0dbd(0x24ec)]('a');_0xb45206[_0x1b0dbd(0x1652)](_0x1b0dbd(0x1b3c),URL[_0x1b0dbd(0x1c58)](_0x306cbe)),_0xb45206[_0x1b0dbd(0x1652)](_0x1b0dbd(0x15b1),_0x95da5b),document['body'][_0x1b0dbd(0x23e)](_0xb45206),_0xb45206[_0x1b0dbd(0x1fa5)]();})[_0x3299f2(0x129e)](function(_0x132d5e){const _0x36832e=_0x3299f2;if(_0x132d5e[_0x36832e(0x524)]&&_0x132d5e[_0x36832e(0x524)][_0x36832e(0xcef)]&&_0x132d5e[_0x36832e(0x524)][_0x36832e(0xcef)][_0x36832e(0x402)])for(let _0x3b8cfd=0x0;_0x3b8cfd<_0x132d5e['data'][_0x36832e(0xcef)][_0x36832e(0x402)];_0x3b8cfd+=0x1){_0x3f8898['error']({'title':_0x132d5e[_0x36832e(0x524)][_0x36832e(0xcef)][_0x3b8cfd][_0x36832e(0x1142)],'msg':_0x132d5e[_0x36832e(0x524)]['errors'][_0x3b8cfd][_0x36832e(0x7fd)]});}else _0x3f8898['error']({'title':_0x132d5e['status']?_0x36832e(0x262a)+_0x132d5e[_0x36832e(0x107b)]+_0x36832e(0x1315)+_0x132d5e[_0x36832e(0x167f)]:_0x36832e(0xd51),'msg':_0x132d5e['data']?JSON[_0x36832e(0x10bb)](_0x132d5e[_0x36832e(0x524)]['message']):_0x132d5e['toString']()});});}function _0x36e4d7(_0x54583d,_0x26f53f){const _0x44fde7=_0x1454c6,_0x24a131=_0x5c5e76['user'][_0x44fde7(0x211c)]||_0x5c5e76['user']['chatPause']||_0x5c5e76['user'][_0x44fde7(0x1e9e)]||_0x5c5e76['user'][_0x44fde7(0xcc5)]||_0x5c5e76[_0x44fde7(0xe7b)]['faxPause'],_0x444a22=!_0x26f53f[_0x44fde7(0xb48)]['mandatoryDisposition'];_0x234533[_0x44fde7(0x2615)]({'controller':_0x44fde7(0xe23),'controllerAs':'vm','templateUrl':_0x56fd44,'parent':angular['element'](_0x141134['body']),'clickOutsideToClose':_0x444a22,'escapeToClose':_0x444a22,'onRemoving':function(){const _0x2e4a88=_0x44fde7;_0x5c5e76[_0x2e4a88(0xe7b)]&&_0x26f53f['Account']['mandatoryDisposition']&&!_0x24a131&&_0x368fc3['user'][_0x2e4a88(0x974)]({'id':_0x5c5e76[_0x2e4a88(0xe7b)]['id']})[_0x2e4a88(0x2945)]['catch'](function(_0x181a9e){console['error'](_0x181a9e);});},'locals':{'user':_0x5c5e76[_0x44fde7(0xe7b)],'channel':_0x44fde7(0x56b),'interaction':_0x26f53f}});}function _0x20d647(_0x394ff6){const _0x5c7a0e=_0x1454c6;if(!_0x3f65c0()[_0x5c7a0e(0x2635)](_0x394ff6['to'])&&_0x394ff6[_0x5c7a0e(0xb48)]&&!_0x3f65c0()['includes'](_0x394ff6['to'],_0x394ff6[_0x5c7a0e(0xb48)][_0x5c7a0e(0x1a2b)]))_0x394ff6[_0x5c7a0e(0x792)]=_0x394ff6['to'][_0x5c7a0e(0x10c8)]('\x20<')[0x0],_0x394ff6[_0x5c7a0e(0x14e3)]=_0x394ff6['to']['split']('<')[_0x5c7a0e(0x18c1)]()[_0x5c7a0e(0x10c8)]('>')[0x0];else _0x394ff6['Contact']?(_0x394ff6[_0x5c7a0e(0x792)]=(_0x394ff6[_0x5c7a0e(0x364)][_0x5c7a0e(0x1ed4)]||'')+'\x20'+(_0x394ff6[_0x5c7a0e(0x364)][_0x5c7a0e(0xee4)]||''),_0x394ff6[_0x5c7a0e(0x14e3)]=_0x394ff6[_0x5c7a0e(0x364)][_0x5c7a0e(0x1a2b)]||''):_0x394ff6[_0x5c7a0e(0x792)]=_0x2c32f3[_0x5c7a0e(0xde)](_0x5c7a0e(0xe0b));}function _0x456684(_0x1eec96){const _0x19940c=_0x1454c6;if(_0x1eec96['UserId'])return _0x1eec96[_0x19940c(0xea2)]===_0x5c5e76[_0x19940c(0xe7b)]['id']?_0x2c32f3[_0x19940c(0xde)](_0x19940c(0x6d9)):_0x1eec96['Owner'][_0x19940c(0x286a)]+'\x20<'+_0x1eec96[_0x19940c(0xac5)][_0x19940c(0x113f)]+'>';return _0x2c32f3['instant'](_0x19940c(0x38d));}function _0x55e5ec(_0xb4f460){const _0x47a038=_0x1454c6;if(_0xb4f460[_0x47a038(0xb48)])return _0xb4f460[_0x47a038(0xb48)][_0x47a038(0x2293)];return _0x2c32f3[_0x47a038(0xde)](_0x47a038(0xe0b));}function _0x4875ab(_0x335a28,_0x32239b){const _0xe5db86=_0x1454c6;_0x32239b[_0xe5db86(0xe80)]?(_0x32239b['interaction']['isDraft']=!![],_0x417282(null,_0x32239b[_0xe5db86(0xe80)])):_0x234533['show']({'controller':_0xe5db86(0x1faa),'controllerAs':'vm','templateUrl':_0x379013,'parent':angular['element'](_0x141134[_0xe5db86(0x2586)]),'clickOutsideToClose':![],'locals':{'account':_0x32239b['account'],'message':_0x32239b['content'],'type':_0xe5db86(0x1d96),'interaction':{}},'fullscreen':!![]})[_0xe5db86(0x146b)](function(_0x114d3d){const _0x78536b=_0xe5db86;if(_0x114d3d){if(_0x114d3d['message']&&_0x114d3d[_0x78536b(0x7fd)][_0x78536b(0x1703)]){const _0x37f6f0={'id':_0x32239b['id'],'channel':_0x78536b(0x56b),'account':_0x114d3d[_0x78536b(0x1f8e)],'message':_0x114d3d[_0x78536b(0x7fd)]};_0x342adb[_0x78536b(0x18e1)](_0x37f6f0);}else{const _0x369ad8=_0x114d3d;return _0x368fc3[_0x78536b(0x67d)][_0x78536b(0x1443)]({'id':_0x32239b[_0x78536b(0x1f8e)]['id']},_0x369ad8)['$promise'][_0x78536b(0x146b)](function(_0x2d9979){const _0xb7463d=_0x78536b;_0x342adb[_0xb7463d(0x2640)](_0x32239b['id']);if(_0x2d9979&&_0x2d9979[_0xb7463d(0x1c7c)]&&_0x2d9979[_0xb7463d(0x1c7c)][_0xb7463d(0x402)]){if(_0x369ad8[_0xb7463d(0x2644)]&&_0x369ad8[_0xb7463d(0x2644)]['length'])for(let _0x57202e=0x0;_0x57202e<_0x369ad8[_0xb7463d(0x2644)][_0xb7463d(0x402)];_0x57202e+=0x1){_0x368fc3['attachment']['update']({'id':_0x369ad8[_0xb7463d(0x2644)][_0x57202e]['id']},{'MailMessageId':_0x3f65c0()['head'](_0x2d9979[_0xb7463d(0x1c7c)])['id']});}_0x3f8898[_0xb7463d(0x1c75)]({'title':'Message\x20properly\x20sent!','msg':'Message\x20has\x20been\x20sent!'});if(_0x2d9979[_0xb7463d(0x1943)]){_0x2d9979['disposition']=null;const _0xb98ba4=!_0x32239b[_0xb7463d(0x1f8e)][_0xb7463d(0xc9e)],_0x113564=_0x5c5e76[_0xb7463d(0xe7b)][_0xb7463d(0x211c)]||_0x5c5e76[_0xb7463d(0xe7b)][_0xb7463d(0x5f7)]||_0x5c5e76['user']['mailPause']||_0x5c5e76[_0xb7463d(0xe7b)]['openchannelPause']||_0x5c5e76[_0xb7463d(0xe7b)][_0xb7463d(0x25c4)];_0x234533[_0xb7463d(0x2615)]({'controller':_0xb7463d(0xe23),'controllerAs':'vm','templateUrl':_0x56fd44,'parent':angular['element'](_0x141134[_0xb7463d(0x2586)]),'onRemoving':function(){const _0x136d97=_0xb7463d;_0x5c5e76[_0x136d97(0xe7b)]&&_0x32239b[_0x136d97(0x1f8e)]['mandatoryDisposition']&&!_0x113564&&_0x368fc3[_0x136d97(0xe7b)][_0x136d97(0x974)]({'id':_0x5c5e76['user']['id']})[_0x136d97(0x2945)][_0x136d97(0x129e)](function(_0x59ee5d){const _0x3d1fd5=_0x136d97;console[_0x3d1fd5(0x1980)](_0x59ee5d);});},'clickOutsideToClose':_0xb98ba4,'escapeToClose':_0xb98ba4,'locals':{'user':_0x5c5e76[_0xb7463d(0xe7b)],'channel':'mail','interaction':_0x2d9979}});}else _0x438610[_0xb7463d(0x2870)]['vm']['addInteractionTab']('mail',_0x2d9979);}})[_0x78536b(0x129e)](function(_0x50f595){const _0xc83ba0=_0x78536b;if(_0x50f595[_0xc83ba0(0x524)]&&_0x50f595[_0xc83ba0(0x524)][_0xc83ba0(0xcef)]&&_0x50f595[_0xc83ba0(0x524)][_0xc83ba0(0xcef)][_0xc83ba0(0x402)])for(let _0x19132b=0x0;_0x19132b<_0x50f595[_0xc83ba0(0x524)][_0xc83ba0(0xcef)]['length'];_0x19132b++){_0x3f8898[_0xc83ba0(0x1980)]({'title':_0x50f595['data'][_0xc83ba0(0xcef)][_0x19132b][_0xc83ba0(0x1142)],'msg':_0x50f595[_0xc83ba0(0x524)][_0xc83ba0(0xcef)][_0x19132b][_0xc83ba0(0x7fd)]});}else _0x3f8898[_0xc83ba0(0x1980)]({'title':_0x50f595[_0xc83ba0(0x107b)]?_0xc83ba0(0x262a)+_0x50f595[_0xc83ba0(0x107b)]+_0xc83ba0(0x1315)+_0x50f595[_0xc83ba0(0x167f)]:_0xc83ba0(0x892),'msg':_0x50f595['data']?JSON[_0xc83ba0(0x10bb)](_0x50f595[_0xc83ba0(0x524)][_0xc83ba0(0x7fd)]):_0x50f595[_0xc83ba0(0x7fd)]||_0x50f595[_0xc83ba0(0xd5f)]()});});}}});}function _0x386885(_0x1b5c34,_0x498d4a){const _0x16271f=_0x1454c6;_0x234533[_0x16271f(0x2615)]({'controller':_0x16271f(0x1faa),'controllerAs':'vm','templateUrl':_0x379013,'parent':angular[_0x16271f(0x1853)](_0x141134[_0x16271f(0x2586)]),'clickOutsideToClose':![],'locals':{'account':_0x498d4a,'message':{},'type':_0x16271f(0x16d9),'interaction':{}},'fullscreen':!![]})[_0x16271f(0x146b)](function(_0x15a4d4){const _0x2e175a=_0x16271f;if(_0x15a4d4){if(_0x15a4d4[_0x2e175a(0x7fd)]&&_0x15a4d4[_0x2e175a(0x7fd)]['savedAsDraft']){const _0x46924a={'channel':_0x2e175a(0x56b),'account':_0x498d4a,'message':_0x15a4d4[_0x2e175a(0x7fd)],'contact':_0x15a4d4[_0x2e175a(0x1662)]};_0x342adb[_0x2e175a(0x387)](_0x46924a);}else{const _0x27e4ce=_0x15a4d4;return _0x368fc3['mailAccount']['send']({'id':_0x498d4a['id']},_0x27e4ce)['$promise'][_0x2e175a(0x146b)](function(_0x4595c5){const _0x16fa03=_0x2e175a;if(_0x4595c5&&_0x4595c5['Messages']&&_0x4595c5[_0x16fa03(0x1c7c)][_0x16fa03(0x402)]){if(_0x27e4ce['attachments']&&_0x27e4ce[_0x16fa03(0x2644)][_0x16fa03(0x402)])for(let _0x16158e=0x0;_0x16158e<_0x27e4ce['attachments'][_0x16fa03(0x402)];_0x16158e+=0x1){_0x368fc3['attachment'][_0x16fa03(0x18e1)]({'id':_0x27e4ce['attachments'][_0x16158e]['id']},{'MailMessageId':_0x3f65c0()[_0x16fa03(0x6d8)](_0x4595c5[_0x16fa03(0x1c7c)])['id']});}_0x3f8898[_0x16fa03(0x1c75)]({'title':_0x16fa03(0x24ad),'msg':_0x16fa03(0x54f)});if(_0x4595c5[_0x16fa03(0x1943)]){_0x4595c5[_0x16fa03(0x9e1)]=null;const _0xe922c0=!_0x498d4a['mandatoryDisposition'],_0x18ca24=_0x5c5e76[_0x16fa03(0xe7b)][_0x16fa03(0x211c)]||_0x5c5e76[_0x16fa03(0xe7b)][_0x16fa03(0x5f7)]||_0x5c5e76['user']['mailPause']||_0x5c5e76[_0x16fa03(0xe7b)][_0x16fa03(0xcc5)]||_0x5c5e76[_0x16fa03(0xe7b)]['faxPause'];_0x234533[_0x16fa03(0x2615)]({'controller':_0x16fa03(0xe23),'controllerAs':'vm','templateUrl':_0x56fd44,'parent':angular[_0x16fa03(0x1853)](_0x141134[_0x16fa03(0x2586)]),'onRemoving':function(){const _0x4771ce=_0x16fa03;_0x5c5e76[_0x4771ce(0xe7b)]&&_0x498d4a['mandatoryDisposition']&&!_0x18ca24&&_0x368fc3[_0x4771ce(0xe7b)][_0x4771ce(0x974)]({'id':_0x5c5e76['user']['id']})[_0x4771ce(0x2945)][_0x4771ce(0x129e)](function(_0x3173f1){const _0x10afda=_0x4771ce;console[_0x10afda(0x1980)](_0x3173f1);});},'clickOutsideToClose':_0xe922c0,'escapeToClose':_0xe922c0,'locals':{'user':_0x5c5e76[_0x16fa03(0xe7b)],'channel':_0x16fa03(0x56b),'interaction':_0x4595c5}});}else _0x438610[_0x16fa03(0x2870)]['vm'][_0x16fa03(0x2614)](_0x16fa03(0x56b),_0x4595c5);}})['catch'](function(_0x2f9137){const _0x1967d4=_0x2e175a;if(_0x2f9137[_0x1967d4(0x524)]&&_0x2f9137['data'][_0x1967d4(0xcef)]&&_0x2f9137[_0x1967d4(0x524)][_0x1967d4(0xcef)][_0x1967d4(0x402)])for(let _0x22ce01=0x0;_0x22ce01<_0x2f9137[_0x1967d4(0x524)][_0x1967d4(0xcef)][_0x1967d4(0x402)];_0x22ce01++){_0x3f8898[_0x1967d4(0x1980)]({'title':_0x2f9137[_0x1967d4(0x524)][_0x1967d4(0xcef)][_0x22ce01][_0x1967d4(0x1142)],'msg':_0x2f9137['data'][_0x1967d4(0xcef)][_0x22ce01][_0x1967d4(0x7fd)]});}else _0x3f8898['error']({'title':_0x2f9137[_0x1967d4(0x107b)]?_0x1967d4(0x262a)+_0x2f9137['status']+_0x1967d4(0x1315)+_0x2f9137[_0x1967d4(0x167f)]:'MAILACCOUNT:SEND','msg':_0x2f9137['data']?JSON['stringify'](_0x2f9137[_0x1967d4(0x524)][_0x1967d4(0x7fd)]):_0x2f9137[_0x1967d4(0x7fd)]||_0x2f9137['toString']()});});}}});}function _0x48aeef(_0x43041d){const _0x2cb584=_0x1454c6;let _0x534352;const _0x5f0a61=_0x3f65c0()[_0x2cb584(0xc84)](_0x5c5e76[_0x2cb584(0x1250)]['rows'],{'id':_0x43041d[_0x2cb584(0x1d89)]});_0x5f0a61&&_0x5f0a61['Users']&&(_0x534352=_0x3f65c0()[_0x2cb584(0xc84)](_0x5f0a61[_0x2cb584(0x3c4)],{'id':_0x43041d[_0x2cb584(0xea2)]}),!_0x534352&&_0x5f0a61[_0x2cb584(0x3c4)]['push']({'id':_0x43041d[_0x2cb584(0xea2)]}));}function _0x42d9bd(_0x44ab7){const _0xeb91bb=_0x1454c6,_0x26afeb=_0x3f65c0()[_0xeb91bb(0xc84)](_0x5c5e76[_0xeb91bb(0x1250)][_0xeb91bb(0x19c7)],{'id':_0x44ab7[_0xeb91bb(0x1d89)]});_0x26afeb&&_0x26afeb[_0xeb91bb(0x3c4)]&&_0x3f65c0()[_0xeb91bb(0x2640)](_0x26afeb[_0xeb91bb(0x3c4)],{'id':_0x44ab7['UserId']});}function _0x37feb6(_0x5755af){const _0x368c8d=_0x1454c6;if(_0x5755af){const _0x190c96=_0x3f65c0()[_0x368c8d(0xc84)](_0x5c5e76[_0x368c8d(0x1250)][_0x368c8d(0x19c7)],{'id':_0x5755af['id']});if(_0x190c96){_0x190c96[_0x368c8d(0x3c4)]=[];_0x5755af[_0x368c8d(0x1943)]&&(_0x190c96['unreadMessages']=0x0);if(_0x5c5e76[_0x368c8d(0x1a56)][_0x368c8d(0x28fa)]){const _0xbf506=_0x3f65c0()[_0x368c8d(0xd4d)](_0x5c5e76[_0x368c8d(0x1a56)]['sort'],'-')?'desc':'asc';_0x5c5e76['mailInteractions'][_0x368c8d(0x19c7)]=_0x3f65c0()[_0x368c8d(0x979)](_0x5c5e76['mailInteractions'][_0x368c8d(0x19c7)],_0x3f65c0()['trimStart'](_0x5c5e76[_0x368c8d(0x1a56)]['sort'],'-'),_0xbf506);}_0x3f65c0()['merge'](_0x190c96,_0x3f65c0()[_0x368c8d(0x40e)](_0x5755af,_0x3f65c0()[_0x368c8d(0x627)](_0x190c96)));}else _0x35b28b(_0x5c5e76[_0x368c8d(0x1a56)][_0x368c8d(0x844)]);}}function _0x1ff437(_0x2ac8f9){const _0x32f725=_0x1454c6;if(_0x2ac8f9){const _0x55b043=_0x3f65c0()[_0x32f725(0xc84)](_0x5c5e76[_0x32f725(0x1250)][_0x32f725(0x19c7)],{'id':_0x2ac8f9['id']});_0x55b043&&(_0x55b043[_0x32f725(0x2331)]=_0x2ac8f9[_0x32f725(0x1b86)]||[],_0x3f65c0()[_0x32f725(0x168d)](_0x55b043,_0x3f65c0()[_0x32f725(0x40e)](_0x2ac8f9,_0x3f65c0()[_0x32f725(0x627)](_0x55b043))));}}function _0x4a9e52(_0x8212a7){const _0x2c3bb6=_0x1454c6;if(_0x8212a7&&!_0x8212a7[_0x2c3bb6(0xaf0)]){const _0x28ae23=_0x3f65c0()[_0x2c3bb6(0xc84)](_0x5c5e76[_0x2c3bb6(0x1250)][_0x2c3bb6(0x19c7)],{'id':_0x8212a7[_0x2c3bb6(0x1d89)]});if(_0x28ae23){const _0x122b3c=_0x3f65c0()[_0x2c3bb6(0xc84)](_0x28ae23[_0x2c3bb6(0x1c7c)],{'id':_0x8212a7['id']});_0x122b3c?_0x3f65c0()[_0x2c3bb6(0x168d)](_0x122b3c,_0x3f65c0()[_0x2c3bb6(0x40e)](_0x8212a7,_0x3f65c0()[_0x2c3bb6(0x627)](_0x122b3c))):(_0x3f65c0()[_0x2c3bb6(0x1b36)](_0x28ae23[_0x2c3bb6(0x1c7c)])&&(_0x28ae23[_0x2c3bb6(0x1c7c)]={'count':0x0}),!_0x8212a7['read']&&(_0x28ae23[_0x2c3bb6(0x1c7c)][_0x2c3bb6(0x51c)]+=0x1));}}}function _0x26a1dd(){const _0x4a40c3=_0x1454c6;_0x5c5e76[_0x4a40c3(0x2516)]=![];}function _0x18ce7d(){_0x5c5e76['isSearchBarVisible']=!![];}function _0x366c21(){const _0x592710=_0x1454c6;_0x5c5e76['isSearchBarVisible']=![],_0x234533['show']({'controller':'AdvancedSearchController','controllerAs':'vm','templateUrl':_0x3fb128,'parent':angular['element'](_0x141134[_0x592710(0x2586)]),'clickOutsideToClose':![],'locals':{'color':_0x5c5e76[_0x592710(0x1527)],'fields':_0x5c5e76[_0x592710(0xe93)][_0x592710(0x2867)],'storagePath':_0x592710(0x1ef6)},'fullscreen':!![]})['then'](function(_0x137961){const _0x1955cb=_0x592710;_0x5c5e76[_0x1955cb(0x1a56)][_0x1955cb(0x21da)]=_0x137961===![]?undefined:_0x137961;if(_0x5c5e76[_0x1955cb(0x1a56)][_0x1955cb(0x21da)]||_0x137961===![])_0x35b28b();})[_0x592710(0x129e)](function(_0x373cf8){const _0x436aff=_0x592710;_0x3f8898[_0x436aff(0x1980)]({'title':'ERROR','msg':_0x373cf8[_0x436aff(0x524)]?JSON['stringify'](_0x373cf8[_0x436aff(0x524)][_0x436aff(0x7fd)]):_0x373cf8[_0x436aff(0xd5f)]()});});}let _0x401c2c=!![],_0x380072=0x1;_0x438610[_0x1454c6(0x21e8)](_0x1454c6(0x2669),function(_0x4d7b67,_0x2602e9){const _0x499349=_0x1454c6;_0x401c2c?_0x134f81(function(){_0x401c2c=![];}):(!_0x2602e9&&(_0x380072=_0x5c5e76[_0x499349(0x1a56)][_0x499349(0x844)]),_0x4d7b67!==_0x2602e9&&(_0x5c5e76[_0x499349(0x1a56)]['page']=0x1),!_0x4d7b67&&(_0x5c5e76[_0x499349(0x1a56)][_0x499349(0x844)]=_0x380072),_0x35b28b(_0x5c5e76['query'][_0x499349(0x844)]));}),_0x438610[_0x1454c6(0x16ad)]('$destroy',function(){const _0x36284d=_0x1454c6;_0x29ea70[_0x36284d(0x1c5f)](_0x36284d(0x27c9)),_0x29ea70[_0x36284d(0x1c5f)]('mailMessage:update'),_0x29ea70['removeAllListeners'](_0x36284d(0x240e)),_0x29ea70['removeAllListeners'](_0x36284d(0x26a8)),_0x29ea70[_0x36284d(0x1c5f)](_0x36284d(0xb1d)),_0x29ea70[_0x36284d(0x1c5f)](_0x36284d(0x2931)),_0x29ea70[_0x36284d(0x1c5f)](_0x36284d(0x1313));});}const _0x1513e2=_0x20bafc;;_0x3543a7[_0x313a4d(0x11c2)]=[_0x313a4d(0x4d8),_0x313a4d(0x910),_0x313a4d(0x1abe),_0x313a4d(0x10e8),_0x313a4d(0xa87),_0x313a4d(0x247f),_0x313a4d(0x1aa9),'toasty'];function _0x3543a7(_0x8b1d51,_0x2b2de1,_0x3aedb2,_0x58ce4c,_0x256def,_0x4c4f79,_0x579d6d,_0x206d11){const _0x346636=_0x313a4d,_0x192937=this;_0x192937[_0x346636(0x2321)]=_0x256def[_0x346636(0xb12)](),_0x192937[_0x346636(0x1324)]={},_0x192937['contacts']={'count':0x0,'rows':[]},_0x192937[_0x346636(0x1b86)]={'count':0x0,'rows':[]},_0x192937[_0x346636(0x1a56)]={'includeAll':!![],'id':_0x192937[_0x346636(0x2321)]['id'],'sort':_0x346636(0x12f2),'limit':0xa,'page':0x1},_0x192937[_0x346636(0xe93)]={'fields':[]},_0x192937[_0x346636(0x1527)]=_0x346636(0x10f),_0x192937['getContacts']=_0x3f5a80,_0x192937[_0x346636(0x41d)]=_0xbcfc67,_0x192937[_0x346636(0x1325)]=_0x496f0c,_0x192937[_0x346636(0x3d1)]=_0x47ae1b,_0x192937[_0x346636(0x155f)]=_0xa69cfb,_0x192937['call']=_0x2b2de1['$parent']['vm'][_0x346636(0x133b)],_0x192937['onInit']=_0x17a3d0,_0x192937[_0x346636(0x1c4f)]=_0x5d0c50,_0x192937[_0x346636(0x28b7)]=_0x3104c3,_0x192937['openAdvancedSearch']=_0x4853af;function _0x17a3d0(){const _0x56f4a8=_0x346636;_0x4c4f79[_0x56f4a8(0xf03)][_0x56f4a8(0x16b4)]({'fields':_0x56f4a8(0x3cd),'sort':_0x56f4a8(0x19eb),'nolimit':!![]})[_0x56f4a8(0x2945)][_0x56f4a8(0x146b)](function(_0x936332){const _0x98a68e=_0x56f4a8;return _0x192937['lists']=_0x936332||{'count':0x0,'rows':[]},_0x192937[_0x98a68e(0x96c)]=_0x3f65c0()[_0x98a68e(0x194)](_0x192937[_0x98a68e(0x1324)][_0x98a68e(0x19c7)],'id'),_0x4c4f79[_0x98a68e(0xa80)][_0x98a68e(0x16b4)]()[_0x98a68e(0x2945)];})[_0x56f4a8(0x146b)](function(_0x53a5ef){const _0x55523d=_0x56f4a8;_0x192937[_0x55523d(0x1b86)]=_0x53a5ef||{'count':0x0,'rows':[]};})[_0x56f4a8(0x146b)](function(){_0x192937['quickFilters']=_0x1473d2();})[_0x56f4a8(0x129e)](function(_0x3fdd55){console['error'](_0x3fdd55);})[_0x56f4a8(0x1ec6)](function(){const _0x173010=_0x56f4a8;let _0x2d5752=_0x579d6d[_0x173010(0x1c39)][_0x173010(0x1519)](_0x173010(0x287c)+_0x192937['currentUser']['id']);if(_0x2d5752)try{_0x2d5752=JSON[_0x173010(0x975)](_0x2d5752);if(_0x2d5752[_0x173010(0x1a56)]){_0x192937[_0x173010(0x1a56)]=_0x3f65c0()[_0x173010(0x168d)](_0x192937[_0x173010(0x1a56)],_0x2d5752[_0x173010(0x1a56)]);if(_0x3f65c0()[_0x173010(0x2635)](_0x192937[_0x173010(0x1324)][_0x173010(0x19c7)]))_0x192937['query']['ListId']=[];else _0x3f65c0()[_0x173010(0x2635)](_0x192937['query']['ListId'])&&(_0x192937[_0x173010(0x1a56)][_0x173010(0xb7c)]=_0x3f65c0()(_0x192937['lists'][_0x173010(0x19c7)])[_0x173010(0x205)]('id')[_0x173010(0x2421)]()[_0x173010(0x175d)]());}}catch(_0x1167db){console['error'](_0x1167db);}else _0x192937[_0x173010(0x1a56)]['ListId']=_0x3f65c0()[_0x173010(0x205)](_0x192937['lists']['rows'],'id');_0x192937[_0x173010(0x2516)]=_0x192937[_0x173010(0x1a56)][_0x173010(0x1dd6)]?!![]:![],_0x3f5a80();});}function _0x1473d2(){const _0x4fd81d=_0x346636;return[{'name':_0x4fd81d(0x1940),'key':_0x4fd81d(0xb7c),'type':_0x4fd81d(0x175c),'label':_0x4fd81d(0x3b9),'options':_0x192937[_0x4fd81d(0x1324)][_0x4fd81d(0x19c7)],'clearAll':![]},{'name':_0x4fd81d(0x23a8),'key':_0x4fd81d(0xa80),'type':'multiselect','label':_0x4fd81d(0x1c0e),'options':_0x192937['tags']['rows'],'placeholder':_0x4fd81d(0x66f)}];}function _0xc6d91c(_0x42d55c){const _0x17701a=_0x346636;_0x192937[_0x17701a(0x14eb)]=![],_0x192937[_0x17701a(0x1471)]=_0x42d55c||{'count':0x0,'rows':[]};}function _0x3f5a80(_0xe4e2e0){const _0x451b87=_0x346636;_0x192937['searchInProgress']=!![],_0x66a64a();!_0xe4e2e0&&(_0x192937[_0x451b87(0x1a56)]['page']=0x1);_0x192937['query']['offset']=(_0x192937[_0x451b87(0x1a56)]['page']-0x1)*_0x192937['query'][_0x451b87(0x221e)];let _0x1237d9=_0x579d6d[_0x451b87(0x1c39)][_0x451b87(0x1519)](_0x451b87(0x287c)+_0x192937[_0x451b87(0x2321)]['id']);_0x1237d9=_0x1237d9?JSON[_0x451b87(0x975)](_0x1237d9):{},_0x1237d9[_0x451b87(0x1a56)]=_0x192937[_0x451b87(0x1a56)],_0x579d6d[_0x451b87(0x1c39)][_0x451b87(0xc32)]('motion2.home.mycontacts:'+_0x192937[_0x451b87(0x2321)]['id'],JSON[_0x451b87(0x10bb)](_0x1237d9));if(_0x192937[_0x451b87(0x1a56)]['search'])_0x192937[_0x451b87(0xb85)]=_0x3f65c0()['omit'](_0x192937[_0x451b87(0x1a56)],'id');_0x192937['promise']=_0x192937['query'][_0x451b87(0x21da)]?_0x4c4f79['cmContact'][_0x451b87(0x16b4)](_0x192937[_0x451b87(0xb85)],_0xc6d91c)[_0x451b87(0x2945)]:_0x4c4f79[_0x451b87(0xe7b)]['getContacts'](_0x192937[_0x451b87(0x1a56)],_0xc6d91c)[_0x451b87(0x2945)];}function _0xa69cfb(_0x59ee10,_0x28b375){const _0x1826b1=_0x346636;_0x2b2de1[_0x1826b1(0x2870)]['vm']['addContactTab'](_0x28b375);}function _0xbcfc67(){_0x3f5a80();}function _0x47ae1b(_0x3dd763,_0x32321c){const _0x4305a9=_0x346636;_0x58ce4c[_0x4305a9(0x2615)]({'controller':_0x4305a9(0x11f3),'controllerAs':'vm','templateUrl':_0x3f981f,'parent':angular[_0x4305a9(0x1853)](_0x8b1d51['body']),'targetEvent':_0x3dd763,'clickOutsideToClose':!![],'resolve':{'contact':[_0x4305a9(0x362),function(_0x4a7b6a){const _0x26e442=_0x4305a9;return _0x4a7b6a[_0x26e442(0x2922)](_0x26e442(0x17d4),{'id':_0x32321c['id']});}],'lists':[_0x4305a9(0x362),function(_0x102e10){const _0x599b5e=_0x4305a9;return _0x102e10[_0x599b5e(0x2922)](_0x599b5e(0x22b6),{'id':_0x192937[_0x599b5e(0x2321)]['id'],'fields':_0x599b5e(0x7a7)});}]},'locals':{'contacts':_0x192937[_0x4305a9(0x1471)][_0x4305a9(0x19c7)]}});}function _0x496f0c(_0x39a973,_0x5e0e73){const _0x489266=_0x346636;_0x58ce4c[_0x489266(0x2615)]({'controller':'MergeContactDialogController','controllerAs':'vm','templateUrl':_0x1cccb8,'parent':angular[_0x489266(0x1853)](_0x8b1d51[_0x489266(0x2586)]),'targetEvent':_0x39a973,'clickOutsideToClose':!![],'resolve':{'contact':[_0x489266(0x362),function(_0x235fa5){const _0x4d7cda=_0x489266;return _0x235fa5[_0x4d7cda(0x2922)]('cmContact@get',{'id':_0x5e0e73['id']});}],'lists':[_0x489266(0x362),function(_0x4d9147){const _0x3203f4=_0x489266;return _0x4d9147[_0x3203f4(0x2922)](_0x3203f4(0x22b6),{'id':_0x192937['currentUser']['id'],'fields':_0x3203f4(0x7a7)});}]},'locals':{'contacts':_0x192937[_0x489266(0x1471)]['rows']}});}function _0x5d0c50(){_0x192937['isSearchBarVisible']=![];}function _0x3104c3(){const _0x5079b3=_0x346636;_0x192937[_0x5079b3(0x2516)]=!![];}function _0x66a64a(){const _0xe38769=_0x346636;return _0x4c4f79['cmContact']['describe']({'id':_0x192937['query'][_0xe38769(0xb7c)]})['$promise'][_0xe38769(0x146b)](function(_0x252af6){const _0x3f4723=_0xe38769;return _0x3f65c0()(_0x252af6[_0x3f4723(0x2488)]())[_0x3f4723(0x2432)]('UserId')[_0x3f4723(0x81b)]()['map'](function(_0x36eca6){return _0x1973d1(_0x36eca6);})[_0x3f4723(0x175d)]();})[_0xe38769(0x146b)](function(_0xdee211){const _0x451d1c=_0xe38769;_0x192937[_0x451d1c(0xe93)]['fields']=_0xdee211;const _0x2983e7={'name':_0x451d1c(0x2331),'column':_0x451d1c(0x23a8),'type':'multiselect','options':{'route':{'model':_0x451d1c(0xa80),'action':_0x451d1c(0x16b4),'params':{'nolimit':!![]}},'excludedOperators':[_0x451d1c(0xbe5)]}};let _0x5b331e=_0x3f65c0()[_0x451d1c(0x99c)](_0xdee211,function(_0x21acdd){const _0x27b6e9=_0x451d1c;return _0x3f65c0()['startsWith'](_0x21acdd['column'],_0x27b6e9(0x21ef));})||_0xdee211[_0x451d1c(0x402)]-0x1;if(_0x5b331e<0x0)_0x5b331e=_0xdee211[_0x451d1c(0x402)];_0x192937[_0x451d1c(0xe93)][_0x451d1c(0x2867)]['splice'](_0x5b331e,0x0,_0x2983e7);})[_0xe38769(0x129e)](function(_0x2cfe44){const _0x402f9b=_0xe38769;_0x206d11[_0x402f9b(0x1980)]({'title':_0x2cfe44['status']?'API:'+_0x2cfe44[_0x402f9b(0x107b)]+_0x402f9b(0x1315)+_0x2cfe44[_0x402f9b(0x167f)]:'DESCRIBE_CM_CONTACTS','msg':_0x2cfe44['data']?JSON[_0x402f9b(0x10bb)](_0x2cfe44[_0x402f9b(0x524)][_0x402f9b(0x7fd)]):_0x2cfe44[_0x402f9b(0xd5f)]()});});}function _0x1973d1(_0x107c96){const _0xf0eafb=_0x346636,_0x1f4d83={'name':_0x3f65c0()[_0xf0eafb(0x20d1)](_0x107c96['displayName']),'column':_0x107c96['columnName'],'options':{}};if(_0x107c96['custom'])switch(_0x107c96[_0xf0eafb(0x1802)][_0xf0eafb(0x1142)]){case _0xf0eafb(0xa8d):_0x1f4d83[_0xf0eafb(0x1142)]=_0xf0eafb(0xa8d);break;case _0xf0eafb(0xa5f):_0x1f4d83[_0xf0eafb(0x1142)]=_0xf0eafb(0x175c),_0x1f4d83['values']=_0x3f65c0()[_0xf0eafb(0x205)](JSON[_0xf0eafb(0x975)](_0x107c96['custom'][_0xf0eafb(0x81b)]),function(_0x483706,_0x17823b){const _0x62ee32=_0xf0eafb;return{'id':_0x17823b,'name':_0x483706[_0x62ee32(0x175d)],'value':_0x483706[_0x62ee32(0x175d)]};}),_0x1f4d83[_0xf0eafb(0xa08)]['field']=_0xf0eafb(0x19eb);break;case _0xf0eafb(0x1197):_0x1f4d83[_0xf0eafb(0x1142)]=_0xf0eafb(0xa5f),_0x1f4d83['values']=[{'id':0x1,'translate':'DASHBOARDS.ENABLED'},{'id':0x0,'translate':_0xf0eafb(0x1ab0)}],_0x1f4d83[_0xf0eafb(0xa08)][_0xf0eafb(0x930)]=[_0xf0eafb(0x1671)];break;case _0xf0eafb(0x181):_0x1f4d83[_0xf0eafb(0x1142)]=_0xf0eafb(0x181);break;case _0xf0eafb(0x16ca):_0x1f4d83['type']=_0xf0eafb(0x1a10);break;}else switch(_0x107c96[_0xf0eafb(0x1e1d)]){case'dateOfBirth':_0x1f4d83[_0xf0eafb(0x1142)]='date';break;case'CompanyId':_0x1f4d83['name']=_0xf0eafb(0x26c9),_0x1f4d83['type']=_0xf0eafb(0x14ee),_0x1f4d83[_0xf0eafb(0xa08)][_0xf0eafb(0xdb0)]={'model':_0xf0eafb(0x134d),'action':'get','params':{'fields':_0xf0eafb(0x7a7),'nolimit':!![]}},_0x1f4d83[_0xf0eafb(0xa08)][_0xf0eafb(0x458)]=[_0xf0eafb(0x19eb)],_0x1f4d83[_0xf0eafb(0xa08)][_0xf0eafb(0x930)]=['$ne'];break;case'ListId':_0x1f4d83['name']=_0xf0eafb(0x1940),_0x1f4d83[_0xf0eafb(0x1142)]='autocomplete',_0x1f4d83[_0xf0eafb(0xa08)][_0xf0eafb(0xdb0)]={'model':_0xf0eafb(0xe7b),'action':_0xf0eafb(0x233d),'params':{'id':_0x192937[_0xf0eafb(0x2321)]['id'],'fields':_0xf0eafb(0x7a7),'nolimit':!![]}},_0x1f4d83[_0xf0eafb(0xa08)][_0xf0eafb(0x458)]=[_0xf0eafb(0x19eb)],_0x1f4d83['options']['excludedOperators']=[_0xf0eafb(0x1671)];break;default:if(_0x3f65c0()[_0xf0eafb(0xa4e)](_0x107c96[_0xf0eafb(0x1142)][_0xf0eafb(0x256e)](),_0xf0eafb(0x81c)))_0x1f4d83[_0xf0eafb(0x1142)]=_0xf0eafb(0x181);else _0x3f65c0()['includes'](_0x107c96[_0xf0eafb(0x1142)]['toLowerCase'](),_0xf0eafb(0x1a10))?_0x1f4d83['type']='date':_0x1f4d83['type']='text';break;}return _0x1f4d83;}function _0x4853af(){const _0x158706=_0x346636;_0x192937[_0x158706(0x2516)]=![],_0x58ce4c[_0x158706(0x2615)]({'controller':'AdvancedSearchController','controllerAs':'vm','templateUrl':_0x3fb128,'parent':angular[_0x158706(0x1853)](_0x8b1d51[_0x158706(0x2586)]),'clickOutsideToClose':![],'locals':{'color':_0x192937[_0x158706(0x1527)],'fields':_0x192937['advancedSearch'][_0x158706(0x2867)],'storagePath':_0x158706(0x155c)},'fullscreen':!![]})['then'](function(_0x1cc981){const _0x121731=_0x158706;_0x192937[_0x121731(0x1a56)][_0x121731(0x21da)]=_0x1cc981===![]?undefined:_0x1cc981;if(_0x1cc981===![])_0x3f5a80();else _0x192937[_0x121731(0x1a56)][_0x121731(0x21da)]&&(_0x192937[_0x121731(0x1a56)]['search']+='||User:=$eq['+_0x192937[_0x121731(0x2321)]['id']+']',_0x3f5a80());})['catch'](function(_0x1a9c89){const _0x176b57=_0x158706;_0x206d11['error']({'title':_0x176b57(0x10f5),'msg':_0x1a9c89[_0x176b57(0x524)]?JSON[_0x176b57(0x10bb)](_0x1a9c89[_0x176b57(0x524)][_0x176b57(0x7fd)]):_0x1a9c89[_0x176b57(0xd5f)]()});});}let _0x4ea57f=!![],_0x3a953e=0x1;_0x2b2de1[_0x346636(0x21e8)](_0x346636(0x2669),function(_0x461049,_0x574d78){const _0x2dfa73=_0x346636;_0x4ea57f?_0x3aedb2(function(){_0x4ea57f=![];}):(!_0x574d78&&(_0x3a953e=_0x192937[_0x2dfa73(0x1a56)]['page']),_0x461049!==_0x574d78&&(_0x192937[_0x2dfa73(0x1a56)][_0x2dfa73(0x844)]=0x1),!_0x461049&&(_0x192937['query']['page']=_0x3a953e),_0x3f5a80());});}const _0xfea453=_0x3543a7;;_0x143c1f[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1abe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),'$window',_0x313a4d(0x214b),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x279d),_0x313a4d(0x1fe4),'draftManager'];function _0x143c1f(_0x2ecb49,_0x276d3b,_0x4b194f,_0x2a3422,_0x4159e9,_0x51f6c5,_0x1f5223,_0x446e24,_0xd83fd1,_0x3e8b40,_0x222ed5){const _0x52533e=_0x313a4d,_0x329cd9=this;_0x329cd9[_0x52533e(0xe7b)]=_0x446e24[_0x52533e(0xb12)](),_0x329cd9[_0x52533e(0x2690)]={},_0x329cd9['setting']={},_0x329cd9[_0x52533e(0x1b86)]={'count':0x0,'rows':[]},_0x329cd9[_0x52533e(0xcb6)]={'count':0x0,'rows':[]},_0x329cd9[_0x52533e(0x1a56)]={'includeAll':!![],'sort':'-updatedAt','limit':0xa,'page':0x1,'read':null,'closed':null},_0x329cd9['advancedSearch']={'fields':[{'name':'Id','column':'id','type':_0x52533e(0x181)},{'name':'Contact','column':_0x52533e(0x364),'type':'autocomplete','options':{'searchFields':[_0x52533e(0x1ed4),_0x52533e(0xee4),'email'],'route':{'model':_0x52533e(0x18c3),'action':'get','params':{'fields':'id,firstName,lastName,email','Contact':_0x52533e(0x3fb),'nolimit':!![]}},'extraOperators':[_0x52533e(0xacb)],'excludedOperators':[_0x52533e(0x1671)]}},{'name':_0x52533e(0x710),'column':_0x52533e(0x2586),'type':_0x52533e(0xa8d),'options':{'excludedOperators':[_0x52533e(0x6be),_0x52533e(0x1671)]}},{'name':_0x52533e(0x74e),'column':_0x52533e(0x1943),'type':'select','values':[{'id':0x0,'translate':_0x52533e(0x143b)},{'id':0x1,'translate':_0x52533e(0x1805)}],'options':{'excludedOperators':[_0x52533e(0x1671)]}},{'name':_0x52533e(0x294a),'column':_0x52533e(0x2393),'type':'autocomplete','options':{'table':'i','route':{'model':_0x52533e(0xe7b),'action':_0x52533e(0x16b4),'params':{'role':_0x52533e(0x1755),'fields':_0x52533e(0x12bf),'nolimit':!![]}},'searchFields':[_0x52533e(0x286a),_0x52533e(0x19eb)],'extraOperators':['$substring'],'excludedOperators':[_0x52533e(0x1671)]}},{'name':'Account','column':_0x52533e(0x534),'type':_0x52533e(0x175c),'options':{'route':{'model':_0x52533e(0xe7b),'action':_0x52533e(0x12ef),'params':{'id':_0x329cd9[_0x52533e(0xe7b)]['id'],'nolimit':!![]}}}},{'name':_0x52533e(0x2331),'column':'Tag','type':_0x52533e(0x175c),'options':{'route':{'model':_0x52533e(0xa80),'action':_0x52533e(0x16b4),'params':{'nolimit':!![]}},'excludedOperators':[_0x52533e(0xbe5)]}},{'name':_0x52533e(0x294),'column':'createdAt','type':_0x52533e(0x1a10),'options':{'excludedOperators':[_0x52533e(0x1671)]}},{'name':_0x52533e(0x270b),'column':_0x52533e(0x26a6),'type':_0x52533e(0xa5f),'values':[{'id':0x1,'translate':_0x52533e(0x1ce6)},{'id':0x0,'translate':_0x52533e(0x33f)}],'options':{'excludedOperators':['$ne']}}]},_0x329cd9[_0x52533e(0x1527)]=_0x52533e(0x16b),_0x329cd9[_0x52533e(0x3d4)]=_0x292ed6,_0x329cd9['success']=_0x3e68dd,_0x329cd9[_0x52533e(0x2367)]=_0x5b0aad,_0x329cd9['showOpenchannelInteraction']=_0x473624,_0x329cd9[_0x52533e(0x1ad7)]=_0x659992,_0x329cd9[_0x52533e(0x10ef)]=_0x176200,_0x329cd9['getContactLabel']=_0x2848a0,_0x329cd9[_0x52533e(0xe3b)]=_0x57d3e5,_0x329cd9[_0x52533e(0x2685)]=_0xdfa51c,_0x329cd9['composeOpenchannelInteraction']=_0xf48106,_0x329cd9[_0x52533e(0x1c4f)]=_0x10ef3e,_0x329cd9[_0x52533e(0x28b7)]=_0x3b7595,_0x329cd9[_0x52533e(0x21a6)]=_0x25fd7e;function _0x292ed6(_0x561539,_0x271532){const _0x380e77=_0x52533e;return _0x329cd9[_0x380e77(0x2690)]=_0x561539,_0x329cd9['setting']=_0x271532,_0x1f5223[_0x380e77(0xe7b)][_0x380e77(0x16b4)+_0x3f65c0()[_0x380e77(0x21cf)](_0x380e77(0x1690))]({'id':_0x329cd9[_0x380e77(0xe7b)]['id'],'nolimit':!![]})['$promise']['then'](function(_0x581cd5){const _0x594b32=_0x380e77;return _0x329cd9[_0x594b32(0x1690)]=_0x581cd5||{'count':0x0,'rows':[]},_0x1f5223['tag'][_0x594b32(0x16b4)]()['$promise'];})[_0x380e77(0x146b)](function(_0x41ad44){const _0x2c0cfe=_0x380e77;_0x329cd9[_0x2c0cfe(0x1b86)]=_0x41ad44||{'count':0x0,'rows':[]};})['then'](function(){const _0x1e7ab1=_0x380e77;_0x329cd9[_0x1e7ab1(0x28af)]=_0x4ba95d();})['catch'](function(_0x5345b3){const _0x4c120b=_0x380e77;console[_0x4c120b(0x1980)](_0x5345b3);})['finally'](function(){const _0x58b6a9=_0x380e77;let _0x38bd14=_0x4159e9[_0x58b6a9(0x1c39)][_0x58b6a9(0x1519)](_0x58b6a9(0x1b43)+_0x329cd9[_0x58b6a9(0xe7b)]['id']);if(_0x38bd14)try{_0x38bd14=JSON[_0x58b6a9(0x975)](_0x38bd14);if(_0x38bd14[_0x58b6a9(0x1a56)]){_0x329cd9[_0x58b6a9(0x1a56)]=_0x3f65c0()['merge'](_0x329cd9[_0x58b6a9(0x1a56)],_0x38bd14[_0x58b6a9(0x1a56)]);if(_0x3f65c0()['isEmpty'](_0x329cd9[_0x58b6a9(0x1690)]['rows']))_0x329cd9['query']['OpenchannelAccountId']=[];else _0x3f65c0()['isEmpty'](_0x329cd9['query'][_0x58b6a9(0x534)])&&(_0x329cd9[_0x58b6a9(0x1a56)][_0x58b6a9(0x534)]=_0x3f65c0()(_0x329cd9[_0x58b6a9(0x1690)][_0x58b6a9(0x19c7)])[_0x58b6a9(0x205)]('id')['take']()[_0x58b6a9(0x175d)]());_0x329cd9[_0x58b6a9(0x1a56)][_0x58b6a9(0x28fa)]&&_0x329cd9[_0x58b6a9(0x1a56)][_0x58b6a9(0x28fa)][_0x58b6a9(0x172b)](_0x58b6a9(0x186))>=0x0&&(_0x329cd9['query']=_0x3f65c0()['omit'](_0x329cd9['query'],'sort')),_0x329cd9[_0x58b6a9(0x1a56)][_0x58b6a9(0x287)]=!![],_0x329cd9[_0x58b6a9(0x2516)]=_0x329cd9[_0x58b6a9(0x1a56)]['filter']?!![]:![];}}catch(_0xe693c4){console['error'](_0xe693c4);}else{const _0x205fa7={'$gte':_0x2deec6()()[_0x58b6a9(0x1fcc)](_0x58b6a9(0x12b7))[_0x58b6a9(0x65f)](0x0,!![])[_0x58b6a9(0x22b0)](),'$lte':_0x2deec6()()['endOf'](_0x58b6a9(0x12b7))[_0x58b6a9(0x65f)](0x0,!![])[_0x58b6a9(0x22b0)]()},_0x2560fc={'OpenchannelAccountId':_0x3f65c0()[_0x58b6a9(0x205)](_0x329cd9[_0x58b6a9(0x1690)][_0x58b6a9(0x19c7)],'id'),'createdAt':_0x205fa7};_0x329cd9[_0x58b6a9(0x1a56)]=_0x3f65c0()['merge'](_0x329cd9[_0x58b6a9(0x1a56)],_0x2560fc);}_0xd83fd1['on'](_0x58b6a9(0x5ad),_0x83159d),_0xd83fd1['on']('openchannelInteraction:update',_0x83159d),_0xd83fd1['on']('openchannelInteractionTags:save',_0x619e3b),_0xd83fd1['on'](_0x58b6a9(0x666),_0x59494b),_0xd83fd1['on']('openchannelMessage:update',_0x59494b),_0xd83fd1['on'](_0x58b6a9(0x1021),_0x882dc5),_0xd83fd1['on'](_0x58b6a9(0x166a),_0x1f99ac),_0x5b0aad(_0x329cd9['query'][_0x58b6a9(0x844)]);});}function _0x4ba95d(){const _0x44e86e=_0x52533e;return[{'name':_0x44e86e(0x294),'key':_0x44e86e(0xc68),'type':_0x44e86e(0x1a10),'label':'DASHBOARDS.SELECT_DATE'},{'name':_0x44e86e(0x1c7c),'key':_0x44e86e(0x229e),'type':_0x44e86e(0xa5f),'label':_0x44e86e(0x8f5),'customOptions':[{'value':0x0,'translate':_0x44e86e(0x33f)},{'value':0x1,'translate':_0x44e86e(0x1ce6)},{'value':null,'translate':_0x44e86e(0x175e)}]},{'name':_0x44e86e(0x74e),'key':'closed','type':_0x44e86e(0xa5f),'label':'DASHBOARDS.SELECT_STATUS','customOptions':[{'value':0x0,'translate':'DASHBOARDS.OPENED'},{'value':0x1,'translate':_0x44e86e(0x1805)},{'value':null,'translate':_0x44e86e(0x175e)}]},{'name':_0x44e86e(0xb48),'key':_0x44e86e(0x534),'type':_0x44e86e(0x175c),'label':_0x44e86e(0x1b49),'options':_0x329cd9[_0x44e86e(0x1690)][_0x44e86e(0x19c7)],'clearAll':![]},{'name':_0x44e86e(0x294a),'key':_0x44e86e(0xea2),'type':'select','label':_0x44e86e(0x127),'customOptions':[{'value':_0x329cd9['user']['id'],'translate':'DASHBOARDS.ME'},{'value':_0x44e86e(0x203c),'translate':_0x44e86e(0x38d)},{'value':undefined,'translate':_0x44e86e(0x175e)}]},{'name':_0x44e86e(0x23a8),'key':_0x44e86e(0xa80),'type':_0x44e86e(0x175c),'label':'DASHBOARDS.SELECT_TAG','options':_0x329cd9['tags']['rows'],'placeholder':_0x44e86e(0x66f)}];}function _0x3e68dd(_0x16b53b){const _0x5a1bbe=_0x52533e;_0x329cd9['searchInProgress']=![],_0x329cd9[_0x5a1bbe(0xcb6)]=_0x16b53b||{'count':0x0,'rows':[]};for(let _0x4a8874=0x0;_0x4a8874<_0x329cd9[_0x5a1bbe(0xcb6)][_0x5a1bbe(0x19c7)][_0x5a1bbe(0x402)];_0x4a8874+=0x1){_0x2848a0(_0x329cd9[_0x5a1bbe(0xcb6)]['rows'][_0x4a8874]);}}function _0x5b0aad(_0x284081){const _0x37dcd3=_0x52533e;_0x329cd9[_0x37dcd3(0x14eb)]=!![];!_0x284081&&(_0x329cd9[_0x37dcd3(0x1a56)][_0x37dcd3(0x844)]=0x1);_0x329cd9[_0x37dcd3(0x1a56)][_0x37dcd3(0x145d)]=(_0x329cd9[_0x37dcd3(0x1a56)][_0x37dcd3(0x844)]-0x1)*_0x329cd9['query'][_0x37dcd3(0x221e)];let _0x3ccdfc=_0x4159e9[_0x37dcd3(0x1c39)]['getItem']('motion2.home.openchannel:'+_0x329cd9['user']['id']);_0x3ccdfc=_0x3ccdfc?JSON[_0x37dcd3(0x975)](_0x3ccdfc):{},_0x3ccdfc[_0x37dcd3(0x1a56)]=_0x329cd9[_0x37dcd3(0x1a56)],_0x4159e9['localStorage'][_0x37dcd3(0xc32)](_0x37dcd3(0x1b43)+_0x329cd9[_0x37dcd3(0xe7b)]['id'],JSON[_0x37dcd3(0x10bb)](_0x3ccdfc)),_0x329cd9['promise']=_0x1f5223[_0x37dcd3(0x119)][_0x37dcd3(0x16b4)](_0x329cd9[_0x37dcd3(0x1a56)],_0x3e68dd)[_0x37dcd3(0x2945)];}function _0x473624(_0x35c523,_0x14ad17){const _0x165b47=_0x52533e;_0x2ecb49[_0x165b47(0x2870)]['vm'][_0x165b47(0x2614)](_0x165b47(0x7d9),_0x14ad17);}function _0x659992(_0x397494,_0x56eae6,_0x1f9658){const _0x364fa4=_0x52533e;_0x1f5223[_0x364fa4(0x119)][_0x364fa4(0x15b1)]({'id':_0x56eae6['id'],'attachments':_0x1f9658})[_0x364fa4(0x2945)]['then'](function(_0x578851){const _0x4c98d9=_0x364fa4,_0x3cc03b=[_0x578851[_0x4c98d9(0x2eb)]],_0x3319a1=_0x4c98d9(0x23c4)+_0x56eae6['id']+_0x4c98d9(0xf04),_0x220c3f=new Blob(_0x3cc03b,{'type':_0x578851[_0x4c98d9(0x1142)]}),_0x3b88b5=window[_0x4c98d9(0xef3)][_0x4c98d9(0x24ec)]('a');_0x3b88b5[_0x4c98d9(0x1652)]('href',URL[_0x4c98d9(0x1c58)](_0x220c3f)),_0x3b88b5['setAttribute'](_0x4c98d9(0x15b1),_0x3319a1),document[_0x4c98d9(0x2586)][_0x4c98d9(0x23e)](_0x3b88b5),_0x3b88b5[_0x4c98d9(0x1fa5)]();})[_0x364fa4(0x129e)](function(_0x3f590f){const _0x2e800b=_0x364fa4;if(_0x3f590f[_0x2e800b(0x524)]&&_0x3f590f[_0x2e800b(0x524)][_0x2e800b(0xcef)]&&_0x3f590f['data']['errors'][_0x2e800b(0x402)])for(let _0x3a6483=0x0;_0x3a6483<_0x3f590f['data']['errors']['length'];_0x3a6483+=0x1){_0x3e8b40[_0x2e800b(0x1980)]({'title':_0x3f590f['data'][_0x2e800b(0xcef)][_0x3a6483][_0x2e800b(0x1142)],'msg':_0x3f590f[_0x2e800b(0x524)][_0x2e800b(0xcef)][_0x3a6483][_0x2e800b(0x7fd)]});}else _0x3e8b40['error']({'title':_0x3f590f[_0x2e800b(0x107b)]?'API:'+_0x3f590f[_0x2e800b(0x107b)]+'\x20-\x20'+_0x3f590f[_0x2e800b(0x167f)]:_0x2e800b(0x1ad7),'msg':_0x3f590f[_0x2e800b(0x524)]?JSON[_0x2e800b(0x10bb)](_0x3f590f[_0x2e800b(0x524)][_0x2e800b(0x7fd)]):_0x3f590f[_0x2e800b(0xd5f)]()});});}function _0x176200(_0x5b0f50,_0x1e83ac){const _0x30d766=_0x52533e,_0x7e3222=_0x329cd9['user'][_0x30d766(0x211c)]||_0x329cd9[_0x30d766(0xe7b)][_0x30d766(0x5f7)]||_0x329cd9[_0x30d766(0xe7b)][_0x30d766(0x1e9e)]||_0x329cd9[_0x30d766(0xe7b)]['openchannelPause']||_0x329cd9[_0x30d766(0xe7b)]['faxPause'],_0x4c8b81=!_0x1e83ac['Account'][_0x30d766(0xc9e)];_0x4b194f[_0x30d766(0x2615)]({'controller':'DisposeInteractionDialogController','controllerAs':'vm','templateUrl':_0x56fd44,'parent':angular[_0x30d766(0x1853)](_0x2a3422['body']),'clickOutsideToClose':_0x4c8b81,'escapeToClose':_0x4c8b81,'onRemoving':function(){const _0x30ba3e=_0x30d766;_0x329cd9[_0x30ba3e(0xe7b)]&&_0x1e83ac['Account'][_0x30ba3e(0xc9e)]&&!_0x7e3222&&_0x1f5223[_0x30ba3e(0xe7b)][_0x30ba3e(0x974)]({'id':_0x329cd9[_0x30ba3e(0xe7b)]['id']})[_0x30ba3e(0x2945)][_0x30ba3e(0x129e)](function(_0x392f08){const _0x57fbc1=_0x30ba3e;console[_0x57fbc1(0x1980)](_0x392f08);});},'locals':{'user':_0x329cd9[_0x30d766(0xe7b)],'channel':'openchannel','interaction':_0x1e83ac}});}function _0x2848a0(_0x280b42){const _0x45da9a=_0x52533e;if(_0x280b42[_0x45da9a(0xfa5)])_0x280b42[_0x45da9a(0x792)]=_0x280b42[_0x45da9a(0xfa5)];else _0x280b42[_0x45da9a(0x364)]?_0x280b42[_0x45da9a(0x792)]=(_0x280b42[_0x45da9a(0x364)]['firstName']||'')+'\x20'+(_0x280b42[_0x45da9a(0x364)][_0x45da9a(0xee4)]||''):_0x280b42[_0x45da9a(0x792)]=_0x51f6c5['instant'](_0x45da9a(0xe0b));}function _0x57d3e5(_0x3d1124){const _0xa6e8fc=_0x52533e;if(_0x3d1124[_0xa6e8fc(0xea2)])return _0x3d1124[_0xa6e8fc(0xea2)]===_0x329cd9[_0xa6e8fc(0xe7b)]['id']?_0x51f6c5['instant'](_0xa6e8fc(0x6d9)):_0x3d1124[_0xa6e8fc(0xac5)][_0xa6e8fc(0x286a)]+'\x20<'+_0x3d1124[_0xa6e8fc(0xac5)][_0xa6e8fc(0x113f)]+'>';return _0x51f6c5[_0xa6e8fc(0xde)]('DASHBOARDS.NOT_ASSIGNED');}function _0xdfa51c(_0x27db42){const _0x5be373=_0x52533e;if(_0x27db42[_0x5be373(0xb48)])return _0x27db42[_0x5be373(0xb48)][_0x5be373(0x2293)];return _0x51f6c5[_0x5be373(0xde)](_0x5be373(0xe0b));}function _0xf48106(_0x9aaea6,_0x58ef15){const _0x428451=_0x52533e;_0x4b194f[_0x428451(0x2615)]({'controller':_0x428451(0xde7),'controllerAs':'vm','templateUrl':_0x5c47bf,'parent':angular[_0x428451(0x1853)](_0x2a3422['body']),'clickOutsideToClose':![],'locals':{'account':_0x58ef15,'message':{},'type':'new','interaction':{}},'fullscreen':!![]})[_0x428451(0x146b)](function(_0x4d8ce1){const _0x4a2fdd=_0x428451;if(_0x4d8ce1){if(_0x4d8ce1[_0x4a2fdd(0x7fd)]&&_0x4d8ce1[_0x4a2fdd(0x7fd)][_0x4a2fdd(0x1703)]){const _0x1168e8={'channel':_0x4a2fdd(0x7d9),'account':_0x58ef15,'message':_0x4d8ce1[_0x4a2fdd(0x7fd)],'contact':_0x4d8ce1[_0x4a2fdd(0x1662)]};_0x222ed5[_0x4a2fdd(0x387)](_0x1168e8);}else{const _0x46d37c=_0x4d8ce1;return _0x1f5223[_0x4a2fdd(0xbd7)][_0x4a2fdd(0x1443)]({'id':_0x58ef15['id']},_0x46d37c)['$promise']['then'](function(_0x52e9e1){const _0x5cf1fb=_0x4a2fdd;_0x3e8b40['success']({'title':'Message\x20properly\x20sent!','msg':'Message\x20has\x20been\x20sent!'}),_0x2ecb49[_0x5cf1fb(0x2870)]['vm'][_0x5cf1fb(0x2614)](_0x5cf1fb(0x7d9),_0x52e9e1);})[_0x4a2fdd(0x129e)](function(_0x189bfc){const _0x552ec5=_0x4a2fdd;if(_0x189bfc[_0x552ec5(0x524)]&&_0x189bfc[_0x552ec5(0x524)][_0x552ec5(0xcef)]&&_0x189bfc['data'][_0x552ec5(0xcef)][_0x552ec5(0x402)])for(let _0x31cde6=0x0;_0x31cde6<_0x189bfc[_0x552ec5(0x524)][_0x552ec5(0xcef)]['length'];_0x31cde6++){_0x3e8b40[_0x552ec5(0x1980)]({'title':_0x189bfc[_0x552ec5(0x524)][_0x552ec5(0xcef)][_0x31cde6][_0x552ec5(0x1142)],'msg':_0x189bfc['data'][_0x552ec5(0xcef)][_0x31cde6][_0x552ec5(0x7fd)]});}else _0x3e8b40[_0x552ec5(0x1980)]({'title':_0x189bfc[_0x552ec5(0x107b)]?'API:'+_0x189bfc['status']+'\x20-\x20'+_0x189bfc[_0x552ec5(0x167f)]:'OPENCHANNELACCOUNT:SEND','msg':_0x189bfc['data']?JSON[_0x552ec5(0x10bb)](_0x189bfc[_0x552ec5(0x524)][_0x552ec5(0x7fd)]):_0x189bfc[_0x552ec5(0x7fd)]||_0x189bfc[_0x552ec5(0xd5f)]()});});}}});}function _0x882dc5(_0x7f91c6){const _0x14ef4e=_0x52533e;let _0x10b2ee;const _0x4201bb=_0x3f65c0()[_0x14ef4e(0xc84)](_0x329cd9[_0x14ef4e(0xcb6)][_0x14ef4e(0x19c7)],{'id':_0x7f91c6[_0x14ef4e(0x1959)]});_0x4201bb&&_0x4201bb['Users']&&(_0x10b2ee=_0x3f65c0()[_0x14ef4e(0xc84)](_0x4201bb[_0x14ef4e(0x3c4)],{'id':_0x7f91c6['UserId']}),!_0x10b2ee&&_0x4201bb['Users']['push']({'id':_0x7f91c6[_0x14ef4e(0xea2)]}));}function _0x1f99ac(_0x522801){const _0x1324dd=_0x52533e,_0x30a5b6=_0x3f65c0()['find'](_0x329cd9[_0x1324dd(0xcb6)][_0x1324dd(0x19c7)],{'id':_0x522801[_0x1324dd(0x1959)]});_0x30a5b6&&_0x30a5b6[_0x1324dd(0x3c4)]&&_0x3f65c0()[_0x1324dd(0x2640)](_0x30a5b6[_0x1324dd(0x3c4)],{'id':_0x522801[_0x1324dd(0xea2)]});}function _0x83159d(_0x20d453){const _0x36bd26=_0x52533e;if(_0x20d453){const _0x56acd6=_0x3f65c0()[_0x36bd26(0xc84)](_0x329cd9[_0x36bd26(0xcb6)][_0x36bd26(0x19c7)],{'id':_0x20d453['id']});if(_0x56acd6){_0x56acd6[_0x36bd26(0x3c4)]=[];_0x20d453[_0x36bd26(0x1943)]&&(_0x56acd6['unreadMessages']=0x0);if(_0x329cd9[_0x36bd26(0x1a56)][_0x36bd26(0x28fa)]){const _0x1d913a=_0x3f65c0()[_0x36bd26(0xd4d)](_0x329cd9[_0x36bd26(0x1a56)][_0x36bd26(0x28fa)],'-')?_0x36bd26(0x2517):_0x36bd26(0x195);_0x329cd9[_0x36bd26(0xcb6)]['rows']=_0x3f65c0()['orderBy'](_0x329cd9[_0x36bd26(0xcb6)][_0x36bd26(0x19c7)],_0x3f65c0()[_0x36bd26(0x25b5)](_0x329cd9[_0x36bd26(0x1a56)][_0x36bd26(0x28fa)],'-'),_0x1d913a);}_0x3f65c0()[_0x36bd26(0x168d)](_0x56acd6,_0x3f65c0()[_0x36bd26(0x40e)](_0x20d453,_0x3f65c0()[_0x36bd26(0x627)](_0x56acd6)));}else _0x5b0aad(_0x329cd9[_0x36bd26(0x1a56)][_0x36bd26(0x844)]);}}function _0x619e3b(_0x590a42){const _0x3afdc2=_0x52533e;if(_0x590a42){const _0x23178e=_0x3f65c0()[_0x3afdc2(0xc84)](_0x329cd9['openchannelInteractions'][_0x3afdc2(0x19c7)],{'id':_0x590a42['id']});_0x23178e&&(_0x23178e[_0x3afdc2(0x2331)]=_0x590a42[_0x3afdc2(0x1b86)]||[],_0x3f65c0()['merge'](_0x23178e,_0x3f65c0()[_0x3afdc2(0x40e)](_0x590a42,_0x3f65c0()['keys'](_0x23178e))));}}function _0x59494b(_0x27b141){const _0x337fed=_0x52533e;if(_0x27b141&&!_0x27b141[_0x337fed(0xaf0)]){const _0x2ec3fc=_0x3f65c0()[_0x337fed(0xc84)](_0x329cd9[_0x337fed(0xcb6)][_0x337fed(0x19c7)],{'id':_0x27b141[_0x337fed(0x1959)]});if(_0x2ec3fc){const _0x5a9805=_0x3f65c0()[_0x337fed(0xc84)](_0x2ec3fc[_0x337fed(0x1c7c)],{'id':_0x27b141['id']});_0x5a9805?_0x3f65c0()[_0x337fed(0x168d)](_0x5a9805,_0x3f65c0()[_0x337fed(0x40e)](_0x27b141,_0x3f65c0()['keys'](_0x5a9805))):(_0x3f65c0()[_0x337fed(0x1b36)](_0x2ec3fc['Messages'])&&(_0x2ec3fc['Messages']={'count':0x0}),!_0x27b141[_0x337fed(0x229e)]&&(_0x2ec3fc['Messages'][_0x337fed(0x51c)]+=0x1));}}}function _0x10ef3e(){const _0xe7381a=_0x52533e;_0x329cd9[_0xe7381a(0x2516)]=![];}function _0x3b7595(){const _0x94515=_0x52533e;_0x329cd9[_0x94515(0x2516)]=!![];}function _0x25fd7e(){const _0x4562e5=_0x52533e;_0x329cd9[_0x4562e5(0x2516)]=![],_0x4b194f[_0x4562e5(0x2615)]({'controller':'AdvancedSearchController','controllerAs':'vm','templateUrl':_0x3fb128,'parent':angular[_0x4562e5(0x1853)](_0x2a3422[_0x4562e5(0x2586)]),'clickOutsideToClose':![],'locals':{'color':_0x329cd9[_0x4562e5(0x1527)],'fields':_0x329cd9[_0x4562e5(0xe93)][_0x4562e5(0x2867)],'storagePath':_0x4562e5(0x604)},'fullscreen':!![]})[_0x4562e5(0x146b)](function(_0x1cd623){const _0x1d5daa=_0x4562e5;_0x329cd9[_0x1d5daa(0x1a56)][_0x1d5daa(0x21da)]=_0x1cd623===![]?undefined:_0x1cd623;if(_0x329cd9[_0x1d5daa(0x1a56)][_0x1d5daa(0x21da)]||_0x1cd623===![])_0x5b0aad();})[_0x4562e5(0x129e)](function(_0x131962){const _0x847ecf=_0x4562e5;_0x3e8b40[_0x847ecf(0x1980)]({'title':_0x847ecf(0x10f5),'msg':_0x131962[_0x847ecf(0x524)]?JSON['stringify'](_0x131962[_0x847ecf(0x524)][_0x847ecf(0x7fd)]):_0x131962[_0x847ecf(0xd5f)]()});});}let _0x355a51=!![],_0x173339=0x1;_0x2ecb49[_0x52533e(0x21e8)]('vm.query.filter',function(_0x337916,_0x4fc944){const _0x220aee=_0x52533e;_0x355a51?_0x276d3b(function(){_0x355a51=![];}):(!_0x4fc944&&(_0x173339=_0x329cd9[_0x220aee(0x1a56)][_0x220aee(0x844)]),_0x337916!==_0x4fc944&&(_0x329cd9['query'][_0x220aee(0x844)]=0x1),!_0x337916&&(_0x329cd9[_0x220aee(0x1a56)][_0x220aee(0x844)]=_0x173339),_0x5b0aad(_0x329cd9[_0x220aee(0x1a56)]['page']));}),_0x2ecb49[_0x52533e(0x16ad)](_0x52533e(0x116f),function(){const _0x23b41=_0x52533e;_0xd83fd1[_0x23b41(0x1c5f)](_0x23b41(0x666)),_0xd83fd1[_0x23b41(0x1c5f)]('openchannelMessage:update'),_0xd83fd1['removeAllListeners'](_0x23b41(0x5ad)),_0xd83fd1[_0x23b41(0x1c5f)](_0x23b41(0xe88)),_0xd83fd1[_0x23b41(0x1c5f)]('openchannelInteraction:update'),_0xd83fd1[_0x23b41(0x1c5f)](_0x23b41(0x1021)),_0xd83fd1['removeAllListeners'](_0x23b41(0x166a));});}const _0x3ab007=_0x143c1f;;_0x429806['$inject']=[_0x313a4d(0x4d8),_0x313a4d(0x910),'$timeout',_0x313a4d(0x10e8),_0x313a4d(0x28c8),_0x313a4d(0xb51),_0x313a4d(0xa87)];function _0x429806(_0x2da6fb,_0x3870f2,_0x3781f3,_0x4234da,_0x2ddcd0,_0x4283e1,_0x47dd78){const _0x338ad7=_0x313a4d,_0x1800c4=this;_0x1800c4[_0x338ad7(0x2321)]=_0x47dd78[_0x338ad7(0xb12)](),_0x1800c4['plugin']={};function _0x32cf5c(_0x45f84e,_0xa2e54c,_0x14a25a){const _0x57aad3=_0x338ad7;_0x1800c4[_0x57aad3(0x2690)]=_0x45f84e,_0x1800c4[_0x57aad3(0x15b9)]=_0xa2e54c,_0x1800c4[_0x57aad3(0x5f5)]=_0x14a25a;}function _0x225b5e(){const _0x5883cf=_0x338ad7;return _0x4283e1[_0x5883cf(0x66b)](_0x1800c4[_0x5883cf(0x5f5)][_0x5883cf(0xcd9)]);}_0x3870f2[_0x338ad7(0x16ad)](_0x338ad7(0x1766),function(_0x4f890c,_0x424b0b){const _0x55b668=_0x338ad7;_0x1800c4[_0x55b668(0x5f5)]=_0x424b0b['plugin'];}),_0x1800c4[_0x338ad7(0x3d4)]=_0x32cf5c,_0x1800c4[_0x338ad7(0x208b)]=_0x225b5e,_0x32cf5c();}const _0x29347f=_0x429806;;_0x1ed78a[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1abe),_0x313a4d(0x247f),'toasty',_0x313a4d(0xa87)];function _0x1ed78a(_0x58b43b,_0x55b4f4,_0x4cb85f,_0x3c37a9,_0x3025df,_0x37f67b){const _0x4519e8=_0x313a4d,_0x5c7d8e=this;_0x5c7d8e['currentUser']=_0x37f67b[_0x4519e8(0xb12)](),_0x5c7d8e[_0x4519e8(0x2546)]={},_0x5c7d8e[_0x4519e8(0x768)]=_0x4519e8(0x2546),_0x5c7d8e[_0x4519e8(0x1a56)]={'UserId':_0x5c7d8e[_0x4519e8(0x2321)]['id'],'fields':_0x4519e8(0x2932),'sort':_0x4519e8(0x12f2),'limit':0xa,'page':0x1,'type':null},_0x5c7d8e[_0x4519e8(0x26eb)]=_0x2c80ad,_0x5c7d8e['downloadtranscribe']=_0x318dda,_0x5c7d8e[_0x4519e8(0x1c75)]=_0x5dbe8b,_0x5c7d8e[_0x4519e8(0x1f4)]=_0x17f539,_0x5c7d8e['onInit']=_0x4fbead,_0x5c7d8e[_0x4519e8(0x1c4f)]=_0x3b1d82,_0x5c7d8e[_0x4519e8(0x28b7)]=_0x18f36f;function _0x4fbead(){const _0xc52a5e=_0x4519e8;_0x5c7d8e[_0xc52a5e(0x28af)]=_0xea28fa();let _0x36b7b8=_0x55b4f4['localStorage'][_0xc52a5e(0x1519)](_0xc52a5e(0x2817)+_0x5c7d8e[_0xc52a5e(0x2321)]['id']);if(_0x36b7b8)try{_0x36b7b8=JSON[_0xc52a5e(0x975)](_0x36b7b8),_0x36b7b8[_0xc52a5e(0x1a56)]&&(_0x5c7d8e['query']=_0x3f65c0()['omit'](_0x36b7b8[_0xc52a5e(0x1a56)],[_0xc52a5e(0x1dd6),'id']),_0x5c7d8e['query'][_0xc52a5e(0x2867)]=_0xc52a5e(0x2155),_0x5c7d8e[_0xc52a5e(0x1a56)][_0xc52a5e(0xea2)]=_0x5c7d8e[_0xc52a5e(0x2321)]['id']);}catch(_0x5ad928){console[_0xc52a5e(0x1980)](_0x5ad928);}else{const _0x453ecf={'$gte':_0x2deec6()()[_0xc52a5e(0x1fcc)](_0xc52a5e(0x12b7))[_0xc52a5e(0x65f)](0x0,!![])[_0xc52a5e(0x22b0)](),'$lte':_0x2deec6()()[_0xc52a5e(0x824)](_0xc52a5e(0x12b7))[_0xc52a5e(0x65f)](0x0,!![])['format']()};_0x5c7d8e[_0xc52a5e(0x1a56)][_0xc52a5e(0xc68)]=_0x453ecf;}_0x5c7d8e[_0xc52a5e(0x2516)]=_0x5c7d8e[_0xc52a5e(0x1a56)]['filter']?!![]:![],_0x5c7d8e['getVoiceRecordings']();}function _0x2c80ad(_0x3b0390){const _0x400d3f=_0x4519e8;return _0x3c37a9[_0x400d3f(0x1688)][_0x400d3f(0x15b1)]({'id':_0x3b0390['id'],'exists':!![]})[_0x400d3f(0x2945)]['then'](function(_0x35d44a){const _0x2734b2=_0x400d3f,_0x53f84b=[_0x35d44a['buffer']],_0x346a50=new Blob(_0x53f84b,{'type':_0x35d44a['type']}),_0x515d2f=window[_0x2734b2(0xef3)][_0x2734b2(0x24ec)]('a');_0x515d2f[_0x2734b2(0x1652)](_0x2734b2(0x1b3c),URL[_0x2734b2(0x1c58)](_0x346a50)),_0x515d2f[_0x2734b2(0x1652)](_0x2734b2(0x15b1),_0x3b0390[_0x2734b2(0x4f8)]+_0x3b0390[_0x2734b2(0x22b0)]),_0x515d2f['click']();})[_0x400d3f(0x129e)](function(_0x5e7a96){const _0x5c80f4=_0x400d3f;_0x3025df[_0x5c80f4(0x1980)]({'title':_0x5e7a96[_0x5c80f4(0x107b)]?'API:'+_0x5e7a96[_0x5c80f4(0x107b)]+'\x20-\x20'+_0x5e7a96['statusText']:_0x5c80f4(0x2186),'msg':_0x5e7a96[_0x5c80f4(0x524)]?JSON[_0x5c80f4(0x10bb)](_0x5e7a96['data']):_0x5e7a96['toString']()});});}function _0x318dda(_0x321e73){const _0x486a83=_0x4519e8;return _0x3c37a9[_0x486a83(0x1688)]['downloadTranscribe']({'id':_0x321e73['id']})['$promise'][_0x486a83(0x146b)](function(_0x4a9ded){const _0x4ad7b4=_0x486a83,_0x5aa8cc=[_0x4a9ded['buffer']];let _0x407ade=_0x4ad7b4(0x15b1);const _0x1622f0=new Blob(_0x5aa8cc,{'type':_0x4a9ded[_0x4ad7b4(0x1142)]});_0x407ade=_0x321e73[_0x4ad7b4(0x4f8)];const _0x4e46a2=window['document'][_0x4ad7b4(0x24ec)]('a');_0x4e46a2[_0x4ad7b4(0x1652)](_0x4ad7b4(0x1b3c),URL[_0x4ad7b4(0x1c58)](_0x1622f0)),_0x4e46a2['setAttribute'](_0x4ad7b4(0x15b1),_0x407ade),document['body'][_0x4ad7b4(0x23e)](_0x4e46a2),_0x4e46a2[_0x4ad7b4(0x1fa5)]();})[_0x486a83(0x129e)](function(_0x244fa1){const _0x32b41f=_0x486a83;_0x3025df[_0x32b41f(0x1980)]({'title':_0x244fa1[_0x32b41f(0x107b)]?_0x32b41f(0x262a)+_0x244fa1['status']+_0x32b41f(0x1315)+_0x244fa1[_0x32b41f(0x167f)]:'SYSTEM:GETvoiceRecording','msg':_0x244fa1[_0x32b41f(0x524)]?JSON[_0x32b41f(0x10bb)](_0x244fa1[_0x32b41f(0x524)]):_0x244fa1[_0x32b41f(0xd5f)]()});});}function _0xea28fa(){const _0x26e02e=_0x4519e8;return[{'name':_0x26e02e(0x294),'key':_0x26e02e(0xc68),'type':'date','label':_0x26e02e(0x2902)},{'name':_0x26e02e(0x18be),'key':_0x26e02e(0x1142),'type':_0x26e02e(0xa5f),'label':_0x26e02e(0x1684),'customOptions':[{'value':_0x26e02e(0x113f),'translate':'VOICE.INTERNAL'},{'value':_0x26e02e(0x7b0),'translate':_0x26e02e(0x203e)},{'value':_0x26e02e(0xc9c),'translate':'VOICE.OUTBOUND'},{'value':'dialer','translate':_0x26e02e(0x1ea6)},{'value':null,'translate':'VOICE.ALL'}]}];}function _0x5dbe8b(_0x1a14b4){const _0x5452ac=_0x4519e8;_0x5c7d8e[_0x5452ac(0x14eb)]=![],_0x5c7d8e[_0x5452ac(0x2546)]=_0x1a14b4||{'count':0x0,'rows':[]};}function _0x17f539(){const _0x417ced=_0x4519e8;_0x5c7d8e[_0x417ced(0x14eb)]=!![],_0x5c7d8e[_0x417ced(0x1a56)][_0x417ced(0x145d)]=(_0x5c7d8e[_0x417ced(0x1a56)][_0x417ced(0x844)]-0x1)*_0x5c7d8e[_0x417ced(0x1a56)][_0x417ced(0x221e)];const _0x4b7a88={'query':_0x5c7d8e['query']};_0x55b4f4[_0x417ced(0x1c39)][_0x417ced(0xc32)]('motion2.home.recordings:'+_0x5c7d8e[_0x417ced(0x2321)]['id'],JSON[_0x417ced(0x10bb)](_0x4b7a88)),_0x5c7d8e['promise']=_0x3c37a9['voiceRecording'][_0x417ced(0x16b4)](_0x5c7d8e['query'],_0x5dbe8b)[_0x417ced(0x2945)];}function _0x3b1d82(){const _0x388ad1=_0x4519e8;_0x5c7d8e['query'][_0x388ad1(0x1dd6)]=undefined,_0x5c7d8e[_0x388ad1(0x2516)]=![];}function _0x18f36f(){const _0x489771=_0x4519e8;_0x5c7d8e[_0x489771(0x2516)]=!![];}let _0x5ab1ba=!![],_0x11adae=0x1;_0x58b43b[_0x4519e8(0x21e8)](_0x4519e8(0x2669),function(_0x4eaa96,_0x43efb0){const _0x5381fd=_0x4519e8;_0x5ab1ba?_0x4cb85f(function(){_0x5ab1ba=![];}):(!_0x43efb0&&(_0x11adae=_0x5c7d8e['query'][_0x5381fd(0x844)]),_0x4eaa96!==_0x43efb0&&(_0x5c7d8e[_0x5381fd(0x1a56)][_0x5381fd(0x844)]=0x1),!_0x4eaa96&&(_0x5c7d8e['query'][_0x5381fd(0x844)]=_0x11adae),_0x5c7d8e[_0x5381fd(0x1f4)]());});}const _0x4b50b9=_0x1ed78a;;const _0x24c092=_0x4acfac['p']+_0x313a4d(0x17a1);;_0x581716[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x1aa9),_0x313a4d(0x1abe),'$mdDialog',_0x313a4d(0x4d8),'toasty','api',_0x313a4d(0xa87)];function _0x581716(_0x73e267,_0x511b51,_0x46d14b,_0x4f6472,_0x823ed9,_0x5184ff,_0x2ecb98,_0x903d4a){const _0x3ae5d2=_0x313a4d,_0x363d16=this;_0x363d16['currentUser']=_0x903d4a['getCurrentUser'](),_0x363d16[_0x3ae5d2(0x1b2d)]=[_0x3ae5d2(0x2188),'Low','Medium',_0x3ae5d2(0x25c5),_0x3ae5d2(0xa73)],_0x363d16['crudPermissions']={'readOnly':![],'canEdit':!![],'canDelete':!![]},_0x363d16[_0x3ae5d2(0x114f)]={},_0x363d16[_0x3ae5d2(0x1a56)]={'id':_0x363d16['currentUser']['id'],'fields':_0x3ae5d2(0x2812),'sort':_0x3ae5d2(0x432),'limit':0xa,'page':0x1},_0x363d16[_0x3ae5d2(0x17c4)]={'rows':[],'count':0x0},_0x363d16['success']=_0x12cef0,_0x363d16[_0x3ae5d2(0xb94)]=_0x282061,_0x363d16['onInit']=_0xe03d14,_0x363d16[_0x3ae5d2(0x238c)]=_0x1eb552,_0x363d16[_0x3ae5d2(0x1bc8)]=_0x1c3713,_0x363d16['deleteConfirm']=_0x172755;function _0xe03d14(){const _0x3dd091=_0x3ae5d2;_0x2ecb98['user'][_0x3dd091(0xc53)]({'id':_0x903d4a[_0x3dd091(0xb12)]()['id'],'fields':'id,name','channel':_0x3dd091(0xe6),'type':_0x3dd091(0xc9c),'nolimit':!![]})[_0x3dd091(0x2945)][_0x3dd091(0x146b)](function(_0x3981a3){_0x363d16['queueCampaigns']=_0x3981a3||{'count':0x0,'rows':[]};})[_0x3dd091(0x146b)](function(){_0x363d16['quickFilters']=_0x133580();})[_0x3dd091(0x129e)](function(_0x419627){const _0x38a493=_0x3dd091;console[_0x38a493(0x1980)](_0x419627);})[_0x3dd091(0x1ec6)](function(){const _0x31454e=_0x3dd091;let _0x287a14=_0x511b51['localStorage'][_0x31454e(0x1519)](_0x31454e(0xa14)+_0x363d16[_0x31454e(0x2321)]['id']);if(_0x287a14)try{_0x287a14=JSON['parse'](_0x287a14),_0x287a14[_0x31454e(0x1a56)]&&(_0x363d16['query']=_0x3f65c0()['omit'](_0x287a14['query'],[_0x31454e(0x1dd6)]));}catch(_0x2c55d6){console[_0x31454e(0x1980)](_0x2c55d6);}else{const _0x531607={'$gte':_0x2deec6()()[_0x31454e(0x1fcc)](_0x31454e(0x12b7))[_0x31454e(0x65f)](0x0,!![])['format'](),'$lte':_0x2deec6()()[_0x31454e(0x824)](_0x31454e(0x12b7))['utcOffset'](0x0,!![])['format']()};_0x363d16[_0x31454e(0x1a56)]['createdAt']=_0x531607;}_0x363d16['getScheduledCalls']();});}function _0x12cef0(_0xd2af36){const _0x3cf704=_0x3ae5d2;_0x363d16[_0x3cf704(0x14eb)]=![],_0x363d16[_0x3cf704(0x114f)]=_0xd2af36||{'count':0x0,'rows':[]};}function _0x133580(){const _0x4d35ca=_0x3ae5d2;return[{'name':_0x4d35ca(0x294),'key':'createdAt','type':_0x4d35ca(0x1a10),'label':_0x4d35ca(0x2902)},{'name':_0x4d35ca(0xeb5),'key':_0x4d35ca(0x6ed),'type':_0x4d35ca(0x175c),'label':_0x4d35ca(0x7ad),'options':_0x363d16[_0x4d35ca(0x17c4)][_0x4d35ca(0x19c7)]}];}function _0x282061(){const _0x544b41=_0x3ae5d2;_0x363d16[_0x544b41(0x14eb)]=!![],_0x363d16[_0x544b41(0x1a56)]['id']=_0x363d16[_0x544b41(0x2321)]['id'],_0x363d16[_0x544b41(0x1a56)][_0x544b41(0x287)]=!![],_0x363d16[_0x544b41(0x1a56)][_0x544b41(0x145d)]=(_0x363d16[_0x544b41(0x1a56)][_0x544b41(0x844)]-0x1)*_0x363d16[_0x544b41(0x1a56)][_0x544b41(0x221e)];const _0x83bb0a={'query':_0x363d16[_0x544b41(0x1a56)]};_0x511b51[_0x544b41(0x1c39)]['setItem'](_0x544b41(0xa14)+_0x363d16[_0x544b41(0x2321)]['id'],JSON['stringify'](_0x83bb0a)),_0x363d16[_0x544b41(0xb9c)]=_0x2ecb98['user'][_0x544b41(0xb94)](_0x363d16[_0x544b41(0x1a56)],_0x12cef0)[_0x544b41(0x2945)];}function _0x1c3713(_0xfb1162,_0x512263){const _0x424d1b=_0x3ae5d2;_0x4f6472[_0x424d1b(0x2615)]({'controller':_0x424d1b(0x14da),'controllerAs':'vm','templateUrl':_0x24c092,'parent':angular[_0x424d1b(0x1853)](_0x823ed9[_0x424d1b(0x2586)]),'targetEvent':_0xfb1162,'clickOutsideToClose':!![],'locals':{'queueCampaign':null,'hopper':_0x512263,'hoppers':_0x363d16[_0x424d1b(0x114f)][_0x424d1b(0x19c7)],'license':null,'setting':null,'crudPermissions':_0x363d16['crudPermissions']}});}function _0xd24f81(_0x171587){const _0x12b123=_0x3ae5d2;_0x2ecb98[_0x12b123(0x39f)][_0x12b123(0x1fac)]({'id':_0x171587['id']})[_0x12b123(0x2945)]['then'](function(){const _0x4015c2=_0x12b123;_0x3f65c0()[_0x4015c2(0x2640)](_0x363d16['scheduledCalls'][_0x4015c2(0x19c7)],{'id':_0x171587['id']}),_0x363d16['scheduledCalls'][_0x4015c2(0x51c)]-=0x1,!_0x363d16['scheduledCalls'][_0x4015c2(0x19c7)][_0x4015c2(0x402)]&&_0x363d16[_0x4015c2(0xb94)](),_0x5184ff['success']({'title':'Scheduled\x20call\x20deleted!','msg':_0x171587[_0x4015c2(0x19eb)]?_0x4015c2(0x2037):''});})['catch'](function(_0x27efb7){const _0x5cea84=_0x12b123;if(_0x27efb7[_0x5cea84(0x524)]&&_0x27efb7[_0x5cea84(0x524)]['errors']&&_0x27efb7[_0x5cea84(0x524)][_0x5cea84(0xcef)][_0x5cea84(0x402)]){_0x363d16['errors']=_0x27efb7[_0x5cea84(0x524)][_0x5cea84(0xcef)]||[{'message':_0x27efb7[_0x5cea84(0xd5f)](),'type':_0x5cea84(0x2649)}];for(let _0x303bd1=0x0;_0x303bd1<_0x27efb7[_0x5cea84(0x524)][_0x5cea84(0xcef)][_0x5cea84(0x402)];_0x303bd1++){_0x5184ff[_0x5cea84(0x1980)]({'title':_0x27efb7[_0x5cea84(0x524)][_0x5cea84(0xcef)][_0x303bd1][_0x5cea84(0x1142)],'msg':_0x27efb7[_0x5cea84(0x524)]['errors'][_0x303bd1][_0x5cea84(0x7fd)]});}}else _0x5184ff['error']({'title':_0x27efb7[_0x5cea84(0x107b)]?'API:'+_0x27efb7[_0x5cea84(0x107b)]+'\x20-\x20'+_0x27efb7[_0x5cea84(0x167f)]:_0x5cea84(0x2649),'msg':_0x27efb7[_0x5cea84(0x524)]?JSON['stringify'](_0x27efb7[_0x5cea84(0x524)][_0x5cea84(0x7fd)]):_0x27efb7[_0x5cea84(0x7fd)]||_0x27efb7['toString']()});});}function _0x172755(_0x5ddd06,_0x44b5e4){const _0x17cf65=_0x3ae5d2,_0x4ffe4f=_0x4f6472[_0x17cf65(0x1e8a)]()[_0x17cf65(0x1189)](_0x17cf65(0x2247))[_0x17cf65(0x1cbe)](_0x17cf65(0x35f)+_0x17cf65(0xe01))[_0x17cf65(0x4bd)](_0x17cf65(0xa31))[_0x17cf65(0x1f27)](_0x44b5e4)['ok']('OK')[_0x17cf65(0x6c3)](_0x17cf65(0x39a));_0x4f6472[_0x17cf65(0x2615)](_0x4ffe4f)[_0x17cf65(0x146b)](function(){_0xd24f81(_0x5ddd06);},function(){const _0x247f14=_0x17cf65;console[_0x247f14(0x1a74)](_0x247f14(0x39a));});}function _0x1eb552(_0x13993c){const _0x170701=_0x3ae5d2;return _0x2deec6()(_0x13993c)[_0x170701(0x2886)](_0x2deec6()());}let _0x151982=!![],_0x1ed434=0x1;_0x73e267[_0x3ae5d2(0x21e8)](_0x3ae5d2(0x2669),function(_0x13260b,_0x36183b){const _0x379fee=_0x3ae5d2;_0x151982?_0x46d14b(function(){_0x151982=![];}):(!_0x36183b&&(_0x1ed434=_0x363d16[_0x379fee(0x1a56)]['page']),_0x13260b!==_0x36183b&&(_0x363d16[_0x379fee(0x1a56)][_0x379fee(0x844)]=0x1),!_0x13260b&&(_0x363d16[_0x379fee(0x1a56)][_0x379fee(0x844)]=_0x1ed434),_0x363d16[_0x379fee(0xb94)]());});}const _0x2873a1=_0x581716;;_0x25f77c[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1abe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1aa9),_0x313a4d(0x214b),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x279d),_0x313a4d(0x1fe4),_0x313a4d(0x1909)];function _0x25f77c(_0x4dc55e,_0x5a2e5a,_0x5b66c8,_0x5412b5,_0x5f0f32,_0x53a8db,_0x51630a,_0x221d64,_0x14eb96,_0x47e2c3,_0x34b2f6){const _0xee069c=_0x313a4d,_0x4b0986=this;_0x4b0986[_0xee069c(0xe7b)]=_0x221d64[_0xee069c(0xb12)](),_0x4b0986[_0xee069c(0x2690)]={},_0x4b0986[_0xee069c(0x15b9)]={},_0x4b0986[_0xee069c(0x1b86)]={'count':0x0,'rows':[]},_0x4b0986[_0xee069c(0xdbc)]={'count':0x0,'rows':[]},_0x4b0986[_0xee069c(0x1a56)]={'includeAll':!![],'sort':_0xee069c(0x12f2),'limit':0xa,'page':0x1,'read':null,'closed':null},_0x4b0986['advancedSearch']={'fields':[{'name':'Id','column':'id','type':_0xee069c(0x181)},{'name':_0xee069c(0x364),'column':_0xee069c(0x364),'type':_0xee069c(0x14ee),'options':{'searchFields':[_0xee069c(0x1ed4),_0xee069c(0xee4),'email'],'route':{'model':_0xee069c(0x18c3),'action':_0xee069c(0x16b4),'params':{'fields':'id,firstName,lastName,email','Contact':_0xee069c(0x3fb),'nolimit':!![]}},'extraOperators':[_0xee069c(0xacb)],'excludedOperators':[_0xee069c(0x1671)]}},{'name':'Body','column':_0xee069c(0x2586),'type':_0xee069c(0xa8d),'options':{'excludedOperators':['$eq',_0xee069c(0x1671)]}},{'name':_0xee069c(0x74e),'column':'closed','type':_0xee069c(0xa5f),'values':[{'id':0x0,'translate':_0xee069c(0x143b)},{'id':0x1,'translate':_0xee069c(0x1805)}],'options':{'excludedOperators':[_0xee069c(0x1671)]}},{'name':_0xee069c(0x294a),'column':_0xee069c(0x2393),'type':_0xee069c(0x14ee),'options':{'table':'i','route':{'model':_0xee069c(0xe7b),'action':_0xee069c(0x16b4),'params':{'role':'agent','fields':_0xee069c(0x12bf),'nolimit':!![]}},'searchFields':['fullname',_0xee069c(0x19eb)],'extraOperators':[_0xee069c(0xacb)],'excludedOperators':[_0xee069c(0x1671)]}},{'name':_0xee069c(0xb48),'column':_0xee069c(0x1481),'type':_0xee069c(0x175c),'options':{'route':{'model':'user','action':_0xee069c(0x1434),'params':{'id':_0x4b0986[_0xee069c(0xe7b)]['id'],'nolimit':!![]}}}},{'name':_0xee069c(0x2331),'column':_0xee069c(0x23a8),'type':_0xee069c(0x175c),'options':{'route':{'model':'tag','action':_0xee069c(0x16b4),'params':{'nolimit':!![]}},'excludedOperators':['$notIn']}},{'name':'Start\x20Date','column':_0xee069c(0xc68),'type':_0xee069c(0x1a10),'options':{'excludedOperators':[_0xee069c(0x1671)]}},{'name':_0xee069c(0x270b),'column':_0xee069c(0x26a6),'type':_0xee069c(0xa5f),'values':[{'id':0x1,'translate':'DASHBOARDS.READ'},{'id':0x0,'translate':_0xee069c(0x33f)}],'options':{'excludedOperators':[_0xee069c(0x1671)]}}]},_0x4b0986['sectionColor']=_0xee069c(0x2632),_0x4b0986['onInit']=_0x36126f,_0x4b0986[_0xee069c(0x1c75)]=_0xad5ea2,_0x4b0986[_0xee069c(0x28a)]=_0xb4daec,_0x4b0986[_0xee069c(0x1d8)]=_0x2a38fc,_0x4b0986[_0xee069c(0xc94)]=_0xbf1406,_0x4b0986[_0xee069c(0x10ef)]=_0xac6c99,_0x4b0986[_0xee069c(0x4b5)]=_0x518a75,_0x4b0986[_0xee069c(0xe3b)]=_0x434ef4,_0x4b0986[_0xee069c(0x599)]=_0x213612,_0x4b0986[_0xee069c(0x13d8)]=_0x477eba,_0x4b0986['onSearchBarCollapse']=_0x3737cf,_0x4b0986[_0xee069c(0x28b7)]=_0xa85b50,_0x4b0986[_0xee069c(0x21a6)]=_0xe7d75a;function _0x36126f(_0x50640e,_0x3d6b22){const _0x4cc23b=_0xee069c;return _0x4b0986[_0x4cc23b(0x2690)]=_0x50640e,_0x4b0986[_0x4cc23b(0x15b9)]=_0x3d6b22,_0x51630a['user']['get'+_0x3f65c0()['upperFirst'](_0x4cc23b(0x1c34))]({'id':_0x4b0986['user']['id'],'nolimit':!![]})[_0x4cc23b(0x2945)]['then'](function(_0x3b1cce){const _0x4ef3e7=_0x4cc23b;return _0x4b0986[_0x4ef3e7(0x1c34)]=_0x3b1cce||{'count':0x0,'rows':[]},_0x51630a[_0x4ef3e7(0xa80)][_0x4ef3e7(0x16b4)]()[_0x4ef3e7(0x2945)];})[_0x4cc23b(0x146b)](function(_0x1590d4){const _0x3957fe=_0x4cc23b;_0x4b0986[_0x3957fe(0x1b86)]=_0x1590d4||{'count':0x0,'rows':[]};})[_0x4cc23b(0x146b)](function(){const _0x5ecae6=_0x4cc23b;_0x4b0986[_0x5ecae6(0x28af)]=_0x42e670();})[_0x4cc23b(0x129e)](function(_0x3e081e){const _0x180beb=_0x4cc23b;console[_0x180beb(0x1980)](_0x3e081e);})['finally'](function(){const _0x16199e=_0x4cc23b;let _0x152a21=_0x5f0f32[_0x16199e(0x1c39)][_0x16199e(0x1519)](_0x16199e(0x542)+_0x4b0986[_0x16199e(0xe7b)]['id']);if(_0x152a21)try{_0x152a21=JSON[_0x16199e(0x975)](_0x152a21);if(_0x152a21[_0x16199e(0x1a56)]){_0x4b0986[_0x16199e(0x1a56)]=_0x3f65c0()[_0x16199e(0x168d)](_0x4b0986[_0x16199e(0x1a56)],_0x152a21[_0x16199e(0x1a56)]);if(_0x3f65c0()['isEmpty'](_0x4b0986[_0x16199e(0x1c34)][_0x16199e(0x19c7)]))_0x4b0986[_0x16199e(0x1a56)]['SmsAccountId']=[];else _0x3f65c0()[_0x16199e(0x2635)](_0x4b0986[_0x16199e(0x1a56)][_0x16199e(0x1481)])&&(_0x4b0986['query'][_0x16199e(0x1481)]=_0x3f65c0()(_0x4b0986[_0x16199e(0x1c34)][_0x16199e(0x19c7)])[_0x16199e(0x205)]('id')[_0x16199e(0x2421)]()['value']());_0x4b0986[_0x16199e(0x1a56)]['sort']&&_0x4b0986[_0x16199e(0x1a56)][_0x16199e(0x28fa)]['indexOf'](_0x16199e(0x186))>=0x0&&(_0x4b0986[_0x16199e(0x1a56)]=_0x3f65c0()[_0x16199e(0x2432)](_0x4b0986[_0x16199e(0x1a56)],_0x16199e(0x28fa))),_0x4b0986[_0x16199e(0x1a56)][_0x16199e(0x287)]=!![],_0x4b0986[_0x16199e(0x2516)]=_0x4b0986[_0x16199e(0x1a56)]['filter']?!![]:![];}}catch(_0x4c8bc4){console[_0x16199e(0x1980)](_0x4c8bc4);}else{const _0x4d814a={'$gte':_0x2deec6()()[_0x16199e(0x1fcc)]('month')[_0x16199e(0x65f)](0x0,!![])[_0x16199e(0x22b0)](),'$lte':_0x2deec6()()[_0x16199e(0x824)](_0x16199e(0x12b7))['utcOffset'](0x0,!![])[_0x16199e(0x22b0)]()},_0x4d9dbd={'SmsAccountId':_0x3f65c0()[_0x16199e(0x205)](_0x4b0986[_0x16199e(0x1c34)][_0x16199e(0x19c7)],'id'),'createdAt':_0x4d814a};_0x4b0986[_0x16199e(0x1a56)]=_0x3f65c0()[_0x16199e(0x168d)](_0x4b0986[_0x16199e(0x1a56)],_0x4d9dbd);}_0x14eb96['on'](_0x16199e(0x1fa2),_0x5be4e3),_0x14eb96['on'](_0x16199e(0x15ae),_0x5be4e3),_0x14eb96['on'](_0x16199e(0xe00),_0x5026ee),_0x14eb96['on']('smsMessage:save',_0x135862),_0x14eb96['on'](_0x16199e(0x28f1),_0x135862),_0x14eb96['on']('user_has_sms_interactions:save',_0x1be1fa),_0x14eb96['on'](_0x16199e(0x1bd1),_0x4f7ab2),_0xb4daec(_0x4b0986[_0x16199e(0x1a56)][_0x16199e(0x844)]);});}function _0x42e670(){const _0x1174cd=_0xee069c;return[{'name':_0x1174cd(0x294),'key':_0x1174cd(0xc68),'type':_0x1174cd(0x1a10),'label':_0x1174cd(0x2902)},{'name':_0x1174cd(0x1c7c),'key':_0x1174cd(0x229e),'type':_0x1174cd(0xa5f),'label':_0x1174cd(0x8f5),'customOptions':[{'value':0x0,'translate':'DASHBOARDS.UNREAD'},{'value':0x1,'translate':_0x1174cd(0x1ce6)},{'value':null,'translate':_0x1174cd(0x175e)}]},{'name':_0x1174cd(0x74e),'key':_0x1174cd(0x1943),'type':_0x1174cd(0xa5f),'label':_0x1174cd(0x1682),'customOptions':[{'value':0x0,'translate':_0x1174cd(0x143b)},{'value':0x1,'translate':_0x1174cd(0x1805)},{'value':null,'translate':_0x1174cd(0x175e)}]},{'name':_0x1174cd(0xb48),'key':_0x1174cd(0x1481),'type':_0x1174cd(0x175c),'label':_0x1174cd(0x1b49),'options':_0x4b0986[_0x1174cd(0x1c34)][_0x1174cd(0x19c7)],'clearAll':![]},{'name':_0x1174cd(0x294a),'key':_0x1174cd(0xea2),'type':_0x1174cd(0xa5f),'label':_0x1174cd(0x127),'customOptions':[{'value':_0x4b0986[_0x1174cd(0xe7b)]['id'],'translate':_0x1174cd(0x6d9)},{'value':'null','translate':_0x1174cd(0x38d)},{'value':undefined,'translate':'DASHBOARDS.ALL'}]},{'name':_0x1174cd(0x23a8),'key':_0x1174cd(0xa80),'type':_0x1174cd(0x175c),'label':_0x1174cd(0x1c0e),'options':_0x4b0986['tags'][_0x1174cd(0x19c7)],'placeholder':'DASHBOARDS.TAGS'}];}function _0xad5ea2(_0x11bfe1){const _0xe130e5=_0xee069c;_0x4b0986[_0xe130e5(0x14eb)]=![],_0x4b0986['smsInteractions']=_0x11bfe1||{'count':0x0,'rows':[]};for(let _0x559ae7=0x0;_0x559ae7<_0x4b0986[_0xe130e5(0xdbc)][_0xe130e5(0x19c7)][_0xe130e5(0x402)];_0x559ae7+=0x1){_0x518a75(_0x4b0986[_0xe130e5(0xdbc)][_0xe130e5(0x19c7)][_0x559ae7]);}}function _0xb4daec(_0x10e894){const _0xc91c=_0xee069c;_0x4b0986[_0xc91c(0x14eb)]=!![];!_0x10e894&&(_0x4b0986['query'][_0xc91c(0x844)]=0x1);_0x4b0986[_0xc91c(0x1a56)][_0xc91c(0x145d)]=(_0x4b0986[_0xc91c(0x1a56)][_0xc91c(0x844)]-0x1)*_0x4b0986[_0xc91c(0x1a56)][_0xc91c(0x221e)];let _0x426035=_0x5f0f32['localStorage'][_0xc91c(0x1519)](_0xc91c(0x542)+_0x4b0986[_0xc91c(0xe7b)]['id']);_0x426035=_0x426035?JSON['parse'](_0x426035):{},_0x426035[_0xc91c(0x1a56)]=_0x4b0986['query'],_0x5f0f32[_0xc91c(0x1c39)][_0xc91c(0xc32)](_0xc91c(0x542)+_0x4b0986['user']['id'],JSON[_0xc91c(0x10bb)](_0x426035)),_0x4b0986[_0xc91c(0xb9c)]=_0x51630a['smsInteraction']['get'](_0x4b0986[_0xc91c(0x1a56)],_0xad5ea2)['$promise'];}function _0x2a38fc(_0x3efe80,_0x43f412){const _0x5c9d0a=_0xee069c;_0x4dc55e[_0x5c9d0a(0x2870)]['vm']['addInteractionTab'](_0x5c9d0a(0x929),_0x43f412);}function _0xbf1406(_0x4cfda7,_0x107b75,_0x6ae4f3){const _0x43fbf6=_0xee069c;_0x51630a[_0x43fbf6(0xb18)][_0x43fbf6(0x15b1)]({'id':_0x107b75['id'],'attachments':_0x6ae4f3})[_0x43fbf6(0x2945)][_0x43fbf6(0x146b)](function(_0x14ae2d){const _0x2df066=_0x43fbf6,_0x9f1050=[_0x14ae2d[_0x2df066(0x2eb)]],_0x3d6bd8=_0x2df066(0x1c61)+_0x107b75['id']+'.zip',_0x7654ee=new Blob(_0x9f1050,{'type':_0x14ae2d['type']}),_0x2cf83d=window['document'][_0x2df066(0x24ec)]('a');_0x2cf83d[_0x2df066(0x1652)]('href',URL[_0x2df066(0x1c58)](_0x7654ee)),_0x2cf83d[_0x2df066(0x1652)](_0x2df066(0x15b1),_0x3d6bd8),document['body'][_0x2df066(0x23e)](_0x2cf83d),_0x2cf83d['click']();})[_0x43fbf6(0x129e)](function(_0x28b799){const _0x246cbd=_0x43fbf6;if(_0x28b799['data']&&_0x28b799[_0x246cbd(0x524)][_0x246cbd(0xcef)]&&_0x28b799[_0x246cbd(0x524)][_0x246cbd(0xcef)][_0x246cbd(0x402)])for(let _0x47126d=0x0;_0x47126d<_0x28b799['data'][_0x246cbd(0xcef)][_0x246cbd(0x402)];_0x47126d+=0x1){_0x47e2c3['error']({'title':_0x28b799[_0x246cbd(0x524)][_0x246cbd(0xcef)][_0x47126d][_0x246cbd(0x1142)],'msg':_0x28b799[_0x246cbd(0x524)][_0x246cbd(0xcef)][_0x47126d]['message']});}else _0x47e2c3[_0x246cbd(0x1980)]({'title':_0x28b799[_0x246cbd(0x107b)]?_0x246cbd(0x262a)+_0x28b799[_0x246cbd(0x107b)]+_0x246cbd(0x1315)+_0x28b799[_0x246cbd(0x167f)]:'downloadSmsInteraction','msg':_0x28b799['data']?JSON[_0x246cbd(0x10bb)](_0x28b799[_0x246cbd(0x524)][_0x246cbd(0x7fd)]):_0x28b799['toString']()});});}function _0xac6c99(_0x22db4f,_0x329fa1){const _0x3e090d=_0xee069c,_0x4de309=_0x4b0986[_0x3e090d(0xe7b)][_0x3e090d(0x211c)]||_0x4b0986[_0x3e090d(0xe7b)][_0x3e090d(0x5f7)]||_0x4b0986[_0x3e090d(0xe7b)][_0x3e090d(0x1e9e)]||_0x4b0986[_0x3e090d(0xe7b)][_0x3e090d(0xcc5)]||_0x4b0986['user'][_0x3e090d(0x25c4)],_0x30922f=!_0x329fa1['Account']['mandatoryDisposition'];_0x5b66c8['show']({'controller':_0x3e090d(0xe23),'controllerAs':'vm','templateUrl':_0x56fd44,'parent':angular[_0x3e090d(0x1853)](_0x5412b5[_0x3e090d(0x2586)]),'clickOutsideToClose':_0x30922f,'escapeToClose':_0x30922f,'onRemoving':function(){const _0x20ea6c=_0x3e090d;_0x4b0986[_0x20ea6c(0xe7b)]&&_0x329fa1[_0x20ea6c(0xb48)][_0x20ea6c(0xc9e)]&&!_0x4de309&&_0x51630a['user']['unpause']({'id':_0x4b0986[_0x20ea6c(0xe7b)]['id']})['$promise'][_0x20ea6c(0x129e)](function(_0x3c016d){const _0x4ea427=_0x20ea6c;console[_0x4ea427(0x1980)](_0x3c016d);});},'locals':{'user':_0x4b0986[_0x3e090d(0xe7b)],'channel':'sms','interaction':_0x329fa1}});}function _0x518a75(_0x75dee0){const _0xedefa4=_0xee069c;_0x75dee0[_0xedefa4(0x364)]?(_0x75dee0[_0xedefa4(0x792)]=(_0x75dee0[_0xedefa4(0x364)][_0xedefa4(0x1ed4)]||'')+'\x20'+(_0x75dee0[_0xedefa4(0x364)][_0xedefa4(0xee4)]||''),_0x75dee0['contactInfo']=_0x75dee0['Contact']['mobile']):_0x75dee0[_0xedefa4(0x792)]=_0x53a8db['instant'](_0xedefa4(0xe0b));}function _0x434ef4(_0x57b90e){const _0x2b7097=_0xee069c;if(_0x57b90e[_0x2b7097(0xea2)])return _0x57b90e[_0x2b7097(0xea2)]===_0x4b0986[_0x2b7097(0xe7b)]['id']?_0x53a8db['instant']('DASHBOARDS.ME'):_0x57b90e['Owner'][_0x2b7097(0x286a)]+'\x20<'+_0x57b90e[_0x2b7097(0xac5)][_0x2b7097(0x113f)]+'>';return _0x53a8db[_0x2b7097(0xde)](_0x2b7097(0x38d));}function _0x213612(_0x417f81){const _0x13bfe8=_0xee069c;if(_0x417f81[_0x13bfe8(0xb48)])return _0x417f81[_0x13bfe8(0xb48)][_0x13bfe8(0x2293)];return _0x53a8db[_0x13bfe8(0xde)](_0x13bfe8(0xe0b));}function _0x477eba(_0x1a3bf1,_0xccea5d){const _0x4a47ed=_0xee069c;_0x5b66c8[_0x4a47ed(0x2615)]({'controller':_0x4a47ed(0x1d08),'controllerAs':'vm','templateUrl':_0x32f327,'parent':angular['element'](_0x5412b5['body']),'clickOutsideToClose':![],'locals':{'account':_0xccea5d,'message':{},'type':_0x4a47ed(0x16d9),'interaction':{}},'fullscreen':!![]})[_0x4a47ed(0x146b)](function(_0x4a4526){const _0x4df4a2=_0x4a47ed;if(_0x4a4526){if(_0x4a4526['message']&&_0x4a4526['message'][_0x4df4a2(0x1703)]){const _0x1c4078={'channel':'sms','account':_0xccea5d,'message':_0x4a4526[_0x4df4a2(0x7fd)],'contact':_0x4a4526['contact']};_0x34b2f6[_0x4df4a2(0x387)](_0x1c4078);}else{const _0x42c8f6=_0x4a4526;return _0x51630a[_0x4df4a2(0x2209)][_0x4df4a2(0x1443)]({'id':_0xccea5d['id']},_0x42c8f6)[_0x4df4a2(0x2945)][_0x4df4a2(0x146b)](function(_0x39cc38){const _0x9f9385=_0x4df4a2;_0x47e2c3[_0x9f9385(0x1c75)]({'title':_0x9f9385(0x24ad),'msg':_0x9f9385(0x54f)}),_0x4dc55e[_0x9f9385(0x2870)]['vm']['addInteractionTab'](_0x9f9385(0x929),_0x39cc38);})[_0x4df4a2(0x129e)](function(_0x452023){const _0x16a4b9=_0x4df4a2;if(_0x452023[_0x16a4b9(0x524)]&&_0x452023['data'][_0x16a4b9(0xcef)]&&_0x452023[_0x16a4b9(0x524)]['errors'][_0x16a4b9(0x402)])for(let _0x1f096f=0x0;_0x1f096f<_0x452023[_0x16a4b9(0x524)][_0x16a4b9(0xcef)][_0x16a4b9(0x402)];_0x1f096f++){_0x47e2c3[_0x16a4b9(0x1980)]({'title':_0x452023[_0x16a4b9(0x524)]['errors'][_0x1f096f][_0x16a4b9(0x1142)],'msg':_0x452023[_0x16a4b9(0x524)][_0x16a4b9(0xcef)][_0x1f096f][_0x16a4b9(0x7fd)]});}else _0x47e2c3[_0x16a4b9(0x1980)]({'title':_0x452023[_0x16a4b9(0x107b)]?_0x16a4b9(0x262a)+_0x452023['status']+_0x16a4b9(0x1315)+_0x452023[_0x16a4b9(0x167f)]:_0x16a4b9(0x1837),'msg':_0x452023[_0x16a4b9(0x524)]?JSON[_0x16a4b9(0x10bb)](_0x452023[_0x16a4b9(0x524)][_0x16a4b9(0x7fd)]):_0x452023[_0x16a4b9(0x7fd)]||_0x452023[_0x16a4b9(0xd5f)]()});});}}});}function _0x1be1fa(_0x57e7bc){const _0x30a799=_0xee069c;let _0x3c3ce6;const _0x5809a7=_0x3f65c0()[_0x30a799(0xc84)](_0x4b0986[_0x30a799(0xdbc)][_0x30a799(0x19c7)],{'id':_0x57e7bc[_0x30a799(0x12c0)]});_0x5809a7&&_0x5809a7[_0x30a799(0x3c4)]&&(_0x3c3ce6=_0x3f65c0()[_0x30a799(0xc84)](_0x5809a7[_0x30a799(0x3c4)],{'id':_0x57e7bc['UserId']}),!_0x3c3ce6&&_0x5809a7[_0x30a799(0x3c4)]['push']({'id':_0x57e7bc[_0x30a799(0xea2)]}));}function _0x4f7ab2(_0x4adb90){const _0x165189=_0xee069c,_0x390d03=_0x3f65c0()['find'](_0x4b0986[_0x165189(0xdbc)]['rows'],{'id':_0x4adb90[_0x165189(0x12c0)]});_0x390d03&&_0x390d03[_0x165189(0x3c4)]&&_0x3f65c0()[_0x165189(0x2640)](_0x390d03[_0x165189(0x3c4)],{'id':_0x4adb90[_0x165189(0xea2)]});}function _0x5be4e3(_0xb58f24){const _0x132f21=_0xee069c;if(_0xb58f24){const _0x286e6a=_0x3f65c0()[_0x132f21(0xc84)](_0x4b0986[_0x132f21(0xdbc)]['rows'],{'id':_0xb58f24['id']});if(_0x286e6a){_0x286e6a['Users']=[];_0xb58f24['closed']&&(_0x286e6a['unreadMessages']=0x0);if(_0x4b0986['query'][_0x132f21(0x28fa)]){const _0x2699a6=_0x3f65c0()['startsWith'](_0x4b0986[_0x132f21(0x1a56)][_0x132f21(0x28fa)],'-')?'desc':'asc';_0x4b0986['smsInteractions'][_0x132f21(0x19c7)]=_0x3f65c0()['orderBy'](_0x4b0986[_0x132f21(0xdbc)][_0x132f21(0x19c7)],_0x3f65c0()[_0x132f21(0x25b5)](_0x4b0986['query']['sort'],'-'),_0x2699a6);}_0x3f65c0()[_0x132f21(0x168d)](_0x286e6a,_0x3f65c0()[_0x132f21(0x40e)](_0xb58f24,_0x3f65c0()[_0x132f21(0x627)](_0x286e6a)));}else _0xb4daec(_0x4b0986[_0x132f21(0x1a56)][_0x132f21(0x844)]);}}function _0x5026ee(_0x4abd4b){const _0x22466e=_0xee069c;if(_0x4abd4b){const _0x549545=_0x3f65c0()[_0x22466e(0xc84)](_0x4b0986[_0x22466e(0xdbc)][_0x22466e(0x19c7)],{'id':_0x4abd4b['id']});_0x549545&&(_0x549545[_0x22466e(0x2331)]=_0x4abd4b[_0x22466e(0x1b86)]||[],_0x3f65c0()[_0x22466e(0x168d)](_0x549545,_0x3f65c0()['pick'](_0x4abd4b,_0x3f65c0()[_0x22466e(0x627)](_0x549545))));}}function _0x135862(_0x504785){const _0x4f77cd=_0xee069c;if(_0x504785&&!_0x504785[_0x4f77cd(0xaf0)]){const _0x107fd2=_0x3f65c0()[_0x4f77cd(0xc84)](_0x4b0986['smsInteractions'][_0x4f77cd(0x19c7)],{'id':_0x504785[_0x4f77cd(0x12c0)]});if(_0x107fd2){const _0x4419c3=_0x3f65c0()['find'](_0x107fd2[_0x4f77cd(0x1c7c)],{'id':_0x504785['id']});_0x4419c3?_0x3f65c0()[_0x4f77cd(0x168d)](_0x4419c3,_0x3f65c0()['pick'](_0x504785,_0x3f65c0()[_0x4f77cd(0x627)](_0x4419c3))):(_0x3f65c0()[_0x4f77cd(0x1b36)](_0x107fd2[_0x4f77cd(0x1c7c)])&&(_0x107fd2[_0x4f77cd(0x1c7c)]={'count':0x0}),!_0x504785[_0x4f77cd(0x229e)]&&(_0x107fd2[_0x4f77cd(0x1c7c)][_0x4f77cd(0x51c)]+=0x1));}}}function _0x3737cf(){_0x4b0986['isSearchBarVisible']=![];}function _0xa85b50(){_0x4b0986['isSearchBarVisible']=!![];}function _0xe7d75a(){const _0x33e2e9=_0xee069c;_0x4b0986['isSearchBarVisible']=![],_0x5b66c8[_0x33e2e9(0x2615)]({'controller':_0x33e2e9(0x26bc),'controllerAs':'vm','templateUrl':_0x3fb128,'parent':angular[_0x33e2e9(0x1853)](_0x5412b5[_0x33e2e9(0x2586)]),'clickOutsideToClose':![],'locals':{'color':_0x4b0986['sectionColor'],'fields':_0x4b0986[_0x33e2e9(0xe93)]['fields'],'storagePath':'home.sms'},'fullscreen':!![]})[_0x33e2e9(0x146b)](function(_0xf34616){const _0x3f8513=_0x33e2e9;_0x4b0986[_0x3f8513(0x1a56)][_0x3f8513(0x21da)]=_0xf34616===![]?undefined:_0xf34616;if(_0x4b0986[_0x3f8513(0x1a56)][_0x3f8513(0x21da)]||_0xf34616===![])_0xb4daec();})[_0x33e2e9(0x129e)](function(_0x1b9e93){const _0x4bbf2a=_0x33e2e9;_0x47e2c3['error']({'title':_0x4bbf2a(0x10f5),'msg':_0x1b9e93[_0x4bbf2a(0x524)]?JSON[_0x4bbf2a(0x10bb)](_0x1b9e93[_0x4bbf2a(0x524)][_0x4bbf2a(0x7fd)]):_0x1b9e93[_0x4bbf2a(0xd5f)]()});});}let _0x1c3e6f=!![],_0x192459=0x1;_0x4dc55e[_0xee069c(0x21e8)](_0xee069c(0x2669),function(_0x2ccc21,_0x56734f){const _0x3538ac=_0xee069c;_0x1c3e6f?_0x5a2e5a(function(){_0x1c3e6f=![];}):(!_0x56734f&&(_0x192459=_0x4b0986['query'][_0x3538ac(0x844)]),_0x2ccc21!==_0x56734f&&(_0x4b0986['query'][_0x3538ac(0x844)]=0x1),!_0x2ccc21&&(_0x4b0986[_0x3538ac(0x1a56)][_0x3538ac(0x844)]=_0x192459),_0xb4daec(_0x4b0986[_0x3538ac(0x1a56)][_0x3538ac(0x844)]));}),_0x4dc55e[_0xee069c(0x16ad)](_0xee069c(0x116f),function(){const _0x59e161=_0xee069c;_0x14eb96[_0x59e161(0x1c5f)](_0x59e161(0x2034)),_0x14eb96[_0x59e161(0x1c5f)](_0x59e161(0x28f1)),_0x14eb96[_0x59e161(0x1c5f)](_0x59e161(0x1fa2)),_0x14eb96[_0x59e161(0x1c5f)](_0x59e161(0xe00)),_0x14eb96['removeAllListeners']('smsInteraction:update'),_0x14eb96[_0x59e161(0x1c5f)]('user_has_sms_interactions:save'),_0x14eb96['removeAllListeners'](_0x59e161(0x1bd1));});}const _0x50e67a=_0x25f77c;;const _0x531f1c=_0x4acfac['p']+'src/js/modules/main/apps/dashboards/views/general/agent/home/voice/details/dialog.html/dialog.html';;_0x37eaf5['$inject']=[_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x1aa9),_0x313a4d(0x910),'$timeout'];function _0x37eaf5(_0x23210c,_0x13a225,_0x3d2dde,_0x2be8a7,_0x4d3dc2,_0x225c6b,_0x4f6b16,_0x1225be){const _0x1712d3=_0x313a4d,_0x480898=this;_0x480898[_0x1712d3(0x2321)]=_0x4d3dc2['getCurrentUser'](),_0x480898['voiceCalls']={},_0x480898['table']='voiceCalls',_0x480898[_0x1712d3(0x1a56)]={'UserId':_0x480898[_0x1712d3(0x2321)]['id'],'fields':_0x1712d3(0x18a1),'sort':'-updatedAt','limit':0xa,'page':0x1,'type':null},_0x480898['success']=_0x4f4787,_0x480898[_0x1712d3(0x12ee)]=_0x405d88,_0x480898[_0x1712d3(0x3d4)]=_0x4b6270,_0x480898['showDetails']=_0x4b4a84,_0x480898[_0x1712d3(0x1c4f)]=_0x4c98e5,_0x480898[_0x1712d3(0x28b7)]=_0x900fb1;function _0x4b6270(){const _0x5655b4=_0x1712d3;return _0x3d2dde[_0x5655b4(0xa80)][_0x5655b4(0x16b4)]()[_0x5655b4(0x2945)][_0x5655b4(0x146b)](function(_0x3b5a2c){const _0x442f9d=_0x5655b4;_0x480898[_0x442f9d(0x1b86)]=_0x3b5a2c||{'count':0x0,'rows':[]};})[_0x5655b4(0x146b)](function(){const _0x4cfe14=_0x5655b4;_0x480898[_0x4cfe14(0x28af)]=_0x378d68();})[_0x5655b4(0x129e)](function(_0x5bbf1f){const _0x31ad1a=_0x5655b4;_0x2be8a7['error']({'title':'Init\x20error','msg':_0x5bbf1f[_0x31ad1a(0x524)]?JSON[_0x31ad1a(0x10bb)](_0x5bbf1f[_0x31ad1a(0x524)][_0x31ad1a(0x7fd)]):_0x5bbf1f[_0x31ad1a(0x7fd)]||_0x5bbf1f[_0x31ad1a(0xd5f)]()}),_0x480898['tags']={'count':0x0,'rows':[]};})[_0x5655b4(0x1ec6)](function(){const _0xc0aa07=_0x5655b4;let _0x52f28b=_0x225c6b[_0xc0aa07(0x1c39)][_0xc0aa07(0x1519)](_0xc0aa07(0x1442)+_0x480898[_0xc0aa07(0x2321)]['id']);if(_0x52f28b)try{_0x52f28b=JSON[_0xc0aa07(0x975)](_0x52f28b),_0x52f28b['query']&&(_0x480898[_0xc0aa07(0x1a56)]=_0x3f65c0()['omit'](_0x52f28b[_0xc0aa07(0x1a56)],'filter'));}catch(_0x227ee3){console[_0xc0aa07(0x1980)](_0x227ee3);}else{const _0x4f066b={'$gte':_0x2deec6()()[_0xc0aa07(0x1fcc)](_0xc0aa07(0x12b7))['utcOffset'](0x0,!![])[_0xc0aa07(0x22b0)](),'$lte':_0x2deec6()()['endOf']('month')[_0xc0aa07(0x65f)](0x0,!![])[_0xc0aa07(0x22b0)]()};_0x480898[_0xc0aa07(0x1a56)]['createdAt']=_0x4f066b;}_0x405d88();});}function _0x378d68(){const _0x361178=_0x1712d3;return[{'name':_0x361178(0x294),'key':_0x361178(0xc68),'type':_0x361178(0x1a10),'label':_0x361178(0x2902)},{'name':_0x361178(0x18be),'key':'type','type':_0x361178(0xa5f),'label':_0x361178(0x1684),'customOptions':[{'value':_0x361178(0x113f),'translate':_0x361178(0x261d)},{'value':_0x361178(0x7b0),'translate':_0x361178(0x203e)},{'value':_0x361178(0xc9c),'translate':_0x361178(0xe48)},{'value':_0x361178(0x2842),'translate':_0x361178(0x1ea6)},{'value':null,'translate':_0x361178(0x1d00)}]}];}function _0x4f4787(_0x503154){const _0xd0a076=_0x1712d3;_0x480898[_0xd0a076(0x14eb)]=![],_0x480898[_0xd0a076(0x21b3)]=_0x503154||{'count':0x0,'rows':[]};}function _0x405d88(){const _0x5c6efb=_0x1712d3;_0x480898[_0x5c6efb(0x14eb)]=!![],_0x480898[_0x5c6efb(0x1a56)]['offset']=(_0x480898[_0x5c6efb(0x1a56)]['page']-0x1)*_0x480898[_0x5c6efb(0x1a56)]['limit'];const _0x1d242f={'query':_0x480898[_0x5c6efb(0x1a56)]};_0x225c6b[_0x5c6efb(0x1c39)][_0x5c6efb(0xc32)]('motion2.home.voice:'+_0x480898[_0x5c6efb(0x2321)]['id'],JSON[_0x5c6efb(0x10bb)](_0x1d242f)),_0x480898[_0x5c6efb(0xb9c)]=_0x3d2dde[_0x5c6efb(0x629)][_0x5c6efb(0x16b4)](_0x480898[_0x5c6efb(0x1a56)],_0x4f4787)[_0x5c6efb(0x2945)];}function _0x4b4a84(_0x441213){const _0x3bdec0=_0x1712d3;_0x23210c[_0x3bdec0(0x2615)]({'controller':_0x3bdec0(0x35b),'controllerAs':'vm','templateUrl':_0x531f1c,'parent':angular['element'](_0x13a225[_0x3bdec0(0x2586)]),'clickOutsideToClose':!![],'locals':{'call':_0x441213}});}function _0x4c98e5(){const _0x2a8988=_0x1712d3;_0x480898[_0x2a8988(0x1a56)][_0x2a8988(0x1dd6)]=undefined,_0x480898[_0x2a8988(0x2516)]=![];}function _0x900fb1(){_0x480898['isSearchBarVisible']=!![];}let _0x56e6ac=!![],_0x2a2719=0x1;_0x4f6b16[_0x1712d3(0x21e8)]('vm.query.filter',function(_0x473363,_0x37f4a8){const _0x32810b=_0x1712d3;_0x56e6ac?_0x1225be(function(){_0x56e6ac=![];}):(!_0x37f4a8&&(_0x2a2719=_0x480898[_0x32810b(0x1a56)][_0x32810b(0x844)]),_0x473363!==_0x37f4a8&&(_0x480898[_0x32810b(0x1a56)][_0x32810b(0x844)]=0x1),!_0x473363&&(_0x480898['query'][_0x32810b(0x844)]=_0x2a2719),_0x480898[_0x32810b(0x12ee)]());});}const _0x2fa6f1=_0x37eaf5;;_0x376768['$inject']=[_0x313a4d(0x10e8),_0x313a4d(0x133b)];function _0x376768(_0x1b44e0,_0x20dc12){const _0x3bb3f1=_0x313a4d,_0x3c5c73=this;_0x3c5c73[_0x3bb3f1(0x133b)]=_0x20dc12;function _0xa5fbaa(){const _0x4d9c53=_0x3bb3f1;_0x1b44e0[_0x4d9c53(0x2458)]();}_0x3c5c73['closeDialog']=_0xa5fbaa;}const _0x298ae7=_0x376768;;_0x52133d[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x1abe),_0x313a4d(0x10e8),'$document',_0x313a4d(0x1aa9),'$translate',_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x279d),_0x313a4d(0x1fe4),_0x313a4d(0x1909)];function _0x52133d(_0x309a7f,_0x442dbc,_0x1ce68,_0x27c410,_0x5583bc,_0x3b7e70,_0x2b6576,_0x1721cd,_0x2935a8,_0x5c2aac,_0x9ac100){const _0x21bdaf=_0x313a4d,_0x4eef21=this;_0x4eef21[_0x21bdaf(0xe7b)]=_0x1721cd[_0x21bdaf(0xb12)](),_0x4eef21[_0x21bdaf(0x2690)]={},_0x4eef21['setting']={},_0x4eef21[_0x21bdaf(0x1b86)]={'count':0x0,'rows':[]},_0x4eef21[_0x21bdaf(0x941)]={'count':0x0,'rows':[]},_0x4eef21[_0x21bdaf(0x1a56)]={'includeAll':!![],'sort':_0x21bdaf(0x12f2),'limit':0xa,'page':0x1,'read':null,'closed':null},_0x4eef21['advancedSearch']={'fields':[{'name':'Id','column':'id','type':_0x21bdaf(0x181)},{'name':'Contact','column':'Contact','type':_0x21bdaf(0x14ee),'options':{'searchFields':['firstName',_0x21bdaf(0xee4),'email'],'route':{'model':_0x21bdaf(0x18c3),'action':'get','params':{'fields':'id,firstName,lastName,email','Contact':'@autocomplete','nolimit':!![]}},'extraOperators':['$substring'],'excludedOperators':['$ne']}},{'name':_0x21bdaf(0x710),'column':_0x21bdaf(0x2586),'type':_0x21bdaf(0xa8d),'options':{'excludedOperators':[_0x21bdaf(0x6be),_0x21bdaf(0x1671)]}},{'name':_0x21bdaf(0x74e),'column':_0x21bdaf(0x1943),'type':'select','values':[{'id':0x0,'translate':_0x21bdaf(0x143b)},{'id':0x1,'translate':_0x21bdaf(0x1805)}],'options':{'excludedOperators':['$ne']}},{'name':_0x21bdaf(0x294a),'column':_0x21bdaf(0x2393),'type':'autocomplete','options':{'table':'i','route':{'model':'user','action':_0x21bdaf(0x16b4),'params':{'role':'agent','fields':_0x21bdaf(0x12bf),'nolimit':!![]}},'searchFields':['fullname',_0x21bdaf(0x19eb)],'extraOperators':[_0x21bdaf(0xacb)],'excludedOperators':[_0x21bdaf(0x1671)]}},{'name':'Account','column':'WhatsappAccountId','type':'multiselect','options':{'route':{'model':_0x21bdaf(0xe7b),'action':_0x21bdaf(0x28f),'params':{'id':_0x4eef21['user']['id'],'nolimit':!![]}}}},{'name':_0x21bdaf(0x2331),'column':'Tag','type':_0x21bdaf(0x175c),'options':{'route':{'model':_0x21bdaf(0xa80),'action':_0x21bdaf(0x16b4),'params':{'nolimit':!![]}},'excludedOperators':['$notIn']}},{'name':_0x21bdaf(0x294),'column':_0x21bdaf(0xc68),'type':_0x21bdaf(0x1a10),'options':{'excludedOperators':[_0x21bdaf(0x1671)]}},{'name':_0x21bdaf(0x270b),'column':_0x21bdaf(0x26a6),'type':_0x21bdaf(0xa5f),'values':[{'id':0x1,'translate':_0x21bdaf(0x1ce6)},{'id':0x0,'translate':'DASHBOARDS.UNREAD'}],'options':{'excludedOperators':[_0x21bdaf(0x1671)]}}]},_0x4eef21[_0x21bdaf(0x1527)]=_0x21bdaf(0x510),_0x4eef21[_0x21bdaf(0x3d4)]=_0x181acf,_0x4eef21[_0x21bdaf(0x1c75)]=_0x50c8e6,_0x4eef21[_0x21bdaf(0x152f)]=_0x1619a9,_0x4eef21[_0x21bdaf(0x75a)]=_0x3c9303,_0x4eef21[_0x21bdaf(0xbcc)]=_0x2abe56,_0x4eef21['disposeInteraction']=_0x26ef9f,_0x4eef21[_0x21bdaf(0x4b5)]=_0x1582e0,_0x4eef21[_0x21bdaf(0xe3b)]=_0x1b4d22,_0x4eef21[_0x21bdaf(0x22fe)]=_0x3fe82d,_0x4eef21[_0x21bdaf(0x1ae3)]=_0x3e5922,_0x4eef21[_0x21bdaf(0x1c4f)]=_0x5149f2,_0x4eef21['onSearchBarExpand']=_0x1dc038,_0x4eef21[_0x21bdaf(0x21a6)]=_0x47ed44;function _0x181acf(_0x15d89b,_0x3ed582){const _0x3eb591=_0x21bdaf;return _0x4eef21[_0x3eb591(0x2690)]=_0x15d89b,_0x4eef21['setting']=_0x3ed582,_0x2b6576[_0x3eb591(0xe7b)][_0x3eb591(0x16b4)+_0x3f65c0()[_0x3eb591(0x21cf)](_0x3eb591(0x23c))]({'id':_0x4eef21['user']['id'],'nolimit':!![]})['$promise']['then'](function(_0x12949f){const _0x199a8f=_0x3eb591;return _0x4eef21['whatsappAccounts']=_0x12949f||{'count':0x0,'rows':[]},_0x2b6576[_0x199a8f(0xa80)][_0x199a8f(0x16b4)]()[_0x199a8f(0x2945)];})[_0x3eb591(0x146b)](function(_0x2bb218){const _0x43649a=_0x3eb591;_0x4eef21[_0x43649a(0x1b86)]=_0x2bb218||{'count':0x0,'rows':[]};})[_0x3eb591(0x146b)](function(){const _0x1e9cba=_0x3eb591;_0x4eef21[_0x1e9cba(0x28af)]=_0x3d8bc6();})['catch'](function(_0x2f8795){const _0x2c7f3=_0x3eb591;console[_0x2c7f3(0x1980)](_0x2f8795);})[_0x3eb591(0x1ec6)](function(){const _0x312f58=_0x3eb591;let _0x2fe0c2=_0x5583bc['localStorage'][_0x312f58(0x1519)](_0x312f58(0x1e4e)+_0x4eef21[_0x312f58(0xe7b)]['id']);if(_0x2fe0c2)try{_0x2fe0c2=JSON['parse'](_0x2fe0c2);if(_0x2fe0c2[_0x312f58(0x1a56)]){_0x4eef21[_0x312f58(0x1a56)]=_0x3f65c0()['merge'](_0x4eef21[_0x312f58(0x1a56)],_0x2fe0c2[_0x312f58(0x1a56)]);if(_0x3f65c0()['isEmpty'](_0x4eef21[_0x312f58(0x23c)][_0x312f58(0x19c7)]))_0x4eef21[_0x312f58(0x1a56)][_0x312f58(0x158a)]=[];else _0x3f65c0()[_0x312f58(0x2635)](_0x4eef21[_0x312f58(0x1a56)]['WhatsappAccountId'])&&(_0x4eef21[_0x312f58(0x1a56)][_0x312f58(0x158a)]=_0x3f65c0()(_0x4eef21[_0x312f58(0x23c)]['rows'])[_0x312f58(0x205)]('id')[_0x312f58(0x2421)]()[_0x312f58(0x175d)]());_0x4eef21['query'][_0x312f58(0x28fa)]&&_0x4eef21['query']['sort'][_0x312f58(0x172b)](_0x312f58(0x186))>=0x0&&(_0x4eef21[_0x312f58(0x1a56)]=_0x3f65c0()[_0x312f58(0x2432)](_0x4eef21['query'],_0x312f58(0x28fa))),_0x4eef21[_0x312f58(0x1a56)][_0x312f58(0x287)]=!![],_0x4eef21[_0x312f58(0x2516)]=_0x4eef21[_0x312f58(0x1a56)]['filter']?!![]:![];}}catch(_0x443b53){console[_0x312f58(0x1980)](_0x443b53);}else{const _0x2a09f9={'$gte':_0x2deec6()()[_0x312f58(0x1fcc)](_0x312f58(0x12b7))['utcOffset'](0x0,!![])['format'](),'$lte':_0x2deec6()()[_0x312f58(0x824)](_0x312f58(0x12b7))[_0x312f58(0x65f)](0x0,!![])[_0x312f58(0x22b0)]()},_0x2b1654={'WhatsappAccountId':_0x3f65c0()[_0x312f58(0x205)](_0x4eef21[_0x312f58(0x23c)][_0x312f58(0x19c7)],'id'),'createdAt':_0x2a09f9};_0x4eef21[_0x312f58(0x1a56)]=_0x3f65c0()[_0x312f58(0x168d)](_0x4eef21['query'],_0x2b1654);}_0x2935a8['on'](_0x312f58(0xf40),_0x491122),_0x2935a8['on'](_0x312f58(0x2887),_0x491122),_0x2935a8['on'](_0x312f58(0x1355),_0x5a122f),_0x2935a8['on'](_0x312f58(0xe72),_0x5496a7),_0x2935a8['on'](_0x312f58(0xbfe),_0x5496a7),_0x2935a8['on'](_0x312f58(0xd6c),_0x1ea179),_0x2935a8['on'](_0x312f58(0x78d),_0x17d590),_0x1619a9(_0x4eef21[_0x312f58(0x1a56)][_0x312f58(0x844)]);});}function _0x3d8bc6(){const _0x4350ab=_0x21bdaf;return[{'name':_0x4350ab(0x294),'key':'createdAt','type':_0x4350ab(0x1a10),'label':'DASHBOARDS.SELECT_DATE'},{'name':'Messages','key':_0x4350ab(0x229e),'type':_0x4350ab(0xa5f),'label':'DASHBOARDS.SELECT_READ_UNREAD','customOptions':[{'value':0x0,'translate':_0x4350ab(0x33f)},{'value':0x1,'translate':_0x4350ab(0x1ce6)},{'value':null,'translate':_0x4350ab(0x175e)}]},{'name':_0x4350ab(0x74e),'key':_0x4350ab(0x1943),'type':'select','label':_0x4350ab(0x1682),'customOptions':[{'value':0x0,'translate':_0x4350ab(0x143b)},{'value':0x1,'translate':_0x4350ab(0x1805)},{'value':null,'translate':'DASHBOARDS.ALL'}]},{'name':_0x4350ab(0xb48),'key':_0x4350ab(0x158a),'type':_0x4350ab(0x175c),'label':_0x4350ab(0x1b49),'options':_0x4eef21[_0x4350ab(0x23c)][_0x4350ab(0x19c7)],'clearAll':![]},{'name':_0x4350ab(0x294a),'key':'UserId','type':_0x4350ab(0xa5f),'label':_0x4350ab(0x127),'customOptions':[{'value':_0x4eef21[_0x4350ab(0xe7b)]['id'],'translate':_0x4350ab(0x6d9)},{'value':_0x4350ab(0x203c),'translate':'DASHBOARDS.NOT_ASSIGNED'},{'value':undefined,'translate':'DASHBOARDS.ALL'}]},{'name':'Tag','key':_0x4350ab(0xa80),'type':_0x4350ab(0x175c),'label':'DASHBOARDS.SELECT_TAG','options':_0x4eef21[_0x4350ab(0x1b86)][_0x4350ab(0x19c7)],'placeholder':_0x4350ab(0x66f)}];}function _0x50c8e6(_0x263745){const _0x59bcc1=_0x21bdaf;_0x4eef21[_0x59bcc1(0x14eb)]=![],_0x4eef21['whatsappInteractions']=_0x263745||{'count':0x0,'rows':[]};for(let _0x517b4a=0x0;_0x517b4a<_0x4eef21[_0x59bcc1(0x941)]['rows'][_0x59bcc1(0x402)];_0x517b4a+=0x1){_0x1582e0(_0x4eef21[_0x59bcc1(0x941)][_0x59bcc1(0x19c7)][_0x517b4a]);}}function _0x1619a9(_0x2761d2){const _0x17612c=_0x21bdaf;_0x4eef21['searchInProgress']=!![];!_0x2761d2&&(_0x4eef21[_0x17612c(0x1a56)][_0x17612c(0x844)]=0x1);_0x4eef21[_0x17612c(0x1a56)][_0x17612c(0x145d)]=(_0x4eef21['query'][_0x17612c(0x844)]-0x1)*_0x4eef21[_0x17612c(0x1a56)][_0x17612c(0x221e)];let _0x398127=_0x5583bc[_0x17612c(0x1c39)][_0x17612c(0x1519)]('motion2.home.whatsapp:'+_0x4eef21[_0x17612c(0xe7b)]['id']);_0x398127=_0x398127?JSON['parse'](_0x398127):{},_0x398127['query']=_0x4eef21[_0x17612c(0x1a56)],_0x5583bc[_0x17612c(0x1c39)][_0x17612c(0xc32)]('motion2.home.whatsapp:'+_0x4eef21[_0x17612c(0xe7b)]['id'],JSON[_0x17612c(0x10bb)](_0x398127)),_0x4eef21[_0x17612c(0xb9c)]=_0x2b6576[_0x17612c(0x257a)][_0x17612c(0x16b4)](_0x4eef21[_0x17612c(0x1a56)],_0x50c8e6)[_0x17612c(0x2945)];}function _0x3c9303(_0x25698e,_0x20f1fe){const _0x3c40c8=_0x21bdaf;_0x309a7f[_0x3c40c8(0x2870)]['vm']['addInteractionTab'](_0x3c40c8(0xff9),_0x20f1fe);}function _0x2abe56(_0x591aa6,_0x128791,_0x52d966){const _0x16d493=_0x21bdaf;_0x2b6576['whatsappInteraction']['download']({'id':_0x128791['id'],'attachments':_0x52d966})[_0x16d493(0x2945)][_0x16d493(0x146b)](function(_0x502b7e){const _0x5787e1=_0x16d493,_0x1e1dae=[_0x502b7e[_0x5787e1(0x2eb)]],_0x42fef3=_0x5787e1(0x19a6)+_0x128791['id']+_0x5787e1(0xf04),_0x59cb84=new Blob(_0x1e1dae,{'type':_0x502b7e['type']}),_0x4ad15f=window['document'][_0x5787e1(0x24ec)]('a');_0x4ad15f['setAttribute']('href',URL[_0x5787e1(0x1c58)](_0x59cb84)),_0x4ad15f['setAttribute'](_0x5787e1(0x15b1),_0x42fef3),document[_0x5787e1(0x2586)][_0x5787e1(0x23e)](_0x4ad15f),_0x4ad15f['click']();})[_0x16d493(0x129e)](function(_0x50a853){const _0x379ef9=_0x16d493;if(_0x50a853[_0x379ef9(0x524)]&&_0x50a853['data'][_0x379ef9(0xcef)]&&_0x50a853[_0x379ef9(0x524)]['errors'][_0x379ef9(0x402)])for(let _0x25aaae=0x0;_0x25aaae<_0x50a853[_0x379ef9(0x524)]['errors'][_0x379ef9(0x402)];_0x25aaae+=0x1){_0x5c2aac[_0x379ef9(0x1980)]({'title':_0x50a853[_0x379ef9(0x524)][_0x379ef9(0xcef)][_0x25aaae]['type'],'msg':_0x50a853[_0x379ef9(0x524)][_0x379ef9(0xcef)][_0x25aaae][_0x379ef9(0x7fd)]});}else _0x5c2aac[_0x379ef9(0x1980)]({'title':_0x50a853[_0x379ef9(0x107b)]?_0x379ef9(0x262a)+_0x50a853[_0x379ef9(0x107b)]+'\x20-\x20'+_0x50a853['statusText']:_0x379ef9(0xbcc),'msg':_0x50a853['data']?JSON[_0x379ef9(0x10bb)](_0x50a853[_0x379ef9(0x524)][_0x379ef9(0x7fd)]):_0x50a853[_0x379ef9(0xd5f)]()});});}function _0x26ef9f(_0x1c7450,_0x355d0){const _0x3c33bb=_0x21bdaf,_0x287226=_0x4eef21[_0x3c33bb(0xe7b)][_0x3c33bb(0x211c)]||_0x4eef21[_0x3c33bb(0xe7b)][_0x3c33bb(0x5f7)]||_0x4eef21['user']['mailPause']||_0x4eef21[_0x3c33bb(0xe7b)][_0x3c33bb(0xcc5)]||_0x4eef21[_0x3c33bb(0xe7b)][_0x3c33bb(0x25c4)],_0x110de9=!_0x355d0[_0x3c33bb(0xb48)]['mandatoryDisposition'];_0x1ce68[_0x3c33bb(0x2615)]({'controller':_0x3c33bb(0xe23),'controllerAs':'vm','templateUrl':_0x56fd44,'parent':angular[_0x3c33bb(0x1853)](_0x27c410['body']),'clickOutsideToClose':_0x110de9,'escapeToClose':_0x110de9,'onRemoving':function(){const _0xbfd6ac=_0x3c33bb;_0x4eef21[_0xbfd6ac(0xe7b)]&&_0x355d0['Account'][_0xbfd6ac(0xc9e)]&&!_0x287226&&_0x2b6576[_0xbfd6ac(0xe7b)][_0xbfd6ac(0x974)]({'id':_0x4eef21[_0xbfd6ac(0xe7b)]['id']})[_0xbfd6ac(0x2945)]['catch'](function(_0x2abfa4){console['error'](_0x2abfa4);});},'locals':{'user':_0x4eef21[_0x3c33bb(0xe7b)],'channel':_0x3c33bb(0xff9),'interaction':_0x355d0}});}function _0x1582e0(_0x5a5aef){const _0x3728de=_0x21bdaf;_0x5a5aef[_0x3728de(0x364)]?(_0x5a5aef[_0x3728de(0x792)]=(_0x5a5aef['Contact']['firstName']||'')+'\x20'+(_0x5a5aef[_0x3728de(0x364)][_0x3728de(0xee4)]||''),_0x5a5aef[_0x3728de(0x14e3)]=_0x5a5aef[_0x3728de(0x364)][_0x3728de(0xa4b)]):_0x5a5aef[_0x3728de(0x792)]=_0x3b7e70[_0x3728de(0xde)](_0x3728de(0xe0b));}function _0x1b4d22(_0x5c0d0b){const _0x2ced00=_0x21bdaf;if(_0x5c0d0b[_0x2ced00(0xea2)])return _0x5c0d0b[_0x2ced00(0xea2)]===_0x4eef21[_0x2ced00(0xe7b)]['id']?_0x3b7e70[_0x2ced00(0xde)](_0x2ced00(0x6d9)):_0x5c0d0b[_0x2ced00(0xac5)]['fullname']+'\x20<'+_0x5c0d0b[_0x2ced00(0xac5)][_0x2ced00(0x113f)]+'>';return _0x3b7e70[_0x2ced00(0xde)]('DASHBOARDS.NOT_ASSIGNED');}function _0x3fe82d(_0x2c2ee3){const _0x5ee8f4=_0x21bdaf;if(_0x2c2ee3['Account'])return _0x2c2ee3[_0x5ee8f4(0xb48)][_0x5ee8f4(0x2293)];return _0x3b7e70[_0x5ee8f4(0xde)](_0x5ee8f4(0xe0b));}function _0x3e5922(_0x27c554,_0x5ccd81){const _0x43ae6f=_0x21bdaf;_0x1ce68[_0x43ae6f(0x2615)]({'controller':_0x43ae6f(0x268d),'controllerAs':'vm','templateUrl':_0xeb78a6,'parent':angular[_0x43ae6f(0x1853)](_0x27c410['body']),'clickOutsideToClose':![],'locals':{'account':_0x5ccd81,'message':{},'type':_0x43ae6f(0x16d9),'interaction':{}},'fullscreen':!![]})[_0x43ae6f(0x146b)](function(_0x2e3819){const _0x25a961=_0x43ae6f;if(_0x2e3819){if(_0x2e3819['message']&&_0x2e3819['message'][_0x25a961(0x1703)]){const _0x20c471={'channel':_0x25a961(0xff9),'account':_0x5ccd81,'message':_0x2e3819[_0x25a961(0x7fd)],'contact':_0x2e3819[_0x25a961(0x1662)]};_0x9ac100[_0x25a961(0x387)](_0x20c471);}else{const _0x5283c5=_0x2e3819;return _0x2b6576[_0x25a961(0x815)][_0x25a961(0x1443)]({'id':_0x5ccd81['id']},_0x5283c5)[_0x25a961(0x2945)]['then'](function(_0x55286e){const _0x435872=_0x25a961;_0x5c2aac[_0x435872(0x1c75)]({'title':_0x435872(0x24ad),'msg':_0x435872(0x54f)}),_0x309a7f[_0x435872(0x2870)]['vm'][_0x435872(0x2614)](_0x435872(0xff9),_0x55286e);})[_0x25a961(0x129e)](function(_0x949c95){const _0x548e62=_0x25a961;if(_0x949c95['data']&&_0x949c95[_0x548e62(0x524)][_0x548e62(0xcef)]&&_0x949c95[_0x548e62(0x524)][_0x548e62(0xcef)]['length'])for(let _0x534bf7=0x0;_0x534bf7<_0x949c95[_0x548e62(0x524)][_0x548e62(0xcef)][_0x548e62(0x402)];_0x534bf7++){_0x5c2aac[_0x548e62(0x1980)]({'title':_0x949c95[_0x548e62(0x524)][_0x548e62(0xcef)][_0x534bf7]['type'],'msg':_0x949c95[_0x548e62(0x524)][_0x548e62(0xcef)][_0x534bf7][_0x548e62(0x7fd)]});}else _0x5c2aac[_0x548e62(0x1980)]({'title':_0x949c95['status']?_0x548e62(0x262a)+_0x949c95['status']+_0x548e62(0x1315)+_0x949c95[_0x548e62(0x167f)]:_0x548e62(0x1f6c),'msg':_0x949c95[_0x548e62(0x524)]?JSON[_0x548e62(0x10bb)](_0x949c95[_0x548e62(0x524)][_0x548e62(0x7fd)]):_0x949c95['message']||_0x949c95[_0x548e62(0xd5f)]()});});}}});}function _0x1ea179(_0x510a5f){const _0x31dcc8=_0x21bdaf;let _0x1d7a51;const _0x8f1ddd=_0x3f65c0()[_0x31dcc8(0xc84)](_0x4eef21[_0x31dcc8(0x941)][_0x31dcc8(0x19c7)],{'id':_0x510a5f[_0x31dcc8(0xcd7)]});_0x8f1ddd&&_0x8f1ddd['Users']&&(_0x1d7a51=_0x3f65c0()[_0x31dcc8(0xc84)](_0x8f1ddd[_0x31dcc8(0x3c4)],{'id':_0x510a5f[_0x31dcc8(0xea2)]}),!_0x1d7a51&&_0x8f1ddd[_0x31dcc8(0x3c4)][_0x31dcc8(0x1f47)]({'id':_0x510a5f[_0x31dcc8(0xea2)]}));}function _0x17d590(_0x33e6c6){const _0x3c66fd=_0x21bdaf,_0x2f014e=_0x3f65c0()[_0x3c66fd(0xc84)](_0x4eef21[_0x3c66fd(0x941)][_0x3c66fd(0x19c7)],{'id':_0x33e6c6[_0x3c66fd(0xcd7)]});_0x2f014e&&_0x2f014e[_0x3c66fd(0x3c4)]&&_0x3f65c0()['remove'](_0x2f014e[_0x3c66fd(0x3c4)],{'id':_0x33e6c6['UserId']});}function _0x491122(_0x284ec5){const _0x2b85b7=_0x21bdaf;if(_0x284ec5){const _0x192db0=_0x3f65c0()['find'](_0x4eef21['whatsappInteractions'][_0x2b85b7(0x19c7)],{'id':_0x284ec5['id']});if(_0x192db0){_0x192db0[_0x2b85b7(0x3c4)]=[];_0x284ec5[_0x2b85b7(0x1943)]&&(_0x192db0[_0x2b85b7(0x26a6)]=0x0);if(_0x4eef21[_0x2b85b7(0x1a56)][_0x2b85b7(0x28fa)]){const _0x302e9d=_0x3f65c0()['startsWith'](_0x4eef21[_0x2b85b7(0x1a56)][_0x2b85b7(0x28fa)],'-')?_0x2b85b7(0x2517):_0x2b85b7(0x195);_0x4eef21['whatsappInteractions'][_0x2b85b7(0x19c7)]=_0x3f65c0()['orderBy'](_0x4eef21[_0x2b85b7(0x941)]['rows'],_0x3f65c0()['trimStart'](_0x4eef21[_0x2b85b7(0x1a56)]['sort'],'-'),_0x302e9d);}_0x3f65c0()['merge'](_0x192db0,_0x3f65c0()['pick'](_0x284ec5,_0x3f65c0()[_0x2b85b7(0x627)](_0x192db0)));}else _0x1619a9(_0x4eef21[_0x2b85b7(0x1a56)]['page']);}}function _0x5a122f(_0x449b78){const _0x204dfa=_0x21bdaf;if(_0x449b78){const _0x29fb0a=_0x3f65c0()[_0x204dfa(0xc84)](_0x4eef21['whatsappInteractions'][_0x204dfa(0x19c7)],{'id':_0x449b78['id']});_0x29fb0a&&(_0x29fb0a[_0x204dfa(0x2331)]=_0x449b78['tags']||[],_0x3f65c0()[_0x204dfa(0x168d)](_0x29fb0a,_0x3f65c0()[_0x204dfa(0x40e)](_0x449b78,_0x3f65c0()[_0x204dfa(0x627)](_0x29fb0a))));}}function _0x5496a7(_0x4011fd){const _0x3ef59c=_0x21bdaf;if(_0x4011fd&&!_0x4011fd['secret']){const _0x5570b6=_0x3f65c0()[_0x3ef59c(0xc84)](_0x4eef21[_0x3ef59c(0x941)][_0x3ef59c(0x19c7)],{'id':_0x4011fd[_0x3ef59c(0xcd7)]});if(_0x5570b6){const _0x3f0d3d=_0x3f65c0()[_0x3ef59c(0xc84)](_0x5570b6[_0x3ef59c(0x1c7c)],{'id':_0x4011fd['id']});_0x3f0d3d?_0x3f65c0()[_0x3ef59c(0x168d)](_0x3f0d3d,_0x3f65c0()[_0x3ef59c(0x40e)](_0x4011fd,_0x3f65c0()['keys'](_0x3f0d3d))):(_0x3f65c0()[_0x3ef59c(0x1b36)](_0x5570b6[_0x3ef59c(0x1c7c)])&&(_0x5570b6[_0x3ef59c(0x1c7c)]={'count':0x0}),!_0x4011fd[_0x3ef59c(0x229e)]&&(_0x5570b6[_0x3ef59c(0x1c7c)][_0x3ef59c(0x51c)]+=0x1));}}}function _0x5149f2(){const _0x2522b3=_0x21bdaf;_0x4eef21[_0x2522b3(0x2516)]=![];}function _0x1dc038(){const _0x5a59ca=_0x21bdaf;_0x4eef21[_0x5a59ca(0x2516)]=!![];}function _0x47ed44(){const _0x13d777=_0x21bdaf;_0x4eef21[_0x13d777(0x2516)]=![],_0x1ce68['show']({'controller':_0x13d777(0x26bc),'controllerAs':'vm','templateUrl':_0x3fb128,'parent':angular[_0x13d777(0x1853)](_0x27c410[_0x13d777(0x2586)]),'clickOutsideToClose':![],'locals':{'color':_0x4eef21['sectionColor'],'fields':_0x4eef21['advancedSearch'][_0x13d777(0x2867)],'storagePath':_0x13d777(0xfcf)},'fullscreen':!![]})[_0x13d777(0x146b)](function(_0x2e51de){const _0x2fc339=_0x13d777;_0x4eef21[_0x2fc339(0x1a56)][_0x2fc339(0x21da)]=_0x2e51de===![]?undefined:_0x2e51de;if(_0x4eef21['query'][_0x2fc339(0x21da)]||_0x2e51de===![])_0x1619a9();})['catch'](function(_0x1065b9){const _0x16786a=_0x13d777;_0x5c2aac[_0x16786a(0x1980)]({'title':_0x16786a(0x10f5),'msg':_0x1065b9['data']?JSON[_0x16786a(0x10bb)](_0x1065b9[_0x16786a(0x524)][_0x16786a(0x7fd)]):_0x1065b9[_0x16786a(0xd5f)]()});});}let _0x1a74bb=!![],_0x18ed97=0x1;_0x309a7f[_0x21bdaf(0x21e8)](_0x21bdaf(0x2669),function(_0x5c3570,_0x8f48f2){const _0x53383b=_0x21bdaf;_0x1a74bb?_0x442dbc(function(){_0x1a74bb=![];}):(!_0x8f48f2&&(_0x18ed97=_0x4eef21[_0x53383b(0x1a56)][_0x53383b(0x844)]),_0x5c3570!==_0x8f48f2&&(_0x4eef21[_0x53383b(0x1a56)]['page']=0x1),!_0x5c3570&&(_0x4eef21[_0x53383b(0x1a56)][_0x53383b(0x844)]=_0x18ed97),_0x1619a9(_0x4eef21['query'][_0x53383b(0x844)]));}),_0x309a7f[_0x21bdaf(0x16ad)](_0x21bdaf(0x116f),function(){const _0x5e5481=_0x21bdaf;_0x2935a8['removeAllListeners'](_0x5e5481(0xe72)),_0x2935a8[_0x5e5481(0x1c5f)](_0x5e5481(0xbfe)),_0x2935a8[_0x5e5481(0x1c5f)](_0x5e5481(0xf40)),_0x2935a8[_0x5e5481(0x1c5f)]('whatsappInteractionTags:save'),_0x2935a8[_0x5e5481(0x1c5f)](_0x5e5481(0x2887)),_0x2935a8[_0x5e5481(0x1c5f)](_0x5e5481(0xd6c)),_0x2935a8[_0x5e5481(0x1c5f)](_0x5e5481(0x78d));});}const _0x1bdaba=_0x52133d;;_0x369d96[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0xb51)];function _0x369d96(_0x469f9a,_0x9cdd4b){const _0xe4732a=_0x313a4d,_0x4ec78b=this;_0x4ec78b[_0xe4732a(0x15b9)]={},_0x4ec78b['messages']={'count':0x0,'rows':[]},_0x4ec78b[_0xe4732a(0x1fae)]=![];function _0x183ab1(_0x11624a,_0x38c78d){const _0x10569b=_0xe4732a;_0x4ec78b['setting']=_0x38c78d,_0x4ec78b[_0x10569b(0x13a3)]=_0x11624a,_0x4ec78b[_0x10569b(0x13a3)][_0x10569b(0x1a2e)]=!![];}function _0x4ce941(){const _0x45edb7=_0xe4732a;return _0x9cdd4b[_0x45edb7(0x66b)](_0x4ec78b[_0x45edb7(0x13a3)][_0x45edb7(0x1006)]);}_0x4ec78b[_0xe4732a(0x5aa)]=_0x183ab1,_0x4ec78b[_0xe4732a(0x208b)]=_0x4ce941;}const _0x5be76c=_0x369d96;;const _0x57afc0=_0x4acfac['p']+_0x313a4d(0x284c);;const _0x5c9cbe=_0x4acfac['p']+_0x313a4d(0xdfe);;_0x371c1a[_0x313a4d(0x11c2)]=[_0x313a4d(0xb51)],_0x1141d1[_0x313a4d(0x11c2)]=['$cookies',_0x313a4d(0x1abe),_0x313a4d(0x4d8),_0x313a4d(0x910),'$mdDialog','$q',_0x313a4d(0xd08),_0x313a4d(0x214b),_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87),'socket','msUtils',_0x313a4d(0x1909)];function _0x1141d1(_0x9255f0,_0x28db6b,_0x10d48a,_0x17bccc,_0x443e7a,_0x4afbf4,_0x36656a,_0x3ddbd0,_0x21aa01,_0x2bf50f,_0x58df5e,_0x2009d1,_0x52b794,_0x9d3764){const _0x1da86e=_0x313a4d,_0x6f1d61=this,_0x2c9e34=0x19,_0x4f2773=_0x2c9e34*0x400*0x400;_0x6f1d61[_0x1da86e(0x1c9f)]=![],_0x6f1d61[_0x1da86e(0x1fae)]=![],_0x6f1d61[_0x1da86e(0xe7b)]=_0x58df5e[_0x1da86e(0xb12)](),_0x6f1d61[_0x1da86e(0x17dc)]=_0x52b794['detectBrowser'](),_0x6f1d61[_0x1da86e(0x21db)]=[],_0x6f1d61[_0x1da86e(0xb5e)]=[],_0x6f1d61[_0x1da86e(0x449)]=['mail',_0x1da86e(0xa7f),'sms',_0x1da86e(0x7d9),_0x1da86e(0x22d9),_0x1da86e(0xff9)],_0x6f1d61['ngFlowOptions']={'target':_0x1da86e(0x17cb),'singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0x4f2773,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x6f1d61[_0x1da86e(0x1376)]={'flow':{}},_0x6f1d61[_0x1da86e(0x184a)]={},_0x6f1d61['selectedMailShowSystemMessage']={},_0x6f1d61['setting']={},_0x6f1d61['ctrlMethods']={},_0x6f1d61[_0x1da86e(0x12c8)]={'fontSmiley':!![],'sanitizeHtml':!![],'emoji':!![],'link':!![],'linkTarget':_0x1da86e(0x20f1)},_0x6f1d61[_0x1da86e(0x23e6)]=new Date(_0x2deec6()()[_0x1da86e(0x1fcc)](_0x1da86e(0x12b7))[_0x1da86e(0xd7b)](0x1,_0x1da86e(0xcf5))[_0x1da86e(0x65f)](0x0,!![])['format']()),_0x6f1d61['lastDayMonth']=new Date(_0x2deec6()()[_0x1da86e(0x824)](_0x1da86e(0x12b7))[_0x1da86e(0xd7b)](0x1,_0x1da86e(0x1066))[_0x1da86e(0x65f)](0x0,!![])[_0x1da86e(0x22b0)]()),_0x6f1d61[_0x1da86e(0x5aa)]=_0x5c9973,_0x6f1d61['getLabelByMessage']=_0x2d5b2f,_0x6f1d61[_0x1da86e(0x155f)]=_0x47756e,_0x6f1d61[_0x1da86e(0x1839)]=_0x9e407e,_0x6f1d61['disposeInteraction']=_0xb9e3a5,_0x6f1d61['tagInteraction']=_0x4c8bf5,_0x6f1d61[_0x1da86e(0x107a)]=_0x29fd93,_0x6f1d61[_0x1da86e(0xc96)]=_0x83d3da,_0x6f1d61[_0x1da86e(0x13d8)]=_0x53d091,_0x6f1d61[_0x1da86e(0x1ae3)]=_0x545173,_0x6f1d61[_0x1da86e(0x24b)]=_0x1f8c6b,_0x6f1d61[_0x1da86e(0xc04)]=_0x415ea7,_0x6f1d61[_0x1da86e(0x1301)]=_0x438276,_0x6f1d61[_0x1da86e(0x478)]=_0x24ec4e,_0x6f1d61[_0x1da86e(0x226)]=_0x3ee3fb,_0x6f1d61[_0x1da86e(0x44b)]=_0xc23179,_0x6f1d61[_0x1da86e(0x12d0)]=_0xfb0007,_0x6f1d61['getSmsAccounts']=_0x9d648e,_0x6f1d61['getWhatsappAccounts']=_0x16e483,_0x6f1d61[_0x1da86e(0x12ef)]=_0xa2abd9,_0x6f1d61[_0x1da86e(0x3e5)]=_0xd93911,_0x6f1d61[_0x1da86e(0x233d)]=_0x3d1faf,_0x6f1d61[_0x1da86e(0x512)]=_0x1b9f80,_0x6f1d61[_0x1da86e(0x1dc6)]=_0x4bf587,_0x6f1d61[_0x1da86e(0x143d)]=_0x53ec74,_0x6f1d61[_0x1da86e(0xc4c)]=_0x2ccd03,_0x6f1d61[_0x1da86e(0x22dc)]=_0x4c1e76,_0x6f1d61[_0x1da86e(0x1a85)]=_0x26daa7,_0x6f1d61[_0x1da86e(0x1ef1)]=_0x255554,_0x6f1d61['selectedItemChange']=_0x27e9c6,_0x6f1d61[_0x1da86e(0x230e)]=_0xfdf592,_0x6f1d61[_0x1da86e(0x17e5)]=_0x46aaed,_0x6f1d61[_0x1da86e(0x898)]=_0xdf5660,_0x6f1d61[_0x1da86e(0xaa5)]=_0x184d6f,_0x6f1d61[_0x1da86e(0x14ae)]=![],_0x6f1d61[_0x1da86e(0x1411)]=_0x26500f,_0x6f1d61['setAs']=_0x585fbc,_0x6f1d61[_0x1da86e(0x1c31)]=_0x33a5a0,_0x6f1d61[_0x1da86e(0x1bee)]=_0x3d2650,_0x6f1d61[_0x1da86e(0x280b)]=_0x3b7ae6,_0x6f1d61[_0x1da86e(0x16f5)]=_0x48c9ae,_0x6f1d61['htmlToPlaintext']=_0x29d824,_0x6f1d61[_0x1da86e(0x2015)]=_0x1f68d6,_0x6f1d61[_0x1da86e(0xf28)]=_0x3c76b1,_0x6f1d61['loadNextPage']=_0x11b1b6,_0x6f1d61[_0x1da86e(0x496)]={'scrollEl':_0x1da86e(0x4c5)};function _0xd93911(){const _0x45e38d=_0x1da86e;_0x21aa01[_0x45e38d(0xe7b)]['getChatWebsites']({'id':_0x6f1d61[_0x45e38d(0xe7b)]['id'],'nolimit':!![]})[_0x45e38d(0x2945)][_0x45e38d(0x146b)](function(_0x41a1bf){const _0xb3d206=_0x45e38d;_0x6f1d61[_0xb3d206(0x282c)]=_0x41a1bf||{'count':0x0,'rows':[]};});}function _0x3d1faf(){const _0x5943ae=_0x1da86e;_0x21aa01[_0x5943ae(0xe7b)][_0x5943ae(0x233d)]({'id':_0x6f1d61[_0x5943ae(0xe7b)]['id'],'nolimit':!![]})[_0x5943ae(0x2945)]['then'](function(_0x44e8a1){const _0x1c1f06=_0x5943ae;_0x6f1d61[_0x1c1f06(0x245a)]=_0x44e8a1||{'count':0x0,'rows':[]};});}function _0xa2abd9(){const _0x3f91fc=_0x1da86e;_0x21aa01[_0x3f91fc(0xe7b)][_0x3f91fc(0x12ef)]({'id':_0x6f1d61[_0x3f91fc(0xe7b)]['id'],'nolimit':!![]})[_0x3f91fc(0x2945)][_0x3f91fc(0x146b)](function(_0x3778c){const _0x5ce957=_0x3f91fc;_0x6f1d61[_0x5ce957(0x1690)]=_0x3778c||{'count':0x0,'rows':[]};})['catch'](function(_0x243306){const _0x25af5a=_0x3f91fc;if(_0x243306['data']&&_0x243306[_0x25af5a(0x524)]['errors']&&_0x243306['data'][_0x25af5a(0xcef)][_0x25af5a(0x402)]){_0x6f1d61['errors']=_0x243306[_0x25af5a(0x524)][_0x25af5a(0xcef)]||[{'message':_0x243306[_0x25af5a(0xd5f)](),'type':_0x25af5a(0x1654)}];for(let _0x5c7eb5=0x0;_0x5c7eb5<_0x243306[_0x25af5a(0x524)][_0x25af5a(0xcef)][_0x25af5a(0x402)];_0x5c7eb5+=0x1){_0x2bf50f['error']({'title':_0x243306[_0x25af5a(0x524)][_0x25af5a(0xcef)][_0x5c7eb5][_0x25af5a(0x1142)],'msg':_0x243306[_0x25af5a(0x524)][_0x25af5a(0xcef)][_0x5c7eb5][_0x25af5a(0x7fd)]});}}else _0x2bf50f[_0x25af5a(0x1980)]({'title':_0x243306['status']?_0x25af5a(0x262a)+_0x243306[_0x25af5a(0x107b)]+_0x25af5a(0x1315)+_0x243306['statusText']:'api.mail.account','msg':_0x243306[_0x25af5a(0x524)]?JSON[_0x25af5a(0x10bb)](_0x243306[_0x25af5a(0x524)]['message']):_0x243306[_0x25af5a(0xd5f)]()});});}function _0xc23179(){const _0x594365=_0x1da86e;_0x21aa01[_0x594365(0xe7b)][_0x594365(0x44b)]({'id':_0x6f1d61[_0x594365(0xe7b)]['id'],'nolimit':!![]})[_0x594365(0x2945)][_0x594365(0x146b)](function(_0xdcf3b1){_0x6f1d61['mailAccounts']=_0xdcf3b1||{'count':0x0,'rows':[]};});}function _0xfb0007(){const _0x1bdb1c=_0x1da86e;_0x21aa01[_0x1bdb1c(0xe7b)][_0x1bdb1c(0x12d0)]({'id':_0x6f1d61[_0x1bdb1c(0xe7b)]['id'],'nolimit':!![]})[_0x1bdb1c(0x2945)][_0x1bdb1c(0x146b)](function(_0x271e16){_0x6f1d61['faxAccounts']=_0x271e16||{'count':0x0,'rows':[]};});}function _0x9d648e(){const _0x57d87a=_0x1da86e;_0x21aa01[_0x57d87a(0xe7b)][_0x57d87a(0x1434)]({'id':_0x6f1d61[_0x57d87a(0xe7b)]['id'],'nolimit':!![]})[_0x57d87a(0x2945)][_0x57d87a(0x146b)](function(_0x478b5c){const _0x2fbc50=_0x57d87a;_0x6f1d61[_0x2fbc50(0x1c34)]=_0x478b5c||{'count':0x0,'rows':[]};});}function _0x16e483(){const _0x4c0614=_0x1da86e;_0x21aa01['user']['getWhatsappAccounts']({'id':_0x6f1d61[_0x4c0614(0xe7b)]['id'],'nolimit':!![]})[_0x4c0614(0x2945)]['then'](function(_0x2362f0){const _0x47fe38=_0x4c0614;_0x6f1d61[_0x47fe38(0x23c)]=_0x2362f0||{'count':0x0,'rows':[]};});}function _0x11b1b6(){const _0x317760=_0x1da86e,_0x5a631b=_0x4afbf4[_0x317760(0xce3)]();if(_0x6f1d61[_0x317760(0xbba)])return _0x6f1d61[_0x317760(0xbba)][_0x317760(0x145d)]=_0x6f1d61[_0x317760(0x8ac)][_0x317760(0x19c7)][_0x317760(0x402)],_0x21aa01[_0x6f1d61[_0x317760(0x13a3)][_0x317760(0x2894)]+_0x317760(0x2297)]['getMessages'](_0x6f1d61[_0x317760(0xbba)])[_0x317760(0x2945)][_0x317760(0x146b)](function(_0x772505){const _0x39c8ab=_0x317760;for(let _0xb7184a=0x0;_0xb7184a<_0x772505['rows'][_0x39c8ab(0x402)];_0xb7184a+=0x1){_0x6f1d61[_0x39c8ab(0x8ac)]['rows'][_0x39c8ab(0x1f47)](_0x772505[_0x39c8ab(0x19c7)][_0xb7184a]),!_0x6f1d61[_0x39c8ab(0xe80)][_0x39c8ab(0x1943)]&&_0x772505[_0x39c8ab(0x19c7)][_0xb7184a]['direction']==='in'&&!_0x772505[_0x39c8ab(0x19c7)][_0xb7184a][_0x39c8ab(0x229e)]&&(_0x21aa01[_0x6f1d61[_0x39c8ab(0x13a3)][_0x39c8ab(0x2894)]+_0x39c8ab(0xe2)][_0x39c8ab(0x204a)]({'id':_0x772505[_0x39c8ab(0x19c7)][_0xb7184a]['id'],'UserId':_0x6f1d61[_0x39c8ab(0xe7b)]['id']}),_0x6f1d61[_0x39c8ab(0xb5e)]['push'](_0x772505['rows'][_0xb7184a]['id']));}return _0x5a631b[_0x39c8ab(0x2922)](_0x772505);})[_0x317760(0x129e)](function(_0x446f15){const _0x49b987=_0x317760;return _0x5a631b[_0x49b987(0xa6f)](_0x446f15);});return _0x5a631b[_0x317760(0xa6f)]();}function _0x5c9973(_0x35fc13,_0x50589f){const _0x2fe391=_0x1da86e;_0x6f1d61[_0x2fe391(0x13a3)]=_0x35fc13,_0x6f1d61[_0x2fe391(0x13a3)]['saved']=!![],_0x6f1d61[_0x2fe391(0x13a3)][_0x2fe391(0x3a4)]=0x0,_0x6f1d61[_0x2fe391(0x15b9)]=_0x50589f||{},_0x6f1d61[_0x2fe391(0x13a3)]&&_0x6f1d61[_0x2fe391(0x13a3)]['channel']&&_0x6f1d61['tab'][_0x2fe391(0xe80)]&&(_0x6f1d61[_0x2fe391(0xe80)]=_0x6f1d61['tab'][_0x2fe391(0xe80)],_0x21aa01[_0x6f1d61[_0x2fe391(0x13a3)][_0x2fe391(0x2894)]+'Interaction'][_0x2fe391(0x16b4)]({'id':_0x6f1d61[_0x2fe391(0x13a3)]['interaction']['id'],'includeAll':!![]})[_0x2fe391(0x2945)][_0x2fe391(0x146b)](function(_0x112a6a){const _0x4337f0=_0x2fe391;return _0x112a6a&&(_0x6f1d61['interaction']=_0x112a6a,_0x6f1d61[_0x4337f0(0x13a3)][_0x4337f0(0xe80)]=_0x112a6a),_0x6f1d61[_0x4337f0(0x13a3)][_0x4337f0(0x1a2e)]=_0x6f1d61[_0x4337f0(0xe80)]['closed'],_0x6f1d61[_0x4337f0(0xbba)]={'id':_0x6f1d61[_0x4337f0(0xe80)]['id'],'includeAll':!![]},_0x6f1d61[_0x4337f0(0x13a3)][_0x4337f0(0x2894)]===_0x4337f0(0x56b)?(_0x6f1d61['queryMessage']['sort']=_0x4337f0(0xef6),_0x6f1d61[_0x4337f0(0xbba)][_0x4337f0(0x145d)]=0x0,_0x6f1d61[_0x4337f0(0xbba)][_0x4337f0(0x221e)]=0x3):(_0x6f1d61['queryMessage']['sort']='id',_0x6f1d61[_0x4337f0(0xbba)][_0x4337f0(0x6c5)]=!![]),_0x21aa01[_0x6f1d61[_0x4337f0(0x13a3)]['channel']+_0x4337f0(0x2297)]['getMessages'](_0x6f1d61[_0x4337f0(0xbba)])[_0x4337f0(0x2945)];})[_0x2fe391(0x146b)](function(_0x2d0d04){const _0x3f26da=_0x2fe391;return _0x6f1d61[_0x3f26da(0x8ac)]=_0x2d0d04||{'count':0x0,'rows':[]},_0x21aa01[_0x6f1d61[_0x3f26da(0x13a3)]['channel']==='chat'?_0x3f26da(0x189e):_0x6f1d61[_0x3f26da(0x13a3)]['channel']+_0x3f26da(0xb48)][_0x3f26da(0x16b4)]({'id':_0x6f1d61[_0x3f26da(0xe80)][_0x6f1d61[_0x3f26da(0x13a3)][_0x3f26da(0x2894)]===_0x3f26da(0xa7f)?_0x3f26da(0x1d92):_0x3f65c0()[_0x3f26da(0x83f)](_0x6f1d61[_0x3f26da(0x13a3)]['channel'])+_0x3f26da(0x348)]})['$promise'];})[_0x2fe391(0x146b)](function(_0x42408b){const _0x161c3c=_0x2fe391;_0x6f1d61[_0x161c3c(0x1f8e)]=_0x42408b,_0x6f1d61[_0x161c3c(0x13a3)][_0x161c3c(0x1189)]=_0x6f1d61['account'][_0x161c3c(0x2293)]||_0x6f1d61['account'][_0x161c3c(0x19eb)];if(_0x6f1d61[_0x161c3c(0xe80)][_0x161c3c(0x932)])return _0x21aa01[_0x161c3c(0x18c3)]['get']({'id':_0x6f1d61[_0x161c3c(0xe80)][_0x161c3c(0x932)]})[_0x161c3c(0x2945)];})[_0x2fe391(0x146b)](function(_0x549aa5){const _0x2276f9=_0x2fe391;return _0x549aa5&&(_0x6f1d61[_0x2276f9(0x1662)]=_0x549aa5),_0x6f1d61[_0x2276f9(0x1fae)]=!![],_0x6f1d61[_0x2276f9(0x1662)]?(_0x4bad2d(_0x6f1d61[_0x2276f9(0x1662)]),_0x6f1d61[_0x2276f9(0x13a3)][_0x2276f9(0x1189)]+=_0x2276f9(0x1315)+_0x6f1d61[_0x2276f9(0x1662)][_0x2276f9(0x1ed4)]):_0x6f1d61['tab'][_0x2276f9(0x1189)]+=_0x2276f9(0x1315)+_0x3ddbd0[_0x2276f9(0xde)](_0x6f1d61['tab'][_0x2276f9(0x2894)][_0x2276f9(0x1c37)]()+_0x2276f9(0x23d2)),_0x21aa01[_0x2276f9(0xe7b)][_0x2276f9(0x16b4)]({'fields':_0x2276f9(0x1291),'nolimit':!![]})[_0x2276f9(0x2945)];})[_0x2fe391(0x146b)](function(_0x144b88){const _0x3734e3=_0x2fe391;_0x6f1d61[_0x3734e3(0x1995)]=_0x144b88||{'count':0x0,'rows':[]},_0x6f1d61[_0x3734e3(0xa3e)]=_0x3f65c0()[_0x3734e3(0x1de4)](_0x3f65c0()[_0x3734e3(0x194)](_0x6f1d61[_0x3734e3(0x1995)][_0x3734e3(0x19c7)],'id'),_0x3734e3(0x286a));})['then'](function(){const _0x329c6d=_0x2fe391;if(_0x6f1d61[_0x329c6d(0x13a3)]['channel']&&_0x6f1d61['interaction'])return _0x21aa01[_0x329c6d(0xe7b)][_0x329c6d(0x387)+_0x3f65c0()['upperFirst'](_0x6f1d61['tab'][_0x329c6d(0x2894)])+_0x329c6d(0x1fbc)]({'id':_0x6f1d61[_0x329c6d(0xe7b)]['id'],'ids':[_0x6f1d61[_0x329c6d(0xe80)]['id']]});})[_0x2fe391(0x146b)](function(){const _0x2a3374=_0x2fe391;return _0x21aa01[_0x2a3374(0x2036)]['get']({'sort':_0x2a3374(0x19eb),'nolimit':!![]})[_0x2a3374(0x2945)];})[_0x2fe391(0x146b)](function(_0x3cdc77){_0x6f1d61['subStatuses']=_0x3cdc77||{'count':0x0,'rows':[]};})['then'](function(){return _0x6f1d61['getMailAccounts']();})[_0x2fe391(0x146b)](function(){const _0xb276d3=_0x2fe391;return _0x6f1d61[_0xb276d3(0x12d0)]();})['then'](function(){const _0x4eff05=_0x2fe391;return _0x6f1d61[_0x4eff05(0x1434)]();})[_0x2fe391(0x146b)](function(){const _0xeb6851=_0x2fe391;return _0x6f1d61[_0xeb6851(0x28f)]();})['then'](function(){const _0x11eb73=_0x2fe391;return _0x6f1d61[_0x11eb73(0x12ef)]();})[_0x2fe391(0x146b)](function(){const _0x2826e8=_0x2fe391;return _0x6f1d61[_0x2826e8(0x3e5)]();})[_0x2fe391(0x146b)](function(){const _0x4e09e3=_0x2fe391;return _0x6f1d61[_0x4e09e3(0x233d)]();})[_0x2fe391(0x129e)](function(){_0x6f1d61['showInteraction']=!![];})[_0x2fe391(0x1ec6)](function(){const _0x4f16d9=_0x2fe391;_0x4a5f1c(),_0x28d33f(),_0x2009d1['on'](_0x6f1d61[_0x4f16d9(0x13a3)]['channel']+_0x4f16d9(0x142d),_0x6f1d61['onMessageSave']),_0x2009d1['on'](_0x6f1d61[_0x4f16d9(0x13a3)][_0x4f16d9(0x2894)]+_0x4f16d9(0x6c7),_0x6f1d61[_0x4f16d9(0x22dc)]),_0x2009d1['on'](_0x6f1d61[_0x4f16d9(0x13a3)][_0x4f16d9(0x2894)]+_0x4f16d9(0x28df),_0x6f1d61[_0x4f16d9(0x1a85)]),_0x2009d1['on'](_0x6f1d61[_0x4f16d9(0x13a3)]['channel']+_0x4f16d9(0x1c22),_0x6f1d61[_0x4f16d9(0x1a85)]),_0x2009d1['on'](_0x4f16d9(0x1b79)+_0x6f1d61['tab']['channel']+_0x4f16d9(0x6d3),_0x6f1d61['onInteractionOpen']),_0x2009d1['on']('user_has_'+_0x6f1d61[_0x4f16d9(0x13a3)][_0x4f16d9(0x2894)]+_0x4f16d9(0x1bc0),_0x6f1d61[_0x4f16d9(0xf28)]),_0x6f1d61[_0x4f16d9(0x13a3)][_0x4f16d9(0x1fa0)]=_0x6f1d61['tab'][_0x4f16d9(0x1fa0)]?_0x6f1d61[_0x4f16d9(0x13a3)][_0x4f16d9(0x1fa0)]:'',_0x6f1d61['showFooter']=!![],_0x6f1d61[_0x4f16d9(0x13a3)][_0x4f16d9(0x2894)]===_0x4f16d9(0x56b)&&(_0x6f1d61[_0x4f16d9(0x1d96)]=_0x9d3764['getByInteraction'](_0x6f1d61[_0x4f16d9(0x13a3)][_0x4f16d9(0xe80)]['id']),_0x6f1d61[_0x4f16d9(0x13a3)]['isDraft']&&(_0x6f1d61[_0x4f16d9(0x13a3)][_0x4f16d9(0xe80)][_0x4f16d9(0x1943)]?(_0x9d3764['removeByInteraction'](_0x6f1d61['tab'][_0x4f16d9(0xe80)]['id']),_0x6f1d61['draft']=null):_0x24ec4e()));}));}function _0x3d2650(_0x3403fe){const _0x111067=_0x1da86e;if(_0x3403fe[_0x111067(0x74d)])return _0x3f65c0()[_0x111067(0xa4e)](_0x3f65c0()[_0x111067(0x205)](_0x6f1d61[_0x111067(0x8a9)]?_0x6f1d61[_0x111067(0x8a9)]['rows']:[],'id'),_0x3403fe[_0x111067(0x74d)]);else{if(_0x3403fe[_0x111067(0x534)])return _0x3f65c0()[_0x111067(0xa4e)](_0x3f65c0()[_0x111067(0x205)](_0x6f1d61[_0x111067(0x1690)]?_0x6f1d61[_0x111067(0x1690)][_0x111067(0x19c7)]:[],'id'),_0x3403fe[_0x111067(0x534)]);else{if(_0x3403fe['SmsAccountId'])return _0x3f65c0()[_0x111067(0xa4e)](_0x3f65c0()[_0x111067(0x205)](_0x6f1d61['smsAccounts']?_0x6f1d61[_0x111067(0x1c34)][_0x111067(0x19c7)]:[],'id'),_0x3403fe[_0x111067(0x1481)]);else{if(_0x3403fe[_0x111067(0x158a)])return _0x3f65c0()[_0x111067(0xa4e)](_0x3f65c0()[_0x111067(0x205)](_0x6f1d61[_0x111067(0x23c)]?_0x6f1d61['whatsappAccounts'][_0x111067(0x19c7)]:[],'id'),_0x3403fe['WhatsappAccountId']);else{if(_0x3403fe[_0x111067(0x658)])return _0x3f65c0()[_0x111067(0xa4e)](_0x3f65c0()['map'](_0x6f1d61['mailAccounts']?_0x6f1d61['mailAccounts'][_0x111067(0x19c7)]:[],'id'),_0x3403fe[_0x111067(0x658)]);else{if(_0x3403fe[_0x111067(0x1d92)])return _0x3f65c0()[_0x111067(0xa4e)](_0x3f65c0()['map'](_0x6f1d61['chatAccounts']?_0x6f1d61[_0x111067(0x282c)][_0x111067(0x19c7)]:[],'id'),_0x3403fe[_0x111067(0x1d92)]);else{if(_0x3403fe['amaflags'])return!![];}}}}}}return![];}function _0x1f68d6(_0x405fc5){const _0x4c3552=_0x1da86e;let _0x49bcf1;_0x6f1d61['interaction']['id']==_0x405fc5[_0x3f65c0()[_0x4c3552(0x21cf)](_0x6f1d61[_0x4c3552(0x13a3)][_0x4c3552(0x2894)])+_0x4c3552(0x14ad)]&&(_0x6f1d61[_0x4c3552(0xe80)][_0x4c3552(0x3c4)]&&(_0x49bcf1=_0x3f65c0()['find'](_0x6f1d61['interaction'][_0x4c3552(0x3c4)],{'id':_0x405fc5[_0x4c3552(0xea2)]})),!_0x49bcf1&&_0x6f1d61[_0x4c3552(0xe80)][_0x4c3552(0x3c4)][_0x4c3552(0x1f47)]({'id':_0x405fc5[_0x4c3552(0xea2)]}));}function _0x3c76b1(_0x37a1e9){const _0x15eae7=_0x1da86e;_0x6f1d61[_0x15eae7(0xe80)]['id']==_0x37a1e9[_0x3f65c0()[_0x15eae7(0x21cf)](_0x6f1d61[_0x15eae7(0x13a3)][_0x15eae7(0x2894)])+_0x15eae7(0x14ad)]&&(_0x6f1d61[_0x15eae7(0xe80)]['Users']&&_0x3f65c0()['remove'](_0x6f1d61[_0x15eae7(0xe80)][_0x15eae7(0x3c4)],{'id':_0x37a1e9[_0x15eae7(0xea2)]}));}function _0x27e9c6(_0x121db9){const _0x6979d3=_0x1da86e;if(_0x121db9){try{_0x3f65c0()['templateSettings'][_0x6979d3(0x1687)]=/{{([\s\S]+?)}}/g;const _0x253d75=_0x3f65c0()[_0x6979d3(0x1928)](_0x121db9[_0x6979d3(0x175d)]),_0x16a61a={'user':_0x6f1d61[_0x6979d3(0xe7b)],'account':_0x6f1d61[_0x6979d3(0x1f8e)],'interaction':_0x6f1d61[_0x6979d3(0xe80)],'contact':_0x6f1d61[_0x6979d3(0xe80)]&&_0x6f1d61['interaction'][_0x6979d3(0x364)]?_0x6f1d61[_0x6979d3(0xe80)][_0x6979d3(0x364)]:{}};_0x6f1d61['tab']['areaText']+='\x20'+_0x253d75(_0x16a61a),_0x6f1d61[_0x6979d3(0x1e5b)][_0x6979d3(0xa0d)](_0x6f1d61[_0x6979d3(0x13a3)]['areaText']);}catch(_0x523489){_0x6f1d61[_0x6979d3(0x13a3)][_0x6979d3(0x1fa0)]+='\x20'+_0x121db9[_0x6979d3(0x175d)],_0x6f1d61[_0x6979d3(0x1e5b)][_0x6979d3(0xa0d)](_0x6f1d61['tab'][_0x6979d3(0x1fa0)]);}_0x6f1d61['selectedItem']=null,_0x6f1d61[_0x6979d3(0x1411)]();}}function _0x255554(_0x17b990){const _0x3a16aa=_0x1da86e,_0x3990d6=_0x4afbf4[_0x3a16aa(0xce3)]();let _0x23189e=[];const _0x2a3332={},_0x4e281d=_0x6f1d61['tab'][_0x3a16aa(0x2894)]===_0x3a16aa(0xa7f)?_0x3a16aa(0x1d92):_0x3f65c0()[_0x3a16aa(0x83f)](_0x6f1d61[_0x3a16aa(0x13a3)][_0x3a16aa(0x2894)])+_0x3a16aa(0x348);return _0x2a3332[_0x3a16aa(0x1dd6)]=_0x17b990,_0x2a3332[_0x4e281d]=_0x6f1d61['account']['id'],_0x21aa01[_0x3a16aa(0x1ada)]['get'](_0x2a3332)[_0x3a16aa(0x2945)][_0x3a16aa(0x146b)](function(_0x5ebf8d){const _0x381574=_0x3a16aa;return _0x23189e=_0x3f65c0()['concat'](_0x23189e,_0x5ebf8d[_0x381574(0x19c7)]),_0x21aa01[_0x381574(0x1ada)][_0x381574(0x16b4)]({'filter':_0x17b990})['$promise'];})[_0x3a16aa(0x146b)](function(_0x1140a4){const _0x2d3a68=_0x3a16aa;_0x23189e=_0x3f65c0()['concat'](_0x23189e,_0x3f65c0()[_0x2d3a68(0x1dd6)](_0x1140a4[_0x2d3a68(0x19c7)],function(_0x481d6f){const _0x690bcb=_0x2d3a68;return!_0x481d6f['MailAccountId']&&!_0x481d6f['FaxAccountId']&&!_0x481d6f[_0x690bcb(0x1481)]&&!_0x481d6f[_0x690bcb(0x158a)]&&!_0x481d6f[_0x690bcb(0x534)]&&!_0x481d6f['ChatWebsiteId'];})),_0x3990d6[_0x2d3a68(0x2922)](_0x23189e);})['catch'](function(_0x23d761){const _0x50c226=_0x3a16aa;_0x3990d6[_0x50c226(0xa6f)](_0x23d761);}),_0x3990d6['promise'];}function _0x47756e(_0x6a8ccc,_0x48bd03){const _0x2af918=_0x1da86e;try{_0x6f1d61[_0x2af918(0x13a3)]&&!_0x6f1d61[_0x2af918(0x13a3)][_0x2af918(0x2c5)]&&_0x17bccc[_0x2af918(0x2870)]['$parent'][_0x2af918(0x2870)]['vm'][_0x2af918(0xd14)](_0x48bd03||_0x6f1d61[_0x2af918(0x1662)]);}catch(_0x576e34){_0x2bf50f[_0x2af918(0x1980)]({'title':'ERROR\x20OPEN\x20CONTACT','msg':'Unable\x20to\x20open\x20the\x20contact'});}}function _0x9e407e(_0xec4e4a,_0x3455da){const _0x67a4ad=_0x1da86e;try{if(_0x3455da[_0x67a4ad(0x658)])return _0x17bccc[_0x67a4ad(0x2870)][_0x67a4ad(0x2870)][_0x67a4ad(0x2870)]['vm'][_0x67a4ad(0x2614)]('mail',_0x3455da);else{if(_0x3455da[_0x67a4ad(0x1d92)])return _0x17bccc['$parent'][_0x67a4ad(0x2870)][_0x67a4ad(0x2870)]['vm'][_0x67a4ad(0x2614)]('chat',_0x3455da);else{if(_0x3455da[_0x67a4ad(0x1481)])return _0x17bccc[_0x67a4ad(0x2870)]['$parent']['$parent']['vm'][_0x67a4ad(0x2614)](_0x67a4ad(0x929),_0x3455da);else{if(_0x3455da[_0x67a4ad(0x158a)])return _0x17bccc[_0x67a4ad(0x2870)]['$parent'][_0x67a4ad(0x2870)]['vm']['addInteractionTab'](_0x67a4ad(0xff9),_0x3455da);else{if(_0x3455da[_0x67a4ad(0x534)])return _0x17bccc[_0x67a4ad(0x2870)][_0x67a4ad(0x2870)]['$parent']['vm'][_0x67a4ad(0x2614)](_0x67a4ad(0x7d9),_0x3455da);else{if(_0x3455da[_0x67a4ad(0x74d)])return _0x17bccc[_0x67a4ad(0x2870)]['$parent'][_0x67a4ad(0x2870)]['vm'][_0x67a4ad(0x2614)](_0x67a4ad(0x22d9),_0x3455da);}}}}}}catch(_0x12dfca){_0x2bf50f[_0x67a4ad(0x1980)]({'title':'ERROR\x20OPEN\x20INTERACTION','msg':'Customer\x20Journey\x20can\x27t\x20open\x20the\x20interaction'});}}function _0x4c8bf5(){const _0x482bfd=_0x1da86e;_0x443e7a['show']({'controller':'TagInteractionDialogController','controllerAs':'vm','templateUrl':_0x57afc0,'parent':angular[_0x482bfd(0x1853)](_0x10d48a[_0x482bfd(0x2586)]),'clickOutsideToClose':!![],'skipHide':Boolean(_0x6f1d61[_0x482bfd(0x13a3)][_0x482bfd(0x2c5)]),'resolve':{'tags':[_0x482bfd(0x362),function(_0x2391ab){const _0x337be5=_0x482bfd;return _0x2391ab[_0x337be5(0x2922)](_0x337be5(0x2552),{'sort':_0x337be5(0x19eb),'nolimit':!![],'fields':'id,name,color'});}]},'locals':{'channel':_0x6f1d61[_0x482bfd(0x13a3)][_0x482bfd(0x2894)],'interaction':_0x6f1d61['tab']['interaction']}});}function _0xb9e3a5(){const _0x4087e1=_0x1da86e,_0x2cabea=_0x6f1d61[_0x4087e1(0xe7b)][_0x4087e1(0x211c)]||_0x6f1d61[_0x4087e1(0xe7b)][_0x4087e1(0x5f7)]||_0x6f1d61['user']['mailPause']||_0x6f1d61[_0x4087e1(0xe7b)][_0x4087e1(0xcc5)]||_0x6f1d61['user'][_0x4087e1(0x25c4)],_0x13bbf9=!_0x6f1d61[_0x4087e1(0x13a3)][_0x4087e1(0xe80)][_0x4087e1(0xb48)][_0x4087e1(0xc9e)];_0x443e7a[_0x4087e1(0x2615)]({'controller':_0x4087e1(0xe23),'controllerAs':'vm','templateUrl':_0x56fd44,'parent':angular[_0x4087e1(0x1853)](_0x10d48a['body']),'clickOutsideToClose':_0x13bbf9,'escapeToClose':_0x13bbf9,'onRemoving':function(){const _0x4d957a=_0x4087e1;_0x6f1d61[_0x4d957a(0xe7b)]&&_0x6f1d61['interaction']['Account'][_0x4d957a(0xc9e)]&&!_0x2cabea&&_0x21aa01['user'][_0x4d957a(0x974)]({'id':_0x6f1d61[_0x4d957a(0xe7b)]['id']})[_0x4d957a(0x2945)]['catch'](function(_0x1f8583){const _0x459f46=_0x4d957a;console[_0x459f46(0x1980)](_0x1f8583);});},'locals':{'user':_0x6f1d61['user'],'channel':_0x6f1d61[_0x4087e1(0x13a3)][_0x4087e1(0x2894)],'interaction':_0x6f1d61[_0x4087e1(0x13a3)][_0x4087e1(0xe80)]}})['then'](function(_0x14e824){const _0x432242=_0x4087e1;_0x6f1d61[_0x432242(0x13a3)][_0x432242(0x1a2e)]=Boolean(_0x14e824),_0x14e824&&_0x17bccc[_0x432242(0x2870)]['$parent'][_0x432242(0x2870)]['vm'][_0x432242(0x17ff)](_0x6f1d61['tab']);});}function _0x3d4f4a(_0x347331,_0x5b4d26){const _0x508f7c=_0x1da86e;_0x6f1d61[_0x508f7c(0x13a3)][_0x508f7c(0x7fd)]=_0x5b4d26,_0x443e7a[_0x508f7c(0x2615)]({'controller':_0x508f7c(0x1faa),'controllerAs':'vm','templateUrl':_0x379013,'parent':angular[_0x508f7c(0x1853)](_0x10d48a[_0x508f7c(0x2586)]),'clickOutsideToClose':![],'locals':{'account':_0x6f1d61[_0x508f7c(0x1f8e)],'message':_0x6f1d61[_0x508f7c(0x13a3)][_0x508f7c(0x7fd)],'type':_0x347331,'interaction':_0x6f1d61['interaction']},'fullscreen':!![],'skipHide':Boolean(_0x6f1d61['tab'][_0x508f7c(0x2c5)])})[_0x508f7c(0x146b)](function(_0x1c1f96){const _0x26a717=_0x508f7c;if(_0x1c1f96){if(_0x1c1f96[_0x26a717(0x7fd)]&&_0x1c1f96[_0x26a717(0x7fd)][_0x26a717(0x1703)])_0x6f1d61[_0x26a717(0x1d96)]?_0x9d3764[_0x26a717(0x18e1)]({'id':_0x6f1d61[_0x26a717(0x1d96)]['id'],'channel':_0x26a717(0x56b),'account':_0x6f1d61['account'],'message':_0x1c1f96['message'],'contact':_0x6f1d61[_0x26a717(0x1662)],'interaction':_0x6f1d61[_0x26a717(0xe80)]}):_0x9d3764[_0x26a717(0x387)]({'channel':_0x26a717(0x56b),'account':_0x6f1d61[_0x26a717(0x1f8e)],'message':_0x1c1f96[_0x26a717(0x7fd)],'contact':_0x6f1d61[_0x26a717(0x1662)],'interaction':_0x6f1d61['interaction']}),_0x6f1d61[_0x26a717(0x1d96)]=_0x9d3764['getByInteraction'](_0x6f1d61[_0x26a717(0x13a3)]['interaction']['id']);else{const _0x381ca2=_0x1c1f96;_0x381ca2&&_0xeee395(_0x381ca2),_0x381ca2[_0x26a717(0x2297)]&&_0x6f1d61[_0x26a717(0xe80)]&&_0x6f1d61[_0x26a717(0xe80)]['id']&&(_0x381ca2[_0x26a717(0x2297)]['id']=_0x6f1d61[_0x26a717(0xe80)]['id'],_0x21aa01[_0x26a717(0x3c7)]['update'](_0x381ca2[_0x26a717(0x2297)])[_0x26a717(0x2945)][_0x26a717(0x146b)](function(_0xfac7f0){const _0x304aa0=_0x26a717;if(_0xfac7f0&&_0xfac7f0[_0x304aa0(0x1943)])_0x6f1d61[_0x304aa0(0x13a3)][_0x304aa0(0x1a2e)]=!![],_0x6f1d61[_0x304aa0(0xe80)]['closed']=!![],_0xb9e3a5();else _0x381ca2['Interaction'][_0x304aa0(0x100d)]!==null&&(_0x6f1d61[_0x304aa0(0x13a3)][_0x304aa0(0x1a2e)]=!![],_0x6f1d61['tab']['interaction'][_0x304aa0(0x100d)]=_0x381ca2['Interaction']['substatus'],_0x17bccc[_0x304aa0(0x2870)][_0x304aa0(0x2870)][_0x304aa0(0x2870)]['vm'][_0x304aa0(0x17ff)](_0x6f1d61[_0x304aa0(0x13a3)]));})[_0x26a717(0x129e)](function(_0x423eb3){console['error'](_0x423eb3);}));}}})[_0x508f7c(0x1ec6)](function(){const _0x3b5617=_0x508f7c;_0x6f1d61[_0x3b5617(0x13a3)]['isDraft']=![];});}function _0x29fd93(_0x2e9b91,_0x476a87){const _0x6e4cd4=_0x1da86e;if(_0x476a87[_0x6e4cd4(0x24e0)]){const _0xcdb206=_0x3f65c0()[_0x6e4cd4(0xc84)](_0x6f1d61[_0x6e4cd4(0x8ac)][_0x6e4cd4(0x19c7)],{'messageId':_0x476a87['inReplyTo']});if(_0xcdb206)_0x3d4f4a(_0x2e9b91,_0xcdb206);else return _0x21aa01[_0x6e4cd4(0x213)]['get']({'messageId':_0x476a87[_0x6e4cd4(0x24e0)]})[_0x6e4cd4(0x2945)][_0x6e4cd4(0x146b)](function(_0x3b518a){const _0x2f0740=_0x6e4cd4;_0x3d4f4a(_0x2e9b91,_0x3b518a&&_0x3b518a['count']?_0x3b518a[_0x2f0740(0x19c7)][0x0]:undefined);})[_0x6e4cd4(0x129e)](function(_0x12efb3){console['error'](_0x12efb3),_0x3d4f4a(_0x2e9b91,undefined);});}else _0x3d4f4a(_0x2e9b91,_0x476a87);}function _0x83d3da(_0x29518f){const _0x210176=_0x1da86e,_0x496191={'to':[]};_0x496191['to'][_0x210176(0x1f47)](_0x6f1d61[_0x210176(0x1662)][_0x210176(0x22d9)]),_0x443e7a[_0x210176(0x2615)]({'controller':_0x210176(0x1c90),'controllerAs':'vm','templateUrl':_0x47122e,'parent':angular[_0x210176(0x1853)](_0x10d48a[_0x210176(0x2586)]),'clickOutsideToClose':![],'locals':{'account':_0x6f1d61[_0x210176(0x1f8e)],'message':_0x496191,'type':_0x29518f},'fullscreen':!![]})[_0x210176(0x146b)](function(_0x243417){const _0x3e5833=_0x210176;return _0x21aa01[_0x3e5833(0x178)][_0x3e5833(0x1443)]({'id':_0x6f1d61['account']['id']},_0x243417)[_0x3e5833(0x2945)][_0x3e5833(0x146b)](function(_0x53e8b0){const _0x578134=_0x3e5833;_0x2bf50f[_0x578134(0x1c75)]({'title':'Message\x20properly\x20sent!','msg':_0x578134(0x54f)}),_0x17bccc[_0x578134(0x2870)]['vm'][_0x578134(0x2614)]('fax',_0x53e8b0);})[_0x3e5833(0x129e)](function(_0x44d808){const _0x566422=_0x3e5833;if(_0x44d808[_0x566422(0x524)]&&_0x44d808[_0x566422(0x524)][_0x566422(0xcef)]&&_0x44d808[_0x566422(0x524)][_0x566422(0xcef)][_0x566422(0x402)])for(let _0x5dc323=0x0;_0x5dc323<_0x44d808[_0x566422(0x524)][_0x566422(0xcef)][_0x566422(0x402)];_0x5dc323++){_0x2bf50f[_0x566422(0x1980)]({'title':_0x44d808['data'][_0x566422(0xcef)][_0x5dc323][_0x566422(0x1142)],'msg':_0x44d808[_0x566422(0x524)]['errors'][_0x5dc323][_0x566422(0x7fd)]});}else _0x2bf50f[_0x566422(0x1980)]({'title':_0x566422(0x1c02),'msg':_0x44d808[_0x566422(0x524)]?JSON[_0x566422(0x10bb)](_0x44d808[_0x566422(0x524)]):_0x44d808[_0x566422(0x7fd)]||_0x44d808[_0x566422(0xd5f)]()});});});}function _0x53d091(_0x416a70,_0x563354){const _0x1c63c3=_0x1da86e;_0x443e7a[_0x1c63c3(0x2615)]({'controller':_0x1c63c3(0x1d08),'controllerAs':'vm','templateUrl':_0x32f327,'parent':angular['element'](_0x10d48a[_0x1c63c3(0x2586)]),'clickOutsideToClose':![],'locals':{'account':_0x6f1d61['account'],'message':_0x563354,'type':_0x416a70},'fullscreen':!![],'skipHide':Boolean(_0x6f1d61[_0x1c63c3(0x13a3)][_0x1c63c3(0x2c5)])})[_0x1c63c3(0x146b)](function(_0x39f1d2){_0x39f1d2&&_0xeee395(_0x39f1d2);});}function _0x545173(_0x2d47b2,_0x3cc751){const _0x6bdbae=_0x1da86e;_0x443e7a['show']({'controller':_0x6bdbae(0x268d),'controllerAs':'vm','templateUrl':_0xeb78a6,'parent':angular[_0x6bdbae(0x1853)](_0x10d48a[_0x6bdbae(0x2586)]),'clickOutsideToClose':![],'locals':{'account':_0x6f1d61['account'],'message':_0x3cc751,'type':_0x2d47b2},'fullscreen':!![],'skipHide':Boolean(_0x6f1d61[_0x6bdbae(0x13a3)]['spy'])})['then'](function(_0x2f0aca){_0x2f0aca&&_0xeee395(_0x2f0aca);});}function _0x1f8c6b(){const _0x45f829=_0x1da86e;if(_0x6f1d61[_0x45f829(0xe80)]){const _0x273270=_0x3f65c0()[_0x45f829(0x2198)](_0x6f1d61[_0x45f829(0x8ac)][_0x45f829(0x19c7)],function(_0x4ecf1f){const _0x2282c5=_0x45f829;return _0x4ecf1f[_0x2282c5(0x26cc)]==='in';});_0x273270?_0x443e7a[_0x45f829(0x2615)]({'controller':_0x45f829(0x116e),'controllerAs':'vm','templateUrl':_0x5c9cbe,'parent':angular[_0x45f829(0x1853)](_0x10d48a[_0x45f829(0x2586)]),'clickOutsideToClose':![],'locals':{'account':_0x6f1d61[_0x45f829(0x1f8e)],'interaction':_0x6f1d61[_0x45f829(0xe80)],'message':_0x273270,'channel':_0x6f1d61[_0x45f829(0x13a3)][_0x45f829(0x2894)]}})[_0x45f829(0x146b)](function(_0x15aa56){const _0x5bcf29=_0x45f829;_0x6f1d61[_0x5bcf29(0x13a3)][_0x5bcf29(0x1a2e)]=Boolean(_0x15aa56),_0x15aa56&&_0x17bccc['$parent']['$parent'][_0x5bcf29(0x2870)]['vm'][_0x5bcf29(0x17ff)](_0x6f1d61[_0x5bcf29(0x13a3)]);}):_0x2bf50f[_0x45f829(0x1980)]({'title':'Invalid\x20transfer','msg':'Message\x20not\x20found!'});}}function _0x3da26e(_0x310834){const _0x38b448=_0x1da86e,_0x154805={},_0x447b56=_0x6f1d61[_0x38b448(0x13a3)][_0x38b448(0x2894)]===_0x38b448(0xa7f)?_0x38b448(0x1d92):_0x3f65c0()[_0x38b448(0x83f)](_0x6f1d61[_0x38b448(0x13a3)]['channel'])+_0x38b448(0x348);return _0x154805[_0x447b56]=_0x6f1d61[_0x38b448(0xe80)][_0x447b56][_0x38b448(0xd5f)](),_0x154805[_0x3f65c0()[_0x38b448(0x83f)](_0x6f1d61[_0x38b448(0x13a3)]['channel'])+_0x38b448(0x14ad)]=_0x6f1d61[_0x38b448(0xe80)]['id'],(_0x6f1d61['tab'][_0x38b448(0x2894)]===_0x38b448(0x929)||_0x6f1d61['tab'][_0x38b448(0x2894)]===_0x38b448(0xff9))&&(_0x154805['phone']=_0x6f1d61[_0x38b448(0xe80)][_0x38b448(0x1d55)]),_0x310834&&(_0x154805[_0x38b448(0xea2)]=_0x6f1d61[_0x38b448(0xe7b)]['id'],_0x154805[_0x38b448(0x932)]=_0x6f1d61[_0x38b448(0xe80)][_0x38b448(0x932)],_0x154805[_0x38b448(0x2586)]=_0x310834),_0x154805;}function _0x53ec74(_0xbdaa07){const _0x4c6fd2=_0x1da86e;if(_0xbdaa07['size']>_0x4f2773)return _0x2bf50f[_0x4c6fd2(0x1980)]({'title':_0x4c6fd2(0x625),'msg':_0x4c6fd2(0x923)+_0x2c9e34+'MB'}),![];return!![];}function _0x2ccd03(_0x3a0a80,_0x2abf89){const _0x62c217=_0x1da86e,_0x2566c8=JSON[_0x62c217(0x975)](_0x2abf89);let _0x15e069=_0x2566c8[_0x62c217(0x19eb)];_0x6f1d61['tab'][_0x62c217(0x2894)]==='chat'&&(_0x15e069=_0x62c217(0x61d)+(_0x6f1d61[_0x62c217(0x1f8e)][_0x62c217(0xc75)]?_0x6f1d61[_0x62c217(0x1f8e)]['remote']:_0x36656a[_0x62c217(0x2414)]()+'://'+_0x36656a[_0x62c217(0x148e)]())+_0x62c217(0x2364)+_0x6f1d61['interaction']['id']+_0x62c217(0x2255)+_0x2566c8['id']+_0x62c217(0x48d)+_0x6f1d61[_0x62c217(0x1f8e)][_0x62c217(0x1957)]+_0x62c217(0x422)+_0x2566c8[_0x62c217(0x19eb)]+_0x62c217(0x1484)),_0xeee395({'body':_0x15e069,'AttachmentId':_0x2566c8['id']});}function _0x4bf587(){const _0x2a43fc=_0x1da86e;_0x6f1d61[_0x2a43fc(0x1376)][_0x2a43fc(0x963)][_0x2a43fc(0x1da1)]['headers']={'X-Requested-With':'XMLHttpRequest','Authorization':_0x2a43fc(0x2745)+_0x9255f0[_0x2a43fc(0x16b4)]('motion.token')},_0x6f1d61[_0x2a43fc(0x1376)]['flow'][_0x2a43fc(0x1dc6)]();}function _0x1b9f80(_0xd731ed,_0x2c5d37){const _0x4b00c0=_0x1da86e;if(_0xd731ed&&_0xd731ed[_0x4b00c0(0x1252)]===0xd&&_0xd731ed[_0x4b00c0(0x863)])return;if(_0xd731ed&&_0xd731ed[_0x4b00c0(0x1252)]!==0xd)return;if(_0x2c5d37[_0x4b00c0(0x15a3)]()==='')return;_0xeee395(_0x3da26e(_0x2c5d37[_0x4b00c0(0x5f4)](/\n$/,'')));}function _0x28d33f(){const _0x46962a=_0x1da86e;_0x6f1d61[_0x46962a(0x13a3)][_0x46962a(0x2894)]!=='mail'&&_0x28db6b(function(){const _0x392a26=_0x46962a,_0x1f1792=angular[_0x392a26(0x1853)](_0x10d48a[_0x392a26(0xc84)](_0x392a26(0x27d9)+_0x6f1d61[_0x392a26(0x13a3)]['id']+'\x27]'));_0x1f1792&&_0x1f1792[0x0]&&(_0x1f1792[0x0][_0x392a26(0xa49)]=_0x1f1792[0x0]['scrollHeight']);},0x0);}function _0x2d5b2f(_0x71e872){const _0x1d8fb7=_0x1da86e,_0xcad54e='loading...';if(_0x71e872){if(_0x71e872[_0x1d8fb7(0x26cc)]==='in')return _0x71e872[_0x1d8fb7(0x364)]?_0x71e872[_0x1d8fb7(0x364)][_0x1d8fb7(0x1ed4)]||'Anonymous':_0xcad54e;else{if(_0x71e872[_0x1d8fb7(0xea2)])return _0x6f1d61[_0x1d8fb7(0xa3e)]?_0x6f1d61[_0x1d8fb7(0xa3e)][_0x71e872[_0x1d8fb7(0xea2)]]:_0xcad54e;}return _0x1d8fb7(0x1c62)||0x0;}}function _0x415ea7(_0x1b7861,_0x40cc4e){const _0x1ea853=_0x1da86e;_0x6f1d61['tab'][_0x1ea853(0x2894)]&&_0x1b7861['id']&&_0x21aa01[_0x6f1d61['tab'][_0x1ea853(0x2894)]+'Message']['download']({'id':_0x1b7861['id'],'attachments':_0x40cc4e})[_0x1ea853(0x2945)]['then'](function(_0x281aee){const _0x24c2d3=_0x1ea853,_0x4371fb=[_0x281aee[_0x24c2d3(0x2eb)]];let _0x523c3c=_0x24c2d3(0x15b1);const _0xc6aece=new Blob(_0x4371fb,{'type':_0x281aee['type']});_0x523c3c=_0x6f1d61['tab'][_0x24c2d3(0x2894)]+_0x24c2d3(0x2123)+_0x1b7861['id']+_0x24c2d3(0x14de);const _0x179a2a=window[_0x24c2d3(0xef3)][_0x24c2d3(0x24ec)]('a');_0x179a2a['setAttribute'](_0x24c2d3(0x1b3c),URL['createObjectURL'](_0xc6aece)),_0x179a2a[_0x24c2d3(0x1652)]('download',_0x523c3c),document[_0x24c2d3(0x2586)][_0x24c2d3(0x23e)](_0x179a2a),_0x179a2a[_0x24c2d3(0x1fa5)]();})[_0x1ea853(0x129e)](function(_0x4f152b){const _0x21b19d=_0x1ea853;if(_0x4f152b[_0x21b19d(0x524)]&&_0x4f152b[_0x21b19d(0x524)][_0x21b19d(0xcef)]&&_0x4f152b['data'][_0x21b19d(0xcef)][_0x21b19d(0x402)])for(let _0x168f88=0x0;_0x168f88<_0x4f152b[_0x21b19d(0x524)]['errors'][_0x21b19d(0x402)];_0x168f88+=0x1){_0x2bf50f['error']({'title':_0x4f152b['data']['errors'][_0x168f88][_0x21b19d(0x1142)],'msg':_0x4f152b[_0x21b19d(0x524)][_0x21b19d(0xcef)][_0x168f88][_0x21b19d(0x7fd)]});}else _0x2bf50f['error']({'title':_0x4f152b['status']?'API:'+_0x4f152b[_0x21b19d(0x107b)]+_0x21b19d(0x1315)+_0x4f152b[_0x21b19d(0x167f)]:'api.downloadMessage.get','msg':_0x4f152b[_0x21b19d(0x524)]?JSON['stringify'](_0x4f152b[_0x21b19d(0x524)][_0x21b19d(0x7fd)]):_0x4f152b['toString']()});});}function _0x438276(_0x2f44c7,_0x39cbfd){const _0x60d39d=_0x1da86e;_0x6f1d61[_0x60d39d(0x13a3)][_0x60d39d(0x2894)]&&_0x2f44c7['id']&&_0x21aa01[_0x6f1d61['tab'][_0x60d39d(0x2894)]+_0x60d39d(0x2297)][_0x60d39d(0x15b1)]({'id':_0x2f44c7['id'],'attachments':_0x39cbfd})['$promise'][_0x60d39d(0x146b)](function(_0x1cf1ea){const _0xae2911=_0x60d39d,_0x177136=[_0x1cf1ea[_0xae2911(0x2eb)]],_0x405045=_0x6f1d61[_0xae2911(0x13a3)][_0xae2911(0x2894)]+_0xae2911(0x1c78)+_0x2f44c7['id']+_0xae2911(0xf04),_0x456e70=new Blob(_0x177136,{'type':_0x1cf1ea[_0xae2911(0x1142)]}),_0x523278=window[_0xae2911(0xef3)]['createElement']('a');_0x523278[_0xae2911(0x1652)](_0xae2911(0x1b3c),URL['createObjectURL'](_0x456e70)),_0x523278[_0xae2911(0x1652)](_0xae2911(0x15b1),_0x405045),document[_0xae2911(0x2586)][_0xae2911(0x23e)](_0x523278),_0x523278[_0xae2911(0x1fa5)]();})[_0x60d39d(0x129e)](function(_0x256ecb){const _0x182ab6=_0x60d39d;if(_0x256ecb[_0x182ab6(0x524)]&&_0x256ecb[_0x182ab6(0x524)][_0x182ab6(0xcef)]&&_0x256ecb[_0x182ab6(0x524)][_0x182ab6(0xcef)]['length'])for(let _0x3713d5=0x0;_0x3713d5<_0x256ecb[_0x182ab6(0x524)][_0x182ab6(0xcef)]['length'];_0x3713d5+=0x1){_0x2bf50f[_0x182ab6(0x1980)]({'title':_0x256ecb['data'][_0x182ab6(0xcef)][_0x3713d5][_0x182ab6(0x1142)],'msg':_0x256ecb['data'][_0x182ab6(0xcef)][_0x3713d5][_0x182ab6(0x7fd)]});}else _0x2bf50f[_0x182ab6(0x1980)]({'title':_0x256ecb[_0x182ab6(0x107b)]?_0x182ab6(0x262a)+_0x256ecb[_0x182ab6(0x107b)]+_0x182ab6(0x1315)+_0x256ecb[_0x182ab6(0x167f)]:_0x182ab6(0x1990),'msg':_0x256ecb[_0x182ab6(0x524)]?JSON[_0x182ab6(0x10bb)](_0x256ecb[_0x182ab6(0x524)][_0x182ab6(0x7fd)]):_0x256ecb[_0x182ab6(0xd5f)]()});});}function _0xeee395(_0x29f43d){const _0x3d2ee3=_0x1da86e;if(_0x29f43d)return _0x29f43d[_0x6f1d61[_0x3d2ee3(0x13a3)][_0x3d2ee3(0x2894)]==='chat'?'ChatWebsiteId':_0x3f65c0()[_0x3d2ee3(0x83f)](_0x6f1d61[_0x3d2ee3(0x13a3)][_0x3d2ee3(0x2894)])+_0x3d2ee3(0x348)]=_0x6f1d61[_0x3d2ee3(0xe80)][_0x6f1d61['tab']['channel']===_0x3d2ee3(0xa7f)?_0x3d2ee3(0x1d92):_0x3f65c0()[_0x3d2ee3(0x83f)](_0x6f1d61[_0x3d2ee3(0x13a3)][_0x3d2ee3(0x2894)])+_0x3d2ee3(0x348)],_0x29f43d[_0x3f65c0()[_0x3d2ee3(0x83f)](_0x6f1d61[_0x3d2ee3(0x13a3)][_0x3d2ee3(0x2894)])+_0x3d2ee3(0x14ad)]=_0x6f1d61[_0x3d2ee3(0xe80)]['id'],_0x29f43d[_0x3d2ee3(0xea2)]=_0x6f1d61[_0x3d2ee3(0xe7b)]['id'],_0x29f43d['ContactId']=_0x6f1d61[_0x3d2ee3(0xe80)][_0x3d2ee3(0x932)],_0x29f43d[_0x3d2ee3(0x26cc)]=_0x3d2ee3(0x147f),_0x29f43d[_0x3d2ee3(0xaf0)]=Boolean(_0x6f1d61['tab'][_0x3d2ee3(0x2c5)]||_0x29f43d[_0x3d2ee3(0xaf0)]),_0x21aa01[_0x6f1d61[_0x3d2ee3(0x13a3)][_0x3d2ee3(0x2894)]+_0x3d2ee3(0xe2)][_0x3d2ee3(0x1e3)](_0x29f43d)[_0x3d2ee3(0x2945)][_0x3d2ee3(0x146b)](function(_0x47d2ac){const _0x5911e9=_0x3d2ee3;if(_0x47d2ac&&_0x47d2ac['id']){if(!_0x3f65c0()[_0x5911e9(0x2635)](_0x29f43d[_0x5911e9(0x2644)])){const _0x171dfd=[];for(let _0x5bd31b=0x0;_0x5bd31b<_0x29f43d[_0x5911e9(0x2644)][_0x5911e9(0x402)];_0x5bd31b++){const _0x1bbd23=_0x29f43d[_0x5911e9(0x2644)][_0x5bd31b];_0x1bbd23[_0x5911e9(0x1c05)]?_0x171dfd['push'](_0x21aa01['attachment'][_0x5911e9(0x12cc)]({'id':_0x1bbd23['id']},{'name':_0x1bbd23['name'],'basename':_0x1bbd23[_0x5911e9(0x17af)],'type':_0x1bbd23[_0x5911e9(0x1142)],'MailMessageId':_0x47d2ac['id']})[_0x5911e9(0x2945)]):_0x171dfd[_0x5911e9(0x1f47)](_0x21aa01[_0x5911e9(0x2527)][_0x5911e9(0x18e1)]({'id':_0x1bbd23['id']},{'MailMessageId':_0x47d2ac['id']})[_0x5911e9(0x2945)]);}return _0x4afbf4[_0x5911e9(0x1be2)](_0x171dfd);}}})[_0x3d2ee3(0x129e)](function(_0x3da448){const _0x118633=_0x3d2ee3;_0x2bf50f[_0x118633(0x1980)]({'title':_0x3da448[_0x118633(0x107b)]?_0x118633(0x262a)+_0x3da448[_0x118633(0x107b)]+_0x118633(0x1315)+_0x3da448[_0x118633(0x167f)]:_0x118633(0xf9f),'msg':_0x3da448[_0x118633(0x524)]?JSON[_0x118633(0x10bb)](_0x3da448['data']):_0x3da448[_0x118633(0xd5f)]()});})[_0x3d2ee3(0x1ec6)](function(){const _0x5a23e1=_0x3d2ee3;_0x6f1d61[_0x5a23e1(0x13a3)][_0x5a23e1(0x2894)]===_0x5a23e1(0x56b)&&(_0x29f43d['isDraft']&&(_0x9d3764['removeByInteraction'](_0x6f1d61[_0x5a23e1(0xe80)]['id']),_0x6f1d61[_0x5a23e1(0x1d96)]=null)),_0x6f1d61['tab']['areaText']='',_0x28d33f();});}function _0x585fbc(_0x3c46e4){const _0xfb95c5=_0x1da86e;_0x6f1d61[_0xfb95c5(0x13a3)][_0xfb95c5(0x2894)]&&_0x6f1d61[_0xfb95c5(0xe80)]&&_0x6f1d61[_0xfb95c5(0xe80)]['id']&&_0x21aa01[_0x6f1d61[_0xfb95c5(0x13a3)]['channel']+_0xfb95c5(0x2297)][_0xfb95c5(0x18e1)]({'id':_0x6f1d61[_0xfb95c5(0xe80)]['id'],'substatus':_0x3c46e4})[_0xfb95c5(0x2945)][_0xfb95c5(0x129e)](function(_0x3b12fa){const _0x1d21f4=_0xfb95c5;console[_0x1d21f4(0x1980)](_0x3b12fa);});}function _0x33a5a0(){const _0x55dc53=_0x1da86e;if(_0x6f1d61['tab'][_0x55dc53(0x2894)]&&_0x6f1d61[_0x55dc53(0xe80)]&&_0x6f1d61[_0x55dc53(0xe80)]['id']){if(_0x6f1d61[_0x55dc53(0xb5e)][_0x55dc53(0x402)])for(let _0xe58b68=0x0;_0xe58b68<_0x6f1d61['acceptedMessages'][_0x55dc53(0x402)];_0xe58b68+=0x1){_0x21aa01[_0x6f1d61[_0x55dc53(0x13a3)]['channel']+'Message']['update']({'id':_0x6f1d61[_0x55dc53(0xb5e)][_0xe58b68],'read':![],'UserId':null});}else for(let _0x1ee057=0x0;_0x1ee057<_0x6f1d61['messages']['rows']['length'];_0x1ee057+=0x1){if(_0x6f1d61['messages']['rows'][_0x1ee057]['direction']==='in'){_0x6f1d61[_0x55dc53(0x8ac)]['rows'][_0x1ee057][_0x55dc53(0xea2)]===_0x6f1d61['user']['id']?_0x21aa01[_0x6f1d61[_0x55dc53(0x13a3)][_0x55dc53(0x2894)]+_0x55dc53(0xe2)][_0x55dc53(0x18e1)]({'id':_0x6f1d61[_0x55dc53(0x8ac)][_0x55dc53(0x19c7)][_0x1ee057]['id'],'read':![],'UserId':null}):_0x2bf50f[_0x55dc53(0x1980)]({'title':_0x55dc53(0xf32),'msg':_0x55dc53(0x130b)});break;}}}_0x6f1d61[_0x55dc53(0x13a3)][_0x55dc53(0x1a2e)]=!![],_0x17bccc['$parent']['$parent'][_0x55dc53(0x2870)]['vm'][_0x55dc53(0x17ff)](_0x6f1d61[_0x55dc53(0x13a3)]);}function _0x26daa7(_0x6904c4){const _0x42503e=_0x1da86e;if(_0x6904c4&&_0x6f1d61['interaction']['id']!==_0x6904c4['id'])return;_0x6904c4[_0x42503e(0x1943)]&&(_0x6f1d61[_0x42503e(0x13a3)][_0x42503e(0x1a2e)]=!![]),_0x3f65c0()[_0x42503e(0x168d)](_0x6f1d61['interaction'],_0x6904c4);}function _0x4c1e76(_0x3fa7c7){const _0x196194=_0x1da86e;if(_0x3fa7c7&&_0x6f1d61[_0x196194(0xe80)]['id']!=_0x3fa7c7[_0x3f65c0()[_0x196194(0x83f)](_0x6f1d61[_0x196194(0x13a3)][_0x196194(0x2894)])+_0x196194(0x14ad)])return;let _0x3b5e96=![];_0x6f1d61[_0x196194(0x8ac)]?_0x3b5e96=_0x3f65c0()['find'](_0x6f1d61[_0x196194(0x8ac)][_0x196194(0x19c7)],{'id':_0x3fa7c7['id']}):_0x6f1d61[_0x196194(0x8ac)]={'rows':[],'count':0x0},_0x3b5e96?_0x3f65c0()[_0x196194(0x168d)](_0x3b5e96,_0x3fa7c7):(_0x6f1d61['tab']['channel']===_0x196194(0x56b)?_0x6f1d61['messages']['rows'][_0x196194(0xb3d)](_0x3fa7c7):_0x6f1d61[_0x196194(0x8ac)][_0x196194(0x19c7)][_0x196194(0x1f47)](_0x3fa7c7),_0x28d33f());}function _0xfdf592(_0xa85689){const _0x314ff3=_0x1da86e;switch(_0xa85689){case _0x314ff3(0xa7f):return _0x314ff3(0x1bed);case _0x314ff3(0x56b):return _0x314ff3(0x1c3d);case _0x314ff3(0x929):return _0x314ff3(0x1580);case'whatsapp':return _0x314ff3(0x28bf);case _0x314ff3(0x7d9):return _0x314ff3(0x9b8);case _0x314ff3(0x22d9):return _0x314ff3(0xc0e);default:return _0x314ff3(0x1bed);}}function _0x46aaed(_0x3ffeea){const _0x2d0ce8=_0x1da86e;switch(_0x3ffeea){case _0x2d0ce8(0xa7f):return _0x2d0ce8(0x23da);case'mail':return _0x2d0ce8(0x109e);case _0x2d0ce8(0x929):return _0x2d0ce8(0x2632);case _0x2d0ce8(0x7d9):return _0x2d0ce8(0x154c);case _0x2d0ce8(0x22d9):return _0x2d0ce8(0x276);case _0x2d0ce8(0xff9):return'lime-A700';default:return'blue-A700';}}function _0xdf5660(_0x493655){const _0x169509=_0x1da86e;let _0x351d6e='';if(_0x493655[_0x169509(0x74d)])_0x351d6e=_0x169509(0x22d9);else{if(_0x493655['OpenchannelAccountId'])_0x351d6e=_0x169509(0x7d9);else{if(_0x493655['SmsAccountId'])_0x351d6e='sms';else{if(_0x493655['WhatsappAccountId'])_0x351d6e=_0x169509(0xff9);else{if(_0x493655[_0x169509(0x658)])_0x351d6e=_0x169509(0x56b);else{if(_0x493655[_0x169509(0x1d92)])_0x351d6e=_0x169509(0xa7f);else _0x493655[_0x169509(0xcbf)]&&(_0x351d6e=_0x169509(0xe6));}}}}}return _0x46aaed(_0x351d6e);}function _0x184d6f(_0x45da30){const _0x357699=_0x1da86e;if(_0x45da30['secret']&&_0x6f1d61[_0x357699(0xe7b)]['id']!=_0x45da30[_0x357699(0xea2)])return'in';switch(_0x6f1d61[_0x357699(0x15b9)]['messagesAlignment']){case _0x357699(0x25f5):if(_0x45da30['direction']=='in')return _0x45da30[_0x357699(0x26cc)];return _0x357699(0xaff);case'right':if(_0x45da30[_0x357699(0x26cc)]=='in')return _0x357699(0x1568);return _0x45da30[_0x357699(0x26cc)];default:return _0x45da30[_0x357699(0x26cc)];}}function _0x26500f(){const _0x3f2230=_0x1da86e;_0x6f1d61[_0x3f2230(0x14ae)]=!_0x6f1d61['displayCannedAnswerInput'];}function _0x3b7ae6(_0x1e2292){const _0x4935f9=_0x1da86e;return _0x21aa01[_0x4935f9(0x2527)]['get']({'MailMessageId':_0x1e2292['id']})[_0x4935f9(0x2945)]['then'](function(_0x265c32){const _0x4ce132=_0x4935f9;_0x265c32&&_0x265c32[_0x4ce132(0x19c7)]&&(_0x1e2292[_0x4ce132(0x2644)]=_0x265c32[_0x4ce132(0x19c7)]);})[_0x4935f9(0x129e)](function(_0x1129f2){const _0xec94ce=_0x4935f9;_0x2bf50f['error']({'title':_0x1129f2['status']?_0xec94ce(0x262a)+_0x1129f2['status']+_0xec94ce(0x1315)+_0x1129f2[_0xec94ce(0x167f)]:_0xec94ce(0xa61),'msg':_0x1129f2[_0xec94ce(0x524)]?JSON[_0xec94ce(0x10bb)](_0x1129f2[_0xec94ce(0x524)]):_0x1129f2[_0xec94ce(0xd5f)]()});});}function _0x48c9ae(_0x4ffd80){const _0x5c35af=_0x1da86e;return _0x21aa01[_0x5c35af(0x2527)][_0x5c35af(0x15b1)]({'id':_0x4ffd80['id']})[_0x5c35af(0x2945)]['then'](function(_0x5f162b){const _0xcb8b34=_0x5c35af;if(_0x5f162b){const _0x3e231c=new Blob([_0x5f162b['buffer']],{'type':_0x5f162b[_0xcb8b34(0x1142)]}),_0x4cc414=document[_0xcb8b34(0x24ec)]('a'),_0x41a008=window[_0xcb8b34(0x1227)][_0xcb8b34(0x1c58)](_0x3e231c);_0x4cc414[_0xcb8b34(0x1b3c)]=_0x41a008,_0x4cc414['target']=_0xcb8b34(0x20cb),_0x4cc414[_0xcb8b34(0x15b1)]=_0x4ffd80[_0xcb8b34(0x19eb)],document[_0xcb8b34(0x2586)][_0xcb8b34(0x23e)](_0x4cc414),_0x4cc414['click'](),setTimeout(function(){const _0x72d0a8=_0xcb8b34;document[_0x72d0a8(0x2586)][_0x72d0a8(0x1124)](_0x4cc414),window['URL'][_0x72d0a8(0x2479)](_0x41a008);},0x64);}})[_0x5c35af(0x129e)](function(_0x4344c3){const _0x2c923c=_0x5c35af;_0x2bf50f[_0x2c923c(0x1980)]({'title':_0x4344c3[_0x2c923c(0x107b)]?_0x2c923c(0x262a)+_0x4344c3[_0x2c923c(0x107b)]+_0x2c923c(0x1315)+_0x4344c3[_0x2c923c(0x167f)]:_0x2c923c(0xa61),'msg':_0x4344c3[_0x2c923c(0x524)]?JSON['stringify'](_0x4344c3[_0x2c923c(0x524)]):_0x4344c3['toString']()});});}function _0x4a5f1c(){const _0x309117=_0x1da86e;if(!_0x6f1d61[_0x309117(0x13a3)][_0x309117(0x2c5)]&&!_0x6f1d61[_0x309117(0xe80)][_0x309117(0x1943)])for(let _0x56d816=0x0;_0x56d816<_0x6f1d61[_0x309117(0x8ac)][_0x309117(0x19c7)][_0x309117(0x402)];_0x56d816+=0x1){_0x6f1d61[_0x309117(0x8ac)][_0x309117(0x19c7)][_0x56d816][_0x309117(0x26cc)]==='in'&&!_0x6f1d61[_0x309117(0x8ac)][_0x309117(0x19c7)][_0x56d816][_0x309117(0x229e)]&&(_0x21aa01[_0x6f1d61[_0x309117(0x13a3)][_0x309117(0x2894)]+_0x309117(0xe2)]['accept']({'id':_0x6f1d61[_0x309117(0x8ac)][_0x309117(0x19c7)][_0x56d816]['id'],'UserId':_0x6f1d61['user']['id']}),_0x6f1d61[_0x309117(0xb5e)][_0x309117(0x1f47)](_0x6f1d61[_0x309117(0x8ac)]['rows'][_0x56d816]['id']));}}function _0x1f3af9(_0x523699){const _0x32a808=_0x1da86e;if(!_0x523699[_0x32a808(0x9e1)])return'('+_0x3ddbd0[_0x32a808(0xde)](_0x32a808(0x2693))+')';const _0xb0772b=_0x3f65c0()[_0x32a808(0x10d6)]([_0x523699[_0x32a808(0x9e1)],_0x523699[_0x32a808(0xb5c)],_0x523699[_0x32a808(0x1402)]]);return'('+_0xb0772b[_0x32a808(0xb47)](_0x32a808(0x1315))+')';}function _0x261161(_0x4e1ed8){const _0x4ff2c5=_0x1da86e;_0x4e1ed8&&_0x4e1ed8[_0x4ff2c5(0x51c)]&&_0x4e1ed8[_0x4ff2c5(0x19c7)][_0x4ff2c5(0x402)]&&(_0x6f1d61['journey']=_0x3f65c0()[_0x4ff2c5(0x163c)](_0x6f1d61[_0x4ff2c5(0x21db)],_0x4e1ed8[_0x4ff2c5(0x19c7)]),_0x6f1d61[_0x4ff2c5(0x21db)][_0x4ff2c5(0x1df5)](function(_0xfa71b2){const _0x23d17d=_0x4ff2c5;_0xfa71b2[_0x23d17d(0x472)]=_0x1f3af9(_0xfa71b2);}));}function _0x4bad2d(_0x3d7368){const _0x227acd=_0x1da86e;if(_0x3d7368&&_0x3d7368['id']){for(let _0x16e452=0x0;_0x16e452<_0x6f1d61[_0x227acd(0x449)]['length'];_0x16e452++){_0x21aa01[_0x6f1d61[_0x227acd(0x449)][_0x16e452]+'Interaction'][_0x227acd(0x16b4)]({'ContactId':_0x3d7368['id'],'journey':!![],'includeAll':!![]})[_0x227acd(0x2945)][_0x227acd(0x146b)](_0x261161);}_0x21aa01[_0x227acd(0x629)][_0x227acd(0x16b4)]({'ContactId':_0x3d7368['id'],'includeAll':!![]})[_0x227acd(0x2945)][_0x227acd(0x146b)](_0x261161);}}function _0x29d824(_0x4a90ef){const _0x12abaf=_0x1da86e;return _0x4a90ef?String(_0x4a90ef)[_0x12abaf(0x5f4)](/<[^>]+>/gm,''):'';}function _0x24ec4e(_0x1f97f1){const _0x430179=_0x1da86e;switch(_0x6f1d61[_0x430179(0x13a3)]['channel']){case _0x430179(0x56b):_0x6f1d61[_0x430179(0x1d96)]['content'][_0x430179(0x2c4)]=_0x1f97f1?_0x3f65c0()['find'](_0x6f1d61[_0x430179(0xe80)][_0x430179(0x1c7c)],['id',_0x1f97f1]):_0x6f1d61['interaction'][_0x430179(0x1c7c)][_0x6f1d61[_0x430179(0xe80)][_0x430179(0x1c7c)][_0x430179(0x402)]-0x1],_0x3d4f4a(_0x6f1d61[_0x430179(0x1d96)]['type'],_0x6f1d61['draft'][_0x430179(0x80f)]);break;case _0x430179(0x22d9):break;case'openchannel':break;case'sms':break;case _0x430179(0xff9):break;}}_0x17bccc['$on'](_0x1da86e(0x116f),function(){const _0x312d61=_0x1da86e;_0x2009d1[_0x312d61(0x1c5f)](_0x6f1d61[_0x312d61(0x13a3)][_0x312d61(0x2894)]+_0x312d61(0x142d)),_0x2009d1['removeAllListeners'](_0x6f1d61[_0x312d61(0x13a3)][_0x312d61(0x2894)]+_0x312d61(0x6c7)),_0x2009d1[_0x312d61(0x1c5f)](_0x6f1d61[_0x312d61(0x13a3)][_0x312d61(0x2894)]+_0x312d61(0x28df)),_0x2009d1[_0x312d61(0x1c5f)](_0x6f1d61[_0x312d61(0x13a3)][_0x312d61(0x2894)]+_0x312d61(0x1c22)),_0x2009d1[_0x312d61(0x1c5f)](_0x312d61(0x1b79)+_0x6f1d61[_0x312d61(0x13a3)]['channel']+'_interactions:save'),_0x2009d1[_0x312d61(0x1c5f)](_0x312d61(0x1b79)+_0x6f1d61['tab'][_0x312d61(0x2894)]+_0x312d61(0x1bc0));});function _0x3ee3fb(_0x511ec9){const _0x465149=_0x1da86e,_0x5b676b={'id':_0x511ec9['id']};return _0x21aa01['chatInteraction']['createVidaooSession'](_0x5b676b)[_0x465149(0x2945)][_0x465149(0x146b)](function(){const _0x4c54ee=_0x465149;_0x2bf50f['success']({'title':_0x4c54ee(0x114),'msg':_0x4c54ee(0x1cd8)});})[_0x465149(0x129e)](function(){const _0x5bd519=_0x465149;_0x2bf50f[_0x5bd519(0x1980)]({'title':_0x5bd519(0x114),'msg':_0x5bd519(0xf1a)});});}}function _0x371c1a(_0x16e615){const _0xecdf66=_0x313a4d;return{'scope':{'ngBindHtmlUnsafe':'='},'template':_0xecdf66(0xef7),'link':function(_0x5cd011){const _0x5bfc2b=_0xecdf66;function _0x4964ba(){const _0x43b2d8=a0_0x3bb9,_0x14d69a=_0x43b2d8(0x20ec)+Math[_0x43b2d8(0x19ad)](Math['random']()*0x270f+0x1);return _0x43b2d8(0x4e0)+_0x14d69a+_0x43b2d8(0x1a4f)+_0x14d69a+_0x43b2d8(0x256b);}_0x5cd011['updateView']=function(){const _0x2d1223=a0_0x3bb9,_0xd972ec=_0x3f65c0()[_0x2d1223(0x5f4)](_0x5cd011[_0x2d1223(0x181e)],_0x2d1223(0x9a4),_0x4964ba());_0x5cd011[_0x2d1223(0x19e0)]=_0x16e615[_0x2d1223(0x1d72)](_0xd972ec);},_0x5cd011[_0x5bfc2b(0x21e8)](_0x5bfc2b(0x181e),function(_0x3475c1){const _0x31d73b=_0x5bfc2b;_0x5cd011[_0x31d73b(0xf2a)](_0x3475c1);});}};}function _0x1f5322(){return function(_0xbdd200,_0x4a2853,_0x38d388,_0x4ed616){const _0x169151=a0_0x3bb9,_0x519237=[];for(let _0x2bf82a=0x0;_0x2bf82a<_0xbdd200[_0x169151(0x402)];_0x2bf82a+=0x1){let _0x4b925c=!![];switch(_0x4a2853){case _0x169151(0xe6):_0x4b925c=Boolean(_0xbdd200[_0x2bf82a][_0x169151(0x4f8)]);break;case'chat':_0x4b925c=Boolean(_0xbdd200[_0x2bf82a]['ChatWebsiteId']);break;case _0x169151(0x56b):_0x4b925c=Boolean(_0xbdd200[_0x2bf82a]['MailAccountId']);break;case'sms':_0x4b925c=Boolean(_0xbdd200[_0x2bf82a][_0x169151(0x1481)]);break;case _0x169151(0xff9):_0x4b925c=Boolean(_0xbdd200[_0x2bf82a][_0x169151(0x158a)]);break;case _0x169151(0x22d9):_0x4b925c=Boolean(_0xbdd200[_0x2bf82a]['FaxAccountId']);break;case _0x169151(0x7d9):_0x4b925c=Boolean(_0xbdd200[_0x2bf82a][_0x169151(0x534)]);break;default:_0x4b925c=!![];break;}_0x4b925c&&(_0x38d388&&_0x4ed616&&(_0x4b925c=_0x2deec6()(_0xbdd200[_0x2bf82a][_0x169151(0xc68)])[_0x169151(0xb87)](_0x2deec6()(_0x38d388)['utcOffset'](0x0,!![]),_0x2deec6()(_0x4ed616)[_0x169151(0x65f)](0x0,!![])[_0x169151(0x387)](0x17,_0x169151(0xdfa))['add'](0x3b,_0x169151(0x142))[_0x169151(0x387)](0x3b,'seconds')))),_0x4b925c&&_0x519237[_0x169151(0x1f47)](_0xbdd200[_0x2bf82a]);}return _0x519237;};};function _0x119733(){const _0x14403d=_0x313a4d;return{'watchEmbedData':![],'sanitizeHtml':![],'fontSmiley':![],'emoji':!![],'link':!![],'linkTarget':'_blank','pdf':{'embed':!![]},'image':{'embed':!![]},'audio':{'embed':!![]},'basicVideo':![],'gdevAuth':_0x14403d(0x11ce),'video':{'embed':![],'width':null,'height':null,'ytTheme':'dark','details':![],'thumbnailQuality':_0x14403d(0x772),'autoPlay':!![]},'twitchtvEmbed':!![],'dailymotionEmbed':!![],'tedEmbed':!![],'dotsubEmbed':!![],'liveleakEmbed':!![],'ustreamEmbed':!![],'soundCloudEmbed':!![],'soundCloudOptions':{'height':0xa0,'themeColor':_0x14403d(0x1e6f),'autoPlay':![],'hideRelated':![],'showComments':!![],'showUser':!![],'showReposts':![],'visual':![],'download':![]},'spotifyEmbed':!![],'tweetEmbed':!![],'tweetOptions':{'maxWidth':0x226,'hideMedia':![],'hideThread':![],'align':_0x14403d(0x1642),'lang':'en'},'code':{'highlight':!![],'lineNumbers':![]},'codepenEmbed':!![],'codepenHeight':0x12c,'jsfiddleEmbed':!![],'jsfiddleHeight':0x12c,'jsbinEmbed':!![],'jsbinHeight':0x12c,'plunkerEmbed':!![],'githubgistEmbed':!![],'ideoneEmbed':!![],'ideoneHeight':0x12c};}const _0x3e78fe=_0x119733;;_0xcf98f[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),'$window',_0x313a4d(0x10e8),'$mdConstant',_0x313a4d(0x1f8e),_0x313a4d(0x7fd),_0x313a4d(0x1142),_0x313a4d(0x247f),_0x313a4d(0x1fe4)];function _0xcf98f(_0x30a461,_0x3f8dc4,_0x1964df,_0x599480,_0x1af212,_0x1e9fb8,_0x4fe36c,_0x297009,_0x281d97){const _0x21d473=_0x313a4d,_0x1cfebb=this,_0xa31d84=0x19,_0xd59da5=_0xa31d84*0x400*0x400;_0x1cfebb[_0x21d473(0x20e5)]=[_0x599480[_0x21d473(0x1c3f)][_0x21d473(0xf18)],_0x599480[_0x21d473(0x1c3f)][_0x21d473(0x19b8)],_0x599480['KEY_CODE'][_0x21d473(0x1556)]],_0x1cfebb[_0x21d473(0x7fd)]={'from':_0x1af212['localstationid'],'to':_0x3f65c0()[_0x21d473(0x1b36)](_0x1e9fb8['to'])||_0x3f65c0()[_0x21d473(0x2635)](_0x1e9fb8['to'])?[]:_0x1e9fb8['to'],'attachments':[]},_0x1cfebb[_0x21d473(0x4c3)]={'target':_0x21d473(0x17cb),'singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0xd59da5,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x1cfebb[_0x21d473(0x1376)]={'flow':{}},_0x1cfebb[_0x21d473(0x1443)]=_0x449fc9,_0x1cfebb['upload']=_0x27540d,_0x1cfebb[_0x21d473(0xc4c)]=_0x25bcd4,_0x1cfebb[_0x21d473(0x143d)]=_0x131dda,_0x1cfebb[_0x21d473(0x1d90)]=_0x3387e0,_0x1cfebb[_0x21d473(0x1384)]=_0xd137f,_0x1cfebb[_0x21d473(0x2369)]=_0x5b1de3,_0x1cfebb[_0x21d473(0x13f3)]=_0x38f4d2;function _0x24a4a0(){const _0x3aef53=_0x21d473;_0x1964df[_0x3aef53(0x2458)]({'from':_0x1cfebb[_0x3aef53(0x7fd)]['from'],'to':_0x1cfebb[_0x3aef53(0x7fd)]['to'],'attach':_0x1cfebb[_0x3aef53(0x7fd)]['attachments'][_0x3aef53(0x402)],'attachments':_0x1cfebb['message'][_0x3aef53(0x2644)]});}function _0x449fc9(){const _0xc8bb46=_0x21d473;_0x1cfebb[_0xc8bb46(0x7fd)]['attachments'][_0xc8bb46(0x402)]===0x1?_0x1cfebb[_0xc8bb46(0x7fd)]['to'][_0xc8bb46(0x402)]?(_0x1e9fb8[_0xc8bb46(0x1d96)]=undefined,_0x24a4a0()):_0x281d97[_0xc8bb46(0x1980)](_0xc8bb46(0x611)):_0x281d97[_0xc8bb46(0x1980)]('Attachment\x20is\x20mandatory');}function _0x27540d(){const _0x2d02a5=_0x21d473;_0x1cfebb[_0x2d02a5(0x1376)][_0x2d02a5(0x963)][_0x2d02a5(0x1da1)][_0x2d02a5(0xa01)]={'X-Requested-With':_0x2d02a5(0x1f8f),'Authorization':_0x2d02a5(0x2745)+_0x30a461[_0x2d02a5(0x16b4)]('motion.token')},_0x1cfebb['ngFlow']['flow'][_0x2d02a5(0x1dc6)]();}function _0x131dda(_0x17e1c7){const _0x205328=_0x21d473,_0x2b9ed5=[_0x205328(0x1aab),_0x205328(0x2218)];if(!_0x3f65c0()[_0x205328(0xa4e)](_0x2b9ed5,_0x17e1c7[_0x205328(0x193f)]()))return _0x281d97[_0x205328(0x1980)]({'title':_0x205328(0x4c6)+_0x17e1c7[_0x205328(0x193f)](),'msg':'Supported\x20extensions:\x20'+_0x2b9ed5['join']()}),![];if(_0x17e1c7[_0x205328(0x4cc)]>_0xd59da5)return _0x281d97[_0x205328(0x1980)]({'title':'File\x20too\x20big','msg':'The\x20max\x20allowed\x20size\x20is\x20'+_0xa31d84+'MB'}),![];return!![];}function _0x25bcd4(_0x28c7a0,_0x4a6bb8){const _0x389bdd=_0x21d473;try{_0x4a6bb8=JSON[_0x389bdd(0x975)](_0x4a6bb8),_0x4a6bb8[_0x389bdd(0x4cc)]=_0x28c7a0[_0x389bdd(0x4cc)],_0x1cfebb[_0x389bdd(0x7fd)][_0x389bdd(0x2644)][_0x389bdd(0x1f47)](_0x4a6bb8);}catch(_0x1d2f3e){console[_0x389bdd(0x1980)](_0x1d2f3e);}}function _0x3387e0(_0x4c0693){const _0x25ed48=_0x21d473;let _0x113589='';return _0x4c0693[_0x25ed48(0x1ed4)]&&_0x4c0693[_0x25ed48(0x1ed4)]!==_0x4c0693[_0x25ed48(0x22d9)]&&(_0x113589+=_0x4c0693['firstName']+'\x20'),_0x4c0693[_0x25ed48(0xee4)]&&_0x4c0693[_0x25ed48(0xee4)]!==_0x4c0693[_0x25ed48(0x22d9)]&&(_0x113589+=_0x4c0693[_0x25ed48(0xee4)]+'\x20'),_0x113589+='<'+_0x4c0693['fax']+'>';}function _0x5b1de3(_0x354a52){const _0xe9842d=_0x21d473,_0x3a73a7=_0x354a52;if(_0x3f65c0()[_0xe9842d(0x1a1e)](_0x354a52))return _0x354a52[_0xe9842d(0x22d9)];if(_0x5cc17d(_0x3a73a7))return _0x3a73a7;return _0x281d97[_0xe9842d(0x1980)](_0xe9842d(0x1ae0)+_0x3a73a7+_0xe9842d(0x1cfa)),null;}function _0x5cc17d(_0x5dc444){const _0x57adca=_0x21d473,_0x14e9e9=/[&/\\#,()$~%.'":;*?<>{}\s\\|a-zA-Z\pS!òàùè…_§°çéì-]/;return!_0x14e9e9[_0x57adca(0x1e1e)](_0x5dc444);}function _0xd137f(_0xc10162){const _0x2312bd=_0x21d473;return _0x1af212&&_0x1af212[_0x2312bd(0xb7c)]?_0x297009[_0x2312bd(0x18c3)][_0x2312bd(0x16b4)]({'ListId':_0x1af212[_0x2312bd(0xb7c)],'nolimit':!![],'filter':_0xc10162,'fields':_0x2312bd(0x9d5)})[_0x2312bd(0x2945)]['then'](function(_0x4e2ecb){const _0x124f8a=_0x2312bd;return _0x4e2ecb[_0x124f8a(0x19c7)];}):[];}function _0x38f4d2(){const _0x5bef0e=_0x21d473;_0x1e9fb8[_0x5bef0e(0x1d96)]=_0x1cfebb[_0x5bef0e(0x7fd)],_0x1964df['cancel']();}}const _0xfce772=_0xcf98f;;_0x1a9fa5[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),'$window',_0x313a4d(0x10e8),_0x313a4d(0x27e8),'$translate',_0x313a4d(0x1f8e),_0x313a4d(0x7fd),_0x313a4d(0x1142),_0x313a4d(0x247f),_0x313a4d(0x1fe4),'$q','Auth',_0x313a4d(0xe80),'draftManager'];function _0x1a9fa5(_0x49ae7d,_0x1f7f66,_0x59e958,_0x564d49,_0x18cfda,_0x1b4ac5,_0x1fffa5,_0x3cddd4,_0x14bebb,_0x51435c,_0x5e33b8,_0x2f8f75,_0xd2060e,_0x3ce15e){const _0x2fdff5=_0x313a4d,_0x4b4676=this,_0x20c0fe=0x19,_0x4f56a1=_0x20c0fe*0x400*0x400;_0x4b4676[_0x2fdff5(0x2321)]=_0x2f8f75[_0x2fdff5(0xb12)](),_0x4b4676[_0x2fdff5(0xaf0)]=_0x3cddd4===_0x2fdff5(0xd38)?!![]:![],_0x4b4676[_0x2fdff5(0x1664)]=!![],_0x4b4676[_0x2fdff5(0xe28)]=!![],_0x4b4676[_0x2fdff5(0x218e)]=!![],_0x4b4676[_0x2fdff5(0xcef)]=[],_0x4b4676[_0x2fdff5(0x20e5)]=[_0x564d49[_0x2fdff5(0x1c3f)]['ENTER'],_0x564d49[_0x2fdff5(0x1c3f)]['COMMA'],_0x564d49[_0x2fdff5(0x1c3f)][_0x2fdff5(0x1556)]],_0x4b4676['searchTextChange']=_0x3e0caa,_0x4b4676[_0x2fdff5(0x265e)]=_0x4b8b73,_0x4b4676[_0x2fdff5(0xa1c)]=_0x5daa94,_0x3f65c0()[_0x2fdff5(0x1294)][_0x2fdff5(0x1687)]=/{{([\s\S]+?)}}/g,_0x4b4676[_0x2fdff5(0x9a1)]=_0x3f65c0()['template'](_0x2fdff5(0x591)),_0x4b4676[_0x2fdff5(0x1ce5)]=_0x3f65c0()[_0x2fdff5(0x1928)]('On\x20{{\x20date\x20}},\x20at\x20{{\x20time\x20}},\x20{{\x20from\x20}}\x20wrote:'),_0x4b4676['forwardTemplate']=_0x3f65c0()[_0x2fdff5(0x1928)](_0x2fdff5(0x269e)),_0x4b4676['message']={'from':_0x4b4676[_0x2fdff5(0x9a1)]({'name':_0x1b4ac5[_0x2fdff5(0x19eb)],'email':_0x1b4ac5[_0x2fdff5(0x1a2b)]||_0x1b4ac5[_0x2fdff5(0x24fb)][_0x2fdff5(0xe7b)]}),'to':[],'cc':[],'bcc':[],'body':_0x411a0f(),'type':_0x3cddd4,'attachments':[],'showLastMessage':!![]},_0x4b4676['draft']=null,_0x4b4676[_0x2fdff5(0x27c1)]={'skin':_0x2fdff5(0x2622),'startupFocus':!![],'language':'en','allowedContent':!![],'extraPlugins':_0x2fdff5(0xdde),'toolbarGroups':[{'name':_0x2fdff5(0x973),'groups':[_0x2fdff5(0x973)]},{'name':_0x2fdff5(0x6a4),'groups':[_0x2fdff5(0x302)]},{'name':_0x2fdff5(0x109f),'groups':['colors']},{'name':'basicstyles','groups':['basicstyles']},{'name':_0x2fdff5(0x8b1),'groups':[_0x2fdff5(0x25f4),_0x2fdff5(0x842),_0x2fdff5(0x12af)]}],'autoGrow_onStartup':!![],'autoGrow_minHeight':_0x4b4676['secret']?0xa0:0x12c,'autoGrow_maxHeight':_0x1f7f66['innerHeight']-0x190,'autocorrect_enabled':!![],'disableNativeSpellChecker':![],'enterMode':window[_0x2fdff5(0x1ddc)]['ENTER_BR'],'font_defaultLabel':_0x1b4ac5[_0x2fdff5(0x2138)]?_0x1b4ac5[_0x2fdff5(0x2138)][_0x2fdff5(0x10c8)](',')[0x0]:_0x2fdff5(0x6d0),'fontSize_defaultLabel':_0x1b4ac5[_0x2fdff5(0x498)]||'12','removePlugins':'magicline'};const _0x11fef8=[];for(let _0xf0f6fa=0x8;_0xf0f6fa<=0x48;_0xf0f6fa++){_0x11fef8[_0x2fdff5(0x1f47)](_0xf0f6fa+'/'+_0xf0f6fa+'pt');}_0x4b4676[_0x2fdff5(0x27c1)][_0x2fdff5(0x1964)]=_0x11fef8[_0x2fdff5(0xb47)](';'),_0x4b4676['ngFlowOptions']={'target':_0x2fdff5(0x17cb),'singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0x4f56a1,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x4b4676[_0x2fdff5(0x1376)]={'flow':{}},_0x4b4676[_0x2fdff5(0x512)]=_0x142666,_0x4b4676[_0x2fdff5(0x11a)]=_0x58bc1a,_0x4b4676['forward']=_0x38e1ac,_0x4b4676[_0x2fdff5(0x144)]=_0x12635e,_0x4b4676['send']=_0x3feb2e,_0x4b4676[_0x2fdff5(0xd38)]=_0x37a9d4,_0x4b4676['addNote']=_0x4b6cf5,_0x4b4676[_0x2fdff5(0x1dc6)]=_0xa7f945,_0x4b4676[_0x2fdff5(0xc4c)]=_0x4f154c,_0x4b4676['removeAttachment']=_0x541fea,_0x4b4676[_0x2fdff5(0x143d)]=_0x4bd8db,_0x4b4676[_0x2fdff5(0x1d90)]=_0x4a9666,_0x4b4676[_0x2fdff5(0x1384)]=_0x56186e,_0x4b4676['transformContact']=_0x3b9dc0,_0x4b4676[_0x2fdff5(0xba8)]=_0x387ad7,_0x4b4676[_0x2fdff5(0x13f3)]=_0x2b407d,_0x4b4676[_0x2fdff5(0x8cb)]=_0x25befd;switch(_0x3cddd4){case _0x2fdff5(0x512):_0x4b4676[_0x2fdff5(0x512)](![]);break;case _0x2fdff5(0x1600):_0x4b4676[_0x2fdff5(0x512)](!![]);break;case _0x2fdff5(0x1218):_0x4b4676[_0x2fdff5(0x1218)]();break;case'draft':_0x4b4676[_0x2fdff5(0x144)]();break;case _0x2fdff5(0x16d9):_0x4b4676[_0x2fdff5(0x11a)]();break;case _0x2fdff5(0xd38):_0x4b4676[_0x2fdff5(0xd38)]();break;}_0x14bebb[_0x2fdff5(0x2036)][_0x2fdff5(0x16b4)]({'nolimit':!![]})[_0x2fdff5(0x2945)][_0x2fdff5(0x146b)](function(_0x37ccb8){const _0x2cb1df=_0x2fdff5;_0x4b4676[_0x2cb1df(0xcb3)]=_0x37ccb8||{'count':0x0,'rows':[]};})[_0x2fdff5(0x129e)](function(_0x877371){const _0x1c18d3=_0x2fdff5;console[_0x1c18d3(0x1980)](_0x877371);});!_0x4b4676[_0x2fdff5(0xaf0)]&&_0x325eb3();function _0x142666(_0x4feab8){const _0x339075=_0x2fdff5;angular['isDefined'](_0x1fffa5)&&(_0x4b4676[_0x339075(0x7fd)][_0x339075(0x1142)]=_0x339075(0x512),_0x4b4676['message']['to']=_0x1fffa5[_0x339075(0x26cc)]==='in'?_0x1fffa5[_0x339075(0xfa5)][_0x339075(0x10c8)](','):_0x1fffa5['to']['split'](','),_0x4b4676[_0x339075(0x7fd)][_0x339075(0xe32)]=_0x3f65c0()['startsWith'](_0x1fffa5[_0x339075(0xe32)],'Re:')?_0x1fffa5[_0x339075(0xe32)]:'Re:\x20'+_0x1fffa5[_0x339075(0xe32)],_0x4b4676[_0x339075(0x7fd)][_0x339075(0x24e0)]=_0x1fffa5[_0x339075(0x1b11)],_0x4b4676[_0x339075(0x7fd)]['body']=_0x411a0f(),_0x4b4676[_0x339075(0x7fd)][_0x339075(0x2644)]=[],_0x4feab8&&_0x1fffa5['cc']&&(_0x4b4676[_0x339075(0x1664)]=![],_0x4b4676[_0x339075(0x7fd)]['cc']=_0x1fffa5['cc'][_0x339075(0x10c8)](',')),_0x4b4676[_0x339075(0x7fd)][_0x339075(0x1bd5)]=![]);}function _0x58bc1a(){const _0x346c1e=_0x2fdff5;angular[_0x346c1e(0x5ef)](_0x1fffa5)&&(_0x4b4676[_0x346c1e(0x7fd)][_0x346c1e(0x1142)]='new',_0x4b4676['message']['to']=_0x1fffa5['to']?_0x1fffa5['to'][_0x346c1e(0x10c8)](','):[]);}function _0x12635e(){const _0x417206=_0x2fdff5;_0x4b4676[_0x417206(0x1831)]=!![],angular[_0x417206(0x5ef)](_0x1fffa5)&&(_0x1fffa5[_0x417206(0x1703)]=![],_0x4b4676['message']=angular[_0x417206(0x235a)](_0x1fffa5),!_0x3f65c0()[_0x417206(0x2635)](_0x4b4676[_0x417206(0x7fd)]['cc'])&&(_0x4b4676[_0x417206(0x1664)]=![]),!_0x3f65c0()[_0x417206(0x2635)](_0x4b4676[_0x417206(0x7fd)]['bcc'])&&(_0x4b4676[_0x417206(0xe28)]=![]));}function _0x38e1ac(){const _0x3fde8c=_0x2fdff5;if(angular[_0x3fde8c(0x5ef)](_0x1fffa5)){_0x4b4676[_0x3fde8c(0x7fd)][_0x3fde8c(0x1142)]=_0x3fde8c(0x1218),_0x4b4676['message'][_0x3fde8c(0xe32)]=_0x3f65c0()[_0x3fde8c(0xd4d)](_0x1fffa5[_0x3fde8c(0xe32)],_0x3fde8c(0x34c))?_0x1fffa5['subject']:_0x3fde8c(0xaaf)+_0x1fffa5[_0x3fde8c(0xe32)],_0x4b4676['message']['body']=_0x411a0f();if(_0x1fffa5[_0x3fde8c(0xca5)]&&_0x1fffa5['Attachments'][_0x3fde8c(0x402)])for(let _0x58d623=0x0;_0x58d623<_0x1fffa5[_0x3fde8c(0xca5)][_0x3fde8c(0x402)];_0x58d623++){_0x4b4676['message']['attachments'][_0x3fde8c(0x1f47)](_0x1fffa5['Attachments'][_0x58d623]);}_0x4b4676['message'][_0x3fde8c(0x1bd5)]=![];}}function _0x37a9d4(){const _0x20a97d=_0x2fdff5;_0x4b4676[_0x20a97d(0x1142)]=_0x20a97d(0xd38),_0x4b4676['secret']=!![],_0x4b4676[_0x20a97d(0x7fd)]['body']=_0x20a97d(0x18b0)+_0x1b4ac5[_0x20a97d(0x2138)]+_0x20a97d(0xa86)+_0x1b4ac5[_0x20a97d(0x498)]+'pt\x22>

';}function _0x6be51d(_0x2832aa,_0x5505aa){const _0x361438=_0x2fdff5,_0x27258c={'from':_0x4b4676[_0x361438(0x7fd)][_0x361438(0xfa5)],'to':_0x4b4676[_0x361438(0x7fd)]['to']['join'](','),'cc':_0x4b4676[_0x361438(0x7fd)]['cc'][_0x361438(0xb47)](','),'bcc':_0x4b4676[_0x361438(0x7fd)]['bcc'][_0x361438(0xb47)](','),'subject':_0x4b4676[_0x361438(0x7fd)]['subject'],'body':_0x4b4676[_0x361438(0x7fd)]['showLastMessage']?_0x4b4676[_0x361438(0x7fd)][_0x361438(0x2586)]:_0x4b4676[_0x361438(0x7fd)]['body']+_0x2fe177(_0x4b4676[_0x361438(0x7fd)][_0x361438(0x1142)]),'inReplyTo':_0x4b4676[_0x361438(0x7fd)]['inReplyTo'],'attach':_0x4b4676['message']['attachments']['length'],'attachments':_0x4b4676['message'][_0x361438(0x2644)],'Interaction':{'lastMsgDirection':_0x361438(0x147f),'lastMsgBody':_0x4b4676['message'][_0x361438(0x2586)],'substatus':_0x5505aa},'isDraft':_0x4b4676['isDraft']};_0x27258c[_0x361438(0x1931)]=_0x361438(0x1e46)+_0x3f65c0()['replace'](_0x27258c[_0x361438(0x2586)],_0x361438(0x9a4),'_0x4f56a1)return _0x51435c[_0x23303e(0x1980)]({'title':_0x23303e(0x625),'msg':_0x23303e(0x923)+_0x20c0fe+'MB'}),![];return!![];}function _0xa7f945(){const _0x4ef826=_0x2fdff5;_0x4b4676[_0x4ef826(0x1376)][_0x4ef826(0x963)][_0x4ef826(0x1da1)][_0x4ef826(0xa01)]={'X-Requested-With':_0x4ef826(0x1f8f),'Authorization':_0x4ef826(0x2745)+_0x49ae7d[_0x4ef826(0x16b4)](_0x4ef826(0xe2d))},_0x4b4676['ngFlow'][_0x4ef826(0x963)][_0x4ef826(0x1dc6)]();}function _0x4f154c(_0x326866,_0x25d453){const _0x52f145=_0x2fdff5;try{_0x25d453=JSON[_0x52f145(0x975)](_0x25d453),_0x25d453[_0x52f145(0x4cc)]=_0x326866[_0x52f145(0x4cc)],_0x4b4676[_0x52f145(0x7fd)][_0x52f145(0x2644)]['push'](_0x25d453);}catch(_0x2d53d4){console[_0x52f145(0x1980)](_0x2d53d4);}}function _0x541fea(_0x48a357){const _0x1f6599=_0x2fdff5;_0x4b4676[_0x1f6599(0x7fd)][_0x1f6599(0x2644)]=_0x3f65c0()[_0x1f6599(0xa6f)](_0x4b4676[_0x1f6599(0x7fd)][_0x1f6599(0x2644)],{'id':_0x48a357['id']}),!_0x48a357[_0x1f6599(0x1c05)]&&_0x14bebb[_0x1f6599(0x2527)][_0x1f6599(0x1fac)]({'id':_0x48a357['id']})['$promise'][_0x1f6599(0x129e)](function(_0x15b73b){const _0x229ff5=_0x1f6599;_0x51435c['error']({'title':_0x15b73b['status']?_0x229ff5(0x262a)+_0x15b73b[_0x229ff5(0x107b)]+'\x20-\x20'+_0x15b73b[_0x229ff5(0x167f)]:_0x229ff5(0x1f6e),'msg':_0x15b73b[_0x229ff5(0x524)]?JSON['stringify'](_0x15b73b[_0x229ff5(0x524)][_0x229ff5(0x7fd)]):_0x15b73b[_0x229ff5(0xd5f)]()});});}function _0x4a9666(_0x5669f9){const _0x3b7572=_0x2fdff5;let _0x10c4ab='';return _0x5669f9[_0x3b7572(0x1ed4)]&&_0x5669f9['firstName']!==_0x5669f9['email']&&(_0x10c4ab+=_0x5669f9['firstName']+'\x20'),_0x5669f9['lastName']&&_0x5669f9[_0x3b7572(0xee4)]!==_0x5669f9[_0x3b7572(0x1a2b)]&&(_0x10c4ab+=_0x5669f9[_0x3b7572(0xee4)]+'\x20'),_0x10c4ab+='<'+_0x5669f9['email']+'>';}function _0x3b9dc0(_0x1dc5f4,_0x58aeca){const _0x9daa69=_0x2fdff5;if(_0x3f65c0()[_0x9daa69(0x1a1e)](_0x1dc5f4))return _0x4b4676[_0x9daa69(0x1662)]=_0x1dc5f4,_0x1dc5f4[_0x9daa69(0x1a2b)];if(_0x3f65c0()[_0x9daa69(0x54e)](_0x1dc5f4)){if(_0x52c04d(_0x1dc5f4))return _0x4b4676[_0x9daa69(0x1662)]={'email':_0x1dc5f4},_0x1dc5f4;else{const _0x4cb5cc=_0x1dc5f4[_0x9daa69(0x10c8)](',');for(let _0x5c4025=0x0;_0x5c4025<_0x4cb5cc[_0x9daa69(0x402)];_0x5c4025+=0x1){_0x52c04d(_0x4cb5cc[_0x5c4025])?_0x3f65c0()['isArray'](_0x58aeca)&&_0x3f65c0()[_0x9daa69(0x172b)](_0x58aeca,_0x4cb5cc[_0x5c4025])<0x0&&_0x58aeca[_0x9daa69(0x1f47)](_0x4cb5cc[_0x5c4025]):_0x51435c[_0x9daa69(0x1980)](_0x9daa69(0x21a4)+_0x4cb5cc[_0x5c4025]+_0x9daa69(0x1cfa));}return _0x4b4676[_0x9daa69(0x1662)]={'email':_0x1dc5f4[_0x9daa69(0x10c8)](',')[0x0]},null;}}return _0x51435c[_0x9daa69(0x1980)](_0x9daa69(0x1a06)),null;}function _0x56186e(_0x3567f4){const _0x34a70a=_0x2fdff5;return _0x1b4ac5&&_0x1b4ac5[_0x34a70a(0xb7c)]?_0x14bebb['cmContact']['get']({'ListId':_0x1b4ac5[_0x34a70a(0xb7c)],'nolimit':!![],'filter':_0x3567f4,'fields':_0x34a70a(0x156d)})[_0x34a70a(0x2945)][_0x34a70a(0x146b)](function(_0x209255){const _0x2ab2fc=_0x34a70a;return _0x209255[_0x2ab2fc(0x19c7)];}):[];}function _0x387ad7(){const _0x14944c=_0x2fdff5;_0x4b4676['message'][_0x14944c(0x1703)]=!![],_0x4b4676['draft']=_0x3ce15e['getByInteraction'](_0xd2060e['id']);const _0x947f56={'account':_0x1b4ac5,'message':_0x4b4676[_0x14944c(0x7fd)],'contact':_0x4b4676[_0x14944c(0x1662)],'interaction':_0xd2060e};if(_0x4b4676[_0x14944c(0x1d96)]&&!_0x4b4676['isDraft']){const _0x2b93f6=_0x59e958[_0x14944c(0x1e8a)]({'skipHide':!![]})[_0x14944c(0x1189)](_0x18cfda[_0x14944c(0xde)](_0x14944c(0x4f2)))[_0x14944c(0x80f)](_0x18cfda[_0x14944c(0xde)](_0x14944c(0x2154)))['ariaLabel'](_0x14944c(0xa5a))['ok'](_0x18cfda[_0x14944c(0xde)](_0x14944c(0x20ad)))[_0x14944c(0x6c3)](_0x18cfda[_0x14944c(0xde)](_0x14944c(0x1161)));_0x59e958[_0x14944c(0x2615)](_0x2b93f6)['then'](function(){_0x59e958['hide'](_0x947f56);});}else _0x59e958[_0x14944c(0x2458)](_0x947f56);}function _0x2b407d(){const _0x423cdc=_0x2fdff5;_0x59e958[_0x423cdc(0x6c3)]();}function _0x52c04d(_0x6d1e77){const _0x2f401e=_0x2fdff5,_0x3821a6=/^(([^<>()[\]\\.,;:\s@"]+(\.[^<>()[\]\\.,;:\s@"]+)*)|(".+"))@((\[[0-9]{1,3}\.[0-9]{1,3}\.[0-9]{1,3}\.[0-9]{1,3}\])|(([a-zA-Z\-0-9]+\.)+[a-zA-Z]{2,}))$/;return _0x3821a6[_0x2f401e(0x1e1e)](_0x6d1e77);}function _0x4a94c3(_0x48971a){const _0x529cc1=_0x2fdff5,_0x13f5eb=/]*>([^]*)<\/body/m,_0xb435c2=_0x48971a[_0x529cc1(0xf6c)](_0x13f5eb);if(_0xb435c2)return _0xb435c2[0x1];return _0x48971a;}function _0x1079bd(){const _0x1ece34=_0x2fdff5;if(_0x3f65c0()[_0x1ece34(0x1b36)](_0x1b4ac5[_0x1ece34(0x1928)]))return'';try{const _0xe19fca=_0x3f65c0()['template'](_0x1b4ac5[_0x1ece34(0x1928)]),_0x38d53c={'user':_0x4b4676[_0x1ece34(0x2321)],'account':_0x1b4ac5,'interaction':_0xd2060e,'contact':_0xd2060e&&_0xd2060e[_0x1ece34(0x364)]?_0xd2060e[_0x1ece34(0x364)]:{}};return _0xe19fca(_0x38d53c);}catch(_0x5ce2ee){return console[_0x1ece34(0x1980)](_0x5ce2ee),'';}}function _0x411a0f(){const _0x5b58dd=_0x2fdff5;return _0x5b58dd(0x18b0)+_0x1b4ac5[_0x5b58dd(0x2138)]+_0x5b58dd(0xa86)+_0x1b4ac5['fontSize']+_0x5b58dd(0x83d)+_0x1079bd()+'';}function _0x2fe177(_0x46fc76){const _0x49235a=_0x2fdff5;let _0x202941=_0x49235a(0x17bc);switch(_0x46fc76){case _0x49235a(0x512):case _0x49235a(0x1600):_0x202941+=_0x4b4676[_0x49235a(0x1ce5)]({'date':_0x2deec6()(_0x1fffa5[_0x49235a(0xc68)])[_0x49235a(0x22b0)](_0x49235a(0xa45))||'','time':_0x2deec6()(_0x1fffa5[_0x49235a(0xc68)])[_0x49235a(0x22b0)](_0x49235a(0x28b4))||'','from':_0x3f65c0()[_0x49235a(0xac6)](_0x1fffa5[_0x49235a(0xfa5)])||''});break;case _0x49235a(0x1218):_0x202941+=_0x4b4676[_0x49235a(0x462)]({'date':_0x2deec6()(_0x1fffa5['createdAt'])[_0x49235a(0x22b0)](_0x49235a(0xa45))||'','time':_0x2deec6()(_0x1fffa5['createdAt'])[_0x49235a(0x22b0)](_0x49235a(0x28b4))||'','from':_0x3f65c0()[_0x49235a(0xac6)](_0x1fffa5[_0x49235a(0xfa5)])||'','to':_0x3f65c0()['escape'](_0x1fffa5['to'])||'','subject':_0x3f65c0()[_0x49235a(0xac6)](_0x1fffa5[_0x49235a(0xe32)])||''});break;}const _0x561365=_0x4b4676[_0x49235a(0x7fd)]['showLastMessage']&&_0x1fffa5[_0x49235a(0x2c4)]?_0x1fffa5['originalMessage'][_0x49235a(0x2586)]:_0x1fffa5[_0x49235a(0x2586)];return _0x202941+=_0x49235a(0x1830),_0x202941+=_0x4a94c3(_0x561365?_0x3f65c0()[_0x49235a(0x5f4)](_0x561365,_0x49235a(0x9a4),'';}function _0x2c8902(_0x5b1c45){const _0x422562=_0x332945,_0x2d6f0f=_0x5b1c45;if(_0x3f65c0()[_0x422562(0x1a1e)](_0x2d6f0f))return _0x5b1c45[_0x105f4b['mapKey']];return _0x2d6f0f;}function _0x4daea0(_0x5df3ab){const _0x166622=_0x332945;if(_0x105f4b&&_0x105f4b[_0x166622(0xb7c)]){let _0x367a2d=_0x166622(0xfb6);return _0x105f4b[_0x166622(0xb2a)]&&(_0x367a2d+=','+_0x105f4b[_0x166622(0xb2a)]),_0x2f669c[_0x166622(0x18c3)][_0x166622(0x16b4)]({'ListId':_0x105f4b['ListId'],'nolimit':!![],'filter':_0x5df3ab,'fields':_0x367a2d})[_0x166622(0x2945)][_0x166622(0x146b)](function(_0x25c3f8){const _0x2a7ac3=_0x166622;return _0x25c3f8[_0x2a7ac3(0x19c7)];});}return[];}function _0xc1f2f9(){_0x22de4d['cancel']();}function _0x72231d(){const _0x1bb7b1=_0x332945;_0x592eac[_0x1bb7b1(0xaf0)]=!![];}function _0x21a8fc(){const _0x3a4faa=_0x332945;_0x592eac[_0x3a4faa(0x7fd)][_0x3a4faa(0x2586)]&&_0x22de4d[_0x3a4faa(0x2458)]({'secret':!![],'body':_0x592eac[_0x3a4faa(0x7fd)][_0x3a4faa(0x2586)]});}}const _0x2979c2=_0xc1c05c;;_0x42be68[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),_0x313a4d(0x1abe),_0x313a4d(0x1aa9),_0x313a4d(0x10e8),'$mdConstant',_0x313a4d(0x1f8e),'message',_0x313a4d(0x1142),_0x313a4d(0x247f),_0x313a4d(0x1fe4),'$q',_0x313a4d(0x214b),'Auth'];function _0x42be68(_0x448b89,_0x13fff4,_0x4662f2,_0x12197f,_0x411ec2,_0x5f042f,_0x971679,_0x79cd7f,_0x24d1da,_0x1be20a,_0x24d915,_0x50d39b,_0x1fc2ca){const _0x56035f=_0x313a4d,_0x5207b2=this;_0x5207b2[_0x56035f(0x2321)]=_0x1fc2ca[_0x56035f(0xb12)](),_0x5207b2['errors']=[],_0x5207b2[_0x56035f(0x20e5)]=[_0x411ec2[_0x56035f(0x1c3f)][_0x56035f(0xf18)],_0x411ec2[_0x56035f(0x1c3f)][_0x56035f(0x19b8)],_0x411ec2[_0x56035f(0x1c3f)][_0x56035f(0x1556)]],_0x5207b2[_0x56035f(0x1ef1)]=_0x3683f0,_0x5207b2[_0x56035f(0x265e)]=_0x2be2cf,_0x5207b2[_0x56035f(0xd38)]=_0x1c0f6f,_0x5207b2[_0x56035f(0x11ea)]=_0x40c562,_0x5207b2['ctrlMethods']={},_0x5207b2[_0x56035f(0x7fd)]={'from':_0x5f042f[_0x56035f(0x1d55)],'to':_0x3f65c0()['isNil'](_0x971679['to'])||_0x3f65c0()[_0x56035f(0x2635)](_0x971679['to'])?[]:_0x971679['to'],'body':''},_0x5207b2[_0x56035f(0x1443)]=_0x1356b0,_0x5207b2[_0x56035f(0x1d90)]=_0x1f3617,_0x5207b2[_0x56035f(0x13f3)]=_0x240570,_0x5207b2[_0x56035f(0x1384)]=_0x37c456,_0x5207b2[_0x56035f(0x2369)]=_0x20ebea;switch(_0x79cd7f){case _0x56035f(0xd38):_0x5207b2['note']();break;}function _0x1da0cd(_0x54f472){const _0x44cc3b=_0x56035f;_0x12197f[_0x44cc3b(0x2458)]({'to':_0x5207b2['message']['to'][_0x44cc3b(0xb47)](','),'body':_0x54f472});}function _0x1356b0(){const _0xfa9013=_0x56035f;_0x5207b2[_0xfa9013(0x7fd)]['to']&&_0x5207b2['message'][_0xfa9013(0x2586)]&&(_0x5207b2[_0xfa9013(0x7fd)]['to']['length']?_0x1da0cd(_0x5207b2[_0xfa9013(0x7fd)]['body']):_0x1be20a['error'](_0xfa9013(0x611)));}function _0x2be2cf(_0x530e17){const _0x469a35=_0x56035f;if(_0x530e17){try{_0x3f65c0()[_0x469a35(0x1294)][_0x469a35(0x1687)]=/{{([\s\S]+?)}}/g;const _0x3e4159=_0x3f65c0()[_0x469a35(0x1928)](_0x530e17[_0x469a35(0x175d)]),_0x4fbb80={'user':_0x5207b2[_0x469a35(0x2321)],'account':_0x5f042f};_0x5207b2[_0x469a35(0x7fd)][_0x469a35(0x2586)]+='\x20'+_0x3e4159(_0x4fbb80),_0x5207b2[_0x469a35(0x1e5b)][_0x469a35(0xa0d)](_0x5207b2[_0x469a35(0x7fd)]['body']);}catch(_0x2dbc84){_0x5207b2[_0x469a35(0x7fd)]['body']+='\x20'+_0x530e17[_0x469a35(0x175d)],_0x5207b2['ctrlMethods'][_0x469a35(0xa0d)](_0x5207b2['message']['body']);}_0x5207b2[_0x469a35(0xdab)]=null;}}function _0x3683f0(_0x5a8e85){const _0x1335c1=_0x56035f,_0x358343=_0x24d915[_0x1335c1(0xce3)]();let _0x1a3258=[];return _0x24d1da['cannedAnswer'][_0x1335c1(0x16b4)]({'filter':_0x5a8e85,'SmsAccountId':_0x5f042f['id']})[_0x1335c1(0x2945)][_0x1335c1(0x146b)](function(_0x325be0){const _0x590554=_0x1335c1;return _0x1a3258=_0x3f65c0()[_0x590554(0x163c)](_0x1a3258,_0x325be0[_0x590554(0x19c7)]),_0x24d1da['cannedAnswer']['get']({'filter':_0x5a8e85})[_0x590554(0x2945)];})['then'](function(_0x2fa625){const _0x3e9caa=_0x1335c1;_0x1a3258=_0x3f65c0()['concat'](_0x1a3258,_0x3f65c0()[_0x3e9caa(0x1dd6)](_0x2fa625[_0x3e9caa(0x19c7)],function(_0xebe1e6){const _0x231497=_0x3e9caa;return!_0xebe1e6[_0x231497(0x658)]&&!_0xebe1e6[_0x231497(0x74d)]&&!_0xebe1e6[_0x231497(0x1481)]&&!_0xebe1e6['OpenchannelAccountId']&&!_0xebe1e6['ChatWebsiteId'];})),_0x358343[_0x3e9caa(0x2922)](_0x1a3258);})[_0x1335c1(0x129e)](function(_0x297d8f){const _0x4603cf=_0x1335c1;_0x358343[_0x4603cf(0xa6f)](_0x297d8f);}),_0x358343[_0x1335c1(0xb9c)];}function _0x1f3617(_0x17ebb1){const _0x2172ca=_0x56035f;let _0x314be6='';return _0x17ebb1[_0x2172ca(0x1ed4)]&&_0x17ebb1[_0x2172ca(0x1ed4)]!==_0x17ebb1[_0x2172ca(0xa4b)]&&(_0x314be6+=_0x17ebb1[_0x2172ca(0x1ed4)]+'\x20'),_0x17ebb1[_0x2172ca(0xee4)]&&_0x17ebb1[_0x2172ca(0xee4)]!==_0x17ebb1[_0x2172ca(0xa4b)]&&(_0x314be6+=_0x17ebb1[_0x2172ca(0xee4)]+'\x20'),_0x314be6+='<'+_0x17ebb1[_0x2172ca(0xa4b)]+'>';}function _0x20ebea(_0x470ecf){const _0x1f9c32=_0x56035f,_0x2f0492=_0x470ecf;if(_0x3f65c0()[_0x1f9c32(0x1a1e)](_0x2f0492))return _0x470ecf[_0x1f9c32(0xa4b)];if(_0x26808b(_0x2f0492))return _0x2f0492;return _0x1be20a[_0x1f9c32(0x1980)](_0x1f9c32(0x57a)+_0x2f0492+_0x1f9c32(0x1cfa)),null;}function _0x37c456(_0xed70df){const _0x47e3b9=_0x56035f;if(_0x5f042f&&_0x5f042f[_0x47e3b9(0xb7c)])return _0x24d1da['cmContact']['get']({'ListId':_0x5f042f[_0x47e3b9(0xb7c)],'nolimit':!![],'filter':_0xed70df,'fields':_0x47e3b9(0x81a)})[_0x47e3b9(0x2945)][_0x47e3b9(0x146b)](function(_0x5a837c){return _0x5a837c['rows'];});return[];}function _0x240570(){_0x12197f['cancel']();}function _0x26808b(_0x43fb3c){const _0x467267=_0x56035f,_0x49c428=/^(\+|\d)[0-9]{7,16}$/;return _0x49c428[_0x467267(0x1e1e)](_0x43fb3c);}function _0x1c0f6f(){const _0x3ba017=_0x56035f;_0x5207b2[_0x3ba017(0xaf0)]=!![];}function _0x40c562(){const _0x5afec7=_0x56035f;_0x5207b2['message'][_0x5afec7(0x2586)]&&_0x12197f[_0x5afec7(0x2458)]({'secret':!![],'body':_0x5207b2[_0x5afec7(0x7fd)][_0x5afec7(0x2586)]});}}const _0x4f874e=_0x42be68;;_0x5b3612[_0x313a4d(0x11c2)]=['$cookies',_0x313a4d(0x1abe),_0x313a4d(0x1aa9),_0x313a4d(0x10e8),_0x313a4d(0x27e8),_0x313a4d(0x1f8e),_0x313a4d(0x7fd),'type',_0x313a4d(0x247f),_0x313a4d(0x1fe4),'$q','$translate',_0x313a4d(0xa87)];function _0x5b3612(_0x4bcac3,_0x4989ce,_0x37b7c6,_0x1d7c61,_0x3f5721,_0x4fbf9d,_0x4f79b8,_0x11d6eb,_0x71847a,_0x326137,_0x380971,_0x4a87d9,_0x3843eb){const _0x5cadcd=_0x313a4d,_0x5d45b1=this;_0x5d45b1[_0x5cadcd(0x2321)]=_0x3843eb[_0x5cadcd(0xb12)](),_0x5d45b1['errors']=[],_0x5d45b1[_0x5cadcd(0x20e5)]=[_0x3f5721[_0x5cadcd(0x1c3f)][_0x5cadcd(0xf18)],_0x3f5721[_0x5cadcd(0x1c3f)]['COMMA'],_0x3f5721[_0x5cadcd(0x1c3f)][_0x5cadcd(0x1556)]],_0x5d45b1[_0x5cadcd(0x1ef1)]=_0x544822,_0x5d45b1['selectedItemChange']=_0x579a4e,_0x5d45b1[_0x5cadcd(0xd38)]=_0x4a13d2,_0x5d45b1[_0x5cadcd(0x11ea)]=_0x20650f,_0x5d45b1['ctrlMethods']={},_0x5d45b1[_0x5cadcd(0x7fd)]={'from':_0x4fbf9d[_0x5cadcd(0x1d55)],'to':_0x3f65c0()[_0x5cadcd(0x1b36)](_0x4f79b8['to'])||_0x3f65c0()['isEmpty'](_0x4f79b8['to'])?[]:_0x4f79b8['to'],'body':''},_0x5d45b1[_0x5cadcd(0x1443)]=_0x48f51e,_0x5d45b1[_0x5cadcd(0x1d90)]=_0x5aa3ef,_0x5d45b1['closeDialog']=_0xbc451b,_0x5d45b1['contactSearch']=_0x5c8ddb,_0x5d45b1['transformContact']=_0x5240ec;switch(_0x11d6eb){case'note':_0x5d45b1[_0x5cadcd(0xd38)]();break;}function _0x447bfa(_0x31b734){const _0x251c9f=_0x5cadcd;_0x1d7c61[_0x251c9f(0x2458)]({'to':_0x5d45b1[_0x251c9f(0x7fd)]['to']['join'](','),'body':_0x31b734});}function _0x48f51e(){const _0x1916e7=_0x5cadcd;_0x5d45b1[_0x1916e7(0x7fd)]['to']&&_0x5d45b1[_0x1916e7(0x7fd)][_0x1916e7(0x2586)]&&(_0x5d45b1[_0x1916e7(0x7fd)]['to'][_0x1916e7(0x402)]?_0x447bfa(_0x5d45b1[_0x1916e7(0x7fd)][_0x1916e7(0x2586)]):_0x326137[_0x1916e7(0x1980)](_0x1916e7(0x611)));}function _0x579a4e(_0x11b8f7){const _0x4b0534=_0x5cadcd;if(_0x11b8f7){try{_0x3f65c0()[_0x4b0534(0x1294)][_0x4b0534(0x1687)]=/{{([\s\S]+?)}}/g;const _0x58d550=_0x3f65c0()[_0x4b0534(0x1928)](_0x11b8f7[_0x4b0534(0x175d)]),_0x396e3c={'user':_0x5d45b1[_0x4b0534(0x2321)],'account':_0x4fbf9d};_0x5d45b1['message'][_0x4b0534(0x2586)]+='\x20'+_0x58d550(_0x396e3c),_0x5d45b1[_0x4b0534(0x1e5b)][_0x4b0534(0xa0d)](_0x5d45b1['message']['body']);}catch(_0x2f3af6){_0x5d45b1['message'][_0x4b0534(0x2586)]+='\x20'+_0x11b8f7[_0x4b0534(0x175d)],_0x5d45b1[_0x4b0534(0x1e5b)][_0x4b0534(0xa0d)](_0x5d45b1[_0x4b0534(0x7fd)]['body']);}_0x5d45b1[_0x4b0534(0xdab)]=null;}}function _0x544822(_0x2857c7){const _0x55b00b=_0x5cadcd,_0x274023=_0x380971[_0x55b00b(0xce3)]();let _0xc9061d=[];return _0x71847a[_0x55b00b(0x1ada)][_0x55b00b(0x16b4)]({'filter':_0x2857c7,'WhatsappAccountId':_0x4fbf9d['id']})[_0x55b00b(0x2945)]['then'](function(_0x5e6420){const _0x45ab2f=_0x55b00b;return _0xc9061d=_0x3f65c0()['concat'](_0xc9061d,_0x5e6420[_0x45ab2f(0x19c7)]),_0x71847a[_0x45ab2f(0x1ada)][_0x45ab2f(0x16b4)]({'filter':_0x2857c7})[_0x45ab2f(0x2945)];})['then'](function(_0x34d4c0){const _0x11862d=_0x55b00b;_0xc9061d=_0x3f65c0()[_0x11862d(0x163c)](_0xc9061d,_0x3f65c0()['filter'](_0x34d4c0['rows'],function(_0x532d28){const _0x3edb66=_0x11862d;return!_0x532d28[_0x3edb66(0x658)]&&!_0x532d28['FaxAccountId']&&!_0x532d28['WhatsappAccountId']&&!_0x532d28[_0x3edb66(0x534)]&&!_0x532d28[_0x3edb66(0x1d92)];})),_0x274023[_0x11862d(0x2922)](_0xc9061d);})['catch'](function(_0x277ec5){_0x274023['reject'](_0x277ec5);}),_0x274023[_0x55b00b(0xb9c)];}function _0x5aa3ef(_0x195055){const _0x1ef572=_0x5cadcd;let _0x29d195='';return _0x195055[_0x1ef572(0x1ed4)]&&_0x195055[_0x1ef572(0x1ed4)]!==_0x195055[_0x1ef572(0xa4b)]&&(_0x29d195+=_0x195055['firstName']+'\x20'),_0x195055[_0x1ef572(0xee4)]&&_0x195055[_0x1ef572(0xee4)]!==_0x195055[_0x1ef572(0xa4b)]&&(_0x29d195+=_0x195055[_0x1ef572(0xee4)]+'\x20'),_0x29d195+='<'+_0x195055[_0x1ef572(0xa4b)]+'>';}function _0x5240ec(_0x15e8d5){const _0x1fb997=_0x5cadcd,_0x1270f2=_0x15e8d5;if(_0x3f65c0()['isObject'](_0x1270f2))return _0x15e8d5['mobile'];if(_0x482bf2(_0x1270f2))return _0x1270f2;return _0x326137[_0x1fb997(0x1980)](_0x1fb997(0x57a)+_0x1270f2+_0x1fb997(0x1cfa)),null;}function _0x5c8ddb(_0x409c2e){const _0x5af843=_0x5cadcd;if(_0x4fbf9d&&_0x4fbf9d[_0x5af843(0xb7c)])return _0x71847a['cmContact'][_0x5af843(0x16b4)]({'ListId':_0x4fbf9d[_0x5af843(0xb7c)],'nolimit':!![],'filter':_0x409c2e,'fields':'firstName,lastName,mobile'})['$promise']['then'](function(_0x54ba63){const _0x3402b9=_0x5af843;return _0x54ba63[_0x3402b9(0x19c7)];});return[];}function _0xbc451b(){const _0x3a4581=_0x5cadcd;_0x1d7c61[_0x3a4581(0x6c3)]();}function _0x482bf2(_0xf6729d){const _0x1f66a4=_0x5cadcd,_0xae7cbe=/^(\+|\d)[0-9]{7,16}$/;return _0xae7cbe[_0x1f66a4(0x1e1e)](_0xf6729d);}function _0x4a13d2(){_0x5d45b1['secret']=!![];}function _0x20650f(){const _0x39b588=_0x5cadcd;_0x5d45b1['message']['body']&&_0x1d7c61[_0x39b588(0x2458)]({'secret':!![],'body':_0x5d45b1['message'][_0x39b588(0x2586)]});}}const _0x449643=_0x5b3612;;_0x261de0['$inject']=[_0x313a4d(0x10e8),_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0xe80),_0x313a4d(0x2894),_0x313a4d(0xe7b),_0x313a4d(0x1909)];function _0x261de0(_0x5a0488,_0x1c5003,_0x4a6dc3,_0x3efb12,_0x56b37b,_0x52d841,_0x126f73){const _0x4d101e=_0x313a4d,_0xae2c8f=this;_0xae2c8f[_0x4d101e(0xcef)]=[],_0xae2c8f['dispositions']=[],_0xae2c8f[_0x4d101e(0xe80)]=angular['copy'](_0x3efb12);!_0xae2c8f['interaction']['disposition']&&(_0xae2c8f[_0x4d101e(0xe80)]['disposition']=null);_0xae2c8f['channel']=_0x56b37b,_0xae2c8f[_0x4d101e(0x161a)]=_0xae2c8f[_0x4d101e(0x2894)]+_0x4d101e(0x2297),_0xae2c8f['apiAccountRoute']=_0xae2c8f['channel']+(_0xae2c8f['channel']==='chat'?_0x4d101e(0x27f4):_0x4d101e(0xb48)),_0xae2c8f[_0x4d101e(0x2417)]=_0x3f65c0()[_0x4d101e(0x83f)](_0xae2c8f[_0x4d101e(0x2894)])+(_0xae2c8f[_0x4d101e(0x2894)]==='chat'?_0x4d101e(0x27f4):_0x4d101e(0xb48))+'Id',_0xae2c8f['firstLevelDisposition']=null,_0xae2c8f[_0x4d101e(0xc9e)]=![],_0xae2c8f[_0x4d101e(0x4ab)]='',_0xae2c8f[_0x4d101e(0x1488)]=_0x52d841[_0x4d101e(0x211c)]||_0x52d841[_0x4d101e(0x5f7)]||_0x52d841[_0x4d101e(0x1e9e)]||_0x52d841[_0x4d101e(0xcc5)]||_0x52d841[_0x4d101e(0x25c4)],_0xae2c8f[_0x4d101e(0x1216)]=_0x4cc5a0,_0xae2c8f[_0x4d101e(0xb7d)]=_0x2550f9,_0xae2c8f[_0x4d101e(0x2799)]=_0x10b2bc,_0xae2c8f[_0x4d101e(0x13f3)]=_0x4b329a;function _0x4efb3a(){const _0x20a9c7=_0x4d101e;let _0x17afed=[];const _0x414e5d={'fields':_0x20a9c7(0xe9b),'sort':_0x20a9c7(0x19eb),'nolimit':!![]};_0x414e5d[_0xae2c8f[_0x20a9c7(0x2417)]]=_0x3efb12[_0xae2c8f['accountProperty']],_0x4a6dc3[_0xae2c8f[_0x20a9c7(0x835)]]['get']({'id':_0x3efb12[_0xae2c8f['accountProperty']]})[_0x20a9c7(0x2945)][_0x20a9c7(0x146b)](function(_0x5f1d4c){const _0xe95d72=_0x20a9c7;return _0xae2c8f[_0xe95d72(0xc9e)]=_0x5f1d4c[_0xe95d72(0xc9e)],_0xae2c8f['mandatoryDisposition']&&(_0xae2c8f[_0xe95d72(0x4ab)]=_0x5f1d4c[_0xe95d72(0x4ab)][_0xe95d72(0x19eb)]),_0x4a6dc3[_0xe95d72(0x9e1)]['get'](_0x414e5d)[_0xe95d72(0x2945)];})['then'](function(_0x1c15af){const _0x3c2035=_0x20a9c7;return _0x1c15af[_0x3c2035(0x19c7)][_0x3c2035(0x402)]&&(_0x17afed=_0x3f65c0()[_0x3c2035(0x163c)](_0x17afed,_0x1c15af[_0x3c2035(0x19c7)])),_0x4a6dc3[_0x3c2035(0x9e1)]['get']({'MailAccountId':_0x3c2035(0x203c),'FaxAccountId':'null','SmsAccountId':_0x3c2035(0x203c),'WhatsappAccountId':_0x3c2035(0x203c),'OpenchannelAccountId':_0x3c2035(0x203c),'ChatWebsiteId':_0x3c2035(0x203c),'ListId':_0x3c2035(0x203c)})[_0x3c2035(0x2945)];})['then'](function(_0xa692fb){const _0x1c6f7a=_0x20a9c7;_0xa692fb[_0x1c6f7a(0x19c7)][_0x1c6f7a(0x402)]&&(_0x17afed=_0x3f65c0()[_0x1c6f7a(0x163c)](_0x17afed,_0xa692fb['rows']));})[_0x20a9c7(0x129e)](function(_0x512a97){const _0x4d5253=_0x20a9c7;console[_0x4d5253(0x1980)](_0x512a97);})[_0x20a9c7(0x1ec6)](function(){const _0x25e87d=_0x20a9c7;_0xae2c8f[_0x25e87d(0x2647)]=_0x3f65c0()[_0x25e87d(0x979)](_0x17afed,[_0x25e87d(0x19eb)],['asc']),_0x3021cb();});}function _0x3021cb(){const _0x12b637=_0x4d101e;_0xae2c8f[_0x12b637(0xc9e)]&&!_0xae2c8f[_0x12b637(0x1488)]&&_0x4a6dc3[_0x12b637(0xe7b)][_0x12b637(0x1e3e)]({'id':_0x52d841['id'],'type':_0xae2c8f[_0x12b637(0x4ab)]||_0x12b637(0x9ba)})['$promise'][_0x12b637(0x146b)](function(){})[_0x12b637(0x129e)](function(_0x24be49){console['error'](_0x24be49);});}function _0x4cc5a0(_0x457cab){const _0x18f187=_0x4d101e;if(_0x457cab===_0x18f187(0xb68)){_0xae2c8f[_0x18f187(0xf38)]=_0xae2c8f[_0x18f187(0xef2)]?_0x3f65c0()['some'](_0xae2c8f[_0x18f187(0x2647)],[_0x18f187(0x11b5),_0xae2c8f[_0x18f187(0xef2)]['id']]):![];if(!_0xae2c8f[_0x18f187(0xef2)])_0xae2c8f[_0x18f187(0x187a)]=undefined;}else _0x457cab==='second'&&(_0xae2c8f[_0x18f187(0x109b)]=_0x3f65c0()[_0x18f187(0x1360)](_0xae2c8f[_0x18f187(0x2647)],[_0x18f187(0x11b5),_0xae2c8f[_0x18f187(0x187a)]['id']]));}function _0x2550f9(_0x5ae88c){const _0x2d7e8b=_0x4d101e;if(_0x5ae88c===_0x2d7e8b(0xfe1))_0xae2c8f[_0x2d7e8b(0x187a)]=undefined,_0xae2c8f[_0x2d7e8b(0xc58)]=undefined;else _0x5ae88c===_0x2d7e8b(0xb15)&&(_0xae2c8f[_0x2d7e8b(0xc58)]=undefined);}function _0x10b2bc(){const _0xa5463c=_0x4d101e;_0xae2c8f[_0xa5463c(0xcef)]=[],_0xae2c8f['interaction']['UserId']=_0x52d841['id'],_0xae2c8f[_0xa5463c(0xe80)][_0xa5463c(0x1943)]=!![],_0xae2c8f['interaction'][_0xa5463c(0x11bc)]='agent',_0xae2c8f[_0xa5463c(0xe80)][_0xa5463c(0x9e1)]=_0xae2c8f[_0xa5463c(0xef2)]?_0xae2c8f[_0xa5463c(0xef2)][_0xa5463c(0x19eb)]:null,_0xae2c8f[_0xa5463c(0xe80)]['secondDisposition']=_0xae2c8f[_0xa5463c(0x187a)]?_0xae2c8f[_0xa5463c(0x187a)][_0xa5463c(0x19eb)]:undefined,_0xae2c8f['interaction'][_0xa5463c(0x1402)]=_0xae2c8f[_0xa5463c(0xc58)]?_0xae2c8f[_0xa5463c(0xc58)][_0xa5463c(0x19eb)]:undefined,_0x4a6dc3[_0xae2c8f['apiRoute']]?_0x4a6dc3[_0xae2c8f[_0xa5463c(0x161a)]][_0xa5463c(0x18e1)](_0x3f65c0()[_0xa5463c(0x40e)](_0xae2c8f['interaction'],[_0xa5463c(0x658),'FaxAccountId',_0xa5463c(0x1481),'WhatsappAccountId',_0xa5463c(0x1d92),_0xa5463c(0x534),_0xa5463c(0x1943),_0xa5463c(0x11bc),_0xa5463c(0x9e1),_0xa5463c(0xb5c),_0xa5463c(0x1402),'id',_0xa5463c(0xd38),_0xa5463c(0xea2)]))[_0xa5463c(0x2945)][_0xa5463c(0x146b)](function(_0x4dd4f5){const _0x4d25f4=_0xa5463c;_0xae2c8f[_0x4d25f4(0xe80)]=_0x4dd4f5,_0xae2c8f[_0x4d25f4(0x2894)]===_0x4d25f4(0x56b)&&_0x126f73['removeByInteraction'](_0xae2c8f[_0x4d25f4(0xe80)]['id']),_0x1c5003[_0x4d25f4(0x1c75)]({'title':_0x4d25f4(0x53b),'msg':'Interaction\x20has\x20been\x20closed!'});})[_0xa5463c(0x146b)](function(){_0x4b329a(!![]);})[_0xa5463c(0x129e)](function(_0x32a96d){const _0x291a8e=_0xa5463c;if(_0x32a96d[_0x291a8e(0x524)]&&_0x32a96d[_0x291a8e(0x524)][_0x291a8e(0xcef)]&&_0x32a96d[_0x291a8e(0x524)][_0x291a8e(0xcef)][_0x291a8e(0x402)]){_0xae2c8f[_0x291a8e(0xcef)]=_0x32a96d[_0x291a8e(0x524)]['errors']||[{'message':_0x32a96d[_0x291a8e(0xd5f)](),'type':_0x291a8e(0x343)}];for(let _0x118bc8=0x0;_0x118bc8<_0x32a96d[_0x291a8e(0x524)]['errors'][_0x291a8e(0x402)];_0x118bc8++){_0x1c5003[_0x291a8e(0x1980)]({'title':_0x32a96d[_0x291a8e(0x524)][_0x291a8e(0xcef)][_0x118bc8][_0x291a8e(0x1142)],'msg':_0x32a96d['data'][_0x291a8e(0xcef)][_0x118bc8]['message']});}}else _0x1c5003[_0x291a8e(0x1980)]({'title':_0x32a96d[_0x291a8e(0x107b)]?'API:'+_0x32a96d[_0x291a8e(0x107b)]+_0x291a8e(0x1315)+_0x32a96d['statusText']:_0x291a8e(0x343),'msg':_0x32a96d[_0x291a8e(0x524)]?JSON[_0x291a8e(0x10bb)](_0x32a96d[_0x291a8e(0x524)]['message']):_0x32a96d[_0x291a8e(0xd5f)]()});}):_0x4b329a(![]);}function _0x4b329a(_0x23631c){const _0x3e2bd4=_0x4d101e;_0x5a0488[_0x3e2bd4(0x2458)](_0x23631c);}_0x4efb3a();}const _0x74b870=_0x261de0;;_0x4496d0['$inject']=['$mdDialog',_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0xe80),_0x313a4d(0x2894),'tags'];function _0x4496d0(_0x2df46f,_0x1588eb,_0x190bed,_0x1d5b6b,_0x3250d7,_0x6d0f42){const _0x5b4896=_0x313a4d,_0x4cd214=this;_0x4cd214[_0x5b4896(0xcef)]=[],_0x4cd214[_0x5b4896(0x1b86)]=_0x6d0f42,_0x4cd214[_0x5b4896(0xe80)]=angular[_0x5b4896(0x235a)](_0x1d5b6b),_0x4cd214[_0x5b4896(0xe80)][_0x5b4896(0x2331)]=_0x3f65c0()[_0x5b4896(0x205)](_0x4cd214[_0x5b4896(0xe80)][_0x5b4896(0x2331)],function(_0x6d5345){const _0x499e43=_0x5b4896;return{'id':_0x6d5345['id'],'name':_0x6d5345[_0x499e43(0x19eb)],'color':_0x6d5345[_0x499e43(0x15bb)]};}),_0x4cd214[_0x5b4896(0x2894)]=_0x3250d7,_0x4cd214[_0x5b4896(0x1bf6)]=!![],_0x4cd214[_0x5b4896(0x236b)]=_0x43bdc8,_0x4cd214[_0x5b4896(0x13f3)]=_0x37b9fd,_0x4cd214[_0x5b4896(0x161a)]=_0x4cd214[_0x5b4896(0x2894)]+_0x5b4896(0x2297);function _0x43bdc8(){const _0x420ba0=_0x5b4896;_0x4cd214[_0x420ba0(0xcef)]=[];if(_0x190bed[_0x4cd214[_0x420ba0(0x161a)]])return _0x190bed[_0x4cd214['apiRoute']][_0x420ba0(0x22ad)]({'id':_0x4cd214[_0x420ba0(0xe80)]['id'],'ids':_0x3f65c0()[_0x420ba0(0x205)](_0x4cd214['interaction'][_0x420ba0(0x2331)],'id')})[_0x420ba0(0x2945)][_0x420ba0(0x146b)](function(){const _0x4f4745=_0x420ba0;_0x1588eb[_0x4f4745(0x1c75)]({'title':'Interaction\x20properly\x20tagged!','msg':_0x4f4745(0xf25)}),_0x1d5b6b[_0x4f4745(0x2331)]=_0x4cd214[_0x4f4745(0xe80)][_0x4f4745(0x2331)],_0x37b9fd(!![]);})[_0x420ba0(0x129e)](function(_0x27cb67){const _0x34a855=_0x420ba0;if(_0x27cb67[_0x34a855(0x524)]&&_0x27cb67[_0x34a855(0x524)][_0x34a855(0xcef)]&&_0x27cb67[_0x34a855(0x524)][_0x34a855(0xcef)][_0x34a855(0x402)])for(let _0x156cf8=0x0;_0x156cf8<_0x27cb67[_0x34a855(0x524)]['errors'][_0x34a855(0x402)];_0x156cf8+=0x1){_0x1588eb[_0x34a855(0x1980)]({'title':_0x27cb67[_0x34a855(0x524)]['errors'][_0x156cf8][_0x34a855(0x1142)],'msg':_0x27cb67[_0x34a855(0x524)][_0x34a855(0xcef)][_0x156cf8][_0x34a855(0x7fd)]});}else _0x1588eb[_0x34a855(0x1980)]({'title':_0x27cb67[_0x34a855(0x107b)]?'API:'+_0x27cb67[_0x34a855(0x107b)]+_0x34a855(0x1315)+_0x27cb67['statusText']:_0x34a855(0x343),'msg':_0x27cb67[_0x34a855(0x524)]?JSON[_0x34a855(0x10bb)](_0x27cb67[_0x34a855(0x524)][_0x34a855(0x7fd)]):_0x27cb67[_0x34a855(0xd5f)]()});});else _0x37b9fd(![]);}function _0x37b9fd(_0x40f29b){_0x2df46f['hide'](_0x40f29b);}}const _0x1ee1f0=_0x4496d0;;_0x1954d0[_0x313a4d(0x11c2)]=['$mdDialog',_0x313a4d(0x1abe),'Auth',_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0x2894),_0x313a4d(0x7fd),'account'];function _0x1954d0(_0x50c056,_0xc782da,_0x101634,_0x18aac0,_0x391f7d,_0x56d934,_0x5873d5,_0x4ab4f1){const _0x4e8093=_0x313a4d,_0x5735be=this;_0x5735be[_0x4e8093(0x2321)]=_0x101634[_0x4e8093(0xb12)](),_0x5735be[_0x4e8093(0x2894)]=_0x56d934[_0x4e8093(0x256e)](),_0x5735be[_0x4e8093(0x7fd)]=_0x5873d5,_0x5735be[_0x4e8093(0x1f8e)]=_0x4ab4f1,_0x5735be[_0x4e8093(0x15b)]={'id':0x0,'type':'queue'},_0x5735be[_0x4e8093(0x1b32)]={'count':0x0,'rows':[]},_0x5735be[_0x4e8093(0x23c0)]=_0x5735be['queues'],_0x5735be[_0x4e8093(0x4be)]=_0x5735be[_0x4e8093(0x2894)]+_0x4e8093(0xe26),_0x5735be[_0x4e8093(0xf3f)]=_0x5735be[_0x4e8093(0x2894)]+_0x4e8093(0x74b),_0x5735be[_0x4e8093(0x1e8e)]=_0x5d1e92,_0x5735be['closeDialog']=_0x1db0c6,_0x5735be[_0x4e8093(0x5aa)]=_0x29a8a1,_0x5735be[_0x4e8093(0x5aa)]();function _0x29a8a1(){const _0x3c5872=_0x4e8093;return _0x18aac0[_0x3c5872(0xe7b)]['get']({'fields':'id,name','role':_0x3c5872(0x1755),'sort':'name','nolimit':!![]})[_0x3c5872(0x2945)][_0x3c5872(0x146b)](function(_0xfe3436){const _0x381184=_0x3c5872;return _0x5735be[_0x381184(0x23c0)]=_0xfe3436||{'count':0x0,'rows':[]},_0x18aac0[_0x5735be['queueMethod']]['get']({'fields':_0x381184(0x7a7),'sort':_0x381184(0x19eb),'nolimit':!![]})['$promise'];})[_0x3c5872(0x146b)](function(_0xfe56c4){const _0xeb1806=_0x3c5872;_0x5735be[_0xeb1806(0x1b32)]=_0xfe56c4||{'count':0x0,'rows':[]};})[_0x3c5872(0x129e)](function(_0x1bff32){const _0x4dc795=_0x3c5872;console[_0x4dc795(0x1980)](_0x1bff32);});}function _0x1db0c6(_0xce0b8e){const _0x4f1544=_0x4e8093;_0x50c056[_0x4f1544(0x2458)](_0xce0b8e);}function _0x5d1e92(){_0x1db0c6(!![]),_0xc782da(function(){const _0x23b64a=a0_0x3bb9;return _0x18aac0[_0x23b64a(0x1af7)][_0x5735be['transfer'][_0x23b64a(0x1142)]==='agent'?_0x23b64a(0x2893):_0x5735be['queueNotifyMethod']]({'id':_0x5735be['transfer']['id'],'messageId':_0x5735be['message']['id'],'channel':_0x5735be[_0x23b64a(0x2894)]})['$promise'][_0x23b64a(0x146b)](function(){const _0x216d34=_0x23b64a;_0x391f7d[_0x216d34(0x1c75)]({'title':_0x216d34(0x3ee),'msg':_0x216d34(0x1ab6)+_0x5735be[_0x216d34(0x15b)][_0x216d34(0x1142)]+_0x216d34(0x98b)});})['catch'](function(_0x595beb){const _0x3ec371=_0x23b64a;if(_0x595beb[_0x3ec371(0x524)]&&_0x595beb[_0x3ec371(0x524)][_0x3ec371(0xcef)]&&_0x595beb[_0x3ec371(0x524)][_0x3ec371(0xcef)][_0x3ec371(0x402)]){_0x5735be['errors']=_0x595beb[_0x3ec371(0x524)][_0x3ec371(0xcef)]||[{'message':_0x595beb['toString'](),'type':_0x3ec371(0x1cae)}];for(let _0x434ffc=0x0;_0x434ffc<_0x595beb[_0x3ec371(0x524)][_0x3ec371(0xcef)][_0x3ec371(0x402)];_0x434ffc++){_0x391f7d['error']({'title':_0x595beb[_0x3ec371(0x524)][_0x3ec371(0xcef)][_0x434ffc][_0x3ec371(0x1142)],'msg':_0x595beb['data'][_0x3ec371(0xcef)][_0x434ffc][_0x3ec371(0x7fd)]});}}else _0x391f7d['error']({'title':_0x595beb[_0x3ec371(0x107b)]?'API:'+_0x595beb['status']+_0x3ec371(0x1315)+_0x595beb[_0x3ec371(0x167f)]:'api.rpc.notify','msg':_0x595beb[_0x3ec371(0x524)]?JSON[_0x3ec371(0x10bb)](_0x595beb[_0x3ec371(0x524)][_0x3ec371(0x7fd)]):_0x595beb[_0x3ec371(0xd5f)]()});});},0x1388);}}const _0x1b279d=_0x1954d0;;_0x4f41ae[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$q',_0x313a4d(0x10e8),_0x313a4d(0x25c8),'api',_0x313a4d(0x1fe4),_0x313a4d(0xa87),'$translate',_0x313a4d(0x1fc2),_0x313a4d(0x1abe),_0x313a4d(0x216c)];function _0x4f41ae(_0x360097,_0x2d1588,_0x41c2ff,_0x3609a1,_0x576f21,_0x1d34f4,_0x3cdb5c,_0x39b60f,_0x35cfeb,_0x59c2b7,_0x39c217){const _0x100943=_0x313a4d,_0x47f1b5=this;_0x47f1b5[_0x100943(0x3dc)]={},_0x47f1b5['showResetButton']=!![],_0x47f1b5[_0x100943(0x49b)]=![],_0x47f1b5[_0x100943(0x17dc)]=_0x39c217[_0x100943(0x17dc)](),_0x47f1b5[_0x100943(0xb9f)]=_0x3216b3,_0x47f1b5[_0x100943(0x155f)]=_0x44c9d0,_0x47f1b5[_0x100943(0xc87)]=_0x15de95,_0x47f1b5['getResponseSheet']=_0x146b99,_0x47f1b5['getResponseSheetRow']=_0x2789fa,_0x47f1b5['getResponseSheetHeaders']=_0x2eabba,_0x47f1b5[_0x100943(0x1c89)]=_0x4927f6,_0x47f1b5['init']=_0x98fca5,_0x47f1b5[_0x100943(0xe50)]=function(_0x41a3c7){const _0x46d740=_0x100943;if(_0x47f1b5['formViewer'][_0x46d740(0x2450)]){const _0x31e4ed=_0x41c2ff['confirm']()[_0x46d740(0x1189)](_0x39b60f[_0x46d740(0xde)](_0x46d740(0x2344)))[_0x46d740(0x80f)](_0x39b60f['instant'](_0x46d740(0x1071)))['textContent']('')[_0x46d740(0x4bd)]('survey')[_0x46d740(0x1f27)](_0x41a3c7)['ok'](_0x39b60f[_0x46d740(0xde)](_0x46d740(0x2ea)))[_0x46d740(0x6c3)](_0x39b60f[_0x46d740(0xde)](_0x46d740(0x7dc)));_0x41c2ff[_0x46d740(0x2615)](_0x31e4ed)[_0x46d740(0x146b)](function(){const _0x1b6bcc=_0x46d740;_0x47f1b5[_0x1b6bcc(0x49b)]=![],_0x47f1b5[_0x1b6bcc(0x9e0)]['currentPage']=undefined,_0x2dc780(),_0x47f1b5['formViewer']['reset']();});}};function _0x2dc780(){const _0x5b391c=_0x100943;try{_0x360097[_0x5b391c(0x2870)][_0x5b391c(0x2870)][_0x5b391c(0x2870)]['vm'][_0x5b391c(0x85a)]();}catch(_0x469f9c){_0x1d34f4['error']({'title':'ERROR\x20UPDATE\x20LOCAL\x20TABS','msg':_0x5b391c(0x1b2c)});}}function _0x98fca5(_0xdbf1e4){const _0x4b2912=_0x100943;_0x47f1b5[_0x4b2912(0x13a3)]=_0xdbf1e4;if(_0x47f1b5[_0x4b2912(0x13a3)]){_0x47f1b5[_0x4b2912(0x133b)]=_0x47f1b5[_0x4b2912(0x13a3)][_0x4b2912(0x133b)],_0x47f1b5[_0x4b2912(0x112)]=_0x47f1b5[_0x4b2912(0x13a3)]['openedAt'],_0x47f1b5['contact']=_0x47f1b5[_0x4b2912(0x13a3)][_0x4b2912(0x1662)],_0x47f1b5['project']=_0x47f1b5[_0x4b2912(0x13a3)][_0x4b2912(0x9e0)];if(_0x47f1b5[_0x4b2912(0x9e0)]){if(_0x3f65c0()[_0x4b2912(0x18a2)](_0x47f1b5[_0x4b2912(0x9e0)][_0x4b2912(0x21e6)])){}else _0x47f1b5[_0x4b2912(0xc87)](_0x47f1b5['project']['formData'])?_0x47f1b5[_0x4b2912(0x9e0)][_0x4b2912(0x21e6)]=JSON[_0x4b2912(0x975)](_0x47f1b5['project'][_0x4b2912(0x21e6)]):_0x47f1b5[_0x4b2912(0x9e0)][_0x4b2912(0x21e6)]=undefined;_0x47f1b5['project'][_0x4b2912(0x2719)]=_0x47f1b5[_0x4b2912(0x9e0)][_0x4b2912(0x2719)]||{},_0x47f1b5[_0x4b2912(0x9e0)][_0x4b2912(0x292)]!==undefined&&_0x59c2b7(function(){const _0x2040c5=_0x4b2912;_0x35cfeb['$broadcast'](_0x2040c5(0xedc),{'page':_0x47f1b5[_0x2040c5(0x9e0)][_0x2040c5(0x292)]});}),_0x360097['$on'](_0x4b2912(0xa54),function(_0x3c3bea,_0x3e108c){const _0xae3518=_0x4b2912;if(_0x3e108c[_0xae3518(0x292)]){_0x47f1b5[_0xae3518(0x9e0)][_0xae3518(0x292)]=_0x3e108c['currentPage'][_0xae3518(0x181)]-0x1,_0x2dc780();_0x47f1b5[_0xae3518(0x9e0)][_0xae3518(0x310)]&&(_0x3e108c['currentPage'][_0xae3518(0x181)]>=0x1&&_0x3e108c[_0xae3518(0x292)][_0xae3518(0x181)]<_0x47f1b5[_0xae3518(0x9e0)]['formData'][_0xae3518(0x28cc)][_0xae3518(0x402)]?_0x47f1b5[_0xae3518(0x49b)]=!![]:_0x47f1b5[_0xae3518(0x49b)]=![]);if(_0x47f1b5[_0xae3518(0x9e0)]['formData']&&_0x47f1b5['project'][_0xae3518(0x21e6)][_0xae3518(0x28cc)])for(let _0xc1718e=0x0,_0x3f06b7=_0x47f1b5[_0xae3518(0x9e0)]['formData'][_0xae3518(0x28cc)]['length'];_0xc1718e<_0x3f06b7;_0xc1718e++){if(_0x3e108c[_0xae3518(0x292)][_0xae3518(0x181)]<_0xc1718e+0x1&&_0x47f1b5[_0xae3518(0x9e0)]['formData']['pages'][_0xc1718e][_0xae3518(0x1aa7)])for(let _0x3f8a84=0x0,_0x2ae4f2=_0x47f1b5['project'][_0xae3518(0x21e6)][_0xae3518(0x28cc)][_0xc1718e]['elements'][_0xae3518(0x402)];_0x3f8a84<_0x2ae4f2;_0x3f8a84++){_0x47f1b5[_0xae3518(0x9e0)]['formData']['pages'][_0xc1718e][_0xae3518(0x1aa7)][_0x3f8a84][_0xae3518(0x1142)]===_0xae3518(0x1246)&&_0x47f1b5[_0xae3518(0x9e0)][_0xae3518(0x21e6)]['pages'][_0xc1718e][_0xae3518(0x1aa7)][_0x3f8a84]['question']&&delete _0x47f1b5['project'][_0xae3518(0x2719)][_0x47f1b5[_0xae3518(0x9e0)]['formData'][_0xae3518(0x28cc)][_0xc1718e][_0xae3518(0x1aa7)][_0x3f8a84][_0xae3518(0x1246)]['id']];}}}});}}}function _0x15de95(_0x3edafa){const _0x36d1eb=_0x100943;if(_0x3f65c0()[_0x36d1eb(0x1b36)](_0x3edafa))return![];try{JSON[_0x36d1eb(0x975)](_0x3edafa);}catch(_0x5abe41){return![];}return!![];}function _0x44c9d0(_0x1f1cef,_0x553f0c){const _0xaf59b6=_0x100943;try{_0x360097[_0xaf59b6(0x2870)][_0xaf59b6(0x2870)][_0xaf59b6(0x2870)]['vm']['addContactTab'](_0x553f0c);}catch(_0x560f06){_0x1d34f4[_0xaf59b6(0x1980)]({'title':_0xaf59b6(0x206c),'msg':_0xaf59b6(0x1caf)});}}function _0x233103(_0x8ec269){const _0x466869=_0x100943,_0x149bb4=_0x2d1588[_0x466869(0xce3)]();return _0x47f1b5[_0x466869(0x9e0)][_0x466869(0x563)]?_0x576f21[_0x466869(0xe7b)][_0x466869(0x974)]({'id':_0x8ec269['id']})[_0x466869(0x2945)]['then'](function(){_0x149bb4['resolve']();})['catch'](function(){const _0x57723c=_0x466869;console['log'](_0x57723c(0xa36)),_0x149bb4['resolve']();}):_0x149bb4[_0x466869(0x2922)](),_0x149bb4[_0x466869(0xb9c)];}function _0x37bd28(_0x3d8074,_0x2d1dde){const _0xa1a01=_0x100943,_0x2081b8=[],_0x55f959=[],_0x487cf7=_0x3cdb5c[_0xa1a01(0xb12)]();_0x233103(_0x487cf7)[_0xa1a01(0x146b)](function(){const _0x1c18e1=_0xa1a01;return _0x576f21[_0x1c18e1(0x468)][_0x1c18e1(0x1e3)]({'starttime':_0x47f1b5[_0x1c18e1(0x112)],'membername':_0x47f1b5[_0x1c18e1(0x133b)]&&_0x47f1b5[_0x1c18e1(0x133b)][_0x1c18e1(0x1801)]?_0x47f1b5['call'][_0x1c18e1(0x1801)]:_0x487cf7[_0x1c18e1(0x19eb)],'calleridname':_0x47f1b5[_0x1c18e1(0x133b)]&&_0x47f1b5[_0x1c18e1(0x133b)][_0x1c18e1(0x1772)]?_0x47f1b5[_0x1c18e1(0x133b)][_0x1c18e1(0x1772)]:undefined,'calleridnum':_0x47f1b5[_0x1c18e1(0x133b)]&&_0x47f1b5[_0x1c18e1(0x133b)]['calleridnum']?_0x47f1b5[_0x1c18e1(0x133b)][_0x1c18e1(0xa5c)]:undefined,'queue':_0x47f1b5[_0x1c18e1(0x133b)]&&_0x47f1b5[_0x1c18e1(0x133b)][_0x1c18e1(0x1c72)]?_0x47f1b5[_0x1c18e1(0x133b)][_0x1c18e1(0x1c72)]:undefined,'uniqueid':_0x47f1b5[_0x1c18e1(0x133b)]&&_0x47f1b5['call'][_0x1c18e1(0x4f8)]?_0x47f1b5[_0x1c18e1(0x133b)]['uniqueid']:undefined,'VoiceQueueId':_0x47f1b5[_0x1c18e1(0x133b)]&&_0x47f1b5['call'][_0x1c18e1(0x540)]?_0x47f1b5[_0x1c18e1(0x133b)][_0x1c18e1(0x540)]:undefined,'ProjectId':_0x47f1b5['project']&&_0x47f1b5[_0x1c18e1(0x9e0)]['id']?_0x47f1b5[_0x1c18e1(0x9e0)]['id']:undefined,'projectname':_0x47f1b5[_0x1c18e1(0x9e0)]&&_0x47f1b5[_0x1c18e1(0x9e0)][_0x1c18e1(0x19eb)]?_0x47f1b5[_0x1c18e1(0x9e0)]['name']:undefined,'ContactId':_0x47f1b5['contact']&&_0x47f1b5['contact']['id']?_0x47f1b5[_0x1c18e1(0x1662)]['id']:undefined,'completed':_0x2d1dde})[_0x1c18e1(0x2945)];})['then'](function(_0x2ad1a2){const _0xee31dd=_0xa1a01;if(_0x2ad1a2){const _0x360c85=_0x47f1b5[_0xee31dd(0x1595)](),_0x1b2402=_0x47f1b5[_0xee31dd(0x28ff)](),_0x38d72a=_0x47f1b5[_0xee31dd(0x1c89)]();for(let _0x5dd384=0x0;_0x5dd384<_0x360c85[_0xee31dd(0x402)];_0x5dd384+=0x1){if(!_0x3f65c0()[_0xee31dd(0x2635)](String(_0x1b2402[_0x5dd384]))){try{_0x2081b8[_0xee31dd(0x1f47)](_0x576f21[_0xee31dd(0x2526)][_0xee31dd(0x1e3)]({'question':_0x360c85[_0x5dd384],'answer':_0x38d72a[_0x5dd384]['type']==='date'?_0x2deec6()(String(_0x1b2402[_0x5dd384]))[_0xee31dd(0x22b0)](_0xee31dd(0x17d5)):_0x38d72a[_0x5dd384][_0xee31dd(0x1142)]==='time'?_0x2deec6()(String(_0x1b2402[_0x5dd384]))[_0xee31dd(0x22b0)](_0xee31dd(0x28b4)):String(_0x1b2402[_0x5dd384]),'questionId':_0x38d72a[_0x5dd384]['id'],'membername':_0x47f1b5[_0xee31dd(0x133b)]&&_0x47f1b5[_0xee31dd(0x133b)]['membername']?_0x47f1b5['call'][_0xee31dd(0x1801)]:_0x487cf7['name'],'calleridname':_0x47f1b5[_0xee31dd(0x133b)]&&_0x47f1b5[_0xee31dd(0x133b)][_0xee31dd(0x1772)]?_0x47f1b5[_0xee31dd(0x133b)]['calleridname']:undefined,'calleridnum':_0x47f1b5[_0xee31dd(0x133b)]&&_0x47f1b5[_0xee31dd(0x133b)][_0xee31dd(0xa5c)]?_0x47f1b5[_0xee31dd(0x133b)][_0xee31dd(0xa5c)]:undefined,'queue':_0x47f1b5['call']&&_0x47f1b5['call'][_0xee31dd(0x1c72)]?_0x47f1b5[_0xee31dd(0x133b)][_0xee31dd(0x1c72)]:undefined,'uniqueid':_0x47f1b5[_0xee31dd(0x133b)]&&_0x47f1b5[_0xee31dd(0x133b)][_0xee31dd(0x4f8)]?_0x47f1b5[_0xee31dd(0x133b)][_0xee31dd(0x4f8)]:undefined,'SessionId':_0x2ad1a2['id'],'ProjectId':_0x47f1b5[_0xee31dd(0x9e0)]['id'],'projectname':_0x47f1b5[_0xee31dd(0x9e0)][_0xee31dd(0x19eb)]}));}catch(_0x5b48a7){console[_0xee31dd(0x1980)](_0xee31dd(0x20b1),_0x5b48a7);}const _0x531708=String(_0x1b2402[_0x5dd384])[_0xee31dd(0x10c8)](';');for(let _0x4e1d26=0x0;_0x4e1d26<_0x531708[_0xee31dd(0x402)];_0x4e1d26+=0x1){const _0x36495e=_0x531708[_0x4e1d26][_0xee31dd(0x15a3)]();try{_0x55f959[_0xee31dd(0x1f47)](_0x576f21[_0xee31dd(0x1641)][_0xee31dd(0x1e3)]({'question':_0x360c85[_0x5dd384],'questionId':_0x38d72a[_0x5dd384]['id'],'answer':_0x38d72a[_0x5dd384][_0xee31dd(0x1142)]===_0xee31dd(0x1a10)?_0x2deec6()(_0x36495e)['format']('YYYY-MM-DD'):_0x38d72a[_0x5dd384]['type']===_0xee31dd(0x1df0)?_0x2deec6()(_0x36495e)[_0xee31dd(0x22b0)](_0xee31dd(0x28b4)):_0x36495e,'membername':_0x47f1b5[_0xee31dd(0x133b)]&&_0x47f1b5['call'][_0xee31dd(0x1801)]?_0x47f1b5[_0xee31dd(0x133b)][_0xee31dd(0x1801)]:_0x487cf7['name'],'calleridname':_0x47f1b5[_0xee31dd(0x133b)]&&_0x47f1b5[_0xee31dd(0x133b)]['calleridname']?_0x47f1b5['call'][_0xee31dd(0x1772)]:undefined,'calleridnum':_0x47f1b5[_0xee31dd(0x133b)]&&_0x47f1b5['call'][_0xee31dd(0xa5c)]?_0x47f1b5['call'][_0xee31dd(0xa5c)]:undefined,'queue':_0x47f1b5[_0xee31dd(0x133b)]&&_0x47f1b5[_0xee31dd(0x133b)][_0xee31dd(0x1c72)]?_0x47f1b5[_0xee31dd(0x133b)][_0xee31dd(0x1c72)]:undefined,'uniqueid':_0x47f1b5['call']&&_0x47f1b5[_0xee31dd(0x133b)][_0xee31dd(0x4f8)]?_0x47f1b5['call'][_0xee31dd(0x4f8)]:undefined,'SessionId':_0x2ad1a2['id'],'ProjectId':_0x47f1b5[_0xee31dd(0x9e0)]['id'],'projectname':_0x47f1b5[_0xee31dd(0x9e0)][_0xee31dd(0x19eb)]}));}catch(_0x965215){console['error'](_0xee31dd(0x26c2),_0x965215);}}}}}return _0x2d1588[_0xee31dd(0x1be2)](_0x2081b8)[_0xee31dd(0x146b)](function(){const _0x4d6704=_0xee31dd;return _0x2d1588[_0x4d6704(0x1be2)](_0x55f959)[_0x4d6704(0x146b)](function(){return _0x2ad1a2;});});})['then'](function(_0x2fca7d){const _0x44c2c6=_0xa1a01;_0x2fca7d?_0x3d8074[_0x44c2c6(0x2922)](!![]):_0x3d8074[_0x44c2c6(0xa6f)]();})['catch'](function(_0x28fdbd){const _0x5a7e89=_0xa1a01;if(_0x28fdbd[_0x5a7e89(0x524)]&&_0x28fdbd[_0x5a7e89(0x524)][_0x5a7e89(0xcef)]&&_0x28fdbd['data'][_0x5a7e89(0xcef)][_0x5a7e89(0x402)]){_0x47f1b5[_0x5a7e89(0xcef)]=_0x28fdbd['data'][_0x5a7e89(0xcef)]||[{'message':_0x28fdbd[_0x5a7e89(0xd5f)](),'type':_0x5a7e89(0x33e)}];for(let _0x16c841=0x0;_0x16c841<_0x28fdbd[_0x5a7e89(0x524)][_0x5a7e89(0xcef)][_0x5a7e89(0x402)];_0x16c841+=0x1){_0x1d34f4[_0x5a7e89(0x1980)]({'title':_0x28fdbd[_0x5a7e89(0x524)][_0x5a7e89(0xcef)][_0x16c841][_0x5a7e89(0x1142)],'msg':_0x28fdbd[_0x5a7e89(0x524)][_0x5a7e89(0xcef)][_0x16c841][_0x5a7e89(0x7fd)]});}}else _0x1d34f4['error']({'title':_0x28fdbd['status']?_0x5a7e89(0x262a)+_0x28fdbd[_0x5a7e89(0x107b)]+'\x20-\x20'+_0x28fdbd[_0x5a7e89(0x167f)]:'api.contact.save','msg':_0x28fdbd[_0x5a7e89(0x524)]?JSON['stringify'](_0x28fdbd[_0x5a7e89(0x524)][_0x5a7e89(0x7fd)]):_0x28fdbd[_0x5a7e89(0xd5f)]()});_0x3d8074[_0x5a7e89(0xa6f)]();});}function _0x999384(_0x3545ff){const _0x2a7bb8=_0x100943;try{_0x360097['$parent'][_0x2a7bb8(0x2870)]['$parent']['vm']['removeTab'](_0x3545ff);}catch(_0x37dc6e){_0x1d34f4[_0x2a7bb8(0x1980)]({'title':'ERROR\x20REMOVE\x20TAB','msg':_0x2a7bb8(0x282)});}}function _0x3216b3(_0x4578e1,_0x5ce227){const _0x4b1e78=_0x100943,_0x2f2391=_0x2d1588[_0x4b1e78(0xce3)]();if(_0x5ce227){const _0x80d620=_0x41c2ff[_0x4b1e78(0x1e8a)]()[_0x4b1e78(0x1189)]('Would\x20you\x20like\x20to\x20save\x20your\x20survey?')[_0x4b1e78(0x199c)]('')[_0x4b1e78(0x4bd)](_0x4b1e78(0x2a3))[_0x4b1e78(0x1f27)](_0x4578e1)['ok']('YES')['cancel']('NO');_0x41c2ff[_0x4b1e78(0x2615)](_0x80d620)[_0x4b1e78(0x146b)](function(){_0x37bd28(_0x2f2391,![]);},function(){const _0x213eb1=_0x4b1e78;_0x2f2391[_0x213eb1(0x2922)]();});}else _0x37bd28(_0x2f2391,!![]);return _0x2f2391[_0x4b1e78(0xb9c)][_0x4b1e78(0x146b)](function(_0x5e599b){const _0x3d6a1d=_0x4b1e78;_0x5e599b&&(_0x1d34f4[_0x3d6a1d(0x1c75)]({'title':_0x3d6a1d(0x2135),'msg':'Your\x20survey\x20session\x20has\x20been\x20properly\x20saved!'}),_0x999384(_0x47f1b5[_0x3d6a1d(0x13a3)]));})[_0x4b1e78(0x129e)](function(){const _0x4e7752=_0x4b1e78;_0x1d34f4[_0x4e7752(0x1980)]({'title':'Session\x20save\x20error','msg':_0x4e7752(0x149b)});});}function _0x146b99(){const _0x41bd31=_0x100943;return _0x3609a1[_0x41bd31(0x21a5)](_0x47f1b5[_0x41bd31(0x9e0)]['formData'],_0x47f1b5[_0x41bd31(0x9e0)]['responseData'],![]);}function _0x2789fa(){const _0x19e2ba=_0x100943;return _0x3609a1[_0x19e2ba(0x28ff)](_0x47f1b5['project'][_0x19e2ba(0x21e6)],_0x47f1b5[_0x19e2ba(0x9e0)][_0x19e2ba(0x2719)]);}function _0x2eabba(){const _0x4e800d=_0x100943;return _0x3609a1['getResponseSheetHeaders'](_0x47f1b5[_0x4e800d(0x9e0)][_0x4e800d(0x21e6)],![]);}function _0x4927f6(){const _0x3eab38=_0x100943;return _0x3609a1[_0x3eab38(0x1c89)](_0x47f1b5[_0x3eab38(0x9e0)][_0x3eab38(0x21e6)],_0x47f1b5[_0x3eab38(0x9e0)]['responseData']);}}const _0x89ff6f=_0x4f41ae;;_0x373ce4[_0x313a4d(0x11c2)]=['$translate',_0x313a4d(0x1fe4),_0x313a4d(0xcee),'api','Auth'];function _0x373ce4(_0x402172,_0xe605c0,_0x3935be,_0x500827,_0x27e6d9){const _0x5dbac8=_0x313a4d,_0x39ee5e=this;_0x39ee5e[_0x5dbac8(0xcee)]=_0x3935be,_0x39ee5e[_0x5dbac8(0xe7b)]=_0x27e6d9[_0x5dbac8(0xb12)]();function _0x2f788a(){return function(_0x425894){const _0x56f4e4=a0_0x3bb9;if(_0x425894['status']===0x194)_0xe605c0['info']({'title':_0x402172['instant'](_0x56f4e4(0x1b7b)),'msg':_0x402172['instant'](_0x56f4e4(0x1d62))});else{if(_0x425894[_0x56f4e4(0x524)]&&_0x425894['data'][_0x56f4e4(0xcef)]&&_0x425894[_0x56f4e4(0x524)][_0x56f4e4(0xcef)][_0x56f4e4(0x402)]){_0x39ee5e[_0x56f4e4(0xcef)]=_0x425894[_0x56f4e4(0x524)][_0x56f4e4(0xcef)]||[{'message':_0x425894['toString'](),'type':'api.openchannelMessage.accept'}];for(let _0x5640ac=0x0;_0x5640ac<_0x425894[_0x56f4e4(0x524)]['errors']['length'];_0x5640ac+=0x1){_0xe605c0[_0x56f4e4(0x1980)]({'title':_0x425894[_0x56f4e4(0x524)][_0x56f4e4(0xcef)][_0x5640ac][_0x56f4e4(0x1142)],'msg':_0x425894['data'][_0x56f4e4(0xcef)][_0x5640ac][_0x56f4e4(0x7fd)]});}}else _0xe605c0[_0x56f4e4(0x1980)]({'title':_0x425894[_0x56f4e4(0x107b)]?_0x56f4e4(0x262a)+_0x425894[_0x56f4e4(0x107b)]+_0x56f4e4(0x1315)+_0x425894[_0x56f4e4(0x167f)]:_0x56f4e4(0x1156),'msg':_0x425894[_0x56f4e4(0x524)]?JSON[_0x56f4e4(0x10bb)](_0x425894['data'][_0x56f4e4(0x7fd)]):_0x425894[_0x56f4e4(0xd5f)]()});}};}function _0x489cef(){const _0x1f2866=_0x5dbac8;if(_0x500827[_0x39ee5e[_0x1f2866(0xcee)]['channel']+'Message'])return _0x500827[_0x39ee5e[_0x1f2866(0xcee)][_0x1f2866(0x2894)]+_0x1f2866(0xe2)][_0x1f2866(0x204a)]({'id':_0x39ee5e[_0x1f2866(0xcee)]['id'],'UserId':_0x39ee5e[_0x1f2866(0xe7b)]['id'],'manual':!![]})[_0x1f2866(0x2945)]['then'](function(){const _0x1fd4b2=_0x1f2866;_0xe605c0[_0x1fd4b2(0x1c75)]({'title':_0x1fd4b2(0x1dc4),'msg':_0x1fd4b2(0x2170)});})[_0x1f2866(0x129e)](_0x2f788a());else _0xe605c0[_0x1f2866(0x1980)]({'title':_0x1f2866(0x428),'msg':_0x1f2866(0x2521)+_0x39ee5e[_0x1f2866(0xcee)][_0x1f2866(0x2894)]+_0x1f2866(0xe2)});}function _0x3d2b1f(){const _0x3c73d3=_0x5dbac8;if(_0x500827[_0x39ee5e[_0x3c73d3(0xcee)][_0x3c73d3(0x2894)]+'Message'])return _0x500827[_0x39ee5e['notification']['channel']+'Message']['reject']({'id':_0x39ee5e[_0x3c73d3(0xcee)]['id'],'UserId':_0x39ee5e['user']['id']})['$promise']['then'](function(){const _0x29343a=_0x3c73d3;_0xe605c0[_0x29343a(0x1c75)]({'title':_0x29343a(0xf75),'msg':'Message\x20has\x20been\x20rejected!'});})[_0x3c73d3(0x129e)](_0x2f788a());else _0xe605c0['error']({'title':_0x3c73d3(0x428),'msg':'API\x20reject\x20message\x20not\x20found:\x20'+_0x39ee5e[_0x3c73d3(0xcee)][_0x3c73d3(0x2894)]+_0x3c73d3(0xe2)});}_0x39ee5e[_0x5dbac8(0x204a)]=_0x489cef,_0x39ee5e['reject']=_0x3d2b1f;}const _0x21c7d2=_0x373ce4;;function _0x52c1c4(){const _0x1bee0a=_0x313a4d,_0x145331=this;function _0x590ceb(_0x28a06d){const _0x55bf2f=a0_0x3bb9;_0x145331[_0x55bf2f(0x13a3)]=_0x28a06d,_0x145331[_0x55bf2f(0x13a3)]&&(_0x145331[_0x55bf2f(0x13a3)][_0x55bf2f(0x1a2e)]=!![],_0x145331[_0x55bf2f(0x1931)]=_0x145331[_0x55bf2f(0x13a3)][_0x55bf2f(0x1931)]);}_0x145331[_0x1bee0a(0x5aa)]=_0x590ceb;}const _0x436337=_0x52c1c4;;const _0x59f0d1=_0x4acfac['p']+_0x313a4d(0x151f);;const _0x43c5df=_0x4acfac['p']+_0x313a4d(0x117e);;_0x37d44e[_0x313a4d(0x11c2)]=[_0x313a4d(0x921)];function _0x37d44e(_0x42c905){const _0xca26b7=_0x313a4d;_0x42c905[_0xca26b7(0x13d6)]('app.dashboards.general',{'url':_0xca26b7(0x2390),'views':{'content@app':{'templateProvider':[_0xca26b7(0x28c8),_0xca26b7(0x25c1),_0xca26b7(0xa87),function(_0xde5469,_0x3ef106,_0x148761){const _0x535879=_0xca26b7;return _0x148761[_0x535879(0x224e)]()?_0x3ef106[_0x535879(0x1389)](_0x43c5df,_0xde5469):_0x3ef106[_0x535879(0x1389)](_0x59f0d1,_0xde5469);}],'controllerProvider':['Auth',function(_0x7143f){const _0x57694c=_0xca26b7;return _0x7143f['isAgent']()?_0x57694c(0x1985):_0x57694c(0xabf);}]}},'resolve':{'globalUserLists':['apiResolver',_0xca26b7(0xa87),function(_0xd151c1,_0x372878){const _0x463cfa=_0xca26b7;return _0xd151c1[_0x463cfa(0x2922)](_0x463cfa(0x22b6),{'id':_0x372878[_0x463cfa(0xb12)]()['id'],'sort':'name','nolimit':!![]});}],'globalLists':['apiResolver',function(_0x3978f3){const _0x134e3a=_0xca26b7;return _0x3978f3[_0x134e3a(0x2922)]('cmList@get',{'sort':_0x134e3a(0x19eb),'nolimit':!![]});}],'globalTags':[_0xca26b7(0x362),function(_0x43cd95){const _0x1baa30=_0xca26b7;return _0x43cd95[_0x1baa30(0x2922)](_0x1baa30(0x2552),{'sort':'name','nolimit':!![]});}],'globalCompanies':['apiResolver',function(_0x1e4bd2){const _0x35e14b=_0xca26b7;return _0x1e4bd2[_0x35e14b(0x2922)](_0x35e14b(0x11ff),{'sort':_0x35e14b(0x19eb),'nolimit':!![]});}]},'authenticate':!![],'bodyClass':'dashboards'});}angular[_0x313a4d(0x2528)]('app.dashboards.general',[_0x313a4d(0xf51),'app.dashboards.general.user'])[_0x313a4d(0x989)](_0x37d44e)[_0x313a4d(0x28f0)]('AgentDashboardGeneralController',_0x14eb60)['factory'](_0x313a4d(0x1909),_0x1f1003)['provider']('desktopNotification',_0x1355b5)['provider'](_0x313a4d(0x2161),_0x1a50cb)[_0x313a4d(0x24fe)]('PERMISSIONS',_0x2d96f1)[_0x313a4d(0x28f0)](_0x313a4d(0x253a),_0x5a4dc3)[_0x313a4d(0x28f0)]('AgentGeneralContactController',_0x59c03c)[_0x313a4d(0x28f0)](_0x313a4d(0x2879),_0x24e225)[_0x313a4d(0x28f0)](_0x313a4d(0x1b22),_0x55b80f)[_0x313a4d(0x28f0)](_0x313a4d(0xe0c),_0x23d687)[_0x313a4d(0x28f0)](_0x313a4d(0x1a12),_0x107d40)[_0x313a4d(0x28f0)]('AgentHomeAbandonedBullController',_0x2de162)['controller']('DisposeAbandonedCallDialogController',_0x4816bc)[_0x313a4d(0x28f0)](_0x313a4d(0xebd),_0x1ef57e)['controller'](_0x313a4d(0x1632),_0x1a77a6)[_0x313a4d(0x28f0)](_0x313a4d(0x1eb4),_0x3e5a40)[_0x313a4d(0x28f0)]('AgentHomeContactsController',_0x3d6357)[_0x313a4d(0x28f0)](_0x313a4d(0x2684),_0x257aa7)[_0x313a4d(0x28f0)](_0x313a4d(0xdd3),_0x5efbb3)[_0x313a4d(0x28f0)](_0x313a4d(0x34e),_0x5aa209)[_0x313a4d(0x28f0)](_0x313a4d(0xdc3),_0x168f30)[_0x313a4d(0x28f0)]('AgentHomeDashboardMultiChannelMailController',_0x3a12fd)[_0x313a4d(0x28f0)](_0x313a4d(0xa06),_0x3a23e3)[_0x313a4d(0x28f0)](_0x313a4d(0x2465),_0x244316)['controller'](_0x313a4d(0x208d),_0x253d40)[_0x313a4d(0x28f0)]('AgentHomeDashboardMultiChannelWhatsappController',_0x55a4b6)[_0x313a4d(0x28f0)]('AgentHomeFaxController',_0x54a684)[_0x313a4d(0x28f0)](_0x313a4d(0x1b2f),_0x1513e2)[_0x313a4d(0x28f0)](_0x313a4d(0x1cbc),_0xfea453)[_0x313a4d(0x28f0)](_0x313a4d(0xed9),_0x3ab007)['controller']('AgentHomePluginsController',_0x29347f)[_0x313a4d(0x28f0)](_0x313a4d(0x778),_0x4b50b9)[_0x313a4d(0x28f0)](_0x313a4d(0x204),_0x2873a1)[_0x313a4d(0x28f0)]('AgentHomeSmsController',_0x50e67a)[_0x313a4d(0x28f0)](_0x313a4d(0x2831),_0x2fa6f1)[_0x313a4d(0x28f0)](_0x313a4d(0x35b),_0x298ae7)[_0x313a4d(0x28f0)](_0x313a4d(0x2584),_0x1bdaba)[_0x313a4d(0x28f0)]('AgentGeneralIframeController',_0x5be76c)[_0x313a4d(0x2ba)](_0x313a4d(0x181e),_0x371c1a)['filter']('getChannelByItem',_0x1f5322)[_0x313a4d(0x28f0)](_0x313a4d(0xd2c),_0x1141d1)[_0x313a4d(0x1750)](_0x313a4d(0x272e),_0x3e78fe)[_0x313a4d(0x28f0)](_0x313a4d(0x1c90),_0xfce772)['controller'](_0x313a4d(0x1faa),_0x5a42c9)['controller'](_0x313a4d(0xde7),_0x2979c2)['controller'](_0x313a4d(0x1d08),_0x4f874e)[_0x313a4d(0x28f0)](_0x313a4d(0x268d),_0x449643)[_0x313a4d(0x28f0)](_0x313a4d(0xe23),_0x74b870)[_0x313a4d(0x28f0)]('TagInteractionDialogController',_0x1ee1f0)['controller'](_0x313a4d(0x116e),_0x1b279d)['controller'](_0x313a4d(0x163b),_0x89ff6f)[_0x313a4d(0x28f0)](_0x313a4d(0x17e6),_0x21c7d2)['controller'](_0x313a4d(0x2244),_0x436337);;const _0x1c9e43=_0x4acfac['p']+_0x313a4d(0x1555);;_0x2eb87e[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),_0x313a4d(0xa0a),_0x313a4d(0x910),_0x313a4d(0x247f),_0x313a4d(0x279d),_0x313a4d(0xa87),_0x313a4d(0xa27),_0x313a4d(0x26b6),_0x313a4d(0x1366)];function _0x2eb87e(_0x2868b9,_0x2e6bab,_0x45c7cb,_0x25970a,_0x240bf0,_0x3cfafa,_0x363324,_0x3e39a1,_0x1c9be5){const _0x7ddeff=_0x313a4d,_0xa85012=this;_0xa85012[_0x7ddeff(0x2321)]=_0x3cfafa[_0x7ddeff(0xb12)](),_0xa85012[_0x7ddeff(0x26b6)]=_0x3e39a1,_0xa85012[_0x7ddeff(0x1366)]=_0x1c9be5&&_0x1c9be5[_0x7ddeff(0x51c)]==0x1?_0x1c9be5[_0x7ddeff(0x19c7)][0x0]:null,_0xa85012[_0x7ddeff(0x2514)]=_0x3cfafa[_0x7ddeff(0xe60)](_0xa85012[_0x7ddeff(0x1366)]?_0xa85012['userProfileSection'][_0x7ddeff(0x2514)]:null),_0xa85012[_0x7ddeff(0x1d3b)]={},_0xa85012['voiceQueues']=_0x363324&&_0x363324[_0x7ddeff(0x51c)]?_0x363324:{'count':0x0,'rows':[]},_0xa85012['voiceQueuesSelected']=_0x363324&&_0x363324[_0x7ddeff(0x51c)]?_0x3f65c0()['map'](_0x363324['rows'],'id'):[],_0xa85012[_0x7ddeff(0x2765)]=_0x2e6bab(function(){},0x3e8),_0xa85012[_0x7ddeff(0x959)]=_0x14d932,_0xa85012[_0x7ddeff(0x1c6e)]=_0x5983d9,_0x240bf0[_0x7ddeff(0x13b7)](_0x7ddeff(0x101d),_0x4d2d37),_0x25970a[_0x7ddeff(0x130)][_0x7ddeff(0x16b4)]({'id':_0x2868b9['params']['id']})['$promise'][_0x7ddeff(0x146b)](function(_0x5837de){const _0x1a74aa=_0x7ddeff;if(_0x5837de)return _0xa85012[_0x1a74aa(0x1d3b)]=_0x5837de,_0xa85012[_0x1a74aa(0x1d3b)][_0x1a74aa(0x25f1)]=[],_0xa85012[_0x1a74aa(0x1d3b)]['preview']=!![],_0xa85012[_0x1a74aa(0x1d3b)][_0x1a74aa(0x2fe)]={'enabled':![]},_0xa85012['customDashboard']['resizable']={'enabled':![]},_0x25970a[_0x1a74aa(0x130)][_0x1a74aa(0xe92)]({'id':_0xa85012[_0x1a74aa(0x1d3b)]['id']})['$promise'];})[_0x7ddeff(0x146b)](function(_0x57974b){const _0x5cda9e=_0x7ddeff;if(_0x57974b&&_0x57974b['count']&&_0x57974b[_0x5cda9e(0x19c7)])for(let _0x208f37=0x0;_0x208f37<_0x57974b[_0x5cda9e(0x19c7)][_0x5cda9e(0x402)];_0x208f37+=0x1){_0x57974b['rows'][_0x208f37][_0x5cda9e(0x112e)]=_0x5cda9e(0xbb5)+_0x57974b['rows'][_0x208f37][_0x5cda9e(0x1142)]+_0x5cda9e(0x1cfb)+_0x57974b['rows'][_0x208f37][_0x5cda9e(0x1142)]+_0x5cda9e(0x23d6),_0x57974b[_0x5cda9e(0x19c7)][_0x208f37][_0x5cda9e(0xa27)]=_0xa85012[_0x5cda9e(0xa27)],_0x57974b[_0x5cda9e(0x19c7)][_0x208f37]['voiceQueuesSelected']=_0xa85012['voiceQueuesSelected'],_0xa85012[_0x5cda9e(0x1d3b)][_0x5cda9e(0x25f1)][_0x5cda9e(0x1f47)](_0x57974b[_0x5cda9e(0x19c7)][_0x208f37]);}})[_0x7ddeff(0x129e)](function(){const _0x316efb=_0x7ddeff;_0xa85012[_0x316efb(0x1d3b)]=undefined;});function _0x4d2d37(_0x55d5a7){const _0x390c9f=_0x7ddeff,_0x44a8d6=_0x3f65c0()['find'](_0xa85012['voiceQueues'][_0x390c9f(0x19c7)],{'id':_0x55d5a7['id']});_0x44a8d6&&_0x3f65c0()['merge'](_0x44a8d6,_0x3f65c0()[_0x390c9f(0x40e)](_0x55d5a7,_0x3f65c0()[_0x390c9f(0x627)](_0x44a8d6)));}function _0x14d932(){const _0x20c82f=_0x7ddeff;for(let _0x3e3a62=0x0;_0x3e3a62<_0xa85012[_0x20c82f(0x1d3b)][_0x20c82f(0x25f1)][_0x20c82f(0x402)];_0x3e3a62+=0x1){_0xa85012[_0x20c82f(0x1d3b)]['widgets'][_0x3e3a62]['voiceQueuesSelected']=_0xa85012['voiceQueuesSelected'];}}function _0x5983d9(){const _0x555511=_0x7ddeff;_0x2868b9['go']('app.tools.customDashboards.edit',{'id':_0xa85012[_0x555511(0x1d3b)]['id'],'tab':0x1});}_0x45c7cb[_0x7ddeff(0x16ad)](_0x7ddeff(0x116f),function(){const _0x4b0478=_0x7ddeff;_0x240bf0[_0x4b0478(0x1c5f)]('voice_queue:save'),_0xa85012[_0x4b0478(0x2765)]&&_0x2e6bab[_0x4b0478(0x6c3)](_0xa85012['interval']);});}const _0x47fe7b=_0x2eb87e;;_0x5194c6[_0x313a4d(0x11c2)]=[_0x313a4d(0x921)];function _0x5194c6(_0x346852){const _0x1cad47=_0x313a4d;_0x346852['state'](_0x1cad47(0x993),{'url':_0x1cad47(0x1241),'views':{'content@app':{'templateUrl':_0x1c9e43,'controller':_0x1cad47(0x606)}},'resolve':{'voiceQueues':['apiResolver','Auth',function(_0x42e609,_0x4c2e31){const _0x47b808=_0x1cad47;let _0x2ecb3f;const _0x106fd3=_0x4c2e31[_0x47b808(0x23e0)](_0x47b808(0x174b))?_0x42e609[_0x47b808(0x2922)](_0x47b808(0x5c0),{'fields':_0x47b808(0x7a7),'nolimit':!![]}):_0x42e609['resolve'](_0x47b808(0x938),{'id':_0x4c2e31[_0x47b808(0xb12)]()[_0x47b808(0x209a)],'section':'VoiceQueues','mergeResources':!![],'fields':_0x47b808(0x7a7),'channel':_0x47b808(0xe6),'nolimit':!![]});return _0x106fd3['then'](function(_0x2faba8){const _0x16e646=_0x47b808;return _0x2ecb3f=_0x2faba8,_0x42e609['resolve'](_0x16e646(0x684));})[_0x47b808(0x146b)](function(_0x53a037){const _0x50775c=_0x47b808;if(_0x53a037)for(let _0x3ef54a=0x0,_0x4ae8c5;_0x3ef54a<_0x53a037[_0x50775c(0x19c7)]['length'];_0x3ef54a+=0x1){_0x4ae8c5=_0x3f65c0()[_0x50775c(0xc84)](_0x2ecb3f[_0x50775c(0x19c7)],{'id':_0x53a037[_0x50775c(0x19c7)][_0x3ef54a]['id']}),_0x4ae8c5&&_0x3f65c0()[_0x50775c(0x168d)](_0x4ae8c5,_0x3f65c0()[_0x50775c(0x40e)](_0x53a037[_0x50775c(0x19c7)][_0x3ef54a],[_0x50775c(0x12a3),'total',_0x50775c(0x10ed),_0x50775c(0x23c3),_0x50775c(0x2389),_0x50775c(0x521)]));}return _0x2ecb3f;});}],'userProfile':[_0x1cad47(0x362),'Auth',function(_0x41364c,_0x15fc03){const _0x4d35fd=_0x1cad47;return _0x41364c['resolve'](_0x4d35fd(0x119a),{'fields':_0x4d35fd(0x227),'id':_0x15fc03[_0x4d35fd(0xb12)]()[_0x4d35fd(0x209a)]});}],'userProfileSection':[_0x1cad47(0x362),_0x1cad47(0xa87),function(_0x4bc4e3,_0x598bdd){const _0x24d23a=_0x1cad47;return _0x4bc4e3[_0x24d23a(0x2922)](_0x24d23a(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x598bdd[_0x24d23a(0xb12)]()['userProfileId'],'sectionId':0x64});}]},'authenticate':!![],'bodyClass':_0x1cad47(0x6de)});}angular['module'](_0x313a4d(0x993),[])[_0x313a4d(0x989)](_0x5194c6)['controller'](_0x313a4d(0x924),_0x47fe7b);;_0x21c087[_0x313a4d(0x11c2)]=[_0x313a4d(0x921),_0x313a4d(0x695)];function _0x21c087(_0xa2e4fc,_0x3138d5){const _0x23a961=_0x313a4d;_0xa2e4fc['state'](_0x23a961(0x2843),{'abstract':!![],'url':_0x23a961(0xcb2)}),_0x3138d5[_0x23a961(0x15bf)](_0x23a961(0xe12));}angular['module'](_0x313a4d(0x2843),[_0x313a4d(0xdad),_0x313a4d(0x962),_0x313a4d(0x23c7),'flow',_0x313a4d(0x19e2),'ckeditor',_0x313a4d(0x195b),'ngAria',_0x313a4d(0xc86),_0x313a4d(0x1f5f),_0x313a4d(0x28a8),_0x313a4d(0xfb2),'mwFormUtils',_0x313a4d(0x581),_0x313a4d(0x1bd2),'ngEmbed',_0x313a4d(0x1b65),_0x313a4d(0x1626),_0x313a4d(0x2713),_0x313a4d(0x11df),'app.dashboards.general',_0x313a4d(0x993)])[_0x313a4d(0x989)](_0x21c087);;const _0x229b1c=_0x4acfac['p']+_0x313a4d(0x2142);;const _0x58a671=_0x4acfac['p']+_0x313a4d(0x98c);;_0x2fde47['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),'$document',_0x313a4d(0x1abe),'$translate',_0x313a4d(0x23c0),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x216c),'toasty',_0x313a4d(0xa87),'license',_0x313a4d(0x15b9)];function _0x2fde47(_0x2b79e3,_0x7b66a7,_0x3bf87d,_0x20f72c,_0x51316e,_0x5f261f,_0x17ff7e,_0x42cf76,_0x1dd66c,_0x13557b,_0xb07ff2,_0x393840,_0xf2b2dd,_0x23a963,_0x3351a5,_0x52012c,_0xcdbaed){const _0x147a34=_0x313a4d,_0x341649=this;_0x341649[_0x147a34(0x2690)]=_0x52012c,_0x341649['setting']=_0xcdbaed,_0x341649[_0x147a34(0x2321)]=_0x3351a5[_0x147a34(0xb12)](),_0x341649[_0x147a34(0x23c0)]=_0x1dd66c||{'count':0x0,'rows':[]},_0x341649['userProfile']=_0x13557b,_0x341649[_0x147a34(0x1366)]=_0xb07ff2&&_0xb07ff2[_0x147a34(0x51c)]==0x1?_0xb07ff2[_0x147a34(0x19c7)][0x0]:null,_0x341649[_0x147a34(0x2514)]=_0x3351a5[_0x147a34(0xe60)](_0x341649['userProfileSection']?_0x341649[_0x147a34(0x1366)][_0x147a34(0x2514)]:null),_0x341649[_0x147a34(0x768)]=_0x147a34(0x23c0),_0x341649[_0x147a34(0x216a)]='',_0x341649[_0x147a34(0x214f)]=null,_0x341649[_0x147a34(0x100a)]=[],_0x341649[_0x147a34(0x1a56)]={'fields':_0x147a34(0x1c11),'role':_0x147a34(0x1755),'sort':_0x147a34(0x12f2),'limit':0xa,'page':0x1},_0x341649[_0x147a34(0xfcd)]=_0x3f65c0()[_0x147a34(0x194)]([{'option':_0x147a34(0x1334),'value':'0'},{'option':_0x147a34(0x288c),'value':'1'},{'option':'WebRTC','value':'2'}],function(_0x1dadd8){const _0x49c1e0=_0x147a34;return _0x3f65c0()['replace'](_0x1dadd8[_0x49c1e0(0x175d)],new RegExp('\x27','g'),'');}),_0x341649['arraytransport']=_0x3f65c0()[_0x147a34(0x194)]([{'option':_0x147a34(0x3ce),'value':_0x147a34(0x21bd)},{'option':_0x147a34(0x1701),'value':_0x147a34(0x1992)},{'option':'ws','value':_0x147a34(0x9ae),'ngIf':_0x147a34(0x490)},{'option':'wss','value':_0x147a34(0x222c),'ngIf':_0x147a34(0x490)},{'option':_0x147a34(0xcbe),'value':_0x147a34(0x17ca),'ngIf':_0x147a34(0x490)}],function(_0x391433){const _0x8e42d3=_0x147a34;return _0x3f65c0()[_0x8e42d3(0x5f4)](_0x391433[_0x8e42d3(0x175d)],new RegExp('\x27','g'),'');}),_0x341649[_0x147a34(0x1e0b)]=_0x3f65c0()[_0x147a34(0x194)]([{'option':_0x147a34(0x22e7),'value':_0x147a34(0x1dcf)},{'option':_0x147a34(0x113b),'value':_0x147a34(0x73c)},{'option':_0x147a34(0x18e3),'value':_0x147a34(0x2339)},{'option':'no','value':_0x147a34(0x74f)},{'option':_0x147a34(0x6d2),'value':_0x147a34(0xc7b)},{'option':'route','value':_0x147a34(0xd9a)}],function(_0x3a6080){const _0x53b343=_0x147a34;return _0x3f65c0()[_0x53b343(0x5f4)](_0x3a6080['value'],new RegExp('\x27','g'),'');}),_0x341649[_0x147a34(0x11da)]=_0x3f65c0()['keyBy']([{'option':_0x147a34(0x19e1),'value':_0x147a34(0x180d)},{'option':_0x147a34(0x2393),'value':_0x147a34(0x232e)},{'option':'Peer','value':_0x147a34(0x1e47)}],function(_0x4f71d7){const _0x3335ba=_0x147a34;return _0x3f65c0()[_0x3335ba(0x5f4)](_0x4f71d7[_0x3335ba(0x175d)],new RegExp('\x27','g'),'');}),_0x341649[_0x147a34(0x1ee2)]=_0x3f65c0()[_0x147a34(0x194)]([{'option':_0x147a34(0x8c3),'value':'\x27ulaw\x27'},{'option':_0x147a34(0x10ea),'value':_0x147a34(0x174d)},{'option':'gsm','value':'\x27gsm\x27'},{'option':_0x147a34(0x2453),'value':'\x27g722\x27'},{'option':_0x147a34(0xe90),'value':_0x147a34(0x1bf3)},{'option':_0x147a34(0xdc9),'value':_0x147a34(0x1a7f)},{'option':'h264','value':'\x27h264\x27'}],function(_0x257a03){const _0x3d65cc=_0x147a34;return _0x3f65c0()['replace'](_0x257a03[_0x3d65cc(0x175d)],new RegExp('\x27','g'),'');}),_0x341649[_0x147a34(0xc95)]=_0x3f65c0()[_0x147a34(0x194)]([{'option':'Yes','value':_0x147a34(0x2339)},{'option':'No','value':_0x147a34(0x74f)},{'option':_0x147a34(0x132f),'value':_0x147a34(0x220a)}],function(_0x3b6cc0){const _0x54b509=_0x147a34;return _0x3f65c0()[_0x54b509(0x5f4)](_0x3b6cc0[_0x54b509(0x175d)],new RegExp('\x27','g'),'');}),_0x341649[_0x147a34(0x187)]=_0x3f65c0()[_0x147a34(0x194)]([{'option':_0x147a34(0x180),'value':'\x27\x27'},{'option':'wav','value':_0x147a34(0x13fd)},{'option':'wav49','value':_0x147a34(0x834)},{'option':_0x147a34(0xdf0),'value':_0x147a34(0x5a3)}],function(_0x3b1dc9){const _0x2bd10e=_0x147a34;return _0x3f65c0()[_0x2bd10e(0x5f4)](_0x3b1dc9[_0x2bd10e(0x175d)],new RegExp('\x27','g'),'');}),_0x341649['editstate']=_0x4b352f,_0x341649['teamadddialog']=_0x448912,_0x341649[_0x147a34(0xf0a)]=_0x39666e,_0x341649[_0x147a34(0x1c75)]=_0x13788c,_0x341649[_0x147a34(0x333)]=_0x1e061c,_0x341649[_0x147a34(0x19c6)]=_0x3125f8,_0x341649['deleteAgent']=_0x50983e,_0x341649[_0x147a34(0x3b7)]=_0x4cb96a,_0x341649[_0x147a34(0x1de3)]=_0x2cd16e,_0x341649[_0x147a34(0x5e3)]=_0x587bda,_0x341649[_0x147a34(0x2756)]=_0x1aca59;function _0x4b352f(_0x474889){const _0x177b2b=_0x147a34;_0x3bf87d['go'](_0x177b2b(0x1fd8),{'id':_0x474889['id'],'agent':_0x474889,'crudPermissions':_0x341649[_0x177b2b(0x2514)]});}function _0x448912(_0x4774ec,_0x3b7f2b){const _0x3e32df=_0x147a34;_0x51316e['show']({'controller':'AgentteamaddController','controllerAs':'vm','templateUrl':_0x229b1c,'parent':angular['element'](_0x5f261f[_0x3e32df(0x2586)]),'targetEvent':_0x3b7f2b,'clickOutsideToClose':!![],'locals':{'agent':_0x4774ec,'agents':_0x341649[_0x3e32df(0x23c0)]?_0x341649[_0x3e32df(0x23c0)][_0x3e32df(0x19c7)]:[],'crudPermissions':_0x341649['crudPermissions']}});}function _0x39666e(_0xc23a75,_0x203498){const _0x3b39be=_0x147a34,_0x4c617e=_0x51316e['confirm']()['title'](_0x3b39be(0xdb2)+_0x3f65c0()[_0x3b39be(0x20d1)](_0x3b39be(0x1755))+'?')[_0x3b39be(0x1cbe)](''+(_0xc23a75[_0x3b39be(0x19eb)]||_0x3b39be(0x1755))+_0x3b39be(0x252f)+_0x3b39be(0xe01))[_0x3b39be(0x4bd)](_0x3b39be(0x2918))[_0x3b39be(0x1f27)](_0x203498)['ok']('OK')[_0x3b39be(0x6c3)](_0x3b39be(0x39a));_0x51316e['show'](_0x4c617e)[_0x3b39be(0x146b)](function(){_0x50983e(_0xc23a75);},function(){const _0x50e407=_0x3b39be;console['log'](_0x50e407(0x39a));});}let _0x36ec07=!![],_0x52cdb9=0x1;_0x2b79e3[_0x147a34(0x21e8)](_0x147a34(0x2669),function(_0x3fb22e,_0x54220e){const _0x156244=_0x147a34;_0x36ec07?_0x17ff7e(function(){_0x36ec07=![];}):(!_0x54220e&&(_0x52cdb9=_0x341649['query']['page']),_0x3fb22e!==_0x54220e&&(_0x341649[_0x156244(0x1a56)][_0x156244(0x844)]=0x1),!_0x3fb22e&&(_0x341649[_0x156244(0x1a56)][_0x156244(0x844)]=_0x52cdb9),_0x341649[_0x156244(0x333)]());});function _0x13788c(_0x46c67d){const _0x54d7d6=_0x147a34;_0x341649[_0x54d7d6(0x23c0)]=_0x46c67d||{'count':0x0,'rows':[]};}function _0x1e061c(){const _0x419f6b=_0x147a34;_0x341649['query']['offset']=(_0x341649[_0x419f6b(0x1a56)][_0x419f6b(0x844)]-0x1)*_0x341649[_0x419f6b(0x1a56)][_0x419f6b(0x221e)],_0x3351a5[_0x419f6b(0x23e0)](_0x419f6b(0x174b))?_0x341649['promise']=_0x393840[_0x419f6b(0xe7b)]['get'](_0x341649[_0x419f6b(0x1a56)],_0x13788c)['$promise']:(_0x341649['query']['id']=_0x341649[_0x419f6b(0x26b6)]['id'],_0x341649['query'][_0x419f6b(0x2146)]=_0x419f6b(0xca8),_0x341649[_0x419f6b(0xb9c)]=_0x393840[_0x419f6b(0x26b6)][_0x419f6b(0x158f)](_0x341649['query'],_0x13788c)[_0x419f6b(0x2945)]);}function _0x3125f8(_0x49d08a,_0x153cae){const _0x4ffac7=_0x147a34;_0x51316e[_0x4ffac7(0x2615)]({'controller':_0x4ffac7(0x19fe),'controllerAs':'vm','templateUrl':_0x58a671,'parent':angular[_0x4ffac7(0x1853)](_0x5f261f[_0x4ffac7(0x2586)]),'targetEvent':_0x49d08a,'clickOutsideToClose':!![],'locals':{'agent':_0x153cae,'agents':_0x341649['agents'][_0x4ffac7(0x19c7)],'license':_0x341649[_0x4ffac7(0x2690)],'setting':_0x341649[_0x4ffac7(0x15b9)],'crudPermissions':_0x341649[_0x4ffac7(0x2514)]}});}function _0x50983e(_0x1cf073){const _0x2a1b4d=_0x147a34;_0x393840[_0x2a1b4d(0xe7b)][_0x2a1b4d(0x1fac)]({'id':_0x1cf073['id']})[_0x2a1b4d(0x2945)][_0x2a1b4d(0x146b)](function(){const _0x5ddc46=_0x2a1b4d;_0x3f65c0()[_0x5ddc46(0x2640)](_0x341649['agents'][_0x5ddc46(0x19c7)],{'id':_0x1cf073['id']}),_0x341649[_0x5ddc46(0x23c0)]['count']-=0x1,!_0x341649['agents']['rows']['length']&&_0x341649[_0x5ddc46(0x333)](),_0x23a963['success']({'title':_0x3f65c0()[_0x5ddc46(0x20d1)](_0x5ddc46(0x294a))+_0x5ddc46(0x201c),'msg':_0x1cf073[_0x5ddc46(0x19eb)]?_0x1cf073[_0x5ddc46(0x19eb)]+'\x20has\x20been\x20deleted!':''});})[_0x2a1b4d(0x129e)](function(_0x75da10){const _0x692d18=_0x2a1b4d;if(_0x75da10[_0x692d18(0x524)]&&_0x75da10[_0x692d18(0x524)][_0x692d18(0xcef)]&&_0x75da10['data'][_0x692d18(0xcef)][_0x692d18(0x402)]){_0x341649['errors']=_0x75da10[_0x692d18(0x524)]['errors']||[{'message':_0x75da10[_0x692d18(0xd5f)](),'type':_0x692d18(0xc69)}];for(let _0x286f87=0x0;_0x286f87<_0x75da10[_0x692d18(0x524)][_0x692d18(0xcef)]['length'];_0x286f87++){_0x23a963['error']({'title':_0x75da10[_0x692d18(0x524)][_0x692d18(0xcef)][_0x286f87][_0x692d18(0x1142)],'msg':_0x75da10[_0x692d18(0x524)][_0x692d18(0xcef)][_0x286f87][_0x692d18(0x7fd)]});}}else _0x23a963['error']({'title':_0x75da10[_0x692d18(0x107b)]?_0x692d18(0x262a)+_0x75da10[_0x692d18(0x107b)]+_0x692d18(0x1315)+_0x75da10[_0x692d18(0x167f)]:_0x692d18(0xc69),'msg':_0x75da10[_0x692d18(0x524)]?JSON['stringify'](_0x75da10[_0x692d18(0x524)][_0x692d18(0x7fd)]):_0x75da10['message']||_0x75da10[_0x692d18(0xd5f)]()});});}function _0x4cb96a(){const _0x4840cf=_0x147a34,_0x5e9889=angular[_0x4840cf(0x235a)](_0x341649['selectedAgents']);return _0x341649[_0x4840cf(0x100a)]=[],_0x5e9889;}function _0x2cd16e(_0x4eabf9){const _0x2aaebc=_0x147a34,_0x199be3=_0x51316e[_0x2aaebc(0x1e8a)]()[_0x2aaebc(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20agents?')[_0x2aaebc(0x1cbe)](_0x2aaebc(0x16d3)+_0x341649[_0x2aaebc(0x100a)][_0x2aaebc(0x402)]+_0x2aaebc(0x2452)+_0x2aaebc(0xe01))['ariaLabel'](_0x2aaebc(0x2260))[_0x2aaebc(0x1f27)](_0x4eabf9)['ok']('OK')[_0x2aaebc(0x6c3)]('CANCEL');_0x51316e[_0x2aaebc(0x2615)](_0x199be3)[_0x2aaebc(0x146b)](function(){const _0x145c44=_0x2aaebc;_0x341649[_0x145c44(0x100a)]['forEach'](function(_0x4c60c2){_0x50983e(_0x4c60c2);}),_0x341649[_0x145c44(0x100a)]=[];});}function _0x587bda(){_0x341649['selectedAgents']=[];}function _0x1aca59(){const _0x2067aa=_0x147a34;_0x341649[_0x2067aa(0x100a)]=_0x341649[_0x2067aa(0x23c0)]['rows'];}}const _0x3173d8=_0x2fde47;;_0x512c4d[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$state',_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),'agents','agent','api',_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x512c4d(_0x1e13f6,_0x2051cb,_0x10a090,_0x187178,_0x581d44,_0x45931b,_0x101dd8,_0x457cfa,_0x2383bb,_0x3aa6f8,_0x42c86a,_0x356231,_0x55e11d,_0x146eee){const _0x2a3a41=_0x313a4d,_0x2dfb27=this;_0x2dfb27[_0x2a3a41(0x2321)]=_0x42c86a[_0x2a3a41(0xb12)](),_0x2dfb27[_0x2a3a41(0xcef)]=[],_0x2dfb27['setting']=_0x55e11d,_0x2dfb27['license']=_0x356231,_0x2dfb27[_0x2a3a41(0x2514)]=_0x146eee,_0x2dfb27[_0x2a3a41(0x855)]={},_0x2dfb27['passwordPattern']=_0x2dfb27[_0x2a3a41(0x15b9)]&&_0x2dfb27['setting'][_0x2a3a41(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x2dfb27[_0x2a3a41(0x1189)]=_0x2a3a41(0xede),_0x2dfb27[_0x2a3a41(0x1755)]=angular['copy'](_0x2383bb),_0x2dfb27['agents']=_0x457cfa,_0x2dfb27[_0x2a3a41(0x880)]=![];!_0x2dfb27['agent']&&(_0x2dfb27[_0x2a3a41(0x1755)]={'role':'agent','showWebBar':'0','autointernal':!![],'type':'friend','context':_0x2a3a41(0x57e),'phoneBarRemoteControl':!![],'phoneBarRemoteControlPort':0x26a0,'allowmessenger':!![]},_0x2dfb27[_0x2a3a41(0x1189)]=_0x2a3a41(0x1a41),_0x2dfb27[_0x2a3a41(0x880)]=!![]);_0x2dfb27[_0x2a3a41(0x1c5d)]=_0x372554,_0x2dfb27[_0x2a3a41(0x19c1)]=_0x2bb3eb,_0x2dfb27[_0x2a3a41(0xfdc)]=_0x499659,_0x2dfb27[_0x2a3a41(0xe73)]=_0x53d90c,_0x2dfb27[_0x2a3a41(0x13f3)]=_0x1765e0;function _0x372554(){const _0x12533b=_0x2a3a41;_0x2dfb27[_0x12533b(0xcef)]=[],_0x3aa6f8[_0x12533b(0xe7b)][_0x12533b(0x1e3)](_0x2dfb27[_0x12533b(0x1755)])['$promise'][_0x12533b(0x146b)](function(_0xf7c461){const _0xd17f92=_0x12533b;_0x2dfb27[_0xd17f92(0x23c0)]['unshift'](_0xf7c461[_0xd17f92(0x2488)]()),_0x101dd8[_0xd17f92(0x1c75)]({'title':'Agent\x20properly\x20created','msg':_0x2dfb27[_0xd17f92(0x1755)]['name']?_0x2dfb27[_0xd17f92(0x1755)][_0xd17f92(0x19eb)]+_0xd17f92(0x1386):''}),_0x1765e0(_0xf7c461);})[_0x12533b(0x129e)](function(_0x23efbe){const _0x1a32b0=_0x12533b;if(_0x23efbe[_0x1a32b0(0x524)]&&_0x23efbe['data']['errors']&&_0x23efbe[_0x1a32b0(0x524)][_0x1a32b0(0xcef)][_0x1a32b0(0x402)]){_0x2dfb27[_0x1a32b0(0xcef)]=_0x23efbe[_0x1a32b0(0x524)][_0x1a32b0(0xcef)]||[{'message':_0x23efbe[_0x1a32b0(0xd5f)](),'type':_0x1a32b0(0x2406)}];for(let _0x23ca97=0x0;_0x23ca97<_0x23efbe[_0x1a32b0(0x524)][_0x1a32b0(0xcef)][_0x1a32b0(0x402)];_0x23ca97+=0x1){_0x101dd8[_0x1a32b0(0x1980)]({'title':_0x23efbe[_0x1a32b0(0x524)][_0x1a32b0(0xcef)][_0x23ca97][_0x1a32b0(0x1142)],'msg':_0x23efbe[_0x1a32b0(0x524)][_0x1a32b0(0xcef)][_0x23ca97][_0x1a32b0(0x7fd)]});}}else _0x101dd8[_0x1a32b0(0x1980)]({'title':_0x23efbe[_0x1a32b0(0x107b)]?_0x1a32b0(0x262a)+_0x23efbe['status']+_0x1a32b0(0x1315)+_0x23efbe[_0x1a32b0(0x167f)]:'api.user.save','msg':_0x23efbe['data']?JSON[_0x1a32b0(0x10bb)](_0x23efbe[_0x1a32b0(0x524)][_0x1a32b0(0x7fd)]):_0x23efbe['toString']()});});}function _0x2bb3eb(){const _0x3ca23e=_0x2a3a41;_0x2dfb27[_0x3ca23e(0xcef)]=[],_0x3aa6f8[_0x3ca23e(0xe7b)][_0x3ca23e(0x18e1)]({'id':_0x2dfb27['agent']['id']},_0x2dfb27[_0x3ca23e(0x1755)])['$promise'][_0x3ca23e(0x146b)](function(_0x47f295){const _0x24dd0c=_0x3ca23e,_0x1ffc83=_0x3f65c0()['find'](_0x2dfb27[_0x24dd0c(0x23c0)],{'id':_0x47f295['id']});_0x1ffc83&&_0x3f65c0()[_0x24dd0c(0x168d)](_0x1ffc83,_0x3f65c0()[_0x24dd0c(0x40e)](_0x47f295[_0x24dd0c(0x2488)](),_0x3f65c0()[_0x24dd0c(0x627)](_0x1ffc83))),_0x101dd8[_0x24dd0c(0x1c75)]({'title':_0x24dd0c(0x2702),'msg':_0x2dfb27['agent'][_0x24dd0c(0x19eb)]?_0x2dfb27[_0x24dd0c(0x1755)][_0x24dd0c(0x19eb)]+_0x24dd0c(0x24db):''}),_0x1765e0(_0x47f295);})[_0x3ca23e(0x129e)](function(_0x67e722){const _0xbd8041=_0x3ca23e;if(_0x67e722[_0xbd8041(0x524)]&&_0x67e722['data'][_0xbd8041(0xcef)]&&_0x67e722[_0xbd8041(0x524)][_0xbd8041(0xcef)][_0xbd8041(0x402)]){_0x2dfb27['errors']=_0x67e722[_0xbd8041(0x524)]['errors']||[{'message':_0x67e722['toString'](),'type':'api.user.update'}];for(let _0x3f2fc4=0x0;_0x3f2fc4<_0x67e722['data'][_0xbd8041(0xcef)][_0xbd8041(0x402)];_0x3f2fc4++){_0x101dd8[_0xbd8041(0x1980)]({'title':_0x67e722[_0xbd8041(0x524)][_0xbd8041(0xcef)][_0x3f2fc4][_0xbd8041(0x1142)],'msg':_0x67e722['data'][_0xbd8041(0xcef)][_0x3f2fc4]['message']});}}else _0x101dd8[_0xbd8041(0x1980)]({'title':_0x67e722[_0xbd8041(0x107b)]?_0xbd8041(0x262a)+_0x67e722['status']+'\x20-\x20'+_0x67e722[_0xbd8041(0x167f)]:_0xbd8041(0x254f),'msg':_0x67e722[_0xbd8041(0x524)]?JSON[_0xbd8041(0x10bb)](_0x67e722[_0xbd8041(0x524)][_0xbd8041(0x7fd)]):_0x67e722[_0xbd8041(0xd5f)]()});});}function _0x499659(_0x510339){const _0x303d34=_0x2a3a41;_0x2dfb27[_0x303d34(0xcef)]=[];const _0x4ceeec=_0x187178[_0x303d34(0x1e8a)]()[_0x303d34(0x1189)](_0x303d34(0x1d64))[_0x303d34(0x80f)](_0x303d34(0x266c))[_0x303d34(0x4bd)](_0x303d34(0x59b))['ok'](_0x303d34(0x25de))[_0x303d34(0x6c3)](_0x303d34(0xcf0))['targetEvent'](_0x510339);_0x187178[_0x303d34(0x2615)](_0x4ceeec)[_0x303d34(0x146b)](function(){const _0xa2829=_0x303d34;_0x3aa6f8['user'][_0xa2829(0x1fac)]({'id':_0x2dfb27[_0xa2829(0x1755)]['id']})[_0xa2829(0x2945)][_0xa2829(0x146b)](function(){const _0x2b0280=_0xa2829;_0x3f65c0()[_0x2b0280(0x2640)](_0x2dfb27['agents'],{'id':_0x2dfb27[_0x2b0280(0x1755)]['id']}),_0x101dd8[_0x2b0280(0x1c75)]({'title':'Agent\x20properly\x20deleted!','msg':(_0x2dfb27['agent'][_0x2b0280(0x19eb)]||'agent')+_0x2b0280(0x23e3)}),_0x1765e0(_0x2dfb27['agent']);})[_0xa2829(0x129e)](function(_0x49a948){const _0x5f1bc4=_0xa2829;if(_0x49a948['data']&&_0x49a948[_0x5f1bc4(0x524)]['errors']&&_0x49a948['data'][_0x5f1bc4(0xcef)]['length']){_0x2dfb27[_0x5f1bc4(0xcef)]=_0x49a948[_0x5f1bc4(0x524)][_0x5f1bc4(0xcef)]||[{'message':_0x49a948[_0x5f1bc4(0xd5f)](),'type':_0x5f1bc4(0xddf)}];for(let _0x17611f=0x0;_0x17611f<_0x49a948[_0x5f1bc4(0x524)]['errors'][_0x5f1bc4(0x402)];_0x17611f++){_0x101dd8[_0x5f1bc4(0x1980)]({'title':_0x49a948[_0x5f1bc4(0x524)][_0x5f1bc4(0xcef)][_0x17611f]['type'],'msg':_0x49a948[_0x5f1bc4(0x524)][_0x5f1bc4(0xcef)][_0x17611f]['message']});}}else _0x101dd8[_0x5f1bc4(0x1980)]({'title':_0x49a948['status']?_0x5f1bc4(0x262a)+_0x49a948[_0x5f1bc4(0x107b)]+_0x5f1bc4(0x1315)+_0x49a948[_0x5f1bc4(0x167f)]:_0x5f1bc4(0xddf),'msg':_0x49a948[_0x5f1bc4(0x524)]?JSON[_0x5f1bc4(0x10bb)](_0x49a948[_0x5f1bc4(0x524)][_0x5f1bc4(0x7fd)]):_0x49a948[_0x5f1bc4(0x7fd)]||_0x49a948[_0x5f1bc4(0xd5f)]()});});},function(){});}function _0x53d90c(_0x348884){return _0x348884===null?undefined:new Date(_0x348884);}function _0x1765e0(_0x3726da){_0x187178['hide'](_0x3726da);}}const _0x2202e4=_0x512c4d;;_0x6534f8['$inject']=[_0x313a4d(0x23df),_0x313a4d(0x1fe4)];function _0x6534f8(_0x3d2d79,_0x104a0b){const _0x2beff5=_0x313a4d,_0x3817dd=this,_0x7a0482=0x8,_0x5c8f9b=_0x7a0482*0x400*0x400;_0x3817dd[_0x2beff5(0x1755)]={},_0x3817dd[_0x2beff5(0x2514)],_0x3817dd[_0x2beff5(0x4c3)]={'singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0x5c8f9b,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x3817dd[_0x2beff5(0x1376)]={'flow':{}},_0x3817dd[_0x2beff5(0x240d)]=![],_0x3817dd[_0x2beff5(0x143d)]=_0x4cb310,_0x3817dd['upload']=_0x5b2361,_0x3817dd['fileSuccess']=_0x12d2a0,_0x3817dd[_0x2beff5(0x5aa)]=_0x563209;function _0x563209(_0x45d485,_0x564446){const _0x4d1c54=_0x2beff5;_0x3817dd['agent']=angular[_0x4d1c54(0x235a)](_0x45d485),_0x3817dd[_0x4d1c54(0x2514)]=typeof _0x564446!==_0x4d1c54(0x2274)?_0x564446:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x3817dd[_0x4d1c54(0x4c3)][_0x4d1c54(0xe1d)]='api/users/'+_0x3817dd['agent']['id']+_0x4d1c54(0xf07),_0x3817dd['agent'][_0x4d1c54(0x1f07)]=[];}function _0x4cb310(_0x375154){const _0x33f767=_0x2beff5,_0x31b40e=[_0x33f767(0xadf),_0x33f767(0x621)];if(!_0x3f65c0()['includes'](_0x31b40e,_0x375154[_0x33f767(0x193f)]()))return _0x104a0b[_0x33f767(0x1980)]({'title':_0x33f767(0x4c6)+_0x375154[_0x33f767(0x193f)](),'msg':_0x33f767(0x208f)+_0x31b40e['join']()}),![];if(_0x375154[_0x33f767(0x4cc)]>_0x5c8f9b)return _0x104a0b[_0x33f767(0x1980)]({'title':_0x33f767(0x625),'msg':_0x33f767(0x923)+_0x7a0482+'MB'}),![];const _0x1bfa86={'id':_0x375154[_0x33f767(0x1276)],'file':_0x375154,'type':_0x33f767(0x159c)};return _0x3817dd[_0x33f767(0x1755)][_0x33f767(0x1f07)][_0x33f767(0xb3d)](_0x1bfa86),!![];}function _0x5b2361(){const _0x5526d1=_0x2beff5;_0x3817dd['ngFlow'][_0x5526d1(0x963)][_0x5526d1(0x1da1)][_0x5526d1(0xa01)]={'X-Requested-With':_0x5526d1(0x1f8f),'Authorization':_0x5526d1(0x2745)+_0x3d2d79[_0x5526d1(0x16b4)]('motion.token')},_0x3817dd[_0x5526d1(0x1376)]['flow'][_0x5526d1(0x1dc6)]();}function _0x12d2a0(_0x57bc0d){const _0x4df282=_0x2beff5;angular[_0x4df282(0x1df5)](_0x3817dd[_0x4df282(0x1755)][_0x4df282(0x1f07)],function(_0x39ef5c){const _0xc3b7d0=_0x4df282;if(_0x39ef5c['id']===_0x57bc0d[_0xc3b7d0(0x1276)]){const _0x463147=new FileReader();_0x463147[_0xc3b7d0(0xa0c)](_0x39ef5c[_0xc3b7d0(0x252e)]['file']),_0x463147[_0xc3b7d0(0x20f6)]=function(_0x1c71cf){const _0x5e3aa5=_0xc3b7d0;_0x39ef5c[_0x5e3aa5(0x20cc)]=_0x1c71cf[_0x5e3aa5(0xe1d)]['result'];},_0x39ef5c[_0xc3b7d0(0x1142)]=_0xc3b7d0(0x106c);}});}}const _0x3829cc=_0x6534f8;;_0x17c4da[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x10e8),_0x313a4d(0x1fe4),_0x313a4d(0x247f),'agent','setting',_0x313a4d(0xa87)];function _0x17c4da(_0x351db6,_0x3f9293,_0x26f85e,_0x1c7ece,_0x91bda2,_0x38f53d,_0x39c7ee){const _0x36e29e=_0x313a4d,_0x4fa277=this;_0x4fa277['currentUser']=_0x39c7ee[_0x36e29e(0xb12)](),_0x4fa277[_0x36e29e(0xcef)]=[],_0x4fa277['setting']=_0x38f53d,_0x4fa277[_0x36e29e(0x2251)]=_0x4fa277['setting'][_0x36e29e(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x4fa277[_0x36e29e(0x1755)]=_0x91bda2,_0x4fa277['password']='',_0x4fa277[_0x36e29e(0x2571)]='',_0x4fa277[_0x36e29e(0x23b7)]=_0x5e1969,_0x4fa277[_0x36e29e(0x13f3)]=_0x39a5a6,_0x351db6[_0x36e29e(0x21e8)]('vm.password',function(_0x3be4eb){const _0x46eeb3=_0x36e29e;!_0x3f65c0()[_0x46eeb3(0x1b36)](_0x4fa277['oldPassword'])&&(_0x3be4eb===_0x4fa277['oldPassword']?_0x351db6[_0x46eeb3(0x129d)][_0x46eeb3(0xf37)][_0x46eeb3(0x24f8)](_0x46eeb3(0x1e40),![]):_0x351db6[_0x46eeb3(0x129d)]['password'][_0x46eeb3(0x24f8)](_0x46eeb3(0x1e40),!![]));});function _0x5e1969(){const _0x491d70=_0x36e29e;_0x4fa277[_0x491d70(0xcef)]=[];const _0xe8c243={'id':_0x4fa277[_0x491d70(0x1755)]['id'],'newPassword':_0x4fa277[_0x491d70(0xf37)]};_0x4fa277[_0x491d70(0x2321)]['id']==_0x4fa277[_0x491d70(0x1755)]['id']&&(_0xe8c243[_0x491d70(0x2571)]=_0x4fa277[_0x491d70(0x2571)]),_0x1c7ece[_0x491d70(0xe7b)][_0x491d70(0x171a)](_0xe8c243)[_0x491d70(0x2945)][_0x491d70(0x146b)](function(){const _0x573249=_0x491d70;_0x26f85e[_0x573249(0x1c75)]({'title':_0x573249(0x23a9)}),_0x39a5a6();})[_0x491d70(0x129e)](function(_0x9d6568){const _0x31d2ce=_0x491d70;_0x26f85e['error']({'title':_0x9d6568[_0x31d2ce(0x107b)]?_0x31d2ce(0x262a)+_0x9d6568[_0x31d2ce(0x107b)]+_0x31d2ce(0x1315)+_0x9d6568[_0x31d2ce(0x167f)]:_0x31d2ce(0xf4),'msg':_0x9d6568['data']?JSON[_0x31d2ce(0x10bb)](_0x9d6568[_0x31d2ce(0x524)]['message']):_0x9d6568[_0x31d2ce(0xd5f)]()}),_0x4fa277[_0x31d2ce(0xcef)]=_0x9d6568[_0x31d2ce(0x524)][_0x31d2ce(0xcef)]||[{'message':_0x9d6568[_0x31d2ce(0xd5f)](),'type':_0x31d2ce(0x2001)}];});}function _0x39a5a6(){const _0xaab3af=_0x36e29e;_0x3f9293[_0xaab3af(0x2458)]();}}const _0x42c883=_0x17c4da;;_0x3d235b['$inject']=['$document','$mdDialog',_0x313a4d(0x247f),'msNavigationAgentService','toasty'];function _0x3d235b(_0x22899b,_0x1e6119,_0x4e41c4,_0x16680f,_0x111f65){const _0x26888e=_0x313a4d,_0x43f80d=this;_0x43f80d[_0x26888e(0x1755)]={},_0x43f80d[_0x26888e(0x145c)]=_0x16680f[_0x26888e(0x5c4)](),_0x43f80d['crudPermissions'],_0x43f80d[_0x26888e(0x5aa)]=_0x57ebf3,_0x43f80d[_0x26888e(0x1f31)]=_0x5465f3;function _0x57ebf3(_0x1793d5,_0x1f9b72){const _0x346190=_0x26888e;_0x43f80d['agent']=_0x1793d5,_0x43f80d[_0x346190(0x2514)]=typeof _0x1f9b72!==_0x346190(0x2274)?_0x1f9b72:{'readOnly':!![],'canEdit':![],'canDelete':![]};}function _0x5465f3(_0x4bfeab,_0x4cc866){const _0x34b229=_0x26888e,_0x3134dc=_0x4cc866||_0x4bfeab;if(_0x3134dc['enabled']){if(_0x4cc866)_0x4cc866[_0x34b229(0x193e)]=!![],_0x43f80d['agent']['permissions']['push'](_0x4cc866['id']);else for(let _0x4668d9=0x0;_0x4668d9<_0x4bfeab[_0x34b229(0x20d0)][_0x34b229(0x402)];_0x4668d9++){_0x4bfeab[_0x34b229(0x20d0)][_0x4668d9]['enabled']=!![],_0x43f80d[_0x34b229(0x1755)][_0x34b229(0x1f54)][_0x34b229(0x1f47)](_0x4bfeab[_0x34b229(0x20d0)][_0x4668d9]['id']);}_0x4bfeab[_0x34b229(0x193e)]=!![],_0x43f80d[_0x34b229(0x1755)][_0x34b229(0x1f54)]['push'](_0x4bfeab['id']);}else{if(_0x4cc866)_0x4cc866[_0x34b229(0x193e)]=![],_0x3f65c0()[_0x34b229(0x2640)](_0x43f80d[_0x34b229(0x1755)][_0x34b229(0x1f54)],function(_0x310122){return _0x4cc866['id']===_0x310122;}),_0x3f65c0()[_0x34b229(0x1dd6)](_0x4bfeab[_0x34b229(0x20d0)],{'enabled':![]})[_0x34b229(0x402)]===_0x4bfeab[_0x34b229(0x20d0)]['length']&&(_0x4bfeab['enabled']=![],_0x3f65c0()['remove'](_0x43f80d[_0x34b229(0x1755)]['permissions'],function(_0x32a910){return _0x4bfeab['id']===_0x32a910;}));else{_0x4bfeab[_0x34b229(0x193e)]=![],_0x3f65c0()[_0x34b229(0x2640)](_0x43f80d[_0x34b229(0x1755)][_0x34b229(0x1f54)],function(_0xd856c0){return _0x4bfeab['id']===_0xd856c0;});for(let _0x2d23dd=0x0;_0x2d23dd<_0x4bfeab[_0x34b229(0x20d0)][_0x34b229(0x402)];_0x2d23dd++){_0x4bfeab[_0x34b229(0x20d0)][_0x2d23dd][_0x34b229(0x193e)]=![],_0x3f65c0()['remove'](_0x43f80d[_0x34b229(0x1755)][_0x34b229(0x1f54)],function(_0x2743d4){const _0x2fb4be=_0x34b229;return _0x4bfeab[_0x2fb4be(0x20d0)][_0x2d23dd]['id']===_0x2743d4;});}}}return _0x4e41c4[_0x34b229(0xe7b)][_0x34b229(0x18e1)]({'id':_0x43f80d[_0x34b229(0x1755)]['id'],'permissions':_0x3f65c0()[_0x34b229(0x918)](_0x43f80d[_0x34b229(0x1755)][_0x34b229(0x1f54)])})['$promise'][_0x34b229(0x146b)](function(){const _0x509000=_0x34b229;_0x111f65[_0x509000(0x1c75)]({'title':_0x509000(0x3a8),'msg':_0x43f80d[_0x509000(0x1755)][_0x509000(0x19eb)]?_0x43f80d['agent']['name']+_0x509000(0x6b0):''});})[_0x34b229(0x129e)](function(_0xcb89c9){const _0x27451e=_0x34b229;console[_0x27451e(0x1980)](_0xcb89c9);});}}const _0x565307=_0x3d235b;;_0xfe02f8[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0x1755),'channel',_0x313a4d(0x26cc),_0x313a4d(0x214b),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0xfe02f8(_0x1fd6dc,_0x42eaf5,_0x2ce3bc,_0x561f59,_0x474a96,_0x172dc2,_0x35daf3,_0x414a34,_0x21e2b6,_0x54e0cd){const _0x43197b=_0x313a4d,_0x108721=this;_0x108721[_0x43197b(0x2321)]=_0x21e2b6['getCurrentUser'](),_0x108721[_0x43197b(0x1755)]=_0x474a96,_0x108721[_0x43197b(0x2514)]=_0x54e0cd,_0x108721['penalty']=0x0,_0x108721[_0x43197b(0x2894)]=_0x172dc2,_0x108721[_0x43197b(0x26cc)]=_0x35daf3,_0x108721[_0x43197b(0x320)]=_0x108721['channel']+'Queue',_0x108721[_0x43197b(0x1189)]=_0x414a34[_0x43197b(0xde)](_0x43197b(0x21eb)+_0x108721['channel']['toUpperCase']()+'_'+_0x108721[_0x43197b(0x26cc)]['toUpperCase']()),_0x108721[_0x43197b(0x1fd6)]=[],_0x108721[_0x43197b(0xc4e)]=[],_0x108721[_0x43197b(0x1ecf)]=[],_0x108721[_0x43197b(0x2e8)]=[],_0x108721[_0x43197b(0xa65)]=[],_0x108721[_0x43197b(0x132)]=![],_0x108721[_0x43197b(0x1f8a)]={'readOnly':!_0x108721['crudPermissions'][_0x43197b(0x15f4)],'allowedItems':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x43197b(0x19eb),'line1':_0x43197b(0x19eb),'line2':_0x43197b(0x1142),'line3':_0x108721[_0x43197b(0x2894)]===_0x43197b(0xe6)?_0x43197b(0x188d):'','labelAll':_0x414a34['instant']('STAFF.ALL_QUEUES_'+_0x108721[_0x43197b(0x2894)][_0x43197b(0x1c37)]()+'_'+_0x108721[_0x43197b(0x26cc)][_0x43197b(0x1c37)]()),'labelSelected':_0x414a34['instant']('STAFF.SELECTED_QUEUES_'+_0x108721['channel'][_0x43197b(0x1c37)]()+'_'+_0x108721[_0x43197b(0x26cc)][_0x43197b(0x1c37)]()),'transferCallback':function(_0x5f4523,_0x2f6b86){const _0x3e6f45=_0x43197b;_0x2f6b86?_0x5f4523[_0x3e6f45(0x1df5)](function(_0x591f6d){const _0x235e63=_0x3e6f45;_0x591f6d[_0x235e63(0x188d)]=undefined;}):_0x5f4523[_0x3e6f45(0x1df5)](function(_0x290e89){const _0x1ffd3c=_0x3e6f45;_0x290e89['penalty']=_0x1ffd3c(0x2505)+_0x108721[_0x1ffd3c(0x188d)];});const _0x2872a1=_0x3f65c0()['xorWith'](_0x108721[_0x3e6f45(0xa65)],_0x108721[_0x3e6f45(0x1ecf)],function(_0x4958c8,_0x455979){const _0x1ed3f2=_0x3e6f45;return _0x4958c8['id']===_0x455979['id']&&_0x4958c8[_0x1ed3f2(0x188d)]===_0x455979[_0x1ed3f2(0x188d)];});_0x108721[_0x3e6f45(0x132)]=_0x3f65c0()[_0x3e6f45(0x2635)](_0x2872a1)?![]:!![];}},_0x108721[_0x43197b(0x3d4)]=_0x2109a6,_0x108721['saveQueues']=_0x5b3e0f,_0x108721[_0x43197b(0x13f3)]=_0x1668e6;function _0x2109a6(){const _0x1dca57=_0x43197b;return _0x21e2b6[_0x1dca57(0x23e0)]('admin')?_0x3d7d23()[_0x1dca57(0x129e)](function(_0x4bacda){const _0x57346a=_0x1dca57;_0x2ce3bc[_0x57346a(0x1980)]({'title':_0x4bacda[_0x57346a(0x107b)]?'API:'+_0x4bacda[_0x57346a(0x107b)]+_0x57346a(0x1315)+_0x4bacda[_0x57346a(0x167f)]:'SYSTEM:GET_QUEUES','msg':_0x4bacda['status']?JSON[_0x57346a(0x10bb)](_0x4bacda['data']):_0x4bacda['toString']()});}):_0x1bcee4()[_0x1dca57(0x146b)](function(_0x19dee2){const _0x1105e3=_0x1dca57;return _0x108721[_0x1105e3(0x2146)]=_0x19dee2,_0x3d7d23();})[_0x1dca57(0x129e)](function(_0x2bdf34){const _0x10129d=_0x1dca57;_0x2ce3bc[_0x10129d(0x1980)]({'title':_0x2bdf34['status']?'API:'+_0x2bdf34[_0x10129d(0x107b)]+_0x10129d(0x1315)+_0x2bdf34['statusText']:_0x10129d(0x22d8),'msg':_0x2bdf34[_0x10129d(0x107b)]?JSON[_0x10129d(0x10bb)](_0x2bdf34[_0x10129d(0x524)]):_0x2bdf34[_0x10129d(0xd5f)]()});});}function _0x1bcee4(){return _0x42eaf5(function(_0x522e9b,_0x5d5d9c){const _0x219fae=a0_0x3bb9;let _0x3ec7fa;switch(_0x108721['channel']){case _0x219fae(0xe6):switch(_0x108721[_0x219fae(0x26cc)]){case _0x219fae(0x7b0):_0x3ec7fa=_0x219fae(0x507);break;case _0x219fae(0xc9c):_0x3ec7fa=_0x219fae(0x15d6);break;}break;case _0x219fae(0xa7f):_0x3ec7fa=_0x219fae(0x1d54);break;case'mail':_0x3ec7fa=_0x219fae(0xf4d);break;case _0x219fae(0x929):_0x3ec7fa='SmsQueues';break;case _0x219fae(0x7d9):_0x3ec7fa='OpenchannelQueues';break;case _0x219fae(0x22d9):_0x3ec7fa=_0x219fae(0x2915);break;}_0x561f59[_0x219fae(0x1366)][_0x219fae(0x16b4)]({'userProfileId':_0x108721[_0x219fae(0x2321)][_0x219fae(0x209a)],'name':_0x3ec7fa})[_0x219fae(0x2945)][_0x219fae(0x146b)](function(_0x43354d){const _0x43d17b=_0x219fae,_0x44aa1e=_0x43354d&&_0x43354d[_0x43d17b(0x19c7)]?_0x43354d['rows'][0x0]:null;_0x522e9b(_0x44aa1e);})[_0x219fae(0x129e)](function(_0x265729){_0x5d5d9c(_0x265729);});});}function _0x3d7d23(){return _0x42eaf5(function(_0x214e03,_0x576723){const _0x1c9703=a0_0x3bb9;return _0x29f5d3()[_0x1c9703(0x146b)](function(_0x53e6f0){const _0x5e1026=_0x1c9703;return _0x108721[_0x5e1026(0x1fd6)]=_0x53e6f0[_0x5e1026(0x19c7)]?_0x53e6f0['rows']:[],_0x21e2b6[_0x5e1026(0x23e0)](_0x5e1026(0x174b))?_0x53e6f0:_0x108721[_0x5e1026(0x2146)]?_0x108721[_0x5e1026(0x2146)][_0x5e1026(0x11d2)]?_0x53e6f0:_0x407a6a():null;})[_0x1c9703(0x146b)](function(_0x5a10e6){const _0x46c867=_0x1c9703,_0x26c56d=_0x5a10e6&&_0x5a10e6[_0x46c867(0x19c7)]?_0x5a10e6[_0x46c867(0x19c7)]:[];return _0x108721[_0x46c867(0xc4e)]=_0x3f65c0()[_0x46c867(0x205)](_0x26c56d,function(_0x3f6e68){const _0x5207e3=_0x46c867;return _0x3f65c0()[_0x5207e3(0xc84)](_0x108721[_0x5207e3(0x1fd6)],{'id':_0x21e2b6[_0x5207e3(0x23e0)](_0x5207e3(0x174b))||_0x108721[_0x5207e3(0x2146)][_0x5207e3(0x11d2)]?_0x3f6e68['id']:_0x3f6e68[_0x5207e3(0x18b8)]});}),_0x108721[_0x46c867(0x2e8)]=angular['copy'](_0x108721[_0x46c867(0xc4e)]),_0x108721[_0x46c867(0x1fd6)][_0x46c867(0x1df5)](function(_0x30ff6b){const _0x38c414=_0x46c867,_0x3e14a6=_0x3f65c0()['find'](_0x108721['allowedItems'],{'id':_0x30ff6b['id']});_0x21e2b6[_0x38c414(0x23e0)](_0x38c414(0x174b))?_0x30ff6b[_0x38c414(0x146f)]=!![]:_0x30ff6b[_0x38c414(0x146f)]=typeof _0x3e14a6!==_0x38c414(0x2274)?!![]:![];}),_0x33258f();})['then'](function(_0x480458){const _0x4f6ba8=_0x1c9703,_0x30676d=_0x480458&&_0x480458[_0x4f6ba8(0x19c7)]?_0x480458['rows']:[];_0x108721[_0x4f6ba8(0x1ecf)]=_0x3f65c0()[_0x4f6ba8(0x205)](_0x30676d,function(_0x1d513a){const _0x4442ed=_0x4f6ba8,_0x186094=_0x3f65c0()[_0x4442ed(0xc84)](_0x108721[_0x4442ed(0x1fd6)],{'id':_0x1d513a['id']});return _0x108721[_0x4442ed(0x2894)]===_0x4442ed(0xe6)&&(_0x186094[_0x4442ed(0x188d)]=typeof _0x186094!==_0x4442ed(0x2274)&&typeof _0x1d513a[_0x4442ed(0x1f91)]!==_0x4442ed(0x2274)?_0x4442ed(0x2505)+_0x1d513a[_0x4442ed(0x1f91)][_0x4442ed(0x188d)]:_0x4442ed(0x2505)+0x0),_0x186094;}),_0x108721['startingSelectedItems']=angular[_0x4f6ba8(0x235a)](_0x108721[_0x4f6ba8(0x1ecf)]),_0x108721['dualMultiselectOptions'][_0x4f6ba8(0x1ecf)]=_0x108721[_0x4f6ba8(0x1ecf)],_0x108721['dualMultiselectOptions']['items']=_0x3f65c0()['differenceBy'](_0x108721[_0x4f6ba8(0xc4e)],_0x108721[_0x4f6ba8(0x1f8a)][_0x4f6ba8(0x1ecf)],'id'),_0x214e03();})[_0x1c9703(0x129e)](function(_0x15e43b){_0x576723(_0x15e43b);});});}function _0x407a6a(){return _0x42eaf5(function(_0x2d6b02,_0x300747){const _0x8c68c1=a0_0x3bb9;return _0x561f59[_0x8c68c1(0xdcc)][_0x8c68c1(0x16b4)]({'sectionId':_0x108721[_0x8c68c1(0x2146)]['id'],'nolimit':!![]})[_0x8c68c1(0x2945)][_0x8c68c1(0x146b)](function(_0x48f15b){_0x2d6b02(_0x48f15b);})[_0x8c68c1(0x129e)](function(_0x8c22ec){_0x300747(_0x8c22ec);});});}function _0x33258f(){return _0x42eaf5(function(_0x25c850,_0x2400f4){const _0x4e6512=a0_0x3bb9;return _0x561f59[_0x4e6512(0xe7b)]['getQueues']({'id':_0x108721[_0x4e6512(0x1755)]['id'],'fields':'id,name,strategy,type,penalty','nolimit':!![],'type':_0x108721[_0x4e6512(0x26cc)],'channel':_0x108721['channel']})['$promise']['then'](function(_0x675fb7){_0x25c850(_0x675fb7);})[_0x4e6512(0x129e)](function(_0x1c3617){_0x2400f4(_0x1c3617);});});}function _0x29f5d3(){return _0x42eaf5(function(_0x2402a2,_0x24b848){const _0x2da7f4=a0_0x3bb9;return _0x561f59[_0x108721[_0x2da7f4(0x320)]][_0x2da7f4(0x16b4)]({'fields':_0x2da7f4(0x1005),'channel':_0x108721[_0x2da7f4(0x2894)]==='bull'?_0x2da7f4(0xe6):_0x108721['channel'],'type':_0x108721[_0x2da7f4(0x26cc)],'nolimit':!![]})['$promise'][_0x2da7f4(0x146b)](function(_0x1e13c5){_0x2402a2(_0x1e13c5);})[_0x2da7f4(0x129e)](function(_0x39e91e){_0x24b848(_0x39e91e);});});}function _0x4f5704(_0x2c3996){return _0x42eaf5(function(_0x8acc00,_0x12c625){const _0x2ffb27=a0_0x3bb9;if(_0x3f65c0()['isEmpty'](_0x2c3996))_0x8acc00();else{if(_0x108721['channel']===_0x2ffb27(0xe6)){const _0x5397a0=[],_0x881876=_0x3f65c0()[_0x2ffb27(0x253)](_0x2c3996,_0x2ffb27(0x188d));for(let _0x269645=0x0;_0x269645':'',_0x2c26d5;}),_0x63b8b[_0x2eb592(0xa65)]=angular[_0x2eb592(0x235a)](_0x63b8b['selectedItems']),_0x63b8b[_0x2eb592(0x1f8a)][_0x2eb592(0x1ecf)]=_0x63b8b[_0x2eb592(0x1ecf)],_0x63b8b[_0x2eb592(0x1f8a)][_0x2eb592(0x1fd6)]=_0x3f65c0()[_0x2eb592(0x2796)](_0x63b8b[_0x2eb592(0xc4e)],_0x63b8b[_0x2eb592(0x1f8a)]['selectedItems'],'id'),_0x2859e3();})[_0x42fdff(0x129e)](function(_0x1ac8cf){_0x222df3(_0x1ac8cf);});});}function _0x31ced0(){return _0x464ea7(function(_0x263fc4,_0x27be86){const _0xbf0d39=a0_0x3bb9;return _0x3c07de[_0xbf0d39(0xdcc)][_0xbf0d39(0x16b4)]({'sectionId':_0x63b8b[_0xbf0d39(0x2146)]['id'],'nolimit':!![]})[_0xbf0d39(0x2945)][_0xbf0d39(0x146b)](function(_0x452f10){_0x263fc4(_0x452f10);})[_0xbf0d39(0x129e)](function(_0x33b503){_0x27be86(_0x33b503);});});}function _0x319f1e(){return _0x464ea7(function(_0x449a5f,_0x46b926){const _0x5c1068=a0_0x3bb9;return _0x3c07de[_0x5c1068(0x23f1)][_0x5c1068(0x333)]({'id':_0x63b8b[_0x5c1068(0x23f1)]['id'],'fields':'id,name,internal,fullname','nolimit':!![],'role':'agent'})['$promise'][_0x5c1068(0x146b)](function(_0x266a0b){_0x449a5f(_0x266a0b);})[_0x5c1068(0x129e)](function(_0x1fb170){_0x46b926(_0x1fb170);});});}function _0x1e0c06(){return _0x464ea7(function(_0x2503fb,_0x19b46e){const _0x79d040=a0_0x3bb9;return _0x3c07de[_0x79d040(0xe7b)][_0x79d040(0x16b4)]({'fields':_0x79d040(0x1569),'nolimit':!![],'role':'agent'})[_0x79d040(0x2945)][_0x79d040(0x146b)](function(_0x1c2766){_0x2503fb(_0x1c2766);})[_0x79d040(0x129e)](function(_0x1c3cf5){_0x19b46e(_0x1c3cf5);});});}function _0xccf47a(_0x523e08){return _0x464ea7(function(_0x495a9e,_0x14299c){const _0x1af881=a0_0x3bb9;_0x3f65c0()[_0x1af881(0x2635)](_0x523e08)?_0x495a9e():_0x3c07de['team'][_0x1af881(0x135e)]({'id':_0x63b8b[_0x1af881(0x23f1)]['id'],'ids':_0x3f65c0()[_0x1af881(0x205)](_0x523e08,'id')})[_0x1af881(0x2945)][_0x1af881(0x146b)](function(){_0x495a9e();})[_0x1af881(0x129e)](function(_0x1dca10){_0x14299c(_0x1dca10);});});}function _0x59ee2f(_0x1bae5a){return _0x464ea7(function(_0x5b8b48,_0x157a2a){const _0x1985e9=a0_0x3bb9;_0x3f65c0()[_0x1985e9(0x2635)](_0x1bae5a)?_0x5b8b48():_0x3c07de[_0x1985e9(0x23f1)][_0x1985e9(0x1f53)]({'id':_0x63b8b[_0x1985e9(0x23f1)]['id'],'ids':_0x3f65c0()[_0x1985e9(0x205)](_0x1bae5a,'id')})[_0x1985e9(0x2945)][_0x1985e9(0x146b)](function(){_0x5b8b48();})['catch'](function(_0x1854df){_0x157a2a(_0x1854df);});});}function _0x2218f5(){const _0x42ef54=_0xe7641f,_0x426eed=_0x3f65c0()['differenceBy'](_0x63b8b['startingSelectedItems'],_0x63b8b[_0x42ef54(0x1ecf)],'id'),_0x330002=_0x3f65c0()['differenceBy'](_0x63b8b['selectedItems'],_0x63b8b['startingSelectedItems'],'id');return _0x59ee2f(_0x426eed)['then'](function(){return _0xccf47a(_0x330002);})[_0x42ef54(0x146b)](function(){const _0xa862da=_0x42ef54;_0x63b8b[_0xa862da(0x132)]=![],_0x63b8b[_0xa862da(0x2e8)]=angular['copy'](_0x63b8b['allowedItems']),_0x63b8b[_0xa862da(0xa65)]=angular[_0xa862da(0x235a)](_0x63b8b[_0xa862da(0x1ecf)]),_0x55304c[_0xa862da(0x1c75)]({'title':'SUCCESS','msg':_0xa862da(0x26be)});})['catch'](function(_0x236504){const _0x55ced1=_0x42ef54;_0x55304c[_0x55ced1(0x1980)]({'title':_0x236504[_0x55ced1(0x107b)]?'API:'+_0x236504[_0x55ced1(0x107b)]+'\x20-\x20'+_0x236504[_0x55ced1(0x167f)]:'SYSTEM:LISTS_ASSOCIATION','msg':_0x236504[_0x55ced1(0x107b)]?JSON['stringify'](_0x236504[_0x55ced1(0x524)]):_0x236504[_0x55ced1(0xd5f)]()});});}function _0x366597(){const _0xa91250=_0xe7641f;_0x21db15[_0xa91250(0x2458)]();}}const _0x19671f=_0x3d6d98;;const _0x319dda=_0x4acfac['p']+_0x313a4d(0x413);;const _0x1cea14=_0x4acfac['p']+_0x313a4d(0x18bb);;_0x30eff5[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x1aa9),'$state','$mdSidenav',_0x313a4d(0x10e8),'$document',_0x313a4d(0x1abe),_0x313a4d(0x214b),_0x313a4d(0x26f4),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),'Auth',_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x30eff5(_0x14453d,_0x5f0345,_0x35a531,_0x1b4ca7,_0x5ed0e9,_0x21422b,_0x1d2d9a,_0x1a9376,_0x5171c,_0xb6ecc2,_0x12ab45,_0x4de045,_0x39221d,_0x3da5ad,_0x4bf8a5,_0x31674e,_0x4f723f){const _0x1c523f=_0x313a4d,_0x543753=this;_0x543753[_0x1c523f(0x2690)]=_0x31674e,_0x543753[_0x1c523f(0x15b9)]=_0x4f723f,_0x543753[_0x1c523f(0x2321)]=_0x4bf8a5['getCurrentUser'](),_0x543753[_0x1c523f(0x26f4)]=_0x5171c||{'count':0x0,'rows':[]},_0x543753['userProfile']=_0xb6ecc2,_0x543753['userProfileSection']=_0x12ab45&&_0x12ab45[_0x1c523f(0x51c)]==0x1?_0x12ab45[_0x1c523f(0x19c7)][0x0]:null,_0x543753[_0x1c523f(0x2514)]=_0x4bf8a5[_0x1c523f(0xe60)](_0x543753['userProfileSection']?_0x543753[_0x1c523f(0x1366)][_0x1c523f(0x2514)]:null),_0x543753[_0x1c523f(0x768)]=_0x1c523f(0x26f4),_0x543753[_0x1c523f(0x216a)]='',_0x543753[_0x1c523f(0x214f)]=null,_0x543753[_0x1c523f(0x1c73)]=[],_0x543753[_0x1c523f(0x1a56)]={'fields':'createdAt,updatedAt,id,name,description','sort':'-updatedAt','limit':0xa,'page':0x1},_0x543753[_0x1c523f(0x2ed)]=_0x3535eb,_0x543753[_0x1c523f(0x1027)]=_0x20a5ff,_0x543753[_0x1c523f(0xf0a)]=_0xf544b4,_0x543753[_0x1c523f(0x1c75)]=_0x2b79cd,_0x543753[_0x1c523f(0x11d1)]=_0x5545c2,_0x543753['createOrEditTeam']=_0x28ce34,_0x543753['deleteTeam']=_0x2537f7,_0x543753[_0x1c523f(0x25d6)]=_0xcc877e,_0x543753[_0x1c523f(0x1208)]=_0x20473e,_0x543753[_0x1c523f(0x44c)]=_0x4be07b,_0x543753[_0x1c523f(0x26e5)]=_0x59038b;function _0x3535eb(_0x4d4a94,_0x40ea61){const _0xa34ff5=_0x1c523f;_0x5ed0e9[_0xa34ff5(0x2615)]({'controller':_0xa34ff5(0xf60),'controllerAs':'vm','templateUrl':_0x319dda,'parent':angular[_0xa34ff5(0x1853)](_0x21422b[_0xa34ff5(0x2586)]),'targetEvent':_0x40ea61,'clickOutsideToClose':!![],'locals':{'team':_0x4d4a94,'teams':_0x543753['teams'][_0xa34ff5(0x19c7)],'license':_0x543753[_0xa34ff5(0x2690)],'setting':null,'crudPermissions':_0x543753[_0xa34ff5(0x2514)]}});}function _0x20a5ff(_0xd2da1d,_0x4f6bc5){const _0x9b95d6=_0x1c523f;_0x5ed0e9[_0x9b95d6(0x2615)]({'controller':_0x9b95d6(0x132c),'controllerAs':'vm','templateUrl':_0x1cea14,'parent':angular['element'](_0x21422b['body']),'targetEvent':_0x4f6bc5,'clickOutsideToClose':!![],'locals':{'team':_0xd2da1d,'teams':_0x543753[_0x9b95d6(0x26f4)]?_0x543753[_0x9b95d6(0x26f4)][_0x9b95d6(0x19c7)]:[],'crudPermissions':_0x543753[_0x9b95d6(0x2514)],'realtime':![]}});}function _0xf544b4(_0xa524c0,_0x2d9e05){const _0x3d32fa=_0x1c523f,_0x3632e9=_0x5ed0e9[_0x3d32fa(0x1e8a)]()[_0x3d32fa(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20'+_0x3f65c0()[_0x3d32fa(0x20d1)](_0x3d32fa(0x23f1))+'?')[_0x3d32fa(0x1cbe)](_0x3d32fa(0x16d3)+(_0xa524c0[_0x3d32fa(0x19eb)]||_0x3d32fa(0x23f1))+_0x3d32fa(0x252f)+_0x3d32fa(0xe01))[_0x3d32fa(0x4bd)]('delete\x20team')[_0x3d32fa(0x1f27)](_0x2d9e05)['ok']('OK')[_0x3d32fa(0x6c3)](_0x3d32fa(0x39a));_0x5ed0e9[_0x3d32fa(0x2615)](_0x3632e9)[_0x3d32fa(0x146b)](function(){_0x2537f7(_0xa524c0);},function(){const _0x5cd2e8=_0x3d32fa;console[_0x5cd2e8(0x1a74)](_0x5cd2e8(0x39a));});}let _0x4fdf04=!![],_0x43a9c8=0x1;_0x14453d[_0x1c523f(0x21e8)]('vm.query.filter',function(_0x26baac,_0x108744){const _0x1fa36e=_0x1c523f;_0x4fdf04?_0x1d2d9a(function(){_0x4fdf04=![];}):(!_0x108744&&(_0x43a9c8=_0x543753[_0x1fa36e(0x1a56)]['page']),_0x26baac!==_0x108744&&(_0x543753[_0x1fa36e(0x1a56)]['page']=0x1),!_0x26baac&&(_0x543753[_0x1fa36e(0x1a56)][_0x1fa36e(0x844)]=_0x43a9c8),_0x543753[_0x1fa36e(0x11d1)]());});function _0x2b79cd(_0x43f157){const _0x258792=_0x1c523f;_0x543753[_0x258792(0x26f4)]=_0x43f157||{'count':0x0,'rows':[]};}function _0x5545c2(){const _0x2fce7f=_0x1c523f;_0x543753[_0x2fce7f(0x1a56)][_0x2fce7f(0x145d)]=(_0x543753['query'][_0x2fce7f(0x844)]-0x1)*_0x543753[_0x2fce7f(0x1a56)]['limit'],_0x4bf8a5[_0x2fce7f(0x23e0)](_0x2fce7f(0x174b))?_0x543753['promise']=_0x4de045[_0x2fce7f(0x23f1)][_0x2fce7f(0x16b4)](_0x543753['query'],_0x2b79cd)['$promise']:(_0x543753['query']['id']=_0x543753['userProfile']['id'],_0x543753[_0x2fce7f(0x1a56)][_0x2fce7f(0x2146)]=_0x2fce7f(0x21ae),_0x543753['promise']=_0x4de045[_0x2fce7f(0x26b6)][_0x2fce7f(0x158f)](_0x543753[_0x2fce7f(0x1a56)],_0x2b79cd)[_0x2fce7f(0x2945)]);}function _0x28ce34(_0x1de002,_0x4a7629){const _0x65a1bc=_0x1c523f;_0x5ed0e9[_0x65a1bc(0x2615)]({'controller':_0x65a1bc(0xf60),'controllerAs':'vm','templateUrl':_0x319dda,'parent':angular[_0x65a1bc(0x1853)](_0x21422b[_0x65a1bc(0x2586)]),'targetEvent':_0x1de002,'clickOutsideToClose':!![],'locals':{'team':_0x4a7629,'teams':_0x543753['teams']['rows'],'license':_0x543753['license'],'setting':_0x543753[_0x65a1bc(0x15b9)],'crudPermissions':_0x543753[_0x65a1bc(0x2514)]}});}function _0x2537f7(_0x2e7ba9){const _0x2d0738=_0x1c523f;_0x4de045[_0x2d0738(0x23f1)][_0x2d0738(0x1fac)]({'id':_0x2e7ba9['id']})[_0x2d0738(0x2945)][_0x2d0738(0x146b)](function(){const _0x817103=_0x2d0738;_0x3f65c0()[_0x817103(0x2640)](_0x543753[_0x817103(0x26f4)][_0x817103(0x19c7)],{'id':_0x2e7ba9['id']}),_0x543753[_0x817103(0x26f4)][_0x817103(0x51c)]-=0x1,!_0x543753['teams'][_0x817103(0x19c7)][_0x817103(0x402)]&&_0x543753[_0x817103(0x11d1)](),_0x3da5ad[_0x817103(0x1c75)]({'title':_0x3f65c0()[_0x817103(0x20d1)](_0x817103(0x1a4))+_0x817103(0x201c),'msg':_0x2e7ba9['name']?_0x2e7ba9[_0x817103(0x19eb)]+'\x20has\x20been\x20deleted!':''});})[_0x2d0738(0x129e)](function(_0x30eeaa){const _0x2ba1ec=_0x2d0738;if(_0x30eeaa[_0x2ba1ec(0x524)]&&_0x30eeaa['data'][_0x2ba1ec(0xcef)]&&_0x30eeaa[_0x2ba1ec(0x524)][_0x2ba1ec(0xcef)][_0x2ba1ec(0x402)]){_0x543753[_0x2ba1ec(0xcef)]=_0x30eeaa[_0x2ba1ec(0x524)][_0x2ba1ec(0xcef)]||[{'message':_0x30eeaa['toString'](),'type':'SYSTEM:DELETEteam'}];for(let _0x89f40e=0x0;_0x89f40e<_0x30eeaa[_0x2ba1ec(0x524)][_0x2ba1ec(0xcef)][_0x2ba1ec(0x402)];_0x89f40e++){_0x3da5ad[_0x2ba1ec(0x1980)]({'title':_0x30eeaa[_0x2ba1ec(0x524)][_0x2ba1ec(0xcef)][_0x89f40e]['type'],'msg':_0x30eeaa[_0x2ba1ec(0x524)][_0x2ba1ec(0xcef)][_0x89f40e]['message']});}}else _0x3da5ad[_0x2ba1ec(0x1980)]({'title':_0x30eeaa['status']?_0x2ba1ec(0x262a)+_0x30eeaa[_0x2ba1ec(0x107b)]+'\x20-\x20'+_0x30eeaa[_0x2ba1ec(0x167f)]:_0x2ba1ec(0x25e6),'msg':_0x30eeaa[_0x2ba1ec(0x524)]?JSON[_0x2ba1ec(0x10bb)](_0x30eeaa[_0x2ba1ec(0x524)][_0x2ba1ec(0x7fd)]):_0x30eeaa[_0x2ba1ec(0x7fd)]||_0x30eeaa[_0x2ba1ec(0xd5f)]()});});}function _0xcc877e(){const _0x1bd56d=_0x1c523f,_0x585de8=angular[_0x1bd56d(0x235a)](_0x543753[_0x1bd56d(0x1c73)]);return _0x543753[_0x1bd56d(0x1c73)]=[],_0x585de8;}function _0x20473e(_0x4b5461){const _0x17de1d=_0x1c523f,_0x60361c=_0x5ed0e9[_0x17de1d(0x1e8a)]()[_0x17de1d(0x1189)](_0x17de1d(0x1705))[_0x17de1d(0x1cbe)](_0x17de1d(0x16d3)+_0x543753[_0x17de1d(0x1c73)][_0x17de1d(0x402)]+_0x17de1d(0x2452)+_0x17de1d(0xe01))['ariaLabel']('delete\x20Teams')[_0x17de1d(0x1f27)](_0x4b5461)['ok']('OK')[_0x17de1d(0x6c3)]('CANCEL');_0x5ed0e9['show'](_0x60361c)['then'](function(){const _0x594e31=_0x17de1d;_0x543753[_0x594e31(0x1c73)][_0x594e31(0x1df5)](function(_0xe9cdff){_0x2537f7(_0xe9cdff);}),_0x543753[_0x594e31(0x1c73)]=[];});}function _0x4be07b(){const _0x22785e=_0x1c523f;_0x543753[_0x22785e(0x1c73)]=[];}function _0x59038b(){const _0x1c1c2e=_0x1c523f;_0x543753['selectedTeams']=_0x543753[_0x1c1c2e(0x26f4)]['rows'];}}const _0x1c64a1=_0x30eff5;;_0x5a586d[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),'$mdDialog','$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0xd4b),_0x313a4d(0x90c),_0x313a4d(0x247f),_0x313a4d(0xa87),'license','setting','crudPermissions'];function _0x5a586d(_0x1ad334,_0x539b0a,_0x3f89da,_0x597f39,_0x47356e,_0xce448b,_0x5ae9ce,_0x4fec49,_0x2b9cca,_0x452905,_0x4f889d,_0x1e5cd2,_0x1d9f63,_0x9166fc){const _0x3ac8c8=_0x313a4d,_0x161180=this;_0x161180[_0x3ac8c8(0x2321)]=_0x4f889d[_0x3ac8c8(0xb12)](),_0x161180[_0x3ac8c8(0xcef)]=[],_0x161180['setting']=_0x1d9f63,_0x161180[_0x3ac8c8(0x2690)]=_0x1e5cd2,_0x161180[_0x3ac8c8(0x2514)]=_0x9166fc,_0x161180['hasModulePermissions']={},_0x161180[_0x3ac8c8(0x2251)]=_0x161180[_0x3ac8c8(0x15b9)]&&_0x161180[_0x3ac8c8(0x15b9)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x161180['title']=_0x3ac8c8(0xfff),_0x161180[_0x3ac8c8(0x90c)]=angular[_0x3ac8c8(0x235a)](_0x2b9cca),_0x161180['telephones']=_0x4fec49,_0x161180[_0x3ac8c8(0x1b9f)]=![];!_0x161180[_0x3ac8c8(0x90c)]&&(_0x161180[_0x3ac8c8(0x90c)]={'role':_0x3ac8c8(0x90c),'autointernal':!![],'context':_0x3ac8c8(0x57e)},_0x161180[_0x3ac8c8(0x1189)]='STAFF.NEW_TELEPHONE',_0x161180[_0x3ac8c8(0x1b9f)]=!![]);_0x161180[_0x3ac8c8(0x1e2f)]=_0x5cc0a3,_0x161180[_0x3ac8c8(0x2411)]=_0x169c94,_0x161180[_0x3ac8c8(0x1487)]=_0x2218dc,_0x161180[_0x3ac8c8(0xe73)]=_0x33314e,_0x161180[_0x3ac8c8(0x13f3)]=_0x5d3eff;function _0x5cc0a3(){const _0xfe304c=_0x3ac8c8;_0x161180['errors']=[],_0x452905[_0xfe304c(0xe7b)][_0xfe304c(0x1e3)](_0x161180[_0xfe304c(0x90c)])['$promise'][_0xfe304c(0x146b)](function(_0x49bf9d){const _0x30cd7b=_0xfe304c;_0x161180[_0x30cd7b(0xd4b)][_0x30cd7b(0xb3d)](_0x49bf9d[_0x30cd7b(0x2488)]()),_0x5ae9ce[_0x30cd7b(0x1c75)]({'title':_0x30cd7b(0x2253),'msg':_0x161180['telephone'][_0x30cd7b(0x19eb)]?_0x161180[_0x30cd7b(0x90c)]['name']+_0x30cd7b(0x1386):''}),_0x5d3eff(_0x49bf9d);})[_0xfe304c(0x129e)](function(_0x32b37f){const _0x2d45b5=_0xfe304c;if(_0x32b37f[_0x2d45b5(0x524)]&&_0x32b37f[_0x2d45b5(0x524)][_0x2d45b5(0xcef)]&&_0x32b37f[_0x2d45b5(0x524)]['errors'][_0x2d45b5(0x402)]){_0x161180[_0x2d45b5(0xcef)]=_0x32b37f['data'][_0x2d45b5(0xcef)]||[{'message':_0x32b37f['toString'](),'type':'api.user.save'}];for(let _0x50665c=0x0;_0x50665c<_0x32b37f[_0x2d45b5(0x524)][_0x2d45b5(0xcef)][_0x2d45b5(0x402)];_0x50665c+=0x1){_0x5ae9ce[_0x2d45b5(0x1980)]({'title':_0x32b37f[_0x2d45b5(0x524)]['errors'][_0x50665c][_0x2d45b5(0x1142)],'msg':_0x32b37f[_0x2d45b5(0x524)][_0x2d45b5(0xcef)][_0x50665c][_0x2d45b5(0x7fd)]});}}else _0x5ae9ce[_0x2d45b5(0x1980)]({'title':_0x32b37f[_0x2d45b5(0x107b)]?_0x2d45b5(0x262a)+_0x32b37f[_0x2d45b5(0x107b)]+_0x2d45b5(0x1315)+_0x32b37f[_0x2d45b5(0x167f)]:_0x2d45b5(0x2406),'msg':_0x32b37f['data']?JSON[_0x2d45b5(0x10bb)](_0x32b37f[_0x2d45b5(0x524)][_0x2d45b5(0x7fd)]):_0x32b37f['toString']()});});}function _0x169c94(){const _0x3de242=_0x3ac8c8;_0x161180[_0x3de242(0xcef)]=[],_0x452905[_0x3de242(0xe7b)][_0x3de242(0x18e1)]({'id':_0x161180['telephone']['id']},_0x161180[_0x3de242(0x90c)])[_0x3de242(0x2945)][_0x3de242(0x146b)](function(_0x30df36){const _0x47e3ca=_0x3de242,_0x8385e9=_0x3f65c0()['find'](_0x161180[_0x47e3ca(0xd4b)],{'id':_0x30df36['id']});_0x8385e9&&_0x3f65c0()[_0x47e3ca(0x168d)](_0x8385e9,_0x3f65c0()[_0x47e3ca(0x40e)](_0x30df36[_0x47e3ca(0x2488)](),_0x3f65c0()[_0x47e3ca(0x627)](_0x8385e9))),_0x5ae9ce[_0x47e3ca(0x1c75)]({'title':'Telephone\x20properly\x20saved!','msg':_0x161180['telephone'][_0x47e3ca(0x19eb)]?_0x161180[_0x47e3ca(0x90c)][_0x47e3ca(0x19eb)]+_0x47e3ca(0x24db):''}),_0x5d3eff(_0x30df36);})[_0x3de242(0x129e)](function(_0x25fcff){const _0x2826eb=_0x3de242;if(_0x25fcff[_0x2826eb(0x524)]&&_0x25fcff[_0x2826eb(0x524)][_0x2826eb(0xcef)]&&_0x25fcff['data'][_0x2826eb(0xcef)][_0x2826eb(0x402)]){_0x161180[_0x2826eb(0xcef)]=_0x25fcff['data']['errors']||[{'message':_0x25fcff[_0x2826eb(0xd5f)](),'type':_0x2826eb(0x254f)}];for(let _0x18f2fa=0x0;_0x18f2fa<_0x25fcff['data'][_0x2826eb(0xcef)][_0x2826eb(0x402)];_0x18f2fa++){_0x5ae9ce[_0x2826eb(0x1980)]({'title':_0x25fcff['data'][_0x2826eb(0xcef)][_0x18f2fa][_0x2826eb(0x1142)],'msg':_0x25fcff[_0x2826eb(0x524)][_0x2826eb(0xcef)][_0x18f2fa][_0x2826eb(0x7fd)]});}}else _0x5ae9ce[_0x2826eb(0x1980)]({'title':_0x25fcff[_0x2826eb(0x107b)]?_0x2826eb(0x262a)+_0x25fcff[_0x2826eb(0x107b)]+_0x2826eb(0x1315)+_0x25fcff[_0x2826eb(0x167f)]:_0x2826eb(0x254f),'msg':_0x25fcff[_0x2826eb(0x524)]?JSON['stringify'](_0x25fcff[_0x2826eb(0x524)][_0x2826eb(0x7fd)]):_0x25fcff['toString']()});});}function _0x2218dc(_0x1aed75){const _0x48c145=_0x3ac8c8;_0x161180[_0x48c145(0xcef)]=[];const _0x69f967=_0x597f39[_0x48c145(0x1e8a)]()[_0x48c145(0x1189)]('Are\x20you\x20sure?')[_0x48c145(0x80f)](_0x48c145(0xc19))[_0x48c145(0x4bd)](_0x48c145(0x2000))['ok']('Delete')['cancel']('Cancel')[_0x48c145(0x1f27)](_0x1aed75);_0x597f39['show'](_0x69f967)[_0x48c145(0x146b)](function(){const _0x344639=_0x48c145;_0x452905[_0x344639(0xe7b)]['delete']({'id':_0x161180[_0x344639(0x90c)]['id']})['$promise'][_0x344639(0x146b)](function(){const _0x31c88f=_0x344639;_0x3f65c0()[_0x31c88f(0x2640)](_0x161180['telephones'],{'id':_0x161180[_0x31c88f(0x90c)]['id']}),_0x5ae9ce[_0x31c88f(0x1c75)]({'title':'Telephone\x20properly\x20deleted!','msg':(_0x161180[_0x31c88f(0x90c)][_0x31c88f(0x19eb)]||_0x31c88f(0x90c))+_0x31c88f(0x23e3)}),_0x5d3eff(_0x161180['telephone']);})[_0x344639(0x129e)](function(_0x1ce0c8){const _0xa23d6d=_0x344639;if(_0x1ce0c8[_0xa23d6d(0x524)]&&_0x1ce0c8[_0xa23d6d(0x524)][_0xa23d6d(0xcef)]&&_0x1ce0c8['data'][_0xa23d6d(0xcef)][_0xa23d6d(0x402)]){_0x161180['errors']=_0x1ce0c8[_0xa23d6d(0x524)][_0xa23d6d(0xcef)]||[{'message':_0x1ce0c8['toString'](),'type':_0xa23d6d(0xddf)}];for(let _0x26199a=0x0;_0x26199a<_0x1ce0c8[_0xa23d6d(0x524)][_0xa23d6d(0xcef)][_0xa23d6d(0x402)];_0x26199a++){_0x5ae9ce[_0xa23d6d(0x1980)]({'title':_0x1ce0c8[_0xa23d6d(0x524)]['errors'][_0x26199a][_0xa23d6d(0x1142)],'msg':_0x1ce0c8['data'][_0xa23d6d(0xcef)][_0x26199a][_0xa23d6d(0x7fd)]});}}else _0x5ae9ce[_0xa23d6d(0x1980)]({'title':_0x1ce0c8['status']?_0xa23d6d(0x262a)+_0x1ce0c8['status']+_0xa23d6d(0x1315)+_0x1ce0c8['statusText']:_0xa23d6d(0xddf),'msg':_0x1ce0c8['data']?JSON['stringify'](_0x1ce0c8['data'][_0xa23d6d(0x7fd)]):_0x1ce0c8[_0xa23d6d(0x7fd)]||_0x1ce0c8['toString']()});});},function(){});}function _0x33314e(_0x1bc1da){return _0x1bc1da===null?undefined:new Date(_0x1bc1da);}function _0x5d3eff(_0x979467){const _0x34b40a=_0x3ac8c8;_0x597f39[_0x34b40a(0x2458)](_0x979467);}}const _0x545318=_0x5a586d;;_0x10f6cb[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$mdDialog',_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0x90c),'setting',_0x313a4d(0xa87)];function _0x10f6cb(_0x195741,_0x51539e,_0x5b563f,_0x4f69e9,_0x308ffc,_0x47cd80,_0x33873e){const _0x213618=_0x313a4d,_0x471840=this;_0x471840[_0x213618(0x2321)]=_0x33873e[_0x213618(0xb12)](),_0x471840[_0x213618(0xcef)]=[],_0x471840[_0x213618(0x15b9)]=_0x47cd80,_0x471840[_0x213618(0x2251)]=_0x471840['setting'][_0x213618(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x471840['telephone']=_0x308ffc,_0x471840[_0x213618(0xf37)]='',_0x471840[_0x213618(0x2571)]='',_0x471840[_0x213618(0x23b7)]=_0x2c18e7,_0x471840[_0x213618(0x13f3)]=_0x46f207,_0x195741[_0x213618(0x21e8)](_0x213618(0x12f8),function(_0x586ae3){const _0x10bbd7=_0x213618;!_0x3f65c0()[_0x10bbd7(0x1b36)](_0x471840[_0x10bbd7(0x2571)])&&(_0x586ae3===_0x471840[_0x10bbd7(0x2571)]?_0x195741[_0x10bbd7(0x10c2)][_0x10bbd7(0xf37)][_0x10bbd7(0x24f8)]('oldPasswordMatch',![]):_0x195741[_0x10bbd7(0x10c2)]['password']['$setValidity'](_0x10bbd7(0x1e40),!![]));});function _0x2c18e7(){const _0x2fc2d1=_0x213618;_0x471840[_0x2fc2d1(0xcef)]=[];const _0x1d9151={'id':_0x471840[_0x2fc2d1(0x90c)]['id'],'newPassword':_0x471840[_0x2fc2d1(0xf37)]};_0x471840['currentUser']['id']==_0x471840[_0x2fc2d1(0x90c)]['id']&&(_0x1d9151[_0x2fc2d1(0x2571)]=_0x471840[_0x2fc2d1(0x2571)]),_0x4f69e9[_0x2fc2d1(0xe7b)][_0x2fc2d1(0x171a)](_0x1d9151)['$promise'][_0x2fc2d1(0x146b)](function(){const _0x5bf456=_0x2fc2d1;_0x5b563f['success']({'title':_0x5bf456(0x8cd)}),_0x46f207();})[_0x2fc2d1(0x129e)](function(_0x43d57b){const _0x55534a=_0x2fc2d1;_0x5b563f[_0x55534a(0x1980)]({'title':_0x43d57b[_0x55534a(0x107b)]?_0x55534a(0x262a)+_0x43d57b[_0x55534a(0x107b)]+_0x55534a(0x1315)+_0x43d57b[_0x55534a(0x167f)]:_0x55534a(0xc97),'msg':_0x43d57b['data']?JSON[_0x55534a(0x10bb)](_0x43d57b[_0x55534a(0x524)][_0x55534a(0x7fd)]):_0x43d57b['toString']()}),_0x471840[_0x55534a(0xcef)]=_0x43d57b[_0x55534a(0x524)][_0x55534a(0xcef)]||[{'message':_0x43d57b[_0x55534a(0xd5f)](),'type':_0x55534a(0x46d)}];});}function _0x46f207(){const _0x576f65=_0x213618;_0x51539e[_0x576f65(0x2458)]();}}const _0x22c5e6=_0x10f6cb;;const _0x279866=_0x4acfac['p']+_0x313a4d(0x1383);;_0x441bf2[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),_0x313a4d(0x4d8),'$translate',_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87),'telephone',_0x313a4d(0x1366)];function _0x441bf2(_0x3254b0,_0x7a2fe2,_0x41881a,_0x416a25,_0xfa398,_0x1c57b2,_0xbd98a7,_0x5a5e4e,_0x442c01,_0x55e91c,_0x6d26c7,_0x5c31f7){const _0x2d63b1=_0x313a4d,_0xdf560e=this;_0xdf560e[_0x2d63b1(0x2321)]=_0x55e91c[_0x2d63b1(0xb12)](),_0xdf560e[_0x2d63b1(0x2690)]=_0x1c57b2,_0xdf560e['setting']=_0xbd98a7,_0xdf560e['passwordPattern']=_0xdf560e[_0x2d63b1(0x15b9)][_0x2d63b1(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0xdf560e[_0x2d63b1(0x1002)]=_0x7a2fe2[_0x2d63b1(0x2414)]()+_0x2d63b1(0xb0e)+_0x7a2fe2['host'](),_0xdf560e[_0x2d63b1(0x90c)]=_0x6d26c7||_0x3254b0['params'][_0x2d63b1(0x90c)]||{},_0xdf560e[_0x2d63b1(0x1366)]=_0x5c31f7&&_0x5c31f7['count']==0x1?_0x5c31f7[_0x2d63b1(0x19c7)][0x0]:null,_0xdf560e['crudPermissions']=_0x55e91c['parseCrudPermissions'](_0xdf560e[_0x2d63b1(0x1366)]?_0xdf560e[_0x2d63b1(0x1366)]['crudPermissions']:null),_0xdf560e['hasModulePermissions']={},_0xdf560e[_0x2d63b1(0x1b09)]=_0x3254b0[_0x2d63b1(0x16a)][_0x2d63b1(0x13a3)]||0x0,_0xdf560e[_0x2d63b1(0x1ff1)]=_0x4f5fc3,_0xdf560e[_0x2d63b1(0x861)]=_0x442c01[_0x2d63b1(0x271e)],_0xdf560e['gotoTelephones']=_0x39b0ec,_0xdf560e[_0x2d63b1(0x2411)]=_0x231b69,_0x55e91c['hasRole']('admin')?_0x5a5e4e[_0x2d63b1(0x1b82)][_0x2d63b1(0x16b4)]({'fields':'id,name','sort':_0x2d63b1(0x19eb)})['$promise'][_0x2d63b1(0x146b)](function(_0x18afdb){const _0x461cc0=_0x2d63b1;_0xdf560e[_0x461cc0(0xfe9)]=_0x18afdb[_0x461cc0(0x19c7)]||[];})[_0x2d63b1(0x129e)](function(_0xf9bf23){const _0x5da18b=_0x2d63b1;_0x442c01[_0x5da18b(0x1980)]({'title':_0xf9bf23[_0x5da18b(0x107b)]?_0x5da18b(0x262a)+_0xf9bf23[_0x5da18b(0x107b)]+'\x20-\x20'+_0xf9bf23['statusText']:_0x5da18b(0x2742),'msg':_0xf9bf23[_0x5da18b(0x524)]?JSON[_0x5da18b(0x10bb)](_0xf9bf23[_0x5da18b(0x524)]):_0xf9bf23[_0x5da18b(0xd5f)]()});}):_0x5a5e4e[_0x2d63b1(0x1b82)][_0x2d63b1(0x16b4)]({'fields':'id,name','sort':_0x2d63b1(0x19eb)})[_0x2d63b1(0x2945)]['then'](function(_0x16dbd5){const _0x53b7ba=_0x2d63b1;_0xdf560e[_0x53b7ba(0xfe9)]=_0x16dbd5[_0x53b7ba(0x19c7)]||[];})[_0x2d63b1(0x146b)](function(){const _0x4b5e00=_0x2d63b1;return _0x5a5e4e['userProfileSection'][_0x4b5e00(0x16b4)]({'userProfileId':_0xdf560e[_0x4b5e00(0x2321)][_0x4b5e00(0x209a)],'sectionId':0x195})[_0x4b5e00(0x2945)];})[_0x2d63b1(0x146b)](function(_0x328981){const _0x522181=_0x2d63b1,_0x38e705=_0x328981&&_0x328981[_0x522181(0x19c7)]?_0x328981['rows'][0x0]:null;if(!_0x38e705)return _0x5a5e4e[_0x522181(0x1b82)][_0x522181(0x16b4)]({'fields':_0x522181(0x7a7),'sort':_0x522181(0x19eb),'defaultEntry':0x1})[_0x522181(0x2945)][_0x522181(0x146b)](function(_0x5eab74){const _0x515f22=_0x522181;_0xdf560e[_0x515f22(0xfe9)]=_0x5eab74[_0x515f22(0x19c7)]||[];});else{if(!_0x38e705[_0x522181(0x11d2)])return _0x5a5e4e['userProfileResource'][_0x522181(0x16b4)]({'sectionId':_0x38e705['id']})[_0x522181(0x2945)][_0x522181(0x146b)](function(_0x3a725e){const _0x324b82=_0x522181,_0x315467=_0x3f65c0()[_0x324b82(0x205)](_0x3a725e[_0x324b82(0x19c7)],function(_0x383052){const _0x6247ca=_0x324b82;return _0x3f65c0()[_0x6247ca(0xc84)](_0xdf560e[_0x6247ca(0xfe9)],{'id':_0x383052['resourceId']});});let _0x20b5e8=null;_0xdf560e[_0x324b82(0x90c)]&&(_0x20b5e8=_0x3f65c0()[_0x324b82(0xc84)](_0xdf560e[_0x324b82(0xfe9)],{'name':_0xdf560e[_0x324b82(0x90c)]['context']}));if(_0x20b5e8&&!_0x3f65c0()[_0x324b82(0x1360)](_0x315467,['id',_0x20b5e8['id']])){const _0x227515=_0x3f65c0()['find'](_0xdf560e[_0x324b82(0xfe9)],{'id':_0x20b5e8['id']});_0x227515[_0x324b82(0x8ff)]=![],_0x315467[_0x324b82(0x1f47)](_0x227515);}_0xdf560e[_0x324b82(0xfe9)]=_0x315467;});}})['catch'](function(_0x493208){const _0x1fec33=_0x2d63b1;_0x442c01[_0x1fec33(0x1980)]({'title':_0x493208['status']?'API:'+_0x493208['status']+_0x1fec33(0x1315)+_0x493208['statusText']:'SYSTEM:GETcontexts','msg':_0x493208[_0x1fec33(0x524)]?JSON[_0x1fec33(0x10bb)](_0x493208[_0x1fec33(0x524)]):_0x493208[_0x1fec33(0xd5f)]()});});function _0x4f5fc3(_0x2e15ba,_0x36fa6b){const _0x2e827f=_0x2d63b1;_0x41881a[_0x2e827f(0x2615)]({'controller':_0x2e827f(0x17a9),'controllerAs':'vm','templateUrl':_0x279866,'parent':angular['element'](_0x416a25[_0x2e827f(0x2586)]),'targetEvent':_0x36fa6b,'clickOutsideToClose':!![],'locals':{'telephone':_0x2e15ba,'setting':_0xbd98a7,'telephones':_0xdf560e['telephones']?_0xdf560e[_0x2e827f(0xd4b)][_0x2e827f(0x19c7)]:[],'crudPermissions':_0xdf560e[_0x2e827f(0x2514)]}});}function _0x39b0ec(){const _0x590b55=_0x2d63b1;_0x3254b0['go'](_0x590b55(0xa79),{},{'reload':_0x590b55(0xa79)});}function _0x231b69(){const _0x36c4b8=_0x2d63b1;_0x5a5e4e[_0x36c4b8(0xe7b)][_0x36c4b8(0x18e1)]({'id':_0xdf560e[_0x36c4b8(0x90c)]['id']},_0xdf560e[_0x36c4b8(0x90c)])['$promise'][_0x36c4b8(0x146b)](function(){const _0x3fdec1=_0x36c4b8;_0x442c01[_0x3fdec1(0x1c75)]({'title':_0x3fdec1(0x272c),'msg':_0xdf560e[_0x3fdec1(0x90c)][_0x3fdec1(0x19eb)]?_0xdf560e['telephone'][_0x3fdec1(0x19eb)]+_0x3fdec1(0x6b0):''});})[_0x36c4b8(0x129e)](function(_0x18198a){const _0x8af5=_0x36c4b8;_0x442c01[_0x8af5(0x1980)]({'title':_0x18198a[_0x8af5(0x107b)]?_0x8af5(0x262a)+_0x18198a[_0x8af5(0x107b)]+_0x8af5(0x1315)+_0x18198a[_0x8af5(0x167f)]:_0x8af5(0x825),'msg':_0x18198a[_0x8af5(0x524)]?JSON['stringify'](_0x18198a[_0x8af5(0x524)]):_0x18198a['toString']()});});}}const _0x2450e1=_0x441bf2;;const _0x599c2a=_0x4acfac['p']+_0x313a4d(0x7d3);;_0x25b217['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),'$document','$timeout','$translate',_0x313a4d(0xd4b),'userProfile',_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x25b217(_0x321b6a,_0x2b10a9,_0x4aae0d,_0x42b0f9,_0x3989a3,_0x4cc8fd,_0x17208a,_0x32f666,_0x21dd2a,_0x2567c5,_0xbbe7a9,_0x5020ad,_0x5b21ee,_0x516833,_0x4f53c,_0x3ec035,_0x5ae510){const _0x24107d=_0x313a4d,_0x482da4=this;_0x482da4['license']=_0x3ec035,_0x482da4[_0x24107d(0x15b9)]=_0x5ae510,_0x482da4['currentUser']=_0x4f53c[_0x24107d(0xb12)](),_0x482da4[_0x24107d(0xd4b)]=_0x21dd2a||{'count':0x0,'rows':[]},_0x482da4['userProfile']=_0x2567c5,_0x482da4[_0x24107d(0x1366)]=_0xbbe7a9&&_0xbbe7a9[_0x24107d(0x51c)]==0x1?_0xbbe7a9[_0x24107d(0x19c7)][0x0]:null,_0x482da4['crudPermissions']=_0x4f53c[_0x24107d(0xe60)](_0x482da4[_0x24107d(0x1366)]?_0x482da4[_0x24107d(0x1366)][_0x24107d(0x2514)]:null),_0x482da4[_0x24107d(0x768)]=_0x24107d(0xd4b),_0x482da4['listOrder']='',_0x482da4['listOrderAsc']=null,_0x482da4[_0x24107d(0x1812)]=[],_0x482da4[_0x24107d(0x1a56)]={'fields':_0x24107d(0x359),'role':_0x24107d(0x90c),'sort':_0x24107d(0x12f2),'limit':0xa,'page':0x1},_0x482da4[_0x24107d(0x1dea)]=_0x3f65c0()[_0x24107d(0x194)]([{'option':'udp','value':'\x27udp\x27'},{'option':_0x24107d(0x1701),'value':_0x24107d(0x1992)},{'option':'ws','value':_0x24107d(0x9ae)},{'option':_0x24107d(0x1457),'value':_0x24107d(0x222c)},{'option':_0x24107d(0xcbe),'value':_0x24107d(0x17ca)}],function(_0x52e619){const _0x433842=_0x24107d;return _0x3f65c0()['replace'](_0x52e619[_0x433842(0x175d)],new RegExp('\x27','g'),'');}),_0x482da4['arraynat']=_0x3f65c0()[_0x24107d(0x194)]([{'option':_0x24107d(0x22e7),'value':_0x24107d(0x1dcf)},{'option':_0x24107d(0x113b),'value':_0x24107d(0x73c)},{'option':_0x24107d(0x18e3),'value':_0x24107d(0x2339)},{'option':'no','value':_0x24107d(0x74f)},{'option':_0x24107d(0x6d2),'value':_0x24107d(0xc7b)},{'option':_0x24107d(0xdb0),'value':'\x27route\x27'}],function(_0x6bfe96){const _0x2b5ffb=_0x24107d;return _0x3f65c0()[_0x2b5ffb(0x5f4)](_0x6bfe96[_0x2b5ffb(0x175d)],new RegExp('\x27','g'),'');}),_0x482da4[_0x24107d(0x1ee2)]=_0x3f65c0()['keyBy']([{'option':_0x24107d(0x8c3),'value':_0x24107d(0x1f6f)},{'option':'alaw','value':'\x27alaw\x27'},{'option':'gsm','value':_0x24107d(0x5a3)},{'option':'g722','value':_0x24107d(0x1d33)},{'option':'g729','value':'\x27g729\x27'},{'option':_0x24107d(0xdc9),'value':'\x27opus\x27'},{'option':_0x24107d(0x1c87),'value':_0x24107d(0x559)}],function(_0x23c9d6){const _0x11b75b=_0x24107d;return _0x3f65c0()['replace'](_0x23c9d6[_0x11b75b(0x175d)],new RegExp('\x27','g'),'');}),_0x482da4[_0x24107d(0xc95)]=_0x3f65c0()[_0x24107d(0x194)]([{'option':_0x24107d(0x1b97),'value':'\x27yes\x27'},{'option':'No','value':_0x24107d(0x74f)},{'option':'Always','value':'\x27always\x27'}],function(_0x440fb4){const _0x509a56=_0x24107d;return _0x3f65c0()[_0x509a56(0x5f4)](_0x440fb4['value'],new RegExp('\x27','g'),'');}),_0x482da4['arrayencryption']=_0x3f65c0()[_0x24107d(0x194)]([{'option':_0x24107d(0x18e3),'value':_0x24107d(0x2339)},{'option':'no','value':_0x24107d(0x74f)}],function(_0x22a7e5){const _0x2e7640=_0x24107d;return _0x3f65c0()[_0x2e7640(0x5f4)](_0x22a7e5[_0x2e7640(0x175d)],new RegExp('\x27','g'),'');}),_0x482da4[_0x24107d(0x23f9)]=_0x5a92b8,_0x482da4[_0x24107d(0xf0a)]=_0xe92300,_0x482da4[_0x24107d(0x1c75)]=_0x5341a8,_0x482da4[_0x24107d(0x1948)]=_0x5bc953,_0x482da4['createOrEditTelephone']=_0x2c4015,_0x482da4[_0x24107d(0x1487)]=_0x49215e,_0x482da4['exportSelectedTelephones']=_0x10e7df,_0x482da4[_0x24107d(0xc54)]=_0x25c334,_0x482da4['deselectTelephones']=_0x5e05bc,_0x482da4[_0x24107d(0x103a)]=_0x411676;function _0x5a92b8(_0x4a5bc7){const _0x17ba10=_0x24107d;_0x4aae0d['go'](_0x17ba10(0xc85),{'id':_0x4a5bc7['id'],'telephone':_0x4a5bc7,'crudPermissions':_0x482da4[_0x17ba10(0x2514)]});}function _0xe92300(_0x18e50f,_0x3aedd2){const _0x4042d4=_0x24107d,_0x3ce3bb=_0x3989a3[_0x4042d4(0x1e8a)]()[_0x4042d4(0x1189)](_0x4042d4(0xdb2)+_0x3f65c0()[_0x4042d4(0x20d1)]('telephone')+'?')[_0x4042d4(0x1cbe)](_0x4042d4(0x16d3)+(_0x18e50f[_0x4042d4(0x19eb)]||_0x4042d4(0x90c))+''+_0x4042d4(0xe01))[_0x4042d4(0x4bd)]('delete\x20telephone')[_0x4042d4(0x1f27)](_0x3aedd2)['ok']('OK')[_0x4042d4(0x6c3)](_0x4042d4(0x39a));_0x3989a3[_0x4042d4(0x2615)](_0x3ce3bb)[_0x4042d4(0x146b)](function(){_0x49215e(_0x18e50f);},function(){const _0x5e221f=_0x4042d4;console[_0x5e221f(0x1a74)](_0x5e221f(0x39a));});}let _0x2fd9ee=!![],_0x49a8a5=0x1;_0x321b6a['$watch'](_0x24107d(0x2669),function(_0x1f80bb,_0x474cba){const _0x3052ab=_0x24107d;_0x2fd9ee?_0x17208a(function(){_0x2fd9ee=![];}):(!_0x474cba&&(_0x49a8a5=_0x482da4[_0x3052ab(0x1a56)][_0x3052ab(0x844)]),_0x1f80bb!==_0x474cba&&(_0x482da4[_0x3052ab(0x1a56)][_0x3052ab(0x844)]=0x1),!_0x1f80bb&&(_0x482da4[_0x3052ab(0x1a56)]['page']=_0x49a8a5),_0x482da4[_0x3052ab(0x1948)]());});function _0x5341a8(_0xfb78af){const _0x98f002=_0x24107d;_0x482da4[_0x98f002(0xd4b)]=_0xfb78af||{'count':0x0,'rows':[]};}function _0x5bc953(){const _0x1795e2=_0x24107d;_0x482da4[_0x1795e2(0x1a56)]['offset']=(_0x482da4[_0x1795e2(0x1a56)][_0x1795e2(0x844)]-0x1)*_0x482da4[_0x1795e2(0x1a56)][_0x1795e2(0x221e)],_0x4f53c['hasRole'](_0x1795e2(0x174b))?_0x482da4[_0x1795e2(0xb9c)]=_0x5020ad[_0x1795e2(0xe7b)][_0x1795e2(0x16b4)](_0x482da4[_0x1795e2(0x1a56)],_0x5341a8)[_0x1795e2(0x2945)]:(_0x482da4[_0x1795e2(0x1a56)]['id']=_0x482da4[_0x1795e2(0x26b6)]['id'],_0x482da4[_0x1795e2(0x1a56)][_0x1795e2(0x2146)]=_0x1795e2(0x3af),_0x482da4[_0x1795e2(0xb9c)]=_0x5020ad[_0x1795e2(0x26b6)][_0x1795e2(0x158f)](_0x482da4[_0x1795e2(0x1a56)],_0x5341a8)[_0x1795e2(0x2945)]);}function _0x2c4015(_0x112ce4,_0x53964f){const _0x40be35=_0x24107d;_0x3989a3[_0x40be35(0x2615)]({'controller':_0x40be35(0x10c5),'controllerAs':'vm','templateUrl':_0x599c2a,'parent':angular[_0x40be35(0x1853)](_0x4cc8fd[_0x40be35(0x2586)]),'targetEvent':_0x112ce4,'clickOutsideToClose':!![],'locals':{'telephone':_0x53964f,'telephones':_0x482da4[_0x40be35(0xd4b)][_0x40be35(0x19c7)],'license':_0x482da4[_0x40be35(0x2690)],'setting':_0x482da4[_0x40be35(0x15b9)],'crudPermissions':_0x482da4[_0x40be35(0x2514)]}});}function _0x49215e(_0x16e327){const _0x12e199=_0x24107d;_0x5020ad['user'][_0x12e199(0x1fac)]({'id':_0x16e327['id']})[_0x12e199(0x2945)][_0x12e199(0x146b)](function(){const _0x2bdd16=_0x12e199;_0x3f65c0()['remove'](_0x482da4['telephones'][_0x2bdd16(0x19c7)],{'id':_0x16e327['id']}),_0x482da4[_0x2bdd16(0xd4b)][_0x2bdd16(0x51c)]-=0x1,!_0x482da4['telephones'][_0x2bdd16(0x19c7)][_0x2bdd16(0x402)]&&_0x482da4['getTelephones'](),_0x516833[_0x2bdd16(0x1c75)]({'title':_0x3f65c0()['startCase'](_0x2bdd16(0xbf1))+_0x2bdd16(0x201c),'msg':_0x16e327[_0x2bdd16(0x19eb)]?_0x16e327[_0x2bdd16(0x19eb)]+_0x2bdd16(0x23e3):''});})[_0x12e199(0x129e)](function(_0x3e0663){const _0x18014d=_0x12e199;if(_0x3e0663[_0x18014d(0x524)]&&_0x3e0663[_0x18014d(0x524)]['errors']&&_0x3e0663[_0x18014d(0x524)][_0x18014d(0xcef)][_0x18014d(0x402)]){_0x482da4[_0x18014d(0xcef)]=_0x3e0663['data']['errors']||[{'message':_0x3e0663[_0x18014d(0xd5f)](),'type':_0x18014d(0xc69)}];for(let _0x4a270f=0x0;_0x4a270f<_0x3e0663['data'][_0x18014d(0xcef)][_0x18014d(0x402)];_0x4a270f++){_0x516833[_0x18014d(0x1980)]({'title':_0x3e0663[_0x18014d(0x524)][_0x18014d(0xcef)][_0x4a270f][_0x18014d(0x1142)],'msg':_0x3e0663[_0x18014d(0x524)]['errors'][_0x4a270f]['message']});}}else _0x516833[_0x18014d(0x1980)]({'title':_0x3e0663[_0x18014d(0x107b)]?_0x18014d(0x262a)+_0x3e0663['status']+_0x18014d(0x1315)+_0x3e0663[_0x18014d(0x167f)]:'SYSTEM:DELETEuser','msg':_0x3e0663[_0x18014d(0x524)]?JSON[_0x18014d(0x10bb)](_0x3e0663['data'][_0x18014d(0x7fd)]):_0x3e0663[_0x18014d(0x7fd)]||_0x3e0663[_0x18014d(0xd5f)]()});});}function _0x10e7df(){const _0x386ff3=_0x24107d,_0x4f8c76=angular[_0x386ff3(0x235a)](_0x482da4[_0x386ff3(0x1812)]);return _0x482da4['selectedTelephones']=[],_0x4f8c76;}function _0x25c334(_0x496396){const _0x1af36f=_0x24107d,_0x33061b=_0x3989a3[_0x1af36f(0x1e8a)]()[_0x1af36f(0x1189)](_0x1af36f(0x28bc))[_0x1af36f(0x1cbe)](_0x1af36f(0x16d3)+_0x482da4[_0x1af36f(0x1812)][_0x1af36f(0x402)]+_0x1af36f(0x2452)+'\x20will\x20be\x20deleted.')[_0x1af36f(0x4bd)]('delete\x20Telephones')[_0x1af36f(0x1f27)](_0x496396)['ok']('OK')['cancel'](_0x1af36f(0x39a));_0x3989a3['show'](_0x33061b)['then'](function(){const _0xbc43b6=_0x1af36f;_0x482da4[_0xbc43b6(0x1812)][_0xbc43b6(0x1df5)](function(_0x2d7eef){_0x49215e(_0x2d7eef);}),_0x482da4[_0xbc43b6(0x1812)]=[];});}function _0x5e05bc(){const _0x420d0c=_0x24107d;_0x482da4[_0x420d0c(0x1812)]=[];}function _0x411676(){const _0x1556fa=_0x24107d;_0x482da4[_0x1556fa(0x1812)]=_0x482da4[_0x1556fa(0xd4b)][_0x1556fa(0x19c7)];}}const _0x4f7381=_0x25b217;;_0x5e1632['$inject']=['$scope',_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x2851),_0x313a4d(0x26b6),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x5e1632(_0x228276,_0x10330b,_0x5d81fb,_0x1e90e1,_0x13155d,_0x5efdba,_0x566d4b,_0x455a89,_0x78b071,_0x441a67,_0x37cd5f,_0x2b30ac,_0x737e58,_0x34ca6e){const _0x16ab51=_0x313a4d,_0x4cfd62=this;_0x4cfd62[_0x16ab51(0x2321)]=_0x37cd5f[_0x16ab51(0xb12)](),_0x4cfd62['errors']=[],_0x4cfd62[_0x16ab51(0x15b9)]=_0x737e58,_0x4cfd62['license']=_0x2b30ac,_0x4cfd62[_0x16ab51(0x2514)]=_0x34ca6e,_0x4cfd62[_0x16ab51(0x855)]={},_0x4cfd62[_0x16ab51(0x2251)]=_0x4cfd62[_0x16ab51(0x15b9)]&&_0x4cfd62[_0x16ab51(0x15b9)][_0x16ab51(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x4cfd62['title']=_0x16ab51(0x9b0),_0x4cfd62[_0x16ab51(0x26b6)]=angular[_0x16ab51(0x235a)](_0x78b071),_0x4cfd62[_0x16ab51(0x2851)]=_0x455a89,_0x4cfd62[_0x16ab51(0x225)]=![];!_0x4cfd62[_0x16ab51(0x26b6)]&&(_0x4cfd62[_0x16ab51(0x26b6)]={'crudPermissions':['r']},_0x4cfd62['title']=_0x16ab51(0xfe3),_0x4cfd62['newUserProfile']=!![]);_0x4cfd62[_0x16ab51(0x1dc5)]=_0x2fac00,_0x4cfd62['saveUserProfile']=_0x3830c9,_0x4cfd62[_0x16ab51(0xe37)]=_0x57e273,_0x4cfd62[_0x16ab51(0xe73)]=_0x5ed122,_0x4cfd62[_0x16ab51(0x13f3)]=_0xefcf7e;function _0x2fac00(){const _0x200c34=_0x16ab51;_0x4cfd62[_0x200c34(0xcef)]=[],_0x441a67['userProfile']['save'](_0x4cfd62[_0x200c34(0x26b6)])['$promise'][_0x200c34(0x146b)](function(_0x525bd8){const _0x295696=_0x200c34;_0x32ce5a(_0x525bd8),_0x4cfd62[_0x295696(0x2851)][_0x295696(0xb3d)](_0x525bd8[_0x295696(0x2488)]()),_0x566d4b[_0x295696(0x1c75)]({'title':_0x295696(0x1182),'msg':_0x4cfd62[_0x295696(0x26b6)][_0x295696(0x19eb)]?_0x4cfd62[_0x295696(0x26b6)][_0x295696(0x19eb)]+_0x295696(0x1386):''}),_0xefcf7e(_0x525bd8);})[_0x200c34(0x129e)](function(_0x388b14){const _0x1c06f4=_0x200c34;if(_0x388b14[_0x1c06f4(0x524)]&&_0x388b14[_0x1c06f4(0x524)]['errors']&&_0x388b14['data'][_0x1c06f4(0xcef)][_0x1c06f4(0x402)]){_0x4cfd62[_0x1c06f4(0xcef)]=_0x388b14[_0x1c06f4(0x524)][_0x1c06f4(0xcef)]||[{'message':_0x388b14['toString'](),'type':_0x1c06f4(0x82f)}];for(let _0x1d7c59=0x0;_0x1d7c59<_0x388b14[_0x1c06f4(0x524)]['errors']['length'];_0x1d7c59+=0x1){_0x566d4b['error']({'title':_0x388b14[_0x1c06f4(0x524)][_0x1c06f4(0xcef)][_0x1d7c59][_0x1c06f4(0x1142)],'msg':_0x388b14['data'][_0x1c06f4(0xcef)][_0x1d7c59][_0x1c06f4(0x7fd)]});}}else _0x566d4b['error']({'title':_0x388b14['status']?'API:'+_0x388b14['status']+_0x1c06f4(0x1315)+_0x388b14[_0x1c06f4(0x167f)]:_0x1c06f4(0x82f),'msg':_0x388b14['data']?JSON[_0x1c06f4(0x10bb)](_0x388b14['data'][_0x1c06f4(0x7fd)]):_0x388b14[_0x1c06f4(0xd5f)]()});});}function _0x3830c9(){const _0x890f12=_0x16ab51;_0x4cfd62['errors']=[],_0x441a67[_0x890f12(0x26b6)][_0x890f12(0x18e1)]({'id':_0x4cfd62[_0x890f12(0x26b6)]['id']},_0x4cfd62[_0x890f12(0x26b6)])[_0x890f12(0x2945)][_0x890f12(0x146b)](function(_0x54938c){const _0x368087=_0x890f12,_0x39feb9=_0x3f65c0()[_0x368087(0xc84)](_0x4cfd62[_0x368087(0x2851)],{'id':_0x54938c['id']});_0x39feb9&&_0x3f65c0()[_0x368087(0x168d)](_0x39feb9,_0x3f65c0()[_0x368087(0x40e)](_0x54938c[_0x368087(0x2488)](),_0x3f65c0()[_0x368087(0x627)](_0x39feb9))),_0x566d4b[_0x368087(0x1c75)]({'title':_0x368087(0x1958),'msg':_0x4cfd62[_0x368087(0x26b6)]['name']?_0x4cfd62[_0x368087(0x26b6)]['name']+_0x368087(0x24db):''}),_0xefcf7e(_0x54938c);})[_0x890f12(0x129e)](function(_0x5302e3){const _0x123c0e=_0x890f12;if(_0x5302e3['data']&&_0x5302e3['data']['errors']&&_0x5302e3[_0x123c0e(0x524)][_0x123c0e(0xcef)]['length']){_0x4cfd62[_0x123c0e(0xcef)]=_0x5302e3[_0x123c0e(0x524)][_0x123c0e(0xcef)]||[{'message':_0x5302e3[_0x123c0e(0xd5f)](),'type':_0x123c0e(0x22f9)}];for(let _0x3a47e4=0x0;_0x3a47e4<_0x5302e3[_0x123c0e(0x524)][_0x123c0e(0xcef)]['length'];_0x3a47e4++){_0x566d4b[_0x123c0e(0x1980)]({'title':_0x5302e3[_0x123c0e(0x524)][_0x123c0e(0xcef)][_0x3a47e4][_0x123c0e(0x1142)],'msg':_0x5302e3[_0x123c0e(0x524)][_0x123c0e(0xcef)][_0x3a47e4][_0x123c0e(0x7fd)]});}}else _0x566d4b[_0x123c0e(0x1980)]({'title':_0x5302e3[_0x123c0e(0x107b)]?_0x123c0e(0x262a)+_0x5302e3['status']+'\x20-\x20'+_0x5302e3['statusText']:'api.userProfile.update','msg':_0x5302e3[_0x123c0e(0x524)]?JSON[_0x123c0e(0x10bb)](_0x5302e3[_0x123c0e(0x524)][_0x123c0e(0x7fd)]):_0x5302e3[_0x123c0e(0xd5f)]()});});}function _0x57e273(_0x8c18fc){const _0x353747=_0x16ab51;_0x4cfd62[_0x353747(0xcef)]=[];const _0x23e694=_0x1e90e1[_0x353747(0x1e8a)]()[_0x353747(0x1189)](_0x353747(0x1d64))[_0x353747(0x80f)](_0x353747(0x8bd))[_0x353747(0x4bd)]('Delete\x20UserProfile')['ok'](_0x353747(0x25de))[_0x353747(0x6c3)](_0x353747(0xcf0))[_0x353747(0x1f27)](_0x8c18fc);_0x1e90e1['show'](_0x23e694)['then'](function(){const _0x1ddb1e=_0x353747;_0x441a67['userProfile'][_0x1ddb1e(0x1fac)]({'id':_0x4cfd62['userProfile']['id']})[_0x1ddb1e(0x2945)][_0x1ddb1e(0x146b)](function(){const _0x397257=_0x1ddb1e;_0x3f65c0()[_0x397257(0x2640)](_0x4cfd62[_0x397257(0x2851)],{'id':_0x4cfd62[_0x397257(0x26b6)]['id']}),_0x566d4b[_0x397257(0x1c75)]({'title':_0x397257(0x10e9),'msg':(_0x4cfd62['userProfile'][_0x397257(0x19eb)]||_0x397257(0x26b6))+'\x20has\x20been\x20deleted!'}),_0xefcf7e(_0x4cfd62[_0x397257(0x26b6)]);})[_0x1ddb1e(0x129e)](function(_0x40a0a7){const _0x29f00a=_0x1ddb1e;if(_0x40a0a7[_0x29f00a(0x524)]&&_0x40a0a7[_0x29f00a(0x524)][_0x29f00a(0xcef)]&&_0x40a0a7[_0x29f00a(0x524)][_0x29f00a(0xcef)][_0x29f00a(0x402)]){_0x4cfd62[_0x29f00a(0xcef)]=_0x40a0a7[_0x29f00a(0x524)][_0x29f00a(0xcef)]||[{'message':_0x40a0a7[_0x29f00a(0xd5f)](),'type':_0x29f00a(0x73e)}];for(let _0x4b4624=0x0;_0x4b4624<_0x40a0a7[_0x29f00a(0x524)]['errors'][_0x29f00a(0x402)];_0x4b4624++){_0x566d4b['error']({'title':_0x40a0a7[_0x29f00a(0x524)]['errors'][_0x4b4624][_0x29f00a(0x1142)],'msg':_0x40a0a7['data'][_0x29f00a(0xcef)][_0x4b4624]['message']});}}else _0x566d4b[_0x29f00a(0x1980)]({'title':_0x40a0a7['status']?_0x29f00a(0x262a)+_0x40a0a7[_0x29f00a(0x107b)]+_0x29f00a(0x1315)+_0x40a0a7[_0x29f00a(0x167f)]:_0x29f00a(0x73e),'msg':_0x40a0a7[_0x29f00a(0x524)]?JSON['stringify'](_0x40a0a7['data'][_0x29f00a(0x7fd)]):_0x40a0a7[_0x29f00a(0x7fd)]||_0x40a0a7[_0x29f00a(0xd5f)]()});});},function(){});}function _0x32ce5a(_0x2c7e10){const _0x3c222e=_0x16ab51,_0x9c5fc1=[];_0x2c7e10[_0x3c222e(0x2514)]['forEach'](function(_0x4d225a){const _0x58fd69=_0x3c222e;let _0x2f1003;switch(_0x4d225a){case'r':_0x2f1003=_0x58fd69(0x28aa);break;case'e':_0x2f1003='EDIT_PERMISSION';break;case'd':_0x2f1003=_0x58fd69(0x509);break;}_0x9c5fc1['push'](_0x5efdba[_0x58fd69(0xde)](_0x58fd69(0x3a7)+_0x2f1003));}),_0x2c7e10['selectedcrudPermissions']=_0x9c5fc1[_0x3c222e(0xb47)](',\x20');}function _0x5ed122(_0x5d63cb){return _0x5d63cb===null?undefined:new Date(_0x5d63cb);}function _0xefcf7e(_0x1a8a37){_0x1e90e1['hide'](_0x1a8a37);}}const _0x90c5bf=_0x5e1632;;_0x424da8[_0x313a4d(0x11c2)]=['$mdDialog','$state',_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0x26b6),'userProfiles','$translate'];function _0x424da8(_0x5c735b,_0x286ce6,_0xa2ef94,_0x29a65a,_0x57197d,_0x26df98,_0x4dfac5){const _0x2593b2=_0x313a4d,_0xbab965=this;_0xbab965[_0x2593b2(0x225)]=!![],_0xbab965[_0x2593b2(0x26b6)]=angular[_0x2593b2(0x235a)](_0x57197d),_0xbab965[_0x2593b2(0x2851)]=_0x26df98,_0xbab965['title']=_0x4dfac5[_0x2593b2(0xde)](_0x2593b2(0x485));_0x57197d&&_0x57197d['name']&&(_0xbab965[_0x2593b2(0x1189)]+=':\x20'+_0x57197d[_0x2593b2(0x19eb)]);_0xbab965[_0x2593b2(0xb57)]=_0x49f3c1,_0xbab965[_0x2593b2(0x13f3)]=_0x3dada7;function _0x49f3c1(){const _0x178156=_0x2593b2;return _0x29a65a[_0x178156(0x26b6)][_0x178156(0x12cc)]({'id':_0x57197d['id']},_0xbab965[_0x178156(0x26b6)])[_0x178156(0x2945)][_0x178156(0x146b)](function(_0x1de491){const _0x5bc7a8=_0x178156;_0x1005f5(_0x1de491),_0xbab965[_0x5bc7a8(0x2851)][_0x5bc7a8(0xb3d)](_0x1de491[_0x5bc7a8(0x2488)]()),_0xa2ef94[_0x5bc7a8(0x1c75)]({'title':_0x5bc7a8(0x931),'msg':_0xbab965[_0x5bc7a8(0x26b6)][_0x5bc7a8(0x19eb)]?_0xbab965[_0x5bc7a8(0x26b6)][_0x5bc7a8(0x19eb)]+_0x5bc7a8(0x1b63):''}),_0x286ce6['go'](_0x5bc7a8(0x12a1),{'id':_0x1de491['id']}),_0x3dada7(_0x1de491);})[_0x178156(0x129e)](function(_0x1c7e54){const _0xe2796e=_0x178156;if(_0x1c7e54[_0xe2796e(0x524)]&&_0x1c7e54['data'][_0xe2796e(0xcef)]&&_0x1c7e54[_0xe2796e(0x524)][_0xe2796e(0xcef)][_0xe2796e(0x402)]){_0xbab965[_0xe2796e(0xcef)]=_0x1c7e54[_0xe2796e(0x524)][_0xe2796e(0xcef)]||[{'message':_0x1c7e54[_0xe2796e(0xd5f)](),'type':'api.userProfile.clone'}];for(let _0x2a5f9d=0x0;_0x2a5f9d<_0x1c7e54[_0xe2796e(0x524)][_0xe2796e(0xcef)][_0xe2796e(0x402)];_0x2a5f9d+=0x1){_0xa2ef94['error']({'title':_0x1c7e54[_0xe2796e(0x524)][_0xe2796e(0xcef)][_0x2a5f9d]['type'],'msg':_0x1c7e54[_0xe2796e(0x524)]['errors'][_0x2a5f9d]['message']});}}else _0xa2ef94[_0xe2796e(0x1980)]({'title':_0x1c7e54[_0xe2796e(0x107b)]?_0xe2796e(0x262a)+_0x1c7e54[_0xe2796e(0x107b)]+'\x20-\x20'+_0x1c7e54[_0xe2796e(0x167f)]:_0xe2796e(0x787),'msg':_0x1c7e54[_0xe2796e(0x524)]?JSON[_0xe2796e(0x10bb)](_0x1c7e54[_0xe2796e(0x524)][_0xe2796e(0x7fd)]):_0x1c7e54['toString']()});});}function _0x1005f5(_0x2ee78e){const _0x486559=_0x2593b2,_0x243ad1=[];_0x2ee78e['crudPermissions'][_0x486559(0x1df5)](function(_0x13dd42){const _0x1db766=_0x486559;let _0x5f08cd;switch(_0x13dd42){case'r':_0x5f08cd='READ_PERMISSION';break;case'e':_0x5f08cd=_0x1db766(0x1520);break;case'd':_0x5f08cd=_0x1db766(0x509);break;}_0x243ad1[_0x1db766(0x1f47)](_0x4dfac5['instant']('STAFF.'+_0x5f08cd));}),_0x2ee78e[_0x486559(0x173c)]=_0x243ad1[_0x486559(0xb47)](',\x20');}function _0x3dada7(_0x427e25){const _0x42c329=_0x2593b2;_0x5c735b[_0x42c329(0x2458)](_0x427e25);}}const _0x2c310e=_0x424da8;;const _0x5956ae=_0x4acfac['p']+_0x313a4d(0x2279);;_0x3127b9[_0x313a4d(0x11c2)]=['$document',_0x313a4d(0x10e8),'$translate','$q',_0x313a4d(0x247f),_0x313a4d(0x1f9a),'Auth',_0x313a4d(0x1fe4)];function _0x3127b9(_0x49870d,_0x489290,_0x3aaa43,_0x8f6188,_0x22270b,_0x6848ea,_0x486b49,_0x650b4b){const _0x45e5d4=_0x313a4d,_0x4a1a86=this;_0x4a1a86[_0x45e5d4(0x26b6)]={},_0x4a1a86['license']={},_0x4a1a86[_0x45e5d4(0x145c)]=_0x6848ea[_0x45e5d4(0x5c4)](),_0x4a1a86['modules']=_0x4a1a86[_0x45e5d4(0x145c)][0x0]['children'],_0x4a1a86[_0x45e5d4(0x1824)]=[{'id':0x0,'name':_0x45e5d4(0x573),'color':_0x45e5d4(0xde9),'info':_0x45e5d4(0x1f65),'tag':'full'},{'id':0x1,'name':'Partially\x20Enabled','color':_0x45e5d4(0x17c3),'info':_0x45e5d4(0x8f3),'tag':_0x45e5d4(0x2541)},{'id':0x2,'name':'Disabled','color':'grey-A400-bg','info':'No\x20resources\x20enabled','tag':_0x45e5d4(0x2862)},{'id':0x3,'name':_0x45e5d4(0x476),'color':_0x45e5d4(0x14ec),'info':_0x45e5d4(0xecd),'tag':_0x45e5d4(0x20ff)},{'id':0x4,'name':_0x45e5d4(0x89f),'color':_0x45e5d4(0x1d39),'info':'Unknown\x20status','tag':_0x45e5d4(0x207d)}],_0x4a1a86[_0x45e5d4(0x1712)]=[{'title':_0x45e5d4(0x11e8),'translate':_0x45e5d4(0xb03),'id':0x64}],_0x4a1a86[_0x45e5d4(0x5aa)]=_0x4f1891,_0x4a1a86[_0x45e5d4(0x830)]=_0x1f97ae,_0x4a1a86['manageResources']=_0x425426,_0x4a1a86[_0x45e5d4(0xe71)]=_0x426b05;function _0x4f1891(_0x5aa5f3,_0x253c67){const _0xcd527d=_0x45e5d4;_0x4a1a86[_0xcd527d(0x26b6)]=_0x5aa5f3,_0x4a1a86[_0xcd527d(0x2690)]=_0x253c67;}function _0x1f97ae(_0x4a53d1){const _0x4c5e2a=_0x45e5d4,_0x2dcac6=typeof _0x4a53d1['permissions']!==_0x4c5e2a(0x2274)&&!_0x4a53d1[_0x4c5e2a(0x1f54)][_0x4c5e2a(0x91f)]?!![]:![],_0x1f2ca0=_0x4a53d1['title']===_0x4c5e2a(0xa3f)?_0x4c5e2a(0x905):_0x4a53d1[_0x4c5e2a(0x1189)];if(_0x4a53d1[_0x4c5e2a(0x107b)][_0x4c5e2a(0x19eb)]!==_0x4c5e2a(0x573)){const _0x3f6083=[];if(!_0x2dcac6){const _0x2d91c3=_0x489290['confirm']({'skipHide':!![]})[_0x4c5e2a(0x1189)](_0x4c5e2a(0xf0))['textContent'](_0x3aaa43['instant']('STAFF.PERMISSIONS_WARNING_ENABLE_ALL',{'sectionName':_0x3aaa43['instant'](_0x4a53d1['translate'])}))[_0x4c5e2a(0x4bd)](_0x4c5e2a(0xf0))['ok'](_0x3aaa43[_0x4c5e2a(0xde)]('STAFF.CONFIRM'))[_0x4c5e2a(0x6c3)](_0x3aaa43[_0x4c5e2a(0xde)](_0x4c5e2a(0x1161)));_0x489290[_0x4c5e2a(0x2615)](_0x2d91c3)[_0x4c5e2a(0x146b)](function(){const _0xed0a92=_0x4c5e2a;switch(_0x1f2ca0){case _0xed0a92(0x11e8):_0x3f65c0()[_0xed0a92(0x205)](_0x4a1a86[_0xed0a92(0x1712)],function(_0x9d01d8){const _0x2662b2=_0xed0a92;_0x3f6083[_0x2662b2(0x1f47)]({'category':_0x1f2ca0,'sectionId':_0x9d01d8['id'],'name':_0x9d01d8['title'],'enabled':!![],'autoAssociation':!![],'crudPermissions':_0x3f65c0()[_0x2662b2(0x205)](_0x4a1a86[_0x2662b2(0x26b6)][_0x2662b2(0x2514)])[_0x2662b2(0xb47)]('')});});break;default:_0x3f65c0()[_0xed0a92(0x205)](_0x4a53d1[_0xed0a92(0x20d0)],function(_0x6ba6){const _0x2109a2=_0xed0a92,_0x2ca3d2=typeof _0x6ba6[_0x2109a2(0x1f54)]!=_0x2109a2(0x2274)&&_0x6ba6[_0x2109a2(0x1f54)]['hidden']?!![]:![];if(!_0x2ca3d2){let _0x6cdbe3=_0x6ba6[_0x2109a2(0x1189)];switch(_0x1f2ca0){case'CallySquare':_0x6cdbe3=_0x6cdbe3===_0x2109a2(0x1ea)?'SquareProjects':_0x6cdbe3;break;}_0x3f6083[_0x2109a2(0x1f47)]({'category':_0x1f2ca0,'sectionId':_0x6ba6['id'],'name':_0x6cdbe3,'enabled':!![],'autoAssociation':!![],'crudPermissions':_0x3f65c0()[_0x2109a2(0x205)](_0x4a1a86[_0x2109a2(0x26b6)][_0x2109a2(0x2514)])[_0x2109a2(0xb47)]('')});}});break;}return _0x22270b[_0xed0a92(0x26b6)][_0xed0a92(0x2158)]({'id':_0x4a1a86[_0xed0a92(0x26b6)]['id']},_0x3f6083)[_0xed0a92(0x2945)][_0xed0a92(0x146b)](function(){const _0x4e8960=_0xed0a92;_0x4a53d1[_0x4e8960(0x107b)]=_0x62dfa0(_0x4a53d1,_0x4e8960(0x1de8)),_0x650b4b['success']({'title':_0x3aaa43[_0x4e8960(0xde)](_0x4e8960(0x27e5),{'sectionName':_0x3aaa43[_0x4e8960(0xde)](_0x4a53d1[_0x4e8960(0x2895)]),'userProfileName':_0x4a1a86[_0x4e8960(0x26b6)][_0x4e8960(0x19eb)]}),'msg':_0x3aaa43[_0x4e8960(0xde)]('STAFF.NOTIFICATIONS.PERMISSIONS_ENABLED_ALL_SUCCESS_MESSAGE',{'sectionName':_0x3aaa43[_0x4e8960(0xde)](_0x4a53d1[_0x4e8960(0x2895)]),'userProfileName':_0x4a1a86[_0x4e8960(0x26b6)][_0x4e8960(0x19eb)]})});});})[_0x4c5e2a(0x129e)](function(_0x368876){const _0x5b3af8=_0x4c5e2a;_0x368876?_0x650b4b['error']({'title':_0x368876[_0x5b3af8(0x107b)]?_0x5b3af8(0x262a)+_0x368876['status']+_0x5b3af8(0x1315)+_0x368876['statusText']:'SYSTEM:USERPROFILE.ADDSECTIONS','msg':_0x368876[_0x5b3af8(0x107b)]?JSON[_0x5b3af8(0x10bb)](_0x368876[_0x5b3af8(0x524)]):_0x368876['toString']()}):_0x4a53d1[_0x5b3af8(0x107b)]=_0x62dfa0(_0x4a53d1,_0x4a53d1[_0x5b3af8(0x107b)][_0x5b3af8(0xa80)]);});}else return _0x3f6083[_0x4c5e2a(0x1f47)]({'category':_0x1f2ca0,'sectionId':_0x4a53d1['id'],'name':_0x1f2ca0,'enabled':!![],'crudPermissions':_0x4c5e2a(0x10f)}),_0x22270b['userProfile']['addSections']({'id':_0x4a1a86['userProfile']['id']},_0x3f6083)[_0x4c5e2a(0x2945)][_0x4c5e2a(0x146b)](function(){const _0x14a1fb=_0x4c5e2a;_0x4a53d1[_0x14a1fb(0x107b)]=_0x62dfa0(_0x4a53d1,'full'),_0x650b4b[_0x14a1fb(0x1c75)]({'title':_0x3aaa43[_0x14a1fb(0xde)](_0x14a1fb(0x27e5),{'sectionName':_0x3aaa43[_0x14a1fb(0xde)](_0x4a53d1[_0x14a1fb(0x2895)]),'userProfileName':_0x4a1a86['userProfile'][_0x14a1fb(0x19eb)]}),'msg':_0x3aaa43[_0x14a1fb(0xde)](_0x14a1fb(0x13e7),{'sectionName':_0x3aaa43[_0x14a1fb(0xde)](_0x4a53d1[_0x14a1fb(0x2895)]),'userProfileName':_0x4a1a86['userProfile'][_0x14a1fb(0x19eb)]})});})[_0x4c5e2a(0x129e)](function(_0x423df0){const _0x3ad257=_0x4c5e2a;_0x650b4b[_0x3ad257(0x1980)]({'title':_0x423df0[_0x3ad257(0x107b)]?'API:'+_0x423df0['status']+_0x3ad257(0x1315)+_0x423df0[_0x3ad257(0x167f)]:'SYSTEM:USERPROFILE.ADDSECTIONS','msg':_0x423df0[_0x3ad257(0x107b)]?JSON[_0x3ad257(0x10bb)](_0x423df0[_0x3ad257(0x524)]):_0x423df0[_0x3ad257(0xd5f)]()});});}else{if(_0x4a53d1[_0x4c5e2a(0x107b)][_0x4c5e2a(0x19eb)]===_0x4c5e2a(0x573)){_0x4a53d1['status']=_0x62dfa0(_0x4a53d1,_0x4c5e2a(0x2862));let _0x543f4f=[];switch(_0x1f2ca0){case _0x4c5e2a(0x24f4):case _0x4c5e2a(0x22ab):_0x543f4f=[_0x4a53d1['id']];break;case _0x4c5e2a(0x11e8):_0x543f4f=_0x3f65c0()[_0x4c5e2a(0x205)](_0x4a1a86[_0x4c5e2a(0x1712)],function(_0x51f047){return _0x51f047['id'];});break;default:_0x543f4f=_0x3f65c0()['map'](_0x4a53d1[_0x4c5e2a(0x20d0)],function(_0x39cb2b){return _0x39cb2b['id'];});break;}return _0x22270b[_0x4c5e2a(0x26b6)]['removeSections']({'id':_0x4a1a86['userProfile']['id'],'ids':_0x543f4f})[_0x4c5e2a(0x2945)][_0x4c5e2a(0x146b)](function(){const _0x489733=_0x4c5e2a;_0x650b4b['success']({'title':_0x3aaa43[_0x489733(0xde)](_0x489733(0x1e32),{'sectionName':_0x3aaa43['instant'](_0x4a53d1[_0x489733(0x2895)]),'userProfileName':_0x4a1a86[_0x489733(0x26b6)][_0x489733(0x19eb)]}),'msg':_0x3aaa43[_0x489733(0xde)]('STAFF.NOTIFICATIONS.PERMISSIONS_DISABLED_ALL_SUCCESS_MESSAGE',{'sectionName':_0x3aaa43[_0x489733(0xde)](_0x4a53d1['translate']),'userProfileName':_0x4a1a86[_0x489733(0x26b6)][_0x489733(0x19eb)]})});})['catch'](function(_0x4b8246){const _0x1f1789=_0x4c5e2a;_0x650b4b[_0x1f1789(0x1980)]({'title':_0x4b8246['status']?'API:'+_0x4b8246[_0x1f1789(0x107b)]+_0x1f1789(0x1315)+_0x4b8246[_0x1f1789(0x167f)]:_0x1f1789(0x650),'msg':_0x4b8246[_0x1f1789(0x107b)]?JSON['stringify'](_0x4b8246['data']):_0x4b8246[_0x1f1789(0xd5f)]()});});}}}function _0x425426(_0x5b428c,_0x33111a){const _0x3bfb05=_0x45e5d4,_0x5e61f7=_0x489290['show']({'controller':_0x3bfb05(0x1d13),'controllerAs':'vm','templateUrl':_0x5956ae,'parent':angular[_0x3bfb05(0x1853)](_0x49870d[_0x3bfb05(0x2586)]),'targetEvent':_0x33111a,'clickOutsideToClose':![],'locals':{'userProfile':_0x4a1a86[_0x3bfb05(0x26b6)],'userProfiles':[],'section':_0x5b428c}});_0x5e61f7[_0x3bfb05(0x146b)](function(){_0x426b05(_0x5b428c);});}function _0x62dfa0(_0x351996,_0x22685c){const _0x13c66b=_0x45e5d4;_0x351996['allResourcesEnabled']=_0x400f03(_0x22685c);switch(_0x22685c){case _0x13c66b(0x1de8):return _0x3f65c0()[_0x13c66b(0xc84)](_0x4a1a86['statusList'],[_0x13c66b(0xa80),_0x13c66b(0x1de8)]);case _0x13c66b(0x2541):return _0x3f65c0()[_0x13c66b(0xc84)](_0x4a1a86[_0x13c66b(0x1824)],[_0x13c66b(0xa80),_0x13c66b(0x2541)]);case _0x13c66b(0x2862):return _0x3f65c0()['find'](_0x4a1a86[_0x13c66b(0x1824)],[_0x13c66b(0xa80),'disabled']);case _0x13c66b(0x20ff):return _0x3f65c0()['find'](_0x4a1a86[_0x13c66b(0x1824)],[_0x13c66b(0xa80),_0x13c66b(0x20ff)]);case _0x13c66b(0x207d):return _0x3f65c0()['find'](_0x4a1a86['statusList'],['tag','unknown']);default:return _0x3f65c0()[_0x13c66b(0xc84)](_0x4a1a86[_0x13c66b(0x1824)],[_0x13c66b(0xa80),_0x13c66b(0x207d)]);}}function _0x622409(_0x4c7a8f){return _0x8f6188(function(_0x526ab7,_0x1dfdcb){const _0x22ea20=a0_0x3bb9,_0x512420=typeof _0x4c7a8f[_0x22ea20(0x2894)]!=='undefined'&&_0x3f65c0()['includes'](_0x4c7a8f[_0x22ea20(0xdb0)],_0x22ea20(0xe26));_0x512420?_0x217e5e(_0x4c7a8f)[_0x22ea20(0x146b)](function(_0x479a44){_0x526ab7(_0x479a44);})[_0x22ea20(0x129e)](function(_0x1b4a49){_0x1dfdcb(_0x1b4a49);}):_0x3a2aa9(_0x4c7a8f)[_0x22ea20(0x146b)](function(_0x21a85b){_0x526ab7(_0x21a85b);})['catch'](function(_0x8924bf){_0x1dfdcb(_0x8924bf);});});}function _0x217e5e(_0x143683){return _0x8f6188(function(_0xe0f817,_0x203729){const _0x5d1ed9=a0_0x3bb9,_0x488380=typeof _0x143683[_0x5d1ed9(0x2894)]!==_0x5d1ed9(0x2274)&&_0x143683[_0x5d1ed9(0x2894)]===_0x5d1ed9(0xbca)?'outbound':_0x5d1ed9(0x7b0);return _0x22270b[_0x143683[_0x5d1ed9(0xdb0)]][_0x5d1ed9(0x16b4)]({'fields':_0x5d1ed9(0x1005),'channel':_0x143683[_0x5d1ed9(0x2894)]===_0x5d1ed9(0xbca)?_0x5d1ed9(0xe6):_0x143683[_0x5d1ed9(0x2894)],'type':_0x488380,'nolimit':!![]})[_0x5d1ed9(0x2945)][_0x5d1ed9(0x146b)](function(_0x5a3d20){_0xe0f817(_0x5a3d20);})[_0x5d1ed9(0x129e)](function(_0x55042c){_0x203729(_0x55042c);});});}function _0x3a2aa9(_0x4ec246){return _0x8f6188(function(_0x4e8a9,_0x257632){const _0x461bee=a0_0x3bb9;let _0x6d269b,_0x57768e,_0x4c8b69=![],_0x264295=![];switch(_0x4ec246[_0x461bee(0xdb0)]){case _0x461bee(0xd47):switch(_0x4ec246['title']){case _0x461bee(0x109a):_0x6d269b='inbound';break;case _0x461bee(0x1c80):_0x6d269b=_0x461bee(0xc9c);break;case _0x461bee(0xa2b):_0x6d269b=_0x461bee(0x113f);break;}break;case _0x461bee(0x2765):_0x4c8b69=!![];break;case _0x461bee(0x9e1):_0x264295=!![];break;case'user':switch(_0x4ec246[_0x461bee(0x1189)]){case _0x461bee(0xca8):_0x57768e=_0x461bee(0x1755);break;case'Telephones':_0x57768e='telephone';break;case _0x461bee(0x3c4):_0x57768e=_0x461bee(0xe7b);break;}break;}if(_0x4ec246['title']==='Reports'){if(_0x4ec246[_0x461bee(0x22a)])switch(_0x4ec246['reportType']){case _0x461bee(0x13b1):_0x4ec246['route']=_0x461bee(0x20fa);break;case'custom':_0x4ec246[_0x461bee(0xdb0)]='analyticCustomReport';break;}else return _0x22270b[_0x461bee(0x20fa)]['get']({'nolimit':!![]})[_0x461bee(0x2945)][_0x461bee(0x146b)](function(_0x396163){const _0x53b2a7=_0x461bee;_0x22270b['analyticCustomReport'][_0x53b2a7(0x16b4)]({'nolimit':!![]})[_0x53b2a7(0x2945)][_0x53b2a7(0x146b)](function(_0x402322){const _0x1af69a=_0x53b2a7,_0x3301a1=_0x3f65c0()[_0x1af69a(0x21c8)](_0x396163[_0x1af69a(0x19c7)],_0x402322[_0x1af69a(0x19c7)]);_0x4e8a9({'count':_0x3301a1[_0x1af69a(0x402)],'rows':_0x3301a1[_0x1af69a(0x19c7)]});});})[_0x461bee(0x129e)](function(_0x5cb88c){_0x257632(_0x5cb88c);});}return _0x22270b[_0x4ec246['route']][_0x461bee(0x16b4)]({'role':_0x57768e?_0x57768e:undefined,'type':_0x6d269b?_0x6d269b:undefined,'VoiceExtensionId':_0x6d269b?'null':undefined,'IntervalId':_0x4c8b69?'null':undefined,'MailAccountId':_0x264295?'null':undefined,'FaxAccountId':_0x264295?'null':undefined,'SmsAccountId':_0x264295?_0x461bee(0x203c):undefined,'OpenchannelAccountId':_0x264295?'null':undefined,'ChatWebsiteId':_0x264295?_0x461bee(0x203c):undefined,'WhatsappAccountId':_0x264295?_0x461bee(0x203c):undefined,'ListId':_0x264295?_0x461bee(0x203c):undefined,'nolimit':!![]})[_0x461bee(0x2945)][_0x461bee(0x146b)](function(_0x12e1a7){_0x4e8a9(_0x12e1a7);})[_0x461bee(0x129e)](function(_0x73ab71){_0x257632(_0x73ab71);});});}function _0x227ae7(_0x55828e,_0x4953be){return _0x8f6188(function(_0x1d4e75,_0x363930){const _0x124a52=a0_0x3bb9;_0x22270b['userProfileResource'][_0x124a52(0x16b4)]({'sectionId':_0x4953be})[_0x124a52(0x2945)][_0x124a52(0x146b)](function(_0x2548f8){const _0x430b96=_0x124a52;return _0x622409(_0x55828e)[_0x430b96(0x146b)](function(_0x4e9860){const _0x430e42=_0x430b96;return _0x3f65c0()[_0x430e42(0x2796)](_0x2548f8[_0x430e42(0x19c7)],_0x4e9860[_0x430e42(0x19c7)],'id');});})[_0x124a52(0x146b)](function(_0x299895){const _0x1bf117=_0x124a52;_0x3f65c0()[_0x1bf117(0x2635)](_0x299895)?_0x1d4e75():_0x363930();})[_0x124a52(0x129e)](function(_0x2145aa){_0x363930(_0x2145aa);});});}function _0x4e100f(_0x44dcd4,_0x2e0041,_0x2dc8fb){const _0x1bfaef=_0x45e5d4,_0xafd9a5=_0x44dcd4&&_0x44dcd4['length']>0x0?_0x44dcd4[0x0]:null;if(!_0xafd9a5)return _0x2dc8fb;const _0x39b578=_0x3f65c0()[_0x1bfaef(0xc84)](_0x2e0041,['sectionId',_0xafd9a5['id']]);if(_0x39b578)return _0x2e0041=_0x3f65c0()[_0x1bfaef(0x2640)](_0x2e0041,[_0x1bfaef(0x631),_0xafd9a5['id']]),_0x227ae7(_0xafd9a5,_0x39b578['id'])['then'](function(){const _0x40e590=_0x1bfaef;return _0x2dc8fb='full',_0x4e100f(_0x44dcd4[_0x40e590(0x659)](),_0x2e0041,_0x2dc8fb);})[_0x1bfaef(0x129e)](function(_0x65b1c0){const _0x6783d8=_0x1bfaef;return _0x8f6188[_0x6783d8(0xa6f)](_0x65b1c0);});}function _0x426b05(_0x2892f7){const _0x46dc60=_0x45e5d4,_0x217a40=_0x2892f7[_0x46dc60(0x1189)]===_0x46dc60(0xa3f)?_0x46dc60(0x905):_0x2892f7[_0x46dc60(0x1189)];if(!_0x4a1a86[_0x46dc60(0x2690)]){_0x2892f7['status']=_0x62dfa0(_0x2892f7,_0x46dc60(0x207d));return;}typeof _0x2892f7[_0x46dc60(0x1f54)]!==_0x46dc60(0x2274)&&!_0x2892f7[_0x46dc60(0x1f54)][_0x46dc60(0x91f)]?_0x22270b['userProfileSection'][_0x46dc60(0x16b4)]({'userProfileId':_0x4a1a86[_0x46dc60(0x26b6)]['id'],'sectionId':_0x2892f7['id']})['$promise']['then'](function(_0x2bb7b9){const _0x82efa1=_0x46dc60,_0x3bd9b4=_0x2bb7b9&&_0x2bb7b9[_0x82efa1(0x19c7)][_0x82efa1(0x402)]===0x1?_0x2bb7b9[_0x82efa1(0x19c7)][0x0]:null,_0x149eb9=_0x3bd9b4?_0x82efa1(0x1de8):'disabled';_0x2892f7[_0x82efa1(0x107b)]=_0x62dfa0(_0x2892f7,_0x149eb9);}):typeof _0x2892f7[_0x46dc60(0x2690)]!==_0x46dc60(0x2274)&&!_0x4a1a86[_0x46dc60(0x2690)][_0x2892f7[_0x46dc60(0x2690)]]?_0x2892f7[_0x46dc60(0x107b)]=_0x62dfa0(_0x2892f7,_0x46dc60(0x20ff)):_0x22270b[_0x46dc60(0x1366)]['get']({'userProfileId':_0x4a1a86[_0x46dc60(0x26b6)]['id'],'category':_0x217a40})[_0x46dc60(0x2945)]['then'](function(_0x18e37b){const _0x56d90d=_0x46dc60,_0x541eb9=_0x18e37b&&_0x18e37b[_0x56d90d(0x19c7)]&&_0x18e37b[_0x56d90d(0x19c7)][_0x56d90d(0x402)]>0x0?_0x18e37b[_0x56d90d(0x19c7)]:[];if(_0x2892f7[_0x56d90d(0x1189)]===_0x56d90d(0x11e8))return _0x3f65c0()[_0x56d90d(0x2635)](_0x541eb9)?_0x56d90d(0x2862):_0x541eb9[0x0][_0x56d90d(0x11d2)]?_0x56d90d(0x1de8):_0x22270b[_0x56d90d(0xdcc)][_0x56d90d(0x16b4)]({'sectionId':_0x541eb9[0x0]['id'],'resourceId':0x65})[_0x56d90d(0x2945)][_0x56d90d(0x146b)](function(_0x2250b1){const _0x11cf83=_0x56d90d,_0x26d1b7=_0x2250b1&&_0x2250b1[_0x11cf83(0x19c7)]?_0x2250b1[_0x11cf83(0x19c7)][0x0]:null;return _0x26d1b7?_0x11cf83(0x1de8):_0x11cf83(0x2541);});else{const _0x29a39a=_0x3f65c0()[_0x56d90d(0x1dd6)](_0x2892f7[_0x56d90d(0x20d0)],function(_0x2a3d71){const _0x52ed56=_0x56d90d;return typeof _0x2a3d71[_0x52ed56(0x1f54)]!==_0x52ed56(0x2274)&&_0x2a3d71[_0x52ed56(0x1f54)][_0x52ed56(0x28fc)]?undefined:_0x2a3d71;});if(_0x541eb9[_0x56d90d(0x402)]===_0x29a39a[_0x56d90d(0x402)]){if(_0x3f65c0()['every'](_0x541eb9,['autoAssociation',!![]]))return'full';else{const _0x18b53e=_0x3f65c0()[_0x56d90d(0x1dd6)](_0x29a39a,function(_0x7b846d){const _0x473940=_0x56d90d;return typeof _0x7b846d[_0x473940(0x1f54)]!=='undefined'&&!_0x7b846d[_0x473940(0x1f54)][_0x473940(0x91f)]?undefined:_0x7b846d;});return _0x4e100f(_0x18b53e,_0x541eb9,_0x56d90d(0x2541));}}else return _0x541eb9[_0x56d90d(0x402)]===0x0?_0x56d90d(0x2862):'partial';}})['then'](function(_0x1c3d5f){const _0x593937=_0x46dc60;_0x2892f7[_0x593937(0x107b)]=_0x62dfa0(_0x2892f7,_0x1c3d5f);})[_0x46dc60(0x129e)](function(_0x41782e){const _0x5f5e0b=_0x46dc60,_0x2da72e=_0x41782e?_0x5f5e0b(0x207d):'partial';_0x2892f7[_0x5f5e0b(0x107b)]=_0x62dfa0(_0x2892f7,_0x2da72e),_0x41782e&&_0x650b4b[_0x5f5e0b(0x1980)]({'title':_0x41782e['status']?_0x5f5e0b(0x262a)+_0x41782e['status']+'\x20-\x20'+_0x41782e['statusText']:_0x5f5e0b(0x2860)+_0x217a40[_0x5f5e0b(0x1c37)]()+')','msg':_0x41782e[_0x5f5e0b(0x107b)]?JSON[_0x5f5e0b(0x10bb)](_0x41782e[_0x5f5e0b(0x524)]):_0x41782e['toString']()});});}function _0x400f03(_0x406ee5){const _0x2dfaaa=_0x45e5d4;return _0x406ee5===_0x2dfaaa(0x1de8)?!![]:![];}}const _0x1b5d36=_0x3127b9;;_0xbce6ab[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0x26b6),_0x313a4d(0x2851),_0x313a4d(0x214b),_0x313a4d(0xa87),_0x313a4d(0x2146)];function _0xbce6ab(_0x283b26,_0xa32dea,_0x132b62,_0x495dd6,_0x394903,_0x9315c4,_0x11a380,_0x12755f,_0xe4e9c4,_0x5e98f8){const _0x103319=_0x313a4d,_0x5957d7=this;_0x5957d7[_0x103319(0x26b6)]=_0x9315c4,_0x5957d7[_0x103319(0x188d)]=0x0,_0x5957d7[_0x103319(0x2146)]=angular[_0x103319(0x235a)](_0x5e98f8),_0x5957d7[_0x103319(0x1189)]=_0x12755f[_0x103319(0xde)](_0x103319(0x1254),{'sectionName':_0x12755f[_0x103319(0xde)](_0x5957d7[_0x103319(0x2146)]['translate'])}),_0x5957d7[_0x103319(0x1fd6)]=[],_0x5957d7[_0x103319(0x1ecf)]=[],_0x5957d7[_0x103319(0x144a)]=_0x3f65c0()[_0x103319(0x1dd6)](_0x5957d7[_0x103319(0x2146)][_0x103319(0x20d0)],function(_0x3c8f82){const _0x2d458b=_0x103319;return typeof _0x3c8f82[_0x2d458b(0x1f54)]!=_0x2d458b(0x2274)&&_0x3c8f82['permissions'][_0x2d458b(0x28fc)]?undefined:_0x3c8f82;}),_0x5957d7[_0x103319(0x1712)]=[{'title':'Dashboards','translate':_0x103319(0xb03),'id':0x64}],_0x5957d7[_0x103319(0x17fb)]=[];_0x5957d7[_0x103319(0x2146)][_0x103319(0x1189)]===_0x103319(0x11e8)&&(_0x5957d7[_0x103319(0x17fb)]=_0x5957d7['subsections'],_0x5957d7[_0x103319(0x144a)]=_0x5957d7['dashboardSections']);_0x5957d7[_0x103319(0x71c)]=null,_0x5957d7[_0x103319(0x1b93)]=null,_0x5957d7['allReportsSelected']=[],_0x5957d7[_0x103319(0x13f3)]=_0x50142c,_0x5957d7[_0x103319(0x1a0e)]=_0x3305ef,_0x5957d7[_0x103319(0xbe7)]=_0x80c78d,_0x5957d7[_0x103319(0x22f3)]=_0x5e6134,_0x5957d7[_0x103319(0x1332)]=_0x37250c,_0x5957d7[_0x103319(0x316)]=_0x24bd4a,_0x5957d7[_0x103319(0x1ac3)]=_0x192bbd,_0x5957d7['dualMultiselectOptions']={'readOnly':![],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x103319(0x19eb),'line1':_0x103319(0x19eb),'line2':'','line3':'','line4':'fullPath','labelAll':_0x12755f[_0x103319(0xde)](_0x103319(0x9d1)),'labelSelected':_0x12755f[_0x103319(0xde)](_0x103319(0xbc7)),'labelSearch':_0x12755f['instant']('STAFF.PERMISSIONS_PLACEHOLDER_SEARCH'),'transferCallback':function(_0x5ee55f,_0x2c6274){const _0x4c4d62=_0x103319;_0x1e141c(!![]),_0x2c6274?(_0x5957d7[_0x4c4d62(0x71c)]['selectedItems']=_0x3f65c0()[_0x4c4d62(0x2062)](_0x5957d7[_0x4c4d62(0x71c)]['selectedItems'],_0x5ee55f,'id'),_0x5957d7[_0x4c4d62(0x71c)][_0x4c4d62(0x11d2)]=![]):_0x5957d7[_0x4c4d62(0x71c)][_0x4c4d62(0x1ecf)]=_0x3f65c0()['unionBy'](_0x5957d7['selectedSection'][_0x4c4d62(0x1ecf)],_0x5ee55f,'id'),_0x5957d7[_0x4c4d62(0x71c)]['title']===_0x4c4d62(0xc20)&&_0x40854b();}};function _0x5af2c8(){return _0x132b62(function(_0x1829ca,_0xd77e38){const _0x383ca3=a0_0x3bb9,_0x5d1c74=typeof _0x5957d7['selectedSection'][_0x383ca3(0x2894)]!==_0x383ca3(0x2274)&&_0x5957d7[_0x383ca3(0x71c)][_0x383ca3(0x2894)]===_0x383ca3(0xbca)?_0x383ca3(0xc9c):_0x383ca3(0x7b0);return _0x394903[_0x5957d7['selectedSection'][_0x383ca3(0xdb0)]][_0x383ca3(0x16b4)]({'fields':_0x383ca3(0x1005),'channel':_0x5957d7[_0x383ca3(0x71c)][_0x383ca3(0x2894)]===_0x383ca3(0xbca)?'voice':_0x5957d7[_0x383ca3(0x71c)][_0x383ca3(0x2894)],'type':_0x5d1c74,'nolimit':!![]})['$promise'][_0x383ca3(0x146b)](function(_0x1f2124){_0x1829ca(_0x1f2124);})[_0x383ca3(0x129e)](function(_0x443942){_0xd77e38(_0x443942);});});}function _0x346efb(){return _0x132b62(function(_0xed2eb5,_0x5daae2){const _0x34ac95=a0_0x3bb9;let _0x5a41c8,_0x14d68e,_0x5e0c0a=![],_0x1e3031=![];if(_0x5957d7[_0x34ac95(0x71c)][_0x34ac95(0xdb0)]===_0x34ac95(0xd47))switch(_0x5957d7[_0x34ac95(0x71c)][_0x34ac95(0x1189)]){case _0x34ac95(0x109a):_0x5a41c8=_0x34ac95(0x7b0);break;case _0x34ac95(0x1c80):_0x5a41c8=_0x34ac95(0xc9c);break;case _0x34ac95(0xa2b):_0x5a41c8='internal';break;}if(_0x5957d7[_0x34ac95(0x71c)][_0x34ac95(0xdb0)]===_0x34ac95(0xe7b))switch(_0x5957d7[_0x34ac95(0x71c)]['title']){case _0x34ac95(0xca8):_0x14d68e=_0x34ac95(0x1755);break;case _0x34ac95(0x3af):_0x14d68e=_0x34ac95(0x90c);break;case _0x34ac95(0x3c4):_0x14d68e=_0x34ac95(0xe7b);break;}_0x5957d7['selectedSection'][_0x34ac95(0xdb0)]===_0x34ac95(0x2765)&&(_0x5e0c0a=!![]);_0x5957d7[_0x34ac95(0x71c)]['route']==='disposition'&&(_0x1e3031=!![]);_0x5957d7[_0x34ac95(0x71c)][_0x34ac95(0x1189)]==='Reports'&&(_0x5957d7['selectedSection'][_0x34ac95(0xdb0)]=_0x5957d7[_0x34ac95(0x71c)]['reportType']===_0x34ac95(0x13b1)?_0x34ac95(0x20fa):_0x34ac95(0x12a5));if(_0x5957d7[_0x34ac95(0x71c)]['title']===_0x34ac95(0x11e8))_0xed2eb5({'count':0x1,'rows':[{'id':0x65,'name':_0x12755f[_0x34ac95(0xde)]('APP.GENERAL')}]});else return _0x394903[_0x5957d7[_0x34ac95(0x71c)]['route']][_0x34ac95(0x16b4)]({'role':_0x14d68e?_0x14d68e:undefined,'type':_0x5a41c8?_0x5a41c8:undefined,'VoiceExtensionId':_0x5a41c8?_0x34ac95(0x203c):undefined,'IntervalId':_0x5e0c0a?_0x34ac95(0x203c):undefined,'MailAccountId':_0x1e3031?_0x34ac95(0x203c):undefined,'FaxAccountId':_0x1e3031?_0x34ac95(0x203c):undefined,'SmsAccountId':_0x1e3031?_0x34ac95(0x203c):undefined,'OpenchannelAccountId':_0x1e3031?'null':undefined,'ChatWebsiteId':_0x1e3031?_0x34ac95(0x203c):undefined,'WhatsappAccountId':_0x1e3031?_0x34ac95(0x203c):undefined,'ListId':_0x1e3031?_0x34ac95(0x203c):undefined,'nolimit':!![]})[_0x34ac95(0x2945)][_0x34ac95(0x146b)](function(_0x145e4c){_0xed2eb5(_0x145e4c);})[_0x34ac95(0x129e)](function(_0xd7c00c){_0x5daae2(_0xd7c00c);});});}function _0x2e1634(){const _0x1ecd47=_0x103319,_0x3a8eac=typeof _0x5957d7[_0x1ecd47(0x71c)][_0x1ecd47(0x2017)]!='undefined'?_0x5957d7[_0x1ecd47(0x71c)][_0x1ecd47(0x2017)]:![];return _0x132b62(function(_0x5452df,_0x84fdac){const _0x4fe36e=_0x1ecd47;_0x394903[_0x4fe36e(0x1366)][_0x4fe36e(0x16b4)]({'userProfileId':_0x5957d7['userProfile']['id'],'sectionId':_0x5957d7[_0x4fe36e(0x71c)]['id']})[_0x4fe36e(0x2945)][_0x4fe36e(0x146b)](function(_0x245762){const _0xfcb7a0=_0x4fe36e,_0x598b4a=_0x245762&&_0x245762[_0xfcb7a0(0x19c7)]?_0x245762['rows'][0x0]:null;!_0x3a8eac&&(_0x5957d7['selectedSection'][_0xfcb7a0(0x193e)]=_0x598b4a?!!_0x598b4a[_0xfcb7a0(0x193e)]:![],_0x5957d7[_0xfcb7a0(0x71c)]['autoAssociation']=_0x598b4a?!!_0x598b4a[_0xfcb7a0(0x11d2)]:![],_0x5957d7[_0xfcb7a0(0x71c)][_0xfcb7a0(0x2514)]=_0x598b4a?_0x59f2b1(_0x598b4a[_0xfcb7a0(0x2514)]):_0x59f2b1(_0x5957d7[_0xfcb7a0(0x26b6)][_0xfcb7a0(0x2514)])),_0x5452df(_0x598b4a);})[_0x4fe36e(0x129e)](function(_0x346baf){_0x84fdac(_0x346baf);});});}function _0x1d99cc(){return _0x132b62(function(_0x498341,_0x2b89d9){const _0x31ad50=a0_0x3bb9;!_0x5957d7['loadedSection']?_0x498341(null):_0x394903[_0x31ad50(0xdcc)][_0x31ad50(0x16b4)]({'sectionId':_0x5957d7[_0x31ad50(0x1b93)]['id'],'nolimit':!![]})[_0x31ad50(0x2945)][_0x31ad50(0x146b)](function(_0x2706c1){_0x498341(_0x2706c1);})[_0x31ad50(0x129e)](function(_0x4bef85){_0x2b89d9(_0x4bef85);});});}function _0x47bce3(){return _0x132b62(function(_0x5330aa,_0x3f72ad){const _0x190cfd=a0_0x3bb9,_0x50e47a=typeof _0x5957d7[_0x190cfd(0x71c)][_0x190cfd(0x2894)]!==_0x190cfd(0x2274)&&_0x3f65c0()[_0x190cfd(0xa4e)](_0x5957d7[_0x190cfd(0x71c)][_0x190cfd(0xdb0)],_0x190cfd(0xe26));_0x50e47a?_0x5af2c8()[_0x190cfd(0x146b)](function(_0x235951){_0x5330aa(_0x235951);})[_0x190cfd(0x129e)](function(_0x10631f){_0x3f72ad(_0x10631f);}):_0x346efb()[_0x190cfd(0x146b)](function(_0x152c8d){_0x5330aa(_0x152c8d);})[_0x190cfd(0x129e)](function(_0x201c61){_0x3f72ad(_0x201c61);});});}function _0x4f8b7(){const _0x436f56=_0x103319,_0x34c5bc=typeof _0x5957d7[_0x436f56(0x71c)][_0x436f56(0x2017)]!=_0x436f56(0x2274)?_0x5957d7['selectedSection'][_0x436f56(0x2017)]:![];let _0x42b487=[];return _0x1d99cc()[_0x436f56(0x146b)](function(_0x3704e8){const _0x8c1345=_0x436f56;return _0x42b487=_0x3704e8&&_0x3704e8['rows']?_0x3704e8[_0x8c1345(0x19c7)]:[],_0x5957d7['selectedSection'][_0x8c1345(0x1189)]==='Reports'&&(_0x5957d7[_0x8c1345(0x14a1)]=!_0x5957d7[_0x8c1345(0x14a1)]?_0x42b487:_0x5957d7[_0x8c1345(0x14a1)],_0x42b487=_0xac1002(_0x5957d7[_0x8c1345(0x14a1)])),typeof _0x5957d7['selectedSection'][_0x8c1345(0x1f54)]!==_0x8c1345(0x2274)&&!_0x5957d7[_0x8c1345(0x71c)][_0x8c1345(0x1f54)][_0x8c1345(0x91f)]?{'rows':[]}:_0x47bce3();})[_0x436f56(0x146b)](function(_0x194630){const _0x2ef949=_0x436f56;_0x5957d7['items']=_0x194630[_0x2ef949(0x19c7)]?_0x3f65c0()[_0x2ef949(0x205)](_0x194630[_0x2ef949(0x19c7)],function(_0x268ae2){const _0x44d120=_0x2ef949;return _0x5957d7['selectedSection'][_0x44d120(0x1189)]===_0x44d120(0xc20)&&(_0x268ae2[_0x44d120(0x1a11)]=_0x40b6aa(_0x268ae2[_0x44d120(0x3fd)])[_0x44d120(0x5f4)]('\x20','_')),_0x268ae2[_0x44d120(0x146f)]=!![],_0x268ae2;}):[];!_0x34c5bc&&(_0x5957d7[_0x2ef949(0x71c)][_0x2ef949(0x1ecf)]=_0x3f65c0()[_0x2ef949(0x205)](_0x42b487,function(_0x7ca121){const _0x43a00b=_0x2ef949;return _0x3f65c0()['find'](_0x5957d7[_0x43a00b(0x1fd6)],{'id':_0x7ca121[_0x43a00b(0x18b8)]});}));const _0x2a8146=_0x3f65c0()[_0x2ef949(0x2796)](_0x5957d7[_0x2ef949(0x71c)][_0x2ef949(0x1ecf)],_0x5957d7[_0x2ef949(0x1fd6)],'id');!_0x3f65c0()['isEmpty'](_0x2a8146)&&(_0x5957d7[_0x2ef949(0x71c)][_0x2ef949(0x1ecf)]=_0x3f65c0()[_0x2ef949(0x2062)](_0x5957d7[_0x2ef949(0x71c)][_0x2ef949(0x1ecf)],_0x2a8146,'id'));let _0x3c4d72;switch(_0x5957d7[_0x2ef949(0x71c)][_0x2ef949(0x1189)]){case _0x2ef949(0x275):_0x5957d7['selectedSection'][_0x2ef949(0x1ecf)]=_0x3f65c0()['filter'](_0x5957d7['items'],function(_0x3bc594){const _0x38d227=_0x2ef949;if(_0x3bc594[_0x38d227(0x102e)])return _0x3bc594['isValid']=![],_0x3bc594;else{const _0x443724=_0x3f65c0()['find'](_0x42b487,{'resourceId':_0x3bc594['id']});if(typeof _0x443724!==_0x38d227(0x2274))return _0x3bc594[_0x38d227(0x146f)]=!![],_0x3bc594;}});break;case'MusicOnHolds':_0x5957d7[_0x2ef949(0x71c)]['selectedItems']=_0x3f65c0()[_0x2ef949(0x1dd6)](_0x5957d7['items'],function(_0xdea88c){const _0xc64962=_0x2ef949;if(_0xdea88c['defaultEntry'])return _0xdea88c['isValid']=![],_0xdea88c;else{const _0x1f89ed=_0x3f65c0()[_0xc64962(0xc84)](_0x42b487,{'resourceId':_0xdea88c['id']});if(typeof _0x1f89ed!==_0xc64962(0x2274))return _0xdea88c['isValid']=!![],_0xdea88c;}});break;case _0x2ef949(0xc20):_0x3c4d72=_0x2ca77a(_0x5957d7[_0x2ef949(0xfa0)]),_0x5957d7['selectedSection'][_0x2ef949(0x1ecf)]=_0x470c69(_0x5957d7[_0x2ef949(0x71c)][_0x2ef949(0x1ecf)]),_0x5957d7['selectedSection']['selectedItems']=_0x3f65c0()[_0x2ef949(0x11c)](_0x5957d7[_0x2ef949(0x71c)]['selectedItems'],_0x3c4d72,'id');break;}_0x5957d7[_0x2ef949(0x1f8a)]['selectedItems']=_0x5957d7[_0x2ef949(0x71c)][_0x2ef949(0x1ecf)],_0x5957d7[_0x2ef949(0x1f8a)][_0x2ef949(0x1fd6)]=_0x3f65c0()['differenceBy'](_0x5957d7['items'],_0x5957d7['dualMultiselectOptions']['selectedItems'],'id');})[_0x436f56(0x129e)](function(_0x4c8d31){const _0x2af4c0=_0x436f56;_0x495dd6[_0x2af4c0(0x1980)]({'title':_0x4c8d31[_0x2af4c0(0x107b)]?_0x2af4c0(0x262a)+_0x4c8d31[_0x2af4c0(0x107b)]+'\x20-\x20'+_0x4c8d31[_0x2af4c0(0x167f)]:_0x2af4c0(0x209e)+_0x5957d7[_0x2af4c0(0x71c)][_0x2af4c0(0x1189)]['toUpperCase'](),'msg':_0x4c8d31['status']?JSON['stringify'](_0x4c8d31[_0x2af4c0(0x524)]):_0x4c8d31[_0x2af4c0(0xd5f)]()});});}function _0x3305ef(){const _0x39d4ab=_0x103319;return _0x2e1634()[_0x39d4ab(0x146b)](function(_0x3b4ff5){const _0x10ed20=_0x39d4ab;_0x5957d7['loadedSection']=_0x3b4ff5;if(_0x5957d7[_0x10ed20(0x71c)][_0x10ed20(0x1189)]===_0x10ed20(0xc20))return _0x1cce0c()[_0x10ed20(0x146b)](function(){if(!_0x5957d7['selectedSection']['reportType'])return _0x1d99cc()['then'](function(_0x32ef2c){const _0x41d039=a0_0x3bb9;_0x5957d7[_0x41d039(0xfa0)]=_0x32ef2c?_0x3f65c0()[_0x41d039(0x205)](_0x32ef2c[_0x41d039(0x19c7)],function(_0x2ea697){const _0x578f96=_0x41d039;return{'id':_0x2ea697[_0x578f96(0x18b8)],'name':_0x2ea697[_0x578f96(0x19eb)],'type':_0x2ea697['type']};}):[];});})[_0x10ed20(0x129e)](function(_0x20de6f){const _0x4eca2c=_0x10ed20;_0x495dd6[_0x4eca2c(0x1980)]({'title':_0x20de6f[_0x4eca2c(0x107b)]?_0x4eca2c(0x262a)+_0x20de6f[_0x4eca2c(0x107b)]+_0x4eca2c(0x1315)+_0x20de6f[_0x4eca2c(0x167f)]:_0x4eca2c(0x274b),'msg':_0x20de6f[_0x4eca2c(0x524)]?JSON[_0x4eca2c(0x10bb)](_0x20de6f[_0x4eca2c(0x524)]):_0x20de6f[_0x4eca2c(0xd5f)]()});});else _0x4f8b7();})[_0x39d4ab(0x129e)](function(_0x4355bb){const _0x534679=_0x39d4ab;_0x495dd6['error']({'title':_0x4355bb[_0x534679(0x107b)]?'API:'+_0x4355bb[_0x534679(0x107b)]+_0x534679(0x1315)+_0x4355bb[_0x534679(0x167f)]:_0x534679(0x209e)+_0x5957d7[_0x534679(0x71c)]['title'][_0x534679(0x1c37)](),'msg':_0x4355bb[_0x534679(0x107b)]?JSON[_0x534679(0x10bb)](_0x4355bb[_0x534679(0x524)]):_0x4355bb[_0x534679(0xd5f)]()});});}function _0x59f2b1(_0x26de18){const _0x2c176a=_0x103319;return _0x26de18&&(_0x5957d7[_0x2c176a(0x71c)]['allowEdit']=_0x3f65c0()[_0x2c176a(0xa4e)](_0x26de18,'e')?!![]:![],_0x5957d7[_0x2c176a(0x71c)]['allowDelete']=_0x3f65c0()[_0x2c176a(0xa4e)](_0x26de18,'d')?!![]:![]),_0x345eb4();}function _0x345eb4(){const _0x22d0df=_0x103319,_0x3048f7=['r'];if(_0x5957d7[_0x22d0df(0x71c)]['allowEdit'])_0x3048f7[_0x22d0df(0x1f47)]('e');else{const _0x4d0dc6=_0x3f65c0()[_0x22d0df(0x172b)](_0x3048f7,['e']);_0x4d0dc6>0x0&&_0x5957d7['selectedSection'][_0x22d0df(0x2514)][_0x22d0df(0x5f4)]('e','');}if(_0x5957d7[_0x22d0df(0x71c)][_0x22d0df(0x1e94)])_0x3048f7[_0x22d0df(0x1f47)]('d');else{const _0x23fd78=_0x3f65c0()['indexOf'](_0x3048f7,['d']);_0x23fd78>0x0&&_0x5957d7[_0x22d0df(0x71c)][_0x22d0df(0x2514)][_0x22d0df(0x5f4)]('d','');}return _0x3048f7;}function _0x80c78d(){const _0x6831bf=_0x103319;_0x5957d7[_0x6831bf(0x71c)][_0x6831bf(0x193e)]=!_0x5957d7[_0x6831bf(0x71c)][_0x6831bf(0x193e)],_0x1e141c(!![]);}function _0x5e6134(){const _0x298000=_0x103319;if(!_0x5957d7[_0x298000(0x71c)]['autoAssociation']){const _0x2100d4=[];for(let _0x3aa491=0x0;_0x3aa491<_0x5957d7[_0x298000(0x1f8a)][_0x298000(0x1fd6)]['length'];_0x3aa491++){_0x2100d4['push'](_0x5957d7['dualMultiselectOptions'][_0x298000(0x1fd6)][_0x3aa491]),_0x5957d7[_0x298000(0x1f8a)][_0x298000(0x1ecf)][_0x298000(0x1f47)](_0x5957d7['dualMultiselectOptions'][_0x298000(0x1fd6)][_0x3aa491]);}_0x5957d7['dualMultiselectOptions']['items']=[],_0x5957d7['dualMultiselectOptions'][_0x298000(0x201b)](_0x2100d4,![]);}_0x1e141c(!![]);}function _0x37250c(){const _0x186e41=_0x103319;_0x5957d7[_0x186e41(0x20dd)]=_0x5c3e23(),_0x5957d7[_0x186e41(0x71c)]['selectedItems']&&(_0x5957d7['allReportsSelected']=_0x470c69(_0x5957d7['allReportsSelected']),_0x40854b()),_0x4f8b7();}function _0x24bd4a(_0x58c436){const _0x3a8012=_0x103319;if(_0x58c436===_0x3a8012(0x129))_0x5957d7[_0x3a8012(0x71c)][_0x3a8012(0x104e)]=!_0x5957d7['selectedSection']['allowEdit'];else _0x58c436==='delete'&&(_0x5957d7[_0x3a8012(0x71c)][_0x3a8012(0x1e94)]=!_0x5957d7[_0x3a8012(0x71c)]['allowDelete']);_0x5957d7['selectedSection']['crudPermissions']=_0x59f2b1(),_0x1e141c(!![]);}function _0x192bbd(){const _0x4f5d3f=_0x103319,_0x21fadb=_0x5957d7['section'][_0x4f5d3f(0x1189)]===_0x4f5d3f(0xa3f)?_0x4f5d3f(0x905):_0x5957d7[_0x4f5d3f(0x2146)]['title'],_0x34beea=_0x3f65c0()(_0x5957d7[_0x4f5d3f(0x144a)])[_0x4f5d3f(0x1dd6)]({'pending':!![]})[_0x4f5d3f(0x205)](function(_0x466349){const _0x23a6ad=_0x4f5d3f,_0x5bde39=_0x21fadb===_0x23a6ad(0x22cc)&&_0x466349[_0x23a6ad(0x1189)]==='Projects'?_0x23a6ad(0x14e8):_0x466349[_0x23a6ad(0x1189)],_0x4af2df=_0x5bde39==='Reports'?_0x5957d7[_0x23a6ad(0xfa0)]:_0x466349[_0x23a6ad(0x1ecf)];return _0x21fadb===_0x23a6ad(0x1e43)&&(_0x466349[_0x23a6ad(0x11d2)]=!![]),{'category':_0x21fadb,'sectionId':_0x466349['id'],'name':_0x5bde39,'enabled':_0x466349[_0x23a6ad(0x193e)],'autoAssociation':_0x466349[_0x23a6ad(0x11d2)],'crudPermissions':_0x3f65c0()[_0x23a6ad(0x205)](_0x466349['crudPermissions'])[_0x23a6ad(0xb47)](''),'Resources':_0x3f65c0()[_0x23a6ad(0x205)](_0x4af2df,function(_0x1426bc){return{'name':_0x1426bc['name'],'resourceId':_0x1426bc['id'],'type':_0x1bfaca(_0x5bde39,_0x1426bc)};})};})[_0x4f5d3f(0x175d)]();return _0x394903[_0x4f5d3f(0x26b6)][_0x4f5d3f(0x2158)]({'id':_0x5957d7[_0x4f5d3f(0x26b6)]['id']},_0x34beea)[_0x4f5d3f(0x2945)]['then'](function(){const _0x494589=_0x4f5d3f;_0x5957d7[_0x494589(0x144a)][_0x494589(0x1df5)](function(_0x112b67){_0x112b67['pending']=undefined;}),_0x5957d7[_0x494589(0x14a1)]=null,_0x469106(),_0x5957d7['selectedSection']['title']===_0x494589(0xc20)&&!_0x5957d7[_0x494589(0x71c)][_0x494589(0x22a)]&&_0x283b26['userProfileForm'][_0x494589(0x1968)](),_0x495dd6[_0x494589(0x1c75)]({'title':_0x12755f[_0x494589(0xde)](_0x494589(0x1129),{'sectionName':_0x12755f['instant'](_0x21fadb[_0x494589(0x2895)])}),'msg':_0x12755f[_0x494589(0xde)]('STAFF.NOTIFICATIONS.PERMISSIONS_SAVED_SUCCESS_MESSAGE')});})['then'](function(){const _0x1b25f9=_0x4f5d3f;return _0x2e1634()[_0x1b25f9(0x146b)](function(_0x51d59c){const _0x50e6a0=_0x1b25f9;_0x5957d7[_0x50e6a0(0x1b93)]=_0x51d59c;});})[_0x4f5d3f(0x129e)](function(_0x2744b1){const _0x95ea2c=_0x4f5d3f;_0x495dd6[_0x95ea2c(0x1980)]({'title':_0x2744b1[_0x95ea2c(0x107b)]?_0x95ea2c(0x262a)+_0x2744b1['status']+_0x95ea2c(0x1315)+_0x2744b1['statusText']:_0x95ea2c(0x99f),'msg':_0x2744b1[_0x95ea2c(0x107b)]?JSON[_0x95ea2c(0x10bb)](_0x2744b1['data']):_0x2744b1[_0x95ea2c(0xd5f)]()});});}function _0x1e141c(_0x2476f6){const _0x44883b=_0x103319,_0x3acb8f=_0x3f65c0()[_0x44883b(0x99c)](_0x5957d7[_0x44883b(0x144a)],function(_0x20aa26){return _0x20aa26['id']==_0x5957d7['selectedSection']['id'];});_0x5957d7[_0x44883b(0x144a)][_0x3acb8f]['pending']=_0x2476f6,_0x469106();}function _0x469106(){const _0x547512=_0x103319;return _0x5957d7[_0x547512(0x132)]=_0x3f65c0()[_0x547512(0x1360)](_0x5957d7[_0x547512(0x144a)],[_0x547512(0x2017),!![]]);}function _0x5c3e23(){const _0x1db16e=_0x103319;let _0x3d6c17={};return _0x5957d7[_0x1db16e(0x71c)][_0x1db16e(0x22a)]===_0x1db16e(0x13b1)?_0x3d6c17=_0x5957d7[_0x1db16e(0x728)][0x0]?angular[_0x1db16e(0xb08)](_0x5957d7['treeReports'][0x0][_0x1db16e(0xf47)]):[]:_0x3d6c17=_0x5957d7[_0x1db16e(0x728)][0x1]?angular[_0x1db16e(0xb08)](_0x5957d7[_0x1db16e(0x728)][0x1]['tree']):[],_0x3d6c17;}function _0x1cce0c(){return _0x132b62(function(_0x327c53,_0x39af43){const _0x4a6dfe=a0_0x3bb9;_0x394903['analyticTreeReport'][_0x4a6dfe(0x16b4)]({})[_0x4a6dfe(0x2945)][_0x4a6dfe(0x146b)](function(_0x33d5f2){const _0x3c672f=_0x4a6dfe;_0x5957d7['treeReports']=_0x33d5f2[_0x3c672f(0x19c7)]||[],_0x327c53(_0x5957d7['treeReports']);})[_0x4a6dfe(0x129e)](function(_0xe558b8){_0x39af43(_0xe558b8);});});}function _0x40b6aa(_0x21617c){const _0x346142=_0x103319;let _0x5dcdbf=_0x5957d7[_0x346142(0x20dd)][0x0][_0x346142(0xa8d)];return _0x5dcdbf=_0x4309aa(_0x5dcdbf,_0x21617c,_0x5957d7[_0x346142(0x20dd)][0x0]),_0x5dcdbf;}function _0x4309aa(_0x4c3766,_0x5ee8bc,_0x1765aa){const _0x32cee6=_0x103319;if(_0x1765aa&&!_0x3f65c0()['isEmpty'](_0x1765aa[_0x32cee6(0x20d0)]))_0x1765aa=_0x3f65c0()[_0x32cee6(0xc84)](_0x1765aa[_0x32cee6(0x20d0)],function(_0x131466){return _0x3f65c0()['includes'](_0x5ee8bc,_0x131466['id'])?_0x131466:undefined;}),_0x4c3766+=_0x1765aa?'/'+_0x1765aa[_0x32cee6(0xa8d)]:'';else return _0x4c3766;return _0x4309aa(_0x4c3766,_0x5ee8bc,_0x1765aa);}function _0xac1002(_0x304122){const _0x34e390=_0x103319;return _0x3f65c0()[_0x34e390(0x1dd6)](_0x304122,{'type':_0x5957d7[_0x34e390(0x71c)][_0x34e390(0x22a)]===_0x34e390(0x13b1)?_0x34e390(0x3b0):'CustomReports'});}function _0x2ca77a(_0x375090){const _0x610556=_0x103319;return _0x3f65c0()[_0x610556(0x1dd6)](_0x375090,function(_0x5d35c3){const _0x5c9e1d=_0x610556;return _0x3f65c0()[_0x5c9e1d(0xd4d)](_0x5d35c3['parent'],_0x5957d7[_0x5c9e1d(0x71c)][_0x5c9e1d(0x22a)]==='default'?_0x5c9e1d(0x24cc):_0x5c9e1d(0x1802));});}function _0x470c69(_0xcfe329){return _0x3f65c0()['remove'](_0xcfe329,function(_0x701e7c){const _0x209637=a0_0x3bb9;return _0x3f65c0()[_0x209637(0xd4d)](_0x701e7c['parent'],_0x5957d7[_0x209637(0x71c)][_0x209637(0x22a)]==='default'?_0x209637(0x24cc):'custom');});}function _0x40854b(){const _0x2b034f=_0x103319;_0x3f65c0()[_0x2b034f(0x2635)](_0x5957d7[_0x2b034f(0x71c)][_0x2b034f(0x1ecf)])?_0x5957d7['allReportsSelected']=_0x3f65c0()[_0x2b034f(0x2640)](_0x5957d7['allReportsSelected'],function(_0x46814c){const _0x3ccb34=_0x2b034f;return _0x46814c[_0x3ccb34(0x1142)]===(_0x5957d7[_0x3ccb34(0x71c)][_0x3ccb34(0x22a)]===_0x3ccb34(0x13b1)?'CustomReports':'DefaultReports');}):_0x5957d7[_0x2b034f(0x71c)]['selectedItems']['forEach'](function(_0x1b272a){const _0x2efb85=_0x2b034f,_0x4e27ea=_0x3f65c0()[_0x2efb85(0x1360)](_0x5957d7['allReportsSelected'],{'id':_0x1b272a['id'],'parent':_0x1b272a['parent']});!_0x4e27ea&&_0x5957d7[_0x2efb85(0xfa0)][_0x2efb85(0x1f47)](_0x1b272a);});}function _0x1bfaca(_0x241982,_0x328739){const _0x1ca36f=_0x103319;if(_0x241982===_0x1ca36f(0xc20)){if(_0x328739['parent']){const _0x2bfb16=_0x3f65c0()[_0x1ca36f(0xd4d)](_0x328739[_0x1ca36f(0x3fd)],_0x1ca36f(0x24cc))?'Default':_0x1ca36f(0xf21);return _0x2bfb16+_0x241982;}else return _0x328739[_0x1ca36f(0x1142)];}return _0x241982;}function _0x50142c(_0x15238a){const _0x305556=_0x103319;if(_0x5957d7[_0x305556(0x132)]){const _0x4fb33b=_0xa32dea[_0x305556(0x1e8a)]({'skipHide':!![]})[_0x305556(0x1189)](_0x305556(0xf0))[_0x305556(0x199c)](_0x12755f['instant'](_0x305556(0x1eeb)))[_0x305556(0x4bd)](_0x305556(0xf0))[_0x305556(0x1f27)](_0x15238a)['ok'](_0x12755f['instant'](_0x305556(0x1a9b)))[_0x305556(0x6c3)](_0x12755f[_0x305556(0xde)](_0x305556(0x1161)));_0xa32dea[_0x305556(0x2615)](_0x4fb33b)[_0x305556(0x146b)](function(){const _0x12ba40=_0x305556;_0xa32dea[_0x12ba40(0x2458)]();});}else _0xa32dea[_0x305556(0x2458)]();}}const _0x40b535=_0xbce6ab;;const _0x56c7cb=_0x4acfac['p']+_0x313a4d(0x1726);;_0x4acdbc[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x214b),'license',_0x313a4d(0x15b9),'api',_0x313a4d(0x1fe4),'Auth',_0x313a4d(0x26b6),_0x313a4d(0x1366)];function _0x4acdbc(_0x3a2391,_0x23aafc,_0x2d315d,_0x2c7031,_0x1fc169,_0x3ea4ac,_0xa4d96f,_0x5e5617,_0x4542b4,_0x45d255,_0x42a82f,_0x52c844){const _0x4fcf58=_0x313a4d,_0x1896c0=this;_0x1896c0['currentUser']=_0x45d255[_0x4fcf58(0xb12)](),_0x1896c0[_0x4fcf58(0x2690)]=_0x3ea4ac,_0x1896c0[_0x4fcf58(0x15b9)]=_0xa4d96f,_0x1896c0[_0x4fcf58(0x2251)]=_0x1896c0[_0x4fcf58(0x15b9)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x1896c0[_0x4fcf58(0x1002)]=_0x23aafc[_0x4fcf58(0x2414)]()+_0x4fcf58(0xb0e)+_0x23aafc[_0x4fcf58(0x148e)](),_0x1896c0['userProfile']=_0x42a82f||_0x3a2391[_0x4fcf58(0x16a)][_0x4fcf58(0x26b6)]||{},_0x1896c0['userProfileSection']=_0x52c844&&_0x52c844[_0x4fcf58(0x51c)]==0x1?_0x52c844['rows'][0x0]:null,_0x1896c0['crudPermissions']=_0x45d255[_0x4fcf58(0xe60)](_0x1896c0[_0x4fcf58(0x1366)]?_0x1896c0[_0x4fcf58(0x1366)]['crudPermissions']:null),_0x1896c0[_0x4fcf58(0x855)]={},_0x1896c0[_0x4fcf58(0x1b09)]=_0x3a2391[_0x4fcf58(0x16a)][_0x4fcf58(0x13a3)]||0x0,_0x1896c0[_0x4fcf58(0x267)]=_0x47a8f6,_0x1896c0[_0x4fcf58(0xa26)]=_0x1abd28,_0x1896c0[_0x4fcf58(0x861)]=_0x4542b4[_0x4fcf58(0x271e)],_0x1896c0[_0x4fcf58(0xbd1)]=_0x1ec4b2,_0x1896c0['saveUserProfile']=_0x5ba385;function _0x47a8f6(_0xe1d92a,_0x2a8c50){const _0x173623=_0x4fcf58;_0x2d315d[_0x173623(0x2615)]({'controller':_0x173623(0x1d13),'controllerAs':'vm','templateUrl':_0x5956ae,'parent':angular[_0x173623(0x1853)](_0x2c7031['body']),'targetEvent':_0x2a8c50,'clickOutsideToClose':!![],'locals':{'userProfile':_0xe1d92a,'userProfiles':_0x1896c0[_0x173623(0x2851)]?_0x1896c0[_0x173623(0x2851)][_0x173623(0x19c7)]:[],'crudPermissions':_0x1896c0['crudPermissions']}});}function _0x1abd28(_0x4f1d18,_0x5c0370){const _0x182445=_0x4fcf58;_0x2d315d[_0x182445(0x2615)]({'controller':'UserProfilecloneController','controllerAs':'vm','templateUrl':_0x56c7cb,'parent':angular[_0x182445(0x1853)](_0x2c7031[_0x182445(0x2586)]),'targetEvent':_0x5c0370,'clickOutsideToClose':!![],'locals':{'userProfile':_0x4f1d18,'userProfiles':_0x1896c0['userProfiles']?_0x1896c0['userProfiles']['rows']:[],'crudPermissions':_0x1896c0['crudPermissions']}});}function _0x1ec4b2(){const _0x3acd7d=_0x4fcf58;_0x3a2391['go']('app.staff.userProfiles',{},{'reload':_0x3acd7d(0x2804)});}function _0x5ba385(){const _0x27e874=_0x4fcf58;_0x5e5617[_0x27e874(0x26b6)][_0x27e874(0x18e1)]({'id':_0x1896c0[_0x27e874(0x26b6)]['id']},_0x1896c0[_0x27e874(0x26b6)])[_0x27e874(0x2945)][_0x27e874(0x146b)](function(){const _0x4dd1b5=_0x27e874;_0x4542b4[_0x4dd1b5(0x1c75)]({'title':_0x4dd1b5(0x104b),'msg':_0x1896c0[_0x4dd1b5(0x26b6)]['name']?_0x1896c0['userProfile'][_0x4dd1b5(0x19eb)]+_0x4dd1b5(0x6b0):''});})[_0x27e874(0x129e)](function(_0x223575){const _0x504a65=_0x27e874;_0x4542b4[_0x504a65(0x1980)]({'title':_0x223575[_0x504a65(0x107b)]?_0x504a65(0x262a)+_0x223575['status']+_0x504a65(0x1315)+_0x223575[_0x504a65(0x167f)]:_0x504a65(0x27a8),'msg':_0x223575[_0x504a65(0x524)]?JSON['stringify'](_0x223575[_0x504a65(0x524)]):_0x223575[_0x504a65(0xd5f)]()});});}}const _0x510430=_0x4acdbc;;const _0x512059=_0x4acfac['p']+'src/js/modules/main/apps/staff/views/userProfiles/create/dialog.html/dialog.html';;_0x285f2c[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),'$mdSidenav','$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x1abe),'$translate',_0x313a4d(0x2851),_0x313a4d(0x26b6),_0x313a4d(0x1366),'api',_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),'license','setting'];function _0x285f2c(_0x52103d,_0x4c345d,_0x2a8fdf,_0x17d052,_0x3354fa,_0xf44685,_0x521df4,_0x3e9654,_0x37981a,_0x4c9d53,_0x3c505b,_0x92d384,_0x274ba0,_0x2d0102,_0x1551cf,_0x485ed0,_0x218615){const _0x1bbb33=_0x313a4d,_0x3aec08=this;_0x3aec08[_0x1bbb33(0x2690)]=_0x485ed0,_0x3aec08[_0x1bbb33(0x15b9)]=_0x218615,_0x3aec08[_0x1bbb33(0x2321)]=_0x1551cf[_0x1bbb33(0xb12)](),_0x3aec08[_0x1bbb33(0x2851)]=_0x37981a||{'count':0x0,'rows':[]},_0x3aec08['userProfile']=_0x4c9d53,_0x3aec08[_0x1bbb33(0x1366)]=_0x3c505b&&_0x3c505b[_0x1bbb33(0x51c)]==0x1?_0x3c505b[_0x1bbb33(0x19c7)][0x0]:null,_0x3aec08['crudPermissions']=_0x1551cf[_0x1bbb33(0xe60)](_0x3aec08['userProfileSection']?_0x3aec08['userProfileSection'][_0x1bbb33(0x2514)]:null),_0x3aec08[_0x1bbb33(0x768)]='userProfiles',_0x3aec08[_0x1bbb33(0x216a)]='',_0x3aec08['listOrderAsc']=null,_0x3aec08[_0x1bbb33(0x27a6)]=[],_0x3aec08[_0x1bbb33(0x1a56)]={'fields':_0x1bbb33(0x1fbf),'sort':_0x1bbb33(0x12f2),'limit':0xa,'page':0x1},_0x3aec08[_0x1bbb33(0x1b56)]=_0x3f65c0()[_0x1bbb33(0x194)]([{'option':_0x1bbb33(0x1048),'value':_0x1bbb33(0x1007),'disabled':!![]},{'option':'Edit_permission','value':'\x27e\x27'},{'option':_0x1bbb33(0x22ba),'value':_0x1bbb33(0x15c3)}],function(_0x459045){const _0x2ef62e=_0x1bbb33;return _0x3f65c0()[_0x2ef62e(0x5f4)](_0x459045[_0x2ef62e(0x175d)],new RegExp('\x27','g'),'');});_0x3aec08[_0x1bbb33(0x2851)]&&_0x3aec08[_0x1bbb33(0x2851)][_0x1bbb33(0x51c)]>0x0&&_0x3aec08[_0x1bbb33(0x2851)]['rows'][_0x1bbb33(0x1df5)](function(_0x760e54){const _0xc9ecbb=_0x1bbb33,_0x424496=[];_0x760e54[_0xc9ecbb(0x2514)][_0xc9ecbb(0x1df5)](function(_0x5657d4){const _0x5e7dff=_0xc9ecbb;_0x424496['push'](_0x3aec08[_0x5e7dff(0x1b56)][_0x5657d4][_0x5e7dff(0x2927)]);});const _0x357bef=[];_0x424496[_0xc9ecbb(0x1df5)](function(_0xe40bbb){const _0x44cd43=_0xc9ecbb;_0x357bef[_0x44cd43(0x1f47)](_0x3e9654[_0x44cd43(0xde)](_0x44cd43(0x3a7)+_0xe40bbb[_0x44cd43(0x1c37)]()));}),_0x760e54[_0xc9ecbb(0x173c)]=_0x357bef[_0xc9ecbb(0xb47)](',\x20');});_0x3aec08[_0x1bbb33(0x23f9)]=_0x413e34,_0x3aec08[_0x1bbb33(0xa26)]=_0x30f641,_0x3aec08[_0x1bbb33(0xf0a)]=_0x575364,_0x3aec08['success']=_0x35504c,_0x3aec08[_0x1bbb33(0x6cc)]=_0x579d6e,_0x3aec08[_0x1bbb33(0x764)]=_0x3fd8a1,_0x3aec08[_0x1bbb33(0xe37)]=_0x4da2af,_0x3aec08[_0x1bbb33(0x982)]=_0x34be73,_0x3aec08['deleteSelectedUserProfiles']=_0x57d9dc,_0x3aec08[_0x1bbb33(0xfd8)]=_0x25dc87,_0x3aec08[_0x1bbb33(0xe85)]=_0x282821;function _0x413e34(_0x4d7bbe){const _0x2e172d=_0x1bbb33;_0x2a8fdf['go']('app.staff.userProfiles.edit',{'id':_0x4d7bbe['id'],'userProfile':_0x4d7bbe,'crudPermissions':_0x3aec08[_0x2e172d(0x2514)]});}function _0x30f641(_0x4bd9e7,_0x49c5cf){const _0x5783da=_0x1bbb33;_0x3354fa[_0x5783da(0x2615)]({'controller':_0x5783da(0x378),'controllerAs':'vm','templateUrl':_0x56c7cb,'parent':angular[_0x5783da(0x1853)](_0xf44685[_0x5783da(0x2586)]),'targetEvent':_0x49c5cf,'clickOutsideToClose':!![],'locals':{'userProfile':_0x4bd9e7,'userProfiles':_0x3aec08[_0x5783da(0x2851)]?_0x3aec08['userProfiles']['rows']:[],'crudPermissions':_0x3aec08['crudPermissions']}});}function _0x575364(_0x5e5803,_0xf9ebf8){const _0x245c72=_0x1bbb33,_0x5eb84=_0x3354fa[_0x245c72(0x1e8a)]()[_0x245c72(0x1189)](_0x245c72(0xdb2)+_0x3f65c0()['startCase'](_0x245c72(0x26b6))+'?')['htmlContent'](_0x245c72(0x16d3)+(_0x5e5803[_0x245c72(0x19eb)]||'userProfile')+''+_0x245c72(0xe01))[_0x245c72(0x4bd)]('delete\x20userProfile')['targetEvent'](_0xf9ebf8)['ok']('OK')[_0x245c72(0x6c3)]('CANCEL');_0x3354fa[_0x245c72(0x2615)](_0x5eb84)[_0x245c72(0x146b)](function(){_0x4da2af(_0x5e5803);},function(){const _0xbd57e=_0x245c72;console[_0xbd57e(0x1a74)](_0xbd57e(0x39a));});}let _0x1bca12=!![],_0xd9960f=0x1;_0x52103d[_0x1bbb33(0x21e8)]('vm.query.filter',function(_0x2144ef,_0x49431b){const _0xdac15c=_0x1bbb33;_0x1bca12?_0x521df4(function(){_0x1bca12=![];}):(!_0x49431b&&(_0xd9960f=_0x3aec08['query'][_0xdac15c(0x844)]),_0x2144ef!==_0x49431b&&(_0x3aec08[_0xdac15c(0x1a56)][_0xdac15c(0x844)]=0x1),!_0x2144ef&&(_0x3aec08[_0xdac15c(0x1a56)][_0xdac15c(0x844)]=_0xd9960f),_0x3aec08['getUserProfiles']());});function _0x35504c(_0x2e42ba){const _0x12c26e=_0x1bbb33;_0x3aec08[_0x12c26e(0x2851)]=_0x2e42ba||{'count':0x0,'rows':[]};}function _0x579d6e(){const _0x10d313=_0x1bbb33;_0x3aec08[_0x10d313(0x1a56)]['offset']=(_0x3aec08[_0x10d313(0x1a56)][_0x10d313(0x844)]-0x1)*_0x3aec08[_0x10d313(0x1a56)][_0x10d313(0x221e)],_0x1551cf[_0x10d313(0x23e0)](_0x10d313(0x174b))?_0x3aec08['promise']=_0x92d384[_0x10d313(0x26b6)][_0x10d313(0x16b4)](_0x3aec08[_0x10d313(0x1a56)],_0x35504c)[_0x10d313(0x2945)]:(_0x3aec08[_0x10d313(0x1a56)]['id']=_0x3aec08[_0x10d313(0x26b6)]['id'],_0x3aec08[_0x10d313(0x1a56)][_0x10d313(0x2146)]=_0x10d313(0x1a0c),_0x3aec08[_0x10d313(0xb9c)]=_0x92d384[_0x10d313(0x26b6)][_0x10d313(0x158f)](_0x3aec08[_0x10d313(0x1a56)],_0x35504c)[_0x10d313(0x2945)]);}function _0x3fd8a1(_0x250c32,_0x20b859){const _0x40eb7d=_0x1bbb33;_0x3354fa[_0x40eb7d(0x2615)]({'controller':_0x40eb7d(0x281f),'controllerAs':'vm','templateUrl':_0x512059,'parent':angular[_0x40eb7d(0x1853)](_0xf44685['body']),'targetEvent':_0x250c32,'clickOutsideToClose':!![],'locals':{'userProfile':_0x20b859,'userProfiles':_0x3aec08[_0x40eb7d(0x2851)]['rows'],'license':_0x3aec08[_0x40eb7d(0x2690)],'setting':_0x3aec08[_0x40eb7d(0x15b9)],'crudPermissions':_0x3aec08['crudPermissions']}});}function _0x4da2af(_0x328a25){const _0x2334a4=_0x1bbb33;_0x92d384['userProfile'][_0x2334a4(0x1fac)]({'id':_0x328a25['id']})[_0x2334a4(0x2945)][_0x2334a4(0x146b)](function(){const _0x23bf8d=_0x2334a4;_0x3f65c0()['remove'](_0x3aec08['userProfiles'][_0x23bf8d(0x19c7)],{'id':_0x328a25['id']}),_0x3aec08['userProfiles'][_0x23bf8d(0x51c)]-=0x1,!_0x3aec08[_0x23bf8d(0x2851)][_0x23bf8d(0x19c7)][_0x23bf8d(0x402)]&&_0x3aec08[_0x23bf8d(0x6cc)](),_0x2d0102[_0x23bf8d(0x1c75)]({'title':_0x3f65c0()['startCase'](_0x23bf8d(0x240f))+_0x23bf8d(0x201c),'msg':_0x328a25['name']?_0x328a25['name']+'\x20has\x20been\x20deleted!':''});})[_0x2334a4(0x129e)](function(_0xc07c60){const _0x3df5a0=_0x2334a4;if(_0xc07c60[_0x3df5a0(0x524)]&&_0xc07c60['data'][_0x3df5a0(0xcef)]&&_0xc07c60[_0x3df5a0(0x524)]['errors'][_0x3df5a0(0x402)]){_0x3aec08[_0x3df5a0(0xcef)]=_0xc07c60[_0x3df5a0(0x524)][_0x3df5a0(0xcef)]||[{'message':_0xc07c60[_0x3df5a0(0xd5f)](),'type':'SYSTEM:DELETEuserProfile'}];for(let _0x20826c=0x0;_0x20826c<_0xc07c60[_0x3df5a0(0x524)][_0x3df5a0(0xcef)][_0x3df5a0(0x402)];_0x20826c++){_0x2d0102[_0x3df5a0(0x1980)]({'title':_0xc07c60[_0x3df5a0(0x524)][_0x3df5a0(0xcef)][_0x20826c]['type'],'msg':_0xc07c60[_0x3df5a0(0x524)][_0x3df5a0(0xcef)][_0x20826c][_0x3df5a0(0x7fd)]});}}else _0x2d0102[_0x3df5a0(0x1980)]({'title':_0xc07c60[_0x3df5a0(0x107b)]?_0x3df5a0(0x262a)+_0xc07c60[_0x3df5a0(0x107b)]+_0x3df5a0(0x1315)+_0xc07c60[_0x3df5a0(0x167f)]:'SYSTEM:DELETEuserProfile','msg':_0xc07c60[_0x3df5a0(0x524)]?JSON[_0x3df5a0(0x10bb)](_0xc07c60[_0x3df5a0(0x524)][_0x3df5a0(0x7fd)]):_0xc07c60[_0x3df5a0(0x7fd)]||_0xc07c60[_0x3df5a0(0xd5f)]()});});}function _0x34be73(){const _0x2ad660=_0x1bbb33,_0x2c2596=angular[_0x2ad660(0x235a)](_0x3aec08[_0x2ad660(0x27a6)]);return _0x3aec08[_0x2ad660(0x27a6)]=[],_0x2c2596;}function _0x57d9dc(_0x3b933e){const _0x3e1381=_0x1bbb33,_0x87ef25=_0x3354fa[_0x3e1381(0x1e8a)]()[_0x3e1381(0x1189)](_0x3e1381(0x1b46))['htmlContent'](_0x3e1381(0x16d3)+_0x3aec08['selectedUserProfiles']['length']+_0x3e1381(0x2452)+_0x3e1381(0xe01))[_0x3e1381(0x4bd)](_0x3e1381(0xb30))[_0x3e1381(0x1f27)](_0x3b933e)['ok']('OK')['cancel'](_0x3e1381(0x39a));_0x3354fa[_0x3e1381(0x2615)](_0x87ef25)[_0x3e1381(0x146b)](function(){const _0x2147e9=_0x3e1381;_0x3aec08[_0x2147e9(0x27a6)][_0x2147e9(0x1df5)](function(_0x1e0140){_0x4da2af(_0x1e0140);}),_0x3aec08['selectedUserProfiles']=[];});}function _0x25dc87(){const _0x1ab2b7=_0x1bbb33;_0x3aec08[_0x1ab2b7(0x27a6)]=[];}function _0x282821(){const _0x27fb88=_0x1bbb33;_0x3aec08[_0x27fb88(0x27a6)]=_0x3aec08[_0x27fb88(0x2851)][_0x27fb88(0x19c7)];}}const _0x28c363=_0x285f2c;;_0x4a5b2e[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$state',_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q','$translate',_0x313a4d(0x1fe4),_0x313a4d(0x1995),_0x313a4d(0xe7b),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),'setting',_0x313a4d(0x2514)];function _0x4a5b2e(_0x13af3a,_0x1997bb,_0x4849a8,_0x548648,_0x5f5622,_0x543ac7,_0x196fab,_0x4bfcad,_0xaf6375,_0x20cc76,_0x215dcc,_0x313633,_0x2c48ae,_0x15ae92){const _0x3ae517=_0x313a4d,_0x3548a0=this;_0x3548a0['currentUser']=_0x215dcc[_0x3ae517(0xb12)](),_0x3548a0[_0x3ae517(0xcef)]=[],_0x3548a0[_0x3ae517(0x15b9)]=_0x2c48ae,_0x3548a0['license']=_0x313633,_0x3548a0[_0x3ae517(0x2514)]=_0x15ae92,_0x3548a0['hasModulePermissions']={},_0x3548a0[_0x3ae517(0x2251)]=_0x3548a0[_0x3ae517(0x15b9)]&&_0x3548a0[_0x3ae517(0x15b9)][_0x3ae517(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x3548a0['title']=_0x3ae517(0x22e0),_0x3548a0['user']=angular[_0x3ae517(0x235a)](_0xaf6375),_0x3548a0[_0x3ae517(0x1995)]=_0x4bfcad,_0x3548a0[_0x3ae517(0x12d1)]=![];!_0x3548a0[_0x3ae517(0xe7b)]&&(_0x3548a0[_0x3ae517(0xe7b)]={'role':_0x3ae517(0xe7b),'autointernal':!![],'context':'from-sip'},_0x3548a0[_0x3ae517(0x1189)]='STAFF.NEW_USER',_0x3548a0['newUser']=!![]);_0x3548a0['addNewUser']=_0x37f7ef,_0x3548a0[_0x3ae517(0x395)]=_0x4a1e52,_0x3548a0['deleteUser']=_0x3be27c,_0x3548a0[_0x3ae517(0xe73)]=_0x3c3630,_0x3548a0[_0x3ae517(0x13f3)]=_0x193f45,_0x20cc76['userProfile'][_0x3ae517(0x16b4)]({'fields':'id,name','sort':_0x3ae517(0x19eb)})[_0x3ae517(0x2945)][_0x3ae517(0x146b)](function(_0x1fe705){const _0x2bf3ce=_0x3ae517;_0x3548a0[_0x2bf3ce(0x2851)]=_0x1fe705['rows']||[];})[_0x3ae517(0x129e)](function(_0x1d5fcd){const _0x2c49b8=_0x3ae517;_0x196fab[_0x2c49b8(0x1980)]({'title':_0x1d5fcd['status']?_0x2c49b8(0x262a)+_0x1d5fcd[_0x2c49b8(0x107b)]+_0x2c49b8(0x1315)+_0x1d5fcd[_0x2c49b8(0x167f)]:'SYSTEM:GET_USERPROFILES','msg':_0x1d5fcd[_0x2c49b8(0x524)]?JSON[_0x2c49b8(0x10bb)](_0x1d5fcd['data']):_0x1d5fcd[_0x2c49b8(0xd5f)]()});});function _0x37f7ef(){const _0x337f78=_0x3ae517;_0x3548a0['errors']=[],_0x20cc76[_0x337f78(0xe7b)][_0x337f78(0x1e3)](_0x3548a0['user'])['$promise']['then'](function(_0x6adfa8){const _0xbcfebd=_0x337f78;_0x3548a0[_0xbcfebd(0x1995)][_0xbcfebd(0xb3d)](_0x6adfa8[_0xbcfebd(0x2488)]()),_0x196fab['success']({'title':'User\x20properly\x20created','msg':_0x3548a0[_0xbcfebd(0xe7b)][_0xbcfebd(0x19eb)]?_0x3548a0[_0xbcfebd(0xe7b)][_0xbcfebd(0x19eb)]+_0xbcfebd(0x1386):''}),_0x193f45(_0x6adfa8);})[_0x337f78(0x129e)](function(_0x45a672){const _0x57c23e=_0x337f78;if(_0x45a672[_0x57c23e(0x524)]&&_0x45a672['data'][_0x57c23e(0xcef)]&&_0x45a672['data'][_0x57c23e(0xcef)][_0x57c23e(0x402)]){_0x3548a0['errors']=_0x45a672[_0x57c23e(0x524)][_0x57c23e(0xcef)]||[{'message':_0x45a672[_0x57c23e(0xd5f)](),'type':'api.user.save'}];for(let _0x5e544d=0x0;_0x5e544d<_0x45a672[_0x57c23e(0x524)][_0x57c23e(0xcef)][_0x57c23e(0x402)];_0x5e544d+=0x1){_0x196fab[_0x57c23e(0x1980)]({'title':_0x45a672[_0x57c23e(0x524)][_0x57c23e(0xcef)][_0x5e544d]['type'],'msg':_0x45a672[_0x57c23e(0x524)][_0x57c23e(0xcef)][_0x5e544d][_0x57c23e(0x7fd)]});}}else _0x196fab[_0x57c23e(0x1980)]({'title':_0x45a672[_0x57c23e(0x107b)]?'API:'+_0x45a672[_0x57c23e(0x107b)]+'\x20-\x20'+_0x45a672['statusText']:_0x57c23e(0x2406),'msg':_0x45a672[_0x57c23e(0x524)]?JSON[_0x57c23e(0x10bb)](_0x45a672[_0x57c23e(0x524)]['message']):_0x45a672['toString']()});});}function _0x4a1e52(){const _0x595f57=_0x3ae517;_0x3548a0[_0x595f57(0xcef)]=[],_0x20cc76['user'][_0x595f57(0x18e1)]({'id':_0x3548a0[_0x595f57(0xe7b)]['id']},_0x3548a0['user'])[_0x595f57(0x2945)]['then'](function(_0x563274){const _0x2a0477=_0x595f57,_0x4684be=_0x3f65c0()[_0x2a0477(0xc84)](_0x3548a0[_0x2a0477(0x1995)],{'id':_0x563274['id']});_0x4684be&&_0x3f65c0()[_0x2a0477(0x168d)](_0x4684be,_0x3f65c0()['pick'](_0x563274[_0x2a0477(0x2488)](),_0x3f65c0()[_0x2a0477(0x627)](_0x4684be))),_0x196fab[_0x2a0477(0x1c75)]({'title':_0x2a0477(0x80e),'msg':_0x3548a0['user'][_0x2a0477(0x19eb)]?_0x3548a0[_0x2a0477(0xe7b)]['name']+'\x20has\x20been\x20saved!':''}),_0x193f45(_0x563274);})['catch'](function(_0x53e3f2){const _0x4c2975=_0x595f57;if(_0x53e3f2[_0x4c2975(0x524)]&&_0x53e3f2[_0x4c2975(0x524)]['errors']&&_0x53e3f2[_0x4c2975(0x524)]['errors'][_0x4c2975(0x402)]){_0x3548a0[_0x4c2975(0xcef)]=_0x53e3f2[_0x4c2975(0x524)][_0x4c2975(0xcef)]||[{'message':_0x53e3f2[_0x4c2975(0xd5f)](),'type':'api.user.update'}];for(let _0x30bf84=0x0;_0x30bf84<_0x53e3f2[_0x4c2975(0x524)][_0x4c2975(0xcef)][_0x4c2975(0x402)];_0x30bf84++){_0x196fab[_0x4c2975(0x1980)]({'title':_0x53e3f2['data'][_0x4c2975(0xcef)][_0x30bf84][_0x4c2975(0x1142)],'msg':_0x53e3f2['data']['errors'][_0x30bf84][_0x4c2975(0x7fd)]});}}else _0x196fab[_0x4c2975(0x1980)]({'title':_0x53e3f2['status']?_0x4c2975(0x262a)+_0x53e3f2[_0x4c2975(0x107b)]+'\x20-\x20'+_0x53e3f2[_0x4c2975(0x167f)]:_0x4c2975(0x254f),'msg':_0x53e3f2[_0x4c2975(0x524)]?JSON[_0x4c2975(0x10bb)](_0x53e3f2[_0x4c2975(0x524)]['message']):_0x53e3f2[_0x4c2975(0xd5f)]()});});}function _0x3be27c(_0x376204){const _0x3aacde=_0x3ae517,_0x3f1462=_0x215dcc[_0x3aacde(0xb12)]();if(_0x3f1462['id']===_0x3548a0['user']['id'])return _0x196fab['error']({'title':_0x3aacde(0x66d),'msg':_0x3aacde(0x1c9d)});_0x3548a0[_0x3aacde(0xcef)]=[];const _0x57ddc9=_0x548648['confirm']()['title'](_0x3aacde(0x1d64))[_0x3aacde(0x80f)](_0x3aacde(0x26f9))[_0x3aacde(0x4bd)](_0x3aacde(0x1fba))['ok'](_0x3aacde(0x25de))[_0x3aacde(0x6c3)](_0x3aacde(0xcf0))[_0x3aacde(0x1f27)](_0x376204);_0x548648['show'](_0x57ddc9)[_0x3aacde(0x146b)](function(){const _0x291ceb=_0x3aacde;_0x20cc76['user'][_0x291ceb(0x1fac)]({'id':_0x3548a0['user']['id']})[_0x291ceb(0x2945)][_0x291ceb(0x146b)](function(){const _0x41ff84=_0x291ceb;_0x3f65c0()['remove'](_0x3548a0[_0x41ff84(0x1995)],{'id':_0x3548a0['user']['id']}),_0x196fab[_0x41ff84(0x1c75)]({'title':_0x41ff84(0x5c2),'msg':(_0x3548a0[_0x41ff84(0xe7b)][_0x41ff84(0x19eb)]||_0x41ff84(0xe7b))+'\x20has\x20been\x20deleted!'}),_0x193f45(_0x3548a0['user']);})[_0x291ceb(0x129e)](function(_0x14ffc7){const _0x5b8193=_0x291ceb;if(_0x14ffc7['data']&&_0x14ffc7[_0x5b8193(0x524)][_0x5b8193(0xcef)]&&_0x14ffc7[_0x5b8193(0x524)][_0x5b8193(0xcef)][_0x5b8193(0x402)]){_0x3548a0['errors']=_0x14ffc7[_0x5b8193(0x524)][_0x5b8193(0xcef)]||[{'message':_0x14ffc7[_0x5b8193(0xd5f)](),'type':_0x5b8193(0xddf)}];for(let _0x3f5484=0x0;_0x3f5484<_0x14ffc7[_0x5b8193(0x524)][_0x5b8193(0xcef)]['length'];_0x3f5484++){_0x196fab[_0x5b8193(0x1980)]({'title':_0x14ffc7[_0x5b8193(0x524)]['errors'][_0x3f5484]['type'],'msg':_0x14ffc7[_0x5b8193(0x524)][_0x5b8193(0xcef)][_0x3f5484][_0x5b8193(0x7fd)]});}}else _0x196fab[_0x5b8193(0x1980)]({'title':_0x14ffc7['status']?_0x5b8193(0x262a)+_0x14ffc7[_0x5b8193(0x107b)]+_0x5b8193(0x1315)+_0x14ffc7['statusText']:_0x5b8193(0xddf),'msg':_0x14ffc7[_0x5b8193(0x524)]?JSON[_0x5b8193(0x10bb)](_0x14ffc7[_0x5b8193(0x524)]['message']):_0x14ffc7[_0x5b8193(0x7fd)]||_0x14ffc7[_0x5b8193(0xd5f)]()});});},function(){});}function _0x3c3630(_0x3159bf){return _0x3159bf===null?undefined:new Date(_0x3159bf);}function _0x193f45(_0x4a3ee4){_0x548648['hide'](_0x4a3ee4);}}const _0x11bc92=_0x4a5b2e;;_0x5be517['$inject']=[_0x313a4d(0x10e8),'toasty',_0x313a4d(0x247f),_0x313a4d(0xe7b),_0x313a4d(0xa87)];function _0x5be517(_0x4a304d,_0x5b1c78,_0x3de7f3,_0x514390,_0x4d0d4f){const _0x3b62c0=_0x313a4d,_0x416682=this;_0x416682[_0x3b62c0(0x2321)]=_0x4d0d4f[_0x3b62c0(0xb12)](),_0x416682[_0x3b62c0(0xcef)]=[],_0x416682['user']=_0x514390,_0x416682[_0x3b62c0(0x32e)]=_0x35bb79,_0x416682[_0x3b62c0(0x1a27)]=_0x3ac666,_0x416682['closeDialog']=_0x224556;function _0x35bb79(){const _0x901948=_0x3b62c0;_0x416682[_0x901948(0xcef)]=[],_0x3de7f3[_0x901948(0xe7b)][_0x901948(0x1c06)]({'id':_0x416682[_0x901948(0xe7b)]['id']})['$promise']['then'](function(_0x1e0354){const _0x5978d9=_0x901948;_0x416682['user'][_0x5978d9(0x25b9)]=_0x1e0354[_0x5978d9(0x25af)]||_0x5978d9(0x1c8d),_0x5b1c78[_0x5978d9(0x1c75)]({'title':_0x5978d9(0x16aa)}),_0x224556();})[_0x901948(0x129e)](function(_0x4fb864){const _0x5de3e4=_0x901948;_0x5b1c78[_0x5de3e4(0x1980)]({'title':_0x4fb864[_0x5de3e4(0x107b)]?'API:'+_0x4fb864[_0x5de3e4(0x107b)]+_0x5de3e4(0x1315)+_0x4fb864['statusText']:_0x5de3e4(0x571),'msg':_0x4fb864['data']?JSON[_0x5de3e4(0x10bb)](_0x4fb864['data'][_0x5de3e4(0x7fd)]):_0x4fb864[_0x5de3e4(0xd5f)]()}),_0x416682[_0x5de3e4(0xcef)]=_0x4fb864[_0x5de3e4(0x524)][_0x5de3e4(0xcef)]||[{'message':_0x4fb864[_0x5de3e4(0xd5f)](),'type':_0x5de3e4(0x571)}];});}function _0x3ac666(){const _0x39a546=_0x3b62c0;_0x416682['errors']=[],_0x3de7f3[_0x39a546(0xe7b)]['removeApiKey']({'id':_0x416682[_0x39a546(0xe7b)]['id']})[_0x39a546(0x2945)]['then'](function(){const _0x45718e=_0x39a546;_0x416682[_0x45718e(0xe7b)][_0x45718e(0x25b9)]=_0x45718e(0x1c8d),_0x5b1c78[_0x45718e(0x1c75)]({'title':'User\x20API\x20key\x20removed!'}),_0x224556();})['catch'](function(_0x14bfa0){const _0x6a1d3a=_0x39a546;_0x5b1c78[_0x6a1d3a(0x1980)]({'title':_0x14bfa0['status']?_0x6a1d3a(0x262a)+_0x14bfa0[_0x6a1d3a(0x107b)]+_0x6a1d3a(0x1315)+_0x14bfa0[_0x6a1d3a(0x167f)]:'api.user.removeApiKey','msg':_0x14bfa0[_0x6a1d3a(0x524)]?JSON[_0x6a1d3a(0x10bb)](_0x14bfa0[_0x6a1d3a(0x524)]['message']):_0x14bfa0[_0x6a1d3a(0xd5f)]()}),_0x416682[_0x6a1d3a(0xcef)]=_0x14bfa0[_0x6a1d3a(0x524)][_0x6a1d3a(0xcef)]||[{'message':_0x14bfa0[_0x6a1d3a(0xd5f)](),'type':'api.user.removeApiKey'}];});}function _0x224556(){_0x4a304d['hide']();}}const _0xf94f89=_0x5be517;;_0x23413d[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),_0x313a4d(0x1fe4)];function _0x23413d(_0xba9a6d,_0xd2dac7){const _0x3b333a=_0x313a4d,_0x221fe3=this,_0x560348=0x8,_0x185655=_0x560348*0x400*0x400;_0x221fe3[_0x3b333a(0xe7b)]={},_0x221fe3[_0x3b333a(0x2514)],_0x221fe3[_0x3b333a(0x4c3)]={'singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0x185655,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x221fe3[_0x3b333a(0x1376)]={'flow':{}},_0x221fe3[_0x3b333a(0x240d)]=![],_0x221fe3[_0x3b333a(0x143d)]=_0x2880bb,_0x221fe3[_0x3b333a(0x1dc6)]=_0x509526,_0x221fe3[_0x3b333a(0xc4c)]=_0x57044b,_0x221fe3[_0x3b333a(0x5aa)]=_0x5084dd;function _0x5084dd(_0x146628,_0x268486){const _0x49b4b1=_0x3b333a;_0x221fe3[_0x49b4b1(0xe7b)]=angular[_0x49b4b1(0x235a)](_0x146628),_0x221fe3['crudPermissions']=typeof _0x268486!==_0x49b4b1(0x2274)?_0x268486:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x221fe3[_0x49b4b1(0x4c3)][_0x49b4b1(0xe1d)]='api/users/'+_0x221fe3[_0x49b4b1(0xe7b)]['id']+_0x49b4b1(0xf07),_0x221fe3['user'][_0x49b4b1(0x1f07)]=[];}function _0x2880bb(_0x4f594d){const _0x44531f=_0x3b333a,_0xfcd404=[_0x44531f(0xadf),_0x44531f(0x621)];if(!_0x3f65c0()[_0x44531f(0xa4e)](_0xfcd404,_0x4f594d[_0x44531f(0x193f)]()))return _0xd2dac7['error']({'title':_0x44531f(0x4c6)+_0x4f594d[_0x44531f(0x193f)](),'msg':_0x44531f(0x208f)+_0xfcd404['join']()}),![];if(_0x4f594d[_0x44531f(0x4cc)]>_0x185655)return _0xd2dac7[_0x44531f(0x1980)]({'title':_0x44531f(0x625),'msg':'The\x20max\x20allowed\x20size\x20is\x20'+_0x560348+'MB'}),![];const _0x7af42d={'id':_0x4f594d['uniqueIdentifier'],'file':_0x4f594d,'type':'uploading'};return _0x221fe3['user'][_0x44531f(0x1f07)][_0x44531f(0xb3d)](_0x7af42d),!![];}function _0x509526(){const _0x369cdf=_0x3b333a;_0x221fe3['ngFlow'][_0x369cdf(0x963)]['opts'][_0x369cdf(0xa01)]={'X-Requested-With':'XMLHttpRequest','Authorization':_0x369cdf(0x2745)+_0xba9a6d['get'](_0x369cdf(0xe2d))},_0x221fe3[_0x369cdf(0x1376)][_0x369cdf(0x963)][_0x369cdf(0x1dc6)]();}function _0x57044b(_0x3573da){const _0x37b740=_0x3b333a;angular[_0x37b740(0x1df5)](_0x221fe3[_0x37b740(0xe7b)]['images'],function(_0x4f1ac5){const _0x3096e8=_0x37b740;if(_0x4f1ac5['id']===_0x3573da[_0x3096e8(0x1276)]){const _0x16d732=new FileReader();_0x16d732[_0x3096e8(0xa0c)](_0x4f1ac5['file']['file']),_0x16d732[_0x3096e8(0x20f6)]=function(_0x21b818){const _0x331882=_0x3096e8;_0x4f1ac5[_0x331882(0x20cc)]=_0x21b818[_0x331882(0xe1d)][_0x331882(0x61a)];},_0x4f1ac5[_0x3096e8(0x1142)]=_0x3096e8(0x106c);}});}}const _0x2b7f2e=_0x23413d;;_0x5da411[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x10e8),_0x313a4d(0x1fe4),_0x313a4d(0x247f),'user',_0x313a4d(0x15b9),_0x313a4d(0xa87)];function _0x5da411(_0x2020db,_0xdd11a0,_0x55fe4a,_0xcdbe52,_0x586bc5,_0x158c05,_0x19c918){const _0x18cf26=_0x313a4d,_0x3356f7=this;_0x3356f7[_0x18cf26(0x2321)]=_0x19c918[_0x18cf26(0xb12)](),_0x3356f7['errors']=[],_0x3356f7['setting']=_0x158c05,_0x3356f7[_0x18cf26(0x2251)]=_0x3356f7[_0x18cf26(0x15b9)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x3356f7['user']=_0x586bc5,_0x3356f7[_0x18cf26(0xf37)]='',_0x3356f7[_0x18cf26(0x2571)]='',_0x3356f7['savePassword']=_0x56e6aa,_0x3356f7[_0x18cf26(0x13f3)]=_0x5f0a69,_0x2020db[_0x18cf26(0x21e8)](_0x18cf26(0x12f8),function(_0x149eca){const _0x478218=_0x18cf26;!_0x3f65c0()['isNil'](_0x3356f7['oldPassword'])&&(_0x149eca===_0x3356f7['oldPassword']?_0x2020db[_0x478218(0x1fde)][_0x478218(0xf37)][_0x478218(0x24f8)]('oldPasswordMatch',![]):_0x2020db[_0x478218(0x1fde)][_0x478218(0xf37)][_0x478218(0x24f8)]('oldPasswordMatch',!![]));});function _0x56e6aa(){const _0x390ab9=_0x18cf26;_0x3356f7[_0x390ab9(0xcef)]=[];const _0x3d51fc={'id':_0x3356f7[_0x390ab9(0xe7b)]['id'],'newPassword':_0x3356f7[_0x390ab9(0xf37)]};_0x3356f7[_0x390ab9(0x2321)]['id']==_0x3356f7[_0x390ab9(0xe7b)]['id']&&(_0x3d51fc['oldPassword']=_0x3356f7[_0x390ab9(0x2571)]),_0xcdbe52[_0x390ab9(0xe7b)][_0x390ab9(0x171a)](_0x3d51fc)['$promise'][_0x390ab9(0x146b)](function(){const _0x2ca30c=_0x390ab9;_0x55fe4a[_0x2ca30c(0x1c75)]({'title':'User\x20password\x20properly\x20updated!'}),_0x5f0a69();})[_0x390ab9(0x129e)](function(_0x13e027){const _0x103a11=_0x390ab9;_0x55fe4a[_0x103a11(0x1980)]({'title':_0x13e027['status']?'API:'+_0x13e027[_0x103a11(0x107b)]+_0x103a11(0x1315)+_0x13e027[_0x103a11(0x167f)]:_0x103a11(0x2406),'msg':_0x13e027['data']?JSON['stringify'](_0x13e027['data'][_0x103a11(0x7fd)]):_0x13e027[_0x103a11(0xd5f)]()}),_0x3356f7[_0x103a11(0xcef)]=_0x13e027[_0x103a11(0x524)][_0x103a11(0xcef)]||[{'message':_0x13e027[_0x103a11(0xd5f)](),'type':_0x103a11(0x9ad)}];});}function _0x5f0a69(){const _0x1befbe=_0x18cf26;_0xdd11a0[_0x1befbe(0x2458)]();}}const _0x55f406=_0x5da411;;const _0x4f4b01=_0x4acfac['p']+'src/js/modules/main/apps/staff/views/users/edit/apikeymanager/apikeymanager.html/apikeymanager.html';;const _0x390a91=_0x4acfac['p']+_0x313a4d(0xcf1);;_0x22d1ad[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x214b),'license','setting',_0x313a4d(0x247f),'toasty',_0x313a4d(0xa87),_0x313a4d(0xe7b),_0x313a4d(0x1366)];function _0x22d1ad(_0x1be1ed,_0x37ba8f,_0x38f2b8,_0x6f1079,_0x675de4,_0x224320,_0x13345c,_0x12ee11,_0x4f7011,_0x49aaff,_0x26dc14,_0x5276f7){const _0x5bc817=_0x313a4d,_0x25afa6=this;_0x25afa6[_0x5bc817(0x2321)]=_0x49aaff[_0x5bc817(0xb12)](),_0x25afa6['license']=_0x224320,_0x25afa6[_0x5bc817(0x15b9)]=_0x13345c,_0x25afa6[_0x5bc817(0x2251)]=_0x25afa6[_0x5bc817(0x15b9)][_0x5bc817(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x25afa6[_0x5bc817(0x1002)]=_0x37ba8f['protocol']()+_0x5bc817(0xb0e)+_0x37ba8f[_0x5bc817(0x148e)](),_0x25afa6['user']=_0x26dc14||_0x1be1ed[_0x5bc817(0x16a)][_0x5bc817(0xe7b)]||{},_0x25afa6[_0x5bc817(0x1366)]=_0x5276f7&&_0x5276f7['count']==0x1?_0x5276f7[_0x5bc817(0x19c7)][0x0]:null,_0x25afa6[_0x5bc817(0x2514)]=_0x49aaff[_0x5bc817(0xe60)](_0x25afa6['userProfileSection']?_0x25afa6[_0x5bc817(0x1366)][_0x5bc817(0x2514)]:null),_0x25afa6['hasModulePermissions']={},_0x25afa6[_0x5bc817(0x1b09)]=_0x1be1ed['params'][_0x5bc817(0x13a3)]||0x0,_0x25afa6[_0x5bc817(0x903)]=_0x43a1f4,_0x25afa6['changepassworddialog']=_0x18d840,_0x25afa6[_0x5bc817(0x861)]=_0x4f7011[_0x5bc817(0x271e)],_0x25afa6[_0x5bc817(0x26e2)]=_0x322166,_0x25afa6[_0x5bc817(0x395)]=_0x5c008d,_0x12ee11[_0x5bc817(0x1b82)]['get']({'fields':'id,name','sort':_0x5bc817(0x19eb)})[_0x5bc817(0x2945)]['then'](function(_0x5b20df){const _0x17fcdb=_0x5bc817;_0x25afa6[_0x17fcdb(0xfe9)]=_0x5b20df[_0x17fcdb(0x19c7)]||[];})[_0x5bc817(0x129e)](function(_0x29b8e7){const _0x1ce9d6=_0x5bc817;_0x4f7011['error']({'title':_0x29b8e7[_0x1ce9d6(0x107b)]?_0x1ce9d6(0x262a)+_0x29b8e7[_0x1ce9d6(0x107b)]+_0x1ce9d6(0x1315)+_0x29b8e7[_0x1ce9d6(0x167f)]:_0x1ce9d6(0x2742),'msg':_0x29b8e7[_0x1ce9d6(0x524)]?JSON[_0x1ce9d6(0x10bb)](_0x29b8e7[_0x1ce9d6(0x524)]):_0x29b8e7[_0x1ce9d6(0xd5f)]()});}),_0x12ee11[_0x5bc817(0x26b6)]['get']({'fields':_0x5bc817(0x7a7),'sort':'name'})[_0x5bc817(0x2945)][_0x5bc817(0x146b)](function(_0x231c1b){const _0xce3d37=_0x5bc817;_0x25afa6[_0xce3d37(0x2851)]=_0x231c1b['rows']||[];})[_0x5bc817(0x129e)](function(_0x7ba818){const _0x124d20=_0x5bc817;_0x4f7011['error']({'title':_0x7ba818['status']?'API:'+_0x7ba818[_0x124d20(0x107b)]+'\x20-\x20'+_0x7ba818[_0x124d20(0x167f)]:'SYSTEM:GET_USERPROFILES','msg':_0x7ba818[_0x124d20(0x524)]?JSON[_0x124d20(0x10bb)](_0x7ba818['data']):_0x7ba818[_0x124d20(0xd5f)]()});}),_0x12ee11[_0x5bc817(0xe7b)][_0x5bc817(0xe1a)]({'id':_0x25afa6['user']['id']})[_0x5bc817(0x2945)][_0x5bc817(0x146b)](function(_0x35fda9){const _0x468dc5=_0x5bc817;_0x25afa6['user']['apiKey']=_0x35fda9[_0x468dc5(0x25af)]?_0x35fda9['api_key']:_0x468dc5(0x1c8d);})[_0x5bc817(0x129e)](function(_0x3bb237){const _0x1e8a45=_0x5bc817;_0x4f7011[_0x1e8a45(0x1980)]({'title':_0x3bb237['status']?_0x1e8a45(0x262a)+_0x3bb237[_0x1e8a45(0x107b)]+'\x20-\x20'+_0x3bb237[_0x1e8a45(0x167f)]:'SYSTEM:GET_APIKEY','msg':_0x3bb237[_0x1e8a45(0x524)]?JSON['stringify'](_0x3bb237[_0x1e8a45(0x524)]):_0x3bb237[_0x1e8a45(0xd5f)]()});});function _0x43a1f4(_0x7b47ac,_0x345648){const _0x225790=_0x5bc817;_0x38f2b8['show']({'controller':'UserapikeymanagerController','controllerAs':'vm','templateUrl':_0x4f4b01,'parent':angular[_0x225790(0x1853)](_0x6f1079[_0x225790(0x2586)]),'targetEvent':_0x345648,'clickOutsideToClose':!![],'locals':{'user':_0x7b47ac,'users':_0x25afa6[_0x225790(0x1995)]?_0x25afa6[_0x225790(0x1995)]['rows']:[],'crudPermissions':_0x25afa6[_0x225790(0x2514)]}});}function _0x18d840(_0x3d5c5f,_0x2adc15){const _0x52a38c=_0x5bc817;_0x38f2b8[_0x52a38c(0x2615)]({'controller':_0x52a38c(0x866),'controllerAs':'vm','templateUrl':_0x390a91,'parent':angular[_0x52a38c(0x1853)](_0x6f1079[_0x52a38c(0x2586)]),'targetEvent':_0x2adc15,'clickOutsideToClose':!![],'locals':{'user':_0x3d5c5f,'setting':_0x13345c,'users':_0x25afa6[_0x52a38c(0x1995)]?_0x25afa6[_0x52a38c(0x1995)]['rows']:[],'crudPermissions':_0x25afa6[_0x52a38c(0x2514)]}});}function _0x322166(){const _0x28276c=_0x5bc817;_0x1be1ed['go']('app.staff.users',{},{'reload':_0x28276c(0x201d)});}function _0x5c008d(){const _0x481e57=_0x5bc817;_0x25afa6[_0x481e57(0xe7b)]=_0x3f65c0()[_0x481e57(0x2432)](_0x25afa6[_0x481e57(0xe7b)],'userpic'),_0x12ee11['user'][_0x481e57(0x18e1)]({'id':_0x25afa6[_0x481e57(0xe7b)]['id']},_0x25afa6[_0x481e57(0xe7b)])[_0x481e57(0x2945)][_0x481e57(0x146b)](function(){const _0x39067e=_0x481e57;_0x4f7011[_0x39067e(0x1c75)]({'title':_0x39067e(0x2818),'msg':_0x25afa6[_0x39067e(0xe7b)][_0x39067e(0x19eb)]?_0x25afa6['user'][_0x39067e(0x19eb)]+_0x39067e(0x6b0):''});})[_0x481e57(0x129e)](function(_0x1da4ab){const _0x523845=_0x481e57;_0x4f7011[_0x523845(0x1980)]({'title':_0x1da4ab[_0x523845(0x107b)]?'API:'+_0x1da4ab[_0x523845(0x107b)]+_0x523845(0x1315)+_0x1da4ab[_0x523845(0x167f)]:_0x523845(0x825),'msg':_0x1da4ab[_0x523845(0x524)]?JSON[_0x523845(0x10bb)](_0x1da4ab[_0x523845(0x524)]):_0x1da4ab[_0x523845(0xd5f)]()});});}}const _0x554332=_0x22d1ad;;const _0xd3f100=_0x4acfac['p']+_0x313a4d(0x1fe5);;_0x533dc0[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),'$state',_0x313a4d(0x15fe),_0x313a4d(0x10e8),'$document',_0x313a4d(0x1abe),_0x313a4d(0x214b),'users',_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x2690),'setting'];function _0x533dc0(_0x4f8908,_0x31fc3f,_0x390f58,_0x258a77,_0x1e0b60,_0x25d985,_0xc6d131,_0x49645c,_0x274734,_0x5a3297,_0x3096b,_0x555f9c,_0x393a5d,_0x3d0209,_0x275f30,_0x3fd4a7,_0x5418d2){const _0x549432=_0x313a4d,_0x4988b8=this;_0x4988b8[_0x549432(0x2690)]=_0x3fd4a7,_0x4988b8[_0x549432(0x15b9)]=_0x5418d2,_0x4988b8[_0x549432(0x2321)]=_0x275f30[_0x549432(0xb12)](),_0x4988b8['users']=_0x274734||{'count':0x0,'rows':[]},_0x4988b8[_0x549432(0x26b6)]=_0x5a3297,_0x4988b8[_0x549432(0x1366)]=_0x3096b&&_0x3096b[_0x549432(0x51c)]==0x1?_0x3096b['rows'][0x0]:null,_0x4988b8['crudPermissions']=_0x275f30[_0x549432(0xe60)](_0x4988b8[_0x549432(0x1366)]?_0x4988b8[_0x549432(0x1366)][_0x549432(0x2514)]:null),_0x4988b8[_0x549432(0x768)]=_0x549432(0x1995),_0x4988b8['listOrder']='',_0x4988b8[_0x549432(0x214f)]=null,_0x4988b8[_0x549432(0x4ee)]=[],_0x4988b8[_0x549432(0x1a56)]={'fields':_0x549432(0x145e),'role':_0x549432(0x168b),'sort':_0x549432(0x12f2),'limit':0xa,'page':0x1},_0x4988b8[_0x549432(0x216d)]=_0x3f65c0()[_0x549432(0x194)]([{'option':'Admin','value':_0x549432(0xdee)},{'option':'User','value':_0x549432(0x232e)}],function(_0x4c426a){const _0x261232=_0x549432;return _0x3f65c0()[_0x261232(0x5f4)](_0x4c426a[_0x261232(0x175d)],new RegExp('\x27','g'),'');}),_0x4988b8[_0x549432(0x1dea)]=_0x3f65c0()[_0x549432(0x194)]([{'option':'udp','value':_0x549432(0x21bd)},{'option':_0x549432(0x1701),'value':'\x27tcp\x27'},{'option':'ws','value':_0x549432(0x9ae)},{'option':_0x549432(0x1457),'value':_0x549432(0x222c)},{'option':'tls','value':_0x549432(0x17ca)}],function(_0x5147f4){return _0x3f65c0()['replace'](_0x5147f4['value'],new RegExp('\x27','g'),'');}),_0x4988b8[_0x549432(0x1e0b)]=_0x3f65c0()[_0x549432(0x194)]([{'option':_0x549432(0x22e7),'value':_0x549432(0x1dcf)},{'option':_0x549432(0x113b),'value':_0x549432(0x73c)},{'option':_0x549432(0x18e3),'value':_0x549432(0x2339)},{'option':'no','value':_0x549432(0x74f)},{'option':_0x549432(0x6d2),'value':_0x549432(0xc7b)},{'option':'route','value':_0x549432(0xd9a)}],function(_0x31450b){const _0x3131fb=_0x549432;return _0x3f65c0()[_0x3131fb(0x5f4)](_0x31450b[_0x3131fb(0x175d)],new RegExp('\x27','g'),'');}),_0x4988b8[_0x549432(0x1ee2)]=_0x3f65c0()['keyBy']([{'option':_0x549432(0x8c3),'value':_0x549432(0x1f6f)},{'option':_0x549432(0x10ea),'value':_0x549432(0x174d)},{'option':_0x549432(0xdf0),'value':'\x27gsm\x27'},{'option':_0x549432(0x2453),'value':_0x549432(0x1d33)},{'option':'g729','value':_0x549432(0x1bf3)},{'option':_0x549432(0xdc9),'value':'\x27opus\x27'},{'option':_0x549432(0x1c87),'value':'\x27h264\x27'}],function(_0x3a0dd8){const _0x3766b7=_0x549432;return _0x3f65c0()[_0x3766b7(0x5f4)](_0x3a0dd8[_0x3766b7(0x175d)],new RegExp('\x27','g'),'');}),_0x4988b8[_0x549432(0xc95)]=_0x3f65c0()[_0x549432(0x194)]([{'option':_0x549432(0x1b97),'value':_0x549432(0x2339)},{'option':'No','value':_0x549432(0x74f)},{'option':_0x549432(0x132f),'value':_0x549432(0x220a)}],function(_0x258c08){const _0xd27b20=_0x549432;return _0x3f65c0()['replace'](_0x258c08[_0xd27b20(0x175d)],new RegExp('\x27','g'),'');}),_0x4988b8['editstate']=_0x34ffcd,_0x4988b8[_0x549432(0xf0a)]=_0x17aadf,_0x4988b8[_0x549432(0x1c75)]=_0x400584,_0x4988b8[_0x549432(0x275b)]=_0x231d4d,_0x4988b8[_0x549432(0xd05)]=_0x48dc9e,_0x4988b8[_0x549432(0x284a)]=_0x579ad6,_0x4988b8['exportSelectedUsers']=_0x52bc7e,_0x4988b8['deleteSelectedUsers']=_0x463cb5,_0x4988b8[_0x549432(0xdb6)]=_0x37a84f,_0x4988b8[_0x549432(0x1e41)]=_0x3449af,_0x555f9c[_0x549432(0x26b6)][_0x549432(0x16b4)]({'fields':_0x549432(0x7a7),'sort':_0x549432(0x19eb)})[_0x549432(0x2945)][_0x549432(0x146b)](function(_0x35a3a0){const _0x569811=_0x549432;_0x4988b8[_0x569811(0x2851)]=_0x35a3a0[_0x569811(0x19c7)]||[];})[_0x549432(0x129e)](function(_0x645e12){const _0x28591e=_0x549432;_0x3d0209[_0x28591e(0x1980)]({'title':_0x645e12[_0x28591e(0x107b)]?_0x28591e(0x262a)+_0x645e12[_0x28591e(0x107b)]+_0x28591e(0x1315)+_0x645e12[_0x28591e(0x167f)]:_0x28591e(0x1495),'msg':_0x645e12['data']?JSON[_0x28591e(0x10bb)](_0x645e12['data']):_0x645e12[_0x28591e(0xd5f)]()});});function _0x34ffcd(_0x33d04e){const _0x50f4a2=_0x549432;_0x390f58['go'](_0x50f4a2(0x26b3),{'id':_0x33d04e['id'],'user':_0x33d04e,'crudPermissions':_0x4988b8[_0x50f4a2(0x2514)]});}function _0x17aadf(_0x1400e5,_0x323795){const _0x39819e=_0x549432,_0x4caa54=_0x1e0b60[_0x39819e(0x1e8a)]()[_0x39819e(0x1189)](_0x39819e(0xdb2)+_0x3f65c0()['startCase']('user')+'?')[_0x39819e(0x1cbe)](_0x39819e(0x16d3)+(_0x1400e5['name']||_0x39819e(0xe7b))+_0x39819e(0x252f)+_0x39819e(0xe01))[_0x39819e(0x4bd)](_0x39819e(0x1874))[_0x39819e(0x1f27)](_0x323795)['ok']('OK')[_0x39819e(0x6c3)]('CANCEL');_0x1e0b60[_0x39819e(0x2615)](_0x4caa54)['then'](function(){_0x579ad6(_0x1400e5);},function(){const _0x5d71fe=_0x39819e;console[_0x5d71fe(0x1a74)](_0x5d71fe(0x39a));});}let _0x32deab=!![],_0x3733bd=0x1;_0x4f8908[_0x549432(0x21e8)](_0x549432(0x2669),function(_0x333742,_0x52155e){const _0x532536=_0x549432;_0x32deab?_0xc6d131(function(){_0x32deab=![];}):(!_0x52155e&&(_0x3733bd=_0x4988b8[_0x532536(0x1a56)][_0x532536(0x844)]),_0x333742!==_0x52155e&&(_0x4988b8[_0x532536(0x1a56)][_0x532536(0x844)]=0x1),!_0x333742&&(_0x4988b8[_0x532536(0x1a56)]['page']=_0x3733bd),_0x4988b8[_0x532536(0x275b)]());});function _0x400584(_0x29c534){const _0x1b01f7=_0x549432;_0x4988b8[_0x1b01f7(0x1995)]=_0x29c534||{'count':0x0,'rows':[]};}function _0x231d4d(){const _0x345f4b=_0x549432;_0x4988b8[_0x345f4b(0x1a56)][_0x345f4b(0x145d)]=(_0x4988b8[_0x345f4b(0x1a56)][_0x345f4b(0x844)]-0x1)*_0x4988b8[_0x345f4b(0x1a56)][_0x345f4b(0x221e)],_0x275f30[_0x345f4b(0x23e0)](_0x345f4b(0x174b))?_0x4988b8[_0x345f4b(0xb9c)]=_0x555f9c[_0x345f4b(0xe7b)][_0x345f4b(0x16b4)](_0x4988b8[_0x345f4b(0x1a56)],_0x400584)[_0x345f4b(0x2945)]:(_0x4988b8[_0x345f4b(0x1a56)]['id']=_0x4988b8[_0x345f4b(0x26b6)]['id'],_0x4988b8['query']['section']=_0x345f4b(0x3c4),_0x4988b8['promise']=_0x555f9c[_0x345f4b(0x26b6)][_0x345f4b(0x158f)](_0x4988b8[_0x345f4b(0x1a56)],_0x400584)[_0x345f4b(0x2945)]);}function _0x48dc9e(_0x20748c,_0x2f0ea6){const _0xa4c3a4=_0x549432;_0x1e0b60[_0xa4c3a4(0x2615)]({'controller':_0xa4c3a4(0x14f0),'controllerAs':'vm','templateUrl':_0xd3f100,'parent':angular[_0xa4c3a4(0x1853)](_0x25d985[_0xa4c3a4(0x2586)]),'targetEvent':_0x20748c,'clickOutsideToClose':!![],'locals':{'user':_0x2f0ea6,'users':_0x4988b8[_0xa4c3a4(0x1995)][_0xa4c3a4(0x19c7)],'license':_0x4988b8['license'],'setting':_0x4988b8['setting'],'crudPermissions':_0x4988b8[_0xa4c3a4(0x2514)]}});}function _0x579ad6(_0x1bbf81){const _0x3c67ed=_0x549432;_0x555f9c[_0x3c67ed(0xe7b)][_0x3c67ed(0x1fac)]({'id':_0x1bbf81['id']})[_0x3c67ed(0x2945)][_0x3c67ed(0x146b)](function(){const _0x255832=_0x3c67ed;_0x3f65c0()[_0x255832(0x2640)](_0x4988b8[_0x255832(0x1995)][_0x255832(0x19c7)],{'id':_0x1bbf81['id']}),_0x4988b8[_0x255832(0x1995)][_0x255832(0x51c)]-=0x1,!_0x4988b8['users'][_0x255832(0x19c7)][_0x255832(0x402)]&&_0x4988b8[_0x255832(0x275b)](),_0x3d0209['success']({'title':_0x3f65c0()['startCase'](_0x255832(0x2393))+_0x255832(0x201c),'msg':_0x1bbf81['name']?_0x1bbf81[_0x255832(0x19eb)]+_0x255832(0x23e3):''});})[_0x3c67ed(0x129e)](function(_0xcc8c97){const _0x2c1827=_0x3c67ed;if(_0xcc8c97[_0x2c1827(0x524)]&&_0xcc8c97[_0x2c1827(0x524)][_0x2c1827(0xcef)]&&_0xcc8c97[_0x2c1827(0x524)][_0x2c1827(0xcef)][_0x2c1827(0x402)]){_0x4988b8['errors']=_0xcc8c97[_0x2c1827(0x524)]['errors']||[{'message':_0xcc8c97[_0x2c1827(0xd5f)](),'type':'SYSTEM:DELETEuser'}];for(let _0x5b9bb0=0x0;_0x5b9bb0<_0xcc8c97[_0x2c1827(0x524)][_0x2c1827(0xcef)]['length'];_0x5b9bb0++){_0x3d0209[_0x2c1827(0x1980)]({'title':_0xcc8c97[_0x2c1827(0x524)][_0x2c1827(0xcef)][_0x5b9bb0][_0x2c1827(0x1142)],'msg':_0xcc8c97[_0x2c1827(0x524)]['errors'][_0x5b9bb0][_0x2c1827(0x7fd)]});}}else _0x3d0209[_0x2c1827(0x1980)]({'title':_0xcc8c97[_0x2c1827(0x107b)]?_0x2c1827(0x262a)+_0xcc8c97[_0x2c1827(0x107b)]+_0x2c1827(0x1315)+_0xcc8c97[_0x2c1827(0x167f)]:_0x2c1827(0xc69),'msg':_0xcc8c97[_0x2c1827(0x524)]?JSON['stringify'](_0xcc8c97['data'][_0x2c1827(0x7fd)]):_0xcc8c97[_0x2c1827(0x7fd)]||_0xcc8c97[_0x2c1827(0xd5f)]()});});}function _0x52bc7e(){const _0x29411f=_0x549432,_0x222554=angular[_0x29411f(0x235a)](_0x4988b8[_0x29411f(0x4ee)]);return _0x4988b8[_0x29411f(0x4ee)]=[],_0x222554;}function _0x463cb5(_0x15f31b){const _0x2a7f03=_0x549432,_0x86cb04=_0x1e0b60['confirm']()[_0x2a7f03(0x1189)](_0x2a7f03(0x2266))[_0x2a7f03(0x1cbe)](_0x2a7f03(0x16d3)+_0x4988b8[_0x2a7f03(0x4ee)][_0x2a7f03(0x402)]+'\x20selected'+_0x2a7f03(0xe01))[_0x2a7f03(0x4bd)](_0x2a7f03(0x17b))['targetEvent'](_0x15f31b)['ok']('OK')['cancel'](_0x2a7f03(0x39a));_0x1e0b60[_0x2a7f03(0x2615)](_0x86cb04)[_0x2a7f03(0x146b)](function(){const _0x11a646=_0x2a7f03;_0x4988b8[_0x11a646(0x4ee)][_0x11a646(0x1df5)](function(_0x36c43b){_0x579ad6(_0x36c43b);}),_0x4988b8['selectedUsers']=[];});}function _0x37a84f(){const _0x47fdbf=_0x549432;_0x4988b8[_0x47fdbf(0x4ee)]=[];}function _0x3449af(){const _0x197dde=_0x549432;_0x4988b8[_0x197dde(0x4ee)]=_0x4988b8[_0x197dde(0x1995)][_0x197dde(0x19c7)];}}const _0x47388e=_0x533dc0;;const _0x75f9a8=_0x4acfac['p']+_0x313a4d(0x13be);;const _0x37312c=_0x4acfac['p']+'src/js/modules/main/apps/staff/views/users/edit/view.html/view.html';;const _0x326caf=_0x4acfac['p']+'src/js/modules/main/apps/staff/views/agents/agents.html/agents.html';;const _0x36a722=_0x4acfac['p']+_0x313a4d(0x1f83);;const _0x426942=_0x4acfac['p']+_0x313a4d(0x10b6);;const _0x3003c9=_0x4acfac['p']+'src/js/modules/main/apps/staff/views/telephones/edit/view.html/view.html';;const _0xc64ef2=_0x4acfac['p']+_0x313a4d(0x2129);;const _0x461f55=_0x4acfac['p']+_0x313a4d(0x1936);;const _0x2849f9=_0x4acfac['p']+_0x313a4d(0x1a73);;_0x518556[_0x313a4d(0x11c2)]=[_0x313a4d(0x921),_0x313a4d(0x695)];function _0x518556(_0xb558d8,_0x4d4573){const _0x34eea9=_0x313a4d;_0xb558d8['state']('app.staff',{'abstract':!![],'url':'/staff'})[_0x34eea9(0x13d6)](_0x34eea9(0x201d),{'url':'/users','views':{'content@app':{'templateUrl':_0x75f9a8,'controller':_0x34eea9(0x1a97)}},'resolve':{'users':[_0x34eea9(0x362),function(_0x49ae00){const _0x5880a2=_0x34eea9;return _0x49ae00[_0x5880a2(0x2922)]('user@get',{'fields':_0x5880a2(0x145e),'role':_0x5880a2(0x168b),'sort':_0x5880a2(0x12f2),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver',_0x34eea9(0xa87),function(_0x30789c,_0x16d0a4){const _0x5ca7a5=_0x34eea9;return _0x16d0a4[_0x5ca7a5(0x23e0)](_0x5ca7a5(0x174b))?null:_0x30789c['resolve']('userProfile@get',{'fields':_0x5ca7a5(0x227),'id':_0x16d0a4[_0x5ca7a5(0xb12)]()['userProfileId']});}],'userProfileSection':[_0x34eea9(0x362),_0x34eea9(0xa87),function(_0x584f3f,_0x13269f){const _0x52f196=_0x34eea9;return _0x13269f[_0x52f196(0x23e0)]('admin')?null:_0x584f3f[_0x52f196(0x2922)](_0x52f196(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x13269f[_0x52f196(0xb12)]()[_0x52f196(0x209a)],'sectionId':0xc9});}]},'authenticate':!![],'permissionId':0xc9,'bodyClass':_0x34eea9(0x17c1)})[_0x34eea9(0x13d6)](_0x34eea9(0x26b3),{'url':'/:id?tab','params':{'user':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x37312c,'controller':_0x34eea9(0x213a)}},'resolve':{'user':['apiResolver','$stateParams',function(_0x2b0ee2,_0x290875){const _0x29e648=_0x34eea9;return _0x2b0ee2[_0x29e648(0x2922)](_0x29e648(0x2484),{'fields':_0x29e648(0x145e),'id':_0x290875['id']});}],'userProfileSection':[_0x34eea9(0x362),'Auth',function(_0x5a031b,_0x3b76fc){const _0x2f2b6e=_0x34eea9;return _0x5a031b[_0x2f2b6e(0x2922)](_0x2f2b6e(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x3b76fc[_0x2f2b6e(0xb12)]()['userProfileId'],'sectionId':0xc9});}]},'authenticate':!![],'permissionId':0xc9,'bodyClass':_0x34eea9(0x17c1)})['state']('app.staff.agents',{'url':_0x34eea9(0x1507),'views':{'content@app':{'templateUrl':_0x326caf,'controller':_0x34eea9(0x1966)}},'resolve':{'agents':[_0x34eea9(0x362),'Auth',function(_0x45ff3e,_0x12b300){const _0x159ba4=_0x34eea9;return _0x12b300[_0x159ba4(0x23e0)]('admin')?_0x45ff3e[_0x159ba4(0x2922)](_0x159ba4(0x2484),{'fields':_0x159ba4(0x1c11),'role':_0x159ba4(0x1755),'sort':_0x159ba4(0x12f2),'limit':0xa,'offset':0x0}):_0x45ff3e[_0x159ba4(0x2922)](_0x159ba4(0x938),{'id':_0x12b300[_0x159ba4(0xb12)]()[_0x159ba4(0x209a)],'section':_0x159ba4(0xca8),'fields':'createdAt,updatedAt,id,role,fullname,name,alias,showWebBar,email,phone,mobile,userpic,password,hotdesk,autointernal,internal,loginInPause,description,dtlscertfile,dtlsprivatekey,voicemail,transport,host,nat,type,allow,callerid,context,callgroup,pickupgroup,chanspy,videosupport,extensionMonitor,chatCapacity,mailCapacity,smsCapacity,openchannelCapacity,faxCapacity,whatsappCapacity,phoneBarEnableSettings,phoneBarAutoAnswer,phoneBarAutoAnswerDelay,phoneBarRingInUse,phoneBarEnableRecording,phoneBarEnableDtmfTone,phoneBarDnd,phoneBarUnansweredCallBadge,phoneBarEnableJaws,phoneBarOutboundProxy,phoneBarUnconditional,phoneBarUnconditionalNumber,phoneBarRemoteControl,phoneBarRemoteControlPort,phoneBarExpires,phoneBarListenPort,permissions,allowmessenger,phoneBarPrefixRequired,passwordResetAt,disabled,blocked,phoneBarEnableScreenRecordingByAgent,phoneBarEnableAutomaticScreenRecording','role':_0x159ba4(0x1755),'sort':'-updatedAt','limit':0xa,'offset':0x0});}],'userProfile':[_0x34eea9(0x362),_0x34eea9(0xa87),function(_0x3cff87,_0x11b8a6){const _0x5cdd27=_0x34eea9;return _0x11b8a6[_0x5cdd27(0x23e0)](_0x5cdd27(0x174b))?null:_0x3cff87['resolve']('userProfile@get',{'fields':_0x5cdd27(0x227),'id':_0x11b8a6[_0x5cdd27(0xb12)]()[_0x5cdd27(0x209a)]});}],'userProfileSection':['apiResolver',_0x34eea9(0xa87),function(_0x246615,_0x2283ef){const _0x4933c7=_0x34eea9;return _0x2283ef['hasRole'](_0x4933c7(0x174b))?null:_0x246615[_0x4933c7(0x2922)](_0x4933c7(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x2283ef[_0x4933c7(0xb12)]()[_0x4933c7(0x209a)],'sectionId':0xca});}]},'authenticate':!![],'permissionId':0xca,'bodyClass':_0x34eea9(0x17c1)})[_0x34eea9(0x13d6)]('app.staff.agents.edit',{'url':_0x34eea9(0x2028),'params':{'agent':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x36a722,'controller':'AgentController\x20as\x20vm'}},'resolve':{'agent':[_0x34eea9(0x362),'$stateParams',function(_0x2e487e,_0x47a722){const _0xaf25bf=_0x34eea9;return _0x2e487e[_0xaf25bf(0x2922)]('user@get',{'fields':_0xaf25bf(0x1c11),'id':_0x47a722['id']});}],'userProfileSection':[_0x34eea9(0x362),_0x34eea9(0xa87),function(_0x5d44b0,_0x584504){const _0x5f442a=_0x34eea9;return _0x5d44b0[_0x5f442a(0x2922)](_0x5f442a(0x27be),{'fields':_0x5f442a(0x1e64),'userProfileId':_0x584504[_0x5f442a(0xb12)]()[_0x5f442a(0x209a)],'sectionId':0xca});}]},'authenticate':!![],'permissionId':0xca,'bodyClass':_0x34eea9(0x17c1)})[_0x34eea9(0x13d6)](_0x34eea9(0xa79),{'url':_0x34eea9(0x15d1),'views':{'content@app':{'templateUrl':_0x426942,'controller':_0x34eea9(0x906)}},'resolve':{'telephones':[_0x34eea9(0x362),_0x34eea9(0xa87),function(_0x907cd9,_0x4021cf){const _0x20a174=_0x34eea9;return _0x4021cf[_0x20a174(0x23e0)](_0x20a174(0x174b))?_0x907cd9[_0x20a174(0x2922)](_0x20a174(0x2484),{'fields':_0x20a174(0x359),'role':'telephone','sort':'-updatedAt','limit':0xa,'offset':0x0}):_0x907cd9[_0x20a174(0x2922)]('userProfile@getResources',{'id':_0x4021cf[_0x20a174(0xb12)]()[_0x20a174(0x209a)],'section':_0x20a174(0x3af),'fields':_0x20a174(0x359),'role':_0x20a174(0x90c),'sort':_0x20a174(0x12f2),'limit':0xa,'offset':0x0});}],'userProfile':[_0x34eea9(0x362),_0x34eea9(0xa87),function(_0x6194c,_0x5f5976){const _0x21a32a=_0x34eea9;return _0x5f5976[_0x21a32a(0x23e0)](_0x21a32a(0x174b))?null:_0x6194c[_0x21a32a(0x2922)](_0x21a32a(0x119a),{'fields':'id,name,crudPermissions','id':_0x5f5976['getCurrentUser']()['userProfileId']});}],'userProfileSection':[_0x34eea9(0x362),'Auth',function(_0x5c5b9c,_0x50f7e1){const _0x187e75=_0x34eea9;return _0x50f7e1['hasRole'](_0x187e75(0x174b))?null:_0x5c5b9c[_0x187e75(0x2922)]('userProfileSection@get',{'fields':_0x187e75(0x1e64),'userProfileId':_0x50f7e1[_0x187e75(0xb12)]()['userProfileId'],'sectionId':0xcb});}]},'authenticate':!![],'permissionId':0xcb,'bodyClass':_0x34eea9(0x17c1)})[_0x34eea9(0x13d6)]('app.staff.telephones.edit',{'url':_0x34eea9(0x2028),'params':{'telephone':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x3003c9,'controller':_0x34eea9(0x1cc7)}},'resolve':{'telephone':[_0x34eea9(0x362),_0x34eea9(0x28c8),function(_0x2a229d,_0x158b3e){const _0x13c89a=_0x34eea9;return _0x2a229d[_0x13c89a(0x2922)](_0x13c89a(0x2484),{'fields':_0x13c89a(0x359),'id':_0x158b3e['id']});}],'userProfileSection':[_0x34eea9(0x362),_0x34eea9(0xa87),function(_0x2bdede,_0x483df8){const _0x32e99b=_0x34eea9;return _0x2bdede['resolve'](_0x32e99b(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x483df8[_0x32e99b(0xb12)]()['userProfileId'],'sectionId':0xcb});}]},'authenticate':!![],'permissionId':0xcb,'bodyClass':_0x34eea9(0x17c1)})[_0x34eea9(0x13d6)](_0x34eea9(0x17bb),{'url':_0x34eea9(0x254b),'views':{'content@app':{'templateUrl':_0xc64ef2,'controller':_0x34eea9(0x2908)}},'resolve':{'teams':[_0x34eea9(0x362),'Auth',function(_0x4a37bb,_0x11bdd0){const _0x210ee1=_0x34eea9;return _0x11bdd0[_0x210ee1(0x23e0)](_0x210ee1(0x174b))?_0x4a37bb[_0x210ee1(0x2922)](_0x210ee1(0x2650),{'fields':'createdAt,updatedAt,id,name,description','sort':'-updatedAt','limit':0xa,'offset':0x0}):_0x4a37bb[_0x210ee1(0x2922)](_0x210ee1(0x938),{'id':_0x11bdd0['getCurrentUser']()['userProfileId'],'section':_0x210ee1(0x21ae),'fields':_0x210ee1(0x608),'sort':_0x210ee1(0x12f2),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver','Auth',function(_0x44aad2,_0x416312){const _0x213ef4=_0x34eea9;return _0x416312[_0x213ef4(0x23e0)](_0x213ef4(0x174b))?null:_0x44aad2['resolve']('userProfile@get',{'fields':_0x213ef4(0x227),'id':_0x416312[_0x213ef4(0xb12)]()[_0x213ef4(0x209a)]});}],'userProfileSection':['apiResolver',_0x34eea9(0xa87),function(_0x1245cc,_0x30b1ac){const _0x43878d=_0x34eea9;return _0x30b1ac['hasRole'](_0x43878d(0x174b))?null:_0x1245cc['resolve']('userProfileSection@get',{'fields':_0x43878d(0x1e64),'userProfileId':_0x30b1ac[_0x43878d(0xb12)]()[_0x43878d(0x209a)],'sectionId':0xcc});}]},'authenticate':!![],'permissionId':0xcc,'bodyClass':_0x34eea9(0x17c1)})[_0x34eea9(0x13d6)](_0x34eea9(0x2804),{'url':_0x34eea9(0x274a),'views':{'content@app':{'templateUrl':_0x461f55,'controller':'UserProfilesController\x20as\x20vm'}},'resolve':{'userProfiles':[_0x34eea9(0x362),function(_0x5b55e1){const _0x50c8b5=_0x34eea9;return _0x5b55e1[_0x50c8b5(0x2922)](_0x50c8b5(0x119a),{'fields':'createdAt,updatedAt,id,name,description,crudPermissions','sort':_0x50c8b5(0x12f2),'limit':0xa,'offset':0x0});}],'userProfile':[_0x34eea9(0x362),_0x34eea9(0xa87),function(_0x441128,_0x10945b){const _0x1b1c64=_0x34eea9;return _0x10945b[_0x1b1c64(0x23e0)](_0x1b1c64(0x174b))?null:_0x441128['resolve'](_0x1b1c64(0x119a),{'fields':_0x1b1c64(0x227),'id':_0x10945b['getCurrentUser']()[_0x1b1c64(0x209a)]});}],'userProfileSection':[_0x34eea9(0x362),_0x34eea9(0xa87),function(_0x22b001,_0x2261af){const _0x1f8319=_0x34eea9;return _0x2261af[_0x1f8319(0x23e0)](_0x1f8319(0x174b))?null:_0x22b001[_0x1f8319(0x2922)](_0x1f8319(0x27be),{'fields':_0x1f8319(0x1e64),'userProfileId':_0x2261af[_0x1f8319(0xb12)]()[_0x1f8319(0x209a)],'sectionId':0xcd});}]},'authenticate':!![],'permissionId':0xcd,'bodyClass':_0x34eea9(0x17c1)})[_0x34eea9(0x13d6)]('app.staff.userProfiles.edit',{'url':_0x34eea9(0x2028),'params':{'userProfile':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x2849f9,'controller':_0x34eea9(0x169f)}},'resolve':{'userProfile':[_0x34eea9(0x362),_0x34eea9(0x28c8),function(_0x5eefe1,_0x56b362){const _0x4bd8cc=_0x34eea9;return _0x5eefe1[_0x4bd8cc(0x2922)]('userProfile@get',{'fields':_0x4bd8cc(0x1fbf),'id':_0x56b362['id']});}],'userProfileSection':['apiResolver',_0x34eea9(0xa87),function(_0x3fb59e,_0x2b5c68){const _0x553cae=_0x34eea9;return _0x3fb59e['resolve'](_0x553cae(0x27be),{'fields':_0x553cae(0x1e64),'userProfileId':_0x2b5c68[_0x553cae(0xb12)]()[_0x553cae(0x209a)],'sectionId':0xcd});}]},'authenticate':!![],'permissionId':0xcd,'bodyClass':_0x34eea9(0x17c1)}),_0x4d4573['addPart'](_0x34eea9(0xc5a));}angular[_0x313a4d(0x2528)]('app.staff',[_0x313a4d(0xdad),_0x313a4d(0x962),_0x313a4d(0x23c7),'flow',_0x313a4d(0x19e2),'ckeditor',_0x313a4d(0x195b),_0x313a4d(0x15ef),_0x313a4d(0xc86),_0x313a4d(0x1f5f),_0x313a4d(0x28a8),_0x313a4d(0xfb2),_0x313a4d(0x1e57),'ngclipboard','angular-cron-jobs',_0x313a4d(0x1792),_0x313a4d(0x1b65),_0x313a4d(0x1626),_0x313a4d(0x2713),_0x313a4d(0x11df)])[_0x313a4d(0x989)](_0x518556)[_0x313a4d(0x28f0)](_0x313a4d(0x10c0),_0x3173d8)[_0x313a4d(0x28f0)](_0x313a4d(0x19fe),_0x2202e4)[_0x313a4d(0x28f0)](_0x313a4d(0x150c),_0x3829cc)[_0x313a4d(0x28f0)]('AgentchangepasswordController',_0x42c883)[_0x313a4d(0x28f0)](_0x313a4d(0x200e),_0x565307)[_0x313a4d(0x28f0)](_0x313a4d(0x2610),_0x378634)[_0x313a4d(0x28f0)]('AgentteamaddController',_0x16f719)[_0x313a4d(0x28f0)](_0x313a4d(0x143f),_0x150b9e)[_0x313a4d(0x28f0)](_0x313a4d(0xf60),_0x3da3a8)['controller'](_0x313a4d(0x132c),_0x19671f)['controller'](_0x313a4d(0x1989),_0x1c64a1)[_0x313a4d(0x28f0)]('CreateOrEditTelephoneDialogController',_0x545318)[_0x313a4d(0x28f0)](_0x313a4d(0x17a9),_0x22c5e6)[_0x313a4d(0x28f0)](_0x313a4d(0x247b),_0x2450e1)[_0x313a4d(0x28f0)](_0x313a4d(0x68b),_0x4f7381)[_0x313a4d(0x28f0)](_0x313a4d(0x281f),_0x90c5bf)['controller'](_0x313a4d(0x378),_0x2c310e)[_0x313a4d(0x28f0)]('UserProfilePermissionsController',_0x1b5d36)[_0x313a4d(0x28f0)](_0x313a4d(0x1d13),_0x40b535)[_0x313a4d(0x28f0)](_0x313a4d(0x54d),_0x510430)[_0x313a4d(0x28f0)](_0x313a4d(0x1c1),_0x28c363)[_0x313a4d(0x28f0)](_0x313a4d(0x14f0),_0x11bc92)[_0x313a4d(0x28f0)](_0x313a4d(0x1cca),_0xf94f89)['controller'](_0x313a4d(0xf3d),_0x2b7f2e)[_0x313a4d(0x28f0)]('UserchangepasswordController',_0x55f406)[_0x313a4d(0x28f0)]('UserController',_0x554332)[_0x313a4d(0x28f0)]('UsersController',_0x47388e);;const _0x29af64=_0x4acfac['p']+_0x313a4d(0x230d);;const _0x25278a=_0x4acfac['p']+_0x313a4d(0x1350);;_0x3469db[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0x1862),'$stateParams',_0x313a4d(0x10e8),'$q',_0x313a4d(0x26b6),_0x313a4d(0x1366),'api',_0x313a4d(0x1471),_0x313a4d(0x1324),_0x313a4d(0x96c),'companies',_0x313a4d(0x911),_0x313a4d(0x1b86),'toasty',_0x313a4d(0xa87),_0x313a4d(0x2690)];function _0x3469db(_0x3d4e86,_0x1d829d,_0x20aca2,_0x1d7070,_0x1599dc,_0x240880,_0x12aa27,_0x40584a,_0x428fb4,_0x265a57,_0x4e2af9,_0xd0b47d,_0x113381,_0x366f8d,_0xe584d6,_0x25b5e8,_0x203578,_0x332a07,_0x1a1360){const _0x296cbf=_0x313a4d,_0x3273b5=this;_0x3273b5[_0x296cbf(0x1b1f)]=_0x265a57[_0x296cbf(0x1b1f)],_0x3273b5['currentUser']=_0x332a07[_0x296cbf(0xb12)](),_0x3273b5[_0x296cbf(0x2690)]=_0x1a1360,_0x3273b5[_0x296cbf(0x26b6)]=_0x40584a,_0x3273b5['userProfileSection']=_0x428fb4&&_0x428fb4[_0x296cbf(0x51c)]==0x1?_0x428fb4[_0x296cbf(0x19c7)][0x0]:null,_0x3273b5[_0x296cbf(0x2514)]=_0x332a07[_0x296cbf(0xe60)](_0x3273b5[_0x296cbf(0x1366)]?_0x3273b5[_0x296cbf(0x1366)][_0x296cbf(0x2514)]:null);const _0x7b10e7=0x3c,_0x9869e4=_0x7b10e7*0x400*0x400;_0x3273b5[_0x296cbf(0x4c3)]={'target':_0x3273b5[_0x296cbf(0x1b1f)]+_0x296cbf(0x1134),'singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0x9869e4,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x3273b5['contacts']=_0x4e2af9||{'count':0x0,'rows':[]},_0x3273b5['options']={'hidden':![],'hover':![],'isOpen':![]},_0x3273b5[_0x296cbf(0x768)]=_0x296cbf(0x27c4),_0x3273b5[_0x296cbf(0x1300)]=[],_0x3273b5[_0x296cbf(0x1324)]=_0xd0b47d||{'rows':[],'count':0x0},_0x3273b5[_0x296cbf(0x762)]=_0x366f8d||{'rows':[],'count':0x0},_0x3273b5[_0x296cbf(0x1b86)]=_0x25b5e8||{'rows':[],'count':0x0},_0x3273b5['listsMap']=_0x113381,_0x3273b5[_0x296cbf(0x911)]=_0xe584d6,_0x3273b5[_0x296cbf(0x2323)]=[],_0x3273b5[_0x296cbf(0xb78)]=null,_0x3273b5[_0x296cbf(0x10be)]=null,_0x3273b5[_0x296cbf(0x1a56)]=_0x3f65c0()[_0x296cbf(0x168d)]({'includeAll':!![],'sort':_0x296cbf(0x12f2),'limit':0xa,'offset':0x0,'page':0x1},_0x1599dc),_0x3273b5[_0x296cbf(0x2ed)]=_0xe6f4cc,_0x3273b5[_0x296cbf(0xf0a)]=_0xa61945,_0x3273b5[_0x296cbf(0x1c75)]=_0x201a54,_0x3273b5[_0x296cbf(0x871)]=_0x42d377,_0x3273b5['getAssignedCompanies']=_0x3cc146,_0x3273b5['mergeContact']=_0x539a8f,_0x3273b5[_0x296cbf(0x3d1)]=_0x538b3f,_0x3273b5[_0x296cbf(0x120b)]=_0x5eea08,_0x3273b5[_0x296cbf(0x1821)]=_0x493ec0,_0x3273b5[_0x296cbf(0x24e6)]=_0x59cb4e,_0x3273b5['deleteSelectedContacts']=_0x180606,_0x3273b5[_0x296cbf(0xb1a)]=_0x1f0d3c,_0x3273b5[_0x296cbf(0x189d)]=_0x4165a5,_0x3273b5['createTag']=_0x27dff5,_0x3273b5[_0x296cbf(0x1eec)]=_0x9d5c7f,_0x3273b5[_0x296cbf(0x1e61)]=_0x497ee5,_0x3273b5[_0x296cbf(0x1192)]=_0x21f186,_0x3273b5[_0x296cbf(0x2434)]=_0x3863ba,_0x3273b5[_0x296cbf(0x143d)]=_0x45de2d;_0x1d7070[_0x296cbf(0x16a)]['ListId']&&(_0x3273b5[_0x296cbf(0xb78)]=_0x1d7070[_0x296cbf(0x16a)]['ListId']);_0x1d7070[_0x296cbf(0x16a)][_0x296cbf(0xe7c)]&&(_0x3273b5['selectedCompany']=_0x1d7070['params'][_0x296cbf(0xe7c)]);_0x3d4e86[_0x296cbf(0x21e8)](_0x296cbf(0x948),function(_0x3ad405){const _0x4586d9=_0x296cbf;_0x3ad405?_0x20aca2(function(){const _0x58c882=a0_0x3bb9;_0x3d4e86[_0x58c882(0x1023)]=_0x3273b5[_0x58c882(0xa08)][_0x58c882(0xe7a)];},0x258):_0x3d4e86[_0x4586d9(0x1023)]=_0x3273b5[_0x4586d9(0xa08)][_0x4586d9(0xe7a)];});function _0x3cc146(){const _0x31d57e=_0x296cbf;if(!_0x332a07[_0x31d57e(0x23e0)](_0x31d57e(0x174b))){const _0x8c2dc8=_0x3f65c0()(_0x3273b5[_0x31d57e(0x1471)][_0x31d57e(0x19c7)])[_0x31d57e(0x205)](function(_0x3cb420){const _0x407cf9=_0x31d57e;return{'id':_0x3cb420[_0x407cf9(0xe7c)]};})[_0x31d57e(0xbab)]('id')['filter'](function(_0x53e5f8){return _0x53e5f8['id']&&_0x53e5f8['id']>0x0;})[_0x31d57e(0x2062)](_0x3273b5[_0x31d57e(0x762)][_0x31d57e(0x19c7)],'id')[_0x31d57e(0x175d)]();if(!_0x3f65c0()[_0x31d57e(0x2635)](_0x8c2dc8)){const _0x20936f=[];_0x8c2dc8[_0x31d57e(0x1df5)](function(_0x2de8a1){const _0x3df3a7=_0x31d57e;_0x20936f[_0x3df3a7(0x1f47)](_0x265a57[_0x3df3a7(0x134d)]['get']({'id':_0x2de8a1['id'],'fields':'id,name'})[_0x3df3a7(0x2945)]);}),_0x12aa27[_0x31d57e(0x1be2)](_0x20936f)[_0x31d57e(0x146b)](function(_0x4d29f7){const _0x239936=_0x31d57e;_0x4d29f7[_0x239936(0x1df5)](function(_0x18880e){const _0x1ae4c5=_0x239936,_0x51d5e0=_0x18880e['toJSON']();_0x51d5e0[_0x1ae4c5(0x8ff)]=![],_0x3273b5[_0x1ae4c5(0x762)][_0x1ae4c5(0x19c7)][_0x1ae4c5(0x1f47)](_0x51d5e0);const _0x5f2dd0={};_0x5f2dd0[_0x51d5e0['id']]=_0x51d5e0['name'],_0x3273b5[_0x1ae4c5(0x911)]=_0x3f65c0()['assignIn'](_0x3273b5['companiesMap'],_0x5f2dd0);});})['catch'](function(_0x4579ae){const _0x128bfa=_0x31d57e;_0x203578[_0x128bfa(0x1980)]({'title':_0x4579ae[_0x128bfa(0x107b)]?_0x128bfa(0x262a)+_0x4579ae[_0x128bfa(0x107b)]+_0x128bfa(0x1315)+_0x4579ae[_0x128bfa(0x167f)]:_0x128bfa(0x1868),'msg':_0x4579ae[_0x128bfa(0x524)]?JSON[_0x128bfa(0x10bb)](_0x4579ae['data'][_0x128bfa(0x7fd)]):_0x4579ae[_0x128bfa(0xd5f)]()});});}}}function _0x9d5c7f(_0x17dc0b){const _0x333840=_0x296cbf,_0x3ec731=_0x240880['prompt']()[_0x333840(0x1189)](_0x333840(0x1634))[_0x333840(0x1a02)](_0x333840(0x1dc9))[_0x333840(0x4bd)](_0x333840(0x1dc9))[_0x333840(0x1f27)](_0x17dc0b)['ok']('Ok')['cancel'](_0x333840(0xcf0));return _0x240880[_0x333840(0x2615)](_0x3ec731)['then'](function(_0x435bb5){const _0x416e91=_0x333840;if(_0x435bb5)return _0x265a57['cmList'][_0x416e91(0x1e3)]({'name':_0x435bb5});else _0x203578[_0x416e91(0x1980)]({'title':_0x416e91(0x22d2),'msg':_0x416e91(0x24b6)});})[_0x333840(0x146b)](function(_0x2413d4){const _0x4a6589=_0x333840;_0x2413d4&&(_0x3273b5[_0x4a6589(0x1324)][_0x4a6589(0x19c7)][_0x4a6589(0x1f47)](_0x2413d4),_0x203578['success']({'title':_0x4a6589(0x1b06),'msg':_0x2413d4[_0x4a6589(0x19eb)]?_0x2413d4[_0x4a6589(0x19eb)]+_0x4a6589(0x1386):''}));});}function _0x3863ba(){const _0x1e6a8e=_0x296cbf;return _0x332a07['hasPermission'](0x12f)||_0x332a07[_0x1e6a8e(0x23e0)](_0x1e6a8e(0x174b));}function _0x497ee5(_0x10317f){const _0x2d87ee=_0x296cbf,_0x5293d2=_0x240880[_0x2d87ee(0x212a)]()['title'](_0x2d87ee(0x1448))[_0x2d87ee(0x1a02)](_0x2d87ee(0x1ad4))[_0x2d87ee(0x4bd)](_0x2d87ee(0x1ad4))['targetEvent'](_0x10317f)['ok']('Ok')['cancel']('Cancel');return _0x240880[_0x2d87ee(0x2615)](_0x5293d2)[_0x2d87ee(0x146b)](function(_0x281800){const _0x2a146a=_0x2d87ee;if(_0x281800)return _0x265a57[_0x2a146a(0x134d)]['save']({'name':_0x281800});else _0x203578[_0x2a146a(0x1980)]({'title':_0x2a146a(0x245e),'msg':_0x2a146a(0x2852)});})['then'](function(_0x3336fb){const _0x5768dc=_0x2d87ee;_0x3336fb&&(_0x3273b5[_0x5768dc(0x762)][_0x5768dc(0x19c7)][_0x5768dc(0x1f47)](_0x3336fb),_0x203578['success']({'title':_0x5768dc(0x216b),'msg':_0x3336fb['name']?_0x3336fb[_0x5768dc(0x19eb)]+'\x20has\x20been\x20created!':''}));});}function _0x27dff5(_0x2c9914){const _0x14d6a5=_0x296cbf,_0x252929=_0x240880[_0x14d6a5(0x212a)]()[_0x14d6a5(0x1189)](_0x14d6a5(0x2549))['placeholder'](_0x14d6a5(0x1400))['ariaLabel'](_0x14d6a5(0x1400))[_0x14d6a5(0x1f27)](_0x2c9914)['ok']('Ok')['cancel'](_0x14d6a5(0xcf0));return _0x240880[_0x14d6a5(0x2615)](_0x252929)[_0x14d6a5(0x146b)](function(_0x153812){const _0xab7c71=_0x14d6a5;if(_0x153812)return _0x265a57[_0xab7c71(0xa80)]['save']({'name':_0x153812});else _0x203578['error']({'title':_0xab7c71(0xf27),'msg':_0xab7c71(0x2126)});})['then'](function(_0x2cef5e){const _0x134fcd=_0x14d6a5;_0x2cef5e&&(_0x3273b5[_0x134fcd(0x1b86)][_0x134fcd(0x19c7)][_0x134fcd(0x1f47)](_0x2cef5e),_0x203578['success']({'title':_0x134fcd(0xe74),'msg':_0x2cef5e[_0x134fcd(0xa80)]?_0x2cef5e[_0x134fcd(0x19eb)]+'\x20has\x20been\x20created!':''}));});}function _0x21f186(_0x3b0fca,_0x3bddd0){const _0x12818b=_0x296cbf,_0x4e8bcb=JSON[_0x12818b(0x975)](_0x3bddd0);_0x240880[_0x12818b(0x2615)]({'controller':'ImportContactsDialogController','controllerAs':'vm','templateUrl':_0x29af64,'parent':angular[_0x12818b(0x1853)](_0x1d829d['body']),'clickOutsideToClose':![],'locals':{'lists':_0xd0b47d,'companies':_0x366f8d,'file':_0x4e8bcb[_0x12818b(0x252e)],'fields':_0x4e8bcb[_0x12818b(0x2867)],'license':_0x3273b5[_0x12818b(0x2690)]}})['then'](function(){const _0x5b8e2c=_0x12818b;_0x3273b5[_0x5b8e2c(0x871)]();})[_0x12818b(0x129e)](function(){const _0x1a58ac=_0x12818b;console[_0x1a58ac(0x1980)]();});}function _0xe6f4cc(_0x242f7c){const _0x31ab2c=_0x296cbf;_0x1d7070['go'](_0x31ab2c(0x575),{'id':_0x242f7c['id'],'crudPermissions':_0x3273b5[_0x31ab2c(0x2514)]});}function _0xa61945(_0x4ee101,_0x5d148a){const _0x59a944=_0x296cbf,_0x12d899=_0x240880['confirm']()[_0x59a944(0x1189)](_0x59a944(0x251c))[_0x59a944(0x1cbe)](''+(_0x4ee101[_0x59a944(0x1ed4)]||_0x4ee101[_0x59a944(0x1a2b)]||_0x4ee101['phone']||'Contact')+_0x59a944(0x252f)+_0x59a944(0xe01))['ariaLabel']('delete\x20contact')[_0x59a944(0x1f27)](_0x5d148a)['ok']('OK')[_0x59a944(0x6c3)](_0x59a944(0x39a));_0x240880[_0x59a944(0x2615)](_0x12d899)['then'](function(){_0x493ec0(_0x4ee101);});}function _0x45de2d(_0x1ea7fa){const _0x47018b=_0x296cbf,_0x45b2f1=[_0x47018b(0x214e)];if(!_0x3f65c0()[_0x47018b(0xa4e)](_0x45b2f1,_0x1ea7fa[_0x47018b(0x193f)]()))return _0x203578[_0x47018b(0x1980)]({'title':'Invalid\x20extension:\x20'+_0x1ea7fa[_0x47018b(0x193f)](),'msg':_0x47018b(0x208f)+_0x45b2f1[_0x47018b(0xb47)]()}),![];if(_0x1ea7fa[_0x47018b(0x4cc)]>_0x9869e4)return _0x203578[_0x47018b(0x1980)]({'title':_0x47018b(0x625),'msg':_0x47018b(0x923)+_0x7b10e7+'MB'}),![];return!![];}let _0x2665f4=!![],_0x316eb4=0x1;_0x3d4e86[_0x296cbf(0x21e8)](_0x296cbf(0x2669),function(_0x2fb0d5,_0x54b951){const _0x550328=_0x296cbf;_0x2665f4?_0x20aca2(function(){_0x2665f4=![];}):(!_0x54b951&&(_0x316eb4=_0x3273b5[_0x550328(0x1a56)][_0x550328(0x844)]),_0x2fb0d5!==_0x54b951&&(_0x3273b5[_0x550328(0x1a56)][_0x550328(0x844)]=0x1),!_0x2fb0d5&&(_0x3273b5[_0x550328(0x1a56)][_0x550328(0x844)]=_0x316eb4),_0x3273b5[_0x550328(0x871)]());},!![]),_0x3d4e86['$watch'](_0x296cbf(0xef1),function(_0x39a151,_0x2a3c53){const _0x3b4466=_0x296cbf;_0x39a151!==_0x2a3c53&&(_0x3273b5[_0x3b4466(0x1a56)]['ListId']=_0x3273b5[_0x3b4466(0xb78)]||undefined,_0x3273b5[_0x3b4466(0x871)]());}),_0x3d4e86[_0x296cbf(0x21e8)]('vm.selectedCompany',function(_0xf3d793,_0x4af75d){const _0x15fc96=_0x296cbf;_0xf3d793!==_0x4af75d&&(_0x3273b5[_0x15fc96(0x1a56)][_0x15fc96(0xe7c)]=_0x3273b5[_0x15fc96(0x10be)]||undefined,_0x3273b5[_0x15fc96(0x871)]());}),_0x3d4e86[_0x296cbf(0x21e8)]('vm.selectedTags',function(_0x44e681,_0x24cb1b){const _0x3c1002=_0x296cbf;(_0x44e681[_0x3c1002(0x402)]||_0x24cb1b['length'])&&_0x3273b5['getContacts']();});function _0x201a54(_0x27cb0d){_0x3273b5['contacts']=_0x27cb0d||{'count':0x0,'rows':[]};}function _0x42d377(){const _0x5e199f=_0x296cbf;_0x3273b5[_0x5e199f(0x1a56)]['offset']=(_0x3273b5['query']['page']-0x1)*_0x3273b5[_0x5e199f(0x1a56)][_0x5e199f(0x221e)],_0x332a07[_0x5e199f(0x23e0)](_0x5e199f(0x174b))?_0x3273b5[_0x5e199f(0xb9c)]=_0x265a57['cmContact']['get'](_0x3273b5['query'],_0x201a54)['$promise']:(_0x3273b5[_0x5e199f(0x1a56)]['id']=_0x3273b5[_0x5e199f(0x26b6)]['id'],_0x3273b5[_0x5e199f(0x1a56)][_0x5e199f(0xb7c)]=!_0x3273b5[_0x5e199f(0x1a56)][_0x5e199f(0xb7c)]?_0x3f65c0()[_0x5e199f(0x205)](_0x3273b5[_0x5e199f(0x1324)][_0x5e199f(0x19c7)],'id'):_0x3273b5[_0x5e199f(0x1a56)][_0x5e199f(0xb7c)],_0x3273b5['query'][_0x5e199f(0xe7c)]=!_0x3273b5[_0x5e199f(0x1a56)][_0x5e199f(0xe7c)]?_0x3f65c0()(_0x3273b5[_0x5e199f(0x762)][_0x5e199f(0x19c7)])['filter']({'canSelect':undefined})[_0x5e199f(0x205)]('id')[_0x5e199f(0x175d)]():_0x3273b5[_0x5e199f(0x1a56)][_0x5e199f(0xe7c)],_0x3273b5[_0x5e199f(0x1a56)][_0x5e199f(0x2146)]=_0x5e199f(0x1efa),_0x3273b5[_0x5e199f(0xb9c)]=_0x265a57[_0x5e199f(0x26b6)][_0x5e199f(0x158f)](_0x3273b5[_0x5e199f(0x1a56)],_0x201a54)[_0x5e199f(0x2945)]);}function _0x5eea08(_0x2e0f82,_0xcadc67){const _0x2e7d79=_0x296cbf;_0xcadc67&&_0xcadc67[_0x2e7d79(0xc5c)]&&(_0xcadc67[_0x2e7d79(0xc5c)]=new Date(_0xcadc67['dateOfBirth'])),_0x240880[_0x2e7d79(0x2615)]({'controller':_0x2e7d79(0x17e1),'controllerAs':'vm','templateUrl':_0x25278a,'parent':angular[_0x2e7d79(0x1853)](_0x1d829d[_0x2e7d79(0x2586)]),'targetEvent':_0x2e0f82,'clickOutsideToClose':!![],'locals':{'ListId':_0x3273b5[_0x2e7d79(0x1a56)]['ListId']||_0x1d7070['params'][_0x2e7d79(0xb7c)],'CompanyId':_0x3273b5[_0x2e7d79(0x1a56)][_0x2e7d79(0xe7c)]||_0x1d7070['params'][_0x2e7d79(0xe7c)],'contact':_0xcadc67,'contacts':_0x3273b5[_0x2e7d79(0x1471)][_0x2e7d79(0x19c7)],'companies':_0x3273b5[_0x2e7d79(0x762)],'lists':_0x3273b5['lists'],'setting':null,'crudPermissions':_0x3273b5[_0x2e7d79(0x2514)]}});}function _0x538b3f(_0x51dd3f,_0x212d78){const _0xfc86ae=_0x296cbf;_0x240880[_0xfc86ae(0x2615)]({'controller':_0xfc86ae(0x11f3),'controllerAs':'vm','templateUrl':_0x3f981f,'parent':angular[_0xfc86ae(0x1853)](_0x1d829d[_0xfc86ae(0x2586)]),'targetEvent':_0x51dd3f,'clickOutsideToClose':!![],'resolve':{'contact':[_0xfc86ae(0x362),function(_0xf5fa3d){const _0x11072f=_0xfc86ae;return _0xf5fa3d[_0x11072f(0x2922)](_0x11072f(0x17d4),{'id':_0x212d78['id']});}],'lists':[_0xfc86ae(0x362),_0xfc86ae(0xa87),function(_0x381614,_0x5b295d){const _0x3b9e9a=_0xfc86ae;return _0x5b295d['hasRole'](_0x3b9e9a(0x174b))?_0x381614['resolve']('cmList@get',{'fields':_0x3b9e9a(0x7a7),'nolimit':!![]}):_0x381614[_0x3b9e9a(0x2922)](_0x3b9e9a(0x938),{'id':_0x5b295d[_0x3b9e9a(0xb12)]()[_0x3b9e9a(0x209a)],'section':_0x3b9e9a(0x174f),'fields':_0x3b9e9a(0x7a7),'nolimit':!![]});}]},'locals':{'contacts':_0x3273b5['contacts'][_0xfc86ae(0x19c7)]}});}function _0x539a8f(_0x17ceec,_0x4c10e2){const _0x5b9c34=_0x296cbf;_0x240880[_0x5b9c34(0x2615)]({'controller':_0x5b9c34(0xcd2),'controllerAs':'vm','templateUrl':_0x1cccb8,'parent':angular[_0x5b9c34(0x1853)](_0x1d829d[_0x5b9c34(0x2586)]),'targetEvent':_0x17ceec,'clickOutsideToClose':!![],'resolve':{'contact':[_0x5b9c34(0x362),function(_0xb66604){return _0xb66604['resolve']('cmContact@get',{'id':_0x4c10e2['id']});}],'lists':[_0x5b9c34(0x362),_0x5b9c34(0xa87),function(_0x2d0569,_0x13f075){const _0x1080eb=_0x5b9c34;return _0x13f075['hasRole'](_0x1080eb(0x174b))?_0x2d0569[_0x1080eb(0x2922)](_0x1080eb(0xd64),{'fields':'id,name','nolimit':!![]}):_0x2d0569[_0x1080eb(0x2922)](_0x1080eb(0x938),{'id':_0x13f075['getCurrentUser']()[_0x1080eb(0x209a)],'section':_0x1080eb(0x174f),'fields':_0x1080eb(0x7a7),'nolimit':!![]});}]},'locals':{'contacts':_0x3273b5['contacts'][_0x5b9c34(0x19c7)]}});}function _0x493ec0(_0x19560c){const _0xf90744=_0x296cbf;_0x265a57[_0xf90744(0x18c3)][_0xf90744(0x1fac)]({'id':_0x19560c['id']})['$promise'][_0xf90744(0x146b)](function(){const _0x341564=_0xf90744;_0x3f65c0()[_0x341564(0x2640)](_0x3273b5[_0x341564(0x1471)][_0x341564(0x19c7)],{'id':_0x19560c['id']}),_0x3273b5[_0x341564(0x1471)][_0x341564(0x51c)]-=0x1,!_0x3273b5['contacts'][_0x341564(0x19c7)][_0x341564(0x402)]&&_0x3273b5['getContacts'](),_0x203578['success']({'title':_0x341564(0x1809),'msg':_0x19560c[_0x341564(0x19eb)]?_0x19560c[_0x341564(0x19eb)]+'\x20has\x20been\x20deleted!':''});})['catch'](function(_0x42bb6a){const _0x5c02cd=_0xf90744;if(_0x42bb6a[_0x5c02cd(0x524)]&&_0x42bb6a[_0x5c02cd(0x524)][_0x5c02cd(0xcef)]&&_0x42bb6a[_0x5c02cd(0x524)][_0x5c02cd(0xcef)][_0x5c02cd(0x402)]){_0x3273b5[_0x5c02cd(0xcef)]=_0x42bb6a[_0x5c02cd(0x524)][_0x5c02cd(0xcef)]||[{'message':_0x42bb6a[_0x5c02cd(0xd5f)](),'type':_0x5c02cd(0x1926)}];for(let _0x52933c=0x0;_0x52933c<_0x42bb6a[_0x5c02cd(0x524)]['errors'][_0x5c02cd(0x402)];_0x52933c++){_0x203578['error']({'title':_0x42bb6a[_0x5c02cd(0x524)][_0x5c02cd(0xcef)][_0x52933c][_0x5c02cd(0x1142)],'msg':_0x42bb6a[_0x5c02cd(0x524)][_0x5c02cd(0xcef)][_0x52933c][_0x5c02cd(0x7fd)]});}}else _0x203578[_0x5c02cd(0x1980)]({'title':_0x42bb6a[_0x5c02cd(0x107b)]?_0x5c02cd(0x262a)+_0x42bb6a[_0x5c02cd(0x107b)]+'\x20-\x20'+_0x42bb6a[_0x5c02cd(0x167f)]:_0x5c02cd(0x1926),'msg':_0x42bb6a[_0x5c02cd(0x524)]?JSON[_0x5c02cd(0x10bb)](_0x42bb6a['data'][_0x5c02cd(0x7fd)]):_0x42bb6a[_0x5c02cd(0x7fd)]||_0x42bb6a[_0x5c02cd(0xd5f)]()});});}function _0x59cb4e(){const _0x58419a=_0x296cbf,_0x43abb6=angular['copy'](_0x3273b5[_0x58419a(0x1300)]);return _0x3273b5[_0x58419a(0x1300)]=[],_0x43abb6;}function _0x180606(_0x2347f9){const _0x56972c=_0x296cbf,_0x193304=_0x240880[_0x56972c(0x1e8a)]()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20contacts?')[_0x56972c(0x1cbe)](_0x56972c(0x16d3)+_0x3273b5['selectedContacts'][_0x56972c(0x402)]+_0x56972c(0x2452)+'\x20will\x20be\x20deleted.')[_0x56972c(0x4bd)](_0x56972c(0x114e))[_0x56972c(0x1f27)](_0x2347f9)['ok']('OK')[_0x56972c(0x6c3)]('CANCEL');_0x240880[_0x56972c(0x2615)](_0x193304)[_0x56972c(0x146b)](function(){const _0x2d6547=_0x56972c;_0x3273b5['selectedContacts'][_0x2d6547(0x1df5)](function(_0x199ef1){_0x493ec0(_0x199ef1);}),_0x3273b5[_0x2d6547(0x1300)]=[];});}function _0x1f0d3c(){const _0x2fae11=_0x296cbf;_0x3273b5[_0x2fae11(0x1300)]=[];}function _0x4165a5(){const _0x27f6ac=_0x296cbf;_0x3273b5['selectedContacts']=_0x3273b5[_0x27f6ac(0x1471)][_0x27f6ac(0x19c7)];}}const _0xb0b6cf=_0x3469db;;_0x418a00['$inject']=['$mdDialog',_0x313a4d(0x1fe4),_0x313a4d(0x1471),_0x313a4d(0x1324),'companies','contact','ListId',_0x313a4d(0xe7c),_0x313a4d(0x247f),_0x313a4d(0x2514)];function _0x418a00(_0x2db3fb,_0x2f939c,_0x2980d7,_0x279876,_0x5348de,_0x456dcd,_0x53df57,_0x58d7b9,_0x4eb5de,_0x3a24a8){const _0x3f7ad1=_0x313a4d,_0x5f248d=this;_0x5f248d['errors']=[],_0x5f248d[_0x3f7ad1(0x1189)]='CONTACTMANAGER.EDIT_CONTACT',_0x5f248d[_0x3f7ad1(0x2514)]=_0x3a24a8,_0x5f248d[_0x3f7ad1(0x1662)]=angular['copy'](_0x456dcd),_0x5f248d['contacts']=_0x2980d7||{'rows':[],'count':0x0},_0x5f248d['lists']=_0x279876||{'rows':[],'count':0x0},_0x5f248d[_0x3f7ad1(0x762)]=_0x5348de||{'rows':[],'count':0x0},_0x5f248d['customFields']={'rows':[],'count':0x0},_0x5f248d[_0x3f7ad1(0x1513)]=![];!_0x5f248d[_0x3f7ad1(0x1662)]?(_0x5f248d[_0x3f7ad1(0x1662)]={'ListId':_0x53df57?parseInt(_0x53df57,0xa):undefined,'CompanyId':_0x58d7b9?parseInt(_0x58d7b9,0xa):undefined},_0x5f248d[_0x3f7ad1(0x1189)]=_0x3f7ad1(0xcc1),_0x5f248d[_0x3f7ad1(0x1513)]=!![]):(delete _0x5f248d[_0x3f7ad1(0x1662)][_0x3f7ad1(0x1940)],_0x5f248d[_0x3f7ad1(0xb7c)]=_0x5f248d[_0x3f7ad1(0x1662)][_0x3f7ad1(0xb7c)]||undefined);_0x5f248d['addNewContact']=_0x4a65ec,_0x5f248d['saveContact']=_0x207362,_0x5f248d['deleteContact']=_0x545207,_0x5f248d[_0x3f7ad1(0x13f3)]=_0x25ac36,_0x5f248d[_0x3f7ad1(0x2328)]=_0x211417,_0x5f248d['getDateFromString']=_0x1fbe80;function _0x4a65ec(){const _0x593cef=_0x3f7ad1;_0x5f248d['errors']=[],_0x4eb5de[_0x593cef(0x18c3)]['save'](_0x5f248d[_0x593cef(0x1662)])[_0x593cef(0x2945)][_0x593cef(0x146b)](function(_0x5f58b7){const _0x404363=_0x593cef;_0x5f248d[_0x404363(0x1471)]['unshift'](_0x5f58b7),_0x2f939c[_0x404363(0x1c75)]({'title':_0x404363(0x2717),'msg':_0x5f248d[_0x404363(0x1662)][_0x404363(0x19eb)]?_0x5f248d['contact'][_0x404363(0x19eb)]+_0x404363(0x1386):''}),_0x25ac36();})[_0x593cef(0x129e)](function(_0xc42250){const _0x1a60f1=_0x593cef;if(_0xc42250[_0x1a60f1(0x524)]&&_0xc42250[_0x1a60f1(0x524)][_0x1a60f1(0xcef)]&&_0xc42250[_0x1a60f1(0x524)][_0x1a60f1(0xcef)][_0x1a60f1(0x402)]){_0x5f248d[_0x1a60f1(0xcef)]=_0xc42250['data']['errors']||[{'message':_0xc42250[_0x1a60f1(0xd5f)](),'type':_0x1a60f1(0x2406)}];for(let _0x3d7b71=0x0;_0x3d7b71<_0xc42250['data'][_0x1a60f1(0xcef)][_0x1a60f1(0x402)];_0x3d7b71++){_0x2f939c[_0x1a60f1(0x1980)]({'title':_0xc42250[_0x1a60f1(0x524)][_0x1a60f1(0xcef)][_0x3d7b71]['type'],'msg':_0xc42250[_0x1a60f1(0x524)][_0x1a60f1(0xcef)][_0x3d7b71]['message']});}}else _0x2f939c['error']({'title':_0xc42250[_0x1a60f1(0x107b)]?_0x1a60f1(0x262a)+_0xc42250[_0x1a60f1(0x107b)]+'\x20-\x20'+_0xc42250[_0x1a60f1(0x167f)]:_0x1a60f1(0xb10),'msg':_0xc42250[_0x1a60f1(0x524)]?JSON[_0x1a60f1(0x10bb)](_0xc42250[_0x1a60f1(0x524)][_0x1a60f1(0x7fd)]):_0xc42250['toString']()});});}function _0x207362(){const _0x2a906e=_0x3f7ad1;_0x5f248d[_0x2a906e(0xcef)]=[],_0x4eb5de[_0x2a906e(0x18c3)][_0x2a906e(0x18e1)]({'id':_0x5f248d[_0x2a906e(0x1662)]['id']},_0x5f248d[_0x2a906e(0x1662)])[_0x2a906e(0x2945)][_0x2a906e(0x146b)](function(_0x1d7b28){const _0xadbf25=_0x2a906e,_0x5dc5e4=_0x3f65c0()[_0xadbf25(0xc84)](_0x5f248d[_0xadbf25(0x1471)],{'id':_0x1d7b28['id']});_0x5dc5e4&&_0x3f65c0()[_0xadbf25(0x168d)](_0x5dc5e4,_0x1d7b28),_0x2f939c[_0xadbf25(0x1c75)]({'title':'Contact\x20properly\x20saved!','msg':_0x5f248d[_0xadbf25(0x1662)][_0xadbf25(0x19eb)]?_0x5f248d[_0xadbf25(0x1662)][_0xadbf25(0x19eb)]+_0xadbf25(0x24db):''}),_0x25ac36();})[_0x2a906e(0x129e)](function(_0x201b6c){const _0x3630aa=_0x2a906e;if(_0x201b6c[_0x3630aa(0x524)]&&_0x201b6c[_0x3630aa(0x524)]['errors']&&_0x201b6c[_0x3630aa(0x524)]['errors'][_0x3630aa(0x402)]){_0x5f248d[_0x3630aa(0xcef)]=_0x201b6c[_0x3630aa(0x524)][_0x3630aa(0xcef)]||[{'message':_0x201b6c[_0x3630aa(0xd5f)](),'type':_0x3630aa(0xc8d)}];for(let _0x331322=0x0;_0x331322<_0x201b6c['data'][_0x3630aa(0xcef)][_0x3630aa(0x402)];_0x331322++){_0x2f939c['error']({'title':_0x201b6c[_0x3630aa(0x524)][_0x3630aa(0xcef)][_0x331322][_0x3630aa(0x1142)],'msg':_0x201b6c[_0x3630aa(0x524)][_0x3630aa(0xcef)][_0x331322][_0x3630aa(0x7fd)]});}}else _0x2f939c[_0x3630aa(0x1980)]({'title':_0x201b6c[_0x3630aa(0x107b)]?_0x3630aa(0x262a)+_0x201b6c[_0x3630aa(0x107b)]+_0x3630aa(0x1315)+_0x201b6c[_0x3630aa(0x167f)]:_0x3630aa(0xc8d),'msg':_0x201b6c[_0x3630aa(0x524)]?JSON[_0x3630aa(0x10bb)](_0x201b6c[_0x3630aa(0x524)][_0x3630aa(0x7fd)]):_0x201b6c[_0x3630aa(0xd5f)]()});});}function _0x211417(_0x46bbbd){const _0x3892fb=_0x3f7ad1;if(_0x46bbbd)return _0x4eb5de[_0x3892fb(0xf03)]['getCustomFields']({'id':_0x46bbbd})[_0x3892fb(0x2945)][_0x3892fb(0x146b)](function(_0x14b85c){const _0x154996=_0x3892fb;if(_0x14b85c){_0x5f248d['customFields']=_0x14b85c;const _0x4f9cc7=_0x3f65c0()[_0x154996(0x205)](_0x5f248d[_0x154996(0x10ba)][_0x154996(0x19c7)],function(_0xf1a24c){const _0x5d043b=_0x154996;return _0x5d043b(0x21ef)+_0xf1a24c['id'];});_0x4eb5de['cmContact'][_0x154996(0x16b4)]({'id':_0x5f248d[_0x154996(0x1662)]['id'],'attributes':_0x4f9cc7,'raw':!![]})['$promise'][_0x154996(0x146b)](function(_0x5c8002){const _0xffe9a4=_0x154996;_0x5f248d['contact']=_0x3f65c0()[_0xffe9a4(0x168d)](_0x5f248d[_0xffe9a4(0x1662)],_0x5c8002);});}})[_0x3892fb(0x129e)](function(_0x27e0ad){const _0x3c3445=_0x3892fb;console[_0x3c3445(0x1980)](_0x27e0ad);});else _0x5f248d[_0x3892fb(0x10ba)]={'rows':[],'count':0x0};}function _0x545207(_0x37e99c){const _0x413439=_0x3f7ad1;_0x5f248d[_0x413439(0xcef)]=[];const _0x4c1e8c=_0x2db3fb[_0x413439(0x1e8a)]()[_0x413439(0x1189)]('Are\x20you\x20sure?')[_0x413439(0x80f)]('The\x20contact\x20will\x20be\x20deleted.')[_0x413439(0x4bd)]('Delete\x20Contact')['ok']('Delete')[_0x413439(0x6c3)](_0x413439(0xcf0))[_0x413439(0x1f27)](_0x37e99c);_0x2db3fb[_0x413439(0x2615)](_0x4c1e8c)['then'](function(){const _0x6b9f01=_0x413439;_0x4eb5de['cmContact']['delete']({'id':_0x5f248d[_0x6b9f01(0x1662)]['id']})[_0x6b9f01(0x2945)][_0x6b9f01(0x146b)](function(){const _0x5653bb=_0x6b9f01;_0x3f65c0()[_0x5653bb(0x2640)](_0x5f248d[_0x5653bb(0x1471)],{'id':_0x5f248d[_0x5653bb(0x1662)]['id']}),_0x2f939c[_0x5653bb(0x1c75)]({'title':'Contact\x20properly\x20deleted!','msg':_0x5f248d[_0x5653bb(0x1662)]['name']?_0x5f248d[_0x5653bb(0x1662)][_0x5653bb(0x19eb)]+_0x5653bb(0x23e3):''}),_0x25ac36();})['catch'](function(_0x2c9bb6){const _0x2535f9=_0x6b9f01;console[_0x2535f9(0x1980)](_0x2c9bb6),_0x5f248d[_0x2535f9(0xcef)]=_0x2c9bb6[_0x2535f9(0x524)][_0x2535f9(0xcef)]||[{'message':_0x2c9bb6[_0x2535f9(0xd5f)](),'type':_0x2535f9(0x1926)}];});},function(){});}function _0x1fbe80(_0x192763){return _0x192763===null?undefined:new Date(_0x192763);}function _0x25ac36(){const _0x5c58f6=_0x3f7ad1;_0x2db3fb[_0x5c58f6(0x2458)]();}}const _0x4e4378=_0x418a00;;_0x22ec2a['$inject']=[_0x313a4d(0x10e8),_0x313a4d(0x1fe4),_0x313a4d(0x1662),_0x313a4d(0x1471),_0x313a4d(0x1324),'api'];function _0x22ec2a(_0x2de97f,_0x363e57,_0x2aec46,_0x1de462,_0x2ea9a3,_0x328102){const _0x45ec53=_0x313a4d,_0x41ec02=this;_0x41ec02['errors']=[],_0x41ec02[_0x45ec53(0x1189)]='CONTACTMANAGER.COPY_CONTACT',_0x41ec02['contact']=angular[_0x45ec53(0x235a)](_0x2aec46),_0x41ec02['lists']=_0x2ea9a3||{'rows':[],'count':0x0},_0x41ec02['addNewContact']=_0x42934d,_0x41ec02[_0x45ec53(0x13f3)]=_0x55baf9;function _0x42934d(){const _0x22b87e=_0x45ec53;_0x41ec02[_0x22b87e(0xcef)]=[],delete _0x41ec02[_0x22b87e(0x1662)]['id'],_0x41ec02[_0x22b87e(0x1662)][_0x22b87e(0x1b86)]&&!_0x41ec02[_0x22b87e(0x1662)][_0x22b87e(0x1b86)][_0x22b87e(0x402)]&&delete _0x41ec02[_0x22b87e(0x1662)][_0x22b87e(0x1b86)],_0x328102[_0x22b87e(0x18c3)]['save'](_0x41ec02[_0x22b87e(0x1662)])[_0x22b87e(0x2945)][_0x22b87e(0x146b)](function(_0x1f4f20){const _0x1d6f4a=_0x22b87e;_0x1de462[_0x1d6f4a(0xb3d)](_0x1f4f20),_0x363e57[_0x1d6f4a(0x1c75)]({'title':'Contact\x20properly\x20created','msg':_0x41ec02['contact']['name']?_0x41ec02[_0x1d6f4a(0x1662)]['name']+_0x1d6f4a(0x1386):''}),_0x55baf9();})['catch'](function(_0x1d3240){const _0x3f4484=_0x22b87e;console['error'](_0x1d3240),_0x41ec02[_0x3f4484(0xcef)]=_0x1d3240[_0x3f4484(0x524)][_0x3f4484(0xcef)]||[{'message':_0x1d3240[_0x3f4484(0xd5f)](),'type':_0x3f4484(0x33e)}];});}function _0x55baf9(){_0x2de97f['hide']();}}const _0x14b405=_0x22ec2a;;_0x555abb[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$state',_0x313a4d(0xd08),_0x313a4d(0x10e8),'toasty',_0x313a4d(0x9f0),_0x313a4d(0x10fa),_0x313a4d(0x247f),_0x313a4d(0x2514)];function _0x555abb(_0x3d0a41,_0x2979e2,_0x1b0b14,_0x863ee5,_0x874b38,_0x2b164f,_0x3d0b7f,_0x38c4f9,_0x126586){const _0x140a03=_0x313a4d,_0x20c008=this;_0x20c008[_0x140a03(0xcef)]=[],_0x20c008[_0x140a03(0x1189)]='CONTACTMANAGER.EDIT_HOPPER',_0x20c008['hopper']=angular[_0x140a03(0x235a)](_0x3d0b7f),_0x20c008[_0x140a03(0x9f0)]=_0x2b164f,_0x20c008[_0x140a03(0xaa9)]=![],_0x20c008[_0x140a03(0x2514)]=_0x126586;!_0x20c008['hopper']&&(_0x20c008[_0x140a03(0x10fa)]={},_0x20c008[_0x140a03(0x1189)]=_0x140a03(0x1f1e),_0x20c008[_0x140a03(0xaa9)]=!![]);_0x20c008[_0x140a03(0x38f)]=_0x401dc9,_0x20c008[_0x140a03(0xe73)]=_0x4c8fd0,_0x20c008[_0x140a03(0x13f3)]=_0x257cde;function _0x401dc9(){const _0x45a25e=_0x140a03;_0x20c008[_0x45a25e(0xcef)]=[],_0x38c4f9['cmHopper'][_0x45a25e(0x18e1)]({'id':_0x20c008[_0x45a25e(0x10fa)]['id']},_0x20c008[_0x45a25e(0x10fa)])[_0x45a25e(0x2945)]['then'](function(_0x4bab16){const _0x148490=_0x45a25e,_0x1accef=_0x3f65c0()[_0x148490(0xc84)](_0x20c008[_0x148490(0x9f0)],{'id':_0x4bab16['id']});_0x1accef&&_0x3f65c0()[_0x148490(0x168d)](_0x1accef,_0x4bab16),_0x874b38[_0x148490(0x1c75)]({'title':_0x148490(0x17e3),'msg':_0x20c008[_0x148490(0x10fa)]['name']?_0x20c008['hopper'][_0x148490(0x19eb)]+_0x148490(0x24db):''}),_0x257cde(_0x4bab16);})[_0x45a25e(0x129e)](function(_0x168c42){const _0x2ba2c3=_0x45a25e;console[_0x2ba2c3(0x1980)](_0x168c42),_0x20c008[_0x2ba2c3(0xcef)]=_0x168c42[_0x2ba2c3(0x524)][_0x2ba2c3(0xcef)]||[{'message':_0x168c42[_0x2ba2c3(0xd5f)](),'type':_0x2ba2c3(0x1a93)}];});}function _0x4c8fd0(_0x50f13f){return _0x50f13f===null?undefined:new Date(_0x50f13f);}function _0x257cde(_0x4c5a84){const _0x30b06b=_0x140a03;_0x863ee5[_0x30b06b(0x2458)](_0x4c5a84);}}const _0x5bdb16=_0x555abb;;const _0x581f39=_0x4acfac['p']+_0x313a4d(0x1ba3);;_0x407354['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1abe),_0x313a4d(0x1862),_0x313a4d(0x4d8),_0x313a4d(0x10e8),'$translate',_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0x1662),'hoppers',_0x313a4d(0x272a),_0x313a4d(0xf95),_0x313a4d(0x1471),'companies',_0x313a4d(0x1324),_0x313a4d(0x10ba),_0x313a4d(0x1b86),_0x313a4d(0x1d6d),_0x313a4d(0x2690),_0x313a4d(0xa87),_0x313a4d(0x1366)];function _0x407354(_0x20c4be,_0x48f8db,_0x51e2ee,_0x135212,_0x557e47,_0x3d530e,_0x4cc2bd,_0x605028,_0x3ec806,_0x5bec17,_0x5d51ce,_0x547679,_0x408cbf,_0x415617,_0x6e82fc,_0x51a2c9,_0x1196d8,_0x4a257c,_0x4bcfc4,_0x569776,_0x3c3cfd){const _0x23568b=_0x313a4d,_0x4cc197=this;_0x4cc197['currentUser']=_0x569776[_0x23568b(0xb12)](),_0x4cc197['license']=_0x4bcfc4,_0x4cc197['journey']=[],_0x4cc197[_0x23568b(0x1662)]=_0x3ec806||{},_0x4cc197['hoppers']=_0x5bec17||{'rows':[],'count':0x0},_0x4cc197[_0x23568b(0x272a)]=_0x5d51ce||{'rows':[],'count':0x0},_0x4cc197[_0x23568b(0xf95)]=_0x547679||{'rows':[],'count':0x0},_0x4cc197[_0x23568b(0x762)]=_0x415617||{'rows':[],'count':0x0},_0x4cc197[_0x23568b(0x1324)]=_0x6e82fc||{'rows':[],'count':0x0},_0x4cc197[_0x23568b(0x10ba)]=_0x51a2c9||{'rows':[],'count':0x0},_0x4cc197['tags']=_0x1196d8||{'rows':[],'count':0x0},_0x4cc197[_0x23568b(0x1d6d)]=_0x4a257c||{'rows':[],'count':0x0},_0x4cc197[_0x23568b(0x496)]={'scrollEl':_0x23568b(0x4c5)},_0x4cc197[_0x23568b(0x23e6)]=new Date(_0x2deec6()()[_0x23568b(0x1fcc)]('month')[_0x23568b(0xd7b)](0x1,_0x23568b(0xcf5))[_0x23568b(0x65f)](0x0,!![])[_0x23568b(0x22b0)]()),_0x4cc197['lastDayMonth']=new Date(_0x2deec6()()[_0x23568b(0x824)](_0x23568b(0x12b7))[_0x23568b(0xd7b)](0x1,'day')[_0x23568b(0x65f)](0x0,!![])[_0x23568b(0x22b0)]()),_0x4cc197[_0x23568b(0x1366)]=_0x3c3cfd&&_0x3c3cfd[_0x23568b(0x51c)]==0x1?_0x3c3cfd['rows'][0x0]:null,_0x4cc197[_0x23568b(0x2514)]=_0x569776[_0x23568b(0xe60)](_0x4cc197[_0x23568b(0x1366)]?_0x4cc197[_0x23568b(0x1366)][_0x23568b(0x2514)]:null),_0x4cc197[_0x23568b(0x221d)]=_0x3f65c0()[_0x23568b(0x194)]([{'option':_0x23568b(0xa73),'value':_0x23568b(0x1428)},{'option':_0x23568b(0x25c5),'value':_0x23568b(0x1f63)},{'option':_0x23568b(0x6c4),'value':'\x272\x27'},{'option':_0x23568b(0xce5),'value':'\x271\x27'},{'option':'Lowest','value':_0x23568b(0x35c)}],function(_0x452a9e){const _0x502ceb=_0x23568b;return _0x3f65c0()[_0x502ceb(0x5f4)](_0x452a9e[_0x502ceb(0x175d)],new RegExp('\x27','g'),'');}),_0x4cc197['queryHopper']={'fields':_0x23568b(0xadb),'sort':_0x23568b(0x12f2),'limit':0xa,'page':0x1},_0x4cc197['queryFinal']={'fields':_0x23568b(0x2751),'sort':_0x23568b(0xef6),'limit':0xa,'page':0x1},_0x4cc197['queryHistory']={'fields':_0x23568b(0x25ad),'sort':_0x23568b(0xef6),'limit':0xa,'page':0x1},_0x4cc197[_0x23568b(0x157d)]={'fields':_0x23568b(0x21b8),'sort':_0x23568b(0xc89),'limit':0xa,'page':0x1},_0x4cc197[_0x23568b(0x1b09)]=_0x51e2ee['params']['tab']||0x0,_0x4cc197[_0x23568b(0x3d4)]=_0x44ce6e,_0x4cc197[_0x23568b(0x23cb)]=_0x338fd8,_0x4cc197['duplicateContact']=_0x3feabd,_0x4cc197[_0x23568b(0xdf)]=_0x1a4f3b,_0x4cc197['editCompany']=_0xd75f43,_0x4cc197[_0x23568b(0x24af)]=_0x1de0e0,_0x4cc197['onSelectListSuccess']=_0x4cbf78,_0x4cc197[_0x23568b(0xe73)]=_0x2561de,_0x4cc197[_0x23568b(0x3b6)]=_0x149db9,_0x4cc197['getFinals']=_0x58907e,_0x4cc197[_0x23568b(0x2330)]=_0x3fd0e7,_0x4cc197['getJscriptySessions']=_0x5248c8,_0x4cc197[_0x23568b(0xf0a)]=_0x4bc3d1,_0x4cc197[_0x23568b(0x27ff)]=_0x4d2b84,_0x4cc197[_0x23568b(0x1f79)]=_0x466e2f,_0x4cc197[_0x23568b(0x898)]=_0x461f4f;let _0x100106=!![],_0x5b6620=0x1;_0x20c4be[_0x23568b(0x21e8)](_0x23568b(0x18b1),function(_0x53786d,_0x2f3ef7){const _0x30da18=_0x23568b;_0x100106?_0x48f8db(function(){_0x100106=![];}):(!_0x2f3ef7&&(_0x5b6620=_0x4cc197[_0x30da18(0x1562)]['page']),_0x53786d!==_0x2f3ef7&&(_0x4cc197['queryHopper'][_0x30da18(0x844)]=0x1),!_0x53786d&&(_0x4cc197['queryHopper'][_0x30da18(0x844)]=_0x5b6620),_0x4cc197[_0x30da18(0x3b6)]());}),_0x20c4be['$watch'](_0x23568b(0x127c),function(_0x5dd334,_0x1b0a1a){const _0x869e94=_0x23568b;_0x100106?_0x48f8db(function(){_0x100106=![];}):(!_0x1b0a1a&&(_0x5b6620=_0x4cc197[_0x869e94(0x2822)][_0x869e94(0x844)]),_0x5dd334!==_0x1b0a1a&&(_0x4cc197[_0x869e94(0x2822)][_0x869e94(0x844)]=0x1),!_0x5dd334&&(_0x4cc197[_0x869e94(0x2822)][_0x869e94(0x844)]=_0x5b6620),_0x4cc197[_0x869e94(0x1c1d)]());}),_0x20c4be[_0x23568b(0x21e8)](_0x23568b(0xf45),function(_0x39ed0d,_0x3b1831){const _0xb0e8ca=_0x23568b;_0x100106?_0x48f8db(function(){_0x100106=![];}):(!_0x3b1831&&(_0x5b6620=_0x4cc197[_0xb0e8ca(0x1536)][_0xb0e8ca(0x844)]),_0x39ed0d!==_0x3b1831&&(_0x4cc197[_0xb0e8ca(0x1536)][_0xb0e8ca(0x844)]=0x1),!_0x39ed0d&&(_0x4cc197['queryHistory'][_0xb0e8ca(0x844)]=_0x5b6620),_0x4cc197[_0xb0e8ca(0x2330)]());});function _0x4a9889(_0x2caed9){const _0x2cd9a0=_0x23568b;switch(_0x2caed9){case _0x2cd9a0(0xa7f):return _0x2cd9a0(0x23da);case _0x2cd9a0(0x56b):return _0x2cd9a0(0x109e);case'sms':return _0x2cd9a0(0x2632);case'openchannel':return _0x2cd9a0(0x154c);case _0x2cd9a0(0x22d9):return _0x2cd9a0(0x276);case _0x2cd9a0(0xff9):return'lime-A700';default:return _0x2cd9a0(0x4e2);}}function _0x461f4f(_0x472b41){const _0x306822=_0x23568b;let _0x506c6c='';if(_0x472b41[_0x306822(0x74d)])_0x506c6c=_0x306822(0x22d9);else{if(_0x472b41['OpenchannelAccountId'])_0x506c6c=_0x306822(0x7d9);else{if(_0x472b41[_0x306822(0x1481)])_0x506c6c='sms';else{if(_0x472b41['MailAccountId'])_0x506c6c='mail';else{if(_0x472b41[_0x306822(0x1d92)])_0x506c6c=_0x306822(0xa7f);else _0x472b41[_0x306822(0xcbf)]&&(_0x506c6c='voice');}}}}return _0x4a9889(_0x506c6c);}function _0x96668d(_0x27d2d9){return function(_0x5d1ee8){_0x4cc197[_0x27d2d9]=_0x5d1ee8||{'count':0x0,'rows':[]};};}function _0x44ce6e(){const _0x386221=_0x23568b;return!_0x569776[_0x386221(0x23e0)](_0x386221(0x174b))&&(_0x2484a6(),_0xb2ca2b()),_0x605028['user']['get']({'fields':_0x386221(0x1291),'nolimit':!![]})[_0x386221(0x2945)]['then'](function(_0x415275){const _0x2d2568=_0x386221;_0x4cc197[_0x2d2568(0x1995)]=_0x415275||{'count':0x0,'rows':[]},_0x4cc197[_0x2d2568(0xa3e)]=_0x3f65c0()[_0x2d2568(0x1de4)](_0x3f65c0()['keyBy'](_0x4cc197[_0x2d2568(0x1995)]['rows'],'id'),_0x2d2568(0x286a)),_0x4cc197[_0x2d2568(0x2331)]=_0x3f65c0()['map'](_0x4cc197[_0x2d2568(0x1662)][_0x2d2568(0x2331)],'id');})[_0x386221(0x146b)](_0x353ece(_0x3ec806));}function _0x2484a6(){const _0x1cbb66=_0x23568b,_0x5d7984=_0x3f65c0()[_0x1cbb66(0x1360)](_0x4cc197[_0x1cbb66(0x762)]['rows'],{'id':_0x4cc197['contact'][_0x1cbb66(0xe7c)]});if(!_0x5d7984)return _0x605028[_0x1cbb66(0x134d)]['get']({'id':_0x4cc197[_0x1cbb66(0x1662)][_0x1cbb66(0xe7c)],'fields':'id,name'})[_0x1cbb66(0x2945)][_0x1cbb66(0x146b)](function(_0x4c02c8){const _0x38b822=_0x1cbb66,_0x1527a7=_0x4c02c8['toJSON']();_0x1527a7[_0x38b822(0x8ff)]=![],_0x4cc197[_0x38b822(0x762)][_0x38b822(0x19c7)]['push'](_0x1527a7);})[_0x1cbb66(0x129e)](function(_0x42484d){const _0x346d04=_0x1cbb66;_0x4cc2bd[_0x346d04(0x1980)]({'title':_0x42484d['status']?_0x346d04(0x262a)+_0x42484d[_0x346d04(0x107b)]+_0x346d04(0x1315)+_0x42484d[_0x346d04(0x167f)]:_0x346d04(0x1868),'msg':_0x42484d[_0x346d04(0x524)]?JSON[_0x346d04(0x10bb)](_0x42484d[_0x346d04(0x524)]['message']):_0x42484d[_0x346d04(0xd5f)]()});});}function _0xb2ca2b(){const _0x4ecfc1=_0x23568b,_0x114be7=_0x3f65c0()[_0x4ecfc1(0x205)](_0x4cc197[_0x4ecfc1(0x1662)][_0x4ecfc1(0x2331)],function(_0x20a19a){const _0x55a886=_0x4ecfc1;return{'id':_0x20a19a['id'],'name':_0x20a19a[_0x55a886(0x19eb)]};});if(!_0x3f65c0()[_0x4ecfc1(0x2635)](_0x114be7))for(let _0x3fecc8=0x0;_0x3fecc8<_0x114be7[_0x4ecfc1(0x402)];_0x3fecc8++){!_0x3f65c0()[_0x4ecfc1(0x1360)](_0x4cc197[_0x4ecfc1(0x1b86)]['rows'],{'id':_0x114be7['id']})&&(_0x114be7[_0x3fecc8]['canSelect']=![],_0x4cc197['tags'][_0x4ecfc1(0x19c7)][_0x4ecfc1(0x1f47)](_0x114be7[_0x3fecc8]));}}function _0x5178b0(_0x456282){const _0x2fabff=_0x23568b;if(!_0x456282[_0x2fabff(0x9e1)])return'('+_0x3d530e[_0x2fabff(0xde)]('DASHBOARDS.NO_DISPOSITION_SET')+')';const _0x358fc2=_0x3f65c0()['compact']([_0x456282['disposition'],_0x456282[_0x2fabff(0xb5c)],_0x456282[_0x2fabff(0x1402)]]);return'('+_0x358fc2[_0x2fabff(0xb47)](_0x2fabff(0x1315))+')';}function _0x5a807a(_0x186f91){const _0x2955d1=_0x23568b;_0x186f91&&_0x186f91[_0x2955d1(0x51c)]&&_0x186f91[_0x2955d1(0x19c7)][_0x2955d1(0x402)]&&(_0x4cc197['journey']=_0x3f65c0()[_0x2955d1(0x163c)](_0x4cc197['journey'],_0x186f91[_0x2955d1(0x19c7)]),_0x4cc197[_0x2955d1(0x21db)][_0x2955d1(0x1df5)](function(_0x340789){const _0x18e7da=_0x2955d1;_0x340789[_0x18e7da(0x472)]=_0x5178b0(_0x340789);}));}function _0x353ece(_0x3edf73){const _0x49cf1f=_0x23568b,_0x495254=['mail','chat',_0x49cf1f(0x929),_0x49cf1f(0x7d9),_0x49cf1f(0x22d9)];if(_0x3edf73&&_0x3edf73['id']){for(let _0x1677ae=0x0;_0x1677ae<_0x495254[_0x49cf1f(0x402)];_0x1677ae++){_0x605028[_0x495254[_0x1677ae]+_0x49cf1f(0x2297)]['get']({'ContactId':_0x3edf73['id'],'includeAll':!![]})[_0x49cf1f(0x2945)]['then'](_0x5a807a);}_0x605028[_0x49cf1f(0x629)]['get']({'ContactId':_0x3edf73['id'],'includeAll':!![]})[_0x49cf1f(0x2945)][_0x49cf1f(0x146b)](_0x5a807a)[_0x49cf1f(0x129e)](function(_0x2c1339){console['error'](_0x2c1339);});}}function _0x4d2b84(_0x2c42bc,_0x43c4d6){const _0x371e19=_0x23568b;_0x557e47[_0x371e19(0x2615)]({'controller':_0x371e19(0x14da),'controllerAs':'vm','templateUrl':_0x24c092,'parent':angular[_0x371e19(0x1853)](_0x135212['body']),'targetEvent':_0x2c42bc,'clickOutsideToClose':!![],'locals':{'hopper':_0x43c4d6,'hoppers':_0x4cc197['hoppers']['rows'],'license':null,'setting':null,'crudPermissions':_0x4cc197['crudPermissions']}});}function _0x466e2f(_0x3ba59b,_0x2546d8){const _0x4f34f6=_0x23568b;_0x557e47['show']({'controller':_0x4f34f6(0x375),'controllerAs':'vm','templateUrl':_0x581f39,'parent':angular['element'](_0x135212[_0x4f34f6(0x2586)]),'targetEvent':_0x3ba59b,'clickOutsideToClose':!![],'locals':{'hopper':_0x2546d8,'hoppers':_0x4cc197[_0x4f34f6(0x9f0)][_0x4f34f6(0x19c7)],'license':null,'setting':null,'crudPermissions':_0x4cc197[_0x4f34f6(0x2514)]}});}function _0x4bc3d1(_0x3e4b20,_0x380d1d){const _0x3c00f1=_0x23568b,_0x6c0421=_0x557e47[_0x3c00f1(0x1e8a)]()[_0x3c00f1(0x1189)](_0x3c00f1(0x881))[_0x3c00f1(0x1cbe)](_0x3c00f1(0x16d3)+(_0x3e4b20[_0x3c00f1(0x1d55)]||'hopper')+_0x3c00f1(0x252f)+_0x3c00f1(0xe01))[_0x3c00f1(0x4bd)]('delete\x20hopper')[_0x3c00f1(0x1f27)](_0x380d1d)['ok']('OK')[_0x3c00f1(0x6c3)](_0x3c00f1(0x39a));_0x557e47[_0x3c00f1(0x2615)](_0x6c0421)[_0x3c00f1(0x146b)](function(){_0x4e9d20(_0x3e4b20);},function(){const _0x1a828f=_0x3c00f1;console['log'](_0x1a828f(0x39a));});}function _0x4e9d20(_0x1660e7){const _0x36e29b=_0x23568b;return _0x605028['cmHopper']['delete']({'id':_0x1660e7['id']})['$promise'][_0x36e29b(0x146b)](function(){const _0x3f02a6=_0x36e29b;_0x3f65c0()[_0x3f02a6(0x2640)](_0x4cc197[_0x3f02a6(0x9f0)][_0x3f02a6(0x19c7)],{'id':_0x1660e7['id']}),_0x4cc197[_0x3f02a6(0x9f0)][_0x3f02a6(0x51c)]--,!_0x4cc197[_0x3f02a6(0x9f0)][_0x3f02a6(0x19c7)][_0x3f02a6(0x402)]&&_0x4cc197[_0x3f02a6(0x3b6)](),_0x4cc2bd[_0x3f02a6(0x1c75)]({'title':_0x3f02a6(0xdbb),'msg':_0x1660e7[_0x3f02a6(0x1d55)]?_0x1660e7['phone']+_0x3f02a6(0x23e3):''});})[_0x36e29b(0x129e)](function(_0x107969){const _0x4f1daa=_0x36e29b;_0x4cc2bd[_0x4f1daa(0x1980)]({'title':_0x107969[_0x4f1daa(0x107b)]?_0x4f1daa(0x262a)+_0x107969[_0x4f1daa(0x107b)]+_0x4f1daa(0x1315)+_0x107969[_0x4f1daa(0x167f)]:'SYSTEM:DELETEhopper','msg':_0x107969[_0x4f1daa(0x524)]?JSON[_0x4f1daa(0x10bb)](_0x107969[_0x4f1daa(0x524)]):_0x107969[_0x4f1daa(0xd5f)]()});});}function _0x149db9(){const _0xd9c6a0=_0x23568b;_0x4cc197['queryHopper']['offset']=(_0x4cc197[_0xd9c6a0(0x1562)]['page']-0x1)*_0x4cc197['queryHopper'][_0xd9c6a0(0x221e)],_0x4cc197[_0xd9c6a0(0x1562)]['id']=_0x4cc197[_0xd9c6a0(0x1662)]['id'],_0x4cc197[_0xd9c6a0(0xb9c)]=_0x605028[_0xd9c6a0(0x18c3)]['getHoppers'](_0x4cc197['queryHopper'],_0x96668d(_0xd9c6a0(0x9f0)))[_0xd9c6a0(0x2945)];}function _0x58907e(){const _0x5cf110=_0x23568b;_0x4cc197[_0x5cf110(0x2822)][_0x5cf110(0x145d)]=(_0x4cc197[_0x5cf110(0x2822)][_0x5cf110(0x844)]-0x1)*_0x4cc197[_0x5cf110(0x2822)][_0x5cf110(0x221e)],_0x4cc197[_0x5cf110(0x2822)]['id']=_0x4cc197['contact']['id'],_0x4cc197[_0x5cf110(0xb9c)]=_0x605028['cmContact']['getHopperFinals'](_0x4cc197[_0x5cf110(0x2822)],_0x96668d('finals'))[_0x5cf110(0x2945)];}function _0x3fd0e7(){const _0x450ab1=_0x23568b;_0x4cc197[_0x450ab1(0x1536)][_0x450ab1(0x145d)]=(_0x4cc197[_0x450ab1(0x1536)]['page']-0x1)*_0x4cc197[_0x450ab1(0x1536)][_0x450ab1(0x221e)],_0x4cc197[_0x450ab1(0x1536)]['id']=_0x4cc197[_0x450ab1(0x1662)]['id'],_0x4cc197[_0x450ab1(0xb9c)]=_0x605028[_0x450ab1(0x18c3)][_0x450ab1(0x12b3)](_0x4cc197[_0x450ab1(0x1536)],_0x96668d(_0x450ab1(0xf95)))[_0x450ab1(0x2945)];}function _0x5248c8(){const _0x1429bb=_0x23568b;_0x4cc197[_0x1429bb(0x157d)][_0x1429bb(0x145d)]=(_0x4cc197[_0x1429bb(0x157d)][_0x1429bb(0x844)]-0x1)*_0x4cc197[_0x1429bb(0x157d)]['limit'],_0x4cc197['queryJscriptySession']['id']=_0x4cc197[_0x1429bb(0x1662)]['id'],_0x4cc197['promise']=_0x605028['cmContact'][_0x1429bb(0x1576)](_0x4cc197[_0x1429bb(0x157d)],_0x96668d(_0x1429bb(0x1d6d)))['$promise'];}function _0x1de0e0(_0x55d592){const _0x4d0ec1=_0x23568b;if(_0x4cc197[_0x4d0ec1(0x1662)]['ListId']){const _0x4ac4f1=_0x557e47['confirm']()[_0x4d0ec1(0x1189)](_0x4d0ec1(0x15f7)+_0x4cc197[_0x4d0ec1(0x1662)][_0x4d0ec1(0xb7c)])[_0x4d0ec1(0x199c)](_0x4d0ec1(0x10e4)+_0x4cc197[_0x4d0ec1(0x1662)][_0x4d0ec1(0x1ed4)]||0x0||0x0)[_0x4d0ec1(0x1f27)](_0x55d592)['ok']('Ok')[_0x4d0ec1(0x6c3)]('Cancel');return _0x557e47['show'](_0x4ac4f1)['then'](_0x4cc197['onSelectListSuccess'])[_0x4d0ec1(0x129e)](function(){const _0x4631e=_0x4d0ec1;_0x4cc197[_0x4631e(0x1662)][_0x4631e(0xb7c)]=null;});}}function _0x4cbf78(){const _0x396d2f=_0x23568b;return _0x605028['cmList'][_0x396d2f(0x2328)]({'id':_0x4cc197['contact']['ListId']})[_0x396d2f(0x2945)]['then'](function(_0x3fad5c){const _0x2963cb=_0x396d2f;_0x4cc197[_0x2963cb(0x10ba)]=_0x3fad5c||{'rows':[],'count':0x0};})[_0x396d2f(0x129e)](function(_0x2e7cd6){const _0xefa0e=_0x396d2f;console[_0xefa0e(0x1980)](_0x2e7cd6);});}function _0x68120a(){const _0x26a7ec=_0x23568b;return _0x605028[_0x26a7ec(0x1366)][_0x26a7ec(0x16b4)]({'userProfileId':_0x4cc197[_0x26a7ec(0x2321)][_0x26a7ec(0x209a)],'sectionId':0x12e})[_0x26a7ec(0x2945)][_0x26a7ec(0x146b)](function(_0x2beef2){const _0x297765=_0x26a7ec,_0x97e74e=_0x2beef2['rows']?_0x2beef2[_0x297765(0x19c7)][0x0]:null;return _0x569776[_0x297765(0xe60)](_0x97e74e?_0x97e74e['crudPermissions']:null);})[_0x26a7ec(0x129e)](function(_0x99a235){const _0x1f2a51=_0x26a7ec;_0x4cc2bd[_0x1f2a51(0x1980)]({'title':_0x99a235[_0x1f2a51(0x107b)]?'API:'+_0x99a235[_0x1f2a51(0x107b)]+'\x20-\x20'+_0x99a235[_0x1f2a51(0x167f)]:_0x1f2a51(0x1c2),'msg':_0x99a235[_0x1f2a51(0x524)]?JSON['stringify'](_0x99a235['data']):_0x99a235[_0x1f2a51(0xd5f)]()});});}function _0xd75f43(_0x1f90a6,_0xf35eb0){const _0x5a3aa3=_0x23568b,_0xe8df7c=_0x3f65c0()[_0x5a3aa3(0xc84)](_0x4cc197[_0x5a3aa3(0x762)][_0x5a3aa3(0x19c7)],{'id':_0xf35eb0});_0x557e47[_0x5a3aa3(0x2615)]({'controller':_0x5a3aa3(0x39d),'controllerAs':'vm','templateUrl':_0x25278a,'parent':angular['element'](_0x135212[_0x5a3aa3(0x2586)]),'targetEvent':_0x1f90a6,'clickOutsideToClose':!![],'locals':{'companies':[],'license':null,'setting':null,'crudPermissions':_0xe8df7c[_0x5a3aa3(0x8ff)]===![]?{'readOnly':!![],'canEdit':![],'canDelete':![]}:_0x68120a()},'resolve':{'company':[_0x5a3aa3(0x362),function(_0x590fa2){const _0x3fac97=_0x5a3aa3;return _0x590fa2[_0x3fac97(0x2922)]('cmCompany@get',{'id':_0xf35eb0});}]}});}function _0x3feabd(_0x3ad229){const _0x997848=_0x23568b;_0x557e47['show']({'controller':_0x997848(0x11f3),'controllerAs':'vm','templateUrl':_0x3f981f,'parent':angular['element'](_0x135212[_0x997848(0x2586)]),'targetEvent':_0x3ad229,'clickOutsideToClose':!![],'resolve':{'lists':[_0x997848(0x362),_0x997848(0xa87),function(_0x10d10b,_0x5c4760){const _0x372d8d=_0x997848;return _0x5c4760[_0x372d8d(0x23e0)](_0x372d8d(0x174b))?_0x10d10b[_0x372d8d(0x2922)](_0x372d8d(0xd64),{'fields':_0x372d8d(0x7a7)}):_0x10d10b['resolve']('userProfile@getResources',{'id':_0x4cc197[_0x372d8d(0x2321)]['userProfileId'],'section':'Lists','fields':_0x372d8d(0x7a7)});}]},'locals':{'contacts':_0x408cbf[_0x997848(0x19c7)],'contact':_0x3ec806}});}function _0x1a4f3b(){const _0x1e464a=_0x23568b;_0x605028[_0x1e464a(0x18c3)][_0x1e464a(0x18e1)]({'id':_0x4cc197['contact']['id']},_0x4cc197['contact'])[_0x1e464a(0x2945)]['then'](function(){const _0xed9d64=_0x1e464a;_0x4cc2bd[_0xed9d64(0x1c75)]({'title':'Contact\x20updated!','msg':_0x4cc197[_0xed9d64(0x1662)][_0xed9d64(0x19eb)]?_0x4cc197['contact'][_0xed9d64(0x19eb)]+_0xed9d64(0x6b0):''});})[_0x1e464a(0x146b)](function(){const _0x3bc115=_0x1e464a;return _0x605028['cmContact'][_0x3bc115(0x13eb)]({'id':_0x4cc197['contact']['id'],'ids':_0x4cc197[_0x3bc115(0x2331)]})[_0x3bc115(0x2945)];})[_0x1e464a(0x129e)](function(_0x8a53b5){const _0x134094=_0x1e464a;_0x4cc2bd['error']({'title':_0x8a53b5[_0x134094(0x107b)]?_0x134094(0x262a)+_0x8a53b5[_0x134094(0x107b)]+_0x134094(0x1315)+_0x8a53b5['statusText']:_0x134094(0x408),'msg':_0x8a53b5[_0x134094(0x524)]?JSON['stringify'](_0x8a53b5['data']):_0x8a53b5[_0x134094(0xd5f)]()});});}function _0x2561de(_0x5f1d23){return _0x5f1d23===null?undefined:new Date(_0x5f1d23);}function _0x338fd8(){const _0x191255=_0x23568b;_0x51e2ee['go'](_0x191255(0x23fa),{},{'reload':!![],'notify':!![]});}}const _0x4aaf41=_0x407354;;_0x58d558[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),_0x313a4d(0x10e8),_0x313a4d(0xa0a),'$q',_0x313a4d(0x1abe),_0x313a4d(0x1324),_0x313a4d(0x762),_0x313a4d(0x1fe4),_0x313a4d(0x247f),'socket',_0x313a4d(0x910),'file',_0x313a4d(0x2867),_0x313a4d(0x2690)];function _0x58d558(_0x194369,_0x3f4e3b,_0xc5bd6f,_0x4a7f59,_0x19e6e9,_0x180824,_0x18451f,_0x4781f9,_0xae36fc,_0x53b0d1,_0x1bd8df,_0xa23478,_0x19a814,_0x3b159f){const _0x3288b3=_0x313a4d,_0x95bcc7=this;_0x95bcc7[_0x3288b3(0x40c)]=![],_0x95bcc7[_0x3288b3(0xaad)]=!![],_0x95bcc7[_0x3288b3(0x2690)]=_0x3b159f,_0x95bcc7[_0x3288b3(0x1086)]=0x0,_0x95bcc7[_0x3288b3(0x61a)]={'finish':![],'rows':0x0,'affectedRows':0x0,'failedRows':0x0,'duplicatedRows':0x0,'errors':[]},_0x95bcc7['contactFields']=[{'key':'firstName','required':!![]},{'key':_0x3288b3(0xee4),'required':![]},{'key':_0x3288b3(0x1d55),'required':!![]},{'key':'mobile','required':![]},{'key':_0x3288b3(0x1a2b),'required':![]},{'key':'dateOfBirth','required':![]},{'key':_0x3288b3(0x203d),'required':![]},{'key':_0x3288b3(0x7d1),'required':![]},{'key':_0x3288b3(0xcc3),'required':![]},{'key':_0x3288b3(0x10c),'required':![]},{'key':_0x3288b3(0x22d9),'required':![]}],_0x95bcc7[_0x3288b3(0x180b)]=[{'key':_0x3288b3(0xea2),'required':![]},{'key':_0x3288b3(0x432),'required':![]},{'key':_0x3288b3(0xa6a),'required':![]}],_0x95bcc7['binding']={},_0x95bcc7[_0x3288b3(0x14f8)]=[],_0x95bcc7[_0x3288b3(0x1324)]=_0x180824||{'rows':[],'count':0x0},_0x95bcc7[_0x3288b3(0x762)]=_0x18451f||{'rows':[],'count':0x0},_0x95bcc7['customFields']={'rows':[],'count':0x0},_0x95bcc7[_0x3288b3(0x2867)]=_0x19a814||[],_0x95bcc7[_0x3288b3(0x13f3)]=_0x2f840b,_0x95bcc7[_0x3288b3(0x17b6)]=_0x35cbd0,_0x95bcc7[_0x3288b3(0x140b)]=_0x587200,_0x95bcc7[_0x3288b3(0x2d0)]=_0x19fb8e,_0x95bcc7['getArrayErrors']=_0x40933e,_0x95bcc7[_0x3288b3(0x4f7)]=_0x140707;const _0x216030=_0x2deec6()()['unix']();_0x53b0d1['on'](_0x3288b3(0x11b2)+_0x216030,_0x216a98);function _0x35cbd0(){const _0x22ee1d=_0x3288b3;return _0xae36fc[_0x22ee1d(0xf03)][_0x22ee1d(0x2328)]({'id':_0x95bcc7[_0x22ee1d(0xb7c)]})[_0x22ee1d(0x2945)]['then'](function(_0x10189e){const _0x37d9d2=_0x22ee1d;_0x95bcc7[_0x37d9d2(0x10ba)]=_0x10189e;})[_0x22ee1d(0x129e)](function(_0x15bf27){const _0x390b1b=_0x22ee1d;console[_0x390b1b(0x1980)](_0x15bf27);});}function _0x587200(){const _0x10adbc=_0x3288b3;_0x95bcc7['processing']=_0x2deec6()(),_0xae36fc['cmContact'][_0x10adbc(0x12b2)]({'id':_0xa23478['filename'],'binding':_0x95bcc7['binding'],'ListId':_0x95bcc7[_0x10adbc(0xb7c)],'CompanyId':_0x95bcc7[_0x10adbc(0xe7c)],'duplicates':_0x95bcc7[_0x10adbc(0x1774)],'socket_timestamp':_0x216030})[_0x10adbc(0x2945)][_0x10adbc(0x146b)](function(_0x466b42){const _0x5e11c9=_0x10adbc;_0x95bcc7[_0x5e11c9(0xaad)]=![],_0x466b42&&_0x466b42[_0x5e11c9(0x1086)]&&(_0x95bcc7[_0x5e11c9(0x1086)]=_0x466b42[_0x5e11c9(0x1086)]);})[_0x10adbc(0x129e)](function(){const _0x32fec4=_0x10adbc;_0x95bcc7[_0x32fec4(0x40c)]=![],_0x4781f9[_0x32fec4(0x1980)]({'title':_0x32fec4(0x1977),'msg':_0x32fec4(0xcc0)});});}function _0x216a98(_0x29d45b){_0x19e6e9(function(){const _0x50467c=a0_0x3bb9;_0x95bcc7[_0x50467c(0x61a)][_0x50467c(0x19c7)]=_0x29d45b[_0x50467c(0x19c7)];if(_0x29d45b[_0x50467c(0xcef)])for(let _0x4d19c0=0x0;_0x4d19c0<_0x29d45b[_0x50467c(0xcef)][_0x50467c(0x402)];_0x4d19c0++){_0x95bcc7[_0x50467c(0x61a)][_0x50467c(0xcef)][_0x50467c(0x1f47)](_0x29d45b[_0x50467c(0xcef)][_0x4d19c0]);}if(_0x29d45b['finish']){_0x95bcc7[_0x50467c(0x61a)][_0x50467c(0x25d2)]=!![],_0x95bcc7[_0x50467c(0x61a)][_0x50467c(0xaf4)]=_0x29d45b['affectedRows'],_0x95bcc7[_0x50467c(0x61a)]['failedRows']=_0x95bcc7[_0x50467c(0x61a)][_0x50467c(0xcef)][_0x50467c(0x402)];const _0x54e0b8=_0x95bcc7[_0x50467c(0x61a)][_0x50467c(0x19c7)]-_0x95bcc7['result'][_0x50467c(0xaf4)]-_0x95bcc7[_0x50467c(0x61a)][_0x50467c(0xcef)]['length'];_0x54e0b8&&_0x54e0b8>0x0&&(_0x95bcc7['duplicates'][_0x50467c(0x402)]?_0x95bcc7['result']['duplicatedRows']=_0x54e0b8:_0x95bcc7[_0x50467c(0x61a)]['failedRows']+=_0x54e0b8),_0x95bcc7['result'][_0x50467c(0xbe4)]=_0x2deec6()()[_0x50467c(0x1bb5)](_0x95bcc7[_0x50467c(0x40c)],'seconds');}});}function _0x19fb8e(){const _0x313984=_0x3288b3,_0x1ab6a8=_0x19a814;return _0x1ab6a8[_0x313984(0x1f47)]('contact_import_error'),_0x1ab6a8;}function _0x40933e(){const _0x29e87c=_0x3288b3,_0xb9e4ee=[];for(let _0x1352d7=0x0;_0x1352d7<_0x95bcc7['result'][_0x29e87c(0xcef)][_0x29e87c(0x402)];_0x1352d7+=0x1){const _0x44f723=_0x95bcc7['result']['errors'][_0x1352d7]['row'];_0x44f723['contact_import_error']=_0x95bcc7['result'][_0x29e87c(0xcef)][_0x1352d7][_0x29e87c(0x7fd)],_0xb9e4ee[_0x29e87c(0x1f47)](_0x44f723);}return _0xb9e4ee;}function _0x140707(){const _0x33b02c=_0x3288b3;_0x95bcc7[_0x33b02c(0xaad)]=!![];if(_0x95bcc7[_0x33b02c(0x1086)])return _0xae36fc['system'][_0x33b02c(0xa2e)]({'pid':_0x95bcc7['pid']})[_0x33b02c(0x2945)][_0x33b02c(0x146b)](function(){const _0xc10dab=_0x33b02c;_0x4781f9[_0xc10dab(0x1c75)]({'title':_0xc10dab(0x1f42),'msg':'Import\x20has\x20been\x20stopped!'});})[_0x33b02c(0x129e)](function(){const _0x440ec0=_0x33b02c;_0x4781f9['error']({'title':_0x440ec0(0xce1),'msg':_0x440ec0(0x1177)});});}_0x1bd8df[_0x3288b3(0x16ad)]('$destroy',function(){const _0x4dee36=_0x3288b3;_0x53b0d1['removeAllListeners'](_0x4dee36(0x11b2)+_0x216030);});function _0x2f840b(){const _0x6e2146=_0x3288b3;_0x53b0d1['removeAllListeners'](_0x6e2146(0x11b2)+_0x216030),_0x3f4e3b[_0x6e2146(0x2458)]();}}const _0x33257f=_0x58d558;;_0x372591['$inject']=['$mdDialog',_0x313a4d(0x910),_0x313a4d(0x1fe4),_0x313a4d(0x1662),'contacts',_0x313a4d(0x1324),_0x313a4d(0x247f)];function _0x372591(_0x58e9ab,_0xa513fe,_0x5386b9,_0x2ea8e0,_0x15333a,_0x4903d8,_0x35b2f7){const _0x5c7fdf=_0x313a4d,_0x16fd05=this;_0x16fd05['errors']=[],_0x16fd05[_0x5c7fdf(0x1189)]=_0x5c7fdf(0xd69),_0x16fd05[_0x5c7fdf(0x1662)]=angular['copy'](_0x2ea8e0),_0x16fd05[_0x5c7fdf(0x1324)]=_0x4903d8||[],_0x16fd05[_0x5c7fdf(0x1cb1)]=function(){const _0x3347ac=_0x5c7fdf;_0x16fd05[_0x3347ac(0x1e5d)]?_0x35b2f7[_0x3347ac(0x18c3)][_0x3347ac(0x16b4)]({'fields':_0x3347ac(0x1521),'filter':_0x16fd05[_0x3347ac(0x1e5d)],'ListId':_0x2ea8e0[_0x3347ac(0xb7c)]})[_0x3347ac(0x2945)][_0x3347ac(0x146b)](function(_0x15a076){const _0x3f0acb=_0x3347ac;_0x16fd05[_0x3f0acb(0x1471)]=_0x15a076[_0x3f0acb(0x19c7)];})['catch'](function(_0x5a699b){const _0x48bd6b=_0x3347ac;console[_0x48bd6b(0x1980)](_0x5a699b);}):_0x16fd05[_0x3347ac(0x1471)]=[];},_0x16fd05['showConfirm']=_0x555137,_0x16fd05[_0x5c7fdf(0x13f3)]=_0x44e9f9;function _0x555137(_0xa0102e,_0x1cc927,_0x4e4ce3){const _0xb07983=_0x5c7fdf,_0x42e987=_0x58e9ab['confirm']({'skipHide':!![]})[_0xb07983(0x1189)]('Would\x20you\x20like\x20to\x20merge\x20your\x20contacs?')[_0xb07983(0x199c)]('All\x20unfilled\x20values\x20of\x20the\x20contact\x20#'+_0x4e4ce3['id']+_0xb07983(0x1176)+_0x1cc927['id']+'.')['targetEvent'](_0xa0102e)['ok']('Ok')[_0xb07983(0x6c3)](_0xb07983(0xcf0));_0x58e9ab['show'](_0x42e987)['then'](function(){const _0xa345b4=_0xb07983;return _0x35b2f7[_0xa345b4(0x18c3)]['merge']({'to':_0x4e4ce3['id'],'from':_0x1cc927['id']})[_0xa345b4(0x2945)];})[_0xb07983(0x146b)](function(_0x42631d){const _0x12266b=_0xb07983;_0x58e9ab['hide']({'new':_0x42631d,'to':_0x4e4ce3,'from':_0x1cc927}),_0x3f65c0()['remove'](_0x15333a,{'id':_0x4e4ce3['id']}),_0x3f65c0()['remove'](_0x15333a,{'id':_0x1cc927['id']}),_0x15333a[_0x12266b(0xb3d)](_0x42631d);})[_0xb07983(0x146b)](function(){const _0x2c388e=_0xb07983;_0x5386b9[_0x2c388e(0x1c75)]({'title':_0x2c388e(0x18a5)});})[_0xb07983(0x129e)](function(_0x4e2d7f){const _0x10a3bc=_0xb07983;_0x4e2d7f&&_0x4e2d7f[_0x10a3bc(0x107b)]&&_0x5386b9[_0x10a3bc(0x1980)]({'title':_0x4e2d7f[_0x10a3bc(0x107b)]?_0x10a3bc(0x262a)+_0x4e2d7f['status']+_0x10a3bc(0x1315)+_0x4e2d7f[_0x10a3bc(0x167f)]:_0x10a3bc(0x1583),'msg':_0x4e2d7f[_0x10a3bc(0x524)]?JSON[_0x10a3bc(0x10bb)](_0x4e2d7f['data']):_0x4e2d7f[_0x10a3bc(0xd5f)]()});});}function _0x44e9f9(){const _0x356f33=_0x5c7fdf;_0x58e9ab[_0x356f33(0x2458)]();}}const _0xa844f2=_0x372591;;const _0x51785c=_0x4acfac['p']+_0x313a4d(0x2789);;const _0x271993=_0x4acfac['p']+_0x313a4d(0x185f);;_0x29dc79[_0x313a4d(0x11c2)]=[_0x313a4d(0x921)];function _0x29dc79(_0x2cf106){const _0x3f5806=_0x313a4d;_0x2cf106['state'](_0x3f5806(0x23fa),{'url':_0x3f5806(0x113d),'views':{'content@app':{'templateUrl':_0x51785c,'controller':_0x3f5806(0xd46)}},'resolve':{'contacts':[_0x3f5806(0x362),_0x3f5806(0xa87),_0x3f5806(0x28c8),function(_0xedd43,_0x5e6166,_0x108cc8){const _0x3dfa66=_0x3f5806;return _0x5e6166[_0x3dfa66(0x23e0)]('admin')?_0xedd43[_0x3dfa66(0x2922)](_0x3dfa66(0x17d4),_0x3f65c0()['merge']({'includeAll':!![],'sort':_0x3dfa66(0x12f2),'limit':0xa,'offset':0x0},_0x108cc8)):_0xedd43[_0x3dfa66(0x2922)](_0x3dfa66(0x938),_0x3f65c0()[_0x3dfa66(0x168d)]({'id':_0x5e6166[_0x3dfa66(0xb12)]()[_0x3dfa66(0x209a)],'section':_0x3dfa66(0x1efa),'includeAll':!![],'sort':_0x3dfa66(0x12f2),'limit':0xa,'offset':0x0},_0x108cc8));}],'lists':[_0x3f5806(0x362),_0x3f5806(0xa87),function(_0x59999b,_0x57ef58){const _0x3dc762=_0x3f5806;return _0x57ef58[_0x3dc762(0x23e0)]('admin')?_0x59999b[_0x3dc762(0x2922)](_0x3dc762(0xd64),{'fields':_0x3dc762(0x7a7),'sort':_0x3dc762(0x19eb),'nolimit':!![]}):_0x59999b[_0x3dc762(0x2922)](_0x3dc762(0x938),{'id':_0x57ef58[_0x3dc762(0xb12)]()[_0x3dc762(0x209a)],'section':_0x3dc762(0x174f),'fields':_0x3dc762(0x7a7),'sort':_0x3dc762(0x12f2),'nolimit':!![]});}],'listsMap':[_0x3f5806(0x1324),function(_0x4b3aff){const _0x91d957=_0x3f5806,_0x9369b2={};for(let _0x3157ff=0x0;_0x3157ff<_0x4b3aff['rows'][_0x91d957(0x402)];_0x3157ff++){_0x9369b2[_0x4b3aff[_0x91d957(0x19c7)][_0x3157ff]['id']]=_0x4b3aff[_0x91d957(0x19c7)][_0x3157ff][_0x91d957(0x19eb)];}return _0x9369b2;}],'companies':[_0x3f5806(0x362),_0x3f5806(0xa87),function(_0x20e716,_0x4da37c){const _0x1f2327=_0x3f5806;return _0x4da37c[_0x1f2327(0x23e0)](_0x1f2327(0x174b))?_0x20e716[_0x1f2327(0x2922)](_0x1f2327(0x11ff),{'fields':_0x1f2327(0x7a7),'sort':_0x1f2327(0x19eb),'nolimit':!![]}):_0x20e716['resolve']('userProfile@getResources',{'id':_0x4da37c['getCurrentUser']()[_0x1f2327(0x209a)],'section':'Companies','fields':_0x1f2327(0x7a7),'sort':_0x1f2327(0x19eb),'nolimit':!![]});}],'companiesMap':[_0x3f5806(0x762),function(_0x54fca6){const _0x1587bb=_0x3f5806,_0x5e7ff2={};for(let _0xf727e7=0x0;_0xf727e7<_0x54fca6['rows'][_0x1587bb(0x402)];_0xf727e7++){_0x5e7ff2[_0x54fca6[_0x1587bb(0x19c7)][_0xf727e7]['id']]=_0x54fca6[_0x1587bb(0x19c7)][_0xf727e7]['name'];}return _0x5e7ff2;}],'tags':[_0x3f5806(0x362),_0x3f5806(0xa87),function(_0x2326eb,_0x57de05){const _0x3dbc9a=_0x3f5806;return _0x57de05[_0x3dbc9a(0x23e0)]('admin')?_0x2326eb[_0x3dbc9a(0x2922)](_0x3dbc9a(0x2552),{'fields':_0x3dbc9a(0x7a7),'sort':_0x3dbc9a(0x19eb),'nolimit':!![]}):_0x2326eb['resolve'](_0x3dbc9a(0x938),{'id':_0x57de05['getCurrentUser']()[_0x3dbc9a(0x209a)],'section':'Tags','fields':_0x3dbc9a(0x7a7),'sort':_0x3dbc9a(0x19eb),'nolimit':!![]});}],'userProfile':[_0x3f5806(0x362),_0x3f5806(0xa87),function(_0x182120,_0x3106c6){const _0x4c75ad=_0x3f5806;return _0x182120[_0x4c75ad(0x2922)](_0x4c75ad(0x119a),{'fields':_0x4c75ad(0x227),'id':_0x3106c6['getCurrentUser']()[_0x4c75ad(0x209a)]});}],'userProfileSection':[_0x3f5806(0x362),_0x3f5806(0xa87),function(_0x58bb28,_0x253bfc){const _0x197ed0=_0x3f5806;return _0x58bb28[_0x197ed0(0x2922)](_0x197ed0(0x27be),{'fields':_0x197ed0(0x1e64),'userProfileId':_0x253bfc[_0x197ed0(0xb12)]()[_0x197ed0(0x209a)],'sectionId':0x12f});}]},'authenticate':!![],'permissionId':0x12f,'bodyClass':_0x3f5806(0x16a7)})['state'](_0x3f5806(0x575),{'url':_0x3f5806(0x2028),'params':{'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x271993,'controller':_0x3f5806(0x1ead)}},'resolve':{'contact':[_0x3f5806(0x362),_0x3f5806(0x28c8),function(_0x2f867e,_0x2ae917){const _0x2bad20=_0x3f5806;return _0x2f867e[_0x2bad20(0x2922)](_0x2bad20(0x17d4),{'id':_0x2ae917['id']})['then'](function(_0x59a844){const _0x9a4502=_0x2bad20;return _0x59a844[_0x9a4502(0xc5c)]&&(_0x59a844['dateOfBirth']=new Date(_0x59a844[_0x9a4502(0xc5c)])),_0x59a844;})['catch'](function(_0xc82a7b){console['error'](_0xc82a7b);});}],'hoppers':[_0x3f5806(0x362),'$stateParams',function(_0x3d62bd,_0x4a8645){const _0x145756=_0x3f5806;return _0x3d62bd[_0x145756(0x2922)](_0x145756(0x65d),{'id':_0x4a8645['id'],'fields':_0x145756(0xadb),'sort':_0x145756(0x12f2),'limit':0xa,'offset':0x0});}],'finals':[_0x3f5806(0x362),'$stateParams',function(_0x304c6e,_0x8a47c){const _0x2f1e5a=_0x3f5806;return _0x304c6e[_0x2f1e5a(0x2922)](_0x2f1e5a(0x20a5),{'id':_0x8a47c['id'],'fields':_0x2f1e5a(0x2751),'sort':'-updatedAt','limit':0xa,'offset':0x0});}],'histories':['apiResolver',_0x3f5806(0x28c8),function(_0x5c5c2f,_0x262484){const _0xd8c95f=_0x3f5806;return _0x5c5c2f[_0xd8c95f(0x2922)]('cmContact@getHopperHistories',{'id':_0x262484['id'],'fields':_0xd8c95f(0x25ad),'sort':_0xd8c95f(0x12f2),'limit':0xa,'offset':0x0});}],'jscriptySessions':[_0x3f5806(0x362),_0x3f5806(0x28c8),function(_0x2c8d75,_0x444d61){const _0x2e32b0=_0x3f5806;return _0x2c8d75[_0x2e32b0(0x2922)]('cmContact@getJscriptySessions',{'id':_0x444d61['id'],'fields':_0x2e32b0(0x21b8),'sort':_0x2e32b0(0xc89),'limit':0xa,'offset':0x0});}],'companies':[_0x3f5806(0x362),_0x3f5806(0xa87),function(_0x4c5b64,_0x41c4e1){const _0x83d8cc=_0x3f5806;return _0x41c4e1['hasRole'](_0x83d8cc(0x174b))?_0x4c5b64[_0x83d8cc(0x2922)](_0x83d8cc(0x11ff)):_0x4c5b64[_0x83d8cc(0x2922)]('userProfile@getResources',{'id':_0x41c4e1[_0x83d8cc(0xb12)]()[_0x83d8cc(0x209a)],'section':'Companies'});}],'lists':[_0x3f5806(0x362),_0x3f5806(0xa87),function(_0x11f953,_0x57d7ba){const _0x421fd6=_0x3f5806;return _0x57d7ba[_0x421fd6(0x23e0)]('admin')?_0x11f953[_0x421fd6(0x2922)](_0x421fd6(0xd64),{'fields':_0x421fd6(0x7a7),'sort':_0x421fd6(0x12f2),'nolimit':!![]}):_0x11f953['resolve'](_0x421fd6(0x938),{'id':_0x57d7ba[_0x421fd6(0xb12)]()[_0x421fd6(0x209a)],'section':_0x421fd6(0x174f),'fields':'id,name','sort':_0x421fd6(0x12f2),'nolimit':!![]});}],'customFields':[_0x3f5806(0x362),_0x3f5806(0x1662),function(_0x4c4f0f,_0x3f643e){const _0x54b450=_0x3f5806;return _0x3f643e['ListId']?_0x4c4f0f[_0x54b450(0x2922)](_0x54b450(0xdd4),{'id':_0x3f643e[_0x54b450(0xb7c)]}):null;}],'tags':[_0x3f5806(0x362),'Auth',function(_0x11448b,_0x3affe5){const _0x4a6839=_0x3f5806;return _0x3affe5[_0x4a6839(0x23e0)]('admin')?_0x11448b['resolve']('tag@get'):_0x11448b['resolve'](_0x4a6839(0x938),{'id':_0x3affe5[_0x4a6839(0xb12)]()[_0x4a6839(0x209a)],'section':_0x4a6839(0x2331)});}],'userProfileSection':[_0x3f5806(0x362),_0x3f5806(0xa87),function(_0x597f17,_0x1102a2){const _0x1afdca=_0x3f5806;return _0x597f17[_0x1afdca(0x2922)](_0x1afdca(0x27be),{'fields':_0x1afdca(0x1e64),'userProfileId':_0x1102a2[_0x1afdca(0xb12)]()['userProfileId'],'sectionId':0x12f});}]},'authenticate':!![],'permissionId':0x12f,'bodyClass':_0x3f5806(0x16a7)});}angular['module'](_0x313a4d(0x23fa),[])[_0x313a4d(0x989)](_0x29dc79)[_0x313a4d(0x28f0)](_0x313a4d(0x291c),_0xb0b6cf)['controller'](_0x313a4d(0x17e1),_0x4e4378)[_0x313a4d(0x28f0)](_0x313a4d(0x11f3),_0x14b405)[_0x313a4d(0x28f0)](_0x313a4d(0x2021),_0x5bdb16)[_0x313a4d(0x28f0)](_0x313a4d(0x23bc),_0x4aaf41)[_0x313a4d(0x28f0)]('ImportContactsDialogController',_0x33257f)[_0x313a4d(0x28f0)]('MergeContactDialogController',_0xa844f2);;const _0x4dae68=_0x4acfac['p']+_0x313a4d(0x2067);;_0x39cfb6[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),'$document','$timeout',_0x313a4d(0x214b),'companies','userProfile','userProfileSection',_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x39cfb6(_0x479350,_0x23b130,_0x35cab9,_0x5cddf2,_0x1f3c64,_0x432fb0,_0x2e5c83,_0x2e6acb,_0x1e8380,_0x3036c6,_0x4ee040,_0x4743e3,_0x449070,_0x59cf05,_0xf6ad72,_0xec15b5,_0x56f994){const _0x345fd2=_0x313a4d,_0x16d9eb=this;_0x16d9eb['license']=_0xec15b5,_0x16d9eb[_0x345fd2(0x15b9)]=_0x56f994,_0x16d9eb[_0x345fd2(0x2321)]=_0xf6ad72[_0x345fd2(0xb12)](),_0x16d9eb['companies']=_0x1e8380||{'count':0x0,'rows':[]},_0x16d9eb[_0x345fd2(0x26b6)]=_0x3036c6,_0x16d9eb[_0x345fd2(0x1366)]=_0x4ee040&&_0x4ee040['count']==0x1?_0x4ee040[_0x345fd2(0x19c7)][0x0]:null,_0x16d9eb[_0x345fd2(0x2514)]=_0xf6ad72['parseCrudPermissions'](_0x16d9eb[_0x345fd2(0x1366)]?_0x16d9eb['userProfileSection']['crudPermissions']:null),_0x16d9eb['table']=_0x345fd2(0x762),_0x16d9eb[_0x345fd2(0x216a)]='',_0x16d9eb[_0x345fd2(0x214f)]=null,_0x16d9eb[_0x345fd2(0x64e)]=[],_0x16d9eb[_0x345fd2(0x1a56)]={'fields':'createdAt,updatedAt,id,name,phone,vat,fax,companyId,type,website,emailDomain,email,description,sStreet,sPostalCode,sCity,sCountry,street,postalCode,city,country','sort':_0x345fd2(0x12f2),'limit':0xa,'page':0x1},_0x16d9eb[_0x345fd2(0x23f9)]=_0x1af968,_0x16d9eb['gotocontactsgoto']=_0x5d8fc0,_0x16d9eb[_0x345fd2(0xf0a)]=_0xbcc8b0,_0x16d9eb[_0x345fd2(0x1c75)]=_0x58161a,_0x16d9eb[_0x345fd2(0x2855)]=_0x2873e1,_0x16d9eb['createOrEditCompany']=_0x845115,_0x16d9eb['deleteCompany']=_0x4a77a4,_0x16d9eb['exportSelectedCompanies']=_0x5573ce,_0x16d9eb[_0x345fd2(0x24b2)]=_0x5e7ccc,_0x16d9eb[_0x345fd2(0x2805)]=_0x5ab3cf,_0x16d9eb['selectAllCompanies']=_0x127b4d;function _0x1af968(_0x4727de){const _0x15772e=_0x345fd2;_0x35cab9['go'](_0x15772e(0xe3c),{'id':_0x4727de['id'],'company':_0x4727de,'crudPermissions':_0x16d9eb[_0x15772e(0x2514)]});}function _0x5d8fc0(_0x423116){const _0x58e8dc=_0x345fd2;if(_0xf6ad72[_0x58e8dc(0x23e0)](_0x58e8dc(0x174b)))_0x35cab9['go'](_0x58e8dc(0x23fa),{'CompanyId':_0x423116['id']});else return _0x4743e3['userProfileSection'][_0x58e8dc(0x16b4)]({'userProfileId':_0xf6ad72[_0x58e8dc(0xb12)]()[_0x58e8dc(0x209a)],'sectionId':0x12f})[_0x58e8dc(0x2945)][_0x58e8dc(0x146b)](function(_0x9c0d90){const _0x47e0d1=_0x58e8dc,_0x23250d=_0x9c0d90&&_0x9c0d90[_0x47e0d1(0x19c7)]?_0x9c0d90[_0x47e0d1(0x19c7)][0x0]:null;_0x23250d&&_0x23250d[_0x47e0d1(0x193e)]?_0x35cab9['go'](_0x47e0d1(0x23fa),{'CompanyId':_0x423116['id']}):_0x59cf05[_0x47e0d1(0x271e)]({'title':_0x2e6acb[_0x47e0d1(0xde)](_0x47e0d1(0xb27)),'msg':_0x2e6acb[_0x47e0d1(0xde)](_0x47e0d1(0x174a))});})[_0x58e8dc(0x129e)](function(_0x58f92a){const _0x376a6f=_0x58e8dc;_0x59cf05['error']({'title':_0x58f92a['status']?_0x376a6f(0x262a)+_0x58f92a[_0x376a6f(0x107b)]+'\x20-\x20'+_0x58f92a[_0x376a6f(0x167f)]:_0x376a6f(0x698),'msg':_0x58f92a['status']?JSON[_0x376a6f(0x10bb)](_0x58f92a[_0x376a6f(0x524)]):_0x58f92a[_0x376a6f(0xd5f)]()});});}function _0xbcc8b0(_0xd03003,_0x2c9e51){const _0x63511e=_0x345fd2,_0x58c8be=_0x1f3c64[_0x63511e(0x1e8a)]()[_0x63511e(0x1189)](_0x63511e(0xdb2)+_0x3f65c0()[_0x63511e(0x20d1)](_0x63511e(0x21ba))+'?')['htmlContent'](''+(_0xd03003[_0x63511e(0x19eb)]||_0x63511e(0x21ba))+_0x63511e(0x252f)+_0x63511e(0xe01))[_0x63511e(0x4bd)](_0x63511e(0x953))['targetEvent'](_0x2c9e51)['ok']('OK')[_0x63511e(0x6c3)](_0x63511e(0x39a));_0x1f3c64['show'](_0x58c8be)[_0x63511e(0x146b)](function(){_0x4a77a4(_0xd03003);},function(){const _0x38b226=_0x63511e;console[_0x38b226(0x1a74)]('CANCEL');});}let _0x8f9191=!![],_0x8619c0=0x1;_0x479350[_0x345fd2(0x21e8)](_0x345fd2(0x2669),function(_0x3abd5a,_0x4130a5){const _0x50d32c=_0x345fd2;_0x8f9191?_0x2e5c83(function(){_0x8f9191=![];}):(!_0x4130a5&&(_0x8619c0=_0x16d9eb[_0x50d32c(0x1a56)][_0x50d32c(0x844)]),_0x3abd5a!==_0x4130a5&&(_0x16d9eb['query'][_0x50d32c(0x844)]=0x1),!_0x3abd5a&&(_0x16d9eb[_0x50d32c(0x1a56)][_0x50d32c(0x844)]=_0x8619c0),_0x16d9eb[_0x50d32c(0x2855)]());});function _0x58161a(_0x548e95){const _0x58103c=_0x345fd2;_0x16d9eb[_0x58103c(0x762)]=_0x548e95||{'count':0x0,'rows':[]};}function _0x2873e1(){const _0x36db39=_0x345fd2;_0x16d9eb[_0x36db39(0x1a56)]['offset']=(_0x16d9eb[_0x36db39(0x1a56)][_0x36db39(0x844)]-0x1)*_0x16d9eb[_0x36db39(0x1a56)][_0x36db39(0x221e)],_0xf6ad72[_0x36db39(0x23e0)](_0x36db39(0x174b))?_0x16d9eb[_0x36db39(0xb9c)]=_0x4743e3['cmCompany'][_0x36db39(0x16b4)](_0x16d9eb[_0x36db39(0x1a56)],_0x58161a)['$promise']:(_0x16d9eb[_0x36db39(0x1a56)]['id']=_0x16d9eb[_0x36db39(0x26b6)]['id'],_0x16d9eb[_0x36db39(0x1a56)]['section']=_0x36db39(0x1a5e),_0x16d9eb[_0x36db39(0xb9c)]=_0x4743e3[_0x36db39(0x26b6)]['getResources'](_0x16d9eb[_0x36db39(0x1a56)],_0x58161a)[_0x36db39(0x2945)]);}function _0x845115(_0x1c12be,_0x1bc93e){const _0xc10c22=_0x345fd2;_0x1f3c64[_0xc10c22(0x2615)]({'controller':_0xc10c22(0x39d),'controllerAs':'vm','templateUrl':_0x4dae68,'parent':angular['element'](_0x432fb0[_0xc10c22(0x2586)]),'targetEvent':_0x1c12be,'clickOutsideToClose':!![],'locals':{'company':_0x1bc93e,'companies':_0x16d9eb[_0xc10c22(0x762)][_0xc10c22(0x19c7)],'license':_0x16d9eb[_0xc10c22(0x2690)],'setting':_0x16d9eb[_0xc10c22(0x15b9)],'crudPermissions':_0x16d9eb[_0xc10c22(0x2514)]}});}function _0x4a77a4(_0x4a92c0){const _0x5b5bcc=_0x345fd2;_0x4743e3[_0x5b5bcc(0x134d)][_0x5b5bcc(0x1fac)]({'id':_0x4a92c0['id']})['$promise']['then'](function(){const _0x803b93=_0x5b5bcc;_0x3f65c0()['remove'](_0x16d9eb[_0x803b93(0x762)]['rows'],{'id':_0x4a92c0['id']}),_0x16d9eb[_0x803b93(0x762)]['count']-=0x1,!_0x16d9eb[_0x803b93(0x762)]['rows']['length']&&_0x16d9eb['getCompanies'](),_0x59cf05[_0x803b93(0x1c75)]({'title':_0x3f65c0()[_0x803b93(0x20d1)](_0x803b93(0x26c9))+_0x803b93(0x201c),'msg':_0x4a92c0[_0x803b93(0x19eb)]?_0x4a92c0['name']+_0x803b93(0x23e3):''});})[_0x5b5bcc(0x129e)](function(_0x168875){const _0x34163f=_0x5b5bcc;if(_0x168875[_0x34163f(0x524)]&&_0x168875[_0x34163f(0x524)][_0x34163f(0xcef)]&&_0x168875['data'][_0x34163f(0xcef)][_0x34163f(0x402)]){_0x16d9eb['errors']=_0x168875[_0x34163f(0x524)][_0x34163f(0xcef)]||[{'message':_0x168875[_0x34163f(0xd5f)](),'type':'SYSTEM:DELETEcmCompany'}];for(let _0x442797=0x0;_0x442797<_0x168875[_0x34163f(0x524)][_0x34163f(0xcef)][_0x34163f(0x402)];_0x442797++){_0x59cf05[_0x34163f(0x1980)]({'title':_0x168875[_0x34163f(0x524)][_0x34163f(0xcef)][_0x442797][_0x34163f(0x1142)],'msg':_0x168875[_0x34163f(0x524)][_0x34163f(0xcef)][_0x442797][_0x34163f(0x7fd)]});}}else _0x59cf05[_0x34163f(0x1980)]({'title':_0x168875['status']?_0x34163f(0x262a)+_0x168875[_0x34163f(0x107b)]+_0x34163f(0x1315)+_0x168875[_0x34163f(0x167f)]:_0x34163f(0x28be),'msg':_0x168875['data']?JSON[_0x34163f(0x10bb)](_0x168875[_0x34163f(0x524)][_0x34163f(0x7fd)]):_0x168875[_0x34163f(0x7fd)]||_0x168875[_0x34163f(0xd5f)]()});});}function _0x5573ce(){const _0x36ee3e=_0x345fd2,_0x186f0a=angular['copy'](_0x16d9eb[_0x36ee3e(0x64e)]);return _0x16d9eb[_0x36ee3e(0x64e)]=[],_0x186f0a;}function _0x5e7ccc(_0x2ba187){const _0xa48d02=_0x345fd2,_0xbac7b8=_0x1f3c64['confirm']()[_0xa48d02(0x1189)](_0xa48d02(0x28ab))[_0xa48d02(0x1cbe)](_0xa48d02(0x16d3)+_0x16d9eb[_0xa48d02(0x64e)][_0xa48d02(0x402)]+'\x20selected'+_0xa48d02(0xe01))[_0xa48d02(0x4bd)](_0xa48d02(0x27ed))['targetEvent'](_0x2ba187)['ok']('OK')[_0xa48d02(0x6c3)](_0xa48d02(0x39a));_0x1f3c64[_0xa48d02(0x2615)](_0xbac7b8)['then'](function(){const _0x14491b=_0xa48d02;_0x16d9eb[_0x14491b(0x64e)]['forEach'](function(_0x52ebb9){_0x4a77a4(_0x52ebb9);}),_0x16d9eb[_0x14491b(0x64e)]=[];});}function _0x5ab3cf(){const _0x5e9ab1=_0x345fd2;_0x16d9eb[_0x5e9ab1(0x64e)]=[];}function _0x127b4d(){const _0x4123e8=_0x345fd2;_0x16d9eb[_0x4123e8(0x64e)]=_0x16d9eb['companies'][_0x4123e8(0x19c7)];}}const _0x4396c4=_0x39cfb6;;_0x42cd98['$inject']=[_0x313a4d(0x910),'$state',_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),'companies','company','api','Auth',_0x313a4d(0x2690),_0x313a4d(0x15b9),'crudPermissions'];function _0x42cd98(_0x1a04e8,_0x1afb57,_0x2cdafa,_0x48cd94,_0xaef8e4,_0x2a63cb,_0x1fc87a,_0x202175,_0x56f866,_0x270ccb,_0x38d6b6,_0x3f3136,_0x49c04b,_0x56442d){const _0x20b1cf=_0x313a4d,_0x30cc04=this;_0x30cc04['currentUser']=_0x38d6b6[_0x20b1cf(0xb12)](),_0x30cc04[_0x20b1cf(0xcef)]=[],_0x30cc04[_0x20b1cf(0x15b9)]=_0x49c04b,_0x30cc04[_0x20b1cf(0x2690)]=_0x3f3136,_0x30cc04[_0x20b1cf(0x2514)]=_0x56442d,_0x30cc04[_0x20b1cf(0x855)]={},_0x30cc04[_0x20b1cf(0x2251)]=_0x30cc04[_0x20b1cf(0x15b9)]&&_0x30cc04[_0x20b1cf(0x15b9)][_0x20b1cf(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x30cc04[_0x20b1cf(0x1189)]=_0x20b1cf(0x1b6e),_0x30cc04['company']=angular['copy'](_0x56f866),_0x30cc04[_0x20b1cf(0x762)]=_0x202175,_0x30cc04['newCompany']=![];!_0x30cc04['company']&&(_0x30cc04[_0x20b1cf(0x21ba)]={},_0x30cc04[_0x20b1cf(0x1189)]='CONTACTMANAGER.NEW_COMPANY',_0x30cc04[_0x20b1cf(0x4b6)]=!![]);_0x30cc04[_0x20b1cf(0xa8c)]=_0x4f335d,_0x30cc04['saveCompany']=_0x20b07c,_0x30cc04[_0x20b1cf(0xe8a)]=_0x1bcb48,_0x30cc04[_0x20b1cf(0xe73)]=_0x328637,_0x30cc04['closeDialog']=_0x598355;function _0x4f335d(){const _0x936a24=_0x20b1cf;_0x30cc04[_0x936a24(0xcef)]=[],_0x270ccb[_0x936a24(0x134d)][_0x936a24(0x1e3)](_0x30cc04[_0x936a24(0x21ba)])[_0x936a24(0x2945)]['then'](function(_0xb56501){const _0x4ef97a=_0x936a24;_0x30cc04[_0x4ef97a(0x762)][_0x4ef97a(0xb3d)](_0xb56501['toJSON']()),_0x1fc87a[_0x4ef97a(0x1c75)]({'title':_0x4ef97a(0x1d67),'msg':_0x30cc04[_0x4ef97a(0x21ba)][_0x4ef97a(0x19eb)]?_0x30cc04[_0x4ef97a(0x21ba)][_0x4ef97a(0x19eb)]+_0x4ef97a(0x1386):''}),_0x598355(_0xb56501);})['catch'](function(_0x176dcf){const _0x1550f7=_0x936a24;if(_0x176dcf[_0x1550f7(0x524)]&&_0x176dcf[_0x1550f7(0x524)]['errors']&&_0x176dcf[_0x1550f7(0x524)]['errors'][_0x1550f7(0x402)]){_0x30cc04['errors']=_0x176dcf[_0x1550f7(0x524)][_0x1550f7(0xcef)]||[{'message':_0x176dcf[_0x1550f7(0xd5f)](),'type':_0x1550f7(0x750)}];for(let _0x49e366=0x0;_0x49e366<_0x176dcf[_0x1550f7(0x524)][_0x1550f7(0xcef)][_0x1550f7(0x402)];_0x49e366+=0x1){_0x1fc87a[_0x1550f7(0x1980)]({'title':_0x176dcf[_0x1550f7(0x524)]['errors'][_0x49e366][_0x1550f7(0x1142)],'msg':_0x176dcf['data']['errors'][_0x49e366][_0x1550f7(0x7fd)]});}}else _0x1fc87a[_0x1550f7(0x1980)]({'title':_0x176dcf[_0x1550f7(0x107b)]?'API:'+_0x176dcf[_0x1550f7(0x107b)]+'\x20-\x20'+_0x176dcf[_0x1550f7(0x167f)]:_0x1550f7(0x750),'msg':_0x176dcf['data']?JSON[_0x1550f7(0x10bb)](_0x176dcf['data'][_0x1550f7(0x7fd)]):_0x176dcf[_0x1550f7(0xd5f)]()});});}function _0x20b07c(){const _0x4d6d0d=_0x20b1cf;_0x30cc04[_0x4d6d0d(0xcef)]=[],_0x270ccb[_0x4d6d0d(0x134d)][_0x4d6d0d(0x18e1)]({'id':_0x30cc04[_0x4d6d0d(0x21ba)]['id']},_0x30cc04[_0x4d6d0d(0x21ba)])['$promise'][_0x4d6d0d(0x146b)](function(_0x43026f){const _0x101dd1=_0x4d6d0d,_0x23115c=_0x3f65c0()[_0x101dd1(0xc84)](_0x30cc04['companies'],{'id':_0x43026f['id']});_0x23115c&&_0x3f65c0()[_0x101dd1(0x168d)](_0x23115c,_0x3f65c0()[_0x101dd1(0x40e)](_0x43026f[_0x101dd1(0x2488)](),_0x3f65c0()[_0x101dd1(0x627)](_0x23115c))),_0x1fc87a[_0x101dd1(0x1c75)]({'title':_0x101dd1(0x2285),'msg':_0x30cc04[_0x101dd1(0x21ba)]['name']?_0x30cc04[_0x101dd1(0x21ba)][_0x101dd1(0x19eb)]+'\x20has\x20been\x20saved!':''}),_0x598355(_0x43026f);})[_0x4d6d0d(0x129e)](function(_0x59a967){const _0x6fa307=_0x4d6d0d;if(_0x59a967[_0x6fa307(0x524)]&&_0x59a967[_0x6fa307(0x524)]['errors']&&_0x59a967[_0x6fa307(0x524)][_0x6fa307(0xcef)][_0x6fa307(0x402)]){_0x30cc04['errors']=_0x59a967['data'][_0x6fa307(0xcef)]||[{'message':_0x59a967[_0x6fa307(0xd5f)](),'type':'api.cmCompany.update'}];for(let _0x31c12f=0x0;_0x31c12f<_0x59a967[_0x6fa307(0x524)]['errors'][_0x6fa307(0x402)];_0x31c12f++){_0x1fc87a[_0x6fa307(0x1980)]({'title':_0x59a967[_0x6fa307(0x524)][_0x6fa307(0xcef)][_0x31c12f][_0x6fa307(0x1142)],'msg':_0x59a967['data']['errors'][_0x31c12f]['message']});}}else _0x1fc87a[_0x6fa307(0x1980)]({'title':_0x59a967[_0x6fa307(0x107b)]?_0x6fa307(0x262a)+_0x59a967[_0x6fa307(0x107b)]+_0x6fa307(0x1315)+_0x59a967[_0x6fa307(0x167f)]:'api.cmCompany.update','msg':_0x59a967[_0x6fa307(0x524)]?JSON[_0x6fa307(0x10bb)](_0x59a967[_0x6fa307(0x524)][_0x6fa307(0x7fd)]):_0x59a967[_0x6fa307(0xd5f)]()});});}function _0x1bcb48(_0x28adeb){const _0x177d97=_0x20b1cf;_0x30cc04[_0x177d97(0xcef)]=[];const _0x2c92e3=_0x48cd94[_0x177d97(0x1e8a)]()[_0x177d97(0x1189)]('Are\x20you\x20sure?')[_0x177d97(0x80f)](_0x177d97(0x1e85))[_0x177d97(0x4bd)]('Delete\x20Company')['ok'](_0x177d97(0x25de))['cancel'](_0x177d97(0xcf0))['targetEvent'](_0x28adeb);_0x48cd94[_0x177d97(0x2615)](_0x2c92e3)[_0x177d97(0x146b)](function(){const _0x6f0c82=_0x177d97;_0x270ccb[_0x6f0c82(0x134d)][_0x6f0c82(0x1fac)]({'id':_0x30cc04[_0x6f0c82(0x21ba)]['id']})[_0x6f0c82(0x2945)][_0x6f0c82(0x146b)](function(){const _0x3705fb=_0x6f0c82;_0x3f65c0()[_0x3705fb(0x2640)](_0x30cc04[_0x3705fb(0x762)],{'id':_0x30cc04['company']['id']}),_0x1fc87a[_0x3705fb(0x1c75)]({'title':_0x3705fb(0x1431),'msg':(_0x30cc04[_0x3705fb(0x21ba)][_0x3705fb(0x19eb)]||'company')+_0x3705fb(0x23e3)}),_0x598355(_0x30cc04[_0x3705fb(0x21ba)]);})[_0x6f0c82(0x129e)](function(_0x4256a0){const _0x2a973c=_0x6f0c82;if(_0x4256a0[_0x2a973c(0x524)]&&_0x4256a0[_0x2a973c(0x524)]['errors']&&_0x4256a0[_0x2a973c(0x524)][_0x2a973c(0xcef)][_0x2a973c(0x402)]){_0x30cc04[_0x2a973c(0xcef)]=_0x4256a0[_0x2a973c(0x524)][_0x2a973c(0xcef)]||[{'message':_0x4256a0['toString'](),'type':_0x2a973c(0x23f)}];for(let _0x266728=0x0;_0x266728<_0x4256a0['data'][_0x2a973c(0xcef)][_0x2a973c(0x402)];_0x266728++){_0x1fc87a[_0x2a973c(0x1980)]({'title':_0x4256a0[_0x2a973c(0x524)]['errors'][_0x266728][_0x2a973c(0x1142)],'msg':_0x4256a0[_0x2a973c(0x524)]['errors'][_0x266728][_0x2a973c(0x7fd)]});}}else _0x1fc87a[_0x2a973c(0x1980)]({'title':_0x4256a0[_0x2a973c(0x107b)]?'API:'+_0x4256a0[_0x2a973c(0x107b)]+'\x20-\x20'+_0x4256a0['statusText']:'api.cmCompany.delete','msg':_0x4256a0[_0x2a973c(0x524)]?JSON[_0x2a973c(0x10bb)](_0x4256a0[_0x2a973c(0x524)][_0x2a973c(0x7fd)]):_0x4256a0[_0x2a973c(0x7fd)]||_0x4256a0[_0x2a973c(0xd5f)]()});});},function(){});}function _0x328637(_0x2af34f){return _0x2af34f===null?undefined:new Date(_0x2af34f);}function _0x598355(_0x127b84){const _0x4afbe4=_0x20b1cf;_0x48cd94[_0x4afbe4(0x2458)](_0x127b84);}}const _0x47d488=_0x42cd98;;_0x487bbe[_0x313a4d(0x11c2)]=['$state',_0x313a4d(0xd08),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x214b),_0x313a4d(0x2690),'setting','api','toasty',_0x313a4d(0xa87),_0x313a4d(0x21ba),'userProfileSection'];function _0x487bbe(_0x53eccd,_0x5f5b3e,_0x4c041b,_0x4b4774,_0x4c0c8b,_0x3c0e09,_0x1555fd,_0x4cc516,_0x3a9ac5,_0xf20537,_0x4eb016,_0x5d0bee){const _0x4ff07d=_0x313a4d,_0x46d40f=this;_0x46d40f['currentUser']=_0xf20537[_0x4ff07d(0xb12)](),_0x46d40f[_0x4ff07d(0x2690)]=_0x3c0e09,_0x46d40f[_0x4ff07d(0x15b9)]=_0x1555fd,_0x46d40f['passwordPattern']=_0x46d40f[_0x4ff07d(0x15b9)][_0x4ff07d(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x46d40f[_0x4ff07d(0x1002)]=_0x5f5b3e[_0x4ff07d(0x2414)]()+_0x4ff07d(0xb0e)+_0x5f5b3e['host'](),_0x46d40f[_0x4ff07d(0x21ba)]=_0x4eb016||_0x53eccd['params'][_0x4ff07d(0x21ba)]||{},_0x46d40f[_0x4ff07d(0x1366)]=_0x5d0bee&&_0x5d0bee[_0x4ff07d(0x51c)]==0x1?_0x5d0bee[_0x4ff07d(0x19c7)][0x0]:null,_0x46d40f[_0x4ff07d(0x2514)]=_0xf20537[_0x4ff07d(0xe60)](_0x46d40f[_0x4ff07d(0x1366)]?_0x46d40f[_0x4ff07d(0x1366)][_0x4ff07d(0x2514)]:null),_0x46d40f['hasModulePermissions']={},_0x46d40f[_0x4ff07d(0x1b09)]=_0x53eccd[_0x4ff07d(0x16a)][_0x4ff07d(0x13a3)]||0x0,_0x46d40f[_0x4ff07d(0x3a9)]=_0x217a2e,_0x46d40f[_0x4ff07d(0x861)]=_0x3a9ac5[_0x4ff07d(0x271e)],_0x46d40f['gotoCompanies']=_0x3faebc,_0x46d40f['saveCompany']=_0x4fc3fc;function _0x217a2e(_0x1bb0c3){const _0x15adbf=_0x4ff07d;if(_0xf20537[_0x15adbf(0x23e0)](_0x15adbf(0x174b)))_0x53eccd['go']('app.contactmanager.contacts',{'CompanyId':_0x1bb0c3['id']});else return _0x4cc516[_0x15adbf(0x1366)][_0x15adbf(0x16b4)]({'userProfileId':_0xf20537['getCurrentUser']()[_0x15adbf(0x209a)],'sectionId':0x12f})[_0x15adbf(0x2945)][_0x15adbf(0x146b)](function(_0xeedfbc){const _0x49ec20=_0x15adbf,_0x100313=_0xeedfbc&&_0xeedfbc[_0x49ec20(0x19c7)]?_0xeedfbc[_0x49ec20(0x19c7)][0x0]:null;_0x100313&&_0x100313[_0x49ec20(0x193e)]?_0x53eccd['go'](_0x49ec20(0x23fa),{'CompanyId':_0x1bb0c3['id']}):_0x3a9ac5[_0x49ec20(0x271e)]({'title':_0x4c0c8b[_0x49ec20(0xde)](_0x49ec20(0xb27)),'msg':_0x4c0c8b['instant'](_0x49ec20(0x174a))});})['catch'](function(_0x256f8b){const _0x1809a9=_0x15adbf;_0x3a9ac5[_0x1809a9(0x1980)]({'title':_0x256f8b['status']?_0x1809a9(0x262a)+_0x256f8b[_0x1809a9(0x107b)]+_0x1809a9(0x1315)+_0x256f8b[_0x1809a9(0x167f)]:_0x1809a9(0x698),'msg':_0x256f8b[_0x1809a9(0x107b)]?JSON[_0x1809a9(0x10bb)](_0x256f8b[_0x1809a9(0x524)]):_0x256f8b[_0x1809a9(0xd5f)]()});});}function _0x3faebc(){const _0x5eedea=_0x4ff07d;_0x53eccd['go'](_0x5eedea(0xd99),{},{'reload':_0x5eedea(0xd99)});}function _0x4fc3fc(){const _0x11462e=_0x4ff07d;_0x4cc516[_0x11462e(0x134d)][_0x11462e(0x18e1)]({'id':_0x46d40f[_0x11462e(0x21ba)]['id']},_0x46d40f[_0x11462e(0x21ba)])[_0x11462e(0x2945)][_0x11462e(0x146b)](function(){const _0x4ead50=_0x11462e;_0x3a9ac5['success']({'title':'Company\x20updated!','msg':_0x46d40f['company'][_0x4ead50(0x19eb)]?_0x46d40f[_0x4ead50(0x21ba)][_0x4ead50(0x19eb)]+'\x20has\x20been\x20updated!':''});})[_0x11462e(0x129e)](function(_0x4416bf){const _0x399b6b=_0x11462e;_0x3a9ac5[_0x399b6b(0x1980)]({'title':_0x4416bf[_0x399b6b(0x107b)]?_0x399b6b(0x262a)+_0x4416bf[_0x399b6b(0x107b)]+_0x399b6b(0x1315)+_0x4416bf['statusText']:_0x399b6b(0xf10),'msg':_0x4416bf[_0x399b6b(0x524)]?JSON[_0x399b6b(0x10bb)](_0x4416bf[_0x399b6b(0x524)]):_0x4416bf[_0x399b6b(0xd5f)]()});});}}const _0x41350e=_0x487bbe;;_0x272844[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),'$location',_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),'toasty',_0x313a4d(0x19ba),_0x313a4d(0x200a),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x272844(_0x3000d7,_0x478f92,_0x1b78dc,_0x1869d4,_0x2320b6,_0x870c63,_0x39a4e2,_0x15030a,_0x33070e,_0x40751b,_0x1b550a,_0x2cef60,_0x4905f4,_0x4d7afb){const _0x48748d=_0x313a4d,_0x38f1a5=this;_0x38f1a5[_0x48748d(0x2321)]=_0x1b550a['getCurrentUser'](),_0x38f1a5[_0x48748d(0xcef)]=[],_0x38f1a5[_0x48748d(0x15b9)]=_0x4905f4,_0x38f1a5[_0x48748d(0x2690)]=_0x2cef60,_0x38f1a5[_0x48748d(0x2514)]=_0x4d7afb,_0x38f1a5[_0x48748d(0x855)]={},_0x38f1a5[_0x48748d(0x2251)]=_0x38f1a5[_0x48748d(0x15b9)]&&_0x38f1a5[_0x48748d(0x15b9)][_0x48748d(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x38f1a5[_0x48748d(0x1189)]=_0x48748d(0x11e2),_0x38f1a5[_0x48748d(0x20f5)]={'animation':0x320,'sort':!![],'onSort':function(){const _0x112f5e=_0x48748d;_0x3000d7[_0x112f5e(0x1f89)][_0x112f5e(0x1c96)]=![];}},_0x38f1a5[_0x48748d(0x200a)]=_0x33070e,_0x38f1a5[_0x48748d(0x19ba)]=_0x15030a,_0x38f1a5[_0x48748d(0x1f16)]=![];!_0x38f1a5[_0x48748d(0x200a)]&&(_0x38f1a5['globalCustomField']={'type':_0x48748d(0xa8d),'actionType':_0x48748d(0xe6)},_0x38f1a5[_0x48748d(0x1189)]='CONTACTMANAGER.NEW_GLOBALCUSTOMFIELD',_0x38f1a5[_0x48748d(0x1f16)]=!![]);_0x3000d7[_0x48748d(0x21e8)](_0x48748d(0x419),function(_0x5f45e1,_0xec0ae0){const _0x561165=_0x48748d;if(_0x5f45e1!==_0xec0ae0)switch(_0x5f45e1){case _0x561165(0xa8d):_0x38f1a5[_0x561165(0x200a)][_0x561165(0x81b)]='';break;case _0x561165(0xa5f):_0x38f1a5[_0x561165(0x200a)]['values']=[];break;default:}}),_0x38f1a5['addNewGlobalCustomField']=_0x307534,_0x38f1a5[_0x48748d(0x21e1)]=_0x2ecb77,_0x38f1a5['deleteGlobalCustomField']=_0x159736,_0x38f1a5[_0x48748d(0xe73)]=_0x23d276,_0x38f1a5['addItem']=_0x1d2559,_0x38f1a5[_0x48748d(0x246d)]=_0x299877,_0x38f1a5['removeItems']=_0x4469a3,_0x38f1a5[_0x48748d(0x13f3)]=_0x4c676c;function _0x1d2559(_0x56cb26){const _0x44d8a0=_0x48748d;_0x56cb26[_0x44d8a0(0x1f47)]({'value':_0x44d8a0(0x17e0)}),_0x3000d7[_0x44d8a0(0x1f89)]['$pristine']=![];}function _0x299877(_0x3b7f81,_0xbb161){const _0x3c2af2=_0x48748d;_0x3b7f81[_0x3c2af2(0x1f7d)](_0xbb161,0x1),_0x3000d7[_0x3c2af2(0x1f89)]['$pristine']=![];}function _0x4469a3(_0x3847b7){const _0x1e2f66=_0x48748d,_0x108198=_0x3847b7[_0x1e2f66(0x402)];for(let _0x13ba32=0x0;_0x13ba32<_0x108198;_0x13ba32++){_0x3847b7[_0x1e2f66(0x1f7d)](0x0,0x1);}_0x3000d7['globalCustomFieldForm'][_0x1e2f66(0x1c96)]=![];}function _0x307534(){const _0x168c81=_0x48748d;_0x38f1a5[_0x168c81(0xcef)]=[],_0x40751b['cmCustomField'][_0x168c81(0x1e3)](_0x38f1a5[_0x168c81(0x200a)])[_0x168c81(0x2945)]['then'](function(_0x2a5c0d){const _0x3a2c86=_0x168c81;_0x38f1a5[_0x3a2c86(0x19ba)][_0x3a2c86(0xb3d)](_0x2a5c0d[_0x3a2c86(0x2488)]()),_0x39a4e2[_0x3a2c86(0x1c75)]({'title':_0x3a2c86(0x78c),'msg':_0x38f1a5[_0x3a2c86(0x200a)][_0x3a2c86(0x19eb)]?_0x38f1a5[_0x3a2c86(0x200a)][_0x3a2c86(0x19eb)]+_0x3a2c86(0x1386):''}),_0x4c676c(_0x2a5c0d);})['catch'](function(_0x25636e){const _0x542dad=_0x168c81;if(_0x25636e['data']&&_0x25636e[_0x542dad(0x524)][_0x542dad(0xcef)]&&_0x25636e[_0x542dad(0x524)]['errors'][_0x542dad(0x402)]){_0x38f1a5[_0x542dad(0xcef)]=_0x25636e[_0x542dad(0x524)][_0x542dad(0xcef)]||[{'message':_0x25636e['toString'](),'type':_0x542dad(0x1807)}];for(let _0x173cc2=0x0;_0x173cc2<_0x25636e[_0x542dad(0x524)]['errors'][_0x542dad(0x402)];_0x173cc2+=0x1){_0x39a4e2[_0x542dad(0x1980)]({'title':_0x25636e[_0x542dad(0x524)][_0x542dad(0xcef)][_0x173cc2][_0x542dad(0x1142)],'msg':_0x25636e[_0x542dad(0x524)][_0x542dad(0xcef)][_0x173cc2][_0x542dad(0x7fd)]});}}else _0x39a4e2[_0x542dad(0x1980)]({'title':_0x25636e[_0x542dad(0x107b)]?_0x542dad(0x262a)+_0x25636e[_0x542dad(0x107b)]+_0x542dad(0x1315)+_0x25636e[_0x542dad(0x167f)]:_0x542dad(0x1807),'msg':_0x25636e[_0x542dad(0x524)]?JSON[_0x542dad(0x10bb)](_0x25636e[_0x542dad(0x524)][_0x542dad(0x7fd)]):_0x25636e[_0x542dad(0xd5f)]()});});}function _0x2ecb77(){const _0x3e7086=_0x48748d;_0x38f1a5[_0x3e7086(0xcef)]=[],_0x40751b[_0x3e7086(0x14a9)][_0x3e7086(0x18e1)]({'id':_0x38f1a5['globalCustomField']['id']},_0x38f1a5[_0x3e7086(0x200a)])[_0x3e7086(0x2945)][_0x3e7086(0x146b)](function(_0x278ee9){const _0x1d811b=_0x3e7086,_0xfc8a25=_0x3f65c0()[_0x1d811b(0xc84)](_0x38f1a5['globalCustomFields'],{'id':_0x278ee9['id']});_0xfc8a25&&_0x3f65c0()[_0x1d811b(0x168d)](_0xfc8a25,_0x3f65c0()['pick'](_0x278ee9[_0x1d811b(0x2488)](),_0x3f65c0()[_0x1d811b(0x627)](_0xfc8a25))),_0x39a4e2['success']({'title':_0x1d811b(0x1edd),'msg':_0x38f1a5[_0x1d811b(0x200a)]['name']?_0x38f1a5[_0x1d811b(0x200a)][_0x1d811b(0x19eb)]+_0x1d811b(0x24db):''}),_0x4c676c(_0x278ee9);})[_0x3e7086(0x129e)](function(_0x1197e9){const _0x52278b=_0x3e7086;if(_0x1197e9[_0x52278b(0x524)]&&_0x1197e9[_0x52278b(0x524)][_0x52278b(0xcef)]&&_0x1197e9[_0x52278b(0x524)][_0x52278b(0xcef)][_0x52278b(0x402)]){_0x38f1a5[_0x52278b(0xcef)]=_0x1197e9[_0x52278b(0x524)][_0x52278b(0xcef)]||[{'message':_0x1197e9['toString'](),'type':_0x52278b(0x1b07)}];for(let _0x502310=0x0;_0x502310<_0x1197e9['data'][_0x52278b(0xcef)]['length'];_0x502310++){_0x39a4e2[_0x52278b(0x1980)]({'title':_0x1197e9[_0x52278b(0x524)][_0x52278b(0xcef)][_0x502310][_0x52278b(0x1142)],'msg':_0x1197e9[_0x52278b(0x524)]['errors'][_0x502310]['message']});}}else _0x39a4e2[_0x52278b(0x1980)]({'title':_0x1197e9[_0x52278b(0x107b)]?_0x52278b(0x262a)+_0x1197e9[_0x52278b(0x107b)]+_0x52278b(0x1315)+_0x1197e9[_0x52278b(0x167f)]:_0x52278b(0x1b07),'msg':_0x1197e9[_0x52278b(0x524)]?JSON[_0x52278b(0x10bb)](_0x1197e9[_0x52278b(0x524)]['message']):_0x1197e9['toString']()});});}function _0x159736(_0x13d4b5){const _0x4ce0ab=_0x48748d;_0x38f1a5[_0x4ce0ab(0xcef)]=[];const _0x50418e=_0x1869d4[_0x4ce0ab(0x1e8a)]()[_0x4ce0ab(0x1189)](_0x4ce0ab(0x1d64))[_0x4ce0ab(0x80f)](_0x4ce0ab(0x8c5))['ariaLabel']('Delete\x20GlobalCustomField')['ok'](_0x4ce0ab(0x25de))[_0x4ce0ab(0x6c3)](_0x4ce0ab(0xcf0))[_0x4ce0ab(0x1f27)](_0x13d4b5);_0x1869d4[_0x4ce0ab(0x2615)](_0x50418e)[_0x4ce0ab(0x146b)](function(){const _0x50dcb5=_0x4ce0ab;_0x40751b[_0x50dcb5(0x14a9)][_0x50dcb5(0x1fac)]({'id':_0x38f1a5[_0x50dcb5(0x200a)]['id']})['$promise'][_0x50dcb5(0x146b)](function(){const _0x4c8d43=_0x50dcb5;_0x3f65c0()['remove'](_0x38f1a5['globalCustomFields'],{'id':_0x38f1a5[_0x4c8d43(0x200a)]['id']}),_0x39a4e2[_0x4c8d43(0x1c75)]({'title':_0x4c8d43(0x260b),'msg':(_0x38f1a5[_0x4c8d43(0x200a)][_0x4c8d43(0x19eb)]||'globalCustomField')+'\x20has\x20been\x20deleted!'}),_0x4c676c(_0x38f1a5[_0x4c8d43(0x200a)]);})[_0x50dcb5(0x129e)](function(_0x2a9f78){const _0x4e4920=_0x50dcb5;if(_0x2a9f78[_0x4e4920(0x524)]&&_0x2a9f78[_0x4e4920(0x524)][_0x4e4920(0xcef)]&&_0x2a9f78[_0x4e4920(0x524)][_0x4e4920(0xcef)][_0x4e4920(0x402)]){_0x38f1a5[_0x4e4920(0xcef)]=_0x2a9f78[_0x4e4920(0x524)][_0x4e4920(0xcef)]||[{'message':_0x2a9f78[_0x4e4920(0xd5f)](),'type':_0x4e4920(0x1676)}];for(let _0x47786e=0x0;_0x47786e<_0x2a9f78[_0x4e4920(0x524)][_0x4e4920(0xcef)][_0x4e4920(0x402)];_0x47786e++){_0x39a4e2[_0x4e4920(0x1980)]({'title':_0x2a9f78['data']['errors'][_0x47786e][_0x4e4920(0x1142)],'msg':_0x2a9f78[_0x4e4920(0x524)][_0x4e4920(0xcef)][_0x47786e][_0x4e4920(0x7fd)]});}}else _0x39a4e2[_0x4e4920(0x1980)]({'title':_0x2a9f78[_0x4e4920(0x107b)]?_0x4e4920(0x262a)+_0x2a9f78['status']+'\x20-\x20'+_0x2a9f78['statusText']:'api.cmCustomField.delete','msg':_0x2a9f78[_0x4e4920(0x524)]?JSON[_0x4e4920(0x10bb)](_0x2a9f78[_0x4e4920(0x524)][_0x4e4920(0x7fd)]):_0x2a9f78[_0x4e4920(0x7fd)]||_0x2a9f78[_0x4e4920(0xd5f)]()});});},function(){});}function _0x23d276(_0x43d89a){return _0x43d89a===null?undefined:new Date(_0x43d89a);}function _0x4c676c(_0x54cb36){_0x1869d4['hide'](_0x54cb36);}}const _0x2bd827=_0x272844;;const _0x4e5e07=_0x4acfac['p']+_0x313a4d(0x26fc);;_0x25c9da['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0x214b),'globalCustomFields',_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x25c9da(_0xa238c0,_0xfb52bb,_0x124c55,_0x3ba43a,_0x864086,_0x4c54e0,_0x513a51,_0x100502,_0x6cb2df,_0x499b43,_0x574fc9,_0x1b80b4,_0x1bee8f,_0x42ae26,_0x4df233,_0x326f94,_0x369441){const _0x1882dd=_0x313a4d,_0x45f24d=this;_0x45f24d[_0x1882dd(0x2690)]=_0x326f94,_0x45f24d[_0x1882dd(0x15b9)]=_0x369441,_0x45f24d[_0x1882dd(0x2321)]=_0x4df233['getCurrentUser'](),_0x45f24d[_0x1882dd(0x19ba)]=_0x6cb2df||{'count':0x0,'rows':[]},_0x45f24d[_0x1882dd(0x26b6)]=_0x499b43,_0x45f24d[_0x1882dd(0x1366)]=_0x574fc9&&_0x574fc9['count']==0x1?_0x574fc9[_0x1882dd(0x19c7)][0x0]:null,_0x45f24d['crudPermissions']=_0x4df233['parseCrudPermissions'](_0x45f24d[_0x1882dd(0x1366)]?_0x45f24d['userProfileSection']['crudPermissions']:null),_0x45f24d[_0x1882dd(0x768)]=_0x1882dd(0x19ba),_0x45f24d['listOrder']='',_0x45f24d[_0x1882dd(0x214f)]=null,_0x45f24d[_0x1882dd(0x11b6)]=[],_0x45f24d[_0x1882dd(0x1a56)]={'fields':_0x1882dd(0x204d),'sort':_0x1882dd(0x12f2),'ListId':'null','limit':0xa,'page':0x1},_0x45f24d[_0x1882dd(0x11da)]=_0x3f65c0()['keyBy']([{'option':_0x1882dd(0x23d7),'value':'\x27text\x27'},{'option':_0x1882dd(0x110b),'value':_0x1882dd(0x12e9)},{'option':_0x1882dd(0x27b7),'value':'\x27number\x27'},{'option':'Switch','value':_0x1882dd(0xc99)},{'option':'Datetime','value':'\x27datetime\x27'}],function(_0x131c95){const _0x163c76=_0x1882dd;return _0x3f65c0()[_0x163c76(0x5f4)](_0x131c95['value'],new RegExp('\x27','g'),'');}),_0x45f24d[_0x1882dd(0x17cf)]=_0x3f65c0()[_0x1882dd(0x194)]([{'option':_0x1882dd(0x127d),'value':_0x1882dd(0xc15)}],function(_0x3cbe6f){const _0xee9a76=_0x1882dd;return _0x3f65c0()[_0xee9a76(0x5f4)](_0x3cbe6f[_0xee9a76(0x175d)],new RegExp('\x27','g'),'');}),_0x45f24d[_0x1882dd(0x2ed)]=_0x4b8ab4,_0x45f24d[_0x1882dd(0xf0a)]=_0x16d2f6,_0x45f24d[_0x1882dd(0x1c75)]=_0x53b76e,_0x45f24d[_0x1882dd(0x44d)]=_0xb7cd80,_0x45f24d[_0x1882dd(0x13d1)]=_0x20ce24,_0x45f24d[_0x1882dd(0x215b)]=_0x557b22,_0x45f24d[_0x1882dd(0x144d)]=_0x5cc8cb,_0x45f24d[_0x1882dd(0x170d)]=_0x3a7fea,_0x45f24d[_0x1882dd(0x1351)]=_0x23ebc1,_0x45f24d[_0x1882dd(0x1f84)]=_0x39d138;function _0x4b8ab4(_0x244a5f,_0x3b3020){const _0x27935f=_0x1882dd;_0x864086[_0x27935f(0x2615)]({'controller':_0x27935f(0x246e),'controllerAs':'vm','templateUrl':_0x4e5e07,'parent':angular[_0x27935f(0x1853)](_0x4c54e0['body']),'targetEvent':_0x3b3020,'clickOutsideToClose':!![],'locals':{'globalCustomField':_0x244a5f,'globalCustomFields':_0x45f24d[_0x27935f(0x19ba)]['rows'],'license':_0x45f24d[_0x27935f(0x2690)],'setting':null,'crudPermissions':_0x45f24d['crudPermissions']}});}function _0x16d2f6(_0x4bb258,_0x2f398e){const _0x20f163=_0x1882dd,_0x5401ff=_0x864086[_0x20f163(0x1e8a)]()[_0x20f163(0x1189)](_0x20f163(0xdb2)+_0x3f65c0()[_0x20f163(0x20d1)]('globalCustomField')+'?')[_0x20f163(0x1cbe)](_0x20f163(0x16d3)+(_0x4bb258[_0x20f163(0x19eb)]||'globalCustomField')+''+_0x20f163(0xe01))[_0x20f163(0x4bd)]('delete\x20globalCustomField')[_0x20f163(0x1f27)](_0x2f398e)['ok']('OK')['cancel'](_0x20f163(0x39a));_0x864086[_0x20f163(0x2615)](_0x5401ff)[_0x20f163(0x146b)](function(){_0x557b22(_0x4bb258);},function(){const _0x279a09=_0x20f163;console[_0x279a09(0x1a74)](_0x279a09(0x39a));});}let _0x8fc6a8=!![],_0x4ea1e8=0x1;_0xa238c0[_0x1882dd(0x21e8)](_0x1882dd(0x2669),function(_0x366262,_0xb6dc4b){const _0x49d9cb=_0x1882dd;_0x8fc6a8?_0x513a51(function(){_0x8fc6a8=![];}):(!_0xb6dc4b&&(_0x4ea1e8=_0x45f24d[_0x49d9cb(0x1a56)][_0x49d9cb(0x844)]),_0x366262!==_0xb6dc4b&&(_0x45f24d['query'][_0x49d9cb(0x844)]=0x1),!_0x366262&&(_0x45f24d[_0x49d9cb(0x1a56)][_0x49d9cb(0x844)]=_0x4ea1e8),_0x45f24d[_0x49d9cb(0x44d)]());});function _0x53b76e(_0x10bb37){const _0x49c807=_0x1882dd;_0x45f24d[_0x49c807(0x19ba)]=_0x10bb37||{'count':0x0,'rows':[]};}function _0xb7cd80(){const _0x458e10=_0x1882dd;_0x45f24d[_0x458e10(0x1a56)]['offset']=(_0x45f24d[_0x458e10(0x1a56)][_0x458e10(0x844)]-0x1)*_0x45f24d[_0x458e10(0x1a56)][_0x458e10(0x221e)],_0x4df233[_0x458e10(0x23e0)]('admin')?_0x45f24d[_0x458e10(0xb9c)]=_0x1b80b4[_0x458e10(0x14a9)][_0x458e10(0x16b4)](_0x45f24d[_0x458e10(0x1a56)],_0x53b76e)[_0x458e10(0x2945)]:(_0x45f24d[_0x458e10(0x1a56)]['id']=_0x45f24d['userProfile']['id'],_0x45f24d[_0x458e10(0x1a56)]['section']=_0x458e10(0x1b8f),_0x45f24d[_0x458e10(0xb9c)]=_0x1b80b4['userProfile'][_0x458e10(0x158f)](_0x45f24d['query'],_0x53b76e)[_0x458e10(0x2945)]);}function _0x20ce24(_0x3ac45c,_0x30a2af){const _0x2be47f=_0x1882dd;_0x864086['show']({'controller':'CreateOrEditGlobalCustomFieldDialogController','controllerAs':'vm','templateUrl':_0x4e5e07,'parent':angular[_0x2be47f(0x1853)](_0x4c54e0[_0x2be47f(0x2586)]),'targetEvent':_0x3ac45c,'clickOutsideToClose':!![],'locals':{'globalCustomField':_0x30a2af,'globalCustomFields':_0x45f24d[_0x2be47f(0x19ba)][_0x2be47f(0x19c7)],'license':_0x45f24d[_0x2be47f(0x2690)],'setting':_0x45f24d[_0x2be47f(0x15b9)],'crudPermissions':_0x45f24d[_0x2be47f(0x2514)]}});}function _0x557b22(_0x3ba45d){const _0x47281d=_0x1882dd;_0x1b80b4[_0x47281d(0x14a9)][_0x47281d(0x1fac)]({'id':_0x3ba45d['id']})[_0x47281d(0x2945)]['then'](function(){const _0x11a96c=_0x47281d;_0x3f65c0()['remove'](_0x45f24d['globalCustomFields'][_0x11a96c(0x19c7)],{'id':_0x3ba45d['id']}),_0x45f24d[_0x11a96c(0x19ba)][_0x11a96c(0x51c)]-=0x1,!_0x45f24d[_0x11a96c(0x19ba)][_0x11a96c(0x19c7)][_0x11a96c(0x402)]&&_0x45f24d[_0x11a96c(0x44d)](),_0x42ae26['success']({'title':_0x3f65c0()['startCase']('GlobalCustomField')+_0x11a96c(0x201c),'msg':_0x3ba45d[_0x11a96c(0x19eb)]?_0x3ba45d['name']+_0x11a96c(0x23e3):''});})[_0x47281d(0x129e)](function(_0xeabe2a){const _0x30e2cb=_0x47281d;if(_0xeabe2a['data']&&_0xeabe2a['data'][_0x30e2cb(0xcef)]&&_0xeabe2a[_0x30e2cb(0x524)][_0x30e2cb(0xcef)][_0x30e2cb(0x402)]){_0x45f24d[_0x30e2cb(0xcef)]=_0xeabe2a['data'][_0x30e2cb(0xcef)]||[{'message':_0xeabe2a[_0x30e2cb(0xd5f)](),'type':'SYSTEM:DELETEcmCustomField'}];for(let _0x3e1a80=0x0;_0x3e1a80<_0xeabe2a['data']['errors'][_0x30e2cb(0x402)];_0x3e1a80++){_0x42ae26[_0x30e2cb(0x1980)]({'title':_0xeabe2a[_0x30e2cb(0x524)][_0x30e2cb(0xcef)][_0x3e1a80][_0x30e2cb(0x1142)],'msg':_0xeabe2a[_0x30e2cb(0x524)]['errors'][_0x3e1a80][_0x30e2cb(0x7fd)]});}}else _0x42ae26[_0x30e2cb(0x1980)]({'title':_0xeabe2a[_0x30e2cb(0x107b)]?'API:'+_0xeabe2a[_0x30e2cb(0x107b)]+_0x30e2cb(0x1315)+_0xeabe2a[_0x30e2cb(0x167f)]:_0x30e2cb(0x1a4c),'msg':_0xeabe2a[_0x30e2cb(0x524)]?JSON[_0x30e2cb(0x10bb)](_0xeabe2a['data'][_0x30e2cb(0x7fd)]):_0xeabe2a[_0x30e2cb(0x7fd)]||_0xeabe2a[_0x30e2cb(0xd5f)]()});});}function _0x5cc8cb(){const _0x139f70=_0x1882dd,_0x287220=angular['copy'](_0x45f24d[_0x139f70(0x11b6)]);return _0x45f24d[_0x139f70(0x11b6)]=[],_0x287220;}function _0x3a7fea(_0x4dea8f){const _0xdb600a=_0x1882dd,_0x349993=_0x864086[_0xdb600a(0x1e8a)]()[_0xdb600a(0x1189)](_0xdb600a(0x21a2))[_0xdb600a(0x1cbe)](_0xdb600a(0x16d3)+_0x45f24d[_0xdb600a(0x11b6)][_0xdb600a(0x402)]+_0xdb600a(0x2452)+_0xdb600a(0xe01))['ariaLabel'](_0xdb600a(0x1265))[_0xdb600a(0x1f27)](_0x4dea8f)['ok']('OK')[_0xdb600a(0x6c3)](_0xdb600a(0x39a));_0x864086[_0xdb600a(0x2615)](_0x349993)[_0xdb600a(0x146b)](function(){const _0x1b8ffa=_0xdb600a;_0x45f24d[_0x1b8ffa(0x11b6)][_0x1b8ffa(0x1df5)](function(_0x26ab74){_0x557b22(_0x26ab74);}),_0x45f24d[_0x1b8ffa(0x11b6)]=[];});}function _0x23ebc1(){const _0x4153a9=_0x1882dd;_0x45f24d[_0x4153a9(0x11b6)]=[];}function _0x39d138(){const _0x25a649=_0x1882dd;_0x45f24d[_0x25a649(0x11b6)]=_0x45f24d['globalCustomFields']['rows'];}}const _0x11394b=_0x25c9da;;_0x2fbdd5['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),'$mdDialog','$q','$translate',_0x313a4d(0x1fe4),'lists',_0x313a4d(0x25f4),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x2fbdd5(_0x2a9ec0,_0x4480d8,_0x589540,_0x2bfc1a,_0x1b9a06,_0x535b44,_0x4582cc,_0x564bdc,_0x5e7b8b,_0x4e5e74,_0x42b98d,_0x552dd8,_0x3d7440,_0x3b24ce){const _0x3844ae=_0x313a4d,_0x18b2e0=this;_0x18b2e0[_0x3844ae(0x2321)]=_0x42b98d[_0x3844ae(0xb12)](),_0x18b2e0[_0x3844ae(0xcef)]=[],_0x18b2e0[_0x3844ae(0x15b9)]=_0x3d7440,_0x18b2e0[_0x3844ae(0x2690)]=_0x552dd8,_0x18b2e0[_0x3844ae(0x2514)]=_0x3b24ce,_0x18b2e0[_0x3844ae(0x855)]={},_0x18b2e0[_0x3844ae(0x2251)]=_0x18b2e0[_0x3844ae(0x15b9)]&&_0x18b2e0[_0x3844ae(0x15b9)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x18b2e0[_0x3844ae(0x1189)]=_0x3844ae(0x1b5e),_0x18b2e0[_0x3844ae(0x25f4)]=angular[_0x3844ae(0x235a)](_0x5e7b8b),_0x18b2e0['lists']=_0x564bdc,_0x18b2e0[_0x3844ae(0x1e8f)]=![];!_0x18b2e0[_0x3844ae(0x25f4)]&&(_0x18b2e0['list']={},_0x18b2e0[_0x3844ae(0x1189)]=_0x3844ae(0x2798),_0x18b2e0[_0x3844ae(0x1e8f)]=!![]);_0x18b2e0[_0x3844ae(0x1eb3)]=_0x1daa4d,_0x18b2e0['saveList']=_0x37d045,_0x18b2e0[_0x3844ae(0x2638)]=_0x3dcdd1,_0x18b2e0['getDateFromString']=_0x33e95b,_0x18b2e0['closeDialog']=_0x357748;function _0x1daa4d(){const _0x3d60f1=_0x3844ae;_0x18b2e0[_0x3d60f1(0xcef)]=[],_0x4e5e74[_0x3d60f1(0xf03)][_0x3d60f1(0x1e3)](_0x18b2e0['list'])['$promise'][_0x3d60f1(0x146b)](function(_0x9eb357){const _0x517595=_0x3d60f1;_0x18b2e0['lists'][_0x517595(0xb3d)](_0x9eb357[_0x517595(0x2488)]()),_0x4582cc[_0x517595(0x1c75)]({'title':_0x517595(0xd32),'msg':_0x18b2e0[_0x517595(0x25f4)][_0x517595(0x19eb)]?_0x18b2e0[_0x517595(0x25f4)][_0x517595(0x19eb)]+_0x517595(0x1386):''}),_0x357748(_0x9eb357);})['catch'](function(_0x2d5e26){const _0x4d8a40=_0x3d60f1;if(_0x2d5e26['data']&&_0x2d5e26[_0x4d8a40(0x524)]['errors']&&_0x2d5e26[_0x4d8a40(0x524)][_0x4d8a40(0xcef)][_0x4d8a40(0x402)]){_0x18b2e0[_0x4d8a40(0xcef)]=_0x2d5e26[_0x4d8a40(0x524)]['errors']||[{'message':_0x2d5e26[_0x4d8a40(0xd5f)](),'type':_0x4d8a40(0x7bc)}];for(let _0x4340ff=0x0;_0x4340ff<_0x2d5e26[_0x4d8a40(0x524)][_0x4d8a40(0xcef)][_0x4d8a40(0x402)];_0x4340ff+=0x1){_0x4582cc[_0x4d8a40(0x1980)]({'title':_0x2d5e26[_0x4d8a40(0x524)]['errors'][_0x4340ff]['type'],'msg':_0x2d5e26[_0x4d8a40(0x524)][_0x4d8a40(0xcef)][_0x4340ff][_0x4d8a40(0x7fd)]});}}else _0x4582cc['error']({'title':_0x2d5e26[_0x4d8a40(0x107b)]?_0x4d8a40(0x262a)+_0x2d5e26[_0x4d8a40(0x107b)]+_0x4d8a40(0x1315)+_0x2d5e26[_0x4d8a40(0x167f)]:_0x4d8a40(0x7bc),'msg':_0x2d5e26[_0x4d8a40(0x524)]?JSON['stringify'](_0x2d5e26[_0x4d8a40(0x524)][_0x4d8a40(0x7fd)]):_0x2d5e26[_0x4d8a40(0xd5f)]()});});}function _0x37d045(){const _0x45ad2b=_0x3844ae;_0x18b2e0[_0x45ad2b(0xcef)]=[],_0x4e5e74[_0x45ad2b(0xf03)][_0x45ad2b(0x18e1)]({'id':_0x18b2e0['list']['id']},_0x18b2e0['list'])[_0x45ad2b(0x2945)][_0x45ad2b(0x146b)](function(_0x2a8f99){const _0x26e250=_0x45ad2b,_0x172877=_0x3f65c0()[_0x26e250(0xc84)](_0x18b2e0['lists'],{'id':_0x2a8f99['id']});_0x172877&&_0x3f65c0()[_0x26e250(0x168d)](_0x172877,_0x3f65c0()[_0x26e250(0x40e)](_0x2a8f99[_0x26e250(0x2488)](),_0x3f65c0()[_0x26e250(0x627)](_0x172877))),_0x4582cc['success']({'title':_0x26e250(0xd63),'msg':_0x18b2e0[_0x26e250(0x25f4)][_0x26e250(0x19eb)]?_0x18b2e0[_0x26e250(0x25f4)][_0x26e250(0x19eb)]+'\x20has\x20been\x20saved!':''}),_0x357748(_0x2a8f99);})['catch'](function(_0x5b276c){const _0x57d04f=_0x45ad2b;if(_0x5b276c[_0x57d04f(0x524)]&&_0x5b276c[_0x57d04f(0x524)][_0x57d04f(0xcef)]&&_0x5b276c[_0x57d04f(0x524)][_0x57d04f(0xcef)][_0x57d04f(0x402)]){_0x18b2e0[_0x57d04f(0xcef)]=_0x5b276c[_0x57d04f(0x524)]['errors']||[{'message':_0x5b276c['toString'](),'type':_0x57d04f(0xc45)}];for(let _0x477002=0x0;_0x477002<_0x5b276c[_0x57d04f(0x524)]['errors'][_0x57d04f(0x402)];_0x477002++){_0x4582cc[_0x57d04f(0x1980)]({'title':_0x5b276c[_0x57d04f(0x524)][_0x57d04f(0xcef)][_0x477002][_0x57d04f(0x1142)],'msg':_0x5b276c[_0x57d04f(0x524)][_0x57d04f(0xcef)][_0x477002][_0x57d04f(0x7fd)]});}}else _0x4582cc[_0x57d04f(0x1980)]({'title':_0x5b276c[_0x57d04f(0x107b)]?'API:'+_0x5b276c[_0x57d04f(0x107b)]+_0x57d04f(0x1315)+_0x5b276c[_0x57d04f(0x167f)]:_0x57d04f(0xc45),'msg':_0x5b276c['data']?JSON[_0x57d04f(0x10bb)](_0x5b276c['data']['message']):_0x5b276c[_0x57d04f(0xd5f)]()});});}function _0x3dcdd1(_0x252310){const _0x7ad171=_0x3844ae;_0x18b2e0[_0x7ad171(0xcef)]=[];const _0x31e0c2=_0x2bfc1a[_0x7ad171(0x1e8a)]()[_0x7ad171(0x1189)](_0x7ad171(0x1d64))['content'](_0x7ad171(0x556))[_0x7ad171(0x4bd)](_0x7ad171(0x16c5))['ok'](_0x7ad171(0x25de))['cancel'](_0x7ad171(0xcf0))[_0x7ad171(0x1f27)](_0x252310);_0x2bfc1a[_0x7ad171(0x2615)](_0x31e0c2)[_0x7ad171(0x146b)](function(){const _0x43ed92=_0x7ad171;_0x4e5e74[_0x43ed92(0xf03)][_0x43ed92(0x1fac)]({'id':_0x18b2e0[_0x43ed92(0x25f4)]['id']})['$promise'][_0x43ed92(0x146b)](function(){const _0x1380c0=_0x43ed92;_0x3f65c0()[_0x1380c0(0x2640)](_0x18b2e0[_0x1380c0(0x1324)],{'id':_0x18b2e0[_0x1380c0(0x25f4)]['id']}),_0x4582cc[_0x1380c0(0x1c75)]({'title':_0x1380c0(0x196e),'msg':(_0x18b2e0[_0x1380c0(0x25f4)]['name']||_0x1380c0(0x25f4))+'\x20has\x20been\x20deleted!'}),_0x357748(_0x18b2e0['list']);})[_0x43ed92(0x129e)](function(_0x300aac){const _0x21eff2=_0x43ed92;if(_0x300aac[_0x21eff2(0x524)]&&_0x300aac[_0x21eff2(0x524)][_0x21eff2(0xcef)]&&_0x300aac[_0x21eff2(0x524)][_0x21eff2(0xcef)]['length']){_0x18b2e0[_0x21eff2(0xcef)]=_0x300aac[_0x21eff2(0x524)][_0x21eff2(0xcef)]||[{'message':_0x300aac[_0x21eff2(0xd5f)](),'type':_0x21eff2(0x7c0)}];for(let _0x2b2aad=0x0;_0x2b2aad<_0x300aac[_0x21eff2(0x524)]['errors']['length'];_0x2b2aad++){_0x4582cc[_0x21eff2(0x1980)]({'title':_0x300aac[_0x21eff2(0x524)][_0x21eff2(0xcef)][_0x2b2aad][_0x21eff2(0x1142)],'msg':_0x300aac[_0x21eff2(0x524)]['errors'][_0x2b2aad][_0x21eff2(0x7fd)]});}}else _0x4582cc[_0x21eff2(0x1980)]({'title':_0x300aac[_0x21eff2(0x107b)]?_0x21eff2(0x262a)+_0x300aac[_0x21eff2(0x107b)]+_0x21eff2(0x1315)+_0x300aac[_0x21eff2(0x167f)]:_0x21eff2(0x7c0),'msg':_0x300aac[_0x21eff2(0x524)]?JSON[_0x21eff2(0x10bb)](_0x300aac[_0x21eff2(0x524)][_0x21eff2(0x7fd)]):_0x300aac[_0x21eff2(0x7fd)]||_0x300aac[_0x21eff2(0xd5f)]()});});},function(){});}function _0x33e95b(_0x7299dd){return _0x7299dd===null?undefined:new Date(_0x7299dd);}function _0x357748(_0x35a51f){const _0x50f284=_0x3844ae;_0x2bfc1a[_0x50f284(0x2458)](_0x35a51f);}}const _0x169313=_0x2fbdd5;;_0x433ede[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0x25f4),_0x313a4d(0x1324),_0x313a4d(0xfc4),'$translate',_0x313a4d(0xa87),'crudPermissions'];function _0x433ede(_0x1de18c,_0x531cd9,_0x238fbb,_0x193703,_0x596bdb,_0x36f27e,_0x4b9fd0,_0x1668ec,_0x41adce,_0x32a0d9){const _0x7efc55=_0x313a4d,_0x1ae4c2=this;_0x1ae4c2[_0x7efc55(0x2321)]=_0x41adce[_0x7efc55(0xb12)](),_0x1ae4c2['list']=_0x596bdb,_0x1ae4c2[_0x7efc55(0x2514)]=_0x32a0d9,_0x1ae4c2[_0x7efc55(0xfc4)]=_0x4b9fd0,_0x1ae4c2[_0x7efc55(0x1fd6)]=[],_0x1ae4c2['allowedItems']=[],_0x1ae4c2[_0x7efc55(0x1ecf)]=[],_0x1ae4c2[_0x7efc55(0x2e8)]=[],_0x1ae4c2[_0x7efc55(0xa65)]=[],_0x1ae4c2['pendingChanges']=![],_0x1ae4c2['onInit']=_0x5f0861,_0x1ae4c2['saveAgents']=_0x322968,_0x1ae4c2['closeDialog']=_0x20760c,_0x1ae4c2[_0x7efc55(0x1f8a)]={'readOnly':!_0x1ae4c2[_0x7efc55(0x2514)][_0x7efc55(0x15f4)],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x7efc55(0x19eb),'line1':_0x7efc55(0x286a),'line2':['name','internal'],'line3':'','labelAll':_0x1668ec[_0x7efc55(0xde)](_0x7efc55(0x2050)),'labelSelected':_0x1668ec[_0x7efc55(0xde)](_0x7efc55(0xf73)),'transferCallback':function(){const _0xfcd6d0=_0x7efc55,_0x2f247c=_0x3f65c0()[_0xfcd6d0(0x1883)](_0x1ae4c2[_0xfcd6d0(0xa65)],_0x1ae4c2[_0xfcd6d0(0x1ecf)],'id');_0x1ae4c2[_0xfcd6d0(0x132)]=_0x3f65c0()[_0xfcd6d0(0x2635)](_0x2f247c)?![]:!![];}};function _0x5f0861(){const _0x46267b=_0x7efc55;return _0x41adce[_0x46267b(0x23e0)](_0x46267b(0x174b))?_0x21a7f3()[_0x46267b(0x129e)](function(_0x12a801){const _0x53daa4=_0x46267b;_0x238fbb[_0x53daa4(0x1980)]({'title':_0x12a801[_0x53daa4(0x107b)]?_0x53daa4(0x262a)+_0x12a801[_0x53daa4(0x107b)]+_0x53daa4(0x1315)+_0x12a801[_0x53daa4(0x167f)]:_0x53daa4(0x557),'msg':_0x12a801['status']?JSON[_0x53daa4(0x10bb)](_0x12a801[_0x53daa4(0x524)]):_0x12a801[_0x53daa4(0xd5f)]()});}):_0x15f491()[_0x46267b(0x146b)](function(_0x1a7912){const _0x562fda=_0x46267b;return _0x1ae4c2[_0x562fda(0x2146)]=_0x1a7912,_0x21a7f3();})['catch'](function(_0x2bb8a2){const _0x10cded=_0x46267b;_0x238fbb['error']({'title':_0x2bb8a2[_0x10cded(0x107b)]?_0x10cded(0x262a)+_0x2bb8a2['status']+_0x10cded(0x1315)+_0x2bb8a2[_0x10cded(0x167f)]:_0x10cded(0x557),'msg':_0x2bb8a2[_0x10cded(0x107b)]?JSON[_0x10cded(0x10bb)](_0x2bb8a2[_0x10cded(0x524)]):_0x2bb8a2[_0x10cded(0xd5f)]()});});}function _0x15f491(){return _0x531cd9(function(_0x53aba6,_0x3ea2f6){const _0x1110dc=a0_0x3bb9;_0x193703[_0x1110dc(0x1366)]['get']({'userProfileId':_0x1ae4c2[_0x1110dc(0x2321)]['userProfileId'],'name':_0x1110dc(0xca8)})[_0x1110dc(0x2945)][_0x1110dc(0x146b)](function(_0x132ff3){const _0x147b07=_0x1110dc,_0x3312f7=_0x132ff3&&_0x132ff3['rows']?_0x132ff3[_0x147b07(0x19c7)][0x0]:null;_0x53aba6(_0x3312f7);})['catch'](function(_0x5df271){_0x3ea2f6(_0x5df271);});});}function _0x21a7f3(){return _0x531cd9(function(_0x37f7a3,_0x52cf75){const _0x5a80ee=a0_0x3bb9;return _0x444726()['then'](function(_0x292b17){const _0x5cc38d=a0_0x3bb9;return _0x1ae4c2['items']=_0x292b17[_0x5cc38d(0x19c7)]?_0x292b17[_0x5cc38d(0x19c7)]:[],_0x41adce[_0x5cc38d(0x23e0)](_0x5cc38d(0x174b))?_0x292b17:_0x1ae4c2['section']?_0x1ae4c2[_0x5cc38d(0x2146)][_0x5cc38d(0x11d2)]?_0x292b17:_0x3df00b():null;})['then'](function(_0x28e51b){const _0x159737=a0_0x3bb9,_0x73b33d=_0x28e51b&&_0x28e51b['rows']?_0x28e51b['rows']:[];return _0x1ae4c2[_0x159737(0xc4e)]=_0x3f65c0()[_0x159737(0x205)](_0x73b33d,function(_0x4fb06b){const _0x34517c=_0x159737;return _0x3f65c0()[_0x34517c(0xc84)](_0x1ae4c2[_0x34517c(0x1fd6)],{'id':_0x41adce[_0x34517c(0x23e0)](_0x34517c(0x174b))||_0x1ae4c2[_0x34517c(0x2146)]['autoAssociation']?_0x4fb06b['id']:_0x4fb06b['resourceId']});}),_0x1ae4c2[_0x159737(0x2e8)]=angular[_0x159737(0x235a)](_0x1ae4c2[_0x159737(0xc4e)]),_0x1ae4c2[_0x159737(0x1fd6)][_0x159737(0x1df5)](function(_0x3cee5e){const _0x3cb367=_0x159737,_0x384eb0=_0x3f65c0()[_0x3cb367(0xc84)](_0x1ae4c2['allowedItems'],{'id':_0x3cee5e['id']});_0x41adce['hasRole']('admin')?_0x3cee5e[_0x3cb367(0x146f)]=!![]:_0x3cee5e[_0x3cb367(0x146f)]=typeof _0x384eb0!=='undefined'?!![]:![];}),_0x1d4828();})[_0x5a80ee(0x146b)](function(_0x5e0b62){const _0x5073c3=_0x5a80ee,_0x268c26=_0x5e0b62&&_0x5e0b62['rows']?_0x5e0b62[_0x5073c3(0x19c7)]:[];_0x1ae4c2[_0x5073c3(0x1ecf)]=_0x3f65c0()[_0x5073c3(0x205)](_0x268c26,function(_0xa65de3){const _0x48c91b=_0x5073c3,_0x58dbed=_0x3f65c0()[_0x48c91b(0xc84)](_0x1ae4c2[_0x48c91b(0x1fd6)],{'id':_0xa65de3['id']});return _0x58dbed['penalty']=_0xa65de3[_0x48c91b(0x279b)]?_0x48c91b(0x2505)+_0xa65de3[_0x48c91b(0x279b)]['penalty']:'',_0x58dbed[_0x48c91b(0x113f)]=typeof _0xa65de3['internal']!==_0x48c91b(0x2274)?'<'+_0xa65de3['internal']+'>':'',_0x58dbed;}),_0x1ae4c2['startingSelectedItems']=angular[_0x5073c3(0x235a)](_0x1ae4c2['selectedItems']),_0x1ae4c2['dualMultiselectOptions']['selectedItems']=_0x1ae4c2[_0x5073c3(0x1ecf)],_0x1ae4c2[_0x5073c3(0x1f8a)][_0x5073c3(0x1fd6)]=_0x3f65c0()[_0x5073c3(0x2796)](_0x1ae4c2['allowedItems'],_0x1ae4c2['dualMultiselectOptions'][_0x5073c3(0x1ecf)],'id'),_0x37f7a3();})['catch'](function(_0x1e72e9){_0x52cf75(_0x1e72e9);});});}function _0x3df00b(){return _0x531cd9(function(_0xc8e234,_0x1217a0){const _0x102472=a0_0x3bb9;return _0x193703[_0x102472(0xdcc)][_0x102472(0x16b4)]({'sectionId':_0x1ae4c2[_0x102472(0x2146)]['id'],'nolimit':!![]})['$promise'][_0x102472(0x146b)](function(_0x295032){_0xc8e234(_0x295032);})[_0x102472(0x129e)](function(_0x73e29a){_0x1217a0(_0x73e29a);});});}function _0x1d4828(){return _0x531cd9(function(_0x70c4ab,_0xfd5ee0){const _0x439f1d=a0_0x3bb9;return _0x193703[_0x439f1d(0xf03)]['getAgents']({'id':_0x1ae4c2[_0x439f1d(0x25f4)]['id'],'fields':_0x439f1d(0x1569),'nolimit':!![],'role':_0x439f1d(0x1755)})[_0x439f1d(0x2945)][_0x439f1d(0x146b)](function(_0x3426d9){_0x70c4ab(_0x3426d9);})[_0x439f1d(0x129e)](function(_0xba7a74){_0xfd5ee0(_0xba7a74);});});}function _0x444726(){return _0x531cd9(function(_0x7efd76,_0x236716){const _0x4f5e43=a0_0x3bb9;return _0x193703[_0x4f5e43(0xe7b)][_0x4f5e43(0x16b4)]({'fields':_0x4f5e43(0x1569),'nolimit':!![],'role':'agent'})[_0x4f5e43(0x2945)][_0x4f5e43(0x146b)](function(_0x517287){_0x7efd76(_0x517287);})['catch'](function(_0x56a707){_0x236716(_0x56a707);});});}function _0x2cf48c(_0x300039){return _0x531cd9(function(_0x3d5bc1,_0x374061){const _0x2147c6=a0_0x3bb9;_0x3f65c0()[_0x2147c6(0x2635)](_0x300039)?_0x3d5bc1():_0x193703[_0x2147c6(0xf03)][_0x2147c6(0x135e)]({'id':_0x1ae4c2['list']['id'],'ids':_0x3f65c0()['map'](_0x300039,'id')})[_0x2147c6(0x2945)][_0x2147c6(0x146b)](function(){_0x3d5bc1();})[_0x2147c6(0x129e)](function(_0x230c41){_0x374061(_0x230c41);});});}function _0x5f41f6(_0x4e073f){return _0x531cd9(function(_0x1f8248,_0x44aa7b){const _0xa07848=a0_0x3bb9;_0x3f65c0()[_0xa07848(0x2635)](_0x4e073f)?_0x1f8248():_0x193703[_0xa07848(0xf03)][_0xa07848(0x1f53)]({'id':_0x1ae4c2[_0xa07848(0x25f4)]['id'],'ids':_0x3f65c0()[_0xa07848(0x205)](_0x4e073f,'id')})['$promise'][_0xa07848(0x146b)](function(){_0x1f8248();})[_0xa07848(0x129e)](function(_0x1eea50){_0x44aa7b(_0x1eea50);});});}function _0x322968(){const _0x74ec41=_0x7efc55,_0xd0f953=_0x3f65c0()['differenceBy'](_0x1ae4c2[_0x74ec41(0xa65)],_0x1ae4c2['selectedItems'],'id'),_0x6848c=_0x3f65c0()[_0x74ec41(0x2796)](_0x1ae4c2[_0x74ec41(0x1ecf)],_0x1ae4c2['startingSelectedItems'],'id');return _0x5f41f6(_0xd0f953)['then'](function(){return _0x2cf48c(_0x6848c);})[_0x74ec41(0x146b)](function(){const _0x166f98=_0x74ec41;_0x1ae4c2['pendingChanges']=![],_0x1ae4c2[_0x166f98(0x2e8)]=angular[_0x166f98(0x235a)](_0x1ae4c2[_0x166f98(0xc4e)]),_0x1ae4c2[_0x166f98(0xa65)]=angular[_0x166f98(0x235a)](_0x1ae4c2['selectedItems']),_0x238fbb['success']({'title':_0x166f98(0x4c0),'msg':_0x166f98(0x26be)});})[_0x74ec41(0x129e)](function(_0x2ad9d2){const _0x3d25ab=_0x74ec41;_0x238fbb[_0x3d25ab(0x1980)]({'title':_0x2ad9d2[_0x3d25ab(0x107b)]?_0x3d25ab(0x262a)+_0x2ad9d2[_0x3d25ab(0x107b)]+_0x3d25ab(0x1315)+_0x2ad9d2[_0x3d25ab(0x167f)]:_0x3d25ab(0xa56),'msg':_0x2ad9d2['status']?JSON[_0x3d25ab(0x10bb)](_0x2ad9d2[_0x3d25ab(0x524)]):_0x2ad9d2[_0x3d25ab(0xd5f)]()});});}function _0x20760c(){const _0x5c6639=_0x7efc55;_0x1de18c[_0x5c6639(0x2458)]();}}const _0x4ab1b6=_0x433ede;;const _0x5aa7b7=_0x4acfac['p']+'src/js/modules/main/apps/contactmanager/views/lists/edit/customFields/dialog.html/dialog.html';;_0x2bc479[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),_0x313a4d(0x910),_0x313a4d(0x1862),'$q',_0x313a4d(0x214b),_0x313a4d(0x1abe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0xa87)];function _0x2bc479(_0x592334,_0x2e3ae7,_0x2272b5,_0x1c2485,_0x5687f0,_0x321559,_0x5f1bcd,_0x12a7f3,_0x16cedf,_0x44a7f2,_0x24976a){const _0x2261ab=_0x313a4d,_0x208f2c=this;_0x208f2c[_0x2261ab(0x2321)]=_0x24976a[_0x2261ab(0xb12)](),_0x208f2c['list']={},_0x208f2c[_0x2261ab(0x2873)]={'count':0x0,'rows':[]},_0x208f2c[_0x2261ab(0x107d)]=[],_0x208f2c[_0x2261ab(0x2514)],_0x208f2c[_0x2261ab(0x1a56)]={'fields':_0x2261ab(0x204d),'limit':0xa,'page':0x1},_0x208f2c[_0x2261ab(0x11da)]=_0x3f65c0()[_0x2261ab(0x194)]([{'option':_0x2261ab(0x23d7),'value':_0x2261ab(0x9c7)},{'option':_0x2261ab(0x110b),'value':'\x27select\x27'},{'option':'Number','value':_0x2261ab(0xf3c)},{'option':_0x2261ab(0x2256),'value':_0x2261ab(0xc99)},{'option':_0x2261ab(0xd7c),'value':_0x2261ab(0x2780)}],function(_0x17857e){return _0x3f65c0()['replace'](_0x17857e['value'],new RegExp('\x27','g'),'');}),_0x208f2c[_0x2261ab(0x17cf)]=_0x3f65c0()[_0x2261ab(0x194)]([{'option':_0x2261ab(0x127d),'value':_0x2261ab(0xc15)}],function(_0x3b47c5){const _0x59c437=_0x2261ab;return _0x3f65c0()[_0x59c437(0x5f4)](_0x3b47c5[_0x59c437(0x175d)],new RegExp('\x27','g'),'');}),_0x208f2c[_0x2261ab(0x5aa)]=_0x4b5935,_0x208f2c[_0x2261ab(0x19b4)]=_0x33bf03,_0x208f2c[_0x2261ab(0x1c75)]=_0x300437,_0x208f2c[_0x2261ab(0x1888)]=_0x469e6e,_0x208f2c['createOrEditListCustomField']=_0x47cbe5,_0x208f2c['exportSelectedListCustomFields']=_0x55c739,_0x208f2c['deleteListCustomField']=_0x5e2786,_0x208f2c['deleteSelectedListCustomFields']=_0x5c8994;function _0x4b5935(_0x501292,_0x3f5c37){const _0x49a9c6=_0x2261ab;_0x208f2c[_0x49a9c6(0x25f4)]=_0x501292,_0x208f2c[_0x49a9c6(0x2514)]=typeof _0x3f5c37!==_0x49a9c6(0x2274)?_0x3f5c37:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x208f2c[_0x49a9c6(0x1a56)]['ListId']=_0x208f2c[_0x49a9c6(0x25f4)]['id'],_0x208f2c[_0x49a9c6(0x1a56)]['id']=_0x208f2c[_0x49a9c6(0x25f4)]['id'],_0x469e6e();}function _0x33bf03(_0x43b25c,_0x6fafd2){const _0x38ece9=_0x2261ab,_0x15a16d=_0x5f1bcd[_0x38ece9(0x1e8a)]()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20customField?')[_0x38ece9(0x1cbe)](_0x38ece9(0x16d3)+(_0x43b25c[_0x38ece9(0x19eb)]||_0x43b25c['id']&&_0x3f65c0()[_0x38ece9(0x21cf)](_0x38ece9(0xa97))+_0x43b25c['id']||_0x38ece9(0x165e))+''+'\x20will\x20be\x20deleted.')[_0x38ece9(0x4bd)](_0x38ece9(0x1d48))['targetEvent'](_0x6fafd2)['ok']('OK')[_0x38ece9(0x6c3)](_0x38ece9(0x39a));_0x5f1bcd[_0x38ece9(0x2615)](_0x15a16d)[_0x38ece9(0x146b)](function(){_0x5e2786(_0x43b25c);},function(){const _0x2e066f=_0x38ece9;console[_0x2e066f(0x1a74)]('CANCEL');});}function _0x300437(_0x991f9b){const _0x2fd2ef=_0x2261ab;_0x208f2c[_0x2fd2ef(0x2873)]=_0x991f9b||{'count':0x0,'rows':[]};}function _0x469e6e(){const _0x45090d=_0x2261ab;_0x208f2c['query'][_0x45090d(0x145d)]=(_0x208f2c[_0x45090d(0x1a56)][_0x45090d(0x844)]-0x1)*_0x208f2c[_0x45090d(0x1a56)][_0x45090d(0x221e)],_0x208f2c[_0x45090d(0xb9c)]=_0x44a7f2[_0x45090d(0xf03)][_0x45090d(0x2328)](_0x208f2c[_0x45090d(0x1a56)],_0x300437)['$promise'];}function _0x47cbe5(_0x5ebe46,_0x344749){const _0x4847b0=_0x2261ab;_0x5f1bcd[_0x4847b0(0x2615)]({'controller':_0x4847b0(0xa15),'controllerAs':'vm','templateUrl':_0x5aa7b7,'parent':angular[_0x4847b0(0x1853)](_0x12a7f3[_0x4847b0(0x2586)]),'targetEvent':_0x5ebe46,'clickOutsideToClose':!![],'locals':{'list':_0x208f2c['list'],'customField':_0x344749,'customFields':_0x208f2c[_0x4847b0(0x2873)][_0x4847b0(0x19c7)],'license':null,'setting':null,'crudPermissions':_0x208f2c['crudPermissions']}});}function _0x5e2786(_0x10ebe8){const _0x795a6f=_0x2261ab;_0x44a7f2[_0x795a6f(0x14a9)][_0x795a6f(0x1fac)]({'id':_0x10ebe8['id']})['$promise'][_0x795a6f(0x146b)](function(){const _0x4fc429=_0x795a6f;_0x3f65c0()[_0x4fc429(0x2640)](_0x208f2c[_0x4fc429(0x2873)][_0x4fc429(0x19c7)],{'id':_0x10ebe8['id']}),_0x208f2c[_0x4fc429(0x2873)][_0x4fc429(0x51c)]-=0x1,!_0x208f2c[_0x4fc429(0x2873)][_0x4fc429(0x19c7)][_0x4fc429(0x402)]&&_0x469e6e(),_0x16cedf[_0x4fc429(0x1c75)]({'title':'CustomField\x20deleted!','msg':_0x10ebe8[_0x4fc429(0x19eb)]?_0x10ebe8[_0x4fc429(0x19eb)]+_0x4fc429(0x23e3):''});})['catch'](function(_0x4bf153){const _0x18d812=_0x795a6f;if(_0x4bf153[_0x18d812(0x524)]&&_0x4bf153[_0x18d812(0x524)][_0x18d812(0xcef)]&&_0x4bf153['data'][_0x18d812(0xcef)][_0x18d812(0x402)]){_0x208f2c[_0x18d812(0xcef)]=_0x4bf153[_0x18d812(0x524)][_0x18d812(0xcef)]||[{'message':_0x4bf153[_0x18d812(0xd5f)](),'type':_0x18d812(0x184b)}];for(let _0x1627e2=0x0;_0x1627e2<_0x4bf153[_0x18d812(0x524)][_0x18d812(0xcef)][_0x18d812(0x402)];_0x1627e2++){_0x16cedf[_0x18d812(0x1980)]({'title':_0x4bf153[_0x18d812(0x524)][_0x18d812(0xcef)][_0x1627e2]['type'],'msg':_0x4bf153[_0x18d812(0x524)][_0x18d812(0xcef)][_0x1627e2][_0x18d812(0x7fd)]});}}else _0x16cedf['error']({'title':_0x4bf153['status']?_0x18d812(0x262a)+_0x4bf153[_0x18d812(0x107b)]+'\x20-\x20'+_0x4bf153[_0x18d812(0x167f)]:_0x18d812(0x184b),'msg':_0x4bf153[_0x18d812(0x524)]?JSON[_0x18d812(0x10bb)](_0x4bf153['data']['message']):_0x4bf153[_0x18d812(0x7fd)]||_0x4bf153[_0x18d812(0xd5f)]()});});}function _0x55c739(){const _0x5666ab=_0x2261ab,_0x3918a9=angular[_0x5666ab(0x235a)](_0x208f2c[_0x5666ab(0x107d)]);return _0x208f2c[_0x5666ab(0x107d)]=[],_0x3918a9;}function _0x5c8994(_0x443ed7){const _0x52de5c=_0x2261ab,_0x1d9bdb=_0x5f1bcd[_0x52de5c(0x1e8a)]()[_0x52de5c(0x1189)](_0x52de5c(0x654))[_0x52de5c(0x1cbe)](''+_0x208f2c[_0x52de5c(0x107d)][_0x52de5c(0x402)]+_0x52de5c(0x2452)+'\x20will\x20be\x20deleted.')[_0x52de5c(0x4bd)](_0x52de5c(0x25ef))[_0x52de5c(0x1f27)](_0x443ed7)['ok']('OK')[_0x52de5c(0x6c3)](_0x52de5c(0x39a));_0x5f1bcd[_0x52de5c(0x2615)](_0x1d9bdb)[_0x52de5c(0x146b)](function(){const _0x51b827=_0x52de5c;_0x208f2c[_0x51b827(0x107d)][_0x51b827(0x1df5)](function(_0x144ee3){_0x5e2786(_0x144ee3);}),_0x208f2c['selectedListCustomFields']=[];});}let _0xf7e7d5=!![],_0x2236e7=0x1;_0x2e3ae7[_0x2261ab(0x21e8)](_0x2261ab(0x117f),function(_0x5627f4,_0x5d9a0d){const _0x1a487e=_0x2261ab;_0xf7e7d5?_0x321559(function(){_0xf7e7d5=![];}):(!_0x5d9a0d&&(_0x2236e7=_0x208f2c[_0x1a487e(0x1a56)][_0x1a487e(0x844)]),_0x5627f4!==_0x5d9a0d&&(_0x208f2c[_0x1a487e(0x1a56)][_0x1a487e(0x844)]=0x1),!_0x5627f4&&(_0x208f2c[_0x1a487e(0x1a56)][_0x1a487e(0x844)]=_0x2236e7),_0x469e6e());});}const _0x12f938=_0x2bc479;;_0x5e3211['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x10ba),_0x313a4d(0x165e),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x5e3211(_0x613002,_0x585bc4,_0x9d51e4,_0x419f63,_0x34d3f7,_0x15ffbd,_0x561f94,_0xafa5e8,_0x229f42,_0x2bbe45,_0xf50c9,_0x11b29a,_0x150de6,_0x3f1e03){const _0x2c23e6=_0x313a4d,_0x531a1c=this;_0x531a1c[_0x2c23e6(0x2321)]=_0xf50c9[_0x2c23e6(0xb12)](),_0x531a1c['errors']=[],_0x531a1c['setting']=_0x150de6,_0x531a1c['license']=_0x11b29a,_0x531a1c[_0x2c23e6(0x2514)]=_0x3f1e03,_0x531a1c[_0x2c23e6(0x855)]={},_0x531a1c['passwordPattern']=_0x531a1c[_0x2c23e6(0x15b9)]&&_0x531a1c[_0x2c23e6(0x15b9)][_0x2c23e6(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x531a1c[_0x2c23e6(0x1189)]=_0x2c23e6(0xe63),_0x531a1c[_0x2c23e6(0x20f5)]={'animation':0x320,'sort':!![],'onSort':function(){const _0x425ae8=_0x2c23e6;_0x613002[_0x425ae8(0x1467)][_0x425ae8(0x1c96)]=![];}},_0x531a1c[_0x2c23e6(0x165e)]=_0x229f42,_0x531a1c[_0x2c23e6(0x10ba)]=_0xafa5e8,_0x531a1c[_0x2c23e6(0x21d5)]=![];!_0x531a1c['customField']&&(_0x531a1c[_0x2c23e6(0x165e)]={'type':_0x2c23e6(0xa8d),'actionType':_0x2c23e6(0xe6)},_0x531a1c['title']=_0x2c23e6(0xe9a),_0x531a1c[_0x2c23e6(0x21d5)]=!![]);_0x585bc4[_0x2c23e6(0x16a)]['id']&&(_0x531a1c[_0x2c23e6(0x165e)][_0x2c23e6(0xb7c)]=_0x585bc4['params']['id']);_0x613002[_0x2c23e6(0x21e8)](_0x2c23e6(0x105a),function(_0x28494b,_0x180f84){const _0x4f2d8c=_0x2c23e6;if(_0x28494b!==_0x180f84)switch(_0x28494b){case _0x4f2d8c(0xa8d):_0x531a1c[_0x4f2d8c(0x165e)]['values']='';break;case'select':_0x531a1c[_0x4f2d8c(0x165e)][_0x4f2d8c(0x81b)]=[];break;default:}}),_0x531a1c[_0x2c23e6(0x2d9)]=_0x5bb606,_0x531a1c[_0x2c23e6(0x274)]=_0x1c2209,_0x531a1c[_0x2c23e6(0x1bc9)]=_0x5bea95,_0x531a1c[_0x2c23e6(0xe73)]=_0x2c39fa,_0x531a1c[_0x2c23e6(0x1e31)]=_0x1ae3b9,_0x531a1c[_0x2c23e6(0x246d)]=_0x1652a4,_0x531a1c[_0x2c23e6(0x2315)]=_0x2d6dc1,_0x531a1c[_0x2c23e6(0x13f3)]=_0x47dc31;function _0x1ae3b9(_0x51fe11){const _0xab85e=_0x2c23e6;_0x51fe11[_0xab85e(0x1f47)]({'value':'New\x20Item'}),_0x613002['customFieldForm']['$pristine']=![];}function _0x1652a4(_0x446672,_0x3dc02e){const _0x2ce102=_0x2c23e6;_0x446672['splice'](_0x3dc02e,0x1),_0x613002[_0x2ce102(0x1467)][_0x2ce102(0x1c96)]=![];}function _0x2d6dc1(_0x27d57c){const _0x4ccd01=_0x2c23e6,_0x3cc8c5=_0x27d57c[_0x4ccd01(0x402)];for(let _0x5d43a7=0x0;_0x5d43a7<_0x3cc8c5;_0x5d43a7++){_0x27d57c[_0x4ccd01(0x1f7d)](0x0,0x1);}_0x613002[_0x4ccd01(0x1467)][_0x4ccd01(0x1c96)]=![];}function _0x5bb606(){const _0x2e9201=_0x2c23e6;_0x531a1c[_0x2e9201(0xcef)]=[],_0x2bbe45[_0x2e9201(0xf03)][_0x2e9201(0x196d)]({'id':_0x585bc4[_0x2e9201(0x16a)]['id']},_0x531a1c[_0x2e9201(0x165e)])[_0x2e9201(0x2945)][_0x2e9201(0x146b)](function(_0x362a16){const _0x1e0de5=_0x2e9201;_0x531a1c[_0x1e0de5(0x10ba)][_0x1e0de5(0xb3d)](_0x362a16[_0x1e0de5(0x2488)]()),_0x561f94[_0x1e0de5(0x1c75)]({'title':_0x1e0de5(0x318),'msg':_0x531a1c[_0x1e0de5(0x165e)]['name']?_0x531a1c[_0x1e0de5(0x165e)]['name']+'\x20has\x20been\x20created!':''}),_0x47dc31(_0x362a16);})[_0x2e9201(0x129e)](function(_0x337c18){const _0x5a126c=_0x2e9201;if(_0x337c18[_0x5a126c(0x524)]&&_0x337c18['data'][_0x5a126c(0xcef)]&&_0x337c18[_0x5a126c(0x524)][_0x5a126c(0xcef)][_0x5a126c(0x402)]){_0x531a1c['errors']=_0x337c18['data'][_0x5a126c(0xcef)]||[{'message':_0x337c18[_0x5a126c(0xd5f)](),'type':_0x5a126c(0x1807)}];for(let _0x269d6a=0x0;_0x269d6a<_0x337c18[_0x5a126c(0x524)][_0x5a126c(0xcef)][_0x5a126c(0x402)];_0x269d6a+=0x1){_0x561f94[_0x5a126c(0x1980)]({'title':_0x337c18[_0x5a126c(0x524)]['errors'][_0x269d6a][_0x5a126c(0x1142)],'msg':_0x337c18['data'][_0x5a126c(0xcef)][_0x269d6a][_0x5a126c(0x7fd)]});}}else _0x561f94[_0x5a126c(0x1980)]({'title':_0x337c18[_0x5a126c(0x107b)]?'API:'+_0x337c18[_0x5a126c(0x107b)]+'\x20-\x20'+_0x337c18[_0x5a126c(0x167f)]:_0x5a126c(0x1807),'msg':_0x337c18[_0x5a126c(0x524)]?JSON[_0x5a126c(0x10bb)](_0x337c18['data'][_0x5a126c(0x7fd)]):_0x337c18[_0x5a126c(0xd5f)]()});});}function _0x1c2209(){const _0x41c522=_0x2c23e6;_0x531a1c['errors']=[],_0x2bbe45[_0x41c522(0x14a9)][_0x41c522(0x18e1)]({'id':_0x531a1c['customField']['id']},_0x531a1c[_0x41c522(0x165e)])['$promise'][_0x41c522(0x146b)](function(_0x1a7cc9){const _0x50a58e=_0x41c522,_0x1c89cd=_0x3f65c0()['find'](_0x531a1c[_0x50a58e(0x10ba)],{'id':_0x1a7cc9['id']});_0x1c89cd&&_0x3f65c0()[_0x50a58e(0x168d)](_0x1c89cd,_0x3f65c0()[_0x50a58e(0x40e)](_0x1a7cc9[_0x50a58e(0x2488)](),_0x3f65c0()['keys'](_0x1c89cd))),_0x561f94['success']({'title':_0x50a58e(0x210),'msg':_0x531a1c[_0x50a58e(0x165e)][_0x50a58e(0x19eb)]?_0x531a1c[_0x50a58e(0x165e)][_0x50a58e(0x19eb)]+_0x50a58e(0x24db):''}),_0x47dc31(_0x1a7cc9);})[_0x41c522(0x129e)](function(_0x293fd3){const _0x110d4c=_0x41c522;if(_0x293fd3[_0x110d4c(0x524)]&&_0x293fd3[_0x110d4c(0x524)][_0x110d4c(0xcef)]&&_0x293fd3[_0x110d4c(0x524)][_0x110d4c(0xcef)]['length']){_0x531a1c[_0x110d4c(0xcef)]=_0x293fd3[_0x110d4c(0x524)]['errors']||[{'message':_0x293fd3[_0x110d4c(0xd5f)](),'type':_0x110d4c(0x1b07)}];for(let _0xc46d9f=0x0;_0xc46d9f<_0x293fd3['data'][_0x110d4c(0xcef)][_0x110d4c(0x402)];_0xc46d9f++){_0x561f94[_0x110d4c(0x1980)]({'title':_0x293fd3[_0x110d4c(0x524)][_0x110d4c(0xcef)][_0xc46d9f][_0x110d4c(0x1142)],'msg':_0x293fd3[_0x110d4c(0x524)][_0x110d4c(0xcef)][_0xc46d9f][_0x110d4c(0x7fd)]});}}else _0x561f94[_0x110d4c(0x1980)]({'title':_0x293fd3[_0x110d4c(0x107b)]?_0x110d4c(0x262a)+_0x293fd3[_0x110d4c(0x107b)]+_0x110d4c(0x1315)+_0x293fd3[_0x110d4c(0x167f)]:_0x110d4c(0x1b07),'msg':_0x293fd3[_0x110d4c(0x524)]?JSON[_0x110d4c(0x10bb)](_0x293fd3[_0x110d4c(0x524)][_0x110d4c(0x7fd)]):_0x293fd3[_0x110d4c(0xd5f)]()});});}function _0x5bea95(_0x2775c8){const _0x376657=_0x2c23e6;_0x531a1c[_0x376657(0xcef)]=[];const _0x408fd6=_0x419f63[_0x376657(0x1e8a)]()[_0x376657(0x1189)](_0x376657(0x1d64))[_0x376657(0x80f)](_0x376657(0x1292))['ariaLabel'](_0x376657(0x1bfd))['ok'](_0x376657(0x25de))[_0x376657(0x6c3)]('Cancel')[_0x376657(0x1f27)](_0x2775c8);_0x419f63[_0x376657(0x2615)](_0x408fd6)[_0x376657(0x146b)](function(){const _0x464514=_0x376657;_0x2bbe45[_0x464514(0x14a9)][_0x464514(0x1fac)]({'id':_0x531a1c[_0x464514(0x165e)]['id']})['$promise'][_0x464514(0x146b)](function(){const _0x438b76=_0x464514;_0x3f65c0()[_0x438b76(0x2640)](_0x531a1c[_0x438b76(0x10ba)],{'id':_0x531a1c[_0x438b76(0x165e)]['id']}),_0x561f94[_0x438b76(0x1c75)]({'title':'CustomField\x20properly\x20deleted!','msg':(_0x531a1c[_0x438b76(0x165e)][_0x438b76(0x19eb)]||'customField')+_0x438b76(0x23e3)}),_0x47dc31(_0x531a1c[_0x438b76(0x165e)]);})[_0x464514(0x129e)](function(_0x367bfb){const _0x23a70e=_0x464514;if(_0x367bfb[_0x23a70e(0x524)]&&_0x367bfb[_0x23a70e(0x524)][_0x23a70e(0xcef)]&&_0x367bfb[_0x23a70e(0x524)][_0x23a70e(0xcef)][_0x23a70e(0x402)]){_0x531a1c[_0x23a70e(0xcef)]=_0x367bfb[_0x23a70e(0x524)][_0x23a70e(0xcef)]||[{'message':_0x367bfb[_0x23a70e(0xd5f)](),'type':'api.cmCustomField.delete'}];for(let _0x1caad1=0x0;_0x1caad1<_0x367bfb[_0x23a70e(0x524)]['errors'][_0x23a70e(0x402)];_0x1caad1++){_0x561f94[_0x23a70e(0x1980)]({'title':_0x367bfb[_0x23a70e(0x524)][_0x23a70e(0xcef)][_0x1caad1]['type'],'msg':_0x367bfb[_0x23a70e(0x524)]['errors'][_0x1caad1][_0x23a70e(0x7fd)]});}}else _0x561f94[_0x23a70e(0x1980)]({'title':_0x367bfb[_0x23a70e(0x107b)]?'API:'+_0x367bfb[_0x23a70e(0x107b)]+'\x20-\x20'+_0x367bfb[_0x23a70e(0x167f)]:_0x23a70e(0x1676),'msg':_0x367bfb[_0x23a70e(0x524)]?JSON[_0x23a70e(0x10bb)](_0x367bfb[_0x23a70e(0x524)][_0x23a70e(0x7fd)]):_0x367bfb[_0x23a70e(0x7fd)]||_0x367bfb[_0x23a70e(0xd5f)]()});});},function(){});}function _0x2c39fa(_0x4c391f){return _0x4c391f===null?undefined:new Date(_0x4c391f);}function _0x47dc31(_0x259ede){_0x419f63['hide'](_0x259ede);}}const _0x2c6862=_0x5e3211;;const _0x25b054=_0x4acfac['p']+_0x313a4d(0x1722);;_0x4ae547['$inject']=[_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x214b),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x25f4),_0x313a4d(0x1366)];function _0x4ae547(_0x4eeaaa,_0x585b60,_0x29e0aa,_0x33a6c2,_0x46ce15,_0x5c6479,_0x3b7a51,_0x1f2df0,_0x1fc066,_0x148de3,_0x1899ef,_0x207b88){const _0x211633=_0x313a4d,_0x4a7643=this;_0x4a7643[_0x211633(0x2321)]=_0x148de3[_0x211633(0xb12)](),_0x4a7643[_0x211633(0x2690)]=_0x5c6479,_0x4a7643[_0x211633(0x15b9)]=_0x3b7a51,_0x4a7643['passwordPattern']=_0x4a7643['setting'][_0x211633(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x4a7643[_0x211633(0x1002)]=_0x585b60[_0x211633(0x2414)]()+'://'+_0x585b60['host'](),_0x4a7643[_0x211633(0x25f4)]=_0x1899ef||_0x4eeaaa[_0x211633(0x16a)][_0x211633(0x25f4)]||{},_0x4a7643['userProfileSection']=_0x207b88&&_0x207b88[_0x211633(0x51c)]==0x1?_0x207b88['rows'][0x0]:null,_0x4a7643[_0x211633(0x2514)]=_0x148de3['parseCrudPermissions'](_0x4a7643[_0x211633(0x1366)]?_0x4a7643[_0x211633(0x1366)][_0x211633(0x2514)]:null),_0x4a7643[_0x211633(0x855)]={},_0x4a7643[_0x211633(0x1b09)]=_0x4eeaaa[_0x211633(0x16a)]['tab']||0x0,_0x4a7643[_0x211633(0x3a9)]=_0x430569,_0x4a7643['agentadddialog']=_0x3a421e,_0x4a7643['alert']=_0x1fc066['info'],_0x4a7643['gotoLists']=_0x20c0ae,_0x4a7643[_0x211633(0xbfb)]=_0x9cab10;function _0x430569(_0x11e0e4){const _0x296b70=_0x211633;if(_0x148de3[_0x296b70(0x23e0)](_0x296b70(0x174b)))_0x4eeaaa['go']('app.contactmanager.contacts',{'ListId':_0x11e0e4['id']});else return _0x1f2df0[_0x296b70(0x1366)][_0x296b70(0x16b4)]({'userProfileId':_0x148de3['getCurrentUser']()[_0x296b70(0x209a)],'sectionId':0x12f})[_0x296b70(0x2945)][_0x296b70(0x146b)](function(_0x5e7c1c){const _0x4417eb=_0x296b70,_0x53e285=_0x5e7c1c&&_0x5e7c1c[_0x4417eb(0x19c7)]?_0x5e7c1c['rows'][0x0]:null;_0x53e285&&_0x53e285[_0x4417eb(0x193e)]?_0x4eeaaa['go'](_0x4417eb(0x23fa),{'ListId':_0x11e0e4['id']}):_0x1fc066[_0x4417eb(0x271e)]({'title':_0x46ce15['instant'](_0x4417eb(0xb27)),'msg':_0x46ce15[_0x4417eb(0xde)]('STAFF.PERMISSIONS_UNAUTHORIZED_REDIRECT_MESSAGE')});})['catch'](function(_0x489f27){const _0x196f97=_0x296b70;_0x1fc066['error']({'title':_0x489f27['status']?_0x196f97(0x262a)+_0x489f27[_0x196f97(0x107b)]+_0x196f97(0x1315)+_0x489f27[_0x196f97(0x167f)]:'USERPROFILE:GET_SECTION','msg':_0x489f27['status']?JSON[_0x196f97(0x10bb)](_0x489f27[_0x196f97(0x524)]):_0x489f27['toString']()});});}function _0x3a421e(_0x425783,_0x5bf6bc){const _0x4ceb8e=_0x211633;_0x29e0aa[_0x4ceb8e(0x2615)]({'controller':_0x4ceb8e(0x207a),'controllerAs':'vm','templateUrl':_0x25b054,'parent':angular[_0x4ceb8e(0x1853)](_0x33a6c2[_0x4ceb8e(0x2586)]),'targetEvent':_0x5bf6bc,'clickOutsideToClose':!![],'locals':{'list':_0x425783,'lists':_0x4a7643[_0x4ceb8e(0x1324)]?_0x4a7643['lists'][_0x4ceb8e(0x19c7)]:[],'crudPermissions':_0x4a7643['crudPermissions'],'realtime':![]}});}function _0x20c0ae(){const _0x2c84b8=_0x211633;_0x4eeaaa['go'](_0x2c84b8(0x26ec),{},{'reload':_0x2c84b8(0x26ec)});}function _0x9cab10(){const _0x26d2c5=_0x211633;_0x1f2df0[_0x26d2c5(0xf03)][_0x26d2c5(0x18e1)]({'id':_0x4a7643[_0x26d2c5(0x25f4)]['id']},_0x4a7643[_0x26d2c5(0x25f4)])[_0x26d2c5(0x2945)]['then'](function(){const _0x3d9003=_0x26d2c5;_0x1fc066[_0x3d9003(0x1c75)]({'title':'List\x20updated!','msg':_0x4a7643[_0x3d9003(0x25f4)][_0x3d9003(0x19eb)]?_0x4a7643[_0x3d9003(0x25f4)]['name']+_0x3d9003(0x6b0):''});})[_0x26d2c5(0x129e)](function(_0x443297){const _0x534b1f=_0x26d2c5;_0x1fc066[_0x534b1f(0x1980)]({'title':_0x443297[_0x534b1f(0x107b)]?'API:'+_0x443297[_0x534b1f(0x107b)]+_0x534b1f(0x1315)+_0x443297[_0x534b1f(0x167f)]:'SYSTEM:GETcmList','msg':_0x443297[_0x534b1f(0x524)]?JSON[_0x534b1f(0x10bb)](_0x443297[_0x534b1f(0x524)]):_0x443297[_0x534b1f(0xd5f)]()});});}}const _0x408672=_0x4ae547;;const _0x4f093a=_0x4acfac['p']+'src/js/modules/main/apps/tools/views/dispositions/create/dialog.html/dialog.html';;_0xd338a6[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),'$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0x214b),'api',_0x313a4d(0x1fe4),_0x313a4d(0xa87)];function _0xd338a6(_0x96aab2,_0x25c18b,_0x46c8f4,_0x153404,_0x4102ed,_0x452c52,_0x16c9f3,_0x5066a7,_0x2c1586,_0xab0519,_0x4ca914){const _0x5d55b6=_0x313a4d,_0x35fe44=this;_0x35fe44['currentUser']=_0x4ca914[_0x5d55b6(0xb12)](),_0x35fe44[_0x5d55b6(0x2647)]={'count':0x0,'rows':[]},_0x35fe44[_0x5d55b6(0x2ad)]=[],_0x35fe44[_0x5d55b6(0x2514)],_0x35fe44[_0x5d55b6(0xd92)]={'first':'1st','second':_0x5d55b6(0x227b),'third':_0x5d55b6(0x1195)},_0x35fe44['query']={'fields':_0x5d55b6(0x2430),'sort':_0x5d55b6(0x12f2),'limit':0xa,'page':0x1},_0x35fe44[_0x5d55b6(0x5aa)]=_0x446606,_0x35fe44['deleteConfirm']=_0x2fbb6e,_0x35fe44[_0x5d55b6(0x1c75)]=_0x283979,_0x35fe44[_0x5d55b6(0x2451)]=_0xbac234,_0x35fe44[_0x5d55b6(0x24dc)]=_0x5af5ea,_0x35fe44[_0x5d55b6(0x1220)]=_0x22c5f5,_0x35fe44[_0x5d55b6(0x25f0)]=_0x577ab8,_0x35fe44[_0x5d55b6(0x11e4)]=_0x434c5a,_0x35fe44['deselectDispositions']=_0x3fb8ee,_0x35fe44[_0x5d55b6(0x481)]=_0x1febf4;function _0x446606(_0x5c689c,_0x134f79){const _0x2ec214=_0x5d55b6;_0x35fe44['list']=_0x5c689c||{},_0x35fe44[_0x2ec214(0x2514)]=typeof _0x134f79!==_0x2ec214(0x2274)?_0x134f79:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x35fe44[_0x2ec214(0x1a56)]['ListId']=_0x35fe44[_0x2ec214(0x25f4)]['id'],_0x35fe44[_0x2ec214(0x1a56)]['id']=_0x35fe44['list']['id'],_0xbac234();}function _0x5af5ea(_0x2f6a00,_0x443bb4){const _0xe66e9a=_0x5d55b6;_0x4102ed[_0xe66e9a(0x2615)]({'controller':'CreateOrEditDispositionDialogController','controllerAs':'vm','templateUrl':_0x4f093a,'parent':angular[_0xe66e9a(0x1853)](_0x452c52[_0xe66e9a(0x2586)]),'targetEvent':_0x2f6a00,'clickOutsideToClose':!![],'locals':{'disposition':_0x443bb4,'model':{'id':_0x35fe44[_0xe66e9a(0x25f4)]['id'],'field':'ListId','route':_0xe66e9a(0xf03)},'license':null,'setting':null,'crudPermissions':_0x35fe44[_0xe66e9a(0x2514)]}})[_0xe66e9a(0x146b)](function(_0x5307f1){if(_0x5307f1)_0xbac234();});}function _0x2fbb6e(_0x339cd1,_0x83993c){const _0x298218=_0x5d55b6,_0x29e7ae=_0x3f65c0()['some'](_0x35fe44['dispositions']['rows'],[_0x298218(0x11b5),_0x339cd1['id']]),_0x340d1f=_0x4102ed['confirm']()[_0x298218(0x1189)](_0x5066a7['instant']('TOOLS.NOTIFICATIONS.DISPOSITION_DELETE_TITLE'))['content'](_0x5066a7['instant'](_0x298218(0xe8d)+(_0x29e7ae?_0x298218(0xda9):_0x298218(0xd49)),{'name':_0x339cd1[_0x298218(0x19eb)]}))['ariaLabel'](_0x298218(0x27d1))[_0x298218(0x1f27)](_0x83993c)['ok']('OK')[_0x298218(0x6c3)](_0x5066a7[_0x298218(0xde)]('APP.CANCEL'));_0x4102ed[_0x298218(0x2615)](_0x340d1f)[_0x298218(0x146b)](function(){_0x22c5f5(_0x339cd1);});}function _0x283979(_0x4fb9e7){const _0x2bcb60=_0x5d55b6;_0x35fe44[_0x2bcb60(0x2647)]=_0x4fb9e7||{'count':0x0,'rows':[]};}function _0xbac234(){const _0x312083=_0x5d55b6;_0x35fe44[_0x312083(0x1a56)][_0x312083(0x145d)]=(_0x35fe44[_0x312083(0x1a56)][_0x312083(0x844)]-0x1)*_0x35fe44[_0x312083(0x1a56)]['limit'],_0x35fe44[_0x312083(0xb9c)]=_0x2c1586[_0x312083(0xf03)][_0x312083(0x2451)](_0x35fe44[_0x312083(0x1a56)],_0x283979)[_0x312083(0x2945)];}function _0x22c5f5(_0x4bb03b){const _0x129b41=_0x5d55b6;_0x2c1586[_0x129b41(0x9e1)][_0x129b41(0x1fac)]({'id':_0x4bb03b['id']})[_0x129b41(0x2945)]['then'](function(){const _0x2013ee=_0x129b41;_0xbac234(),_0xab0519[_0x2013ee(0x1c75)]({'title':_0x5066a7[_0x2013ee(0xde)](_0x2013ee(0xa2f))});})[_0x129b41(0x129e)](function(_0x4eb561){const _0x5494be=_0x129b41;if(_0x4eb561[_0x5494be(0x524)]&&_0x4eb561['data']['errors']&&_0x4eb561[_0x5494be(0x524)][_0x5494be(0xcef)][_0x5494be(0x402)]){_0x35fe44[_0x5494be(0xcef)]=_0x4eb561[_0x5494be(0x524)]['errors']||[{'message':_0x4eb561[_0x5494be(0xd5f)](),'type':_0x5494be(0x24a7)}];for(let _0x160d00=0x0;_0x160d00<_0x4eb561[_0x5494be(0x524)][_0x5494be(0xcef)]['length'];_0x160d00++){_0xab0519['error']({'title':_0x4eb561[_0x5494be(0x524)][_0x5494be(0xcef)][_0x160d00]['type'],'msg':_0x4eb561[_0x5494be(0x524)][_0x5494be(0xcef)][_0x160d00][_0x5494be(0x7fd)]});}}else _0xab0519['error']({'title':_0x4eb561[_0x5494be(0x107b)]?_0x5494be(0x262a)+_0x4eb561[_0x5494be(0x107b)]+_0x5494be(0x1315)+_0x4eb561[_0x5494be(0x167f)]:_0x5494be(0x24a7),'msg':_0x4eb561[_0x5494be(0x524)]?JSON[_0x5494be(0x10bb)](_0x4eb561[_0x5494be(0x524)]['message']):_0x4eb561[_0x5494be(0x7fd)]||_0x4eb561['toString']()});});}function _0x577ab8(){const _0x50a334=_0x5d55b6,_0x27e0f1=angular[_0x50a334(0x235a)](_0x35fe44[_0x50a334(0x2ad)]);return _0x35fe44[_0x50a334(0x2ad)]=[],_0x27e0f1;}function _0x434c5a(_0x4af5fe){const _0x1495e9=_0x5d55b6,_0xd6f6ef=_0x4102ed[_0x1495e9(0x1e8a)]()[_0x1495e9(0x1189)](_0x5066a7[_0x1495e9(0xde)](_0x1495e9(0x1a5b)))[_0x1495e9(0x80f)](_0x5066a7[_0x1495e9(0xde)](_0x1495e9(0x23ce),{'total':_0x35fe44[_0x1495e9(0x2ad)][_0x1495e9(0x402)]}))['ariaLabel'](_0x1495e9(0x1eb0))[_0x1495e9(0x1f27)](_0x4af5fe)['ok']('OK')[_0x1495e9(0x6c3)](_0x5066a7[_0x1495e9(0xde)](_0x1495e9(0x1161)));_0x4102ed[_0x1495e9(0x2615)](_0xd6f6ef)[_0x1495e9(0x146b)](function(){const _0x41ead9=_0x1495e9;_0x35fe44[_0x41ead9(0x2ad)][_0x41ead9(0x1df5)](function(_0x85a1c4){_0x22c5f5(_0x85a1c4);}),_0x35fe44[_0x41ead9(0x2ad)]=[];});}function _0x3fb8ee(){const _0x3224ae=_0x5d55b6;_0x35fe44[_0x3224ae(0x2ad)]=[];}function _0x1febf4(){const _0x39f2e4=_0x5d55b6;_0x35fe44[_0x39f2e4(0x2ad)]=_0x35fe44['dispositions'][_0x39f2e4(0x19c7)];}let _0x44fe44=!![],_0x3dd3f7=0x1;_0x96aab2['$watch'](_0x5d55b6(0x117f),function(_0x4a65b9,_0x1f7081){const _0x529f80=_0x5d55b6;_0x44fe44?_0x16c9f3(function(){_0x44fe44=![];}):(!_0x1f7081&&(_0x3dd3f7=_0x35fe44['query']['page']),_0x4a65b9!==_0x1f7081&&(_0x35fe44[_0x529f80(0x1a56)]['page']=0x1),!_0x4a65b9&&(_0x35fe44[_0x529f80(0x1a56)][_0x529f80(0x844)]=_0x3dd3f7),_0xbac234());});}const _0x67a0d7=_0xd338a6;;const _0x50f64a=_0x4acfac['p']+'src/js/modules/main/apps/contactmanager/views/lists/create/dialog.html/dialog.html';;_0x4d03d6['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),'$mdDialog','$document','$timeout',_0x313a4d(0x214b),_0x313a4d(0x1324),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x4d03d6(_0x24e73b,_0xbe91ce,_0x6f35e4,_0x25ce90,_0x17a4ec,_0x547082,_0x4def5c,_0x222858,_0x3d715d,_0x5ec786,_0x5e83e5,_0x45dbe4,_0x53b8d3,_0x981b82,_0x2283e1,_0x332e76,_0x5d5d26){const _0x587447=_0x313a4d,_0x1b1a3e=this;_0x1b1a3e[_0x587447(0x2690)]=_0x332e76,_0x1b1a3e[_0x587447(0x15b9)]=_0x5d5d26,_0x1b1a3e[_0x587447(0x2321)]=_0x2283e1['getCurrentUser'](),_0x1b1a3e[_0x587447(0x1324)]=_0x3d715d||{'count':0x0,'rows':[]},_0x1b1a3e['userProfile']=_0x5ec786,_0x1b1a3e[_0x587447(0x1366)]=_0x5e83e5&&_0x5e83e5[_0x587447(0x51c)]==0x1?_0x5e83e5[_0x587447(0x19c7)][0x0]:null,_0x1b1a3e[_0x587447(0x2514)]=_0x2283e1[_0x587447(0xe60)](_0x1b1a3e[_0x587447(0x1366)]?_0x1b1a3e[_0x587447(0x1366)][_0x587447(0x2514)]:null),_0x1b1a3e[_0x587447(0x768)]='lists',_0x1b1a3e[_0x587447(0x216a)]='',_0x1b1a3e[_0x587447(0x214f)]=null,_0x1b1a3e[_0x587447(0x10f2)]=[],_0x1b1a3e[_0x587447(0x1a56)]={'fields':_0x587447(0x1929),'sort':'-updatedAt','limit':0xa,'page':0x1},_0x1b1a3e[_0x587447(0x23f9)]=_0x34fa7a,_0x1b1a3e[_0x587447(0x17b0)]=_0x40f5cb,_0x1b1a3e[_0x587447(0x2607)]=_0x3b476e,_0x1b1a3e['agentadddialog']=_0x2b6503,_0x1b1a3e[_0x587447(0xf0a)]=_0xb11a2,_0x1b1a3e['success']=_0x38c678,_0x1b1a3e[_0x587447(0x233d)]=_0x56ec5a,_0x1b1a3e[_0x587447(0x1a92)]=_0x21829b,_0x1b1a3e[_0x587447(0x2638)]=_0x40ec29,_0x1b1a3e[_0x587447(0x6f4)]=_0x4ddaef,_0x1b1a3e[_0x587447(0x198e)]=_0x558abb,_0x1b1a3e[_0x587447(0xaab)]=_0x32b495,_0x1b1a3e[_0x587447(0x170e)]=_0x3cd6c5;function _0x34fa7a(_0x4208ec){const _0x121ab5=_0x587447;_0x6f35e4['go'](_0x121ab5(0x357),{'id':_0x4208ec['id'],'list':_0x4208ec,'crudPermissions':_0x1b1a3e[_0x121ab5(0x2514)]});}function _0x40f5cb(_0x3884e8){const _0x25ba23=_0x587447;if(_0x2283e1[_0x25ba23(0x23e0)]('admin'))_0x6f35e4['go'](_0x25ba23(0x23fa),{'ListId':_0x3884e8['id']});else return _0x45dbe4['userProfileSection'][_0x25ba23(0x16b4)]({'userProfileId':_0x2283e1[_0x25ba23(0xb12)]()[_0x25ba23(0x209a)],'sectionId':0x12f})['$promise']['then'](function(_0x1512a0){const _0xd75ada=_0x25ba23,_0x5492a1=_0x1512a0&&_0x1512a0[_0xd75ada(0x19c7)]?_0x1512a0[_0xd75ada(0x19c7)][0x0]:null;_0x5492a1&&_0x5492a1[_0xd75ada(0x193e)]?_0x6f35e4['go'](_0xd75ada(0x23fa),{'ListId':_0x3884e8['id']}):_0x981b82[_0xd75ada(0x271e)]({'title':_0x222858[_0xd75ada(0xde)](_0xd75ada(0xb27)),'msg':_0x222858[_0xd75ada(0xde)](_0xd75ada(0x174a))});})[_0x25ba23(0x129e)](function(_0x4adec1){const _0x8489f3=_0x25ba23;_0x981b82[_0x8489f3(0x1980)]({'title':_0x4adec1[_0x8489f3(0x107b)]?_0x8489f3(0x262a)+_0x4adec1[_0x8489f3(0x107b)]+'\x20-\x20'+_0x4adec1[_0x8489f3(0x167f)]:'USERPROFILE:GET_SECTION','msg':_0x4adec1[_0x8489f3(0x107b)]?JSON[_0x8489f3(0x10bb)](_0x4adec1[_0x8489f3(0x524)]):_0x4adec1[_0x8489f3(0xd5f)]()});});}function _0x3b476e(_0x3980c0){const _0x36eaac=_0x587447;return _0x45dbe4[_0x36eaac(0xf03)][_0x36eaac(0x27e1)]({'id':_0x3980c0['id'],'nolimit':!![]})[_0x36eaac(0x2945)]['then'](function(_0x3d072d){const _0x3ae572=_0x36eaac;if(typeof _0x3d072d['length']!==_0x3ae572(0x2274)){const _0x2f0977=[_0x3d072d[_0x3ae572(0x2eb)]],_0x517270=new Blob(_0x2f0977,{'type':_0x3d072d[_0x3ae572(0x1142)]}),_0x3ae27e=window[_0x3ae572(0xef3)]['createElement']('a');_0x3ae27e[_0x3ae572(0x1652)]('href',URL[_0x3ae572(0x1c58)](_0x517270)),_0x3ae27e[_0x3ae572(0x1652)]('download','exportContacts_'+_0x3980c0['id']+_0x3ae572(0x1e1b)),_0x3ae27e[_0x3ae572(0x1fa5)]();}else _0x981b82[_0x3ae572(0x271e)]({'title':_0x3ae572(0x6bb),'msg':'The\x20list\x20is\x20empty'});})['catch'](function(_0x5d0274){const _0x69b11a=_0x36eaac;_0x981b82[_0x69b11a(0x1980)]({'title':_0x5d0274['status']?_0x69b11a(0x262a)+_0x5d0274[_0x69b11a(0x107b)]+_0x69b11a(0x1315)+_0x5d0274[_0x69b11a(0x167f)]:_0x69b11a(0x184b),'msg':_0x5d0274[_0x69b11a(0x524)]?JSON['stringify'](_0x5d0274[_0x69b11a(0x524)]):_0x5d0274['toString']()});});}function _0x2b6503(_0x10a036,_0x14a707){const _0x3ccb0a=_0x587447;_0x17a4ec[_0x3ccb0a(0x2615)]({'controller':_0x3ccb0a(0x207a),'controllerAs':'vm','templateUrl':_0x25b054,'parent':angular['element'](_0x547082[_0x3ccb0a(0x2586)]),'targetEvent':_0x14a707,'clickOutsideToClose':!![],'locals':{'list':_0x10a036,'lists':_0x1b1a3e[_0x3ccb0a(0x1324)]?_0x1b1a3e[_0x3ccb0a(0x1324)][_0x3ccb0a(0x19c7)]:[],'crudPermissions':_0x1b1a3e[_0x3ccb0a(0x2514)],'realtime':![]}});}function _0xb11a2(_0x5192ce,_0x27e58a){const _0x308945=_0x587447,_0x6e9d2=_0x17a4ec[_0x308945(0x1e8a)]()[_0x308945(0x1189)](_0x308945(0xdb2)+_0x3f65c0()[_0x308945(0x20d1)](_0x308945(0x25f4))+'?')[_0x308945(0x1cbe)](''+(_0x5192ce[_0x308945(0x19eb)]||_0x308945(0x25f4))+''+_0x308945(0xe01))[_0x308945(0x4bd)](_0x308945(0x1533))[_0x308945(0x1f27)](_0x27e58a)['ok']('OK')[_0x308945(0x6c3)]('CANCEL');_0x17a4ec[_0x308945(0x2615)](_0x6e9d2)[_0x308945(0x146b)](function(){_0x40ec29(_0x5192ce);},function(){const _0x281df3=_0x308945;console[_0x281df3(0x1a74)](_0x281df3(0x39a));});}let _0x1bf526=!![],_0x542fc8=0x1;_0x24e73b[_0x587447(0x21e8)](_0x587447(0x2669),function(_0x168bfb,_0xf24f3d){const _0x57458e=_0x587447;_0x1bf526?_0x4def5c(function(){_0x1bf526=![];}):(!_0xf24f3d&&(_0x542fc8=_0x1b1a3e[_0x57458e(0x1a56)][_0x57458e(0x844)]),_0x168bfb!==_0xf24f3d&&(_0x1b1a3e['query'][_0x57458e(0x844)]=0x1),!_0x168bfb&&(_0x1b1a3e[_0x57458e(0x1a56)][_0x57458e(0x844)]=_0x542fc8),_0x1b1a3e[_0x57458e(0x233d)]());});function _0x38c678(_0x3d334f){const _0x35486d=_0x587447;_0x1b1a3e[_0x35486d(0x1324)]=_0x3d334f||{'count':0x0,'rows':[]};}function _0x56ec5a(){const _0x3902f3=_0x587447;_0x1b1a3e[_0x3902f3(0x1a56)][_0x3902f3(0x145d)]=(_0x1b1a3e[_0x3902f3(0x1a56)][_0x3902f3(0x844)]-0x1)*_0x1b1a3e['query'][_0x3902f3(0x221e)],_0x2283e1[_0x3902f3(0x23e0)](_0x3902f3(0x174b))?_0x1b1a3e[_0x3902f3(0xb9c)]=_0x45dbe4[_0x3902f3(0xf03)][_0x3902f3(0x16b4)](_0x1b1a3e['query'],_0x38c678)['$promise']:(_0x1b1a3e[_0x3902f3(0x1a56)]['id']=_0x1b1a3e[_0x3902f3(0x26b6)]['id'],_0x1b1a3e['query'][_0x3902f3(0x2146)]=_0x3902f3(0x174f),_0x1b1a3e[_0x3902f3(0xb9c)]=_0x45dbe4['userProfile'][_0x3902f3(0x158f)](_0x1b1a3e['query'],_0x38c678)['$promise']);}function _0x21829b(_0x286bec,_0x1e3862){const _0x333789=_0x587447;_0x17a4ec[_0x333789(0x2615)]({'controller':_0x333789(0x28d5),'controllerAs':'vm','templateUrl':_0x50f64a,'parent':angular['element'](_0x547082[_0x333789(0x2586)]),'targetEvent':_0x286bec,'clickOutsideToClose':!![],'locals':{'list':_0x1e3862,'lists':_0x1b1a3e[_0x333789(0x1324)][_0x333789(0x19c7)],'license':_0x1b1a3e[_0x333789(0x2690)],'setting':_0x1b1a3e[_0x333789(0x15b9)],'crudPermissions':_0x1b1a3e['crudPermissions']}});}function _0x40ec29(_0x5b0c86){const _0xa8b664=_0x587447;_0x45dbe4['cmList'][_0xa8b664(0x1fac)]({'id':_0x5b0c86['id']})[_0xa8b664(0x2945)]['then'](function(){const _0x3f7d5e=_0xa8b664;_0x3f65c0()['remove'](_0x1b1a3e[_0x3f7d5e(0x1324)][_0x3f7d5e(0x19c7)],{'id':_0x5b0c86['id']}),_0x1b1a3e[_0x3f7d5e(0x1324)]['count']-=0x1,!_0x1b1a3e[_0x3f7d5e(0x1324)][_0x3f7d5e(0x19c7)][_0x3f7d5e(0x402)]&&_0x1b1a3e['getLists'](),_0x981b82[_0x3f7d5e(0x1c75)]({'title':_0x3f65c0()['startCase'](_0x3f7d5e(0x1940))+'\x20deleted!','msg':_0x5b0c86['name']?_0x5b0c86['name']+_0x3f7d5e(0x23e3):''});})[_0xa8b664(0x129e)](function(_0xb28617){const _0x5dcaf7=_0xa8b664;if(_0xb28617[_0x5dcaf7(0x524)]&&_0xb28617['data'][_0x5dcaf7(0xcef)]&&_0xb28617[_0x5dcaf7(0x524)]['errors'][_0x5dcaf7(0x402)]){_0x1b1a3e['errors']=_0xb28617[_0x5dcaf7(0x524)][_0x5dcaf7(0xcef)]||[{'message':_0xb28617[_0x5dcaf7(0xd5f)](),'type':_0x5dcaf7(0x275d)}];for(let _0x61bffb=0x0;_0x61bffb<_0xb28617[_0x5dcaf7(0x524)][_0x5dcaf7(0xcef)][_0x5dcaf7(0x402)];_0x61bffb++){_0x981b82[_0x5dcaf7(0x1980)]({'title':_0xb28617[_0x5dcaf7(0x524)][_0x5dcaf7(0xcef)][_0x61bffb][_0x5dcaf7(0x1142)],'msg':_0xb28617[_0x5dcaf7(0x524)][_0x5dcaf7(0xcef)][_0x61bffb][_0x5dcaf7(0x7fd)]});}}else _0x981b82[_0x5dcaf7(0x1980)]({'title':_0xb28617[_0x5dcaf7(0x107b)]?_0x5dcaf7(0x262a)+_0xb28617[_0x5dcaf7(0x107b)]+_0x5dcaf7(0x1315)+_0xb28617['statusText']:'SYSTEM:DELETEcmList','msg':_0xb28617[_0x5dcaf7(0x524)]?JSON[_0x5dcaf7(0x10bb)](_0xb28617['data'][_0x5dcaf7(0x7fd)]):_0xb28617[_0x5dcaf7(0x7fd)]||_0xb28617[_0x5dcaf7(0xd5f)]()});});}function _0x4ddaef(){const _0x300e19=_0x587447,_0x495c03=angular['copy'](_0x1b1a3e['selectedLists']);return _0x1b1a3e[_0x300e19(0x10f2)]=[],_0x495c03;}function _0x558abb(_0xea7e5f){const _0x148399=_0x587447,_0x423bec=_0x17a4ec['confirm']()['title'](_0x148399(0x1ca3))[_0x148399(0x1cbe)](_0x148399(0x16d3)+_0x1b1a3e[_0x148399(0x10f2)]['length']+_0x148399(0x2452)+_0x148399(0xe01))[_0x148399(0x4bd)]('delete\x20Lists')[_0x148399(0x1f27)](_0xea7e5f)['ok']('OK')[_0x148399(0x6c3)](_0x148399(0x39a));_0x17a4ec[_0x148399(0x2615)](_0x423bec)[_0x148399(0x146b)](function(){const _0x2f241d=_0x148399;_0x1b1a3e['selectedLists'][_0x2f241d(0x1df5)](function(_0x16fae3){_0x40ec29(_0x16fae3);}),_0x1b1a3e[_0x2f241d(0x10f2)]=[];});}function _0x32b495(){const _0xd58675=_0x587447;_0x1b1a3e[_0xd58675(0x10f2)]=[];}function _0x3cd6c5(){const _0x3597a8=_0x587447;_0x1b1a3e[_0x3597a8(0x10f2)]=_0x1b1a3e[_0x3597a8(0x1324)][_0x3597a8(0x19c7)];}}const _0xca7ba4=_0x4d03d6;;const _0x26d50f=_0x4acfac['p']+'src/js/modules/main/apps/contactmanager/views/lists/lists.html/lists.html';;const _0x322d98=_0x4acfac['p']+_0x313a4d(0x1e1a);;const _0xc770ff=_0x4acfac['p']+_0x313a4d(0x2023);;const _0x47698e=_0x4acfac['p']+_0x313a4d(0x2930);;const _0x558b5f=_0x4acfac['p']+_0x313a4d(0x26ac);;_0x536387[_0x313a4d(0x11c2)]=[_0x313a4d(0x921),'$translatePartialLoaderProvider'];function _0x536387(_0x380462,_0x4be9c7){const _0x5d4a01=_0x313a4d;_0x380462[_0x5d4a01(0x13d6)](_0x5d4a01(0x1d7a),{'abstract':!![],'url':_0x5d4a01(0x113c)})['state'](_0x5d4a01(0x26ec),{'url':_0x5d4a01(0x2115),'views':{'content@app':{'templateUrl':_0x26d50f,'controller':_0x5d4a01(0x238a)}},'resolve':{'lists':['apiResolver',_0x5d4a01(0xa87),function(_0x270062,_0x54ce64){const _0x35787f=_0x5d4a01;return _0x54ce64[_0x35787f(0x23e0)](_0x35787f(0x174b))?_0x270062[_0x35787f(0x2922)](_0x35787f(0xd64),{'fields':_0x35787f(0x1929),'sort':_0x35787f(0x12f2),'limit':0xa,'offset':0x0}):_0x270062['resolve'](_0x35787f(0x938),{'id':_0x54ce64['getCurrentUser']()['userProfileId'],'section':_0x35787f(0x174f),'fields':_0x35787f(0x1929),'sort':_0x35787f(0x12f2),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver',_0x5d4a01(0xa87),function(_0x24de5d,_0x18c174){const _0x85df27=_0x5d4a01;return _0x18c174[_0x85df27(0x23e0)]('admin')?null:_0x24de5d[_0x85df27(0x2922)](_0x85df27(0x119a),{'fields':_0x85df27(0x227),'id':_0x18c174[_0x85df27(0xb12)]()['userProfileId']});}],'userProfileSection':[_0x5d4a01(0x362),'Auth',function(_0x1af2cd,_0x4a072a){const _0x4feabf=_0x5d4a01;return _0x4a072a['hasRole'](_0x4feabf(0x174b))?null:_0x1af2cd[_0x4feabf(0x2922)](_0x4feabf(0x27be),{'fields':_0x4feabf(0x1e64),'userProfileId':_0x4a072a[_0x4feabf(0xb12)]()[_0x4feabf(0x209a)],'sectionId':0x12d});}]},'authenticate':!![],'permissionId':0x12d,'bodyClass':'contactmanager'})['state'](_0x5d4a01(0x357),{'url':_0x5d4a01(0x2028),'params':{'list':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x322d98,'controller':_0x5d4a01(0x2c3)}},'resolve':{'list':['apiResolver',_0x5d4a01(0x28c8),function(_0x1f2ed6,_0x5ef9f4){const _0x5c4c6b=_0x5d4a01;return _0x1f2ed6[_0x5c4c6b(0x2922)](_0x5c4c6b(0xd64),{'fields':_0x5c4c6b(0x1929),'id':_0x5ef9f4['id']});}],'userProfileSection':[_0x5d4a01(0x362),'Auth',function(_0x39147e,_0x209ee0){const _0xf8c29a=_0x5d4a01;return _0x39147e[_0xf8c29a(0x2922)](_0xf8c29a(0x27be),{'fields':_0xf8c29a(0x1e64),'userProfileId':_0x209ee0[_0xf8c29a(0xb12)]()['userProfileId'],'sectionId':0x12d});}]},'authenticate':!![],'permissionId':0x12d,'bodyClass':_0x5d4a01(0x16a7)})['state'](_0x5d4a01(0xd99),{'url':_0x5d4a01(0x1423),'views':{'content@app':{'templateUrl':_0xc770ff,'controller':_0x5d4a01(0xfd2)}},'resolve':{'companies':[_0x5d4a01(0x362),_0x5d4a01(0xa87),function(_0x18287e,_0x2e75db){const _0x21e7d7=_0x5d4a01;return _0x2e75db['hasRole'](_0x21e7d7(0x174b))?_0x18287e[_0x21e7d7(0x2922)](_0x21e7d7(0x11ff),{'fields':_0x21e7d7(0x25fa),'sort':_0x21e7d7(0x12f2),'limit':0xa,'offset':0x0}):_0x18287e[_0x21e7d7(0x2922)](_0x21e7d7(0x938),{'id':_0x2e75db[_0x21e7d7(0xb12)]()[_0x21e7d7(0x209a)],'section':_0x21e7d7(0x1a5e),'fields':'createdAt,updatedAt,id,name,phone,vat,fax,companyId,type,website,emailDomain,email,description,sStreet,sPostalCode,sCity,sCountry,street,postalCode,city,country','sort':'-updatedAt','limit':0xa,'offset':0x0});}],'userProfile':[_0x5d4a01(0x362),_0x5d4a01(0xa87),function(_0x252022,_0x457fa0){const _0xfa2571=_0x5d4a01;return _0x457fa0[_0xfa2571(0x23e0)](_0xfa2571(0x174b))?null:_0x252022['resolve']('userProfile@get',{'fields':_0xfa2571(0x227),'id':_0x457fa0[_0xfa2571(0xb12)]()[_0xfa2571(0x209a)]});}],'userProfileSection':[_0x5d4a01(0x362),_0x5d4a01(0xa87),function(_0x4de545,_0x19302d){const _0x2bf2d4=_0x5d4a01;return _0x19302d[_0x2bf2d4(0x23e0)](_0x2bf2d4(0x174b))?null:_0x4de545[_0x2bf2d4(0x2922)](_0x2bf2d4(0x27be),{'fields':_0x2bf2d4(0x1e64),'userProfileId':_0x19302d[_0x2bf2d4(0xb12)]()[_0x2bf2d4(0x209a)],'sectionId':0x12e});}]},'authenticate':!![],'permissionId':0x12e,'bodyClass':'contactmanager'})[_0x5d4a01(0x13d6)]('app.contactmanager.companies.edit',{'url':_0x5d4a01(0x2028),'params':{'company':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x47698e,'controller':'CompanyController\x20as\x20vm'}},'resolve':{'company':[_0x5d4a01(0x362),_0x5d4a01(0x28c8),function(_0x280f8b,_0x17fc34){const _0x58e9d5=_0x5d4a01;return _0x280f8b[_0x58e9d5(0x2922)](_0x58e9d5(0x11ff),{'fields':_0x58e9d5(0x25fa),'id':_0x17fc34['id']});}],'userProfileSection':[_0x5d4a01(0x362),_0x5d4a01(0xa87),function(_0x28525f,_0x386b8d){const _0xc9314f=_0x5d4a01;return _0x28525f['resolve'](_0xc9314f(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x386b8d[_0xc9314f(0xb12)]()[_0xc9314f(0x209a)],'sectionId':0x12e});}]},'authenticate':!![],'permissionId':0x12e,'bodyClass':'contactmanager'})[_0x5d4a01(0x13d6)]('app.contactmanager.globalCustomFields',{'url':_0x5d4a01(0x223b),'views':{'content@app':{'templateUrl':_0x558b5f,'controller':'GlobalCustomFieldsController\x20as\x20vm'}},'resolve':{'globalCustomFields':['apiResolver',function(_0x23a4b0){const _0x507810=_0x5d4a01;return _0x23a4b0['resolve'](_0x507810(0x1f60),{'fields':_0x507810(0x204d),'sort':_0x507810(0x12f2),'ListId':_0x507810(0x203c),'limit':0xa,'offset':0x0});}],'userProfile':[_0x5d4a01(0x362),_0x5d4a01(0xa87),function(_0x138480,_0x133ad9){const _0x2097a0=_0x5d4a01;return _0x133ad9['hasRole'](_0x2097a0(0x174b))?null:_0x138480[_0x2097a0(0x2922)](_0x2097a0(0x119a),{'fields':_0x2097a0(0x227),'id':_0x133ad9[_0x2097a0(0xb12)]()[_0x2097a0(0x209a)]});}],'userProfileSection':[_0x5d4a01(0x362),_0x5d4a01(0xa87),function(_0x4ab465,_0x811ac1){const _0x226b23=_0x5d4a01;return _0x811ac1[_0x226b23(0x23e0)](_0x226b23(0x174b))?null:_0x4ab465[_0x226b23(0x2922)](_0x226b23(0x27be),{'fields':_0x226b23(0x1e64),'userProfileId':_0x811ac1[_0x226b23(0xb12)]()[_0x226b23(0x209a)],'sectionId':0x130});}]},'authenticate':!![],'permissionId':0x130,'bodyClass':_0x5d4a01(0x16a7)}),_0x4be9c7[_0x5d4a01(0x15bf)](_0x5d4a01(0x1d21));}angular[_0x313a4d(0x2528)](_0x313a4d(0x1d7a),[_0x313a4d(0xdad),_0x313a4d(0x962),_0x313a4d(0x23c7),_0x313a4d(0x963),_0x313a4d(0x19e2),_0x313a4d(0x27c1),_0x313a4d(0x195b),_0x313a4d(0x15ef),'ngAnimate',_0x313a4d(0x1f5f),_0x313a4d(0x28a8),_0x313a4d(0xfb2),_0x313a4d(0x1e57),_0x313a4d(0x581),_0x313a4d(0x1bd2),_0x313a4d(0x1792),_0x313a4d(0x1b65),_0x313a4d(0x1626),_0x313a4d(0x2713),_0x313a4d(0x11df),_0x313a4d(0x23fa)])[_0x313a4d(0x989)](_0x536387)[_0x313a4d(0x28f0)](_0x313a4d(0x717),_0x4396c4)[_0x313a4d(0x28f0)](_0x313a4d(0x39d),_0x47d488)['controller'](_0x313a4d(0x168c),_0x41350e)[_0x313a4d(0x28f0)](_0x313a4d(0x246e),_0x2bd827)[_0x313a4d(0x28f0)](_0x313a4d(0x14aa),_0x11394b)['controller'](_0x313a4d(0x28d5),_0x169313)[_0x313a4d(0x28f0)]('ListagentaddController',_0x4ab1b6)[_0x313a4d(0x28f0)](_0x313a4d(0x13b5),_0x12f938)[_0x313a4d(0x28f0)](_0x313a4d(0xa15),_0x2c6862)[_0x313a4d(0x28f0)]('ListController',_0x408672)[_0x313a4d(0x28f0)](_0x313a4d(0x1ddf),_0x67a0d7)[_0x313a4d(0x28f0)](_0x313a4d(0xce8),_0xca7ba4);;_0x1e335f[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1abe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1aa9),_0x313a4d(0x214b),_0x313a4d(0x247f),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0xa87),_0x313a4d(0x279d),_0x313a4d(0x1fe4),_0x313a4d(0x23c0),_0x313a4d(0x2647)];function _0x1e335f(_0x1ddfd5,_0x49c85f,_0x37d6ba,_0x58744e,_0x505da1,_0x522347,_0x4adf83,_0x573202,_0x3c4a6a,_0x2e362b,_0x524717,_0x549157,_0x5c5772,_0x5c8245){const _0x1e8c53=_0x313a4d,_0x4166e0=this;_0x4166e0['currentUser']=_0x2e362b[_0x1e8c53(0xb12)](),_0x4166e0[_0x1e8c53(0x23c0)]=_0x5c5772?_0x5c5772:[],_0x4166e0[_0x1e8c53(0x2647)]=_0x5c8245,_0x4166e0[_0x1e8c53(0x2097)]={'count':0x0,'rows':[]},_0x4166e0[_0x1e8c53(0x181b)]=![],_0x4166e0[_0x1e8c53(0x258a)]=!![],_0x4166e0[_0x1e8c53(0x26b6)]=_0x573202,_0x4166e0['userProfileSection']=_0x3c4a6a&&_0x3c4a6a[_0x1e8c53(0x51c)]==0x1?_0x3c4a6a[_0x1e8c53(0x19c7)][0x0]:null,_0x4166e0[_0x1e8c53(0x2514)]=_0x2e362b[_0x1e8c53(0xe60)](_0x4166e0[_0x1e8c53(0x1366)]?_0x4166e0[_0x1e8c53(0x1366)][_0x1e8c53(0x2514)]:null),_0x4166e0['query']={'fields':_0x1e8c53(0x655),'type':'inbound','queuecallerexitreason':_0x1e8c53(0x1b0d)+','+'ABANDONED','sort':_0x1e8c53(0x12f2),'limit':0xa,'page':0x1},_0x4166e0[_0x1e8c53(0x1d84)]=_0x9aae0a,_0x4166e0[_0x1e8c53(0x2482)]=_0x267906,_0x4166e0[_0x1e8c53(0x1e2e)]=_0x3df0d7,_0x4166e0[_0x1e8c53(0x25ed)]=_0x3efe4a,_0x4166e0['onSaveVoiceQueueReport']=_0x2bbc14,_0x4166e0[_0x1e8c53(0x6b3)]=_0x368ea9,_0x524717[_0x1e8c53(0x13b7)](_0x1e8c53(0xfd4),_0x4166e0[_0x1e8c53(0x196c)]),_0x524717['on'](_0x1e8c53(0x1d59),_0x4166e0[_0x1e8c53(0x6b3)]),_0x3dd541();function _0x3dd541(){const _0x419d50=_0x1e8c53;let _0x40acd4=_0x505da1[_0x419d50(0x1c39)]['getItem'](_0x419d50(0x1273)+_0x4166e0[_0x419d50(0x2321)]['id']);if(_0x40acd4)_0x40acd4=JSON[_0x419d50(0x975)](_0x40acd4),_0x40acd4&&_0x40acd4[_0x419d50(0x1a56)]&&(_0x4166e0['query']=_0x3f65c0()[_0x419d50(0x168d)](_0x40acd4[_0x419d50(0x1a56)],_0x3f65c0()[_0x419d50(0x2432)](_0x4166e0[_0x419d50(0x1a56)],[_0x419d50(0x28fa),'limit',_0x419d50(0x844)])),_0x4166e0[_0x419d50(0x1a56)]=_0x3f65c0()[_0x419d50(0x2432)](_0x4166e0[_0x419d50(0x1a56)],_0x419d50(0x1dd6)));else{const _0x3f157e={'$gte':_0x2deec6()()[_0x419d50(0x1fcc)](_0x419d50(0x12b7))[_0x419d50(0x65f)](0x0,!![])[_0x419d50(0x22b0)](),'$lte':_0x2deec6()()['endOf'](_0x419d50(0x12b7))[_0x419d50(0x65f)](0x0,!![])[_0x419d50(0x22b0)]()};_0x4166e0['query'][_0x419d50(0xc68)]=_0x3f157e;}_0x2e362b[_0x419d50(0x23e0)](_0x419d50(0x174b))?_0x4adf83[_0x419d50(0xe7b)][_0x419d50(0xc53)]({'id':_0x2e362b['getCurrentUser']()['id'],'fields':_0x419d50(0x7a7),'channel':'voice','type':'inbound','nolimit':!![]})[_0x419d50(0x2945)][_0x419d50(0x146b)](function(_0x5ba540){const _0x1d7fb3=_0x419d50;_0x4166e0['queues']=_0x5ba540||{'count':0x0,'rows':[]},_0x4166e0[_0x1d7fb3(0x212b)]=_0x3f65c0()['mapValues'](_0x3f65c0()[_0x1d7fb3(0x194)](_0x4166e0[_0x1d7fb3(0x1b32)][_0x1d7fb3(0x19c7)],_0x1d7fb3(0x19eb)),_0x1d7fb3(0x19eb));})[_0x419d50(0x129e)](function(_0x31946a){console['error'](_0x31946a);})[_0x419d50(0x1ec6)](function(){const _0x415a2f=_0x419d50;_0x4166e0[_0x415a2f(0x28af)]=_0x296c1c(),_0x4166e0[_0x415a2f(0x1d84)]();}):_0x4adf83['userProfile'][_0x419d50(0x158f)]({'id':_0x4166e0['currentUser']['userProfileId'],'section':'VoiceQueues','channel':_0x419d50(0xe6),'type':_0x419d50(0x7b0),'sort':_0x419d50(0x12f2),'nolimit':!![]})[_0x419d50(0x2945)][_0x419d50(0x146b)](function(_0x269a34){const _0x4543c6=_0x419d50;_0x4166e0['queues']=_0x269a34||{'count':0x0,'rows':[]},_0x4166e0[_0x4543c6(0x212b)]=_0x3f65c0()['mapValues'](_0x3f65c0()[_0x4543c6(0x194)](_0x4166e0['queues'][_0x4543c6(0x19c7)],_0x4543c6(0x19eb)),_0x4543c6(0x19eb));})[_0x419d50(0x129e)](function(_0x5aef4a){const _0x1d8e73=_0x419d50;console[_0x1d8e73(0x1980)](_0x5aef4a);})[_0x419d50(0x1ec6)](function(){const _0x243211=_0x419d50;_0x4166e0['quickFilters']=_0x296c1c(),_0x4166e0[_0x243211(0x1d84)]();});}function _0x296c1c(){const _0x2ccc20=_0x1e8c53;return[{'name':_0x2ccc20(0x294),'key':'createdAt','type':'date','label':'DASHBOARDS.SELECT_DATE'},{'name':'Agent','key':_0x2ccc20(0x19ac),'type':'select','label':_0x2ccc20(0x127),'options':_0x4166e0[_0x2ccc20(0x23c0)][_0x2ccc20(0x19c7)],'customOptions':[{'value':_0x2ccc20(0xa03),'translate':_0x2ccc20(0x38d)},{'value':undefined,'translate':'DASHBOARDS.ALL'}]},{'name':_0x2ccc20(0x1cd3),'key':_0x2ccc20(0x1c72),'type':'multiselect','label':_0x2ccc20(0x285c),'options':_0x4166e0[_0x2ccc20(0x1b32)]['rows']}];}function _0x9aae0a(){const _0x3f848d=_0x1e8c53;_0x4166e0['refresh']=![],_0x4166e0['queues'][_0x3f848d(0x51c)]>0x0?(_0x4166e0[_0x3f848d(0x1a56)][_0x3f848d(0x1c72)]=_0x4166e0['queues'][_0x3f848d(0x51c)]===0x1?_0x4166e0[_0x3f848d(0x1b32)][_0x3f848d(0x19c7)][0x0][_0x3f848d(0x19eb)]:_0x4166e0['query']['queue'],_0x4166e0[_0x3f848d(0x1a56)][_0x3f848d(0x145d)]=(_0x4166e0['query'][_0x3f848d(0x844)]-0x1)*_0x4166e0[_0x3f848d(0x1a56)][_0x3f848d(0x221e)],_0x505da1['localStorage'][_0x3f848d(0xc32)](_0x3f848d(0x1273)+_0x4166e0[_0x3f848d(0x2321)]['id'],JSON['stringify']({'query':_0x3f65c0()[_0x3f848d(0x2432)](_0x4166e0[_0x3f848d(0x1a56)],'queue')})),_0x4166e0['promise']=_0x4adf83[_0x3f848d(0x19ef)][_0x3f848d(0x1456)](_0x4166e0[_0x3f848d(0x1a56)],_0x1a95d0)[_0x3f848d(0x2945)]):_0x1a95d0(null);}function _0x1a95d0(_0x4cfd7b){const _0xa3694b=_0x1e8c53;_0x4166e0[_0xa3694b(0x2097)]=_0x4cfd7b||{'count':0x0,'rows':[]},_0x4166e0[_0xa3694b(0x258a)]=!![];}function _0x267906(){const _0x3edad4=_0x1e8c53;_0x4166e0[_0x3edad4(0x181b)]=![],_0x4166e0[_0x3edad4(0x1d84)]();}function _0x2bbc14(_0x2ca1d5){const _0xc392c6=_0x1e8c53,_0x3c4006=_0xc392c6(0x7b0);_0x2ca1d5&&_0x4166e0[_0xc392c6(0x212b)]&&_0x4166e0[_0xc392c6(0x1a56)][_0xc392c6(0x1142)]===_0x3c4006&&_0x4166e0['queuesMap'][_0x2ca1d5['queue']]&&(_0x4166e0[_0xc392c6(0x181b)]=!![]);}function _0x368ea9(_0x54224d){const _0x40ddbe=_0x1e8c53;if(_0x54224d){const _0x334e13=_0x3f65c0()[_0x40ddbe(0x99c)](_0x4166e0[_0x40ddbe(0x2097)][_0x40ddbe(0x19c7)],['id',_0x54224d['id']]);_0x334e13>=0x0&&_0x3f65c0()[_0x40ddbe(0x168d)](_0x4166e0['abandonedCalls'][_0x40ddbe(0x19c7)][_0x334e13],_0x54224d);}}function _0x3df0d7(_0x4df328,_0x25c157){const _0x13ffa2=_0x1e8c53;_0x4df328&&_0x4adf83[_0x13ffa2(0x19ef)]['update']({'id':_0x4df328['id'],'lastAssignedTo':_0x25c157?_0x25c157[_0x13ffa2(0x19eb)]:null,'assigned':_0x25c157?!![]:![]})[_0x13ffa2(0x2945)][_0x13ffa2(0x129e)](function(_0x50dd0a){const _0x4625d5=_0x13ffa2;console[_0x4625d5(0x1a74)](_0x50dd0a);});}function _0x3efe4a(_0xbd3fdc){const _0x4f6ede=_0x1e8c53;_0x37d6ba['show']({'controller':'DisposeAbandonedCallDialogController','controllerAs':'vm','templateUrl':_0x4f6ede(0x16d6),'parent':angular[_0x4f6ede(0x1853)](_0x58744e[_0x4f6ede(0x2586)]),'targetEvent':_0xbd3fdc,'resolve':{'globalDispositions':['apiResolver',function(_0x29219c){const _0x460058=_0x4f6ede;return _0x29219c[_0x460058(0x2922)](_0x460058(0x1041),{'MailAccountId':_0x460058(0x203c),'FaxAccountId':_0x460058(0x203c),'SmsAccountId':_0x460058(0x203c),'WhatsappAccountId':_0x460058(0x203c),'OpenchannelAccountId':'null','ChatWebsiteId':_0x460058(0x203c),'ListId':_0x460058(0x203c)});}]},'locals':{'dispositions':_0x4166e0['dispositions'],'call':_0xbd3fdc}})[_0x4f6ede(0x146b)](function(_0x410499){const _0x561059=_0x4f6ede;if(_0x410499){const _0x46f2b8={'id':_0xbd3fdc['id'],'disposition':_0x410499[_0x561059(0x1614)]||null,'secondDisposition':_0x410499[_0x561059(0x4af)]||null,'thirdDisposition':_0x410499[_0x561059(0x5da)]||null};_0x4adf83['voiceQueueReport'][_0x561059(0x18e1)](_0x46f2b8)['$promise']['catch'](function(_0x4a82f5){const _0x318f86=_0x561059;console[_0x318f86(0x1a74)](_0x4a82f5);});}});}let _0x3b6901=!![],_0x49df2f=0x1;_0x1ddfd5[_0x1e8c53(0x21e8)]('vm.query.filter',function(_0x3323d5,_0xfdbefe){const _0x4ab646=_0x1e8c53;_0x3b6901?_0x49c85f(function(){_0x3b6901=![];}):(!_0xfdbefe&&(_0x49df2f=_0x4166e0[_0x4ab646(0x1a56)]['page']),_0x3323d5!==_0xfdbefe&&(_0x4166e0[_0x4ab646(0x1a56)][_0x4ab646(0x844)]=0x1),!_0x3323d5&&(_0x4166e0['query'][_0x4ab646(0x844)]=_0x49df2f),_0x4166e0[_0x4ab646(0x1d84)]());}),_0x1ddfd5[_0x1e8c53(0x16ad)](_0x1e8c53(0x116f),function(){const _0x4d6c74=_0x1e8c53;_0x524717['removeAllListeners'](_0x4d6c74(0xfd4)),_0x524717[_0x4d6c74(0x1c5f)](_0x4d6c74(0x1d59));});}const _0x20b28d=_0x1e335f;;_0x45f46b[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$q',_0x313a4d(0x1abe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),'$filter','pauses',_0x313a4d(0x23c0),_0x313a4d(0x2445),_0x313a4d(0x247f),_0x313a4d(0x279d),_0x313a4d(0x1fe4),_0x313a4d(0x1ac0),_0x313a4d(0x26b6),_0x313a4d(0x1366),'Auth',_0x313a4d(0x2690),_0x313a4d(0xa0a)];function _0x45f46b(_0x3ded65,_0x1d1ffa,_0x4e37fe,_0x600788,_0x2c0c4e,_0x292b43,_0x4271dc,_0x2a5e69,_0x5c1b63,_0xda723e,_0x57fc2f,_0x53b621,_0x3534d1,_0x4533ed,_0x211781,_0xb7416f,_0x247eaf,_0xc96c72){const _0x4cdf4d=_0x313a4d,_0x46a746=this,_0x241f73=['userpic',_0x4cdf4d(0x538),_0x4cdf4d(0x1d01),'lastPauseAt',_0x4cdf4d(0x1125),'lastPauseAt','internal','address',_0x4cdf4d(0x5f7),_0x4cdf4d(0xcc5),_0x4cdf4d(0x1e9e),'smsPause',_0x4cdf4d(0x1f9d),'faxPause',_0x4cdf4d(0x211c),_0x4cdf4d(0x6e4),_0x4cdf4d(0x15fd),'mailCapacity',_0x4cdf4d(0x1820),_0x4cdf4d(0x237c),_0x4cdf4d(0x1cf6),_0x4cdf4d(0xaac),'openchannelCurrentCapacity','mailCurrentCapacity','smsCurrentCapacity',_0x4cdf4d(0xfc1),'faxCurrentCapacity',_0x4cdf4d(0xfc3),_0x4cdf4d(0xfae),_0x4cdf4d(0x1fc6),_0x4cdf4d(0x22f6),_0x4cdf4d(0xfdf),'faxStatus',_0x4cdf4d(0x685),_0x4cdf4d(0x1a22),'openchannelStatusTime',_0x4cdf4d(0x10cd),_0x4cdf4d(0x1f5c),_0x4cdf4d(0xadd),'faxStatusTime',_0x4cdf4d(0x1dda),_0x4cdf4d(0x1c72),_0x4cdf4d(0x1e8d),_0x4cdf4d(0x1ca2),_0x4cdf4d(0x4e3),'phoneBarEnableAutomaticScreenRecording'],_0x4ae861=[_0x4cdf4d(0x5f7),_0x4cdf4d(0xcc5),_0x4cdf4d(0x1e9e),_0x4cdf4d(0x17c),_0x4cdf4d(0x1f9d),_0x4cdf4d(0x25c4),_0x4cdf4d(0x211c),_0x4cdf4d(0x538)];_0x46a746['currentUser']=_0xb7416f['getCurrentUser'](),_0x46a746[_0x4cdf4d(0xe3e)]=[_0x4cdf4d(0xad4),_0x4cdf4d(0xfe4),_0x4cdf4d(0x26a7),_0x4cdf4d(0x776),'not_inuse'],_0x46a746[_0x4cdf4d(0x23bb)]=_0x4271dc||{'count':0x0,'rows':[]},_0x46a746[_0x4cdf4d(0x2690)]=_0x247eaf,_0x46a746[_0x4cdf4d(0x26b6)]=_0x4533ed,_0x46a746['userProfileSection']=_0x211781&&_0x211781['count']==0x1?_0x211781[_0x4cdf4d(0x19c7)][0x0]:null,_0x46a746[_0x4cdf4d(0x2514)]=_0xb7416f['parseCrudPermissions'](_0x46a746[_0x4cdf4d(0x1366)]?_0x46a746[_0x4cdf4d(0x1366)]['crudPermissions']:null),_0x46a746[_0x4cdf4d(0x23c0)]=_0x2a5e69?_0x3f65c0()[_0x4cdf4d(0x194)](_0x2a5e69['rows']?_0x2a5e69['rows']:[],'id'):{},_0x46a746[_0x4cdf4d(0x2445)]=_0x5c1b63?_0x3f65c0()['keyBy'](_0x5c1b63['rows']?_0x5c1b63['rows']:[],'id'):{},_0x46a746[_0x4cdf4d(0x285)]={},_0x46a746[_0x4cdf4d(0x2412)]=![],_0x46a746['order']=[],_0x46a746[_0x4cdf4d(0x626)]={};for(const _0x5b56e1 in _0x46a746[_0x4cdf4d(0x23c0)]){typeof _0x46a746[_0x4cdf4d(0x23c0)][_0x5b56e1]!==_0x4cdf4d(0x2274)&&(_0x46a746[_0x4cdf4d(0x23c0)][_0x5b56e1][_0x4cdf4d(0x5aa)]=!![]);}_0x46a746[_0x4cdf4d(0x1a56)]={'limit':0xa,'page':0x1,'order':_0x4cdf4d(0x286a),'globalStatusFilter':'','pauseTypeFilter':''},_0x46a746[_0x4cdf4d(0x2044)]=_0x4f781d,_0x46a746['queueAdd']=_0x55cbd7,_0x46a746[_0x4cdf4d(0x1e3e)]=_0x3fa6a7,_0x46a746[_0x4cdf4d(0x693)]=_0x99351a,_0x46a746[_0x4cdf4d(0x232c)]=_0x104cf8,_0x46a746[_0x4cdf4d(0x1eaa)]=_0x5a8f69,_0x46a746['getAgentGlobalStatus']=_0x2a0e4a,_0x46a746[_0x4cdf4d(0x2075)]=_0x1e1724,_0x46a746[_0x4cdf4d(0x169)]=_0x531209,_0x46a746[_0x4cdf4d(0x1d53)]=_0x3d53b6,_0x46a746[_0x4cdf4d(0x1db0)]=_0x2cdaa4,_0x46a746[_0x4cdf4d(0x1a76)]=_0x4b9ed0,_0x46a746['onInit']=_0x58df20,_0x46a746[_0x4cdf4d(0x201a)]=_0x22a280,_0x46a746[_0x4cdf4d(0x483)]=_0x36262c,_0x46a746[_0x4cdf4d(0x1b80)]=_0x3035bf,_0x57fc2f['on'](_0x4cdf4d(0x17de),_0x46a746[_0x4cdf4d(0x1db0)]),_0x57fc2f['on'](_0x4cdf4d(0x324),_0x46a746['onUpdate']),_0x57fc2f['on']('user:agentconnect',_0x46a746[_0x4cdf4d(0x201a)]),_0x57fc2f['on'](_0x4cdf4d(0x198f),_0x46a746[_0x4cdf4d(0x483)]),_0x58df20();let _0x37bdf3=_0xc96c72(function(){const _0x274ca4=_0x4cdf4d;_0x46a746['load']&&(_0x46a746[_0x274ca4(0x2412)]=![],_0x58df20());},0x3e7);function _0x58df20(){const _0x4ca506=_0x4cdf4d;_0x46a746[_0x4ca506(0x2412)]=![];const _0x478fd9=_0x1d1ffa[_0x4ca506(0xce3)]();_0x46a746['promise']=_0x478fd9['promise'],_0x46a746[_0x4ca506(0x202e)]=[],_0x46a746[_0x4ca506(0x206e)]=[],_0x3f65c0()[_0x4ca506(0x1a04)](_0x46a746[_0x4ca506(0x23c0)],function(_0x206a67,_0x1b5b5e){const _0xdee6c4=_0x4ca506;_0x46a746[_0xdee6c4(0x2445)][_0x1b5b5e]&&_0x3f65c0()[_0xdee6c4(0x168d)](_0x206a67,_0x3f65c0()[_0xdee6c4(0x40e)](_0x3f65c0()[_0xdee6c4(0x2432)](_0x46a746['rpcAgents'][_0x1b5b5e],_0x4ae861),_0x241f73)),_0x2a0e4a(_0x206a67),_0x206a67['online']?(_0x46a746[_0xdee6c4(0x202e)]['push'](_0x206a67),_0x46a746['agentInternal'][_0x206a67[_0xdee6c4(0x113f)]]=_0x1b5b5e):_0x206a67[_0xdee6c4(0xed1)]=_0x3f65c0()[_0xdee6c4(0x123)](_0x2deec6()()[_0xdee6c4(0x22b0)]('x'));});_0x46a746['query'][_0x4ca506(0x1500)]&&_0x3f65c0()['remove'](_0x46a746['filteredAgents'],function(_0x4a541e){const _0x102554=_0x4ca506;return _0x4a541e[_0x102554(0x72b)]!==_0x46a746[_0x102554(0x1a56)][_0x102554(0x1500)];});_0x46a746[_0x4ca506(0x1a56)][_0x4ca506(0x301)]&&_0x3f65c0()['remove'](_0x46a746[_0x4ca506(0x202e)],function(_0x4fb8e0){const _0x564d64=_0x4ca506;return _0x4fb8e0[_0x564d64(0x1125)]!==_0x46a746[_0x564d64(0x1a56)]['pauseTypeFilter'];});_0x46a746['query'][_0x4ca506(0x1dd6)]&&_0x3f65c0()['remove'](_0x46a746[_0x4ca506(0x202e)],function(_0x36e0c3){const _0x32f27e=_0x4ca506;return _0x36e0c3[_0x32f27e(0x286a)]['toLowerCase']()[_0x32f27e(0x172b)](_0x46a746[_0x32f27e(0x1a56)]['filter'][_0x32f27e(0x256e)]())<0x0;});_0x46a746[_0x4ca506(0x202e)]=_0x1c7174(_0x46a746[_0x4ca506(0x202e)]);const _0x4da7f4=(_0x46a746[_0x4ca506(0x1a56)][_0x4ca506(0x844)]-0x1)*_0x46a746[_0x4ca506(0x1a56)][_0x4ca506(0x221e)];_0x46a746[_0x4ca506(0x285)]=_0x3f65c0()[_0x4ca506(0x854)](_0x46a746['filteredAgents'],_0x4da7f4)[_0x4ca506(0x1298)](0x0,_0x46a746['query'][_0x4ca506(0x221e)]);for(let _0x23660f=0x0;_0x23660f<_0x46a746[_0x4ca506(0x285)][_0x4ca506(0x402)];_0x23660f+=0x1){_0x46a746[_0x4ca506(0x206e)]['push'](_0x46a746[_0x4ca506(0x285)][_0x23660f]['id']);}_0x478fd9['resolve'](),_0x46a746[_0x4ca506(0x2412)]=!![];}function _0x2cdaa4(_0x306a8d){const _0x518b57=_0x4cdf4d;_0x46a746['agents'][_0x306a8d['id']]&&(_0x3f65c0()[_0x518b57(0x168d)](_0x46a746[_0x518b57(0x23c0)][_0x306a8d['id']],_0x3f65c0()[_0x518b57(0x40e)](_0x3f65c0()[_0x518b57(0x2432)](_0x306a8d,_0x4ae861),_0x241f73)),_0x3f65c0()[_0x518b57(0x168d)](_0x46a746[_0x518b57(0x2445)][_0x306a8d['id']],_0x3f65c0()[_0x518b57(0x40e)](_0x3f65c0()[_0x518b57(0x2432)](_0x306a8d,_0x4ae861),_0x241f73)),_0x2a0e4a(_0x46a746[_0x518b57(0x23c0)][_0x306a8d['id']]));}function _0x4b9ed0(_0x4e3255){const _0x8e439c=_0x4cdf4d;_0x46a746[_0x8e439c(0x23c0)][_0x4e3255['id']]&&(_0x3f65c0()[_0x8e439c(0x168d)](_0x46a746[_0x8e439c(0x23c0)][_0x4e3255['id']],_0x3f65c0()[_0x8e439c(0x40e)](_0x4e3255,_0x241f73)),_0x3f65c0()[_0x8e439c(0x168d)](_0x46a746[_0x8e439c(0x2445)][_0x4e3255['id']],_0x3f65c0()[_0x8e439c(0x40e)](_0x4e3255,_0x241f73)),_0x4e3255[_0x8e439c(0x192a)]&&(_0x46a746[_0x8e439c(0x23c0)][_0x4e3255['id']][_0x8e439c(0xed1)]=_0x4e3255[_0x8e439c(0x192a)],_0x46a746[_0x8e439c(0x2445)][_0x4e3255['id']][_0x8e439c(0xed1)]=_0x4e3255['lastPauseAt']),_0x2a0e4a(_0x46a746[_0x8e439c(0x23c0)][_0x4e3255['id']]));}function _0x22a280(_0x3ee8b3){const _0x51ae87=_0x4cdf4d;_0x46a746[_0x51ae87(0x23c0)][_0x46a746['agentInternal'][_0x3ee8b3[_0x51ae87(0x1fb9)]]]&&(_0x3f65c0()['merge'](_0x46a746['agents'][_0x46a746[_0x51ae87(0x626)][_0x3ee8b3[_0x51ae87(0x1fb9)]]],_0x3f65c0()[_0x51ae87(0x40e)](_0x3ee8b3,[_0x51ae87(0x1c72),_0x51ae87(0x1e8d)])),_0x3f65c0()['merge'](_0x46a746['rpcAgents'][_0x46a746[_0x51ae87(0x626)][_0x3ee8b3[_0x51ae87(0x1fb9)]]],_0x3f65c0()[_0x51ae87(0x40e)](_0x3ee8b3,[_0x51ae87(0x1c72),'destconnectedlinenum'])));}function _0x36262c(_0x5e8b69){const _0x577570=_0x4cdf4d;_0x46a746[_0x577570(0x23c0)][_0x46a746[_0x577570(0x626)][_0x5e8b69[_0x577570(0x1fb9)]]]&&(_0x46a746[_0x577570(0x23c0)][_0x46a746[_0x577570(0x626)][_0x5e8b69[_0x577570(0x1fb9)]]]=_0x3f65c0()[_0x577570(0x2432)](_0x46a746['agents'][_0x46a746[_0x577570(0x626)][_0x5e8b69[_0x577570(0x1fb9)]]],[_0x577570(0x1c72),_0x577570(0x1e8d)]),_0x46a746[_0x577570(0x2445)][_0x46a746[_0x577570(0x626)][_0x5e8b69[_0x577570(0x1fb9)]]]=_0x3f65c0()['omit'](_0x46a746[_0x577570(0x2445)][_0x46a746[_0x577570(0x626)][_0x5e8b69[_0x577570(0x1fb9)]]],[_0x577570(0x1c72),'destconnectedlinenum']));}function _0x4f781d(_0x56a81b){const _0x5f06b8=_0x4cdf4d;return _0xda723e[_0x5f06b8(0xe7b)][_0x5f06b8(0x2044)]({'id':_0x56a81b['id'],'device':'realtime','agent_id':_0x56a81b['id'],'agent_name':_0x56a81b[_0x5f06b8(0x19eb)]})['$promise'][_0x5f06b8(0x146b)](function(){const _0x4a6022=_0x5f06b8;_0x53b621[_0x4a6022(0x1c75)]({'title':_0x4a6022(0x1c70),'msg':_0x56a81b[_0x4a6022(0x286a)]+_0x4a6022(0x166c)}),_0x46a746[_0x4a6022(0x23c0)][_0x56a81b['id']]&&(_0x46a746[_0x4a6022(0x23c0)][_0x56a81b['id']][_0x4a6022(0x538)]=![]),_0x46a746['rpcAgents'][_0x56a81b['id']]&&(_0x46a746[_0x4a6022(0x2445)][_0x56a81b['id']][_0x4a6022(0x538)]=![]),_0x58df20();})[_0x5f06b8(0x129e)](function(_0x4d3ce2){const _0x36db54=_0x5f06b8;_0x53b621[_0x36db54(0x1980)]({'title':_0x4d3ce2[_0x36db54(0x107b)]?_0x36db54(0x262a)+_0x4d3ce2['status']+_0x36db54(0x1315)+_0x4d3ce2['statusText']:_0x36db54(0x23c6),'msg':_0x4d3ce2['data']?JSON['stringify'](_0x4d3ce2[_0x36db54(0x524)][_0x36db54(0x7fd)]):_0x4d3ce2[_0x36db54(0xd5f)]()});});}function _0x3fa6a7(_0x2b7278,_0x28df43){const _0x3e16ba=_0x4cdf4d;return _0xda723e[_0x3e16ba(0xe7b)][_0x3e16ba(0x1e3e)]({'id':_0x2b7278['id'],'type':_0x28df43})[_0x3e16ba(0x2945)][_0x3e16ba(0x146b)](function(_0x63fc97){const _0x4ee4d7=_0x3e16ba;_0x46a746['agents'][_0x2b7278['id']]&&_0x3f65c0()[_0x4ee4d7(0x168d)](_0x46a746[_0x4ee4d7(0x23c0)][_0x2b7278['id']],_0x3f65c0()[_0x4ee4d7(0x40e)](_0x63fc97,_0x241f73)),_0x46a746[_0x4ee4d7(0x2445)][_0x2b7278['id']]&&_0x3f65c0()[_0x4ee4d7(0x168d)](_0x46a746['rpcAgents'][_0x2b7278['id']],_0x3f65c0()['pick'](_0x63fc97,_0x241f73)),_0x2a0e4a(_0x46a746[_0x4ee4d7(0x23c0)][_0x2b7278['id']]);})[_0x3e16ba(0x129e)](function(_0x28926f){const _0x3b2608=_0x3e16ba;_0x53b621[_0x3b2608(0x1980)]({'title':_0x28926f[_0x3b2608(0x107b)]?_0x3b2608(0x262a)+_0x28926f[_0x3b2608(0x107b)]+'\x20-\x20'+_0x28926f['statusText']:_0x3b2608(0x23c6),'msg':_0x28926f[_0x3b2608(0x524)]?JSON[_0x3b2608(0x10bb)](_0x28926f[_0x3b2608(0x524)][_0x3b2608(0x7fd)]):_0x28926f['toString']()});});}function _0x99351a(_0x8102da){const _0x5c125f=_0x4cdf4d;return _0xda723e[_0x5c125f(0xe7b)][_0x5c125f(0x974)]({'id':_0x8102da['id']})[_0x5c125f(0x2945)][_0x5c125f(0x146b)](function(_0x568a72){const _0x48f09b=_0x5c125f;_0x46a746[_0x48f09b(0x23c0)][_0x8102da['id']]&&_0x3f65c0()[_0x48f09b(0x168d)](_0x46a746['agents'][_0x8102da['id']],_0x3f65c0()[_0x48f09b(0x40e)](_0x568a72,_0x241f73)),_0x46a746['rpcAgents'][_0x8102da['id']]&&_0x3f65c0()[_0x48f09b(0x168d)](_0x46a746[_0x48f09b(0x2445)][_0x8102da['id']],_0x3f65c0()['pick'](_0x568a72,_0x241f73)),_0x2a0e4a(_0x46a746[_0x48f09b(0x23c0)][_0x8102da['id']]);})[_0x5c125f(0x129e)](function(_0x139dd2){const _0x239d86=_0x5c125f;_0x53b621[_0x239d86(0x1980)]({'title':_0x139dd2[_0x239d86(0x107b)]?_0x239d86(0x262a)+_0x139dd2[_0x239d86(0x107b)]+_0x239d86(0x1315)+_0x139dd2[_0x239d86(0x167f)]:_0x239d86(0x23c6),'msg':_0x139dd2[_0x239d86(0x524)]?JSON['stringify'](_0x139dd2[_0x239d86(0x524)]['message']):_0x139dd2[_0x239d86(0xd5f)]()});});}function _0x104cf8(_0x1d8a54){const _0x152889=_0x4cdf4d;_0x600788[_0x152889(0x2615)](_0x600788[_0x152889(0x861)]()['clickOutsideToClose'](!![])[_0x152889(0x1189)](_0x152889(0x2783))[_0x152889(0x1cbe)]('
TODO\x20legend\x20status\x20+\x20state
')['ok']('Ok')[_0x152889(0x1f27)](_0x1d8a54));}function _0x55cbd7(_0x5e2808,_0x4e890e){const _0x516403=_0x4cdf4d;_0x600788['show']({'controller':_0x516403(0x2610),'controllerAs':'vm','templateUrl':_0xbb22f1,'parent':angular['element'](_0x2c0c4e[_0x516403(0x2586)]),'targetEvent':_0x4e890e,'clickOutsideToClose':!![],'locals':{'agent':_0x5e2808,'agents':[],'channel':'voice','direction':_0x516403(0x7b0),'crudPermissions':_0x46a746[_0x516403(0x2514)]}});}function _0x1e1724(_0x32d24c){const _0x205576=_0x4cdf4d;return _0x32d24c===_0x205576(0x1e3e)||_0x32d24c===_0x205576(0x1127);}function _0x531209(_0x366ab6){const _0x1039be=_0x4cdf4d;return _0x366ab6===_0x1039be(0x1e3e);}function _0x3d53b6(_0x440553){const _0x49b2e0=_0x4cdf4d;return _0x440553===_0x49b2e0(0x1127);}function _0x513285(_0x1b59d5){const _0x10794c=_0x4cdf4d;return _0x1b59d5===_0x10794c(0xb09)||_0x1b59d5==='unknown'||_0x1b59d5==='unavailable'||_0x1b59d5===_0x10794c(0xad4)||_0x3f65c0()[_0x10794c(0x1b36)](_0x1b59d5);}function _0x5a8f69(_0x389416,_0x4cb4b1){const _0x3ace2f=_0x4cdf4d;if(_0x4cb4b1==='voice'){if(_0x389416[_0x4cb4b1+'Status']!==_0x3ace2f(0xb09))return _0x389416[_0x4cb4b1+'Status'];if(_0x389416[_0x4cb4b1+'Pause'])return _0x389416[_0x4cb4b1+_0x3ace2f(0x74e)]=_0x3ace2f(0x1e3e),_0x3ace2f(0x1e3e);return _0x3ace2f(0xb09);}else{if(_0x389416[_0x4cb4b1+_0x3ace2f(0xde0)])return _0x389416[_0x4cb4b1+_0x3ace2f(0x74e)]=_0x3ace2f(0x1e3e),_0x3ace2f(0x1e3e);return _0x389416[_0x4cb4b1+_0x3ace2f(0x74e)];}}function _0x2a0e4a(_0x3b5568){const _0x4be176=_0x4cdf4d;let _0x4e4631=_0x4be176(0x207d);if(_0x3f65c0()[_0x4be176(0xb14)]([_0x5a8f69(_0x3b5568,'voice'),_0x5a8f69(_0x3b5568,_0x4be176(0xa7f)),_0x5a8f69(_0x3b5568,'mail'),_0x5a8f69(_0x3b5568,_0x4be176(0x7d9)),_0x5a8f69(_0x3b5568,_0x4be176(0x929)),_0x5a8f69(_0x3b5568,'whatsapp'),_0x5a8f69(_0x3b5568,_0x4be176(0x22d9))],_0x1e1724))_0x4e4631=_0x4be176(0x1e3e);else{if(_0x3f65c0()[_0x4be176(0x1360)]([_0x5a8f69(_0x3b5568,_0x4be176(0xe6)),_0x5a8f69(_0x3b5568,_0x4be176(0xa7f)),_0x5a8f69(_0x3b5568,_0x4be176(0x56b)),_0x5a8f69(_0x3b5568,'openchannel'),_0x5a8f69(_0x3b5568,'sms'),_0x5a8f69(_0x3b5568,_0x4be176(0xff9)),_0x5a8f69(_0x3b5568,_0x4be176(0x22d9))],_0x1e1724))_0x4e4631=_0x4be176(0x1127);else _0x3f65c0()[_0x4be176(0xb14)]([_0x5a8f69(_0x3b5568,_0x4be176(0xe6)),_0x5a8f69(_0x3b5568,_0x4be176(0xa7f)),_0x5a8f69(_0x3b5568,'mail'),_0x5a8f69(_0x3b5568,'openchannel'),_0x5a8f69(_0x3b5568,_0x4be176(0x929)),_0x5a8f69(_0x3b5568,_0x4be176(0xff9)),_0x5a8f69(_0x3b5568,_0x4be176(0x22d9))],_0x513285)?_0x4e4631=_0x4be176(0xb09):_0x4e4631=_0x4be176(0x26a7);}_0x3b5568['globalStatus']!==_0x4e4631&&(_0x3b5568[_0x4be176(0x72b)]=_0x4e4631,_0x3b5568[_0x4be176(0x5aa)]?(_0x3b5568[_0x4be176(0x5aa)]=![],_0x3b5568[_0x4be176(0xed1)]=_0x1e1724(_0x4e4631)?_0x3f65c0()['toNumber'](_0x2deec6()(_0x3b5568[_0x4be176(0x192a)])[_0x4be176(0x22b0)]('x')):_0x3f65c0()[_0x4be176(0x727)]([_0x3b5568[_0x4be176(0x1dda)],_0x3b5568[_0x4be176(0x1a22)],_0x3b5568['mailStatusTime'],_0x3b5568[_0x4be176(0x1f5c)],_0x3b5568['whatsappStatusTime'],_0x3b5568[_0x4be176(0x6cb)],_0x3b5568[_0x4be176(0x47a)]])):_0x3b5568[_0x4be176(0xed1)]=_0x3f65c0()[_0x4be176(0x123)](_0x2deec6()()[_0x4be176(0x22b0)]('x')));}function _0x1c7174(_0x680a35){const _0x46f1a2=_0x4cdf4d,_0x130e0a=_0x3f65c0()['startsWith'](_0x46a746['query']['order'],'-')?_0x46f1a2(0x2517):_0x46f1a2(0x195);return _0x3f65c0()[_0x46f1a2(0x979)](_0x680a35,[_0x46a746[_0x46f1a2(0x1a56)][_0x46f1a2(0x206e)][_0x46f1a2(0x5f4)]('-','')],[_0x130e0a]);}function _0x49f2fd(){const _0x5277ac=_0x4cdf4d;_0x37bdf3&&(_0xc96c72[_0x5277ac(0x6c3)](_0x37bdf3),_0x37bdf3=null);}function _0x3035bf(_0x1f5742,_0x934f73){const _0x1999d4=_0x4cdf4d;return _0xda723e[_0x1999d4(0xe7b)]['update']({'id':_0x1f5742['id']},{'screenrecording':_0x934f73})[_0x1999d4(0x2945)]['catch'](function(_0x5f4a28){const _0x1929d6=_0x1999d4;_0x53b621[_0x1929d6(0x1980)]({'title':_0x5f4a28[_0x1929d6(0x107b)]?_0x1929d6(0x262a)+_0x5f4a28[_0x1929d6(0x107b)]+'\x20-\x20'+_0x5f4a28[_0x1929d6(0x167f)]:'api.user.update','msg':_0x5f4a28[_0x1929d6(0x524)]?JSON[_0x1929d6(0x10bb)](_0x5f4a28['data'][_0x1929d6(0x7fd)]):_0x5f4a28[_0x1929d6(0xd5f)]()});});}_0x3ded65['$on']('$destroy',function(){const _0x2c40d2=_0x4cdf4d;_0x57fc2f[_0x2c40d2(0x1c5f)](_0x2c40d2(0x17de)),_0x57fc2f['removeAllListeners'](_0x2c40d2(0x324)),_0x57fc2f[_0x2c40d2(0x1c5f)](_0x2c40d2(0x118c)),_0x57fc2f['removeAllListeners'](_0x2c40d2(0x198f)),_0x49f2fd();});}const _0x1be76c=_0x45f46b;;_0x3604f0[_0x313a4d(0x11c2)]=['$scope','$timeout',_0x313a4d(0x247f),_0x313a4d(0x279d),'rpcCalls',_0x313a4d(0x1ac0)];function _0x3604f0(_0x5bd057,_0x44d868,_0x163031,_0x124e94,_0x51bff9,_0xaef1ec){const _0x232111=_0x313a4d,_0x3e2056=this;_0x3e2056[_0x232111(0x197c)]=_0x51bff9||{'rows':[],'count':0x0},_0x3e2056[_0x232111(0x1a56)]={'limit':0xa,'page':0x1},_0x3e2056[_0x232111(0x2731)]=_0xaef1ec[_0x232111(0x13d4)],_0x124e94['on'](_0x232111(0x1f72),_0x3e2056[_0x232111(0x1db0)]),_0x124e94['on'](_0x232111(0x247e),_0x3e2056[_0x232111(0xe7)]);function _0x1471a0(_0x273d78){const _0x4038da=_0x232111,_0x39a11f=_0x3f65c0()[_0x4038da(0xc84)](_0x3e2056[_0x4038da(0x197c)][_0x4038da(0x19c7)],{'channel':_0x273d78[_0x4038da(0x2894)]});_0x273d78[_0x4038da(0x2164)]=_0x273d78[_0x4038da(0xb11)]?'up':_0x4038da(0x22a3);if(_0x39a11f)_0x3f65c0()['merge'](_0x39a11f,_0x273d78);else _0x273d78['channel']&&_0x3e2056['calls'][_0x4038da(0x19c7)]['push'](_0x273d78);}function _0x58c034(_0x2cdda9){const _0x4d4490=_0x232111,_0x25f408=_0x3f65c0()[_0x4d4490(0x99c)](_0x3e2056[_0x4d4490(0x197c)][_0x4d4490(0x19c7)],{'channel':_0x2cdda9[_0x4d4490(0x2894)]});_0x25f408>=0x0&&(_0x3e2056[_0x4d4490(0x197c)][_0x4d4490(0x19c7)][_0x25f408][_0x4d4490(0x107b)]=_0x4d4490(0x1dbf)),_0x44d868(function(){const _0x35826a=_0x4d4490;_0x3e2056['calls'][_0x35826a(0x19c7)]['splice'](_0x25f408,0x1);},0x1388);}_0x5bd057[_0x232111(0x16ad)](_0x232111(0x116f),function(){const _0x1b7185=_0x232111;_0x124e94[_0x1b7185(0x1c5f)](_0x1b7185(0x1f72)),_0x124e94[_0x1b7185(0x1c5f)](_0x1b7185(0x247e));}),_0x3e2056[_0x232111(0x1db0)]=_0x1471a0,_0x3e2056['onRemove']=_0x58c034;}const _0xff5b7f=_0x3604f0;;_0x3e896d[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x1abe),'$mdDialog','agents','queues','rpcVoiceQueuesChannels','api','socket',_0x313a4d(0x1ac0),_0x313a4d(0x1fe4),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0xa87)];function _0x3e896d(_0x28764b,_0x575df7,_0x537ffb,_0x4791bc,_0x29cbad,_0x504bf3,_0x422ad2,_0x5a94a2,_0x58d234,_0xc0b701,_0x4e7c2a,_0x54b8e7,_0x281ea4){const _0x5520ed=_0x313a4d,_0x4c8c17=this;_0x4c8c17[_0x5520ed(0x2321)]=_0x281ea4[_0x5520ed(0xb12)](),_0x4c8c17[_0x5520ed(0x23c0)]=_0x4791bc||{'count':0x0,'rows':[]},_0x4c8c17['queues']=_0x29cbad?_0x3f65c0()[_0x5520ed(0x194)](_0x29cbad[_0x5520ed(0x19c7)]?_0x29cbad[_0x5520ed(0x19c7)]:[],'name'):{},_0x4c8c17[_0x5520ed(0x2160)]=_0x504bf3?_0x3f65c0()[_0x5520ed(0x194)](_0x504bf3['rows']?_0x3f65c0()[_0x5520ed(0x1dd6)](_0x504bf3[_0x5520ed(0x19c7)],{'type':'inbound'}):[],_0x5520ed(0x4f8)):{},_0x4c8c17[_0x5520ed(0x18e)]={},_0x4c8c17['userProfile']=_0x4e7c2a,_0x4c8c17[_0x5520ed(0x1366)]=_0x54b8e7&&_0x54b8e7['count']==0x1?_0x54b8e7[_0x5520ed(0x19c7)][0x0]:null,_0x4c8c17[_0x5520ed(0x2514)]=_0x281ea4[_0x5520ed(0xe60)](_0x4c8c17['userProfileSection']?_0x4c8c17[_0x5520ed(0x1366)][_0x5520ed(0x2514)]:null),_0x4c8c17[_0x5520ed(0x219)]={'limit':0xa,'page':0x1},_0x4c8c17[_0x5520ed(0x1d9e)]=_0x1484b4,_0x4c8c17[_0x5520ed(0x20de)]=_0x35c894,_0x4c8c17[_0x5520ed(0x1db0)]=_0xe0d86f,_0x4c8c17[_0x5520ed(0xe7)]=_0xb1ba0a,_0x4c8c17[_0x5520ed(0x1dbf)]=_0x1c9821,_0x4c8c17[_0x5520ed(0x1309)]=_0x31e02e,_0x4c8c17['redirectToNumber']=_0x1d2576,_0x5a94a2['on'](_0x5520ed(0x1c8),_0x4c8c17['onSave']),_0x5a94a2['on'](_0x5520ed(0xeb8),_0x4c8c17[_0x5520ed(0xe7)]);function _0x1484b4(_0x2a643a){const _0x46c9b6=_0x5520ed;return _0x3f65c0()[_0x46c9b6(0x4cc)](_0x2a643a);}function _0x35c894(){const _0x4a076e=_0x5520ed;_0x3f65c0()[_0x4a076e(0x1a04)](_0x4c8c17[_0x4a076e(0x2160)],function(_0x269ee6,_0x33c332){const _0x5eb368=_0x4a076e;_0x3f65c0()[_0x5eb368(0xc84)](_0x4c8c17[_0x5eb368(0x1b32)],{'name':_0x269ee6[_0x5eb368(0x19eb)]})&&(_0x4c8c17['rpcVoiceQueuesChannels'][_0x33c332]=_0x269ee6);});}function _0xe0d86f(_0x4ab5a1){const _0x50e227=_0x5520ed;_0x4ab5a1[_0x50e227(0x1142)]===_0x50e227(0x7b0)&&(_0x3f65c0()[_0x50e227(0xc84)](_0x4c8c17[_0x50e227(0x1b32)],{'name':_0x4ab5a1[_0x50e227(0x19eb)]})&&(_0x4c8c17['rpcVoiceQueuesChannels'][_0x4ab5a1[_0x50e227(0x4f8)]]=_0x4ab5a1));}function _0xb1ba0a(_0x5a171b){const _0x4931ff=_0x5520ed;_0x4c8c17[_0x4931ff(0x18e)][_0x5a171b[_0x4931ff(0x4f8)]]&&delete _0x4c8c17[_0x4931ff(0x18e)][_0x5a171b[_0x4931ff(0x4f8)]];}function _0x1c9821(_0x3fbebf){const _0x46ed14=_0x5520ed;return _0x422ad2[_0x46ed14(0x1af7)][_0x46ed14(0xdae)]({'uniqueid':_0x3fbebf})['$promise'][_0x46ed14(0x146b)](function(_0x3903f4){const _0x1c6e39=_0x46ed14;_0xc0b701[_0x1c6e39(0x1c75)]({'title':_0x1c6e39(0x26c4)+_0x3fbebf+_0x1c6e39(0x1419),'msg':_0x3903f4[_0x1c6e39(0x7fd)]||''});})['catch'](function(_0xbd84d4){const _0x4b55dc=_0x46ed14;_0xc0b701[_0x4b55dc(0x1980)]({'title':_0xbd84d4[_0x4b55dc(0x107b)]+_0x4b55dc(0x1315)+_0xbd84d4[_0x4b55dc(0x167f)],'msg':JSON[_0x4b55dc(0x10bb)](_0xbd84d4[_0x4b55dc(0x524)])||_0xbd84d4[_0x4b55dc(0x7fd)][_0x4b55dc(0xb47)]('\x20')});});}function _0x31e02e(_0x95e507,_0x4f3990,_0x3b92d9){const _0x5714f9=_0x5520ed;return _0x422ad2[_0x5714f9(0x1af7)][_0x5714f9(0x1741)]({'uniqueid':_0x95e507,'exten':_0x4f3990,'context':_0x3b92d9})[_0x5714f9(0x2945)][_0x5714f9(0x146b)](function(_0x171152){const _0x5c470b=_0x5714f9;_0xc0b701[_0x5c470b(0x1c75)]({'title':_0x5c470b(0x26c4)+_0x95e507+_0x5c470b(0x16e),'msg':_0x171152['message']||''});})['catch'](function(_0x451f81){const _0x378302=_0x5714f9;_0xc0b701[_0x378302(0x1980)]({'title':_0x451f81[_0x378302(0x107b)]+'\x20-\x20'+_0x451f81[_0x378302(0x167f)],'msg':JSON[_0x378302(0x10bb)](_0x451f81['data'])||_0x451f81[_0x378302(0x7fd)][_0x378302(0xb47)]('\x20')});});}function _0x1d2576(_0x2715bb,_0xcc11d7){const _0x46dbd5=_0x5520ed,_0x4fe4d9=_0x537ffb[_0x46dbd5(0x212a)]()[_0x46dbd5(0x1189)](_0x46dbd5(0x1d8c))['placeholder'](_0x46dbd5(0x27b7))[_0x46dbd5(0x1f27)](_0x2715bb)['ok']('Ok')[_0x46dbd5(0x6c3)](_0x46dbd5(0xcf0));return _0x537ffb['show'](_0x4fe4d9)['then'](function(_0x3676ec){const _0x2eae0c=_0x46dbd5;return _0x422ad2[_0x2eae0c(0x1af7)][_0x2eae0c(0x1741)]({'uniqueid':_0xcc11d7,'exten':_0x3676ec})['$promise'];})[_0x46dbd5(0x146b)](function(_0x345247){const _0x32a13c=_0x46dbd5;_0xc0b701[_0x32a13c(0x1c75)]({'title':_0x32a13c(0x26c4)+_0xcc11d7+_0x32a13c(0x16e),'msg':_0x345247['message']||''});})[_0x46dbd5(0x129e)](function(_0x422093){const _0x1c21a3=_0x46dbd5;_0xc0b701[_0x1c21a3(0x1980)]({'title':_0x422093[_0x1c21a3(0x107b)]+_0x1c21a3(0x1315)+_0x422093[_0x1c21a3(0x167f)],'msg':JSON[_0x1c21a3(0x10bb)](_0x422093['data'])||_0x422093[_0x1c21a3(0x7fd)]['join']('\x20')});});}_0x28764b[_0x5520ed(0x16ad)](_0x5520ed(0x116f),function(){const _0x9d7912=_0x5520ed;_0x5a94a2[_0x9d7912(0x1c5f)]('voice_queue_channel:save'),_0x5a94a2['removeAllListeners']('voice_queue_channel:remove');});}const _0x14b378=_0x3e896d;;_0xbf2d5['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1abe),_0x313a4d(0xa0a),_0x313a4d(0x1b32),_0x313a4d(0x21af),_0x313a4d(0x247f),_0x313a4d(0x279d),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0xa87)];function _0xbf2d5(_0x3557b4,_0x46a502,_0x30859c,_0x3a6f4c,_0x142e76,_0x19277b,_0x3d1d2,_0x5c87d4,_0x4faaf3,_0x2ceef7){const _0x53bac3=_0x313a4d,_0x14eeef=this,_0x4d110e=[_0x53bac3(0x2877),_0x53bac3(0x23c3),'unmanaged',_0x53bac3(0x521),_0x53bac3(0x1098),'sumDuration',_0x53bac3(0x2761)];_0x14eeef['count']=_0x3a6f4c[_0x53bac3(0x51c)],_0x14eeef[_0x53bac3(0x1b32)]=_0x3a6f4c?_0x3f65c0()[_0x53bac3(0x194)](_0x3a6f4c[_0x53bac3(0x19c7)]?_0x3a6f4c[_0x53bac3(0x19c7)]:[],'id'):{},_0x14eeef[_0x53bac3(0x21af)]=_0x142e76?_0x3f65c0()[_0x53bac3(0x194)](_0x142e76[_0x53bac3(0x19c7)]?_0x142e76[_0x53bac3(0x19c7)]:[],'id'):{},_0x14eeef[_0x53bac3(0x26b6)]=_0x5c87d4,_0x14eeef[_0x53bac3(0x1366)]=_0x4faaf3&&_0x4faaf3['count']==0x1?_0x4faaf3[_0x53bac3(0x19c7)][0x0]:null,_0x14eeef['crudPermissions']=_0x2ceef7[_0x53bac3(0xe60)](_0x14eeef[_0x53bac3(0x1366)]?_0x14eeef[_0x53bac3(0x1366)][_0x53bac3(0x2514)]:null);_0x2ceef7[_0x53bac3(0x23e0)]('admin')?_0x14eeef['query']={'type':_0x53bac3(0x7b0),'fields':_0x53bac3(0x7a7),'sort':'-updatedAt','limit':0xa,'page':0x1}:_0x14eeef['query']={'id':_0x2ceef7[_0x53bac3(0xb12)]()['id'],'channel':_0x53bac3(0xe6),'type':_0x53bac3(0x7b0),'fields':_0x53bac3(0x7a7),'sort':_0x53bac3(0x12f2),'limit':0xa,'page':0x1};_0x14eeef[_0x53bac3(0x1c75)]=_0x6edb17,_0x14eeef[_0x53bac3(0xc53)]=_0x39b8a3,_0x14eeef['onSave']=_0x7b622f,_0x14eeef[_0x53bac3(0x20de)]=_0x3717d9,_0x3d1d2[_0x53bac3(0x13b7)]('voice_queue:save',_0x14eeef[_0x53bac3(0x1db0)]),_0x14eeef['interval']=_0x30859c(function(){},0x3e7);function _0x3717d9(){const _0x5b8c5c=_0x53bac3;_0x3f65c0()[_0x5b8c5c(0x1a04)](_0x14eeef[_0x5b8c5c(0x1b32)],function(_0x2de45d,_0x269678){const _0x51567f=_0x5b8c5c;_0x14eeef[_0x51567f(0x21af)][_0x269678]&&_0x3f65c0()[_0x51567f(0x168d)](_0x2de45d,_0x3f65c0()[_0x51567f(0x40e)](_0x14eeef[_0x51567f(0x21af)][_0x269678],_0x4d110e));});}function _0x7b622f(_0x248a77){const _0x1c592a=_0x53bac3;_0x14eeef[_0x1c592a(0x1b32)][_0x248a77['id']]&&_0x3f65c0()[_0x1c592a(0x168d)](_0x14eeef['queues'][_0x248a77['id']],_0x3f65c0()['pick'](_0x248a77,_0x4d110e));}let _0x411800=!![],_0x44d0d0=0x1;_0x3557b4['$watch']('vm.query.filter',function(_0x17873b,_0x359a71){const _0x54f8f0=_0x53bac3;_0x411800?_0x46a502(function(){_0x411800=![];}):(!_0x359a71&&(_0x44d0d0=_0x14eeef['query'][_0x54f8f0(0x844)]),_0x17873b!==_0x359a71&&(_0x14eeef['query'][_0x54f8f0(0x844)]=0x1),!_0x17873b&&(_0x14eeef[_0x54f8f0(0x1a56)][_0x54f8f0(0x844)]=_0x44d0d0),_0x14eeef[_0x54f8f0(0xc53)]());});function _0x6edb17(_0x4502e0){const _0x489610=_0x53bac3;_0x14eeef[_0x489610(0x51c)]=_0x4502e0[_0x489610(0x51c)],_0x14eeef[_0x489610(0x1b32)]=_0x4502e0?_0x3f65c0()[_0x489610(0x194)](_0x4502e0[_0x489610(0x19c7)]?_0x4502e0['rows']:[],'id'):{},_0x3717d9();}function _0x39b8a3(){const _0x246b8a=_0x53bac3;_0x14eeef[_0x246b8a(0x1a56)][_0x246b8a(0x145d)]=(_0x14eeef[_0x246b8a(0x1a56)]['page']-0x1)*_0x14eeef[_0x246b8a(0x1a56)]['limit'],_0x2ceef7[_0x246b8a(0x23e0)](_0x246b8a(0x174b))?_0x14eeef[_0x246b8a(0xb9c)]=_0x19277b[_0x246b8a(0x1446)][_0x246b8a(0x16b4)](_0x14eeef[_0x246b8a(0x1a56)],_0x6edb17)[_0x246b8a(0x2945)]:(_0x14eeef[_0x246b8a(0x1a56)]['id']=_0x14eeef[_0x246b8a(0x26b6)]['id'],_0x14eeef[_0x246b8a(0x1a56)][_0x246b8a(0x2146)]='VoiceQueues',_0x14eeef['promise']=_0x19277b[_0x246b8a(0x26b6)][_0x246b8a(0x158f)](_0x14eeef[_0x246b8a(0x1a56)],_0x6edb17)[_0x246b8a(0x2945)]);}_0x3557b4[_0x53bac3(0x16ad)]('$destroy',function(){const _0x35ccfb=_0x53bac3;_0x3d1d2[_0x35ccfb(0x1c5f)]('voice_queue:save'),_0x14eeef[_0x35ccfb(0x2765)]&&_0x30859c[_0x35ccfb(0x6c3)](_0x14eeef['interval']);});}const _0x253122=_0xbf2d5;;const _0x52d6e4=_0x4acfac['p']+_0x313a4d(0x2830);;const _0x78d9d1=_0x4acfac['p']+_0x313a4d(0x27b4);;_0x1aec4c['$inject']=[_0x313a4d(0x910),'$timeout',_0x313a4d(0x10e8),'$q',_0x313a4d(0x4d8),_0x313a4d(0xa0a),_0x313a4d(0x1b32),'rpcQueues',_0x313a4d(0x247f),_0x313a4d(0x279d),'toasty',_0x313a4d(0x26b6),'userProfileSection',_0x313a4d(0xa87)];function _0x1aec4c(_0x1b02a8,_0x3aa3c3,_0x453c9d,_0x8ee315,_0x1e91e0,_0x1830cc,_0x108df4,_0x4fd299,_0x2f06fb,_0x6fde71,_0x41e30f,_0xa1cad0,_0x4916c4,_0x3f63bb){const _0x3c1ecc=_0x313a4d,_0x3b08a4=this,_0x2c38c9=['loggedIn',_0x3c1ecc(0xbdb),'waiting',_0x3c1ecc(0x10ed),_0x3c1ecc(0x166f),'originated',_0x3c1ecc(0x7fd),_0x3c1ecc(0x1095),_0x3c1ecc(0x1a69),_0x3c1ecc(0x13c4),_0x3c1ecc(0x1e3d)];_0x3b08a4[_0x3c1ecc(0x2321)]=_0x3f63bb[_0x3c1ecc(0xb12)](),_0x3b08a4[_0x3c1ecc(0x51c)]=_0x108df4[_0x3c1ecc(0x51c)]?_0x108df4['count']:0x0,_0x3b08a4[_0x3c1ecc(0x1b32)]=_0x108df4?_0x3f65c0()[_0x3c1ecc(0x194)](_0x108df4[_0x3c1ecc(0x19c7)]?_0x108df4[_0x3c1ecc(0x19c7)]:[],'id'):{},_0x3b08a4['rpcQueues']=_0x4fd299?_0x3f65c0()[_0x3c1ecc(0x194)](_0x4fd299['rows']?_0x4fd299['rows']:[],'id'):{},_0x3b08a4[_0x3c1ecc(0x26b6)]=_0xa1cad0,_0x3b08a4[_0x3c1ecc(0x1366)]=_0x4916c4&&_0x4916c4[_0x3c1ecc(0x51c)]==0x1?_0x4916c4[_0x3c1ecc(0x19c7)][0x0]:null,_0x3b08a4[_0x3c1ecc(0x2514)]=_0x3f63bb[_0x3c1ecc(0xe60)](_0x3b08a4[_0x3c1ecc(0x1366)]?_0x3b08a4[_0x3c1ecc(0x1366)][_0x3c1ecc(0x2514)]:null);_0x3f63bb[_0x3c1ecc(0x23e0)]('admin')?_0x3b08a4[_0x3c1ecc(0x1a56)]={'type':_0x3c1ecc(0x7b0),'sort':_0x3c1ecc(0x12f2),'limit':0xa,'page':0x1}:_0x3b08a4[_0x3c1ecc(0x1a56)]={'id':_0x3b08a4[_0x3c1ecc(0x2321)]['id'],'channel':_0x3c1ecc(0xe6),'type':_0x3c1ecc(0x7b0),'sort':'-updatedAt','limit':0xa,'page':0x1};_0x3b08a4[_0x3c1ecc(0x1c75)]=_0x48daf0,_0x3b08a4['getQueues']=_0x4fd09b,_0x3b08a4[_0x3c1ecc(0x23b6)]=_0xdab8fb,_0x3b08a4[_0x3c1ecc(0x13c)]=_0x508702,_0x3b08a4[_0x3c1ecc(0x2801)]=_0x489e06,_0x3b08a4[_0x3c1ecc(0x1db0)]=_0x262284,_0x3b08a4[_0x3c1ecc(0x1028)]=_0x113701,_0x3b08a4[_0x3c1ecc(0x1c6c)]=_0x161b94,_0x3b08a4[_0x3c1ecc(0x600)]=_0x495e2c,_0x3b08a4[_0x3c1ecc(0x20de)]=_0x5245c6,_0x3b08a4[_0x3c1ecc(0x206e)]=[],_0x3f65c0()[_0x3c1ecc(0x1a04)](_0x3b08a4[_0x3c1ecc(0x1b32)],function(_0x2aa32d){const _0x5c4fe7=_0x3c1ecc;_0x3b08a4[_0x5c4fe7(0x206e)][_0x5c4fe7(0x1f47)](_0x2aa32d['id']);}),_0x6fde71[_0x3c1ecc(0x13b7)](_0x3c1ecc(0x101d),_0x3b08a4['onSave']),_0x3b08a4['interval']=_0x1830cc(function(){},0x3e7),_0x6fde71['on'](_0x3c1ecc(0x324),_0x3b08a4[_0x3c1ecc(0x1028)]),_0x6fde71['on'](_0x3c1ecc(0x1d3e),_0x3b08a4[_0x3c1ecc(0x600)]),_0x6fde71['on'](_0x3c1ecc(0x109d),_0x3b08a4[_0x3c1ecc(0x1c6c)]);function _0x5245c6(){const _0x408c41=_0x3c1ecc,_0x1b19b7=[];return _0x3f65c0()['forIn'](_0x3b08a4[_0x408c41(0x1b32)],function(_0x979ef8,_0x53b866){const _0x2ad7d8=_0x408c41;_0x979ef8[_0x2ad7d8(0x1e3d)]=0x0,_0x979ef8[_0x2ad7d8(0x1899)]=0x0,_0x3b08a4[_0x2ad7d8(0x1b32)][_0x53b866][_0x2ad7d8(0x23c0)]={},_0x1b19b7[_0x2ad7d8(0x1f47)](_0x2f06fb[_0x2ad7d8(0x1446)][_0x2ad7d8(0x24c3)]({'id':_0x53b866})['$promise']),_0x3b08a4[_0x2ad7d8(0x21af)][_0x53b866]&&_0x3f65c0()['merge'](_0x979ef8,_0x3f65c0()['pick'](_0x3b08a4['rpcQueues'][_0x53b866],_0x2c38c9));}),_0x8ee315[_0x408c41(0x1be2)](_0x1b19b7)[_0x408c41(0x146b)](function(_0x411062){const _0x2b538d=_0x408c41;for(let _0x1b4368=0x0;_0x1b4368<_0x411062[_0x2b538d(0x402)];_0x1b4368+=0x1){for(let _0x451856=0x0;_0x451856<_0x411062[_0x1b4368][_0x2b538d(0x19c7)][_0x2b538d(0x402)];_0x451856++){_0x411062[_0x1b4368][_0x2b538d(0x19c7)][_0x451856][_0x2b538d(0x1e3d)]&&_0x3b08a4[_0x2b538d(0x1b32)][_0x411062[_0x1b4368][_0x2b538d(0x19c7)][_0x451856][_0x2b538d(0x6ed)]][_0x2b538d(0x1e3d)]++,_0x3b08a4[_0x2b538d(0x1b32)][_0x411062[_0x1b4368][_0x2b538d(0x19c7)][_0x451856]['VoiceQueueId']][_0x2b538d(0x1899)]++,_0x3b08a4['queues'][_0x411062[_0x1b4368][_0x2b538d(0x19c7)][_0x451856][_0x2b538d(0x6ed)]][_0x2b538d(0x23c0)][_0x411062[_0x1b4368][_0x2b538d(0x19c7)][_0x451856][_0x2b538d(0xea2)]]=_0x411062[_0x1b4368][_0x2b538d(0x19c7)][_0x451856][_0x2b538d(0x6ed)];}}})[_0x408c41(0x129e)](function(_0x5b36b9){console['error'](_0x5b36b9);});}function _0x262284(_0x213fcb){const _0x14fab9=_0x3c1ecc;_0x3b08a4[_0x14fab9(0x1b32)][_0x213fcb['id']]&&_0x3f65c0()['merge'](_0x3b08a4[_0x14fab9(0x1b32)][_0x213fcb['id']],_0x3f65c0()[_0x14fab9(0x40e)](_0x213fcb,_0x2c38c9));}function _0x113701(_0x44730d){const _0x42da8f=_0x3c1ecc;console[_0x42da8f(0x1a74)](_0x42da8f(0x1028),_0x44730d);if(_0x44730d[_0x42da8f(0x211c)])_0x3f65c0()[_0x42da8f(0x1a04)](_0x3b08a4[_0x42da8f(0x1b32)],function(_0x4e71d4){const _0x5a146d=_0x42da8f;_0x4e71d4[_0x5a146d(0x23c0)][_0x44730d['id']]&&(_0x4e71d4[_0x5a146d(0x1e3d)]+=0x1,console[_0x5a146d(0x1a74)](_0x5a146d(0x1e3e)));});else!_0x44730d[_0x42da8f(0x211c)]&&_0x3f65c0()['forIn'](_0x3b08a4[_0x42da8f(0x1b32)],function(_0x1741ce){const _0x5f4670=_0x42da8f;_0x1741ce[_0x5f4670(0x23c0)][_0x44730d['id']]&&(_0x1741ce[_0x5f4670(0x1e3d)]>0x0&&(_0x1741ce[_0x5f4670(0x1e3d)]-=0x1,console[_0x5f4670(0x1a74)](_0x5f4670(0x974))));});}function _0x161b94(_0xc73327){const _0x96b5d6=_0x3c1ecc;console[_0x96b5d6(0x1a74)]('onLogged',_0xc73327),_0x3b08a4[_0x96b5d6(0x1b32)][_0xc73327[_0x96b5d6(0x6ed)]]&&(_0x3b08a4[_0x96b5d6(0x1b32)][_0xc73327[_0x96b5d6(0x6ed)]][_0x96b5d6(0x1899)]+=0x1,_0x3b08a4[_0x96b5d6(0x1b32)][_0xc73327[_0x96b5d6(0x6ed)]][_0x96b5d6(0x23c0)][_0xc73327[_0x96b5d6(0xea2)]]=_0xc73327[_0x96b5d6(0x6ed)]);}function _0x495e2c(_0x510c9a){const _0x115c5d=_0x3c1ecc;console[_0x115c5d(0x1a74)](_0x115c5d(0x600),_0x510c9a),_0x3b08a4['queues'][_0x510c9a['VoiceQueueId']]&&_0x3b08a4[_0x115c5d(0x1b32)][_0x510c9a['VoiceQueueId']][_0x115c5d(0x1899)]>0x0&&(_0x3b08a4[_0x115c5d(0x1b32)][_0x510c9a['VoiceQueueId']][_0x115c5d(0x1899)]-=0x1,delete _0x3b08a4['queues'][_0x510c9a[_0x115c5d(0x6ed)]][_0x115c5d(0x23c0)][_0x510c9a['UserId']]);}let _0x24614a=!![],_0x3f6fd7=0x1;_0x1b02a8[_0x3c1ecc(0x21e8)]('vm.query.filter',function(_0x4724f5,_0x41870a){const _0x1e1b65=_0x3c1ecc;_0x24614a?_0x3aa3c3(function(){_0x24614a=![];}):(!_0x41870a&&(_0x3f6fd7=_0x3b08a4['query'][_0x1e1b65(0x844)]),_0x4724f5!==_0x41870a&&(_0x3b08a4[_0x1e1b65(0x1a56)][_0x1e1b65(0x844)]=0x1),!_0x4724f5&&(_0x3b08a4['query']['page']=_0x3f6fd7),_0x3b08a4[_0x1e1b65(0xc53)]());});function _0x48daf0(_0x176c39){const _0x1bd77b=_0x3c1ecc;_0x3b08a4[_0x1bd77b(0x51c)]=_0x176c39[_0x1bd77b(0x51c)],_0x3b08a4[_0x1bd77b(0x1b32)]=_0x176c39?_0x3f65c0()[_0x1bd77b(0x194)](_0x176c39['rows']?_0x176c39[_0x1bd77b(0x19c7)]:[],'id'):{};if(_0x176c39[_0x1bd77b(0x19c7)]){_0x3b08a4[_0x1bd77b(0x206e)]=[];for(let _0x2d89b1=0x0;_0x2d89b1<_0x176c39[_0x1bd77b(0x19c7)]['length'];_0x2d89b1++){_0x3b08a4['order'][_0x1bd77b(0x1f47)](_0x176c39['rows'][_0x2d89b1]['id']);}}_0x5245c6();}function _0x4fd09b(){const _0x2a4427=_0x3c1ecc;_0x3b08a4[_0x2a4427(0x1a56)][_0x2a4427(0x145d)]=(_0x3b08a4[_0x2a4427(0x1a56)]['page']-0x1)*_0x3b08a4[_0x2a4427(0x1a56)]['limit'],_0x3f63bb[_0x2a4427(0x23e0)](_0x2a4427(0x174b))?_0x3b08a4[_0x2a4427(0xb9c)]=_0x2f06fb['voiceQueue']['get'](_0x3b08a4['query'],_0x48daf0)[_0x2a4427(0x2945)]:(_0x3b08a4[_0x2a4427(0x1a56)]['id']=_0x3b08a4[_0x2a4427(0x26b6)]['id'],_0x3b08a4['query'][_0x2a4427(0x2146)]='VoiceQueues',_0x3b08a4['promise']=_0x2f06fb[_0x2a4427(0x26b6)][_0x2a4427(0x158f)](_0x3b08a4[_0x2a4427(0x1a56)],_0x48daf0)[_0x2a4427(0x2945)]);}function _0xdab8fb(_0x76dff9,_0x56aeec){const _0x1c0d11=_0x3c1ecc;_0x453c9d[_0x1c0d11(0x2615)]({'controller':_0x1c0d11(0xb8b),'controllerAs':'vm','templateUrl':_0x52d6e4,'parent':angular[_0x1c0d11(0x1853)](_0x1e91e0[_0x1c0d11(0x2586)]),'targetEvent':_0x76dff9,'clickOutsideToClose':!![],'locals':{'voiceQueue':_0x56aeec,'voiceQueues':_0x3f65c0()[_0x1c0d11(0x81b)](_0x3b08a4[_0x1c0d11(0x1b32)]),'license':null,'setting':null,'crudPermissions':_0x3b08a4[_0x1c0d11(0x2514)]}});}function _0x508702(_0x39e780,_0x4bf9d5){const _0x533f97=_0x3c1ecc;_0x453c9d['show']({'controller':_0x533f97(0x244d),'controllerAs':'vm','templateUrl':_0x78d9d1,'parent':angular['element'](_0x1e91e0[_0x533f97(0x2586)]),'targetEvent':_0x39e780,'clickOutsideToClose':!![],'locals':{'voiceQueue':_0x4bf9d5,'voiceQueues':_0x3b08a4['queues']?_0x3b08a4[_0x533f97(0x1b32)][_0x533f97(0x19c7)]:[],'realtime':!![],'crudPermissions':_0x3b08a4[_0x533f97(0x2514)]}});}function _0x489e06(_0x5011a0){const _0x2c7197=_0x3c1ecc;return _0x2f06fb[_0x2c7197(0x1446)][_0x2c7197(0x18e1)](_0x5011a0)['$promise'][_0x2c7197(0x146b)](function(){const _0x15219f=_0x2c7197;_0x41e30f[_0x15219f(0x1c75)]({'title':_0x15219f(0x5f1),'msg':_0x5011a0[_0x15219f(0x19eb)]?_0x5011a0['name']+_0x15219f(0x6b0):''});})[_0x2c7197(0x129e)](function(_0x3fbf7e){const _0x189a03=_0x2c7197;_0x41e30f[_0x189a03(0x1980)]({'title':_0x189a03(0x2174),'msg':_0x3fbf7e[_0x189a03(0x7fd)]});});}_0x1b02a8[_0x3c1ecc(0x16ad)](_0x3c1ecc(0x116f),function(){const _0x368462=_0x3c1ecc;_0x6fde71['removeAllListeners'](_0x368462(0x101d)),_0x3b08a4[_0x368462(0x2765)]&&_0x1830cc[_0x368462(0x6c3)](_0x3b08a4[_0x368462(0x2765)]),_0x6fde71[_0x368462(0x1c5f)](_0x368462(0x324)),_0x6fde71['removeAllListeners'](_0x368462(0x1d3e)),_0x6fde71[_0x368462(0x1c5f)](_0x368462(0x109d));});}const _0xb427ff=_0x1aec4c;;function _0xbbaa46(){const _0x388604=_0x313a4d;return{'status':{'registered':_0x388604(0xdf4),'unregistered':'red-300-fg\x20icon-close-circle','lagged':_0x388604(0x4c7),'reachable':_0x388604(0xdf4),'unreachable':_0x388604(0x4c7),'unknown':'grey-fg\x20icon-minus-circle'},'state':{'unknown':_0x388604(0x1fd7),'not_inuse':_0x388604(0x1756),'inuse':_0x388604(0x255c),'busy':_0x388604(0xb3c),'invalid':_0x388604(0x1fd7),'unavailable':_0x388604(0x1fd7),'ringing':_0x388604(0x1172),'ringinuse':_0x388604(0x1172),'onhold':_0x388604(0x119f)},'channelStatus':{'ring':'blue-300-fg\x20icon-phone-incoming','up':_0x388604(0x1668),'hangup':_0x388604(0x135d)},'channelStatusOut':{'ring':'blue-300-fg\x20icon-phone-outgoing','up':_0x388604(0x1668),'hangup':_0x388604(0x135d)}};}const _0x3554c0=_0xbbaa46;;_0x58823a[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0x279d)];function _0x58823a(_0x2e410e,_0x226108,_0x3ab132){const _0x34e49c=_0x313a4d,_0x5e464c=this;_0x3ab132[_0x34e49c(0x194a)](),_0x3ab132[_0x34e49c(0x2e3)](),_0x5e464c[_0x34e49c(0x1b09)]=0x0;switch(_0x226108[_0x34e49c(0x2d7)][_0x34e49c(0x19eb)]){case'app.voice.realtime.agents':_0x5e464c[_0x34e49c(0x1b09)]=0x0;break;case _0x34e49c(0xfca):_0x5e464c[_0x34e49c(0x1b09)]=0x1;break;case'app.voice.realtime.trunks':_0x5e464c['selectedTab']=0x2;break;case _0x34e49c(0x32b):_0x5e464c[_0x34e49c(0x1b09)]=0x3;break;case'app.voice.realtime.queue_calls':_0x5e464c[_0x34e49c(0x1b09)]=0x4;break;case _0x34e49c(0x13bb):_0x5e464c[_0x34e49c(0x1b09)]=0x5;break;case _0x34e49c(0x1024):_0x5e464c['selectedTab']=0x6;break;case _0x34e49c(0x978):_0x5e464c[_0x34e49c(0x1b09)]=0x7;break;default:_0x5e464c[_0x34e49c(0x1b09)]=0x0,_0x226108['go']('app.voice.realtime.agents');}_0x2e410e['$watch'](_0x34e49c(0x241b),function(_0x32faa6,_0x3bc1ee){const _0x570b92=_0x34e49c;if(_0x32faa6!==_0x3bc1ee)switch(_0x32faa6){case 0x0:_0x226108['go'](_0x570b92(0x74a));break;case 0x1:_0x226108['go'](_0x570b92(0xfca));break;case 0x2:_0x226108['go'](_0x570b92(0x146));break;case 0x3:_0x226108['go'](_0x570b92(0x32b));break;case 0x4:_0x226108['go'](_0x570b92(0x17e4));break;case 0x5:_0x226108['go'](_0x570b92(0x13bb));break;case 0x6:_0x226108['go'](_0x570b92(0x1024));break;case 0x7:_0x226108['go'](_0x570b92(0x978));break;default:_0x226108['go'](_0x570b92(0x74a));}});}const _0x2d3060=_0x58823a;;_0xa11eb1[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x1abe),_0x313a4d(0x10e8),'telephones','rpcTelephones','api',_0x313a4d(0x279d),_0x313a4d(0x1ac0),'userProfile','userProfileSection',_0x313a4d(0xa87)];function _0xa11eb1(_0x789b42,_0x182484,_0x194331,_0xf8f410,_0x12a470,_0x3d5341,_0x1f7508,_0x1fd921,_0x2617e1,_0x63362c,_0x337b3f){const _0x307a2b=_0x313a4d,_0x558ca4=this,_0x14d5e4=[_0x307a2b(0x286a),_0x307a2b(0x113f),_0x307a2b(0x13d6),_0x307a2b(0x107b)];_0x558ca4[_0x307a2b(0x51c)]=_0xf8f410['count'],_0x558ca4[_0x307a2b(0x130d)]=['fullname','internal','state',_0x307a2b(0x107b),_0x307a2b(0x2bb)],_0x558ca4['telephones']=_0xf8f410?_0x3f65c0()[_0x307a2b(0x194)](_0xf8f410[_0x307a2b(0x19c7)]?_0xf8f410[_0x307a2b(0x19c7)]:[],'id'):{},_0x558ca4[_0x307a2b(0x2648)]=_0x12a470?_0x3f65c0()[_0x307a2b(0x194)](_0x12a470[_0x307a2b(0x19c7)]?_0x12a470[_0x307a2b(0x19c7)]:[],'id'):{},_0x558ca4['userProfile']=_0x2617e1,_0x558ca4['userProfileSection']=_0x63362c&&_0x63362c[_0x307a2b(0x51c)]==0x1?_0x63362c[_0x307a2b(0x19c7)][0x0]:null,_0x558ca4['crudPermissions']=_0x337b3f[_0x307a2b(0xe60)](_0x558ca4['userProfileSection']?_0x558ca4[_0x307a2b(0x1366)][_0x307a2b(0x2514)]:null),_0x558ca4[_0x307a2b(0x1a56)]={'fields':_0x307a2b(0xbff),'role':_0x307a2b(0x90c),'sort':_0x307a2b(0x12f2),'limit':0xa,'page':0x1},_0x558ca4[_0x307a2b(0x1bce)]=_0x1fd921['status'],_0x558ca4[_0x307a2b(0x2705)]=_0x1fd921['state'],_0x558ca4[_0x307a2b(0x1c75)]=_0x373a19,_0x558ca4[_0x307a2b(0x20de)]=_0x4d1874,_0x558ca4['showInfo']=_0xdd4e58,_0x558ca4[_0x307a2b(0x1948)]=_0x290215,_0x558ca4[_0x307a2b(0x1db0)]=_0xed7a2b,_0x1f7508['on'](_0x307a2b(0xd12),_0x558ca4[_0x307a2b(0x1db0)]);function _0x4d1874(){const _0x5dd7b5=_0x307a2b;_0x3f65c0()['forIn'](_0x558ca4[_0x5dd7b5(0xd4b)],function(_0x340dd1,_0x2fb2a4){const _0x392b5b=_0x5dd7b5;_0x558ca4[_0x392b5b(0x2648)][_0x2fb2a4]&&_0x3f65c0()['merge'](_0x340dd1,_0x3f65c0()[_0x392b5b(0x40e)](_0x558ca4[_0x392b5b(0x2648)][_0x2fb2a4],_0x14d5e4));});}function _0xdd4e58(_0x555620){const _0x474b62=_0x307a2b;_0x194331[_0x474b62(0x2615)](_0x194331[_0x474b62(0x861)]()['clickOutsideToClose'](!![])['title'](_0x474b62(0x2783))[_0x474b62(0x1cbe)](_0x474b62(0x1f77))['ok']('Ok')[_0x474b62(0x1f27)](_0x555620));}function _0xed7a2b(_0x668af2){const _0x35dfc0=_0x307a2b;_0x558ca4[_0x35dfc0(0xd4b)][_0x668af2['id']]&&_0x3f65c0()[_0x35dfc0(0x168d)](_0x558ca4[_0x35dfc0(0xd4b)][_0x668af2['id']],_0x3f65c0()[_0x35dfc0(0x40e)](_0x668af2,_0x14d5e4));}let _0x5db244=!![],_0x3d18db=0x1;_0x789b42[_0x307a2b(0x21e8)](_0x307a2b(0x2669),function(_0x28d0b0,_0x3207a2){const _0x49ba2d=_0x307a2b;_0x5db244?_0x182484(function(){_0x5db244=![];}):(!_0x3207a2&&(_0x3d18db=_0x558ca4[_0x49ba2d(0x1a56)][_0x49ba2d(0x844)]),_0x28d0b0!==_0x3207a2&&(_0x558ca4[_0x49ba2d(0x1a56)][_0x49ba2d(0x844)]=0x1),!_0x28d0b0&&(_0x558ca4['query'][_0x49ba2d(0x844)]=_0x3d18db),_0x558ca4[_0x49ba2d(0x1948)]());});function _0x373a19(_0x33f79f){const _0x25545d=_0x307a2b;_0x558ca4['telephones']=_0x33f79f?_0x3f65c0()[_0x25545d(0x194)](_0x33f79f[_0x25545d(0x19c7)]?_0x33f79f[_0x25545d(0x19c7)]:[],'id'):{},_0x4d1874();}function _0x290215(){const _0x44b48a=_0x307a2b;_0x558ca4[_0x44b48a(0x1a56)][_0x44b48a(0x145d)]=(_0x558ca4[_0x44b48a(0x1a56)][_0x44b48a(0x844)]-0x1)*_0x558ca4['query'][_0x44b48a(0x221e)],_0x337b3f[_0x44b48a(0x23e0)](_0x44b48a(0x174b))?_0x558ca4[_0x44b48a(0xb9c)]=_0x3d5341[_0x44b48a(0xe7b)][_0x44b48a(0x16b4)](_0x558ca4['query'],_0x373a19)[_0x44b48a(0x2945)]:(_0x558ca4[_0x44b48a(0x1a56)]['id']=_0x558ca4['userProfile']['id'],_0x558ca4['query']['section']=_0x44b48a(0x3af),_0x558ca4[_0x44b48a(0xb9c)]=_0x3d5341[_0x44b48a(0x26b6)]['getResources'](_0x558ca4[_0x44b48a(0x1a56)],_0x373a19)['$promise']);}_0x789b42[_0x307a2b(0x16ad)](_0x307a2b(0x116f),function(){_0x1f7508['removeAllListeners']('telephone:save');});}const _0x314b68=_0xa11eb1;;_0x1ad5a1[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1abe),_0x313a4d(0x10e8),_0x313a4d(0x2433),_0x313a4d(0x12fd),'api',_0x313a4d(0x279d),_0x313a4d(0x1ac0),'$state',_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0xa87)];function _0x1ad5a1(_0x51e65e,_0x240b0f,_0x1cf021,_0x5bd650,_0x2c82a6,_0x57385e,_0x2363ed,_0x2e25c0,_0x19831e,_0x284d9e,_0x480645,_0x3a4544){const _0x3abde1=_0x313a4d,_0x4f9883=this,_0x8a2d01=[_0x3abde1(0x107b),_0x3abde1(0x124d),_0x3abde1(0xccb),_0x3abde1(0x148e),_0x3abde1(0x1553),_0x3abde1(0x113f),_0x3abde1(0x13d6),_0x3abde1(0x107b)];_0x4f9883[_0x3abde1(0x2321)]=_0x3a4544[_0x3abde1(0xb12)](),_0x4f9883['count']=_0x5bd650['count'],_0x4f9883['trunks']=_0x5bd650?_0x5bd650[_0x3abde1(0x19c7)]:[],_0x4f9883[_0x3abde1(0x12fd)]=_0x2c82a6?_0x2c82a6[_0x3abde1(0x19c7)]?_0x2c82a6['rows']:[]:[],_0x4f9883[_0x3abde1(0x1a56)]={'fields':_0x3abde1(0x7a7),'active':!![],'sort':_0x3abde1(0x12f2),'limit':0xa,'page':0x1},_0x4f9883['statusClass']=_0x2e25c0['status'],_0x4f9883[_0x3abde1(0x2705)]=_0x2e25c0[_0x3abde1(0x13d6)],_0x4f9883['userProfile']=_0x284d9e,_0x4f9883['userProfileSection']=_0x480645&&_0x480645['count']==0x1?_0x480645[_0x3abde1(0x19c7)][0x0]:null,_0x4f9883[_0x3abde1(0x2514)]=_0x3a4544['parseCrudPermissions'](_0x4f9883[_0x3abde1(0x1366)]?_0x4f9883[_0x3abde1(0x1366)][_0x3abde1(0x2514)]:null),_0x4f9883[_0x3abde1(0x1c75)]=_0x509467,_0x4f9883[_0x3abde1(0x20de)]=_0x36f062,_0x4f9883[_0x3abde1(0x232c)]=_0x3ce7a2,_0x4f9883[_0x3abde1(0x1cd4)]=_0x4f3f9e,_0x4f9883[_0x3abde1(0x2428)]=_0xb3df5f,_0x4f9883['onSave']=_0x970cd3,_0x2363ed['on'](_0x3abde1(0x1d61),_0x4f9883['onSave']);function _0x36f062(){const _0x3d5eb1=_0x3abde1;let _0x1cfef7;for(let _0xa4ea71=0x0;_0xa4ea71<_0x4f9883['trunks'][_0x3d5eb1(0x402)];_0xa4ea71+=0x1){_0x1cfef7=_0x3f65c0()[_0x3d5eb1(0x99c)](_0x4f9883[_0x3d5eb1(0x12fd)],['id',_0x4f9883['trunks'][_0xa4ea71]['id']]),_0x1cfef7>=0x0&&_0x3f65c0()['merge'](_0x4f9883['trunks'][_0xa4ea71],_0x3f65c0()[_0x3d5eb1(0x40e)](_0x4f9883[_0x3d5eb1(0x12fd)][_0x1cfef7],_0x8a2d01));}}function _0x3ce7a2(_0x409073){const _0xec8af8=_0x3abde1;_0x1cf021['show'](_0x1cf021[_0xec8af8(0x861)]()[_0xec8af8(0xa70)](!![])[_0xec8af8(0x1189)](_0xec8af8(0x2783))[_0xec8af8(0x1cbe)]('
TODO\x20legend\x20status\x20+\x20state
')['ok']('Ok')['targetEvent'](_0x409073));}function _0x9d69e1(_0x385766,_0x318feb,_0x47b227){const _0x3c209c=_0x3abde1;_0x318feb>=0x0&&_0x3f65c0()[_0x3c209c(0x168d)](_0x385766[_0x318feb],_0x3f65c0()[_0x3c209c(0x40e)](_0x47b227,_0x8a2d01));}function _0x970cd3(_0x2889fc){const _0x3ed0a2=_0x3abde1,_0x12bda0=_0x3f65c0()[_0x3ed0a2(0x99c)](_0x4f9883[_0x3ed0a2(0x2433)],['id',_0x2889fc['id']]),_0x3027c4=_0x3f65c0()[_0x3ed0a2(0x99c)](_0x4f9883[_0x3ed0a2(0x12fd)],['id',_0x2889fc['id']]);_0x9d69e1(_0x4f9883[_0x3ed0a2(0x2433)],_0x12bda0,_0x2889fc),_0x9d69e1(_0x4f9883[_0x3ed0a2(0x12fd)],_0x3027c4,_0x2889fc);}let _0xa1215d=!![],_0x2823eb=0x1;_0x51e65e[_0x3abde1(0x21e8)]('vm.query.filter',function(_0x2acd98,_0x5a672d){const _0x499ea4=_0x3abde1;_0xa1215d?_0x240b0f(function(){_0xa1215d=![];}):(!_0x5a672d&&(_0x2823eb=_0x4f9883[_0x499ea4(0x1a56)][_0x499ea4(0x844)]),_0x2acd98!==_0x5a672d&&(_0x4f9883[_0x499ea4(0x1a56)][_0x499ea4(0x844)]=0x1),!_0x2acd98&&(_0x4f9883[_0x499ea4(0x1a56)][_0x499ea4(0x844)]=_0x2823eb),_0x4f9883[_0x499ea4(0x1cd4)]());});function _0x509467(_0x2dcee3){const _0x28e603=_0x3abde1;_0x4f9883[_0x28e603(0x51c)]=_0x2dcee3[_0x28e603(0x51c)],_0x4f9883['trunks']=_0x2dcee3?_0x2dcee3[_0x28e603(0x19c7)]?_0x2dcee3[_0x28e603(0x19c7)]:[]:[],_0x36f062();}function _0x4f3f9e(){const _0x6f4cc4=_0x3abde1;_0x4f9883[_0x6f4cc4(0x1a56)][_0x6f4cc4(0x145d)]=(_0x4f9883[_0x6f4cc4(0x1a56)]['page']-0x1)*_0x4f9883['query']['limit'],_0x3a4544['hasRole'](_0x6f4cc4(0x174b))?_0x4f9883[_0x6f4cc4(0xb9c)]=_0x57385e[_0x6f4cc4(0x279f)][_0x6f4cc4(0x16b4)](_0x4f9883['query'],_0x509467)[_0x6f4cc4(0x2945)]:(_0x4f9883[_0x6f4cc4(0x1a56)]['id']=_0x4f9883[_0x6f4cc4(0x26b6)]['id'],_0x4f9883['query']['section']=_0x6f4cc4(0x110f),_0x4f9883[_0x6f4cc4(0xb9c)]=_0x57385e[_0x6f4cc4(0x26b6)][_0x6f4cc4(0x158f)](_0x4f9883[_0x6f4cc4(0x1a56)],_0x509467)[_0x6f4cc4(0x2945)]);}_0x51e65e[_0x3abde1(0x16ad)](_0x3abde1(0x116f),function(){const _0x1114f7=_0x3abde1;_0x2363ed[_0x1114f7(0x1c5f)](_0x1114f7(0x1d61));});function _0xb3df5f(_0x196573,_0x455c9c){const _0x5a8097=_0x3abde1;_0x19831e['go'](_0x5a8097(0x5f0),{'id':_0x455c9c['id']});}}const _0x381cb9=_0x1ad5a1;;const _0x24ed2e=_0x4acfac['p']+_0x313a4d(0x271);;const _0x171109=_0x4acfac['p']+_0x313a4d(0x1472);;const _0x18ba53=_0x4acfac['p']+'src/js/modules/main/apps/voice/views/realtime/telephones/view.html/view.html';;const _0x4da02d=_0x4acfac['p']+'src/js/modules/main/apps/voice/views/realtime/trunks/view.html/view.html';;const _0x354242=_0x4acfac['p']+_0x313a4d(0xf71);;const _0x45c711=_0x4acfac['p']+'src/js/modules/main/apps/voice/views/realtime/queue_calls/view.html/view.html';;const _0x14cf2a=_0x4acfac['p']+_0x313a4d(0x5cf);;const _0x372da4=_0x4acfac['p']+_0x313a4d(0x201e);;const _0x439c73=_0x4acfac['p']+_0x313a4d(0xc41);;_0x538823[_0x313a4d(0x11c2)]=[_0x313a4d(0x921)];function _0x538823(_0x3af03f){const _0x9cf319=_0x313a4d;_0x3af03f[_0x9cf319(0x13d6)](_0x9cf319(0x17fd),{'url':'/realtime','views':{'content@app':{'templateUrl':_0x24ed2e,'controller':_0x9cf319(0xea8)}},'resolve':{'userProfile':[_0x9cf319(0x362),_0x9cf319(0xa87),function(_0x5b3e1d,_0xc1c57d){const _0x2cc430=_0x9cf319;return _0xc1c57d['hasRole'](_0x2cc430(0x174b))?null:_0x5b3e1d[_0x2cc430(0x2922)](_0x2cc430(0x119a),{'fields':_0x2cc430(0x227),'id':_0xc1c57d[_0x2cc430(0xb12)]()[_0x2cc430(0x209a)]});}],'userProfileSection':[_0x9cf319(0x362),'Auth',function(_0x18465d,_0x4dfcfb){const _0x2df8fc=_0x9cf319;return _0x4dfcfb[_0x2df8fc(0x23e0)]('admin')?null:_0x18465d[_0x2df8fc(0x2922)](_0x2df8fc(0x27be),{'fields':_0x2df8fc(0x1e64),'userProfileId':_0x4dfcfb[_0x2df8fc(0xb12)]()[_0x2df8fc(0x209a)],'sectionId':0x19b});}]},'authenticate':!![],'permissionId':0x19b})['state']('app.voice.realtime.agents',{'url':_0x9cf319(0x1507),'controller':_0x9cf319(0x1baa),'templateUrl':_0x171109,'resolve':{'pauses':[_0x9cf319(0x362),function(_0x40cb01){const _0x23f771=_0x9cf319;return _0x40cb01[_0x23f771(0x2922)](_0x23f771(0x3f6),{'nolimit':!![]});}],'agents':[_0x9cf319(0x362),_0x9cf319(0xa87),function(_0x4ab350,_0x21ba1e){const _0x5c73c9=_0x9cf319;return _0x21ba1e['hasRole'](_0x5c73c9(0x174b))?_0x4ab350['resolve'](_0x5c73c9(0x2484),{'fields':'id,name,fullname,role,userpic,internal,online,voicePause,openchannelPause,faxPause,chatPause,smsPause,whatsappPause,mailPause,pauseType,lastPauseAt,lastLoginAt,lastPauseAt,chatCapacity,mailCapacity,openchannelCapacity,faxCapacity,smsCapacity,whatsappCapacity,screenrecording,phoneBarEnableScreenRecordingByAgent,phoneBarEnableAutomaticScreenRecording','role':_0x5c73c9(0x1755),'sort':_0x5c73c9(0x286a),'nolimit':!![]}):_0x4ab350['resolve'](_0x5c73c9(0x938),{'id':_0x21ba1e[_0x5c73c9(0xb12)]()[_0x5c73c9(0x209a)],'section':_0x5c73c9(0xca8),'fields':_0x5c73c9(0x2869),'role':'agent','sort':_0x5c73c9(0x286a),'nolimit':!![]});}],'userProfile':[_0x9cf319(0x362),_0x9cf319(0xa87),function(_0x1a1a52,_0x5d4944){const _0x144ccb=_0x9cf319;return _0x5d4944['hasRole']('admin')?null:_0x1a1a52['resolve'](_0x144ccb(0x119a),{'fields':_0x144ccb(0x227),'id':_0x5d4944['getCurrentUser']()[_0x144ccb(0x209a)]});}],'userProfileSection':['apiResolver',_0x9cf319(0xa87),function(_0xdfc29d,_0x26cc8f){const _0x5881e4=_0x9cf319;return _0x26cc8f['hasRole'](_0x5881e4(0x174b))?null:_0xdfc29d['resolve']('userProfileSection@get',{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x26cc8f[_0x5881e4(0xb12)]()[_0x5881e4(0x209a)],'sectionId':0xca});}],'rpcAgents':[_0x9cf319(0x362),function(_0x1b972a){const _0xb9af3d=_0x9cf319;return _0x1b972a[_0xb9af3d(0x2922)](_0xb9af3d(0x19ab));}]},'authenticate':!![],'permissionId':0x19b})[_0x9cf319(0x13d6)](_0x9cf319(0xfca),{'url':_0x9cf319(0x15d1),'controller':'TelephonesVoiceRealtimeController\x20as\x20vm','templateUrl':_0x18ba53,'resolve':{'telephones':[_0x9cf319(0x362),_0x9cf319(0xa87),function(_0x582953,_0x28bfeb){const _0x5dcbed=_0x9cf319;return _0x28bfeb[_0x5dcbed(0x23e0)](_0x5dcbed(0x174b))?_0x582953[_0x5dcbed(0x2922)](_0x5dcbed(0x2484),{'fields':_0x5dcbed(0x285a),'role':_0x5dcbed(0x90c),'sort':_0x5dcbed(0x12f2),'limit':0xa,'offset':0x0}):_0x582953[_0x5dcbed(0x2922)](_0x5dcbed(0x938),{'id':_0x28bfeb[_0x5dcbed(0xb12)]()[_0x5dcbed(0x209a)],'section':'Telephones','fields':_0x5dcbed(0x285a),'role':_0x5dcbed(0x90c),'sort':_0x5dcbed(0x12f2),'limit':0xa,'offset':0x0});}],'userProfile':[_0x9cf319(0x362),'Auth',function(_0x2ebe03,_0x29c96e){const _0x23ec0b=_0x9cf319;return _0x29c96e[_0x23ec0b(0x23e0)]('admin')?null:_0x2ebe03[_0x23ec0b(0x2922)](_0x23ec0b(0x119a),{'fields':_0x23ec0b(0x227),'id':_0x29c96e[_0x23ec0b(0xb12)]()[_0x23ec0b(0x209a)]});}],'userProfileSection':[_0x9cf319(0x362),_0x9cf319(0xa87),function(_0x252b4c,_0x27346d){const _0xea8187=_0x9cf319;return _0x27346d['hasRole']('admin')?null:_0x252b4c[_0xea8187(0x2922)](_0xea8187(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x27346d[_0xea8187(0xb12)]()[_0xea8187(0x209a)],'sectionId':0xcb});}],'rpcTelephones':[_0x9cf319(0x362),function(_0x4cc1d6){const _0x102a46=_0x9cf319;return _0x4cc1d6[_0x102a46(0x2922)](_0x102a46(0x2206));}]},'authenticate':!![],'permissionId':0x19b})[_0x9cf319(0x13d6)](_0x9cf319(0x146),{'url':'/trunks','controller':_0x9cf319(0x138e),'templateUrl':_0x4da02d,'resolve':{'trunks':[_0x9cf319(0x362),_0x9cf319(0xa87),function(_0xcd94e3,_0xe5426b){const _0x5de700=_0x9cf319;return _0xe5426b[_0x5de700(0x23e0)](_0x5de700(0x174b))?_0xcd94e3[_0x5de700(0x2922)](_0x5de700(0x244b),{'fields':_0x5de700(0x7a7),'active':!![],'sort':_0x5de700(0x12f2),'limit':0xa,'offset':0x0}):_0xcd94e3[_0x5de700(0x2922)](_0x5de700(0x938),{'id':_0xe5426b['getCurrentUser']()[_0x5de700(0x209a)],'section':_0x5de700(0x110f),'fields':'id,name','active':!![],'sort':_0x5de700(0x12f2),'limit':0xa,'offset':0x0});}],'userProfile':[_0x9cf319(0x362),_0x9cf319(0xa87),function(_0x3d10ff,_0x1ac7a2){const _0x395731=_0x9cf319;return _0x1ac7a2[_0x395731(0x23e0)](_0x395731(0x174b))?null:_0x3d10ff[_0x395731(0x2922)](_0x395731(0x119a),{'fields':_0x395731(0x227),'id':_0x1ac7a2[_0x395731(0xb12)]()[_0x395731(0x209a)]});}],'userProfileSection':[_0x9cf319(0x362),_0x9cf319(0xa87),function(_0x12f27a,_0x368b6f){const _0xd5c7e5=_0x9cf319;return _0x368b6f[_0xd5c7e5(0x23e0)](_0xd5c7e5(0x174b))?null:_0x12f27a[_0xd5c7e5(0x2922)](_0xd5c7e5(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x368b6f[_0xd5c7e5(0xb12)]()[_0xd5c7e5(0x209a)],'sectionId':0x3f3});}],'rpcTrunks':[_0x9cf319(0x362),function(_0x4e7b33){const _0x4f6cc0=_0x9cf319;return _0x4e7b33[_0x4f6cc0(0x2922)]('rpc@getTrunks');}]},'authenticate':!![],'permissionId':0x19b})['state'](_0x9cf319(0x32b),{'url':_0x9cf319(0xf15),'controller':_0x9cf319(0xbd0),'templateUrl':_0x354242,'resolve':{'queues':[_0x9cf319(0x362),_0x9cf319(0xa87),function(_0x5e4bbc,_0x4c7c34){const _0x28e5fe=_0x9cf319;return _0x4c7c34[_0x28e5fe(0x23e0)](_0x28e5fe(0x174b))?_0x5e4bbc[_0x28e5fe(0x2922)](_0x28e5fe(0x5c0),{'type':_0x28e5fe(0x7b0),'sort':_0x28e5fe(0x12f2),'limit':0xa,'offset':0x0}):_0x5e4bbc[_0x28e5fe(0x2922)](_0x28e5fe(0x938),{'id':_0x4c7c34[_0x28e5fe(0xb12)]()['userProfileId'],'section':_0x28e5fe(0x507),'channel':_0x28e5fe(0xe6),'type':_0x28e5fe(0x7b0),'sort':_0x28e5fe(0x12f2),'limit':0xa,'page':0x1});}],'userProfile':[_0x9cf319(0x362),_0x9cf319(0xa87),function(_0x37be8f,_0x2892d5){const _0x5614bf=_0x9cf319;return _0x2892d5[_0x5614bf(0x23e0)]('admin')?null:_0x37be8f[_0x5614bf(0x2922)](_0x5614bf(0x119a),{'fields':_0x5614bf(0x227),'id':_0x2892d5[_0x5614bf(0xb12)]()['userProfileId']});}],'userProfileSection':[_0x9cf319(0x362),_0x9cf319(0xa87),function(_0x4c1a6c,_0x16afcb){const _0x425afc=_0x9cf319;return _0x16afcb[_0x425afc(0x23e0)](_0x425afc(0x174b))?null:_0x4c1a6c[_0x425afc(0x2922)](_0x425afc(0x27be),{'fields':_0x425afc(0x1e64),'userProfileId':_0x16afcb[_0x425afc(0xb12)]()[_0x425afc(0x209a)],'sectionId':0x191});}],'rpcQueues':[_0x9cf319(0x362),function(_0x129d59){const _0x1e94a5=_0x9cf319;return _0x129d59[_0x1e94a5(0x2922)](_0x1e94a5(0x684));}]},'authenticate':!![],'permissionId':0x19b})[_0x9cf319(0x13d6)](_0x9cf319(0x17e4),{'url':'/queue_calls','controller':_0x9cf319(0xb8e),'templateUrl':_0x45c711,'resolve':{'agents':[_0x9cf319(0x362),_0x9cf319(0xa87),function(_0x275870,_0x37c542){const _0x41a7ed=_0x9cf319;return _0x37c542[_0x41a7ed(0x23e0)](_0x41a7ed(0x174b))?_0x275870[_0x41a7ed(0x2922)](_0x41a7ed(0x2484),{'fields':'id,name,role,context','role':_0x41a7ed(0x1755),'nolimit':!![]}):_0x275870[_0x41a7ed(0x2922)](_0x41a7ed(0x938),{'id':_0x37c542[_0x41a7ed(0xb12)]()[_0x41a7ed(0x209a)],'section':_0x41a7ed(0xca8),'fields':_0x41a7ed(0x2041),'role':_0x41a7ed(0x1755),'nolimit':!![]});}],'queues':[_0x9cf319(0x362),_0x9cf319(0xa87),function(_0x54b101,_0x2b41b0){const _0x501c1e=_0x9cf319;return _0x2b41b0['hasRole']('admin')?_0x54b101[_0x501c1e(0x2922)](_0x501c1e(0x5c0),{'type':_0x501c1e(0x7b0),'sort':_0x501c1e(0x12f2),'nolimit':!![]}):_0x54b101[_0x501c1e(0x2922)]('userProfile@getResources',{'id':_0x2b41b0[_0x501c1e(0xb12)]()[_0x501c1e(0x209a)],'section':'VoiceQueues','channel':'voice','type':_0x501c1e(0x7b0),'sort':_0x501c1e(0x12f2),'nolimit':!![]});}],'userProfile':[_0x9cf319(0x362),_0x9cf319(0xa87),function(_0x3ccab0,_0x235bdd){const _0x19b136=_0x9cf319;return _0x235bdd[_0x19b136(0x23e0)](_0x19b136(0x174b))?null:_0x3ccab0[_0x19b136(0x2922)](_0x19b136(0x119a),{'fields':_0x19b136(0x227),'id':_0x235bdd[_0x19b136(0xb12)]()['userProfileId']});}],'userProfileSection':[_0x9cf319(0x362),_0x9cf319(0xa87),function(_0x44d1fd,_0x1f1ceb){const _0xd8b15b=_0x9cf319;return _0x1f1ceb[_0xd8b15b(0x23e0)]('admin')?null:_0x44d1fd[_0xd8b15b(0x2922)](_0xd8b15b(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x1f1ceb[_0xd8b15b(0xb12)]()['userProfileId'],'sectionId':0x191});}],'rpcVoiceQueuesChannels':[_0x9cf319(0x362),function(_0x15fbbb){const _0x155809=_0x9cf319;return _0x15fbbb[_0x155809(0x2922)](_0x155809(0x1b41));}]},'authenticate':!![],'permissionId':0x19b})['state']('app.voice.realtime.abandonedcalls',{'url':'/abandonedcalls','controller':_0x9cf319(0x7b8),'templateUrl':_0x14cf2a,'resolve':{'agents':[_0x9cf319(0x362),_0x9cf319(0xa87),function(_0x2b611a,_0x51ad1c){const _0x1ee16f=_0x9cf319;return _0x51ad1c[_0x1ee16f(0x23e0)](_0x1ee16f(0x174b))?_0x2b611a['resolve'](_0x1ee16f(0x2484),{'fields':_0x1ee16f(0x2041),'role':_0x1ee16f(0x1755),'nolimit':!![]}):_0x2b611a[_0x1ee16f(0x2922)](_0x1ee16f(0x938),{'id':_0x51ad1c[_0x1ee16f(0xb12)]()['userProfileId'],'section':'Agents','fields':'id,name,role,context','role':'agent','nolimit':!![]});}],'queues':['apiResolver',_0x9cf319(0xa87),function(_0x3212f8,_0x5f1e69){const _0x31a0ea=_0x9cf319;return _0x5f1e69[_0x31a0ea(0x23e0)](_0x31a0ea(0x174b))?_0x3212f8[_0x31a0ea(0x2922)](_0x31a0ea(0x5c0),{'type':_0x31a0ea(0x7b0),'sort':_0x31a0ea(0x12f2),'nolimit':!![]}):_0x3212f8['resolve']('userProfile@getResources',{'id':_0x5f1e69[_0x31a0ea(0xb12)]()['userProfileId'],'section':_0x31a0ea(0x507),'channel':'voice','type':_0x31a0ea(0x7b0),'sort':'-updatedAt','nolimit':!![]});}],'dispositions':[_0x9cf319(0x362),'Auth',function(_0x41734d,_0x302930){const _0x1ddcd4=_0x9cf319;return _0x302930['hasRole']('admin')?_0x41734d['resolve']('disposition@get',{'fields':'createdAt,updatedAt,id,name,level,ParentId','sort':_0x1ddcd4(0x12f2),'MailAccountId':_0x1ddcd4(0x203c),'FaxAccountId':_0x1ddcd4(0x203c),'SmsAccountId':'null','WhatsappAccountId':_0x1ddcd4(0x203c),'OpenchannelAccountId':_0x1ddcd4(0x203c),'ChatWebsiteId':_0x1ddcd4(0x203c),'ListId':_0x1ddcd4(0x203c),'nolimit':!![]}):_0x41734d['resolve'](_0x1ddcd4(0x938),{'id':_0x302930[_0x1ddcd4(0xb12)]()['userProfileId'],'section':_0x1ddcd4(0x1754),'fields':_0x1ddcd4(0x1aec),'sort':_0x1ddcd4(0x12f2),'MailAccountId':_0x1ddcd4(0x203c),'FaxAccountId':_0x1ddcd4(0x203c),'SmsAccountId':_0x1ddcd4(0x203c),'WhatsappAccountId':_0x1ddcd4(0x203c),'OpenchannelAccountId':_0x1ddcd4(0x203c),'ChatWebsiteId':_0x1ddcd4(0x203c),'ListId':_0x1ddcd4(0x203c),'nolimit':!![]});}],'userProfile':[_0x9cf319(0x362),_0x9cf319(0xa87),function(_0x718f16,_0x3c095f){const _0x87aeb6=_0x9cf319;return _0x3c095f['hasRole']('admin')?null:_0x718f16[_0x87aeb6(0x2922)](_0x87aeb6(0x119a),{'fields':_0x87aeb6(0x227),'id':_0x3c095f[_0x87aeb6(0xb12)]()['userProfileId']});}],'userProfileSection':[_0x9cf319(0x362),_0x9cf319(0xa87),function(_0x44c710,_0x50af0d){const _0x112134=_0x9cf319;return _0x50af0d[_0x112134(0x23e0)]('admin')?null:_0x44c710['resolve'](_0x112134(0x27be),{'fields':_0x112134(0x1e64),'userProfileId':_0x50af0d[_0x112134(0xb12)]()[_0x112134(0x209a)],'sectionId':0x191});}]},'authenticate':!![],'permissionId':0x19b})[_0x9cf319(0x13d6)]('app.voice.realtime.queue_params',{'url':'/queue_params','controller':_0x9cf319(0x251f),'templateUrl':_0x372da4,'resolve':{'queues':[_0x9cf319(0x362),_0x9cf319(0xa87),function(_0xf37505,_0xc50dbc){const _0x1f59db=_0x9cf319;return _0xc50dbc[_0x1f59db(0x23e0)](_0x1f59db(0x174b))?_0xf37505[_0x1f59db(0x2922)](_0x1f59db(0x5c0),{'type':_0x1f59db(0x7b0),'fields':_0x1f59db(0x7a7),'sort':_0x1f59db(0x12f2),'limit':0xa,'offset':0x0}):_0xf37505[_0x1f59db(0x2922)](_0x1f59db(0x938),{'id':_0xc50dbc['getCurrentUser']()[_0x1f59db(0x209a)],'section':_0x1f59db(0x507),'channel':_0x1f59db(0xe6),'type':_0x1f59db(0x7b0),'sort':_0x1f59db(0x12f2),'nolimit':!![]});}],'userProfile':[_0x9cf319(0x362),_0x9cf319(0xa87),function(_0x3dbbfd,_0x3a02e4){const _0x4da000=_0x9cf319;return _0x3a02e4[_0x4da000(0x23e0)]('admin')?null:_0x3dbbfd['resolve']('userProfile@get',{'fields':_0x4da000(0x227),'id':_0x3a02e4[_0x4da000(0xb12)]()[_0x4da000(0x209a)]});}],'userProfileSection':[_0x9cf319(0x362),_0x9cf319(0xa87),function(_0x2291c6,_0x84492){const _0x41796c=_0x9cf319;return _0x84492[_0x41796c(0x23e0)](_0x41796c(0x174b))?null:_0x2291c6['resolve'](_0x41796c(0x27be),{'fields':_0x41796c(0x1e64),'userProfileId':_0x84492[_0x41796c(0xb12)]()['userProfileId'],'sectionId':0x191});}],'rpcQueues':[_0x9cf319(0x362),function(_0x5e4fba){const _0x2da1c7=_0x9cf319;return _0x5e4fba[_0x2da1c7(0x2922)](_0x2da1c7(0x684));}]},'authenticate':!![],'permissionId':0x19b})['state']('app.voice.realtime.outbound_calls',{'url':_0x9cf319(0x15e5),'controller':'OutboundCallsVoiceRealtimeController\x20as\x20vm','templateUrl':_0x439c73,'resolve':{'rpcCalls':['apiResolver',function(_0x1bb5db){const _0x1e1068=_0x9cf319;return _0x1bb5db[_0x1e1068(0x2922)](_0x1e1068(0x1fe7));}]},'authenticate':!![],'permissionId':0x19b});}angular[_0x313a4d(0x2528)](_0x313a4d(0x17fd),[])['config'](_0x538823)[_0x313a4d(0x28f0)]('AbandonedCallsVoiceRealtimeController',_0x20b28d)[_0x313a4d(0x28f0)](_0x313a4d(0x2233),_0x1be76c)[_0x313a4d(0x28f0)](_0x313a4d(0x1080),_0xff5b7f)[_0x313a4d(0x28f0)](_0x313a4d(0x15ac),_0x14b378)[_0x313a4d(0x28f0)]('QueueParamsVoiceRealtimeController',_0x253122)[_0x313a4d(0x28f0)]('QueuesVoiceRealtimeController',_0xb427ff)[_0x313a4d(0x1750)]('helperClasses',_0x3554c0)[_0x313a4d(0x28f0)](_0x313a4d(0x166b),_0x2d3060)[_0x313a4d(0x28f0)](_0x313a4d(0x5e7),_0x314b68)[_0x313a4d(0x28f0)](_0x313a4d(0x11bd),_0x381cb9);;const _0x51cedc=_0x4acfac['p']+_0x313a4d(0x2176);;_0xf93eab['$inject']=['$scope',_0x313a4d(0x1aa9),_0x313a4d(0x1862),'$mdSidenav','$mdDialog',_0x313a4d(0x4d8),'$timeout',_0x313a4d(0x214b),_0x313a4d(0x24ca),'userProfile',_0x313a4d(0x1366),_0x313a4d(0x247f),'msUtils',_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x2690),'setting'];function _0xf93eab(_0x4f5963,_0x290006,_0xc6b993,_0x33a2ac,_0x18c584,_0x10eea2,_0x5a5962,_0x12f6f3,_0x514291,_0x291166,_0xca881a,_0x3130b6,_0x32cd8e,_0xffcfd,_0x5371b4,_0x52ccc4,_0x3e367b){const _0x42ed77=_0x313a4d,_0x396df7=this;_0x396df7[_0x42ed77(0x2690)]=_0x52ccc4,_0x396df7[_0x42ed77(0x15b9)]=_0x3e367b,_0x396df7[_0x42ed77(0x2321)]=_0x5371b4['getCurrentUser'](),_0x396df7[_0x42ed77(0x24ca)]=_0x514291||{'count':0x0,'rows':[]},_0x396df7[_0x42ed77(0x26b6)]=_0x291166,_0x396df7[_0x42ed77(0x1366)]=_0xca881a&&_0xca881a['count']==0x1?_0xca881a[_0x42ed77(0x19c7)][0x0]:null,_0x396df7[_0x42ed77(0x2514)]=_0x5371b4[_0x42ed77(0xe60)](_0x396df7[_0x42ed77(0x1366)]?_0x396df7[_0x42ed77(0x1366)][_0x42ed77(0x2514)]:null),_0x396df7['table']=_0x42ed77(0x24ca),_0x396df7['listOrder']='',_0x396df7[_0x42ed77(0x214f)]=null,_0x396df7[_0x42ed77(0x19d9)]=[],_0x396df7[_0x42ed77(0x1a56)]={'fields':_0x42ed77(0x1be1),'sort':_0x42ed77(0x12f2),'limit':0xa,'page':0x1},_0x396df7[_0x42ed77(0x28c3)]=_0x3f65c0()[_0x42ed77(0x194)]([{'option':_0x42ed77(0x14ab),'value':_0x42ed77(0xd53)},{'option':_0x42ed77(0x12de),'value':'\x27B\x27'},{'option':_0x42ed77(0x19f5),'value':_0x42ed77(0x5ac)},{'option':_0x42ed77(0xad3),'value':'\x27o\x27'},{'option':_0x42ed77(0x16a1),'value':_0x42ed77(0x27de)},{'option':_0x42ed77(0x1f1a),'value':_0x42ed77(0x18a9)},{'option':_0x42ed77(0x1ad5),'value':_0x42ed77(0x23ea)},{'option':_0x42ed77(0x25ba),'value':_0x42ed77(0x1327)},{'option':_0x42ed77(0xcd3),'value':_0x42ed77(0xd73)}],function(_0x161289){const _0x37682a=_0x42ed77;return _0x3f65c0()[_0x37682a(0x5f4)](_0x161289[_0x37682a(0x175d)],new RegExp('\x27','g'),'');}),_0x396df7['arrayrecordingFormat']=_0x3f65c0()[_0x42ed77(0x194)]([{'option':_0x42ed77(0x52d),'value':_0x42ed77(0x13fd)},{'option':_0x42ed77(0xdf0),'value':_0x42ed77(0x5a3)},{'option':_0x42ed77(0x306),'value':_0x42ed77(0x834)}],function(_0x352d4b){const _0x3bd008=_0x42ed77;return _0x3f65c0()[_0x3bd008(0x5f4)](_0x352d4b['value'],new RegExp('\x27','g'),'');}),_0x396df7[_0x42ed77(0x2ed)]=_0x33fdbe,_0x396df7[_0x42ed77(0xf0a)]=_0x21458c,_0x396df7['success']=_0x19fccb,_0x396df7['getChanSpies']=_0x4a7885,_0x396df7[_0x42ed77(0x188b)]=_0x339084,_0x396df7['deletechanSpy']=_0x1a7485,_0x396df7[_0x42ed77(0x1343)]=_0x10e00e,_0x396df7[_0x42ed77(0x412)]=_0x168791,_0x396df7[_0x42ed77(0x1d60)]=_0x1081d5,_0x396df7['selectAllChanSpies']=_0x3a1711;function _0x33fdbe(_0x4bfe9a,_0x4468cb){const _0x3ad48a=_0x42ed77;_0x18c584[_0x3ad48a(0x2615)]({'controller':_0x3ad48a(0x1e98),'controllerAs':'vm','templateUrl':_0x51cedc,'parent':angular[_0x3ad48a(0x1853)](_0x10eea2['body']),'targetEvent':_0x4468cb,'clickOutsideToClose':!![],'locals':{'chanSpy':_0x4bfe9a,'chanSpies':_0x396df7[_0x3ad48a(0x24ca)]['rows'],'license':_0x396df7[_0x3ad48a(0x2690)],'setting':null,'crudPermissions':_0x396df7['crudPermissions']}});}function _0x21458c(_0x525d68,_0x42d91d){const _0x5b0ccb=_0x42ed77,_0x1640c2=_0x18c584['confirm']()[_0x5b0ccb(0x1189)](_0x5b0ccb(0xdb2)+_0x3f65c0()[_0x5b0ccb(0x20d1)](_0x5b0ccb(0x399))+'?')['htmlContent'](_0x5b0ccb(0x16d3)+(_0x525d68[_0x5b0ccb(0x19eb)]||'chanSpy')+'
'+_0x5b0ccb(0xe01))['ariaLabel'](_0x5b0ccb(0x2b2))[_0x5b0ccb(0x1f27)](_0x42d91d)['ok']('OK')[_0x5b0ccb(0x6c3)](_0x5b0ccb(0x39a));_0x18c584[_0x5b0ccb(0x2615)](_0x1640c2)[_0x5b0ccb(0x146b)](function(){_0x1a7485(_0x525d68);},function(){const _0x21eef4=_0x5b0ccb;console[_0x21eef4(0x1a74)](_0x21eef4(0x39a));});}let _0x1eff3c=!![],_0x3f7856=0x1;_0x4f5963[_0x42ed77(0x21e8)](_0x42ed77(0x2669),function(_0x2810dd,_0x4afd5e){const _0x5b946a=_0x42ed77;_0x1eff3c?_0x5a5962(function(){_0x1eff3c=![];}):(!_0x4afd5e&&(_0x3f7856=_0x396df7[_0x5b946a(0x1a56)]['page']),_0x2810dd!==_0x4afd5e&&(_0x396df7['query'][_0x5b946a(0x844)]=0x1),!_0x2810dd&&(_0x396df7['query'][_0x5b946a(0x844)]=_0x3f7856),_0x396df7[_0x5b946a(0x130c)]());});function _0x19fccb(_0x4f3419){const _0x5f2842=_0x42ed77;_0x396df7[_0x5f2842(0x24ca)]=_0x4f3419||{'count':0x0,'rows':[]};}function _0x4a7885(){const _0x497300=_0x42ed77;_0x396df7[_0x497300(0x1a56)][_0x497300(0x145d)]=(_0x396df7[_0x497300(0x1a56)]['page']-0x1)*_0x396df7[_0x497300(0x1a56)][_0x497300(0x221e)],_0x5371b4['hasRole'](_0x497300(0x174b))?_0x396df7[_0x497300(0xb9c)]=_0x3130b6[_0x497300(0x15e8)][_0x497300(0x16b4)](_0x396df7['query'],_0x19fccb)[_0x497300(0x2945)]:(_0x396df7[_0x497300(0x1a56)]['id']=_0x396df7[_0x497300(0x26b6)]['id'],_0x396df7['query'][_0x497300(0x2146)]='ChanSpies',_0x396df7[_0x497300(0xb9c)]=_0x3130b6['userProfile'][_0x497300(0x158f)](_0x396df7[_0x497300(0x1a56)],_0x19fccb)[_0x497300(0x2945)]);}function _0x339084(_0x2e9adb,_0x1d5178){const _0x2b4d62=_0x42ed77;_0x18c584[_0x2b4d62(0x2615)]({'controller':'CreateOrEditchanSpyDialogController','controllerAs':'vm','templateUrl':_0x51cedc,'parent':angular[_0x2b4d62(0x1853)](_0x10eea2[_0x2b4d62(0x2586)]),'targetEvent':_0x2e9adb,'clickOutsideToClose':!![],'locals':{'chanSpy':_0x1d5178,'chanSpies':_0x396df7[_0x2b4d62(0x24ca)][_0x2b4d62(0x19c7)],'license':_0x396df7[_0x2b4d62(0x2690)],'setting':_0x396df7[_0x2b4d62(0x15b9)],'crudPermissions':_0x396df7[_0x2b4d62(0x2514)]}});}function _0x1a7485(_0x5ca9e7){const _0x51c953=_0x42ed77;_0x3130b6[_0x51c953(0x15e8)][_0x51c953(0x1fac)]({'id':_0x5ca9e7['id']})[_0x51c953(0x2945)][_0x51c953(0x146b)](function(){const _0x31caff=_0x51c953;_0x3f65c0()[_0x31caff(0x2640)](_0x396df7[_0x31caff(0x24ca)][_0x31caff(0x19c7)],{'id':_0x5ca9e7['id']}),_0x396df7['chanSpies'][_0x31caff(0x51c)]-=0x1,!_0x396df7[_0x31caff(0x24ca)][_0x31caff(0x19c7)][_0x31caff(0x402)]&&_0x396df7[_0x31caff(0x130c)](),_0xffcfd[_0x31caff(0x1c75)]({'title':_0x3f65c0()[_0x31caff(0x20d1)](_0x31caff(0x399))+_0x31caff(0x201c),'msg':_0x5ca9e7[_0x31caff(0x19eb)]?_0x5ca9e7['name']+_0x31caff(0x23e3):''});})[_0x51c953(0x129e)](function(_0x3afb1e){const _0x57e492=_0x51c953;if(_0x3afb1e[_0x57e492(0x524)]&&_0x3afb1e[_0x57e492(0x524)][_0x57e492(0xcef)]&&_0x3afb1e[_0x57e492(0x524)][_0x57e492(0xcef)][_0x57e492(0x402)]){_0x396df7[_0x57e492(0xcef)]=_0x3afb1e[_0x57e492(0x524)][_0x57e492(0xcef)]||[{'message':_0x3afb1e[_0x57e492(0xd5f)](),'type':_0x57e492(0x3f0)}];for(let _0x53379a=0x0;_0x53379a<_0x3afb1e['data'][_0x57e492(0xcef)][_0x57e492(0x402)];_0x53379a++){_0xffcfd['error']({'title':_0x3afb1e[_0x57e492(0x524)][_0x57e492(0xcef)][_0x53379a][_0x57e492(0x1142)],'msg':_0x3afb1e[_0x57e492(0x524)][_0x57e492(0xcef)][_0x53379a][_0x57e492(0x7fd)]});}}else _0xffcfd[_0x57e492(0x1980)]({'title':_0x3afb1e['status']?_0x57e492(0x262a)+_0x3afb1e['status']+_0x57e492(0x1315)+_0x3afb1e[_0x57e492(0x167f)]:_0x57e492(0x3f0),'msg':_0x3afb1e[_0x57e492(0x524)]?JSON[_0x57e492(0x10bb)](_0x3afb1e[_0x57e492(0x524)][_0x57e492(0x7fd)]):_0x3afb1e['message']||_0x3afb1e[_0x57e492(0xd5f)]()});});}function _0x10e00e(){const _0x3d26f3=_0x42ed77,_0x27846b=angular[_0x3d26f3(0x235a)](_0x396df7[_0x3d26f3(0x19d9)]);return _0x396df7[_0x3d26f3(0x19d9)]=[],_0x27846b;}function _0x168791(_0x4acc39){const _0x11bd0e=_0x42ed77,_0x4d8bd5=_0x18c584[_0x11bd0e(0x1e8a)]()[_0x11bd0e(0x1189)](_0x11bd0e(0x1af1))[_0x11bd0e(0x1cbe)](_0x11bd0e(0x16d3)+_0x396df7['selectedChanSpies'][_0x11bd0e(0x402)]+_0x11bd0e(0x2452)+_0x11bd0e(0xe01))[_0x11bd0e(0x4bd)](_0x11bd0e(0x1037))[_0x11bd0e(0x1f27)](_0x4acc39)['ok']('OK')[_0x11bd0e(0x6c3)](_0x11bd0e(0x39a));_0x18c584[_0x11bd0e(0x2615)](_0x4d8bd5)[_0x11bd0e(0x146b)](function(){const _0x2cd72d=_0x11bd0e;_0x396df7['selectedChanSpies'][_0x2cd72d(0x1df5)](function(_0x50db88){_0x1a7485(_0x50db88);}),_0x396df7[_0x2cd72d(0x19d9)]=[];});}function _0x1081d5(){_0x396df7['selectedChanSpies']=[];}function _0x3a1711(){const _0x39f747=_0x42ed77;_0x396df7[_0x39f747(0x19d9)]=_0x396df7['chanSpies'][_0x39f747(0x19c7)];}}const _0x2a36af=_0xf93eab;;_0x2a53c[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x24ca),_0x313a4d(0x399),'api','Auth',_0x313a4d(0x2690),_0x313a4d(0x15b9),'crudPermissions'];function _0x2a53c(_0x395f12,_0x3aa851,_0x2752a5,_0xe1ba84,_0x2eb696,_0x395a12,_0xc8f200,_0x5efac4,_0x57a3d5,_0x5d2cbe,_0x47b64b,_0x4687e9,_0x377841,_0x49495a){const _0x2c1e07=_0x313a4d,_0x46a7c4=this;_0x46a7c4[_0x2c1e07(0x2321)]=_0x47b64b[_0x2c1e07(0xb12)](),_0x46a7c4['errors']=[],_0x46a7c4[_0x2c1e07(0x15b9)]=_0x377841,_0x46a7c4['license']=_0x4687e9,_0x46a7c4[_0x2c1e07(0x2514)]=_0x49495a,_0x46a7c4['hasModulePermissions']={},_0x46a7c4[_0x2c1e07(0x2251)]=_0x46a7c4[_0x2c1e07(0x15b9)]&&_0x46a7c4[_0x2c1e07(0x15b9)][_0x2c1e07(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x46a7c4[_0x2c1e07(0x1189)]=_0x2c1e07(0xc66),_0x46a7c4[_0x2c1e07(0x399)]=angular[_0x2c1e07(0x235a)](_0x57a3d5),_0x46a7c4[_0x2c1e07(0x24ca)]=_0x5efac4,_0x46a7c4[_0x2c1e07(0x783)]=![];!_0x46a7c4[_0x2c1e07(0x399)]&&(_0x46a7c4[_0x2c1e07(0x399)]={'recordingFormat':_0x2c1e07(0x52d)},_0x46a7c4['title']=_0x2c1e07(0x1681),_0x46a7c4[_0x2c1e07(0x783)]=!![]);_0x46a7c4[_0x2c1e07(0xe29)]=_0x594d6f,_0x46a7c4[_0x2c1e07(0x20e9)]=_0x5bd530,_0x46a7c4[_0x2c1e07(0x1ed7)]=_0x4d6f8b,_0x46a7c4[_0x2c1e07(0xe73)]=_0x35195f,_0x46a7c4[_0x2c1e07(0x13f3)]=_0x404214;function _0x594d6f(){const _0x449692=_0x2c1e07;_0x46a7c4['errors']=[],_0x5d2cbe['voiceChanSpy'][_0x449692(0x1e3)](_0x46a7c4['chanSpy'])[_0x449692(0x2945)][_0x449692(0x146b)](function(_0xda69b6){const _0x5912ce=_0x449692;_0x46a7c4[_0x5912ce(0x24ca)][_0x5912ce(0xb3d)](_0xda69b6[_0x5912ce(0x2488)]()),_0xc8f200[_0x5912ce(0x1c75)]({'title':_0x5912ce(0x2509),'msg':_0x46a7c4[_0x5912ce(0x399)]['name']?_0x46a7c4[_0x5912ce(0x399)][_0x5912ce(0x19eb)]+'\x20has\x20been\x20created!':''}),_0x404214(_0xda69b6);})[_0x449692(0x129e)](function(_0x4572e2){const _0x5f521e=_0x449692;if(_0x4572e2[_0x5f521e(0x524)]&&_0x4572e2[_0x5f521e(0x524)][_0x5f521e(0xcef)]&&_0x4572e2[_0x5f521e(0x524)][_0x5f521e(0xcef)][_0x5f521e(0x402)]){_0x46a7c4[_0x5f521e(0xcef)]=_0x4572e2['data']['errors']||[{'message':_0x4572e2[_0x5f521e(0xd5f)](),'type':'api.voiceChanSpy.save'}];for(let _0x2fc5ac=0x0;_0x2fc5ac<_0x4572e2[_0x5f521e(0x524)]['errors'][_0x5f521e(0x402)];_0x2fc5ac+=0x1){_0xc8f200['error']({'title':_0x4572e2[_0x5f521e(0x524)][_0x5f521e(0xcef)][_0x2fc5ac][_0x5f521e(0x1142)],'msg':_0x4572e2[_0x5f521e(0x524)]['errors'][_0x2fc5ac][_0x5f521e(0x7fd)]});}}else _0xc8f200[_0x5f521e(0x1980)]({'title':_0x4572e2[_0x5f521e(0x107b)]?_0x5f521e(0x262a)+_0x4572e2[_0x5f521e(0x107b)]+'\x20-\x20'+_0x4572e2['statusText']:_0x5f521e(0x248b),'msg':_0x4572e2[_0x5f521e(0x524)]?JSON[_0x5f521e(0x10bb)](_0x4572e2['data'][_0x5f521e(0x7fd)]):_0x4572e2['toString']()});});}function _0x5bd530(){const _0x514ce0=_0x2c1e07;_0x46a7c4['errors']=[],_0x5d2cbe['voiceChanSpy'][_0x514ce0(0x18e1)]({'id':_0x46a7c4[_0x514ce0(0x399)]['id']},_0x46a7c4[_0x514ce0(0x399)])[_0x514ce0(0x2945)][_0x514ce0(0x146b)](function(_0x2e3fda){const _0x54139c=_0x514ce0,_0x1a845e=_0x3f65c0()[_0x54139c(0xc84)](_0x46a7c4[_0x54139c(0x24ca)],{'id':_0x2e3fda['id']});_0x1a845e&&_0x3f65c0()[_0x54139c(0x168d)](_0x1a845e,_0x3f65c0()['pick'](_0x2e3fda[_0x54139c(0x2488)](),_0x3f65c0()[_0x54139c(0x627)](_0x1a845e))),_0xc8f200[_0x54139c(0x1c75)]({'title':_0x54139c(0x26f0),'msg':_0x46a7c4[_0x54139c(0x399)][_0x54139c(0x19eb)]?_0x46a7c4['chanSpy'][_0x54139c(0x19eb)]+'\x20has\x20been\x20saved!':''}),_0x404214(_0x2e3fda);})[_0x514ce0(0x129e)](function(_0x4749a7){const _0x3e01f1=_0x514ce0;if(_0x4749a7[_0x3e01f1(0x524)]&&_0x4749a7[_0x3e01f1(0x524)][_0x3e01f1(0xcef)]&&_0x4749a7[_0x3e01f1(0x524)]['errors']['length']){_0x46a7c4['errors']=_0x4749a7[_0x3e01f1(0x524)][_0x3e01f1(0xcef)]||[{'message':_0x4749a7[_0x3e01f1(0xd5f)](),'type':_0x3e01f1(0x52a)}];for(let _0x4d9487=0x0;_0x4d9487<_0x4749a7['data']['errors']['length'];_0x4d9487++){_0xc8f200[_0x3e01f1(0x1980)]({'title':_0x4749a7[_0x3e01f1(0x524)]['errors'][_0x4d9487][_0x3e01f1(0x1142)],'msg':_0x4749a7[_0x3e01f1(0x524)][_0x3e01f1(0xcef)][_0x4d9487][_0x3e01f1(0x7fd)]});}}else _0xc8f200[_0x3e01f1(0x1980)]({'title':_0x4749a7[_0x3e01f1(0x107b)]?'API:'+_0x4749a7[_0x3e01f1(0x107b)]+_0x3e01f1(0x1315)+_0x4749a7[_0x3e01f1(0x167f)]:'api.voiceChanSpy.update','msg':_0x4749a7[_0x3e01f1(0x524)]?JSON[_0x3e01f1(0x10bb)](_0x4749a7[_0x3e01f1(0x524)][_0x3e01f1(0x7fd)]):_0x4749a7[_0x3e01f1(0xd5f)]()});});}function _0x4d6f8b(_0x5b7db9){const _0x4a05e6=_0x2c1e07;_0x46a7c4[_0x4a05e6(0xcef)]=[];const _0x3c557e=_0xe1ba84[_0x4a05e6(0x1e8a)]()[_0x4a05e6(0x1189)]('Are\x20you\x20sure?')[_0x4a05e6(0x80f)](_0x4a05e6(0x1194))['ariaLabel'](_0x4a05e6(0xd88))['ok'](_0x4a05e6(0x25de))[_0x4a05e6(0x6c3)](_0x4a05e6(0xcf0))[_0x4a05e6(0x1f27)](_0x5b7db9);_0xe1ba84['show'](_0x3c557e)[_0x4a05e6(0x146b)](function(){const _0x3907df=_0x4a05e6;_0x5d2cbe[_0x3907df(0x15e8)][_0x3907df(0x1fac)]({'id':_0x46a7c4[_0x3907df(0x399)]['id']})[_0x3907df(0x2945)][_0x3907df(0x146b)](function(){const _0x3177a5=_0x3907df;_0x3f65c0()['remove'](_0x46a7c4['chanSpies'],{'id':_0x46a7c4[_0x3177a5(0x399)]['id']}),_0xc8f200[_0x3177a5(0x1c75)]({'title':_0x3177a5(0x2481),'msg':(_0x46a7c4['chanSpy'][_0x3177a5(0x19eb)]||'chanSpy')+'\x20has\x20been\x20deleted!'}),_0x404214(_0x46a7c4['chanSpy']);})[_0x3907df(0x129e)](function(_0x509c61){const _0x1c7eca=_0x3907df;if(_0x509c61[_0x1c7eca(0x524)]&&_0x509c61['data'][_0x1c7eca(0xcef)]&&_0x509c61[_0x1c7eca(0x524)][_0x1c7eca(0xcef)][_0x1c7eca(0x402)]){_0x46a7c4[_0x1c7eca(0xcef)]=_0x509c61[_0x1c7eca(0x524)][_0x1c7eca(0xcef)]||[{'message':_0x509c61[_0x1c7eca(0xd5f)](),'type':_0x1c7eca(0x2228)}];for(let _0x384e71=0x0;_0x384e71<_0x509c61['data'][_0x1c7eca(0xcef)][_0x1c7eca(0x402)];_0x384e71++){_0xc8f200[_0x1c7eca(0x1980)]({'title':_0x509c61['data']['errors'][_0x384e71][_0x1c7eca(0x1142)],'msg':_0x509c61[_0x1c7eca(0x524)][_0x1c7eca(0xcef)][_0x384e71][_0x1c7eca(0x7fd)]});}}else _0xc8f200[_0x1c7eca(0x1980)]({'title':_0x509c61[_0x1c7eca(0x107b)]?_0x1c7eca(0x262a)+_0x509c61['status']+_0x1c7eca(0x1315)+_0x509c61[_0x1c7eca(0x167f)]:_0x1c7eca(0x2228),'msg':_0x509c61[_0x1c7eca(0x524)]?JSON[_0x1c7eca(0x10bb)](_0x509c61[_0x1c7eca(0x524)][_0x1c7eca(0x7fd)]):_0x509c61[_0x1c7eca(0x7fd)]||_0x509c61[_0x1c7eca(0xd5f)]()});});},function(){});}function _0x35195f(_0x3a378b){return _0x3a378b===null?undefined:new Date(_0x3a378b);}function _0x404214(_0x30e65d){const _0x1d7819=_0x2c1e07;_0xe1ba84[_0x1d7819(0x2458)](_0x30e65d);}}const _0x50496d=_0x2a53c;;const _0x5e8d84=_0x4acfac['p']+'src/js/modules/main/apps/voice/views/contexts/create/dialog.html/dialog.html';;_0x238177[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$window',_0x313a4d(0x1862),'$mdSidenav',_0x313a4d(0x10e8),_0x313a4d(0x4d8),'$timeout',_0x313a4d(0x214b),_0x313a4d(0xfe9),_0x313a4d(0x26b6),_0x313a4d(0x1366),'api',_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x238177(_0x477f40,_0x23310e,_0x5da8d1,_0x511195,_0x33d5ba,_0x57ba78,_0x4bd580,_0x305f61,_0xa18293,_0x53488a,_0x37d19b,_0x4c1897,_0x4fad83,_0x437f22,_0x4206b6,_0x5bebf8,_0x19a18c){const _0x144235=_0x313a4d,_0x221831=this;_0x221831[_0x144235(0x2690)]=_0x5bebf8,_0x221831['setting']=_0x19a18c,_0x221831[_0x144235(0x2321)]=_0x4206b6[_0x144235(0xb12)](),_0x221831[_0x144235(0xfe9)]=_0xa18293||{'count':0x0,'rows':[]},_0x221831[_0x144235(0x26b6)]=_0x53488a,_0x221831[_0x144235(0x1366)]=_0x37d19b&&_0x37d19b[_0x144235(0x51c)]==0x1?_0x37d19b[_0x144235(0x19c7)][0x0]:null,_0x221831['crudPermissions']=_0x4206b6[_0x144235(0xe60)](_0x221831[_0x144235(0x1366)]?_0x221831[_0x144235(0x1366)]['crudPermissions']:null),_0x221831[_0x144235(0x768)]=_0x144235(0xfe9),_0x221831[_0x144235(0x216a)]='',_0x221831['listOrderAsc']=null,_0x221831[_0x144235(0x2068)]=[],_0x221831[_0x144235(0x1a56)]={'fields':_0x144235(0x608),'sort':'-updatedAt','defaultEntry':_0x144235(0x1ed8),'limit':0xa,'page':0x1},_0x221831[_0x144235(0x2ed)]=_0x3e502d,_0x221831[_0x144235(0xf0a)]=_0x22f582,_0x221831[_0x144235(0x1c75)]=_0x123534,_0x221831[_0x144235(0x1088)]=_0x3fa2dc,_0x221831['createOrEditContext']=_0x3012f3,_0x221831[_0x144235(0x2121)]=_0x3d6b27,_0x221831[_0x144235(0x27f7)]=_0x3ed922,_0x221831[_0x144235(0x92f)]=_0x3bf1ef,_0x221831['deselectContexts']=_0x56d8d2,_0x221831[_0x144235(0x1358)]=_0x71ac4f;function _0x3e502d(_0xd422e6,_0x138e27){const _0x4101cd=_0x144235;_0x33d5ba['show']({'controller':_0x4101cd(0x1468),'controllerAs':'vm','templateUrl':_0x5e8d84,'parent':angular[_0x4101cd(0x1853)](_0x57ba78[_0x4101cd(0x2586)]),'targetEvent':_0x138e27,'clickOutsideToClose':!![],'locals':{'context':_0xd422e6,'contexts':_0x221831[_0x4101cd(0xfe9)][_0x4101cd(0x19c7)],'license':_0x221831[_0x4101cd(0x2690)],'setting':null,'crudPermissions':_0x221831[_0x4101cd(0x2514)]}});}function _0x22f582(_0x9b0532,_0x489d24){const _0x2c782c=_0x144235,_0x1f0bad=_0x33d5ba[_0x2c782c(0x1e8a)]()[_0x2c782c(0x1189)](_0x2c782c(0xdb2)+_0x3f65c0()['startCase'](_0x2c782c(0x1ac1))+'?')[_0x2c782c(0x1cbe)](_0x2c782c(0x16d3)+(_0x9b0532[_0x2c782c(0x19eb)]||_0x2c782c(0x1ac1))+''+_0x2c782c(0xe01))['ariaLabel'](_0x2c782c(0x5ce))[_0x2c782c(0x1f27)](_0x489d24)['ok']('OK')[_0x2c782c(0x6c3)](_0x2c782c(0x39a));_0x33d5ba[_0x2c782c(0x2615)](_0x1f0bad)[_0x2c782c(0x146b)](function(){_0x3d6b27(_0x9b0532);},function(){const _0x1bec5f=_0x2c782c;console[_0x1bec5f(0x1a74)](_0x1bec5f(0x39a));});}let _0x4ae985=!![],_0x3a15ec=0x1;_0x477f40['$watch']('vm.query.filter',function(_0x2190fc,_0x479ca0){const _0x122a5c=_0x144235;_0x4ae985?_0x4bd580(function(){_0x4ae985=![];}):(!_0x479ca0&&(_0x3a15ec=_0x221831[_0x122a5c(0x1a56)][_0x122a5c(0x844)]),_0x2190fc!==_0x479ca0&&(_0x221831[_0x122a5c(0x1a56)][_0x122a5c(0x844)]=0x1),!_0x2190fc&&(_0x221831[_0x122a5c(0x1a56)][_0x122a5c(0x844)]=_0x3a15ec),_0x221831['getContexts']());});function _0x123534(_0x43a1df){_0x221831['contexts']=_0x43a1df||{'count':0x0,'rows':[]};}function _0x3fa2dc(){const _0x4706aa=_0x144235;_0x221831[_0x4706aa(0x1a56)]['offset']=(_0x221831['query'][_0x4706aa(0x844)]-0x1)*_0x221831['query'][_0x4706aa(0x221e)],_0x4206b6['hasRole'](_0x4706aa(0x174b))?_0x221831['promise']=_0x4c1897[_0x4706aa(0x1b82)][_0x4706aa(0x16b4)](_0x221831[_0x4706aa(0x1a56)],_0x123534)['$promise']:(_0x221831[_0x4706aa(0x1a56)]['id']=_0x221831[_0x4706aa(0x26b6)]['id'],_0x221831[_0x4706aa(0x1a56)][_0x4706aa(0x2146)]=_0x4706aa(0x275),_0x221831['promise']=_0x4c1897[_0x4706aa(0x26b6)][_0x4706aa(0x158f)](_0x221831['query'],_0x123534)['$promise']);}function _0x3012f3(_0x3b825d,_0x3829c6){const _0x45e296=_0x144235;_0x33d5ba['show']({'controller':_0x45e296(0x1468),'controllerAs':'vm','templateUrl':_0x5e8d84,'parent':angular[_0x45e296(0x1853)](_0x57ba78['body']),'targetEvent':_0x3b825d,'clickOutsideToClose':!![],'locals':{'context':_0x3829c6,'contexts':_0x221831['contexts'][_0x45e296(0x19c7)],'license':_0x221831[_0x45e296(0x2690)],'setting':_0x221831['setting'],'crudPermissions':_0x221831[_0x45e296(0x2514)]}});}function _0x3d6b27(_0x4ce5ba){const _0xfd18f2=_0x144235;_0x4c1897['voiceContext'][_0xfd18f2(0x1fac)]({'id':_0x4ce5ba['id']})[_0xfd18f2(0x2945)][_0xfd18f2(0x146b)](function(){const _0x3dca54=_0xfd18f2;_0x3f65c0()[_0x3dca54(0x2640)](_0x221831[_0x3dca54(0xfe9)][_0x3dca54(0x19c7)],{'id':_0x4ce5ba['id']}),_0x221831['contexts'][_0x3dca54(0x51c)]-=0x1,!_0x221831[_0x3dca54(0xfe9)][_0x3dca54(0x19c7)][_0x3dca54(0x402)]&&_0x221831[_0x3dca54(0x1088)](),_0x437f22[_0x3dca54(0x1c75)]({'title':_0x3f65c0()[_0x3dca54(0x20d1)](_0x3dca54(0xc8c))+_0x3dca54(0x201c),'msg':_0x4ce5ba[_0x3dca54(0x19eb)]?_0x4ce5ba[_0x3dca54(0x19eb)]+_0x3dca54(0x23e3):''});})['catch'](function(_0x8e5004){const _0x4c7279=_0xfd18f2;if(_0x8e5004[_0x4c7279(0x524)]&&_0x8e5004[_0x4c7279(0x524)]['errors']&&_0x8e5004[_0x4c7279(0x524)]['errors'][_0x4c7279(0x402)]){_0x221831['errors']=_0x8e5004['data'][_0x4c7279(0xcef)]||[{'message':_0x8e5004[_0x4c7279(0xd5f)](),'type':_0x4c7279(0x1c4c)}];for(let _0x15aa81=0x0;_0x15aa81<_0x8e5004[_0x4c7279(0x524)][_0x4c7279(0xcef)]['length'];_0x15aa81++){_0x437f22['error']({'title':_0x8e5004[_0x4c7279(0x524)][_0x4c7279(0xcef)][_0x15aa81][_0x4c7279(0x1142)],'msg':_0x8e5004['data'][_0x4c7279(0xcef)][_0x15aa81][_0x4c7279(0x7fd)]});}}else _0x437f22[_0x4c7279(0x1980)]({'title':_0x8e5004[_0x4c7279(0x107b)]?_0x4c7279(0x262a)+_0x8e5004[_0x4c7279(0x107b)]+_0x4c7279(0x1315)+_0x8e5004['statusText']:'SYSTEM:DELETEvoiceContext','msg':_0x8e5004[_0x4c7279(0x524)]?JSON[_0x4c7279(0x10bb)](_0x8e5004['data'][_0x4c7279(0x7fd)]):_0x8e5004[_0x4c7279(0x7fd)]||_0x8e5004[_0x4c7279(0xd5f)]()});});}function _0x3ed922(){const _0x36fd40=_0x144235,_0x358e9a=angular['copy'](_0x221831[_0x36fd40(0x2068)]);return _0x221831['selectedContexts']=[],_0x358e9a;}function _0x3bf1ef(_0x243aab){const _0x1550d3=_0x144235,_0x5b812c=_0x33d5ba['confirm']()[_0x1550d3(0x1189)](_0x1550d3(0xd1a))[_0x1550d3(0x1cbe)](_0x1550d3(0x16d3)+_0x221831[_0x1550d3(0x2068)][_0x1550d3(0x402)]+_0x1550d3(0x2452)+'\x20will\x20be\x20deleted.')[_0x1550d3(0x4bd)]('delete\x20Contexts')[_0x1550d3(0x1f27)](_0x243aab)['ok']('OK')[_0x1550d3(0x6c3)]('CANCEL');_0x33d5ba[_0x1550d3(0x2615)](_0x5b812c)[_0x1550d3(0x146b)](function(){const _0x5025ad=_0x1550d3;_0x221831[_0x5025ad(0x2068)][_0x5025ad(0x1df5)](function(_0xe9db87){_0x3d6b27(_0xe9db87);}),_0x221831[_0x5025ad(0x2068)]=[];});}function _0x56d8d2(){_0x221831['selectedContexts']=[];}function _0x71ac4f(){const _0x1ac2ce=_0x144235;_0x221831['selectedContexts']=_0x221831[_0x1ac2ce(0xfe9)][_0x1ac2ce(0x19c7)];}}const _0x31a471=_0x238177;;_0x347a4b[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$state',_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q','$translate',_0x313a4d(0x1fe4),_0x313a4d(0xfe9),_0x313a4d(0x1ac1),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x347a4b(_0x4827a5,_0x5a6a1b,_0x5785e2,_0x5eea38,_0x1625c0,_0x926c26,_0x4acae6,_0x5ee5eb,_0x17455c,_0x2cc417,_0x554aaf,_0x2180c6,_0x4c9278,_0x1cb3af){const _0x5ad7ee=_0x313a4d,_0x3e6f31=this;_0x3e6f31['currentUser']=_0x554aaf[_0x5ad7ee(0xb12)](),_0x3e6f31['errors']=[],_0x3e6f31[_0x5ad7ee(0x15b9)]=_0x4c9278,_0x3e6f31['license']=_0x2180c6,_0x3e6f31[_0x5ad7ee(0x2514)]=_0x1cb3af,_0x3e6f31['hasModulePermissions']={},_0x3e6f31[_0x5ad7ee(0x2251)]=_0x3e6f31[_0x5ad7ee(0x15b9)]&&_0x3e6f31[_0x5ad7ee(0x15b9)][_0x5ad7ee(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x3e6f31[_0x5ad7ee(0x1189)]='VOICE.EDIT_CONTEXT',_0x3e6f31[_0x5ad7ee(0x1ac1)]=angular[_0x5ad7ee(0x235a)](_0x17455c),_0x3e6f31[_0x5ad7ee(0xfe9)]=_0x5ee5eb,_0x3e6f31[_0x5ad7ee(0x143c)]=![];!_0x3e6f31[_0x5ad7ee(0x1ac1)]&&(_0x3e6f31[_0x5ad7ee(0x1ac1)]={},_0x3e6f31[_0x5ad7ee(0x1189)]=_0x5ad7ee(0x857),_0x3e6f31['newContext']=!![]);_0x3e6f31[_0x5ad7ee(0x28b)]=_0x5e51d0,_0x3e6f31['saveContext']=_0x45194e,_0x3e6f31[_0x5ad7ee(0x2121)]=_0x5d554a,_0x3e6f31[_0x5ad7ee(0xe73)]=_0x2ce7e2,_0x3e6f31[_0x5ad7ee(0x13f3)]=_0x40ac7f;function _0x5e51d0(){const _0x27ca6c=_0x5ad7ee;_0x3e6f31[_0x27ca6c(0xcef)]=[],_0x2cc417[_0x27ca6c(0x1b82)][_0x27ca6c(0x1e3)](_0x3e6f31[_0x27ca6c(0x1ac1)])[_0x27ca6c(0x2945)][_0x27ca6c(0x146b)](function(_0x8fbaad){const _0x2ac019=_0x27ca6c;_0x3e6f31[_0x2ac019(0xfe9)]['unshift'](_0x8fbaad[_0x2ac019(0x2488)]()),_0x4acae6[_0x2ac019(0x1c75)]({'title':_0x2ac019(0x1dfd),'msg':_0x3e6f31['context']['name']?_0x3e6f31['context'][_0x2ac019(0x19eb)]+_0x2ac019(0x1386):''}),_0x40ac7f(_0x8fbaad);})['catch'](function(_0x2d5585){const _0x464a00=_0x27ca6c;if(_0x2d5585[_0x464a00(0x524)]&&_0x2d5585[_0x464a00(0x524)][_0x464a00(0xcef)]&&_0x2d5585[_0x464a00(0x524)]['errors'][_0x464a00(0x402)]){_0x3e6f31[_0x464a00(0xcef)]=_0x2d5585[_0x464a00(0x524)][_0x464a00(0xcef)]||[{'message':_0x2d5585[_0x464a00(0xd5f)](),'type':_0x464a00(0xcdb)}];for(let _0x5cf7cb=0x0;_0x5cf7cb<_0x2d5585[_0x464a00(0x524)][_0x464a00(0xcef)][_0x464a00(0x402)];_0x5cf7cb+=0x1){_0x4acae6[_0x464a00(0x1980)]({'title':_0x2d5585[_0x464a00(0x524)]['errors'][_0x5cf7cb][_0x464a00(0x1142)],'msg':_0x2d5585[_0x464a00(0x524)][_0x464a00(0xcef)][_0x5cf7cb][_0x464a00(0x7fd)]});}}else _0x4acae6['error']({'title':_0x2d5585[_0x464a00(0x107b)]?_0x464a00(0x262a)+_0x2d5585[_0x464a00(0x107b)]+_0x464a00(0x1315)+_0x2d5585['statusText']:_0x464a00(0xcdb),'msg':_0x2d5585[_0x464a00(0x524)]?JSON['stringify'](_0x2d5585[_0x464a00(0x524)][_0x464a00(0x7fd)]):_0x2d5585['toString']()});});}function _0x45194e(){const _0xafb783=_0x5ad7ee;_0x3e6f31[_0xafb783(0xcef)]=[],_0x2cc417[_0xafb783(0x1b82)][_0xafb783(0x18e1)]({'id':_0x3e6f31[_0xafb783(0x1ac1)]['id']},_0x3e6f31[_0xafb783(0x1ac1)])['$promise'][_0xafb783(0x146b)](function(_0x4963e6){const _0x37c374=_0xafb783,_0x53bcb1=_0x3f65c0()[_0x37c374(0xc84)](_0x3e6f31[_0x37c374(0xfe9)],{'id':_0x4963e6['id']});_0x53bcb1&&_0x3f65c0()[_0x37c374(0x168d)](_0x53bcb1,_0x3f65c0()['pick'](_0x4963e6[_0x37c374(0x2488)](),_0x3f65c0()['keys'](_0x53bcb1))),_0x4acae6['success']({'title':_0x37c374(0x288),'msg':_0x3e6f31[_0x37c374(0x1ac1)]['name']?_0x3e6f31[_0x37c374(0x1ac1)][_0x37c374(0x19eb)]+_0x37c374(0x24db):''}),_0x40ac7f(_0x4963e6);})[_0xafb783(0x129e)](function(_0x414f03){const _0x4e8ce2=_0xafb783;if(_0x414f03[_0x4e8ce2(0x524)]&&_0x414f03[_0x4e8ce2(0x524)][_0x4e8ce2(0xcef)]&&_0x414f03[_0x4e8ce2(0x524)][_0x4e8ce2(0xcef)][_0x4e8ce2(0x402)]){_0x3e6f31[_0x4e8ce2(0xcef)]=_0x414f03[_0x4e8ce2(0x524)]['errors']||[{'message':_0x414f03[_0x4e8ce2(0xd5f)](),'type':_0x4e8ce2(0xecb)}];for(let _0x25318c=0x0;_0x25318c<_0x414f03[_0x4e8ce2(0x524)][_0x4e8ce2(0xcef)][_0x4e8ce2(0x402)];_0x25318c++){_0x4acae6[_0x4e8ce2(0x1980)]({'title':_0x414f03[_0x4e8ce2(0x524)][_0x4e8ce2(0xcef)][_0x25318c][_0x4e8ce2(0x1142)],'msg':_0x414f03['data']['errors'][_0x25318c]['message']});}}else _0x4acae6['error']({'title':_0x414f03[_0x4e8ce2(0x107b)]?_0x4e8ce2(0x262a)+_0x414f03[_0x4e8ce2(0x107b)]+_0x4e8ce2(0x1315)+_0x414f03[_0x4e8ce2(0x167f)]:_0x4e8ce2(0xecb),'msg':_0x414f03['data']?JSON[_0x4e8ce2(0x10bb)](_0x414f03['data'][_0x4e8ce2(0x7fd)]):_0x414f03[_0x4e8ce2(0xd5f)]()});});}function _0x5d554a(_0x1e3d5b){const _0x1c15f5=_0x5ad7ee;_0x3e6f31[_0x1c15f5(0xcef)]=[];const _0x2800a5=_0x5eea38[_0x1c15f5(0x1e8a)]()['title'](_0x1c15f5(0x1d64))['content'](_0x1c15f5(0x1e6d))[_0x1c15f5(0x4bd)]('Delete\x20Context')['ok'](_0x1c15f5(0x25de))['cancel'](_0x1c15f5(0xcf0))['targetEvent'](_0x1e3d5b);_0x5eea38['show'](_0x2800a5)[_0x1c15f5(0x146b)](function(){const _0x2f0996=_0x1c15f5;_0x2cc417[_0x2f0996(0x1b82)][_0x2f0996(0x1fac)]({'id':_0x3e6f31[_0x2f0996(0x1ac1)]['id']})['$promise'][_0x2f0996(0x146b)](function(){const _0x2a33ba=_0x2f0996;_0x3f65c0()['remove'](_0x3e6f31['contexts'],{'id':_0x3e6f31[_0x2a33ba(0x1ac1)]['id']}),_0x4acae6[_0x2a33ba(0x1c75)]({'title':_0x2a33ba(0x9a6),'msg':(_0x3e6f31[_0x2a33ba(0x1ac1)]['name']||_0x2a33ba(0x1ac1))+_0x2a33ba(0x23e3)}),_0x40ac7f(_0x3e6f31[_0x2a33ba(0x1ac1)]);})['catch'](function(_0x4ad112){const _0x1bce39=_0x2f0996;if(_0x4ad112['data']&&_0x4ad112[_0x1bce39(0x524)]['errors']&&_0x4ad112[_0x1bce39(0x524)][_0x1bce39(0xcef)][_0x1bce39(0x402)]){_0x3e6f31[_0x1bce39(0xcef)]=_0x4ad112[_0x1bce39(0x524)][_0x1bce39(0xcef)]||[{'message':_0x4ad112[_0x1bce39(0xd5f)](),'type':_0x1bce39(0x1678)}];for(let _0x57221b=0x0;_0x57221b<_0x4ad112[_0x1bce39(0x524)]['errors'][_0x1bce39(0x402)];_0x57221b++){_0x4acae6[_0x1bce39(0x1980)]({'title':_0x4ad112['data'][_0x1bce39(0xcef)][_0x57221b][_0x1bce39(0x1142)],'msg':_0x4ad112[_0x1bce39(0x524)]['errors'][_0x57221b][_0x1bce39(0x7fd)]});}}else _0x4acae6[_0x1bce39(0x1980)]({'title':_0x4ad112[_0x1bce39(0x107b)]?'API:'+_0x4ad112['status']+_0x1bce39(0x1315)+_0x4ad112['statusText']:_0x1bce39(0x1678),'msg':_0x4ad112[_0x1bce39(0x524)]?JSON[_0x1bce39(0x10bb)](_0x4ad112[_0x1bce39(0x524)][_0x1bce39(0x7fd)]):_0x4ad112['message']||_0x4ad112['toString']()});});},function(){});}function _0x2ce7e2(_0x44b2d8){return _0x44b2d8===null?undefined:new Date(_0x44b2d8);}function _0x40ac7f(_0x92f689){const _0x20e374=_0x5ad7ee;_0x5eea38[_0x20e374(0x2458)](_0x92f689);}}const _0xbe9e56=_0x347a4b;;_0x27e88e[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),'inboundroutes',_0x313a4d(0x1d70),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),'setting',_0x313a4d(0x2514)];function _0x27e88e(_0x4a3172,_0x515899,_0x2f4e19,_0x2e863f,_0x576a68,_0x3f6ecc,_0x344fc4,_0x4116f3,_0x225b15,_0x6b8eaf,_0x40d79d,_0x29ad7f,_0x269f1e,_0x21a2e0){const _0x412d54=_0x313a4d,_0x4c8918=this;_0x4c8918['currentUser']=_0x40d79d[_0x412d54(0xb12)](),_0x4c8918[_0x412d54(0xcef)]=[],_0x4c8918['setting']=_0x269f1e,_0x4c8918[_0x412d54(0x2690)]=_0x29ad7f,_0x4c8918[_0x412d54(0x2514)]=_0x21a2e0,_0x4c8918[_0x412d54(0x855)]={},_0x4c8918[_0x412d54(0x2251)]=_0x4c8918[_0x412d54(0x15b9)]&&_0x4c8918[_0x412d54(0x15b9)][_0x412d54(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x4c8918[_0x412d54(0x1189)]=_0x412d54(0x1f2a),_0x4c8918['inboundroute']=angular['copy'](_0x225b15),_0x4c8918['inboundroutes']=_0x4116f3,_0x4c8918[_0x412d54(0x252d)]=![];!_0x4c8918[_0x412d54(0x1d70)]&&(_0x4c8918[_0x412d54(0x1d70)]={'appdata':'Inbound\x20Call','type':_0x412d54(0x7b0),'context':'from-voip-provider'},_0x4c8918[_0x412d54(0x1189)]='VOICE.NEW_INBOUNDROUTE',_0x4c8918[_0x412d54(0x252d)]=!![]);_0x4c8918[_0x412d54(0x20d5)]=_0x130bf1,_0x4c8918['saveInboundRoute']=_0xdf42aa,_0x4c8918[_0x412d54(0x45d)]=_0x1a1f6b,_0x4c8918[_0x412d54(0xe73)]=_0x1d9d27,_0x4c8918[_0x412d54(0x13f3)]=_0x2314dc,_0x40d79d[_0x412d54(0x23e0)](_0x412d54(0x174b))?_0x6b8eaf['voiceContext']['get']({'fields':'id,name','sort':_0x412d54(0x19eb),'nolimit':_0x412d54(0x1185)})['$promise'][_0x412d54(0x146b)](function(_0x481ef4){const _0x50ae7c=_0x412d54;_0x4c8918[_0x50ae7c(0xfe9)]=_0x481ef4[_0x50ae7c(0x19c7)]||[];})[_0x412d54(0x129e)](function(_0x5343fd){const _0x3d9ae1=_0x412d54;_0x344fc4[_0x3d9ae1(0x1980)]({'title':_0x5343fd[_0x3d9ae1(0x107b)]?'API:'+_0x5343fd[_0x3d9ae1(0x107b)]+'\x20-\x20'+_0x5343fd[_0x3d9ae1(0x167f)]:_0x3d9ae1(0x2742),'msg':_0x5343fd['data']?JSON['stringify'](_0x5343fd[_0x3d9ae1(0x524)]):_0x5343fd['toString']()});}):_0x6b8eaf['voiceContext'][_0x412d54(0x16b4)]({'fields':_0x412d54(0x7a7),'sort':_0x412d54(0x19eb),'nolimit':_0x412d54(0x1185)})[_0x412d54(0x2945)]['then'](function(_0x13c136){const _0x41d2af=_0x412d54;_0x4c8918[_0x41d2af(0xfe9)]=_0x13c136[_0x41d2af(0x19c7)]||[];})[_0x412d54(0x146b)](function(){const _0x3ca048=_0x412d54;return _0x6b8eaf['userProfileSection'][_0x3ca048(0x16b4)]({'userProfileId':_0x4c8918[_0x3ca048(0x2321)]['userProfileId'],'sectionId':0x195})[_0x3ca048(0x2945)];})['then'](function(_0x44b066){const _0x31fd47=_0x412d54,_0x468550=_0x44b066&&_0x44b066[_0x31fd47(0x19c7)]?_0x44b066[_0x31fd47(0x19c7)][0x0]:null;if(!_0x468550)return _0x6b8eaf['voiceContext'][_0x31fd47(0x16b4)]({'fields':_0x31fd47(0x7a7),'sort':_0x31fd47(0x19eb),'nolimit':_0x31fd47(0x1185),'defaultEntry':0x1})[_0x31fd47(0x2945)][_0x31fd47(0x146b)](function(_0x2e3cb8){const _0x4a0837=_0x31fd47;_0x4c8918['contexts']=_0x2e3cb8[_0x4a0837(0x19c7)]||[];});else{if(!_0x468550[_0x31fd47(0x11d2)])return _0x6b8eaf['userProfileResource'][_0x31fd47(0x16b4)]({'sectionId':_0x468550['id']})['$promise'][_0x31fd47(0x146b)](function(_0x16eaed){const _0x2c059e=_0x31fd47,_0x5784ee=_0x3f65c0()['map'](_0x16eaed['rows'],function(_0x1c40a3){const _0x4497c3=a0_0x3bb9;return _0x3f65c0()[_0x4497c3(0xc84)](_0x4c8918[_0x4497c3(0xfe9)],{'id':_0x1c40a3['resourceId']});});let _0x1eb4e6=null;_0x4c8918[_0x2c059e(0x1d70)]&&(_0x1eb4e6=_0x3f65c0()[_0x2c059e(0xc84)](_0x4c8918['contexts'],{'name':_0x4c8918[_0x2c059e(0x1d70)][_0x2c059e(0x1ac1)]}));if(_0x1eb4e6&&!_0x3f65c0()['some'](_0x5784ee,['id',_0x1eb4e6['id']])){const _0x3e619c=_0x3f65c0()['find'](_0x4c8918[_0x2c059e(0xfe9)],{'id':_0x1eb4e6['id']});_0x3e619c['canSelect']=![],_0x5784ee[_0x2c059e(0x1f47)](_0x3e619c);}_0x4c8918[_0x2c059e(0xfe9)]=_0x5784ee;});}})[_0x412d54(0x129e)](function(_0x101e9e){const _0x599e4f=_0x412d54;_0x344fc4['error']({'title':_0x101e9e[_0x599e4f(0x107b)]?'API:'+_0x101e9e[_0x599e4f(0x107b)]+'\x20-\x20'+_0x101e9e[_0x599e4f(0x167f)]:_0x599e4f(0x155e),'msg':_0x101e9e[_0x599e4f(0x524)]?JSON[_0x599e4f(0x10bb)](_0x101e9e[_0x599e4f(0x524)]):_0x101e9e['toString']()});});function _0x130bf1(){const _0x2c0a72=_0x412d54;_0x4c8918[_0x2c0a72(0xcef)]=[],_0x6b8eaf[_0x2c0a72(0xd47)][_0x2c0a72(0x1e3)](_0x4c8918[_0x2c0a72(0x1d70)])[_0x2c0a72(0x2945)][_0x2c0a72(0x146b)](function(_0x257ab9){const _0x5b708b=_0x2c0a72;_0x4c8918[_0x5b708b(0x1470)][_0x5b708b(0xb3d)](_0x257ab9['toJSON']()),_0x344fc4['success']({'title':_0x5b708b(0xdf3),'msg':_0x4c8918[_0x5b708b(0x1d70)]['name']?_0x4c8918['inboundroute'][_0x5b708b(0x19eb)]+_0x5b708b(0x1386):''}),_0x2314dc(_0x257ab9);})[_0x2c0a72(0x129e)](function(_0x5a4c75){const _0x1b5a58=_0x2c0a72;if(_0x5a4c75['data']&&_0x5a4c75[_0x1b5a58(0x524)]['errors']&&_0x5a4c75[_0x1b5a58(0x524)][_0x1b5a58(0xcef)]['length']){_0x4c8918[_0x1b5a58(0xcef)]=_0x5a4c75[_0x1b5a58(0x524)][_0x1b5a58(0xcef)]||[{'message':_0x5a4c75[_0x1b5a58(0xd5f)](),'type':_0x1b5a58(0x52e)}];for(let _0x66752f=0x0;_0x66752f<_0x5a4c75[_0x1b5a58(0x524)][_0x1b5a58(0xcef)]['length'];_0x66752f+=0x1){_0x344fc4['error']({'title':_0x5a4c75[_0x1b5a58(0x524)][_0x1b5a58(0xcef)][_0x66752f][_0x1b5a58(0x1142)],'msg':_0x5a4c75[_0x1b5a58(0x524)][_0x1b5a58(0xcef)][_0x66752f]['message']});}}else _0x344fc4[_0x1b5a58(0x1980)]({'title':_0x5a4c75['status']?_0x1b5a58(0x262a)+_0x5a4c75[_0x1b5a58(0x107b)]+'\x20-\x20'+_0x5a4c75[_0x1b5a58(0x167f)]:_0x1b5a58(0x52e),'msg':_0x5a4c75[_0x1b5a58(0x524)]?JSON[_0x1b5a58(0x10bb)](_0x5a4c75[_0x1b5a58(0x524)][_0x1b5a58(0x7fd)]):_0x5a4c75['toString']()});});}function _0xdf42aa(){const _0x36f6e2=_0x412d54;_0x4c8918[_0x36f6e2(0xcef)]=[],_0x6b8eaf[_0x36f6e2(0xd47)]['update']({'id':_0x4c8918[_0x36f6e2(0x1d70)]['id']},_0x4c8918[_0x36f6e2(0x1d70)])[_0x36f6e2(0x2945)][_0x36f6e2(0x146b)](function(_0x2e923b){const _0x473385=_0x36f6e2,_0x13d010=_0x3f65c0()[_0x473385(0xc84)](_0x4c8918['inboundroutes'],{'id':_0x2e923b['id']});_0x13d010&&_0x3f65c0()[_0x473385(0x168d)](_0x13d010,_0x3f65c0()[_0x473385(0x40e)](_0x2e923b[_0x473385(0x2488)](),_0x3f65c0()['keys'](_0x13d010))),_0x344fc4[_0x473385(0x1c75)]({'title':_0x473385(0x1dbe),'msg':_0x4c8918['inboundroute'][_0x473385(0x19eb)]?_0x4c8918[_0x473385(0x1d70)][_0x473385(0x19eb)]+'\x20has\x20been\x20saved!':''}),_0x2314dc(_0x2e923b);})[_0x36f6e2(0x129e)](function(_0x3e0d4c){const _0x774706=_0x36f6e2;if(_0x3e0d4c[_0x774706(0x524)]&&_0x3e0d4c['data'][_0x774706(0xcef)]&&_0x3e0d4c[_0x774706(0x524)]['errors'][_0x774706(0x402)]){_0x4c8918[_0x774706(0xcef)]=_0x3e0d4c[_0x774706(0x524)][_0x774706(0xcef)]||[{'message':_0x3e0d4c['toString'](),'type':_0x774706(0x872)}];for(let _0x2e3fe0=0x0;_0x2e3fe0<_0x3e0d4c['data'][_0x774706(0xcef)][_0x774706(0x402)];_0x2e3fe0++){_0x344fc4['error']({'title':_0x3e0d4c[_0x774706(0x524)]['errors'][_0x2e3fe0][_0x774706(0x1142)],'msg':_0x3e0d4c['data'][_0x774706(0xcef)][_0x2e3fe0]['message']});}}else _0x344fc4['error']({'title':_0x3e0d4c[_0x774706(0x107b)]?_0x774706(0x262a)+_0x3e0d4c[_0x774706(0x107b)]+_0x774706(0x1315)+_0x3e0d4c[_0x774706(0x167f)]:_0x774706(0x872),'msg':_0x3e0d4c[_0x774706(0x524)]?JSON[_0x774706(0x10bb)](_0x3e0d4c[_0x774706(0x524)][_0x774706(0x7fd)]):_0x3e0d4c[_0x774706(0xd5f)]()});});}function _0x1a1f6b(_0x36cdee){const _0x2e4641=_0x412d54;_0x4c8918[_0x2e4641(0xcef)]=[];const _0x4c481a=_0x2e863f[_0x2e4641(0x1e8a)]()[_0x2e4641(0x1189)](_0x2e4641(0x1d64))[_0x2e4641(0x80f)](_0x2e4641(0x293e))[_0x2e4641(0x4bd)](_0x2e4641(0x24fd))['ok'](_0x2e4641(0x25de))['cancel']('Cancel')[_0x2e4641(0x1f27)](_0x36cdee);_0x2e863f[_0x2e4641(0x2615)](_0x4c481a)[_0x2e4641(0x146b)](function(){const _0x177d31=_0x2e4641;_0x6b8eaf[_0x177d31(0xd47)][_0x177d31(0x1fac)]({'id':_0x4c8918[_0x177d31(0x1d70)]['id']})[_0x177d31(0x2945)][_0x177d31(0x146b)](function(){const _0x5273ef=_0x177d31;_0x3f65c0()[_0x5273ef(0x2640)](_0x4c8918['inboundroutes'],{'id':_0x4c8918[_0x5273ef(0x1d70)]['id']}),_0x344fc4[_0x5273ef(0x1c75)]({'title':_0x5273ef(0xd1f),'msg':(_0x4c8918[_0x5273ef(0x1d70)][_0x5273ef(0x19eb)]||'inboundroute')+_0x5273ef(0x23e3)}),_0x2314dc(_0x4c8918[_0x5273ef(0x1d70)]);})[_0x177d31(0x129e)](function(_0x8bfa11){const _0x1f2c28=_0x177d31;if(_0x8bfa11[_0x1f2c28(0x524)]&&_0x8bfa11[_0x1f2c28(0x524)][_0x1f2c28(0xcef)]&&_0x8bfa11[_0x1f2c28(0x524)][_0x1f2c28(0xcef)]['length']){_0x4c8918[_0x1f2c28(0xcef)]=_0x8bfa11[_0x1f2c28(0x524)][_0x1f2c28(0xcef)]||[{'message':_0x8bfa11[_0x1f2c28(0xd5f)](),'type':'api.voiceExtension.delete'}];for(let _0x5c1a35=0x0;_0x5c1a35<_0x8bfa11[_0x1f2c28(0x524)]['errors'][_0x1f2c28(0x402)];_0x5c1a35++){_0x344fc4[_0x1f2c28(0x1980)]({'title':_0x8bfa11[_0x1f2c28(0x524)][_0x1f2c28(0xcef)][_0x5c1a35][_0x1f2c28(0x1142)],'msg':_0x8bfa11[_0x1f2c28(0x524)][_0x1f2c28(0xcef)][_0x5c1a35][_0x1f2c28(0x7fd)]});}}else _0x344fc4[_0x1f2c28(0x1980)]({'title':_0x8bfa11[_0x1f2c28(0x107b)]?_0x1f2c28(0x262a)+_0x8bfa11['status']+_0x1f2c28(0x1315)+_0x8bfa11['statusText']:_0x1f2c28(0x277c),'msg':_0x8bfa11['data']?JSON['stringify'](_0x8bfa11[_0x1f2c28(0x524)][_0x1f2c28(0x7fd)]):_0x8bfa11[_0x1f2c28(0x7fd)]||_0x8bfa11['toString']()});});},function(){});}function _0x1d9d27(_0xd59279){return _0xd59279===null?undefined:new Date(_0xd59279);}function _0x2314dc(_0x3adbcd){const _0x143e53=_0x412d54;_0x2e863f[_0x143e53(0x2458)](_0x3adbcd);}}const _0x3a5ae9=_0x27e88e;;const _0x4e27e9=_0x4acfac['p']+_0x313a4d(0xeb9);;const _0x13acdd=_0x4acfac['p']+_0x313a4d(0x9da);;const _0x5754f3=_0x4acfac['p']+_0x313a4d(0x466);;const _0x3816ea=_0x4acfac['p']+_0x313a4d(0x25eb);;const _0x131c5a=_0x4acfac['p']+_0x313a4d(0xefa);;const _0x3932c4=_0x4acfac['p']+_0x313a4d(0x2512);;const _0x3259e4=_0x4acfac['p']+_0x313a4d(0x1c67);;const _0x21a04e=_0x4acfac['p']+'src/js/modules/main/apps/voice/views/inboundroutes/edit/apps/interval/dialog.html/dialog.html';;const _0x3d5e51=_0x4acfac['p']+_0x313a4d(0x1fa6);;const _0x25d6e5=_0x4acfac['p']+_0x313a4d(0x78b);;const _0x3e5d6b=_0x4acfac['p']+_0x313a4d(0x1e95);;const _0x84c884=_0x4acfac['p']+_0x313a4d(0x503);;const _0x1c17a7=_0x4acfac['p']+_0x313a4d(0x459);;_0x5e960e[_0x313a4d(0x11c2)]=['api',_0x313a4d(0x10e8),_0x313a4d(0x4d8),'toasty',_0x313a4d(0xa87)];const _0x585c0e={'agi':_0x4e27e9,'custom':_0x13acdd,'dial':_0x5754f3,'externaldial':_0x3816ea,'goto':_0x131c5a,'hangup':_0x3932c4,'internaldial':_0x3259e4,'interval':_0x21a04e,'playback':_0x3d5e51,'queue':_0x25d6e5,'ringgroup':_0x3e5d6b,'set':_0x84c884,'voicemail':_0x1c17a7};function _0x5e960e(_0x86d59e,_0x418d30,_0xc5d4c2,_0xcdb044,_0x40776f){const _0x37afb5=_0x313a4d,_0x3b6242=this;_0x3b6242[_0x37afb5(0x2321)]=_0x40776f['getCurrentUser'](),_0x3b6242[_0x37afb5(0x1d70)]={},_0x3b6242[_0x37afb5(0x1ee9)]={'count':0x0,'rows':[]},_0x3b6242['selectedInboundRouteApps']=[],_0x3b6242[_0x37afb5(0x2514)],_0x3b6242[_0x37afb5(0x1a56)]={'sort':_0x37afb5(0xa6a)},_0x3b6242['apps']=_0x3f65c0()[_0x37afb5(0xa6f)](_0x3f65c0()[_0x37afb5(0x939)]([{'app':_0x37afb5(0x1b4e),'appType':_0x37afb5(0x2765),'types':[_0x37afb5(0xd85),_0x37afb5(0x1802),_0x37afb5(0x25f4)],'fields':[],'isApp':![]},{'app':'Queue','appType':_0x37afb5(0x1c72),'context':_0x37afb5(0x515),'type':_0x37afb5(0x1d02),'appdata':_0x37afb5(0x1452),'icon':_0x37afb5(0x1205),'options':'xX','interval':'*,*,*,*','answer':!![],'isApp':!![],'extraApi':[{'name':_0x37afb5(0xb02),'field':{'name':_0x37afb5(0x126d),'key':'save_name','prefix':_0x37afb5(0xe2e)},'route':_0x37afb5(0x126d),'filters':{'fields':_0x37afb5(0x222a),'sort':_0x37afb5(0x19eb),'nolimit':!![]},'permissions':{'section':0x3ef}},{'name':'queues','field':{'name':_0x37afb5(0x1c72),'key':_0x37afb5(0x19eb)},'route':'voiceQueue','filters':{'type':'inbound','fields':'id,name,type','sort':_0x37afb5(0x19eb),'nolimit':!![]},'permissions':{'section':0x191}}],'fields':[{'title':_0x37afb5(0xe26),'name':_0x37afb5(0x1c72),'type':'apiselect','values':_0x37afb5(0x1b32),'value':_0x37afb5(0x15b0),'option':_0x37afb5(0x15b0),'required':!![],'param':0x0},{'title':_0x37afb5(0x23ec),'name':_0x37afb5(0xa08),'type':_0x37afb5(0xa8d),'param':0x1},{'title':_0x37afb5(0xae8),'name':_0x37afb5(0x1227),'type':'text','param':0x2},{'title':_0x37afb5(0x17b9),'name':_0x37afb5(0x126d),'type':_0x37afb5(0x28c6),'values':_0x37afb5(0xb02),'value':_0x37afb5(0x9ef),'option':_0x37afb5(0x1c76),'defaultValues':[{'value':'\x27\x27','option':_0x37afb5(0x13dd)}],'param':0x3},{'title':_0x37afb5(0x5cc),'name':'timeout','type':'number','min':0x0,'param':0x4},{'title':_0x37afb5(0x180e),'name':'agi','type':'text','param':0x5},{'title':_0x37afb5(0x18fc),'name':_0x37afb5(0x9d2),'type':_0x37afb5(0xa8d),'param':0x6},{'title':'GoSub','name':_0x37afb5(0x1779),'type':_0x37afb5(0xa8d),'param':0x7},{'title':_0x37afb5(0xfd0),'name':_0x37afb5(0x95e),'type':_0x37afb5(0xa8d),'param':0x8},{'title':_0x37afb5(0x25b),'name':'position','type':_0x37afb5(0x181),'min':0x0,'param':0x9},{'title':'Answer','name':_0x37afb5(0x2336),'type':_0x37afb5(0x1197),'general':!![]}]},{'app':'Playback','appType':_0x37afb5(0x288a),'context':_0x37afb5(0x515),'type':_0x37afb5(0x1d02),'icon':_0x37afb5(0x1205),'interval':_0x37afb5(0x1559),'answer':!![],'isApp':!![],'extraApi':[{'name':_0x37afb5(0xb02),'field':{'name':_0x37afb5(0x126d),'key':_0x37afb5(0xa3d),'prefix':_0x37afb5(0xe2e)},'route':_0x37afb5(0x126d),'filters':{'fields':_0x37afb5(0x222a),'sort':_0x37afb5(0x19eb),'nolimit':!![]},'permissions':{'section':0x3ef}}],'fields':[{'title':_0x37afb5(0x671),'name':_0x37afb5(0x168a),'type':_0x37afb5(0x28c6),'values':_0x37afb5(0xb02),'value':_0x37afb5(0x2130),'option':_0x37afb5(0x2227),'required':!![],'param':0x0},{'title':'Options','name':_0x37afb5(0xa08),'type':_0x37afb5(0xa8d),'param':0x1},{'title':_0x37afb5(0x21c0),'name':_0x37afb5(0x2336),'type':_0x37afb5(0x1197),'general':!![]}]},{'app':'Dial','appType':_0x37afb5(0xb98),'context':_0x37afb5(0x515),'type':'Inbound','icon':_0x37afb5(0x1205),'interval':_0x37afb5(0x1559),'options':'xX','isApp':!![],'fields':[{'title':_0x37afb5(0x2599),'name':'tech','type':_0x37afb5(0xa8d),'required':!![],'param':0x0},{'title':_0x37afb5(0x5cc),'name':_0x37afb5(0xa9c),'type':_0x37afb5(0x181),'min':0x0,'param':0x1},{'title':_0x37afb5(0x23ec),'name':'options','type':_0x37afb5(0xa8d),'param':0x2},{'title':_0x37afb5(0xae8),'name':_0x37afb5(0x20cc),'type':_0x37afb5(0xa8d),'param':0x3}]},{'app':_0x37afb5(0x20fb),'appType':'internalDial','context':'from-voip-provider','type':_0x37afb5(0x1d02),'icon':_0x37afb5(0x1205),'interval':_0x37afb5(0x1559),'options':'xX','isApp':!![],'extraApi':[{'name':_0x37afb5(0x1995),'field':{'name':'user','key':_0x37afb5(0x19eb),'prefix':'SIP/'},'route':_0x37afb5(0xe7b),'filters':{'fields':_0x37afb5(0x245b),'sort':_0x37afb5(0x19eb),'nolimit':!![]},'permissions':{'sections':[{'id':0xca,'role':_0x37afb5(0x1755)},{'id':0xcb,'role':_0x37afb5(0x90c)}]}}],'fields':[{'title':_0x37afb5(0x2393),'name':'user','type':_0x37afb5(0x28c6),'values':'users','value':'\x27SIP/{{user.name}}\x27','option':_0x37afb5(0x742),'required':!![],'param':0x0},{'title':_0x37afb5(0x5cc),'name':_0x37afb5(0xa9c),'type':'number','min':0x0,'param':0x1},{'title':_0x37afb5(0x23ec),'name':_0x37afb5(0xa08),'type':_0x37afb5(0xa8d),'param':0x2},{'title':_0x37afb5(0xae8),'name':_0x37afb5(0x20cc),'type':_0x37afb5(0xa8d),'param':0x3}]},{'app':'Dial','appType':'externalDial','context':'from-voip-provider','type':_0x37afb5(0x7b0),'icon':'icon-apps','interval':_0x37afb5(0x1559),'options':'xX','isApp':!![],'extraApi':[{'name':_0x37afb5(0x2433),'field':{'name':_0x37afb5(0x279f),'key':_0x37afb5(0x19eb)},'route':'trunk','filters':{'fields':_0x37afb5(0x7a7),'sort':_0x37afb5(0x19eb),'nolimit':!![]},'permissions':{'section':0x3f3}}],'fields':[{'title':_0x37afb5(0x13c4),'name':_0x37afb5(0x279f),'type':'apiselect','values':'trunks','value':_0x37afb5(0x1845),'option':_0x37afb5(0x1845),'required':!![],'param':0x0},{'title':_0x37afb5(0x1184),'name':_0x37afb5(0x1d55),'required':!![],'type':_0x37afb5(0xa8d)},{'title':_0x37afb5(0x5cc),'name':_0x37afb5(0xa9c),'type':_0x37afb5(0x181),'min':0x0,'param':0x1},{'title':_0x37afb5(0x23ec),'name':_0x37afb5(0xa08),'type':_0x37afb5(0xa8d),'param':0x2},{'title':'Url','name':_0x37afb5(0x20cc),'type':_0x37afb5(0xa8d),'param':0x3}]},{'app':_0x37afb5(0x20fb),'appType':_0x37afb5(0xd0c),'context':_0x37afb5(0x515),'type':_0x37afb5(0x7b0),'icon':_0x37afb5(0x1205),'interval':_0x37afb5(0x1559),'options':'xX','isApp':!![],'extraApi':[{'name':_0x37afb5(0x1995),'field':{'name':'multipleUsers','key':'name','prefix':'SIP/'},'route':_0x37afb5(0xe7b),'filters':{'fields':_0x37afb5(0x245b),'sort':_0x37afb5(0x19eb),'nolimit':!![]},'permissions':{'sections':[{'id':0xca,'role':'agent'},{'id':0xcb,'role':_0x37afb5(0x90c)}]}}],'fields':[{'title':_0x37afb5(0x2393),'name':'multipleUsers','type':_0x37afb5(0x28c6),'multiple':!![],'values':_0x37afb5(0x1995),'value':_0x37afb5(0x1e52),'option':_0x37afb5(0x26dc),'required':!![],'param':0x0},{'title':_0x37afb5(0x5cc),'name':_0x37afb5(0xa9c),'type':_0x37afb5(0x181),'min':0x0,'param':0x1},{'title':_0x37afb5(0x23ec),'name':'options','type':_0x37afb5(0xa8d),'param':0x2},{'title':_0x37afb5(0xae8),'name':_0x37afb5(0x20cc),'type':_0x37afb5(0xa8d),'param':0x3}]},{'app':_0x37afb5(0xca3),'alias':'CallySquare','appType':_0x37afb5(0x1ecb),'context':_0x37afb5(0x515),'type':_0x37afb5(0x7b0),'icon':'icon-apps','interval':_0x37afb5(0x1559),'isApp':!![],'extraApi':[{'name':_0x37afb5(0x1991),'field':{'name':_0x37afb5(0x9e0),'key':'name','prefix':_0x37afb5(0x1e2a)},'route':_0x37afb5(0x9b1),'filters':{'fields':_0x37afb5(0x7a7),'sort':_0x37afb5(0x19eb),'nolimit':!![]},'permissions':{'section':0x44f}}],'fields':[{'title':_0x37afb5(0x176d),'name':_0x37afb5(0x9e0),'type':_0x37afb5(0x28c6),'values':_0x37afb5(0x1991),'value':_0x37afb5(0x275f),'option':_0x37afb5(0x25c6),'required':!![],'param':0x0}]},{'app':'goto','appType':_0x37afb5(0x22c1),'context':_0x37afb5(0x515),'type':'Inbound','icon':'icon-apps','interval':_0x37afb5(0x1559),'isApp':!![],'extraApi':[{'name':'contexts','field':{'name':'context','key':'name'},'route':'voiceContext','filters':{'fields':_0x37afb5(0x7a7),'sort':_0x37afb5(0x19eb),'nolimit':!![]},'permissions':{'section':0x195}}],'fields':[{'title':'Context','name':_0x37afb5(0x1ac1),'type':_0x37afb5(0x28c6),'values':_0x37afb5(0xfe9),'value':'context.name','option':'context.name','required':!![],'param':0x0},{'title':_0x37afb5(0x2768),'name':_0x37afb5(0x1bc6),'type':'text','required':!![],'param':0x1},{'title':_0x37afb5(0x23d8),'name':_0x37afb5(0xa6a),'type':_0x37afb5(0xa8d),'required':!![],'param':0x2}]},{'app':_0x37afb5(0x1dbf),'appType':_0x37afb5(0x1dbf),'context':'from-voip-provider','type':_0x37afb5(0x1d02),'icon':'icon-apps','interval':_0x37afb5(0x1559),'isApp':!![],'fields':[{'title':_0x37afb5(0x271d),'name':_0x37afb5(0x168a),'type':_0x37afb5(0xa5f),'param':0x0,'values':[{'option':_0x37afb5(0x2cc),'value':'\x271\x27'},{'option':_0x37afb5(0x2612),'value':_0x37afb5(0x2395)},{'option':_0x37afb5(0x16ef),'value':_0x37afb5(0x12ce)},{'option':_0x37afb5(0x13d2),'value':_0x37afb5(0x3f8)},{'option':_0x37afb5(0x124b),'value':_0x37afb5(0x23e8)},{'option':_0x37afb5(0x272b),'value':_0x37afb5(0x268b)},{'option':_0x37afb5(0xab5),'value':_0x37afb5(0xb13)},{'option':'HANGUPCAUSE_38','value':_0x37afb5(0x5b9)},{'option':_0x37afb5(0x2192),'value':_0x37afb5(0x2048)}],'translate':!![],'required':!![],'general':!![]}]},{'app':_0x37afb5(0x124f),'appType':_0x37afb5(0x26ba),'context':'from-voip-provider','type':'Inbound','separator':'=','icon':_0x37afb5(0x1205),'interval':_0x37afb5(0x1559),'extraApi':[{'name':'variables','field':{'name':'name','key':'name'},'route':_0x37afb5(0x212),'filters':{'fields':_0x37afb5(0x7a7),'sort':_0x37afb5(0x19eb),'nolimit':!![]},'permissions':{'section':0x3f4}}],'isApp':!![],'fields':[{'title':'Variable','name':_0x37afb5(0x19eb),'type':_0x37afb5(0x28c6),'values':_0x37afb5(0x85b),'value':_0x37afb5(0x17ac),'option':_0x37afb5(0x17ac),'required':!![],'param':0x0},{'title':_0x37afb5(0x2193),'name':_0x37afb5(0x175d),'type':_0x37afb5(0xa8d),'required':!![],'param':0x1}]},{'app':null,'appType':_0x37afb5(0x1802),'icon':_0x37afb5(0x1205),'type':'Inbound','interval':_0x37afb5(0x1559),'isApp':!![],'fields':[{'title':_0x37afb5(0x1b64),'name':_0x37afb5(0x1873),'type':'text','required':!![],'param':0x0},{'title':_0x37afb5(0x2357),'name':_0x37afb5(0x168a),'type':'text','param':0x1}]},{'app':'Voicemail','appType':_0x37afb5(0x356),'context':_0x37afb5(0x515),'icon':_0x37afb5(0x1205),'type':_0x37afb5(0x1d02),'interval':_0x37afb5(0x1559),'isApp':!![],'extraApi':[{'name':_0x37afb5(0xcb1),'field':{'name':_0x37afb5(0xe8c),'key':'mailbox','suffix':_0x37afb5(0x225b)},'route':_0x37afb5(0xe8c),'filters':{'fields':'id,mailbox','sort':'mailbox','nolimit':!![]},'permissions':{'section':0x196}}],'fields':[{'title':_0x37afb5(0x1a7e),'name':_0x37afb5(0xe8c),'type':'apiselect','values':'voiceMails','value':_0x37afb5(0x199e),'option':_0x37afb5(0xa58),'required':!![],'param':0x0},{'title':_0x37afb5(0x23ec),'name':'options','type':_0x37afb5(0xa8d),'param':0x1}]}],[_0x37afb5(0x1873)]),{'isApp':![]}),_0x3b6242[_0x37afb5(0x25f4)]={'group':{'name':'opt1','pull':_0x37afb5(0x12cc)},'animation':0x64,'sort':![]},_0x3b6242[_0x37afb5(0x25fc)]={'group':{'name':_0x37afb5(0x916),'put':_0x37afb5(0x156c)},'animation':0x64,'onAdd':function(_0x1a6ab6){const _0x4a76cd=_0x37afb5;_0x5c6ca6(_0x1a6ab6,_0x1a6ab6[_0x4a76cd(0x154d)]);},'onSort':function(){_0xe041ef();}},_0x3b6242[_0x37afb5(0x5aa)]=_0x5da3be,_0x3b6242[_0x37afb5(0x19b4)]=_0x5b9080,_0x3b6242[_0x37afb5(0x1c4d)]=_0x4547b5,_0x3b6242[_0x37afb5(0x1ea1)]=_0x5c6ca6,_0x3b6242[_0x37afb5(0x285b)]=_0x430235,_0x3b6242[_0x37afb5(0x2329)]=_0x5cea23,_0x3b6242['deleteSelectedInboundRouteApps']=_0x32672f,_0x3b6242[_0x37afb5(0x1450)]=_0xe041ef,_0x3b6242[_0x37afb5(0x1c1c)]=_0x430145;function _0x5da3be(_0x5de347,_0x54a13b){const _0x4aaa00=_0x37afb5;_0x3b6242[_0x4aaa00(0x1d70)]=_0x5de347,_0x3b6242[_0x4aaa00(0x2514)]=typeof _0x54a13b!=='undefined'?_0x54a13b:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x3b6242[_0x4aaa00(0x25fc)][_0x4aaa00(0x2862)]=!_0x3b6242[_0x4aaa00(0x2514)][_0x4aaa00(0x15f4)]?!![]:![],_0x3b6242['query']['VoiceExtensionId']=_0x5de347['id'],_0x3b6242['query'][_0x4aaa00(0x16f6)]=!![],_0x3b6242['query'][_0x4aaa00(0x6c5)]=!![],_0x3b6242['getInboundRouteApps'](),_0x3b6242[_0x4aaa00(0x1c1c)]();}function _0x5b9080(_0x341c8f,_0x12bc96,_0xf16c7a){const _0x2146db=_0x37afb5,_0x6dd2d6=_0x418d30[_0x2146db(0x1e8a)]()[_0x2146db(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20application?')['htmlContent'](_0x2146db(0x16d3)+_0x341c8f['app']+_0x2146db(0x252f)+_0x2146db(0xe01))[_0x2146db(0x4bd)](_0x2146db(0x847))[_0x2146db(0x1f27)](_0xf16c7a)['ok']('OK')['cancel']('CANCEL');_0x418d30[_0x2146db(0x2615)](_0x6dd2d6)[_0x2146db(0x146b)](function(){const _0x4b51a7=_0x2146db;_0x3b6242['inboundrouteApps'][_0x4b51a7(0x19c7)][_0x4b51a7(0x1f7d)](_0x12bc96,0x1),_0xe041ef();},function(){const _0x26452e=_0x2146db;console[_0x26452e(0x1a74)](_0x26452e(0x39a));});}function _0x5c6ca6(_0xe8e1d9,_0x29e886){const _0x1160e0=_0x37afb5;if(_0x3b6242['inboundrouteApps'][_0x1160e0(0x19c7)]['length']){const _0x452685=_0x3b6242[_0x1160e0(0x1ee9)]['rows'][_0x29e886]?_0x3b6242[_0x1160e0(0x1ee9)]['rows'][_0x29e886]:_0x3b6242[_0x1160e0(0x1ee9)][_0x1160e0(0x19c7)][0x0],_0x4759c6=(_0x452685['appType']||_0x452685[_0x1160e0(0x1873)])[_0x1160e0(0x256e)]();_0x418d30[_0x1160e0(0x2615)]({'controller':_0x1160e0(0x1363)+_0x4759c6+_0x1160e0(0x76a),'controllerAs':'vm','templateUrl':_0x585c0e[_0x4759c6],'parent':angular['element'](_0xc5d4c2[_0x1160e0(0x2586)]),'targetEvent':_0xe8e1d9,'clickOutsideToClose':!![],'locals':{'inboundrouteApp':_0x452685,'inboundroute':_0x3b6242['inboundroute'],'crudPermissions':_0x3b6242[_0x1160e0(0x2514)]}})[_0x1160e0(0x146b)](function(_0x4ea219){const _0x7cd9ef=_0x1160e0;_0x4ea219&&(_0x4ea219['id']?_0x3b6242[_0x7cd9ef(0x1ee9)][_0x7cd9ef(0x19c7)][_0x29e886]=_0x4ea219:_0x3b6242['inboundrouteApps']['rows'][_0x7cd9ef(0x1f7d)](_0x29e886,0x0,_0x4ea219),_0xe041ef());})[_0x1160e0(0x129e)](function(_0x5de48d){const _0x5c0f85=_0x1160e0;_0x5de48d&&_0xcdb044[_0x5c0f85(0x1980)]({'title':_0x5de48d[_0x5c0f85(0x107b)]?'API:'+_0x5de48d[_0x5c0f85(0x107b)]+_0x5c0f85(0x1315)+_0x5de48d['statusText']:_0x5c0f85(0x10a0),'msg':_0x5de48d['data']?JSON['stringify'](_0x5de48d[_0x5c0f85(0x524)]):_0x5de48d[_0x5c0f85(0xd5f)]()});});}}function _0x430235(_0x13264e,_0x40a455){const _0x46a995=_0x37afb5;if(_0x3b6242[_0x46a995(0x1ee9)][_0x46a995(0x19c7)]['length']){const _0xaf7d3e=_0x3b6242[_0x46a995(0x1ee9)]['rows'][_0x40a455]?_0x3b6242['inboundrouteApps']['rows'][_0x40a455]:_0x3b6242[_0x46a995(0x1ee9)]['rows'][0x0];_0x418d30[_0x46a995(0x2615)]({'controller':_0x46a995(0x2be),'controllerAs':'vm','templateUrl':_0x21a04e,'parent':angular[_0x46a995(0x1853)](_0xc5d4c2[_0x46a995(0x2586)]),'targetEvent':_0x13264e,'clickOutsideToClose':!![],'locals':{'interval':{'interval':_0xaf7d3e[_0x46a995(0x2765)],'IntervalId':_0xaf7d3e['IntervalId'],'application':!![]},'intervals':[],'crudPermissions':_0x3b6242[_0x46a995(0x2514)]}})['then'](function(_0x257e2a){const _0x11afd3=_0x46a995;_0x257e2a&&(_0xaf7d3e[_0x11afd3(0x2765)]=_0x257e2a[_0x11afd3(0x2765)]||_0x11afd3(0x1559),_0xaf7d3e[_0x11afd3(0x1ff2)]=_0x257e2a[_0x11afd3(0x1ff2)]||null,_0xe041ef());});}}function _0xe041ef(){const _0x384953=_0x37afb5,_0x6ac1b=['queue',_0x384953(0x288a)];let _0x35bd11=0x2,_0x3c8612=[];_0x3c8612[_0x384953(0x1f47)]({'type':_0x384953(0x7b0),'app':'Set','appdata':_0x384953(0x1105),'context':_0x3b6242[_0x384953(0x1d70)]['context'],'exten':_0x3b6242[_0x384953(0x1d70)][_0x384953(0x19b2)],'priority':_0x35bd11++,'VoiceExtensionId':_0x3b6242['inboundroute']['id']}),_0x3c8612[_0x384953(0x1f47)]({'type':_0x384953(0x7b0),'app':_0x384953(0x124f),'appdata':_0x384953(0x209),'context':_0x3b6242[_0x384953(0x1d70)][_0x384953(0x1ac1)],'exten':_0x3b6242[_0x384953(0x1d70)][_0x384953(0x19b2)],'priority':_0x35bd11++,'VoiceExtensionId':_0x3b6242[_0x384953(0x1d70)]['id']}),_0x3c8612['push']({'type':_0x384953(0x7b0),'app':_0x384953(0x124f),'appdata':_0x384953(0x25dc),'context':_0x3b6242[_0x384953(0x1d70)][_0x384953(0x1ac1)],'exten':_0x3b6242[_0x384953(0x1d70)][_0x384953(0x19b2)],'priority':_0x35bd11++,'VoiceExtensionId':_0x3b6242[_0x384953(0x1d70)]['id']}),_0x3c8612[_0x384953(0x1f47)]({'type':_0x384953(0x7b0),'app':'Set','appdata':_0x384953(0x1486),'context':_0x3b6242[_0x384953(0x1d70)][_0x384953(0x1ac1)],'exten':_0x3b6242[_0x384953(0x1d70)][_0x384953(0x19b2)],'priority':_0x35bd11++,'VoiceExtensionId':_0x3b6242[_0x384953(0x1d70)]['id']});for(let _0x1cfa69=0x0,_0x41f40d=0x0;_0x1cfa69<_0x3b6242[_0x384953(0x1ee9)][_0x384953(0x19c7)][_0x384953(0x402)];_0x1cfa69++,_0x41f40d=0x0){const _0x1a70e0=_0x3b6242[_0x384953(0x1ee9)][_0x384953(0x19c7)][_0x1cfa69],_0x1b65e8=[],_0x30d6ab=[];_0x1a70e0[_0x384953(0x278f)]=_0x1a70e0['interval']!==_0x384953(0x1559)?[_0x1a70e0[_0x384953(0x2765)]]:_0x1a70e0['IntervalId']?_0x3f65c0()[_0x384953(0x205)](_0x3f65c0()[_0x384953(0x1dd6)](_0x3b6242[_0x384953(0x278f)][_0x384953(0x19c7)],{'IntervalId':_0x1a70e0['IntervalId']}),'interval'):[],_0x1a70e0[_0x384953(0x1ac1)]=_0x3b6242[_0x384953(0x1d70)][_0x384953(0x1ac1)],_0x1a70e0[_0x384953(0x19b2)]=_0x3b6242['inboundroute'][_0x384953(0x19b2)];_0x1a70e0[_0x384953(0x1142)]&&(_0x1a70e0[_0x384953(0x1142)]=_0x1a70e0[_0x384953(0x1142)][_0x384953(0x256e)]());typeof _0x1a70e0[_0x384953(0x2336)]!=='undefined'&&(_0x6ac1b[_0x384953(0xa4e)](_0x1a70e0[_0x384953(0x1873)][_0x384953(0x256e)]())&&_0x1a70e0[_0x384953(0x8f2)]!==_0x384953(0x1802)&&(_0x1a70e0[_0x384953(0x2336)]?(_0x1b65e8['push']({'type':_0x1a70e0[_0x384953(0x1142)][_0x384953(0x256e)](),'app':_0x384953(0xf74),'appdata':_0x384953(0x1a64),'context':_0x3b6242[_0x384953(0x1d70)][_0x384953(0x1ac1)],'exten':_0x3b6242[_0x384953(0x1d70)]['exten'],'priority':_0x1a70e0[_0x384953(0x278f)][_0x384953(0x402)]+_0x35bd11+_0x41f40d++,'VoiceExtensionId':_0x3b6242[_0x384953(0x1d70)]['id']}),_0x1b65e8['push']({'type':_0x1a70e0[_0x384953(0x1142)]['toLowerCase'](),'app':_0x384953(0x124f),'appdata':_0x384953(0x1a60),'context':_0x3b6242[_0x384953(0x1d70)][_0x384953(0x1ac1)],'exten':_0x3b6242['inboundroute']['exten'],'priority':_0x1a70e0['intervals']['length']+_0x35bd11+_0x41f40d++,'VoiceExtensionId':_0x3b6242[_0x384953(0x1d70)]['id']})):(_0x1b65e8[_0x384953(0x1f47)]({'type':_0x1a70e0[_0x384953(0x1142)][_0x384953(0x256e)](),'app':_0x384953(0xf74),'appdata':_0x384953(0x28f3),'context':_0x3b6242[_0x384953(0x1d70)][_0x384953(0x1ac1)],'exten':_0x3b6242['inboundroute'][_0x384953(0x19b2)],'priority':_0x1a70e0[_0x384953(0x278f)][_0x384953(0x402)]+_0x35bd11+_0x41f40d++,'VoiceExtensionId':_0x3b6242[_0x384953(0x1d70)]['id']}),_0x1b65e8[_0x384953(0x1f47)]({'type':_0x1a70e0[_0x384953(0x1142)][_0x384953(0x256e)](),'app':_0x384953(0x124f),'appdata':_0x384953(0x11fa),'context':_0x3b6242[_0x384953(0x1d70)][_0x384953(0x1ac1)],'exten':_0x3b6242[_0x384953(0x1d70)][_0x384953(0x19b2)],'priority':_0x1a70e0['intervals'][_0x384953(0x402)]+_0x35bd11+_0x41f40d++,'VoiceExtensionId':_0x3b6242[_0x384953(0x1d70)]['id']}))));for(let _0x2208b8=0x0;_0x2208b8<_0x1a70e0[_0x384953(0x278f)]['length'];_0x2208b8++){const _0x522552=_0x35bd11+_0x1a70e0[_0x384953(0x278f)][_0x384953(0x402)],_0x527138=_0x2208b8===_0x1a70e0[_0x384953(0x278f)]['length']-0x1?_0x35bd11+_0x1b65e8['length']+_0x30d6ab[_0x384953(0x402)]+0x2:_0x35bd11+_0x2208b8+0x1;_0x1b65e8[_0x384953(0x1f7d)](_0x2208b8,0x0,{'type':_0x384953(0x7b0),'app':_0x384953(0x2229),'appdata':_0x1a70e0['intervals'][_0x2208b8]+'?'+_0x3b6242['inboundroute'][_0x384953(0x1ac1)]+_0x384953(0x2770)+_0x522552+':'+_0x3b6242[_0x384953(0x1d70)][_0x384953(0x1ac1)]+_0x384953(0x2770)+_0x527138,'exten':_0x3b6242[_0x384953(0x1d70)]['exten'],'context':_0x3b6242['inboundroute'][_0x384953(0x1ac1)],'priority':_0x35bd11+_0x2208b8,'VoiceExtensionId':_0x3b6242[_0x384953(0x1d70)]['id']});}_0x1a70e0[_0x384953(0xa6a)]=_0x1b65e8[_0x384953(0x402)]?_0x3f65c0()[_0x384953(0x22f2)](_0x1b65e8)[_0x384953(0xa6a)]+0x1:_0x35bd11,_0x35bd11=(_0x30d6ab[_0x384953(0x402)]?_0x3f65c0()[_0x384953(0x22f2)](_0x30d6ab)['priority']:_0x1a70e0['priority'])+0x1,_0x3c8612=_0x3f65c0()[_0x384953(0x163c)](_0x3c8612,_0x1b65e8,[_0x1a70e0],_0x30d6ab);}_0x3c8612['push']({'type':_0x384953(0x7b0),'app':_0x384953(0x2626),'exten':_0x3b6242[_0x384953(0x1d70)][_0x384953(0x19b2)],'context':_0x3b6242[_0x384953(0x1d70)][_0x384953(0x1ac1)],'priority':_0x35bd11,'VoiceExtensionId':_0x3b6242['inboundroute']['id']}),_0x86d59e[_0x384953(0xd47)]['addApplications']({'id':_0x3b6242[_0x384953(0x1d70)]['id']},_0x3f65c0()['sortBy'](_0x3c8612,_0x384953(0xa6a)))[_0x384953(0x2945)][_0x384953(0x146b)](function(_0x4d07fd){const _0x451719=_0x384953;_0x3b6242[_0x451719(0x1ee9)][_0x451719(0x19c7)]=_0x4d07fd[_0x451719(0x19c7)];})[_0x384953(0x129e)](function(_0x18e028){const _0xf092ea=_0x384953;console[_0xf092ea(0x1980)](_0x18e028);});}function _0x5b5552(_0x2a87e0){const _0x542ad2=_0x37afb5;_0x3b6242[_0x542ad2(0x1ee9)]=_0x2a87e0||{'count':0x0,'rows':[]};}function _0x430145(){const _0x563a93=_0x37afb5;return _0x86d59e['interval'][_0x563a93(0x16b4)]({'fields':_0x563a93(0x2749)})['$promise'][_0x563a93(0x146b)](function(_0x5d138a){const _0x592d35=_0x563a93;_0x3b6242[_0x592d35(0x278f)]=_0x5d138a;})[_0x563a93(0x129e)](function(_0x25a42b){console['error'](_0x25a42b);});}function _0x4547b5(){const _0x49c18e=_0x37afb5;_0x3b6242['promise']=_0x86d59e[_0x49c18e(0xd47)][_0x49c18e(0x16b4)](_0x3b6242[_0x49c18e(0x1a56)],_0x5b5552)[_0x49c18e(0x2945)];}function _0x5cea23(_0x271596){const _0x50d92d=_0x37afb5;_0x3f65c0()[_0x50d92d(0x2640)](_0x3b6242[_0x50d92d(0x1ee9)][_0x50d92d(0x19c7)],{'id':_0x271596['id']}),_0xe041ef(),_0xcdb044['success']({'title':'App\x20deleted!','msg':_0x271596[_0x50d92d(0x1873)]?_0x271596['app']+'\x20has\x20been\x20deleted!':''});}function _0x32672f(_0x23d150){const _0x51382d=_0x37afb5,_0x5402b6=_0x418d30[_0x51382d(0x1e8a)]()[_0x51382d(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20applications?')[_0x51382d(0x1cbe)](_0x51382d(0x16d3)+_0x3b6242['selectedInboundRouteApps']['length']+'\x20selected'+_0x51382d(0xe01))[_0x51382d(0x4bd)]('delete\x20applications')[_0x51382d(0x1f27)](_0x23d150)['ok']('OK')[_0x51382d(0x6c3)](_0x51382d(0x39a));_0x418d30[_0x51382d(0x2615)](_0x5402b6)[_0x51382d(0x146b)](function(){const _0x4c5921=_0x51382d;_0x3b6242[_0x4c5921(0x1120)][_0x4c5921(0x1df5)](function(_0x4ce32c){const _0x4ae080=_0x4c5921;_0x3f65c0()[_0x4ae080(0x2640)](_0x3b6242['inboundrouteApps'][_0x4ae080(0x19c7)],{'id':_0x4ce32c['id']});}),_0x3b6242[_0x4c5921(0x1120)]=[],_0xe041ef();});}}const _0x21d2e6=_0x5e960e;;_0x13ffbb[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q','toasty',_0x313a4d(0x2296),_0x313a4d(0x1d70),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x13ffbb(_0x39fd02,_0x13485e,_0x5174c8,_0x4828cc,_0x371206,_0x58448f,_0x4dbfae,_0x1c1f7c){const _0x2011de=_0x313a4d,_0x8ad98a=this;_0x8ad98a[_0x2011de(0x2321)]=_0x4dbfae['getCurrentUser'](),_0x8ad98a[_0x2011de(0xcef)]=[],_0x8ad98a[_0x2011de(0x1189)]=_0x2011de(0x1950)+(_0x4828cc['appType']||_0x4828cc['app'])[_0x2011de(0x1c37)](),_0x8ad98a['agi']=angular['copy'](_0x4828cc),_0x8ad98a[_0x2011de(0x2514)]=_0x1c1f7c,_0x8ad98a[_0x2011de(0x855)]={};if(_0x8ad98a[_0x2011de(0x1ecb)][_0x2011de(0x168a)])switch(_0x8ad98a['agi'][_0x2011de(0x8f2)]?_0x8ad98a[_0x2011de(0x1ecb)][_0x2011de(0x8f2)][_0x2011de(0x256e)]():_0x8ad98a[_0x2011de(0x1ecb)][_0x2011de(0x1873)][_0x2011de(0x256e)]()){case _0x2011de(0x1802):break;case'dialogflow':{const _0x18f872=_0x8ad98a[_0x2011de(0x1ecb)][_0x2011de(0x168a)][_0x2011de(0x10c8)](',');_0x8ad98a[_0x2011de(0x1ecb)][_0x2011de(0x2293)]=_0x18f872[0x0],_0x8ad98a[_0x2011de(0x1ecb)][_0x2011de(0x90b)]=_0x18f872[0x1],_0x8ad98a[_0x2011de(0x1ecb)]['welcomemessage']=_0x18f872['slice'](0x2,_0x18f872[_0x2011de(0x402)])[_0x2011de(0xb47)](',');}break;case'dialogflowv2':{const _0x797b80=_0x8ad98a[_0x2011de(0x1ecb)][_0x2011de(0x168a)]['split'](',');_0x8ad98a[_0x2011de(0x1ecb)][_0x2011de(0x153a)]=_0x797b80[0x0],_0x8ad98a['agi'][_0x2011de(0x1392)]=_0x797b80[0x1],_0x8ad98a[_0x2011de(0x1ecb)]['privateKey']=_0x797b80[0x2],_0x8ad98a[_0x2011de(0x1ecb)][_0x2011de(0x90b)]=_0x797b80[0x3],_0x8ad98a[_0x2011de(0x1ecb)][_0x2011de(0xaf3)]=_0x797b80[_0x2011de(0x1298)](0x4,_0x797b80['length'])['join'](',');}break;case _0x2011de(0x17d6):{const _0x20ec08=_0x8ad98a[_0x2011de(0x1ecb)][_0x2011de(0x168a)][_0x2011de(0x10c8)](',');_0x8ad98a[_0x2011de(0x1ecb)]['accesskeyid']=_0x20ec08[0x0],_0x8ad98a[_0x2011de(0x1ecb)][_0x2011de(0x252)]=_0x20ec08[0x1],_0x8ad98a[_0x2011de(0x1ecb)][_0x2011de(0x1039)]=_0x20ec08[0x2],_0x8ad98a['agi']['botname']=_0x20ec08[0x3],_0x8ad98a['agi'][_0x2011de(0xaf3)]=_0x20ec08['slice'](0x4,_0x20ec08[_0x2011de(0x402)])['join'](',');}break;case _0x2011de(0x1713):{const _0x4b2fae=_0x8ad98a[_0x2011de(0x1ecb)][_0x2011de(0x168a)]['split'](',');_0x8ad98a[_0x2011de(0x1ecb)][_0x2011de(0x583)]=isNaN(_0x4b2fae[0x0])?_0x4b2fae[0x0]:parseInt(_0x4b2fae[0x0],0xa),_0x8ad98a[_0x2011de(0x1ecb)]['text']=_0x4b2fae['slice'](0x1,_0x4b2fae[_0x2011de(0x402)])[_0x2011de(0xb47)](',');}break;case _0x2011de(0x7fd):_0x8ad98a[_0x2011de(0x1ecb)]['text']=_0x8ad98a[_0x2011de(0x1ecb)]['appdata'];break;case'set':_0x8ad98a[_0x2011de(0x1ecb)][_0x2011de(0x19eb)]=_0x8ad98a['agi'][_0x2011de(0x168a)]['split']('=')[0x0],_0x8ad98a['agi'][_0x2011de(0x175d)]=_0x8ad98a[_0x2011de(0x1ecb)][_0x2011de(0x168a)][_0x2011de(0x10c8)]('=')[0x1];break;case _0x2011de(0x1ecb):_0x8ad98a[_0x2011de(0x1ecb)][_0x2011de(0x9e0)]=_0x8ad98a[_0x2011de(0x1ecb)][_0x2011de(0x168a)];break;default:{const _0x33b7b2=_0x8ad98a[_0x2011de(0x1ecb)][_0x2011de(0x168a)][_0x2011de(0x10c8)](',');_0x8ad98a[_0x2011de(0x1ecb)]['project']=_0x3f65c0()[_0x2011de(0x2635)](_0x33b7b2[0x0])?_0x33b7b2[0x0]:isNaN(_0x33b7b2[0x0])?_0x33b7b2[0x0]:parseInt(_0x33b7b2[0x0],0xa);}break;}else{}_0x8ad98a[_0x2011de(0x1ecb)]['type']&&_0x8ad98a[_0x2011de(0x1ecb)][_0x2011de(0x1142)]['toLowerCase']()===_0x2011de(0xc9c)&&_0x8ad98a[_0x2011de(0x1ecb)]['appType'][_0x2011de(0x256e)]()===_0x2011de(0x3bb)&&(_0x8ad98a[_0x2011de(0x1ecb)][_0x2011de(0x1e12)]=_0x8ad98a['agi']['phone']?_0x8ad98a[_0x2011de(0x1ecb)][_0x2011de(0x1d55)][_0x2011de(0x10c8)]('$')[0x0]:undefined,_0x8ad98a[_0x2011de(0x1ecb)][_0x2011de(0x1d43)]=_0x8ad98a[_0x2011de(0x1ecb)][_0x2011de(0x11be)]?_0x2011de(0x1b60)+_0x8ad98a[_0x2011de(0x1ecb)][_0x2011de(0x11be)]:undefined);_0x8ad98a[_0x2011de(0x2332)]=_0x165696,_0x8ad98a[_0x2011de(0x13f3)]=_0x33b26e,_0x4dbfae[_0x2011de(0x23e0)](_0x2011de(0x174b))?_0x58448f[_0x2011de(0x9b1)][_0x2011de(0x16b4)]({'fields':'id,name','sort':_0x2011de(0x19eb),'nolimit':_0x2011de(0x1185)})[_0x2011de(0x2945)][_0x2011de(0x146b)](function(_0x7f426a){const _0x33b527=_0x2011de;_0x8ad98a['projects']=_0x7f426a[_0x33b527(0x19c7)]||[];})[_0x2011de(0x129e)](function(_0x3696ef){const _0x5af533=_0x2011de;_0x5174c8[_0x5af533(0x1980)]({'title':_0x3696ef[_0x5af533(0x107b)]?_0x5af533(0x262a)+_0x3696ef[_0x5af533(0x107b)]+'\x20-\x20'+_0x3696ef['statusText']:_0x5af533(0x1764),'msg':_0x3696ef[_0x5af533(0x524)]?JSON[_0x5af533(0x10bb)](_0x3696ef[_0x5af533(0x524)]):_0x3696ef['toString']()});}):_0x58448f[_0x2011de(0x9b1)][_0x2011de(0x16b4)]({'fields':'id,name','sort':_0x2011de(0x19eb),'nolimit':_0x2011de(0x1185)})['$promise'][_0x2011de(0x146b)](function(_0x5c9d85){const _0x31aa3e=_0x2011de;_0x8ad98a[_0x31aa3e(0x1991)]=_0x5c9d85['rows']||[];})[_0x2011de(0x146b)](function(){const _0x43eaee=_0x2011de;return _0x58448f['userProfileSection'][_0x43eaee(0x16b4)]({'userProfileId':_0x8ad98a['currentUser'][_0x43eaee(0x209a)],'sectionId':0x44f})['$promise'];})[_0x2011de(0x146b)](function(_0x20713d){const _0x2cf212=_0x2011de,_0x56f4a1=_0x20713d&&_0x20713d[_0x2cf212(0x19c7)]?_0x20713d['rows'][0x0]:null;if(!_0x56f4a1){const _0x1855b6=[];let _0x481c8b=null;_0x8ad98a['agi']&&(_0x481c8b=_0x3f65c0()[_0x2cf212(0xc84)](_0x8ad98a[_0x2cf212(0x1991)],{'name':_0x8ad98a[_0x2cf212(0x1ecb)]['project']}));for(let _0x390495=0x0;_0x390495<_0x8ad98a[_0x2cf212(0x1991)]['length'];_0x390495++){_0x481c8b&&_0x8ad98a[_0x2cf212(0x1991)][_0x390495]['id']===_0x481c8b['id']&&(_0x8ad98a[_0x2cf212(0x1991)][_0x390495][_0x2cf212(0x8ff)]=![],_0x1855b6[_0x2cf212(0x1f47)](_0x8ad98a[_0x2cf212(0x1991)][_0x390495]));}_0x8ad98a[_0x2cf212(0x1991)]=_0x1855b6;}else{if(!_0x56f4a1[_0x2cf212(0x11d2)])return _0x58448f[_0x2cf212(0xdcc)][_0x2cf212(0x16b4)]({'sectionId':_0x56f4a1['id']})[_0x2cf212(0x2945)]['then'](function(_0x5d6f16){const _0x288562=_0x2cf212,_0x294ed5=_0x3f65c0()[_0x288562(0x205)](_0x5d6f16[_0x288562(0x19c7)],function(_0x1d2431){const _0x5a8c76=_0x288562;return _0x3f65c0()[_0x5a8c76(0xc84)](_0x8ad98a['projects'],{'id':_0x1d2431[_0x5a8c76(0x18b8)]});});let _0x171296=null;_0x8ad98a[_0x288562(0x1ecb)]&&(_0x171296=_0x3f65c0()[_0x288562(0xc84)](_0x8ad98a[_0x288562(0x1991)],{'name':_0x8ad98a[_0x288562(0x1ecb)]['project']}));if(_0x171296&&!_0x3f65c0()['some'](_0x294ed5,['id',_0x171296['id']])){const _0x1b8b6=_0x3f65c0()[_0x288562(0xc84)](_0x8ad98a['projects'],{'id':_0x171296['id']});_0x1b8b6[_0x288562(0x8ff)]=![],_0x294ed5['push'](_0x1b8b6);}_0x8ad98a['projects']=_0x294ed5;});}})[_0x2011de(0x129e)](function(_0x5e225c){const _0x33657d=_0x2011de;_0x5174c8[_0x33657d(0x1980)]({'title':_0x5e225c[_0x33657d(0x107b)]?_0x33657d(0x262a)+_0x5e225c['status']+_0x33657d(0x1315)+_0x5e225c[_0x33657d(0x167f)]:'SYSTEM:GETprojects','msg':_0x5e225c[_0x33657d(0x524)]?JSON[_0x33657d(0x10bb)](_0x5e225c[_0x33657d(0x524)]):_0x5e225c[_0x33657d(0xd5f)]()});});function _0x165696(){const _0x554b17=_0x2011de;_0x8ad98a[_0x554b17(0xcef)]=[];const _0x5f57c9=[];_0x8ad98a[_0x554b17(0x1ecb)][_0x554b17(0x1142)]&&_0x8ad98a['agi']['type'][_0x554b17(0x256e)]()===_0x554b17(0xc9c)&&_0x8ad98a['agi'][_0x554b17(0x8f2)]===_0x554b17(0x118b)&&(_0x8ad98a['agi'][_0x554b17(0x1d55)]=_0x371206[_0x554b17(0x1274)]?(_0x8ad98a[_0x554b17(0x1ecb)][_0x554b17(0x1e12)]||'')+_0x554b17(0x2147)+_0x371206['cutdigits']+'}':(_0x8ad98a[_0x554b17(0x1ecb)][_0x554b17(0x1e12)]||'')+_0x554b17(0x20df),_0x371206[_0x554b17(0x1425)]!==_0x554b17(0x1642)?_0x8ad98a['agi']['options'][_0x554b17(0x172b)](_0x554b17(0x1ea4))<0x0&&(_0x8ad98a[_0x554b17(0x1ecb)][_0x554b17(0xa08)]+=_0x554b17(0x1ea4)):_0x8ad98a[_0x554b17(0x1ecb)][_0x554b17(0xa08)]=_0x8ad98a[_0x554b17(0x1ecb)][_0x554b17(0xa08)][_0x554b17(0x5f4)](_0x554b17(0x1ea4),''));if(_0x8ad98a['agi']['appType']&&_0x8ad98a[_0x554b17(0x1ecb)][_0x554b17(0x8f2)]===_0x554b17(0x1802)){}else switch((_0x8ad98a[_0x554b17(0x1ecb)][_0x554b17(0x1873)]||_0x8ad98a[_0x554b17(0x1ecb)][_0x554b17(0x8f2)])[_0x554b17(0x256e)]()){case _0x554b17(0x26ba):_0x8ad98a[_0x554b17(0x1ecb)][_0x554b17(0x168a)]=_0x8ad98a[_0x554b17(0x1ecb)][_0x554b17(0x19eb)]+'='+_0x8ad98a['agi'][_0x554b17(0x175d)];break;case _0x554b17(0x1802):break;default:_0x5f57c9[0x0]=_0x8ad98a[_0x554b17(0x1ecb)][_0x554b17(0x9e0)],_0x8ad98a[_0x554b17(0x1ecb)][_0x554b17(0x168a)]=_0x5f57c9[_0x554b17(0xb47)](',');}_0x33b26e(_0x8ad98a[_0x554b17(0x1ecb)]);}function _0x33b26e(_0x1bc170){const _0x71c955=_0x2011de;_0x39fd02[_0x71c955(0x2458)](_0x1bc170);}}const _0x502b9a=_0x13ffbb;;_0x37d287[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x2296),'inboundroute',_0x313a4d(0x247f),'Auth',_0x313a4d(0x2514)];function _0x37d287(_0x32311a,_0x3220c0,_0x35e576,_0x31639d,_0x1b8fa3,_0x5bd7d9,_0x399b52,_0x36ae78){const _0x3e1d25=_0x313a4d,_0x360984=this;_0x360984[_0x3e1d25(0x2321)]=_0x399b52[_0x3e1d25(0xb12)](),_0x360984[_0x3e1d25(0xcef)]=[],_0x360984['title']='VOICE.EDIT_'+(_0x31639d[_0x3e1d25(0x8f2)]||_0x31639d[_0x3e1d25(0x1873)])['toUpperCase'](),_0x360984['custom']=angular[_0x3e1d25(0x235a)](_0x31639d),_0x360984[_0x3e1d25(0x2514)]=_0x36ae78,_0x360984[_0x3e1d25(0x855)]={};if(_0x360984[_0x3e1d25(0x1802)][_0x3e1d25(0x168a)])switch(_0x360984[_0x3e1d25(0x1802)]['appType']?_0x360984['custom'][_0x3e1d25(0x8f2)][_0x3e1d25(0x256e)]():_0x360984[_0x3e1d25(0x1802)][_0x3e1d25(0x1873)]['toLowerCase']()){case'custom':break;case'dialogflow':{const _0xfa59aa=_0x360984[_0x3e1d25(0x1802)]['appdata'][_0x3e1d25(0x10c8)](',');_0x360984['custom']['key']=_0xfa59aa[0x0],_0x360984[_0x3e1d25(0x1802)][_0x3e1d25(0x90b)]=_0xfa59aa[0x1],_0x360984['custom'][_0x3e1d25(0xaf3)]=_0xfa59aa[_0x3e1d25(0x1298)](0x2,_0xfa59aa['length'])[_0x3e1d25(0xb47)](',');}break;case'dialogflowv2':{const _0x31fe91=_0x360984['custom']['appdata'][_0x3e1d25(0x10c8)](',');_0x360984[_0x3e1d25(0x1802)][_0x3e1d25(0x153a)]=_0x31fe91[0x0],_0x360984[_0x3e1d25(0x1802)][_0x3e1d25(0x1392)]=_0x31fe91[0x1],_0x360984['custom'][_0x3e1d25(0x2217)]=_0x31fe91[0x2],_0x360984['custom'][_0x3e1d25(0x90b)]=_0x31fe91[0x3],_0x360984['custom'][_0x3e1d25(0xaf3)]=_0x31fe91[_0x3e1d25(0x1298)](0x4,_0x31fe91[_0x3e1d25(0x402)])[_0x3e1d25(0xb47)](',');}break;case _0x3e1d25(0x17d6):{const _0x4161ac=_0x360984[_0x3e1d25(0x1802)][_0x3e1d25(0x168a)]['split'](',');_0x360984[_0x3e1d25(0x1802)][_0x3e1d25(0x1651)]=_0x4161ac[0x0],_0x360984[_0x3e1d25(0x1802)][_0x3e1d25(0x252)]=_0x4161ac[0x1],_0x360984[_0x3e1d25(0x1802)]['lexregion']=_0x4161ac[0x2],_0x360984[_0x3e1d25(0x1802)][_0x3e1d25(0x8dd)]=_0x4161ac[0x3],_0x360984[_0x3e1d25(0x1802)][_0x3e1d25(0xaf3)]=_0x4161ac['slice'](0x4,_0x4161ac[_0x3e1d25(0x402)])[_0x3e1d25(0xb47)](',');}break;case _0x3e1d25(0x1713):{const _0x35ce33=_0x360984[_0x3e1d25(0x1802)][_0x3e1d25(0x168a)][_0x3e1d25(0x10c8)](',');_0x360984[_0x3e1d25(0x1802)][_0x3e1d25(0x583)]=isNaN(_0x35ce33[0x0])?_0x35ce33[0x0]:parseInt(_0x35ce33[0x0],0xa),_0x360984[_0x3e1d25(0x1802)]['text']=_0x35ce33[_0x3e1d25(0x1298)](0x1,_0x35ce33[_0x3e1d25(0x402)])[_0x3e1d25(0xb47)](',');}break;case'message':_0x360984[_0x3e1d25(0x1802)]['text']=_0x360984[_0x3e1d25(0x1802)]['appdata'];break;case _0x3e1d25(0x26ba):_0x360984[_0x3e1d25(0x1802)][_0x3e1d25(0x19eb)]=_0x360984['custom']['appdata'][_0x3e1d25(0x10c8)]('=')[0x0],_0x360984[_0x3e1d25(0x1802)][_0x3e1d25(0x175d)]=_0x360984[_0x3e1d25(0x1802)][_0x3e1d25(0x168a)][_0x3e1d25(0x10c8)]('=')[0x1];break;case _0x3e1d25(0x1ecb):_0x360984['custom'][_0x3e1d25(0x9e0)]=_0x360984['custom'][_0x3e1d25(0x168a)];break;default:{const _0x3b3044=_0x360984[_0x3e1d25(0x1802)]['appdata']['split'](',');_0x360984['custom'][_0x3e1d25(0x1873)]=_0x3f65c0()['isEmpty'](_0x3b3044[0x0])?_0x3b3044[0x0]:isNaN(_0x3b3044[0x0])?_0x3b3044[0x0]:parseInt(_0x3b3044[0x0],0xa),_0x360984[_0x3e1d25(0x1802)][_0x3e1d25(0x168a)]=_0x3f65c0()['isEmpty'](_0x3b3044[0x1])?_0x3b3044[0x1]:isNaN(_0x3b3044[0x1])?_0x3b3044[0x1]:parseInt(_0x3b3044[0x1],0xa);}break;}else{}_0x360984[_0x3e1d25(0x1802)][_0x3e1d25(0x1142)]&&_0x360984[_0x3e1d25(0x1802)][_0x3e1d25(0x1142)][_0x3e1d25(0x256e)]()==='outbound'&&_0x360984['custom'][_0x3e1d25(0x8f2)][_0x3e1d25(0x256e)]()==='outbounddial'&&(_0x360984[_0x3e1d25(0x1802)][_0x3e1d25(0x1e12)]=_0x360984[_0x3e1d25(0x1802)][_0x3e1d25(0x1d55)]?_0x360984['custom'][_0x3e1d25(0x1d55)][_0x3e1d25(0x10c8)]('$')[0x0]:undefined,_0x360984[_0x3e1d25(0x1802)][_0x3e1d25(0x1d43)]=_0x360984['custom']['callerID']?'CALLERID(all)='+_0x360984[_0x3e1d25(0x1802)][_0x3e1d25(0x11be)]:undefined);_0x360984[_0x3e1d25(0x2332)]=_0x14d7a7,_0x360984['closeDialog']=_0x5e78e4;function _0x14d7a7(){const _0x1a8c26=_0x3e1d25;_0x360984[_0x1a8c26(0xcef)]=[];const _0x1be083=[];_0x360984[_0x1a8c26(0x1802)]['type']&&_0x360984['custom'][_0x1a8c26(0x1142)][_0x1a8c26(0x256e)]()===_0x1a8c26(0xc9c)&&_0x360984[_0x1a8c26(0x1802)][_0x1a8c26(0x8f2)]==='outboundDial'&&(_0x360984[_0x1a8c26(0x1802)][_0x1a8c26(0x1d55)]=_0x1b8fa3[_0x1a8c26(0x1274)]?(_0x360984['custom'][_0x1a8c26(0x1e12)]||'')+'${EXTEN:'+_0x1b8fa3[_0x1a8c26(0x1274)]+'}':(_0x360984[_0x1a8c26(0x1802)][_0x1a8c26(0x1e12)]||'')+_0x1a8c26(0x20df),_0x1b8fa3[_0x1a8c26(0x1425)]!==_0x1a8c26(0x1642)?_0x360984['custom']['options'][_0x1a8c26(0x172b)](_0x1a8c26(0x1ea4))<0x0&&(_0x360984[_0x1a8c26(0x1802)][_0x1a8c26(0xa08)]+=_0x1a8c26(0x1ea4)):_0x360984[_0x1a8c26(0x1802)][_0x1a8c26(0xa08)]=_0x360984[_0x1a8c26(0x1802)][_0x1a8c26(0xa08)]['replace'](_0x1a8c26(0x1ea4),''));if(_0x360984[_0x1a8c26(0x1802)][_0x1a8c26(0x8f2)]&&_0x360984['custom'][_0x1a8c26(0x8f2)]===_0x1a8c26(0x1802)){}else switch((_0x360984[_0x1a8c26(0x1802)]['app']||_0x360984[_0x1a8c26(0x1802)][_0x1a8c26(0x8f2)])['toLowerCase']()){case _0x1a8c26(0x26ba):_0x360984[_0x1a8c26(0x1802)][_0x1a8c26(0x168a)]=_0x360984[_0x1a8c26(0x1802)][_0x1a8c26(0x19eb)]+'='+_0x360984[_0x1a8c26(0x1802)][_0x1a8c26(0x175d)];break;case _0x1a8c26(0x1802):break;default:_0x1be083[0x0]=_0x360984[_0x1a8c26(0x1802)]['app'],_0x1be083[0x1]=_0x360984['custom'][_0x1a8c26(0x168a)],_0x360984[_0x1a8c26(0x1802)][_0x1a8c26(0x168a)]=_0x1be083[_0x1a8c26(0xb47)](',');}_0x5e78e4(_0x360984['custom']);}function _0x5e78e4(_0x277726){_0x32311a['hide'](_0x277726);}}const _0x5848e5=_0x37d287;;_0x3791da[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x2296),_0x313a4d(0x1d70),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x3791da(_0x16b0da,_0xa1e696,_0x1b797b,_0x535386,_0x3d1ecd,_0x1ec209,_0x9fe12,_0x3d988d){const _0xed06ce=_0x313a4d,_0xd6d295=this;_0xd6d295[_0xed06ce(0x2321)]=_0x9fe12[_0xed06ce(0xb12)](),_0xd6d295['errors']=[],_0xd6d295['title']=_0xed06ce(0x1950)+(_0x535386[_0xed06ce(0x8f2)]||_0x535386['app'])[_0xed06ce(0x1c37)](),_0xd6d295[_0xed06ce(0xb98)]=angular[_0xed06ce(0x235a)](_0x535386),_0xd6d295[_0xed06ce(0x2514)]=_0x3d988d,_0xd6d295['hasModulePermissions']={};if(_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0x168a)])switch(_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0x8f2)]?_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0x8f2)]['toLowerCase']():_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0x1873)][_0xed06ce(0x256e)]()){case _0xed06ce(0x1802):break;case'dialogflow':{const _0x1a805e=_0xd6d295['dial'][_0xed06ce(0x168a)][_0xed06ce(0x10c8)](',');_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0x2293)]=_0x1a805e[0x0],_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0x90b)]=_0x1a805e[0x1],_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0xaf3)]=_0x1a805e[_0xed06ce(0x1298)](0x2,_0x1a805e[_0xed06ce(0x402)])[_0xed06ce(0xb47)](',');}break;case _0xed06ce(0xa46):{const _0x2f2660=_0xd6d295['dial']['appdata']['split'](',');_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0x153a)]=_0x2f2660[0x0],_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0x1392)]=_0x2f2660[0x1],_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0x2217)]=_0x2f2660[0x2],_0xd6d295['dial'][_0xed06ce(0x90b)]=_0x2f2660[0x3],_0xd6d295['dial'][_0xed06ce(0xaf3)]=_0x2f2660[_0xed06ce(0x1298)](0x4,_0x2f2660[_0xed06ce(0x402)])[_0xed06ce(0xb47)](',');}break;case _0xed06ce(0x17d6):{const _0x158522=_0xd6d295['dial']['appdata'][_0xed06ce(0x10c8)](',');_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0x1651)]=_0x158522[0x0],_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0x252)]=_0x158522[0x1],_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0x1039)]=_0x158522[0x2],_0xd6d295['dial'][_0xed06ce(0x8dd)]=_0x158522[0x3],_0xd6d295['dial'][_0xed06ce(0xaf3)]=_0x158522[_0xed06ce(0x1298)](0x4,_0x158522[_0xed06ce(0x402)])[_0xed06ce(0xb47)](',');}break;case _0xed06ce(0x1713):{const _0x1f2be0=_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0x168a)][_0xed06ce(0x10c8)](',');_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0x583)]=isNaN(_0x1f2be0[0x0])?_0x1f2be0[0x0]:parseInt(_0x1f2be0[0x0],0xa),_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0xa8d)]=_0x1f2be0[_0xed06ce(0x1298)](0x1,_0x1f2be0[_0xed06ce(0x402)])[_0xed06ce(0xb47)](',');}break;case _0xed06ce(0x7fd):_0xd6d295[_0xed06ce(0xb98)]['text']=_0xd6d295[_0xed06ce(0xb98)]['appdata'];break;case _0xed06ce(0x26ba):_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0x19eb)]=_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0x168a)]['split']('=')[0x0],_0xd6d295['dial'][_0xed06ce(0x175d)]=_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0x168a)]['split']('=')[0x1];break;case _0xed06ce(0x1ecb):_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0x9e0)]=_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0x168a)];break;default:{const _0x38c7ad=_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0x168a)][_0xed06ce(0x10c8)](',');_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0xbe1)]=_0x3f65c0()['isEmpty'](_0x38c7ad[0x0])?_0x38c7ad[0x0]:isNaN(_0x38c7ad[0x0])?_0x38c7ad[0x0]:parseInt(_0x38c7ad[0x0],0xa),_0xd6d295[_0xed06ce(0xb98)]['timeout']=_0x3f65c0()['isEmpty'](_0x38c7ad[0x1])?_0x38c7ad[0x1]:isNaN(_0x38c7ad[0x1])?_0x38c7ad[0x1]:parseInt(_0x38c7ad[0x1],0xa),_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0xa08)]=_0x3f65c0()[_0xed06ce(0x2635)](_0x38c7ad[0x2])?_0x38c7ad[0x2]:isNaN(_0x38c7ad[0x2])?_0x38c7ad[0x2]:parseInt(_0x38c7ad[0x2],0xa),_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0x20cc)]=_0x3f65c0()[_0xed06ce(0x2635)](_0x38c7ad[0x3])?_0x38c7ad[0x3]:isNaN(_0x38c7ad[0x3])?_0x38c7ad[0x3]:parseInt(_0x38c7ad[0x3],0xa);}break;}else{}_0xd6d295[_0xed06ce(0xb98)]['type']&&_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0x1142)]['toLowerCase']()==='outbound'&&_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0x8f2)][_0xed06ce(0x256e)]()===_0xed06ce(0x3bb)&&(_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0x1e12)]=_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0x1d55)]?_0xd6d295['dial'][_0xed06ce(0x1d55)][_0xed06ce(0x10c8)]('$')[0x0]:undefined,_0xd6d295[_0xed06ce(0xb98)]['callerId']=_0xd6d295['dial']['callerID']?_0xed06ce(0x1b60)+_0xd6d295[_0xed06ce(0xb98)][_0xed06ce(0x11be)]:undefined);_0xd6d295[_0xed06ce(0x2332)]=_0xbc0d3e,_0xd6d295[_0xed06ce(0x13f3)]=_0x3dc006;function _0xbc0d3e(){const _0x278404=_0xed06ce;_0xd6d295[_0x278404(0xcef)]=[];const _0x50a922=[];_0xd6d295[_0x278404(0xb98)][_0x278404(0x1142)]&&_0xd6d295[_0x278404(0xb98)][_0x278404(0x1142)][_0x278404(0x256e)]()===_0x278404(0xc9c)&&_0xd6d295['dial'][_0x278404(0x8f2)]==='outboundDial'&&(_0xd6d295[_0x278404(0xb98)][_0x278404(0x1d55)]=_0x3d1ecd['cutdigits']?(_0xd6d295['dial'][_0x278404(0x1e12)]||'')+_0x278404(0x2147)+_0x3d1ecd[_0x278404(0x1274)]+'}':(_0xd6d295['dial'][_0x278404(0x1e12)]||'')+_0x278404(0x20df),_0x3d1ecd[_0x278404(0x1425)]!=='none'?_0xd6d295[_0x278404(0xb98)][_0x278404(0xa08)][_0x278404(0x172b)]('U(xcally-mixmonitor-context)')<0x0&&(_0xd6d295[_0x278404(0xb98)][_0x278404(0xa08)]+='U(xcally-mixmonitor-context)'):_0xd6d295['dial']['options']=_0xd6d295[_0x278404(0xb98)][_0x278404(0xa08)]['replace']('U(xcally-mixmonitor-context)',''));if(_0xd6d295[_0x278404(0xb98)][_0x278404(0x8f2)]&&_0xd6d295[_0x278404(0xb98)][_0x278404(0x8f2)]===_0x278404(0x1802)){}else switch((_0xd6d295[_0x278404(0xb98)][_0x278404(0x1873)]||_0xd6d295['dial'][_0x278404(0x8f2)])['toLowerCase']()){case _0x278404(0x26ba):_0xd6d295[_0x278404(0xb98)][_0x278404(0x168a)]=_0xd6d295[_0x278404(0xb98)][_0x278404(0x19eb)]+'='+_0xd6d295[_0x278404(0xb98)][_0x278404(0x175d)];break;case _0x278404(0x1802):break;default:_0x50a922[0x0]=_0xd6d295[_0x278404(0xb98)][_0x278404(0xbe1)],_0x50a922[0x1]=_0xd6d295[_0x278404(0xb98)][_0x278404(0xa9c)],_0x50a922[0x2]=_0xd6d295[_0x278404(0xb98)][_0x278404(0xa08)],_0x50a922[0x3]=_0xd6d295['dial']['url'],_0xd6d295[_0x278404(0xb98)][_0x278404(0x168a)]=_0x50a922[_0x278404(0xb47)](',');}_0x3dc006(_0xd6d295[_0x278404(0xb98)]);}function _0x3dc006(_0x1b2f46){const _0x5394ba=_0xed06ce;_0x16b0da[_0x5394ba(0x2458)](_0x1b2f46);}}const _0x2b1770=_0x3791da;;_0x40c4fa[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),'inboundrouteApp',_0x313a4d(0x1d70),_0x313a4d(0x247f),_0x313a4d(0xa87),'crudPermissions'];function _0x40c4fa(_0x5f2b5e,_0x40bb14,_0x122fc1,_0x24de1c,_0x3f195b,_0x43a8d5,_0x196ea5,_0xd3cb61){const _0x2a46cf=_0x313a4d,_0x536e9b=this;_0x536e9b[_0x2a46cf(0x2321)]=_0x196ea5[_0x2a46cf(0xb12)](),_0x536e9b[_0x2a46cf(0xcef)]=[],_0x536e9b['title']=_0x2a46cf(0x1950)+(_0x24de1c[_0x2a46cf(0x8f2)]||_0x24de1c['app'])[_0x2a46cf(0x1c37)](),_0x536e9b[_0x2a46cf(0x1fb6)]=angular['copy'](_0x24de1c),_0x536e9b[_0x2a46cf(0x2514)]=_0xd3cb61,_0x536e9b['hasModulePermissions']={};if(_0x536e9b[_0x2a46cf(0x1fb6)][_0x2a46cf(0x168a)])switch(_0x536e9b[_0x2a46cf(0x1fb6)][_0x2a46cf(0x8f2)]?_0x536e9b[_0x2a46cf(0x1fb6)][_0x2a46cf(0x8f2)]['toLowerCase']():_0x536e9b['externaldial'][_0x2a46cf(0x1873)][_0x2a46cf(0x256e)]()){case _0x2a46cf(0x1802):break;case _0x2a46cf(0xeaa):{const _0x311c29=_0x536e9b[_0x2a46cf(0x1fb6)]['appdata'][_0x2a46cf(0x10c8)](',');_0x536e9b['externaldial'][_0x2a46cf(0x2293)]=_0x311c29[0x0],_0x536e9b[_0x2a46cf(0x1fb6)][_0x2a46cf(0x90b)]=_0x311c29[0x1],_0x536e9b['externaldial']['welcomemessage']=_0x311c29[_0x2a46cf(0x1298)](0x2,_0x311c29['length'])[_0x2a46cf(0xb47)](',');}break;case _0x2a46cf(0xa46):{const _0x1e4872=_0x536e9b['externaldial'][_0x2a46cf(0x168a)]['split'](',');_0x536e9b[_0x2a46cf(0x1fb6)]['projectId']=_0x1e4872[0x0],_0x536e9b['externaldial'][_0x2a46cf(0x1392)]=_0x1e4872[0x1],_0x536e9b[_0x2a46cf(0x1fb6)][_0x2a46cf(0x2217)]=_0x1e4872[0x2],_0x536e9b['externaldial'][_0x2a46cf(0x90b)]=_0x1e4872[0x3],_0x536e9b[_0x2a46cf(0x1fb6)][_0x2a46cf(0xaf3)]=_0x1e4872[_0x2a46cf(0x1298)](0x4,_0x1e4872[_0x2a46cf(0x402)])[_0x2a46cf(0xb47)](',');}break;case _0x2a46cf(0x17d6):{const _0x5654d6=_0x536e9b[_0x2a46cf(0x1fb6)][_0x2a46cf(0x168a)][_0x2a46cf(0x10c8)](',');_0x536e9b['externaldial'][_0x2a46cf(0x1651)]=_0x5654d6[0x0],_0x536e9b[_0x2a46cf(0x1fb6)]['secretaccesskey']=_0x5654d6[0x1],_0x536e9b[_0x2a46cf(0x1fb6)][_0x2a46cf(0x1039)]=_0x5654d6[0x2],_0x536e9b['externaldial'][_0x2a46cf(0x8dd)]=_0x5654d6[0x3],_0x536e9b['externaldial'][_0x2a46cf(0xaf3)]=_0x5654d6[_0x2a46cf(0x1298)](0x4,_0x5654d6['length'])[_0x2a46cf(0xb47)](',');}break;case'autoreply':{const _0x1e733a=_0x536e9b[_0x2a46cf(0x1fb6)][_0x2a46cf(0x168a)][_0x2a46cf(0x10c8)](',');_0x536e9b[_0x2a46cf(0x1fb6)][_0x2a46cf(0x583)]=isNaN(_0x1e733a[0x0])?_0x1e733a[0x0]:parseInt(_0x1e733a[0x0],0xa),_0x536e9b[_0x2a46cf(0x1fb6)][_0x2a46cf(0xa8d)]=_0x1e733a[_0x2a46cf(0x1298)](0x1,_0x1e733a[_0x2a46cf(0x402)])[_0x2a46cf(0xb47)](',');}break;case _0x2a46cf(0x7fd):_0x536e9b[_0x2a46cf(0x1fb6)]['text']=_0x536e9b['externaldial'][_0x2a46cf(0x168a)];break;case _0x2a46cf(0x26ba):_0x536e9b[_0x2a46cf(0x1fb6)][_0x2a46cf(0x19eb)]=_0x536e9b[_0x2a46cf(0x1fb6)][_0x2a46cf(0x168a)]['split']('=')[0x0],_0x536e9b[_0x2a46cf(0x1fb6)][_0x2a46cf(0x175d)]=_0x536e9b[_0x2a46cf(0x1fb6)][_0x2a46cf(0x168a)][_0x2a46cf(0x10c8)]('=')[0x1];break;case'agi':_0x536e9b[_0x2a46cf(0x1fb6)]['project']=_0x536e9b[_0x2a46cf(0x1fb6)]['appdata'];break;default:{const _0x187d7c=_0x536e9b[_0x2a46cf(0x1fb6)]['appdata'][_0x2a46cf(0x10c8)](',');_0x536e9b[_0x2a46cf(0x1fb6)][_0x2a46cf(0x279f)]=_0x187d7c[0x0][_0x2a46cf(0x10c8)]('/')[0x1],_0x536e9b[_0x2a46cf(0x1fb6)][_0x2a46cf(0x1d55)]=_0x187d7c[0x0]['split']('/')[0x2],_0x536e9b[_0x2a46cf(0x1fb6)][_0x2a46cf(0xa9c)]=_0x3f65c0()['isEmpty'](_0x187d7c[0x1])?_0x187d7c[0x1]:isNaN(_0x187d7c[0x1])?_0x187d7c[0x1]:parseInt(_0x187d7c[0x1],0xa),_0x536e9b[_0x2a46cf(0x1fb6)][_0x2a46cf(0xa08)]=_0x3f65c0()[_0x2a46cf(0x2635)](_0x187d7c[0x2])?_0x187d7c[0x2]:isNaN(_0x187d7c[0x2])?_0x187d7c[0x2]:parseInt(_0x187d7c[0x2],0xa),_0x536e9b[_0x2a46cf(0x1fb6)]['url']=_0x3f65c0()[_0x2a46cf(0x2635)](_0x187d7c[0x3])?_0x187d7c[0x3]:isNaN(_0x187d7c[0x3])?_0x187d7c[0x3]:parseInt(_0x187d7c[0x3],0xa);}break;}else{}_0x536e9b[_0x2a46cf(0x1fb6)][_0x2a46cf(0x1142)]&&_0x536e9b[_0x2a46cf(0x1fb6)][_0x2a46cf(0x1142)][_0x2a46cf(0x256e)]()===_0x2a46cf(0xc9c)&&_0x536e9b[_0x2a46cf(0x1fb6)]['appType'][_0x2a46cf(0x256e)]()===_0x2a46cf(0x3bb)&&(_0x536e9b['externaldial'][_0x2a46cf(0x1e12)]=_0x536e9b[_0x2a46cf(0x1fb6)][_0x2a46cf(0x1d55)]?_0x536e9b['externaldial'][_0x2a46cf(0x1d55)][_0x2a46cf(0x10c8)]('$')[0x0]:undefined,_0x536e9b['externaldial'][_0x2a46cf(0x1d43)]=_0x536e9b['externaldial'][_0x2a46cf(0x11be)]?_0x2a46cf(0x1b60)+_0x536e9b[_0x2a46cf(0x1fb6)]['callerID']:undefined);_0x536e9b[_0x2a46cf(0x2332)]=_0x45e260,_0x536e9b[_0x2a46cf(0x13f3)]=_0x42911c,_0x196ea5[_0x2a46cf(0x23e0)](_0x2a46cf(0x174b))?_0x43a8d5[_0x2a46cf(0x279f)][_0x2a46cf(0x16b4)]({'fields':_0x2a46cf(0x7a7),'sort':_0x2a46cf(0x19eb),'nolimit':_0x2a46cf(0x1185)})['$promise'][_0x2a46cf(0x146b)](function(_0x13cfc0){const _0x1cce74=_0x2a46cf;_0x536e9b[_0x1cce74(0x2433)]=_0x13cfc0['rows']||[];})['catch'](function(_0x427b46){const _0x3bac8c=_0x2a46cf;_0x122fc1[_0x3bac8c(0x1980)]({'title':_0x427b46[_0x3bac8c(0x107b)]?'API:'+_0x427b46[_0x3bac8c(0x107b)]+_0x3bac8c(0x1315)+_0x427b46['statusText']:_0x3bac8c(0xfc0),'msg':_0x427b46[_0x3bac8c(0x524)]?JSON[_0x3bac8c(0x10bb)](_0x427b46[_0x3bac8c(0x524)]):_0x427b46[_0x3bac8c(0xd5f)]()});}):_0x43a8d5[_0x2a46cf(0x279f)][_0x2a46cf(0x16b4)]({'fields':_0x2a46cf(0x7a7),'sort':_0x2a46cf(0x19eb),'nolimit':_0x2a46cf(0x1185)})[_0x2a46cf(0x2945)][_0x2a46cf(0x146b)](function(_0x5d1ec4){const _0x3ea68d=_0x2a46cf;_0x536e9b[_0x3ea68d(0x2433)]=_0x5d1ec4[_0x3ea68d(0x19c7)]||[];})[_0x2a46cf(0x146b)](function(){const _0x1e138e=_0x2a46cf;return _0x43a8d5['userProfileSection']['get']({'userProfileId':_0x536e9b[_0x1e138e(0x2321)][_0x1e138e(0x209a)],'sectionId':0x3f3})[_0x1e138e(0x2945)];})['then'](function(_0x232f7e){const _0x2a8278=_0x2a46cf,_0x42dca8=_0x232f7e&&_0x232f7e[_0x2a8278(0x19c7)]?_0x232f7e[_0x2a8278(0x19c7)][0x0]:null;if(!_0x42dca8){const _0x4636aa=[];let _0x5e97c8=null;_0x536e9b[_0x2a8278(0x1fb6)]&&(_0x5e97c8=_0x3f65c0()['find'](_0x536e9b[_0x2a8278(0x2433)],{'name':_0x536e9b[_0x2a8278(0x1fb6)][_0x2a8278(0x279f)]}));for(let _0x11c260=0x0;_0x11c260<_0x536e9b['trunks'][_0x2a8278(0x402)];_0x11c260++){_0x5e97c8&&_0x536e9b[_0x2a8278(0x2433)][_0x11c260]['id']===_0x5e97c8['id']&&(_0x536e9b['trunks'][_0x11c260][_0x2a8278(0x8ff)]=![],_0x4636aa[_0x2a8278(0x1f47)](_0x536e9b['trunks'][_0x11c260]));}_0x536e9b[_0x2a8278(0x2433)]=_0x4636aa;}else{if(!_0x42dca8[_0x2a8278(0x11d2)])return _0x43a8d5[_0x2a8278(0xdcc)]['get']({'sectionId':_0x42dca8['id']})[_0x2a8278(0x2945)][_0x2a8278(0x146b)](function(_0x162454){const _0x23aba0=_0x2a8278,_0x15178c=_0x3f65c0()[_0x23aba0(0x205)](_0x162454[_0x23aba0(0x19c7)],function(_0x664124){return _0x3f65c0()['find'](_0x536e9b['trunks'],{'id':_0x664124['resourceId']});});let _0x50bc78=null;_0x536e9b[_0x23aba0(0x1fb6)]&&(_0x50bc78=_0x3f65c0()[_0x23aba0(0xc84)](_0x536e9b[_0x23aba0(0x2433)],{'name':_0x536e9b[_0x23aba0(0x1fb6)]['trunk']}));if(_0x50bc78&&!_0x3f65c0()[_0x23aba0(0x1360)](_0x15178c,['id',_0x50bc78['id']])){const _0x56fa7b=_0x3f65c0()[_0x23aba0(0xc84)](_0x536e9b[_0x23aba0(0x2433)],{'id':_0x50bc78['id']});_0x56fa7b[_0x23aba0(0x8ff)]=![],_0x15178c[_0x23aba0(0x1f47)](_0x56fa7b);}_0x536e9b['trunks']=_0x15178c;});}})[_0x2a46cf(0x129e)](function(_0x1e5812){const _0x5026d9=_0x2a46cf;_0x122fc1[_0x5026d9(0x1980)]({'title':_0x1e5812[_0x5026d9(0x107b)]?_0x5026d9(0x262a)+_0x1e5812[_0x5026d9(0x107b)]+'\x20-\x20'+_0x1e5812[_0x5026d9(0x167f)]:'SYSTEM:GETtrunks','msg':_0x1e5812[_0x5026d9(0x524)]?JSON[_0x5026d9(0x10bb)](_0x1e5812['data']):_0x1e5812[_0x5026d9(0xd5f)]()});});function _0x45e260(){const _0x527848=_0x2a46cf;_0x536e9b['errors']=[];const _0x1d1bb2=[];_0x536e9b[_0x527848(0x1fb6)][_0x527848(0x1142)]&&_0x536e9b['externaldial'][_0x527848(0x1142)][_0x527848(0x256e)]()===_0x527848(0xc9c)&&_0x536e9b['externaldial']['appType']===_0x527848(0x118b)&&(_0x536e9b[_0x527848(0x1fb6)]['phone']=_0x3f195b[_0x527848(0x1274)]?(_0x536e9b[_0x527848(0x1fb6)][_0x527848(0x1e12)]||'')+_0x527848(0x2147)+_0x3f195b['cutdigits']+'}':(_0x536e9b['externaldial'][_0x527848(0x1e12)]||'')+_0x527848(0x20df),_0x3f195b[_0x527848(0x1425)]!==_0x527848(0x1642)?_0x536e9b[_0x527848(0x1fb6)]['options'][_0x527848(0x172b)](_0x527848(0x1ea4))<0x0&&(_0x536e9b['externaldial'][_0x527848(0xa08)]+=_0x527848(0x1ea4)):_0x536e9b[_0x527848(0x1fb6)][_0x527848(0xa08)]=_0x536e9b[_0x527848(0x1fb6)][_0x527848(0xa08)][_0x527848(0x5f4)]('U(xcally-mixmonitor-context)',''));if(_0x536e9b[_0x527848(0x1fb6)][_0x527848(0x8f2)]&&_0x536e9b[_0x527848(0x1fb6)]['appType']===_0x527848(0x1802)){}else switch((_0x536e9b[_0x527848(0x1fb6)][_0x527848(0x1873)]||_0x536e9b['externaldial'][_0x527848(0x8f2)])[_0x527848(0x256e)]()){case _0x527848(0x26ba):_0x536e9b[_0x527848(0x1fb6)][_0x527848(0x168a)]=_0x536e9b[_0x527848(0x1fb6)][_0x527848(0x19eb)]+'='+_0x536e9b[_0x527848(0x1fb6)][_0x527848(0x175d)];break;case _0x527848(0x1802):break;default:_0x1d1bb2[0x0]=['SIP',_0x536e9b[_0x527848(0x1fb6)][_0x527848(0x279f)],_0x536e9b[_0x527848(0x1fb6)][_0x527848(0x1d55)]][_0x527848(0xb47)]('/'),_0x1d1bb2[0x1]=_0x536e9b[_0x527848(0x1fb6)][_0x527848(0xa9c)],_0x1d1bb2[0x2]=_0x536e9b['externaldial'][_0x527848(0xa08)],_0x1d1bb2[0x3]=_0x536e9b[_0x527848(0x1fb6)]['url'],_0x536e9b[_0x527848(0x1fb6)][_0x527848(0x168a)]=_0x1d1bb2['join'](',');}_0x42911c(_0x536e9b[_0x527848(0x1fb6)]);}function _0x42911c(_0x18f2ac){_0x5f2b5e['hide'](_0x18f2ac);}}const _0x2fef2f=_0x40c4fa;;_0x38594d['$inject']=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x2296),_0x313a4d(0x1d70),_0x313a4d(0x247f),_0x313a4d(0xa87),'crudPermissions'];function _0x38594d(_0xf0d686,_0x2abdc7,_0x527e3f,_0x4a6545,_0x450782,_0x453c0d,_0x849ca6,_0x1be5be){const _0x2a019a=_0x313a4d,_0x448128=this;_0x448128[_0x2a019a(0x2321)]=_0x849ca6[_0x2a019a(0xb12)](),_0x448128[_0x2a019a(0xcef)]=[],_0x448128[_0x2a019a(0x1189)]=_0x2a019a(0x1950)+(_0x4a6545['appType']||_0x4a6545[_0x2a019a(0x1873)])['toUpperCase'](),_0x448128[_0x2a019a(0x151b)]=angular[_0x2a019a(0x235a)](_0x4a6545),_0x448128[_0x2a019a(0x2514)]=_0x1be5be,_0x448128[_0x2a019a(0x855)]={};if(_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x168a)])switch(_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x8f2)]?_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x8f2)]['toLowerCase']():_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x1873)][_0x2a019a(0x256e)]()){case _0x2a019a(0x1802):break;case'dialogflow':{const _0x533dbf=_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x168a)][_0x2a019a(0x10c8)](',');_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x2293)]=_0x533dbf[0x0],_0x448128['goto']['language']=_0x533dbf[0x1],_0x448128['goto'][_0x2a019a(0xaf3)]=_0x533dbf[_0x2a019a(0x1298)](0x2,_0x533dbf[_0x2a019a(0x402)])[_0x2a019a(0xb47)](',');}break;case _0x2a019a(0xa46):{const _0x5e1e01=_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x168a)][_0x2a019a(0x10c8)](',');_0x448128[_0x2a019a(0x151b)]['projectId']=_0x5e1e01[0x0],_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x1392)]=_0x5e1e01[0x1],_0x448128['goto']['privateKey']=_0x5e1e01[0x2],_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x90b)]=_0x5e1e01[0x3],_0x448128['goto'][_0x2a019a(0xaf3)]=_0x5e1e01[_0x2a019a(0x1298)](0x4,_0x5e1e01[_0x2a019a(0x402)])['join'](',');}break;case'amazonlex':{const _0x415243=_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x168a)][_0x2a019a(0x10c8)](',');_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x1651)]=_0x415243[0x0],_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x252)]=_0x415243[0x1],_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x1039)]=_0x415243[0x2],_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x8dd)]=_0x415243[0x3],_0x448128['goto'][_0x2a019a(0xaf3)]=_0x415243[_0x2a019a(0x1298)](0x4,_0x415243[_0x2a019a(0x402)])[_0x2a019a(0xb47)](',');}break;case _0x2a019a(0x1713):{const _0x3b58e8=_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x168a)][_0x2a019a(0x10c8)](',');_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x583)]=isNaN(_0x3b58e8[0x0])?_0x3b58e8[0x0]:parseInt(_0x3b58e8[0x0],0xa),_0x448128[_0x2a019a(0x151b)][_0x2a019a(0xa8d)]=_0x3b58e8['slice'](0x1,_0x3b58e8[_0x2a019a(0x402)])[_0x2a019a(0xb47)](',');}break;case'message':_0x448128[_0x2a019a(0x151b)]['text']=_0x448128['goto'][_0x2a019a(0x168a)];break;case _0x2a019a(0x26ba):_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x19eb)]=_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x168a)]['split']('=')[0x0],_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x175d)]=_0x448128['goto']['appdata'][_0x2a019a(0x10c8)]('=')[0x1];break;case _0x2a019a(0x1ecb):_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x9e0)]=_0x448128[_0x2a019a(0x151b)]['appdata'];break;default:{const _0x3d81b8=_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x168a)]['split'](',');_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x1ac1)]=_0x3f65c0()[_0x2a019a(0x2635)](_0x3d81b8[0x0])?_0x3d81b8[0x0]:isNaN(_0x3d81b8[0x0])?_0x3d81b8[0x0]:parseInt(_0x3d81b8[0x0],0xa),_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x1bc6)]=_0x3f65c0()[_0x2a019a(0x2635)](_0x3d81b8[0x1])?_0x3d81b8[0x1]:isNaN(_0x3d81b8[0x1])?_0x3d81b8[0x1]:parseInt(_0x3d81b8[0x1],0xa),_0x448128[_0x2a019a(0x151b)]['priority']=_0x3f65c0()[_0x2a019a(0x2635)](_0x3d81b8[0x2])?_0x3d81b8[0x2]:isNaN(_0x3d81b8[0x2])?_0x3d81b8[0x2]:parseInt(_0x3d81b8[0x2],0xa);}break;}else{}_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x1142)]&&_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x1142)]['toLowerCase']()==='outbound'&&_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x8f2)][_0x2a019a(0x256e)]()===_0x2a019a(0x3bb)&&(_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x1e12)]=_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x1d55)]?_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x1d55)][_0x2a019a(0x10c8)]('$')[0x0]:undefined,_0x448128[_0x2a019a(0x151b)]['callerId']=_0x448128[_0x2a019a(0x151b)][_0x2a019a(0x11be)]?_0x2a019a(0x1b60)+_0x448128['goto'][_0x2a019a(0x11be)]:undefined);_0x448128[_0x2a019a(0x2332)]=_0x2111e8,_0x448128[_0x2a019a(0x13f3)]=_0x34d3b4,_0x849ca6[_0x2a019a(0x23e0)]('admin')?_0x453c0d['voiceContext'][_0x2a019a(0x16b4)]({'fields':_0x2a019a(0x7a7),'sort':_0x2a019a(0x19eb),'nolimit':_0x2a019a(0x1185)})['$promise'][_0x2a019a(0x146b)](function(_0x522f1f){const _0x15838f=_0x2a019a;_0x448128['contexts']=_0x522f1f[_0x15838f(0x19c7)]||[];})[_0x2a019a(0x129e)](function(_0x487a3b){const _0x4b6aed=_0x2a019a;_0x527e3f['error']({'title':_0x487a3b[_0x4b6aed(0x107b)]?_0x4b6aed(0x262a)+_0x487a3b[_0x4b6aed(0x107b)]+_0x4b6aed(0x1315)+_0x487a3b[_0x4b6aed(0x167f)]:_0x4b6aed(0x2742),'msg':_0x487a3b[_0x4b6aed(0x524)]?JSON[_0x4b6aed(0x10bb)](_0x487a3b[_0x4b6aed(0x524)]):_0x487a3b['toString']()});}):_0x453c0d[_0x2a019a(0x1b82)][_0x2a019a(0x16b4)]({'fields':_0x2a019a(0x7a7),'sort':_0x2a019a(0x19eb),'nolimit':_0x2a019a(0x1185)})['$promise']['then'](function(_0x43186d){const _0x1ebccc=_0x2a019a;_0x448128['contexts']=_0x43186d[_0x1ebccc(0x19c7)]||[];})[_0x2a019a(0x146b)](function(){const _0x728925=_0x2a019a;return _0x453c0d[_0x728925(0x1366)][_0x728925(0x16b4)]({'userProfileId':_0x448128[_0x728925(0x2321)][_0x728925(0x209a)],'sectionId':0x195})[_0x728925(0x2945)];})[_0x2a019a(0x146b)](function(_0x674cf7){const _0x8776e0=_0x2a019a,_0x4d8b54=_0x674cf7&&_0x674cf7[_0x8776e0(0x19c7)]?_0x674cf7['rows'][0x0]:null;if(!_0x4d8b54)return _0x453c0d[_0x8776e0(0x1b82)][_0x8776e0(0x16b4)]({'fields':'id,name','sort':'name','nolimit':_0x8776e0(0x1185),'defaultEntry':0x1})[_0x8776e0(0x2945)]['then'](function(_0x501a58){const _0x3488c2=_0x8776e0;_0x448128[_0x3488c2(0xfe9)]=_0x501a58['rows']||[];});else{if(!_0x4d8b54[_0x8776e0(0x11d2)])return _0x453c0d[_0x8776e0(0xdcc)][_0x8776e0(0x16b4)]({'sectionId':_0x4d8b54['id']})[_0x8776e0(0x2945)][_0x8776e0(0x146b)](function(_0x5ac674){const _0x25d176=_0x8776e0,_0x3f9640=_0x3f65c0()['map'](_0x5ac674['rows'],function(_0xa549c8){const _0x345728=a0_0x3bb9;return _0x3f65c0()[_0x345728(0xc84)](_0x448128[_0x345728(0xfe9)],{'id':_0xa549c8[_0x345728(0x18b8)]});});let _0x4d2d28=null;_0x448128[_0x25d176(0x151b)]&&(_0x4d2d28=_0x3f65c0()[_0x25d176(0xc84)](_0x448128[_0x25d176(0xfe9)],{'name':_0x448128['goto']['context']}));if(_0x4d2d28&&!_0x3f65c0()['some'](_0x3f9640,['id',_0x4d2d28['id']])){const _0x52d4f9=_0x3f65c0()[_0x25d176(0xc84)](_0x448128[_0x25d176(0xfe9)],{'id':_0x4d2d28['id']});_0x52d4f9[_0x25d176(0x8ff)]=![],_0x3f9640[_0x25d176(0x1f47)](_0x52d4f9);}_0x448128['contexts']=_0x3f9640;});}})[_0x2a019a(0x129e)](function(_0x2e7485){const _0x2fa9e9=_0x2a019a;_0x527e3f[_0x2fa9e9(0x1980)]({'title':_0x2e7485['status']?_0x2fa9e9(0x262a)+_0x2e7485[_0x2fa9e9(0x107b)]+_0x2fa9e9(0x1315)+_0x2e7485['statusText']:'SYSTEM:GETcontexts','msg':_0x2e7485[_0x2fa9e9(0x524)]?JSON[_0x2fa9e9(0x10bb)](_0x2e7485[_0x2fa9e9(0x524)]):_0x2e7485[_0x2fa9e9(0xd5f)]()});});function _0x2111e8(){const _0x81d1fe=_0x2a019a;_0x448128[_0x81d1fe(0xcef)]=[];const _0x4ebf61=[];_0x448128['goto'][_0x81d1fe(0x1142)]&&_0x448128[_0x81d1fe(0x151b)][_0x81d1fe(0x1142)]['toLowerCase']()===_0x81d1fe(0xc9c)&&_0x448128['goto'][_0x81d1fe(0x8f2)]===_0x81d1fe(0x118b)&&(_0x448128[_0x81d1fe(0x151b)][_0x81d1fe(0x1d55)]=_0x450782[_0x81d1fe(0x1274)]?(_0x448128['goto'][_0x81d1fe(0x1e12)]||'')+_0x81d1fe(0x2147)+_0x450782['cutdigits']+'}':(_0x448128[_0x81d1fe(0x151b)][_0x81d1fe(0x1e12)]||'')+_0x81d1fe(0x20df),_0x450782[_0x81d1fe(0x1425)]!==_0x81d1fe(0x1642)?_0x448128[_0x81d1fe(0x151b)]['options'][_0x81d1fe(0x172b)](_0x81d1fe(0x1ea4))<0x0&&(_0x448128['goto'][_0x81d1fe(0xa08)]+=_0x81d1fe(0x1ea4)):_0x448128['goto'][_0x81d1fe(0xa08)]=_0x448128[_0x81d1fe(0x151b)]['options']['replace'](_0x81d1fe(0x1ea4),''));if(_0x448128['goto']['appType']&&_0x448128[_0x81d1fe(0x151b)]['appType']===_0x81d1fe(0x1802)){}else switch((_0x448128[_0x81d1fe(0x151b)][_0x81d1fe(0x1873)]||_0x448128[_0x81d1fe(0x151b)][_0x81d1fe(0x8f2)])[_0x81d1fe(0x256e)]()){case _0x81d1fe(0x26ba):_0x448128[_0x81d1fe(0x151b)][_0x81d1fe(0x168a)]=_0x448128['goto'][_0x81d1fe(0x19eb)]+'='+_0x448128['goto'][_0x81d1fe(0x175d)];break;case _0x81d1fe(0x1802):break;default:_0x4ebf61[0x0]=_0x448128[_0x81d1fe(0x151b)][_0x81d1fe(0x1ac1)],_0x4ebf61[0x1]=_0x448128['goto'][_0x81d1fe(0x1bc6)],_0x4ebf61[0x2]=_0x448128[_0x81d1fe(0x151b)][_0x81d1fe(0xa6a)],_0x448128[_0x81d1fe(0x151b)][_0x81d1fe(0x168a)]=_0x4ebf61['join'](',');}_0x34d3b4(_0x448128[_0x81d1fe(0x151b)]);}function _0x34d3b4(_0x473101){const _0xfa6f12=_0x2a019a;_0xf0d686[_0xfa6f12(0x2458)](_0x473101);}}const _0x2661c3=_0x38594d;;_0x356084['$inject']=['$mdDialog','$q',_0x313a4d(0x1fe4),_0x313a4d(0x2296),_0x313a4d(0x1d70),_0x313a4d(0x247f),_0x313a4d(0xa87),'crudPermissions'];function _0x356084(_0x182b2c,_0x41851a,_0x14dcd9,_0x1f31d6,_0x2a22ab,_0x2e4b98,_0x16203a,_0x3a39e7){const _0x1bbd87=_0x313a4d,_0x596afb=this;_0x596afb[_0x1bbd87(0x2321)]=_0x16203a[_0x1bbd87(0xb12)](),_0x596afb[_0x1bbd87(0xcef)]=[],_0x596afb[_0x1bbd87(0x1189)]='VOICE.EDIT_'+(_0x1f31d6['appType']||_0x1f31d6[_0x1bbd87(0x1873)])['toUpperCase'](),_0x596afb['hangup']=angular['copy'](_0x1f31d6),_0x596afb[_0x1bbd87(0x2514)]=_0x3a39e7,_0x596afb[_0x1bbd87(0x855)]={};if(_0x596afb[_0x1bbd87(0x1dbf)][_0x1bbd87(0x168a)])switch(_0x596afb['hangup']['appType']?_0x596afb[_0x1bbd87(0x1dbf)]['appType'][_0x1bbd87(0x256e)]():_0x596afb['hangup'][_0x1bbd87(0x1873)][_0x1bbd87(0x256e)]()){case _0x1bbd87(0x1802):break;case _0x1bbd87(0xeaa):{const _0x2b1b00=_0x596afb[_0x1bbd87(0x1dbf)][_0x1bbd87(0x168a)][_0x1bbd87(0x10c8)](',');_0x596afb['hangup'][_0x1bbd87(0x2293)]=_0x2b1b00[0x0],_0x596afb[_0x1bbd87(0x1dbf)][_0x1bbd87(0x90b)]=_0x2b1b00[0x1],_0x596afb[_0x1bbd87(0x1dbf)][_0x1bbd87(0xaf3)]=_0x2b1b00[_0x1bbd87(0x1298)](0x2,_0x2b1b00[_0x1bbd87(0x402)])[_0x1bbd87(0xb47)](',');}break;case _0x1bbd87(0xa46):{const _0xa92a90=_0x596afb['hangup']['appdata'][_0x1bbd87(0x10c8)](',');_0x596afb[_0x1bbd87(0x1dbf)][_0x1bbd87(0x153a)]=_0xa92a90[0x0],_0x596afb[_0x1bbd87(0x1dbf)][_0x1bbd87(0x1392)]=_0xa92a90[0x1],_0x596afb['hangup']['privateKey']=_0xa92a90[0x2],_0x596afb[_0x1bbd87(0x1dbf)][_0x1bbd87(0x90b)]=_0xa92a90[0x3],_0x596afb[_0x1bbd87(0x1dbf)][_0x1bbd87(0xaf3)]=_0xa92a90[_0x1bbd87(0x1298)](0x4,_0xa92a90[_0x1bbd87(0x402)])[_0x1bbd87(0xb47)](',');}break;case _0x1bbd87(0x17d6):{const _0x394ee2=_0x596afb[_0x1bbd87(0x1dbf)][_0x1bbd87(0x168a)][_0x1bbd87(0x10c8)](',');_0x596afb['hangup'][_0x1bbd87(0x1651)]=_0x394ee2[0x0],_0x596afb['hangup']['secretaccesskey']=_0x394ee2[0x1],_0x596afb[_0x1bbd87(0x1dbf)][_0x1bbd87(0x1039)]=_0x394ee2[0x2],_0x596afb['hangup'][_0x1bbd87(0x8dd)]=_0x394ee2[0x3],_0x596afb[_0x1bbd87(0x1dbf)][_0x1bbd87(0xaf3)]=_0x394ee2['slice'](0x4,_0x394ee2[_0x1bbd87(0x402)])['join'](',');}break;case _0x1bbd87(0x1713):{const _0x2aa025=_0x596afb[_0x1bbd87(0x1dbf)]['appdata'][_0x1bbd87(0x10c8)](',');_0x596afb[_0x1bbd87(0x1dbf)][_0x1bbd87(0x583)]=isNaN(_0x2aa025[0x0])?_0x2aa025[0x0]:parseInt(_0x2aa025[0x0],0xa),_0x596afb[_0x1bbd87(0x1dbf)][_0x1bbd87(0xa8d)]=_0x2aa025[_0x1bbd87(0x1298)](0x1,_0x2aa025['length'])['join'](',');}break;case _0x1bbd87(0x7fd):_0x596afb[_0x1bbd87(0x1dbf)]['text']=_0x596afb[_0x1bbd87(0x1dbf)][_0x1bbd87(0x168a)];break;case _0x1bbd87(0x26ba):_0x596afb[_0x1bbd87(0x1dbf)]['name']=_0x596afb[_0x1bbd87(0x1dbf)][_0x1bbd87(0x168a)]['split']('=')[0x0],_0x596afb['hangup'][_0x1bbd87(0x175d)]=_0x596afb[_0x1bbd87(0x1dbf)][_0x1bbd87(0x168a)][_0x1bbd87(0x10c8)]('=')[0x1];break;case'agi':_0x596afb[_0x1bbd87(0x1dbf)]['project']=_0x596afb[_0x1bbd87(0x1dbf)][_0x1bbd87(0x168a)];break;default:{const _0x22f2b0=_0x596afb['hangup'][_0x1bbd87(0x168a)][_0x1bbd87(0x10c8)](',');_0x596afb[_0x1bbd87(0x1dbf)][_0x1bbd87(0x168a)]=_0x3f65c0()[_0x1bbd87(0x2635)](_0x22f2b0[0x0])?_0x22f2b0[0x0]:isNaN(_0x22f2b0[0x0])?_0x22f2b0[0x0]:parseInt(_0x22f2b0[0x0],0xa);}break;}else{}_0x596afb['hangup']['type']&&_0x596afb[_0x1bbd87(0x1dbf)]['type']['toLowerCase']()===_0x1bbd87(0xc9c)&&_0x596afb[_0x1bbd87(0x1dbf)][_0x1bbd87(0x8f2)][_0x1bbd87(0x256e)]()==='outbounddial'&&(_0x596afb[_0x1bbd87(0x1dbf)][_0x1bbd87(0x1e12)]=_0x596afb['hangup'][_0x1bbd87(0x1d55)]?_0x596afb[_0x1bbd87(0x1dbf)]['phone'][_0x1bbd87(0x10c8)]('$')[0x0]:undefined,_0x596afb[_0x1bbd87(0x1dbf)][_0x1bbd87(0x1d43)]=_0x596afb[_0x1bbd87(0x1dbf)][_0x1bbd87(0x11be)]?_0x1bbd87(0x1b60)+_0x596afb[_0x1bbd87(0x1dbf)][_0x1bbd87(0x11be)]:undefined);_0x596afb[_0x1bbd87(0x2332)]=_0x332258,_0x596afb[_0x1bbd87(0x13f3)]=_0x118ad0;function _0x332258(){const _0x2c3ccb=_0x1bbd87;_0x596afb[_0x2c3ccb(0xcef)]=[];const _0x39209f=[];_0x596afb[_0x2c3ccb(0x1dbf)][_0x2c3ccb(0x1142)]&&_0x596afb['hangup']['type'][_0x2c3ccb(0x256e)]()===_0x2c3ccb(0xc9c)&&_0x596afb[_0x2c3ccb(0x1dbf)][_0x2c3ccb(0x8f2)]===_0x2c3ccb(0x118b)&&(_0x596afb[_0x2c3ccb(0x1dbf)]['phone']=_0x2a22ab[_0x2c3ccb(0x1274)]?(_0x596afb[_0x2c3ccb(0x1dbf)][_0x2c3ccb(0x1e12)]||'')+_0x2c3ccb(0x2147)+_0x2a22ab[_0x2c3ccb(0x1274)]+'}':(_0x596afb[_0x2c3ccb(0x1dbf)][_0x2c3ccb(0x1e12)]||'')+_0x2c3ccb(0x20df),_0x2a22ab[_0x2c3ccb(0x1425)]!==_0x2c3ccb(0x1642)?_0x596afb['hangup'][_0x2c3ccb(0xa08)][_0x2c3ccb(0x172b)](_0x2c3ccb(0x1ea4))<0x0&&(_0x596afb[_0x2c3ccb(0x1dbf)]['options']+=_0x2c3ccb(0x1ea4)):_0x596afb[_0x2c3ccb(0x1dbf)][_0x2c3ccb(0xa08)]=_0x596afb[_0x2c3ccb(0x1dbf)]['options'][_0x2c3ccb(0x5f4)](_0x2c3ccb(0x1ea4),''));if(_0x596afb[_0x2c3ccb(0x1dbf)][_0x2c3ccb(0x8f2)]&&_0x596afb[_0x2c3ccb(0x1dbf)][_0x2c3ccb(0x8f2)]==='custom'){}else switch((_0x596afb[_0x2c3ccb(0x1dbf)][_0x2c3ccb(0x1873)]||_0x596afb[_0x2c3ccb(0x1dbf)][_0x2c3ccb(0x8f2)])[_0x2c3ccb(0x256e)]()){case _0x2c3ccb(0x26ba):_0x596afb[_0x2c3ccb(0x1dbf)]['appdata']=_0x596afb[_0x2c3ccb(0x1dbf)][_0x2c3ccb(0x19eb)]+'='+_0x596afb[_0x2c3ccb(0x1dbf)][_0x2c3ccb(0x175d)];break;case'custom':break;default:_0x39209f[0x0]=_0x596afb[_0x2c3ccb(0x1dbf)][_0x2c3ccb(0x168a)],_0x596afb[_0x2c3ccb(0x1dbf)]['appdata']=_0x39209f[_0x2c3ccb(0xb47)](',');}_0x118ad0(_0x596afb[_0x2c3ccb(0x1dbf)]);}function _0x118ad0(_0x4e25f5){_0x182b2c['hide'](_0x4e25f5);}}const _0x5dfcb=_0x356084;;_0x1cf117['$inject']=['$mdDialog','$q',_0x313a4d(0x1fe4),_0x313a4d(0x2296),_0x313a4d(0x1d70),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x1cf117(_0xb5c95e,_0x26c25e,_0x13b6a6,_0x2203de,_0x5691e0,_0x333196,_0x678259,_0x1d6f12){const _0x4b4504=_0x313a4d,_0xa8a69f=this;_0xa8a69f[_0x4b4504(0x2321)]=_0x678259['getCurrentUser'](),_0xa8a69f['errors']=[],_0xa8a69f[_0x4b4504(0x1189)]='VOICE.EDIT_'+(_0x2203de[_0x4b4504(0x8f2)]||_0x2203de[_0x4b4504(0x1873)])[_0x4b4504(0x1c37)](),_0xa8a69f[_0x4b4504(0x3bd)]=angular[_0x4b4504(0x235a)](_0x2203de),_0xa8a69f['crudPermissions']=_0x1d6f12,_0xa8a69f[_0x4b4504(0x855)]={};if(_0xa8a69f[_0x4b4504(0x3bd)][_0x4b4504(0x168a)])switch(_0xa8a69f['internaldial'][_0x4b4504(0x8f2)]?_0xa8a69f[_0x4b4504(0x3bd)][_0x4b4504(0x8f2)][_0x4b4504(0x256e)]():_0xa8a69f['internaldial'][_0x4b4504(0x1873)][_0x4b4504(0x256e)]()){case _0x4b4504(0x1802):break;case _0x4b4504(0xeaa):{const _0x3bc0e2=_0xa8a69f[_0x4b4504(0x3bd)]['appdata'][_0x4b4504(0x10c8)](',');_0xa8a69f[_0x4b4504(0x3bd)][_0x4b4504(0x2293)]=_0x3bc0e2[0x0],_0xa8a69f['internaldial']['language']=_0x3bc0e2[0x1],_0xa8a69f[_0x4b4504(0x3bd)][_0x4b4504(0xaf3)]=_0x3bc0e2[_0x4b4504(0x1298)](0x2,_0x3bc0e2['length'])[_0x4b4504(0xb47)](',');}break;case _0x4b4504(0xa46):{const _0x1be4c6=_0xa8a69f[_0x4b4504(0x3bd)][_0x4b4504(0x168a)][_0x4b4504(0x10c8)](',');_0xa8a69f[_0x4b4504(0x3bd)][_0x4b4504(0x153a)]=_0x1be4c6[0x0],_0xa8a69f[_0x4b4504(0x3bd)]['clientEmail']=_0x1be4c6[0x1],_0xa8a69f[_0x4b4504(0x3bd)][_0x4b4504(0x2217)]=_0x1be4c6[0x2],_0xa8a69f[_0x4b4504(0x3bd)][_0x4b4504(0x90b)]=_0x1be4c6[0x3],_0xa8a69f[_0x4b4504(0x3bd)][_0x4b4504(0xaf3)]=_0x1be4c6[_0x4b4504(0x1298)](0x4,_0x1be4c6[_0x4b4504(0x402)])[_0x4b4504(0xb47)](',');}break;case'amazonlex':{const _0x54e3dc=_0xa8a69f[_0x4b4504(0x3bd)]['appdata'][_0x4b4504(0x10c8)](',');_0xa8a69f['internaldial']['accesskeyid']=_0x54e3dc[0x0],_0xa8a69f['internaldial'][_0x4b4504(0x252)]=_0x54e3dc[0x1],_0xa8a69f[_0x4b4504(0x3bd)]['lexregion']=_0x54e3dc[0x2],_0xa8a69f[_0x4b4504(0x3bd)][_0x4b4504(0x8dd)]=_0x54e3dc[0x3],_0xa8a69f[_0x4b4504(0x3bd)][_0x4b4504(0xaf3)]=_0x54e3dc[_0x4b4504(0x1298)](0x4,_0x54e3dc[_0x4b4504(0x402)])['join'](',');}break;case _0x4b4504(0x1713):{const _0x5e7742=_0xa8a69f[_0x4b4504(0x3bd)][_0x4b4504(0x168a)][_0x4b4504(0x10c8)](',');_0xa8a69f[_0x4b4504(0x3bd)][_0x4b4504(0x583)]=isNaN(_0x5e7742[0x0])?_0x5e7742[0x0]:parseInt(_0x5e7742[0x0],0xa),_0xa8a69f[_0x4b4504(0x3bd)][_0x4b4504(0xa8d)]=_0x5e7742[_0x4b4504(0x1298)](0x1,_0x5e7742[_0x4b4504(0x402)])[_0x4b4504(0xb47)](',');}break;case'message':_0xa8a69f[_0x4b4504(0x3bd)][_0x4b4504(0xa8d)]=_0xa8a69f['internaldial'][_0x4b4504(0x168a)];break;case _0x4b4504(0x26ba):_0xa8a69f['internaldial'][_0x4b4504(0x19eb)]=_0xa8a69f[_0x4b4504(0x3bd)][_0x4b4504(0x168a)][_0x4b4504(0x10c8)]('=')[0x0],_0xa8a69f[_0x4b4504(0x3bd)][_0x4b4504(0x175d)]=_0xa8a69f[_0x4b4504(0x3bd)][_0x4b4504(0x168a)][_0x4b4504(0x10c8)]('=')[0x1];break;case _0x4b4504(0x1ecb):_0xa8a69f['internaldial'][_0x4b4504(0x9e0)]=_0xa8a69f[_0x4b4504(0x3bd)]['appdata'];break;default:{const _0x15d4c6=_0xa8a69f['internaldial']['appdata'][_0x4b4504(0x10c8)](',');_0xa8a69f['internaldial'][_0x4b4504(0xe7b)]=_0x3f65c0()[_0x4b4504(0x2635)](_0x15d4c6[0x0])?_0x15d4c6[0x0]:isNaN(_0x15d4c6[0x0])?_0x15d4c6[0x0]:parseInt(_0x15d4c6[0x0],0xa),_0xa8a69f['internaldial'][_0x4b4504(0xa9c)]=_0x3f65c0()['isEmpty'](_0x15d4c6[0x1])?_0x15d4c6[0x1]:isNaN(_0x15d4c6[0x1])?_0x15d4c6[0x1]:parseInt(_0x15d4c6[0x1],0xa),_0xa8a69f[_0x4b4504(0x3bd)][_0x4b4504(0xa08)]=_0x3f65c0()[_0x4b4504(0x2635)](_0x15d4c6[0x2])?_0x15d4c6[0x2]:isNaN(_0x15d4c6[0x2])?_0x15d4c6[0x2]:parseInt(_0x15d4c6[0x2],0xa),_0xa8a69f['internaldial'][_0x4b4504(0x20cc)]=_0x3f65c0()[_0x4b4504(0x2635)](_0x15d4c6[0x3])?_0x15d4c6[0x3]:isNaN(_0x15d4c6[0x3])?_0x15d4c6[0x3]:parseInt(_0x15d4c6[0x3],0xa);}break;}else{}_0xa8a69f[_0x4b4504(0x3bd)][_0x4b4504(0x1142)]&&_0xa8a69f['internaldial'][_0x4b4504(0x1142)][_0x4b4504(0x256e)]()==='outbound'&&_0xa8a69f[_0x4b4504(0x3bd)]['appType']['toLowerCase']()===_0x4b4504(0x3bb)&&(_0xa8a69f[_0x4b4504(0x3bd)]['prefix']=_0xa8a69f[_0x4b4504(0x3bd)][_0x4b4504(0x1d55)]?_0xa8a69f['internaldial'][_0x4b4504(0x1d55)][_0x4b4504(0x10c8)]('$')[0x0]:undefined,_0xa8a69f[_0x4b4504(0x3bd)]['callerId']=_0xa8a69f['internaldial']['callerID']?'CALLERID(all)='+_0xa8a69f[_0x4b4504(0x3bd)][_0x4b4504(0x11be)]:undefined);_0xa8a69f[_0x4b4504(0x2332)]=_0x3e90fa,_0xa8a69f[_0x4b4504(0x13f3)]=_0x3e6b66;const _0x4e008e=[{'id':0xca,'role':_0x4b4504(0x1755)},{'id':0xcb,'role':_0x4b4504(0x90c)}];let _0x9028ce=null;_0x678259[_0x4b4504(0x23e0)](_0x4b4504(0x174b))?_0x333196[_0x4b4504(0xe7b)]['get']({'fields':_0x4b4504(0x245b),'sort':_0x4b4504(0x19eb),'nolimit':_0x4b4504(0x1185)})[_0x4b4504(0x2945)]['then'](function(_0x46f117){const _0x4cb5dd=_0x4b4504;_0xa8a69f['users']=_0x46f117[_0x4cb5dd(0x19c7)]||[];})[_0x4b4504(0x129e)](function(_0x33e63e){const _0x53fde7=_0x4b4504;_0x13b6a6['error']({'title':_0x33e63e[_0x53fde7(0x107b)]?'API:'+_0x33e63e[_0x53fde7(0x107b)]+'\x20-\x20'+_0x33e63e[_0x53fde7(0x167f)]:_0x53fde7(0x42e),'msg':_0x33e63e['data']?JSON[_0x53fde7(0x10bb)](_0x33e63e[_0x53fde7(0x524)]):_0x33e63e['toString']()});}):_0x333196['user']['get']({'fields':_0x4b4504(0x245b),'sort':_0x4b4504(0x19eb),'nolimit':_0x4b4504(0x1185)})[_0x4b4504(0x2945)][_0x4b4504(0x146b)](function(_0x5a535b){_0x9028ce=_0x5a535b['rows']||[];})[_0x4b4504(0x146b)](function(){const _0x741359=_0x4b4504,_0x4906e9=[];for(let _0x982341=0x0;_0x982341<_0x4e008e[_0x741359(0x402)];_0x982341++){_0x4906e9[_0x741359(0x1f47)](_0x333196['userProfileSection'][_0x741359(0x16b4)]({'userProfileId':_0xa8a69f['currentUser']['userProfileId'],'sectionId':_0x4e008e[_0x982341]['id']})['$promise']);}return _0x26c25e[_0x741359(0x1be2)](_0x4906e9);})[_0x4b4504(0x146b)](function(_0x5cb2c4){const _0x23392b=_0x4b4504,_0x3d4f37=_0x3f65c0()[_0x23392b(0x205)](_0x5cb2c4,function(_0x34078d){const _0xb11570=_0x23392b;return _0x34078d&&_0x34078d['rows']?_0x34078d[_0xb11570(0x19c7)][0x0]:null;}),_0x18e390=[];for(let _0x7924b2=0x0;_0x7924b2<_0x4e008e[_0x23392b(0x402)];_0x7924b2++){const _0x48550b=_0x3f65c0()[_0x23392b(0xc84)](_0x3d4f37,['sectionId',_0x4e008e[_0x7924b2]['id']]);if(_0x48550b){if(!_0x48550b[_0x23392b(0x11d2)])_0x18e390[_0x23392b(0x1f47)](_0x333196[_0x23392b(0xdcc)][_0x23392b(0x16b4)]({'sectionId':_0x48550b['id']})[_0x23392b(0x2945)]);else{const _0x3dda57=[];_0x9028ce[_0x23392b(0x1df5)](function(_0x267f6f){const _0x5b9ae5=_0x23392b;_0x267f6f[_0x5b9ae5(0xfb0)]===_0x4e008e[_0x7924b2][_0x5b9ae5(0xfb0)]&&_0x3dda57[_0x5b9ae5(0x1f47)](_0x267f6f);}),_0x18e390[_0x23392b(0x1f47)]({'rows':_0x3dda57,'count':_0x3dda57[_0x23392b(0x402)]});}}}return _0x26c25e[_0x23392b(0x1be2)](_0x18e390);})[_0x4b4504(0x146b)](function(_0x2c59ba){const _0x5790e7=_0x4b4504,_0x3b8b90=[];let _0x29ccd6=null;_0xa8a69f['internaldial']&&(_0x29ccd6=_0x3f65c0()['find'](_0x9028ce,{'name':_0xa8a69f['internaldial'][_0x5790e7(0xe7b)]}));for(let _0x3d7564=0x0;_0x3d7564<_0x2c59ba['length'];_0x3d7564++){const _0x47bb9d=_0x2c59ba[_0x3d7564]['rows']||[];_0x47bb9d['forEach'](function(_0x5bd365){const _0xe8e8f0=_0x5790e7;_0x3b8b90[_0xe8e8f0(0x1f47)](_0x5bd365);});}_0x29ccd6&&!_0x3f65c0()[_0x5790e7(0x1360)](_0x3b8b90,[_0x5790e7(0x18b8),_0x29ccd6['id']])&&_0x9028ce[_0x5790e7(0x1df5)](function(_0x338446){const _0x374ae1=_0x5790e7;_0x338446['id']===_0x29ccd6['id']&&(_0x338446[_0x374ae1(0x8ff)]=![],_0x3b8b90[_0x374ae1(0x1f47)](_0x338446));}),_0xa8a69f[_0x5790e7(0x1995)]=_0x3b8b90;})['catch'](function(_0x3e2f24){const _0x1eb972=_0x4b4504;_0x13b6a6[_0x1eb972(0x1980)]({'title':_0x3e2f24[_0x1eb972(0x107b)]?_0x1eb972(0x262a)+_0x3e2f24[_0x1eb972(0x107b)]+_0x1eb972(0x1315)+_0x3e2f24[_0x1eb972(0x167f)]:_0x1eb972(0x8d5),'msg':_0x3e2f24[_0x1eb972(0x524)]?JSON[_0x1eb972(0x10bb)](_0x3e2f24['data']):_0x3e2f24['toString']()});});function _0x3e90fa(){const _0x233d37=_0x4b4504;_0xa8a69f['errors']=[];const _0x2c1fa6=[];_0xa8a69f['internaldial'][_0x233d37(0x1142)]&&_0xa8a69f[_0x233d37(0x3bd)][_0x233d37(0x1142)][_0x233d37(0x256e)]()===_0x233d37(0xc9c)&&_0xa8a69f[_0x233d37(0x3bd)][_0x233d37(0x8f2)]==='outboundDial'&&(_0xa8a69f[_0x233d37(0x3bd)]['phone']=_0x5691e0[_0x233d37(0x1274)]?(_0xa8a69f['internaldial'][_0x233d37(0x1e12)]||'')+'${EXTEN:'+_0x5691e0[_0x233d37(0x1274)]+'}':(_0xa8a69f[_0x233d37(0x3bd)][_0x233d37(0x1e12)]||'')+'${EXTEN}',_0x5691e0['recordingFormat']!==_0x233d37(0x1642)?_0xa8a69f['internaldial'][_0x233d37(0xa08)][_0x233d37(0x172b)](_0x233d37(0x1ea4))<0x0&&(_0xa8a69f[_0x233d37(0x3bd)][_0x233d37(0xa08)]+=_0x233d37(0x1ea4)):_0xa8a69f['internaldial']['options']=_0xa8a69f[_0x233d37(0x3bd)][_0x233d37(0xa08)][_0x233d37(0x5f4)](_0x233d37(0x1ea4),''));if(_0xa8a69f['internaldial'][_0x233d37(0x8f2)]&&_0xa8a69f[_0x233d37(0x3bd)][_0x233d37(0x8f2)]===_0x233d37(0x1802)){}else switch((_0xa8a69f[_0x233d37(0x3bd)]['app']||_0xa8a69f['internaldial']['appType'])[_0x233d37(0x256e)]()){case _0x233d37(0x26ba):_0xa8a69f[_0x233d37(0x3bd)][_0x233d37(0x168a)]=_0xa8a69f[_0x233d37(0x3bd)][_0x233d37(0x19eb)]+'='+_0xa8a69f['internaldial']['value'];break;case _0x233d37(0x1802):break;default:_0x2c1fa6[0x0]=_0xa8a69f[_0x233d37(0x3bd)]['user'],_0x2c1fa6[0x1]=_0xa8a69f[_0x233d37(0x3bd)]['timeout'],_0x2c1fa6[0x2]=_0xa8a69f[_0x233d37(0x3bd)][_0x233d37(0xa08)],_0x2c1fa6[0x3]=_0xa8a69f['internaldial'][_0x233d37(0x20cc)],_0xa8a69f[_0x233d37(0x3bd)]['appdata']=_0x2c1fa6[_0x233d37(0xb47)](',');}_0x3e6b66(_0xa8a69f[_0x233d37(0x3bd)]);}function _0x3e6b66(_0x12b25c){const _0x3c1256=_0x4b4504;_0xb5c95e[_0x3c1256(0x2458)](_0x12b25c);}}const _0x4b7a9f=_0x1cf117;;_0x3167a6[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),_0x313a4d(0x10e8),'$mdToast',_0x313a4d(0x15f6),_0x313a4d(0x2765),'intervals',_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x3167a6(_0x4a1097,_0x16e6cf,_0x2a7aee,_0x4932b4,_0x3471ad,_0x181a8f,_0x646a15,_0x219e1e,_0x91f952,_0x1a0655){const _0x3dbfc2=_0x313a4d,_0x3c1a8d=this;_0x3c1a8d[_0x3dbfc2(0x2321)]=_0x91f952[_0x3dbfc2(0xb12)](),_0x3c1a8d[_0x3dbfc2(0xcef)]=[],_0x3c1a8d['title']=_0x3dbfc2(0x56d),_0x3c1a8d[_0x3dbfc2(0x2765)]=angular[_0x3dbfc2(0x235a)](_0x3471ad),_0x3c1a8d[_0x3dbfc2(0x278f)]=_0x181a8f,_0x3c1a8d[_0x3dbfc2(0xa76)]=![],_0x3c1a8d[_0x3dbfc2(0x1960)]=['always','custom',_0x3dbfc2(0x25f4)],_0x3c1a8d[_0x3dbfc2(0x2514)]=_0x1a0655,_0x3c1a8d[_0x3dbfc2(0x1e35)]=_0x4932b4[_0x3dbfc2(0x2045)](),_0x3c1a8d[_0x3dbfc2(0x593)]=_0x4932b4['getMonthNumber'](),_0x3c1a8d['monthName']=_0x4932b4[_0x3dbfc2(0x18b6)](),_0x3c1a8d[_0x3dbfc2(0x15f1)]=_0x4932b4['getDaysOfMonth']();if(!_0x3c1a8d[_0x3dbfc2(0x2765)])_0x3c1a8d[_0x3dbfc2(0x2765)]={'interval':_0x3dbfc2(0x1559)},_0x3c1a8d[_0x3dbfc2(0x1142)]='always',_0x3c1a8d[_0x3dbfc2(0x1189)]=_0x3dbfc2(0x79c),_0x3c1a8d['newInterval']=!![];else{if(_0x3c1a8d[_0x3dbfc2(0x2765)][_0x3dbfc2(0x2765)]!=='*,*,*,*'){_0x3c1a8d[_0x3dbfc2(0x1142)]='custom';const _0x21b6fb=_0x3c1a8d[_0x3dbfc2(0x2765)][_0x3dbfc2(0x2765)]['split'](','),_0x5623dc=_0x21b6fb[0x0],_0x58a27e=_0x21b6fb[0x1],_0x166dcf=_0x21b6fb[0x2],_0xebb379=_0x21b6fb[0x3];if(_0x5623dc!=='*'){const _0x5b5d4b=_0x5623dc[_0x3dbfc2(0x10c8)]('-')[0x0],_0x480ba9=_0x5623dc[_0x3dbfc2(0x10c8)]('-')[0x1];let _0xc2092c;_0xc2092c=new Date(),_0xc2092c[_0x3dbfc2(0x25cd)](Number(_0x5b5d4b[_0x3dbfc2(0x10c8)](':')[0x0])),_0xc2092c[_0x3dbfc2(0x2348)](Number(_0x5b5d4b[_0x3dbfc2(0x10c8)](':')[0x1])),_0x3c1a8d[_0x3dbfc2(0x2469)]=_0xc2092c,_0xc2092c=new Date(),_0xc2092c[_0x3dbfc2(0x25cd)](Number(_0x480ba9['split'](':')[0x0])),_0xc2092c[_0x3dbfc2(0x2348)](Number(_0x480ba9[_0x3dbfc2(0x10c8)](':')[0x1])),_0x3c1a8d[_0x3dbfc2(0x637)]=_0xc2092c;}_0x58a27e!=='*'&&(_0x3c1a8d['dayOfWeekFrom']=_0x58a27e[_0x3dbfc2(0x10c8)]('-')[0x0],_0x3c1a8d[_0x3dbfc2(0x27a1)]=_0x58a27e['split']('-')[0x1]),_0x166dcf!=='*'&&(_0x3c1a8d[_0x3dbfc2(0xf0b)]=_0x166dcf[_0x3dbfc2(0x10c8)]('-')[0x0],_0x3c1a8d[_0x3dbfc2(0x896)]=_0x166dcf['split']('-')[0x1]),_0xebb379!=='*'&&(_0x3c1a8d[_0x3dbfc2(0x167)]=_0xebb379['split']('-')[0x0],_0x3c1a8d[_0x3dbfc2(0x9c3)]=_0xebb379['split']('-')[0x1]);}else _0x3c1a8d[_0x3dbfc2(0x1142)]=_0x3dbfc2(0xd85);}_0x4a1097[_0x3dbfc2(0x16a)]['id']&&!_0x3c1a8d[_0x3dbfc2(0x2765)][_0x3dbfc2(0xea)]&&(_0x3c1a8d[_0x3dbfc2(0x2765)]['IntervalId']=_0x4a1097['params']['id']);_0x3c1a8d[_0x3dbfc2(0x2765)][_0x3dbfc2(0x1ff2)]&&_0x3c1a8d[_0x3dbfc2(0x2765)][_0x3dbfc2(0xea)]&&(_0x3c1a8d[_0x3dbfc2(0x1142)]=_0x3dbfc2(0x25f4));_0x3c1a8d[_0x3dbfc2(0x2f0)]=_0xd69050,_0x3c1a8d[_0x3dbfc2(0x1242)]=_0x2bea93,_0x3c1a8d[_0x3dbfc2(0x13f3)]=_0x2ed19d;_0x3c1a8d['interval'][_0x3dbfc2(0xea)]&&(_0x91f952[_0x3dbfc2(0x23e0)](_0x3dbfc2(0x174b))?_0x219e1e[_0x3dbfc2(0x2765)][_0x3dbfc2(0x16b4)]({'fields':_0x3dbfc2(0x648),'IntervalId':'null','nolimit':!![]})['$promise'][_0x3dbfc2(0x146b)](function(_0x3a5790){const _0x3fabc3=_0x3dbfc2;_0x3c1a8d[_0x3fabc3(0x278f)]=_0x3a5790['rows']||[];})[_0x3dbfc2(0x129e)](function(_0x59eeb9){const _0x262adf=_0x3dbfc2;_0x646a15['error']({'title':_0x59eeb9[_0x262adf(0x107b)]?_0x262adf(0x262a)+_0x59eeb9[_0x262adf(0x107b)]+_0x262adf(0x1315)+_0x59eeb9[_0x262adf(0x167f)]:'SYSTEM:GET_INTERVALS','msg':_0x59eeb9[_0x262adf(0x524)]?JSON[_0x262adf(0x10bb)](_0x59eeb9['data']):_0x59eeb9[_0x262adf(0xd5f)]()});}):_0x219e1e['interval'][_0x3dbfc2(0x16b4)]({'fields':_0x3dbfc2(0x648),'IntervalId':_0x3dbfc2(0x203c),'nolimit':!![]})[_0x3dbfc2(0x2945)][_0x3dbfc2(0x146b)](function(_0x447344){const _0xfc614f=_0x3dbfc2;_0x3c1a8d[_0xfc614f(0x278f)]=_0x447344[_0xfc614f(0x19c7)]||[];})[_0x3dbfc2(0x146b)](function(){const _0x1992c8=_0x3dbfc2;return _0x219e1e[_0x1992c8(0x1366)][_0x1992c8(0x16b4)]({'userProfileId':_0x3c1a8d['currentUser']['userProfileId'],'sectionId':0x3ec})[_0x1992c8(0x2945)];})[_0x3dbfc2(0x146b)](function(_0x352c08){const _0x19489b=_0x3dbfc2,_0x437eec=_0x352c08&&_0x352c08[_0x19489b(0x19c7)]?_0x352c08[_0x19489b(0x19c7)][0x0]:null;if(!_0x437eec)_0x3c1a8d[_0x19489b(0x278f)]=[];else{if(!_0x437eec[_0x19489b(0x11d2)])return _0x219e1e[_0x19489b(0xdcc)][_0x19489b(0x16b4)]({'sectionId':_0x437eec['id']})['$promise']['then'](function(_0x530b50){const _0x59d519=_0x19489b,_0x6bf341=_0x530b50&&_0x530b50[_0x59d519(0x19c7)]?_0x530b50['rows']:[],_0x2bf5ac=[];let _0x2e1cf6=null;_0x3c1a8d[_0x59d519(0x2765)]&&(_0x2e1cf6=_0x3f65c0()[_0x59d519(0xc84)](_0x3c1a8d['intervals'],{'name':_0x3c1a8d[_0x59d519(0x2765)][_0x59d519(0x1ff2)]})),_0x2e1cf6&&!_0x3f65c0()[_0x59d519(0x1360)](_0x6bf341,[_0x59d519(0x18b8),_0x2e1cf6['id']])&&_0x3c1a8d[_0x59d519(0x278f)][_0x59d519(0x1df5)](function(_0x45f3b7){const _0x161b7e=_0x59d519;_0x45f3b7['id']===_0x2e1cf6['id']&&(_0x45f3b7[_0x161b7e(0x8ff)]=![],_0x2bf5ac['push'](_0x45f3b7));}),_0x3c1a8d['intervals']=_0x2bf5ac;});}})[_0x3dbfc2(0x129e)](function(_0x25da3c){const _0x5110c5=_0x3dbfc2;_0x646a15[_0x5110c5(0x1980)]({'title':_0x25da3c['status']?_0x5110c5(0x262a)+_0x25da3c[_0x5110c5(0x107b)]+_0x5110c5(0x1315)+_0x25da3c[_0x5110c5(0x167f)]:_0x5110c5(0x603),'msg':_0x25da3c[_0x5110c5(0x524)]?JSON[_0x5110c5(0x10bb)](_0x25da3c[_0x5110c5(0x524)]):_0x25da3c[_0x5110c5(0xd5f)]()});}));function _0x34ca13(){const _0x4eb9d4=_0x3dbfc2;switch(_0x3c1a8d['type']){case _0x4eb9d4(0xd85):case'list':return _0x4eb9d4(0x1559);case _0x4eb9d4(0x1802):{const _0x51ad74=[];if(_0x3c1a8d['timeRangeFrom']!=='*'&&_0x3c1a8d[_0x4eb9d4(0x2469)]&&_0x3c1a8d[_0x4eb9d4(0x637)]){const _0x442224=(_0x3c1a8d[_0x4eb9d4(0x2469)][_0x4eb9d4(0x1d57)]()<0xa?'0':'')+_0x3c1a8d[_0x4eb9d4(0x2469)][_0x4eb9d4(0x1d57)]()+':'+((_0x3c1a8d[_0x4eb9d4(0x2469)][_0x4eb9d4(0x7fc)]()<0xa?'0':'')+_0x3c1a8d[_0x4eb9d4(0x2469)][_0x4eb9d4(0x7fc)]()),_0x538eed=(_0x3c1a8d['timeRangeTo']['getHours']()<0xa?'0':'')+_0x3c1a8d[_0x4eb9d4(0x637)]['getHours']()+':'+((_0x3c1a8d['timeRangeTo'][_0x4eb9d4(0x7fc)]()<0xa?'0':'')+_0x3c1a8d[_0x4eb9d4(0x637)][_0x4eb9d4(0x7fc)]());_0x51ad74[_0x4eb9d4(0x1f47)](_0x442224+'-'+_0x538eed);}else _0x51ad74[_0x4eb9d4(0x1f47)]('*');return _0x3c1a8d[_0x4eb9d4(0x1455)]?_0x3c1a8d[_0x4eb9d4(0x27a1)]?_0x51ad74['push'](_0x3c1a8d[_0x4eb9d4(0x1455)]+'-'+_0x3c1a8d[_0x4eb9d4(0x27a1)]):_0x51ad74[_0x4eb9d4(0x1f47)](_0x3c1a8d['dayOfWeekFrom']):_0x51ad74[_0x4eb9d4(0x1f47)]('*'),_0x3c1a8d[_0x4eb9d4(0xf0b)]?_0x3c1a8d[_0x4eb9d4(0x896)]?_0x51ad74['push'](_0x3c1a8d['monthDayFrom']+'-'+_0x3c1a8d[_0x4eb9d4(0x896)]):_0x51ad74[_0x4eb9d4(0x1f47)](_0x3c1a8d[_0x4eb9d4(0xf0b)]):_0x51ad74[_0x4eb9d4(0x1f47)]('*'),_0x3c1a8d['monthFrom']?_0x3c1a8d[_0x4eb9d4(0x9c3)]?_0x51ad74[_0x4eb9d4(0x1f47)](_0x3c1a8d[_0x4eb9d4(0x167)]+'-'+_0x3c1a8d[_0x4eb9d4(0x9c3)]):_0x51ad74[_0x4eb9d4(0x1f47)](_0x3c1a8d[_0x4eb9d4(0x167)]):_0x51ad74[_0x4eb9d4(0x1f47)]('*'),_0x51ad74[_0x4eb9d4(0xb47)]();}}}function _0xd69050(){const _0xffa75c=_0x3dbfc2;_0x3c1a8d['errors']=[],_0x3c1a8d['interval']['interval']=_0x34ca13(),_0x219e1e['interval'][_0xffa75c(0x1e3)](_0x3c1a8d[_0xffa75c(0x2765)])[_0xffa75c(0x2945)][_0xffa75c(0x146b)](function(_0x3879da){const _0x1df156=_0xffa75c;_0x3c1a8d[_0x1df156(0x278f)][_0x1df156(0x1f47)](_0x3879da),_0x646a15[_0x1df156(0x1c75)]({'title':_0x1df156(0x17f5),'msg':_0x3c1a8d['interval'][_0x1df156(0x19eb)]?_0x3c1a8d[_0x1df156(0x2765)][_0x1df156(0x19eb)]+_0x1df156(0x1386):''}),_0x2ed19d();})['catch'](function(_0x5f3d94){const _0xcdf6c4=_0xffa75c;console[_0xcdf6c4(0x1980)](_0x5f3d94),_0x3c1a8d[_0xcdf6c4(0xcef)]=_0x5f3d94['data']['errors']||[{'message':_0x5f3d94[_0xcdf6c4(0xd5f)](),'type':_0xcdf6c4(0x7e7)}];});}function _0x2bea93(){const _0x2bbaf7=_0x3dbfc2;_0x3c1a8d[_0x2bbaf7(0xcef)]=[],_0x3c1a8d[_0x2bbaf7(0x2765)][_0x2bbaf7(0x2765)]=_0x34ca13(),_0x3c1a8d['interval']['application']?(_0x3c1a8d['type']!==_0x2bbaf7(0x25f4)&&(_0x3c1a8d[_0x2bbaf7(0x2765)]['IntervalId']=null),_0x2ed19d(_0x3c1a8d[_0x2bbaf7(0x2765)])):_0x219e1e['interval']['update']({'id':_0x3c1a8d[_0x2bbaf7(0x2765)]['id']},_0x3c1a8d[_0x2bbaf7(0x2765)])[_0x2bbaf7(0x2945)][_0x2bbaf7(0x146b)](function(_0x3ea5dc){const _0xa078be=_0x2bbaf7,_0x4155ac=_0x3f65c0()['find'](_0x3c1a8d[_0xa078be(0x278f)],{'id':_0x3ea5dc['id']});_0x4155ac&&_0x3f65c0()[_0xa078be(0x168d)](_0x4155ac,_0x3ea5dc),_0x646a15[_0xa078be(0x1c75)]({'title':_0xa078be(0x1d51),'msg':'Interval\x20has\x20been\x20saved!'}),_0x2ed19d();})[_0x2bbaf7(0x129e)](function(_0x3be1a8){const _0x55d4fe=_0x2bbaf7;console[_0x55d4fe(0x1980)](_0x3be1a8),_0x3c1a8d[_0x55d4fe(0xcef)]=_0x3be1a8['data'][_0x55d4fe(0xcef)]||[{'message':_0x3be1a8[_0x55d4fe(0xd5f)](),'type':'api.interval.update'}];});}function _0x2ed19d(_0x1c7176){const _0x5d17f8=_0x3dbfc2;_0x16e6cf[_0x5d17f8(0x2458)](_0x1c7176);}}const _0x5cf705=_0x3167a6;;_0x571b1b[_0x313a4d(0x11c2)]=['$mdDialog','$q',_0x313a4d(0x1fe4),_0x313a4d(0x2296),_0x313a4d(0x1d70),'api',_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x571b1b(_0x5eed3c,_0x566b24,_0x4dac49,_0x5ce998,_0x3e3d2e,_0x59a074,_0x1047d5,_0x42b642){const _0xfaf8db=_0x313a4d,_0x182423=this;_0x182423['currentUser']=_0x1047d5['getCurrentUser'](),_0x182423['errors']=[],_0x182423[_0xfaf8db(0x1189)]=_0xfaf8db(0x1950)+(_0x5ce998[_0xfaf8db(0x8f2)]||_0x5ce998[_0xfaf8db(0x1873)])['toUpperCase'](),_0x182423['playback']=angular[_0xfaf8db(0x235a)](_0x5ce998),_0x182423[_0xfaf8db(0x2514)]=_0x42b642,_0x182423[_0xfaf8db(0x855)]={};if(_0x182423[_0xfaf8db(0x288a)][_0xfaf8db(0x168a)])switch(_0x182423[_0xfaf8db(0x288a)][_0xfaf8db(0x8f2)]?_0x182423[_0xfaf8db(0x288a)][_0xfaf8db(0x8f2)]['toLowerCase']():_0x182423[_0xfaf8db(0x288a)][_0xfaf8db(0x1873)][_0xfaf8db(0x256e)]()){case _0xfaf8db(0x1802):break;case _0xfaf8db(0xeaa):{const _0x29da8b=_0x182423['playback']['appdata']['split'](',');_0x182423[_0xfaf8db(0x288a)][_0xfaf8db(0x2293)]=_0x29da8b[0x0],_0x182423['playback'][_0xfaf8db(0x90b)]=_0x29da8b[0x1],_0x182423[_0xfaf8db(0x288a)][_0xfaf8db(0xaf3)]=_0x29da8b[_0xfaf8db(0x1298)](0x2,_0x29da8b[_0xfaf8db(0x402)])[_0xfaf8db(0xb47)](',');}break;case'dialogflowv2':{const _0x4a17fa=_0x182423['playback'][_0xfaf8db(0x168a)]['split'](',');_0x182423['playback']['projectId']=_0x4a17fa[0x0],_0x182423[_0xfaf8db(0x288a)][_0xfaf8db(0x1392)]=_0x4a17fa[0x1],_0x182423[_0xfaf8db(0x288a)][_0xfaf8db(0x2217)]=_0x4a17fa[0x2],_0x182423['playback'][_0xfaf8db(0x90b)]=_0x4a17fa[0x3],_0x182423['playback'][_0xfaf8db(0xaf3)]=_0x4a17fa[_0xfaf8db(0x1298)](0x4,_0x4a17fa['length'])['join'](',');}break;case'amazonlex':{const _0x173bc4=_0x182423[_0xfaf8db(0x288a)][_0xfaf8db(0x168a)]['split'](',');_0x182423[_0xfaf8db(0x288a)]['accesskeyid']=_0x173bc4[0x0],_0x182423[_0xfaf8db(0x288a)][_0xfaf8db(0x252)]=_0x173bc4[0x1],_0x182423[_0xfaf8db(0x288a)]['lexregion']=_0x173bc4[0x2],_0x182423[_0xfaf8db(0x288a)][_0xfaf8db(0x8dd)]=_0x173bc4[0x3],_0x182423['playback'][_0xfaf8db(0xaf3)]=_0x173bc4[_0xfaf8db(0x1298)](0x4,_0x173bc4[_0xfaf8db(0x402)])[_0xfaf8db(0xb47)](',');}break;case _0xfaf8db(0x1713):{const _0x45fac7=_0x182423['playback']['appdata'][_0xfaf8db(0x10c8)](',');_0x182423['playback'][_0xfaf8db(0x583)]=isNaN(_0x45fac7[0x0])?_0x45fac7[0x0]:parseInt(_0x45fac7[0x0],0xa),_0x182423[_0xfaf8db(0x288a)]['text']=_0x45fac7[_0xfaf8db(0x1298)](0x1,_0x45fac7[_0xfaf8db(0x402)])[_0xfaf8db(0xb47)](',');}break;case'message':_0x182423[_0xfaf8db(0x288a)]['text']=_0x182423[_0xfaf8db(0x288a)][_0xfaf8db(0x168a)];break;case'set':_0x182423[_0xfaf8db(0x288a)][_0xfaf8db(0x19eb)]=_0x182423[_0xfaf8db(0x288a)][_0xfaf8db(0x168a)][_0xfaf8db(0x10c8)]('=')[0x0],_0x182423[_0xfaf8db(0x288a)][_0xfaf8db(0x175d)]=_0x182423['playback'][_0xfaf8db(0x168a)][_0xfaf8db(0x10c8)]('=')[0x1];break;case _0xfaf8db(0x1ecb):_0x182423[_0xfaf8db(0x288a)][_0xfaf8db(0x9e0)]=_0x182423['playback']['appdata'];break;default:{const _0x2adedc=_0x182423['playback']['appdata'][_0xfaf8db(0x10c8)](',');_0x182423[_0xfaf8db(0x288a)]['appdata']=_0x3f65c0()['isEmpty'](_0x2adedc[0x0])?_0x2adedc[0x0]:isNaN(_0x2adedc[0x0])?_0x2adedc[0x0]:parseInt(_0x2adedc[0x0],0xa),_0x182423['playback']['options']=_0x3f65c0()['isEmpty'](_0x2adedc[0x1])?_0x2adedc[0x1]:isNaN(_0x2adedc[0x1])?_0x2adedc[0x1]:parseInt(_0x2adedc[0x1],0xa);}break;}else{}_0x182423['playback'][_0xfaf8db(0x1142)]&&_0x182423[_0xfaf8db(0x288a)][_0xfaf8db(0x1142)][_0xfaf8db(0x256e)]()===_0xfaf8db(0xc9c)&&_0x182423['playback']['appType'][_0xfaf8db(0x256e)]()===_0xfaf8db(0x3bb)&&(_0x182423[_0xfaf8db(0x288a)][_0xfaf8db(0x1e12)]=_0x182423[_0xfaf8db(0x288a)][_0xfaf8db(0x1d55)]?_0x182423['playback'][_0xfaf8db(0x1d55)][_0xfaf8db(0x10c8)]('$')[0x0]:undefined,_0x182423[_0xfaf8db(0x288a)]['callerId']=_0x182423[_0xfaf8db(0x288a)][_0xfaf8db(0x11be)]?_0xfaf8db(0x1b60)+_0x182423[_0xfaf8db(0x288a)][_0xfaf8db(0x11be)]:undefined);_0x182423['saveInboundRouteApp']=_0x532d0b,_0x182423[_0xfaf8db(0x13f3)]=_0x286ade,_0x1047d5['hasRole'](_0xfaf8db(0x174b))?_0x59a074['sound'][_0xfaf8db(0x16b4)]({'fields':_0xfaf8db(0x222a),'sort':_0xfaf8db(0x19eb),'nolimit':'true'})[_0xfaf8db(0x2945)]['then'](function(_0x36b5c8){const _0x2c3245=_0xfaf8db;_0x182423[_0x2c3245(0xb02)]=_0x36b5c8[_0x2c3245(0x19c7)]||[];})[_0xfaf8db(0x129e)](function(_0x4b09bd){const _0x1c3764=_0xfaf8db;_0x4dac49[_0x1c3764(0x1980)]({'title':_0x4b09bd[_0x1c3764(0x107b)]?'API:'+_0x4b09bd[_0x1c3764(0x107b)]+_0x1c3764(0x1315)+_0x4b09bd[_0x1c3764(0x167f)]:_0x1c3764(0xd4a),'msg':_0x4b09bd['data']?JSON[_0x1c3764(0x10bb)](_0x4b09bd[_0x1c3764(0x524)]):_0x4b09bd[_0x1c3764(0xd5f)]()});}):_0x59a074[_0xfaf8db(0x126d)][_0xfaf8db(0x16b4)]({'fields':_0xfaf8db(0x222a),'sort':_0xfaf8db(0x19eb),'nolimit':_0xfaf8db(0x1185)})[_0xfaf8db(0x2945)]['then'](function(_0x2370c0){const _0x196bf6=_0xfaf8db;_0x182423[_0x196bf6(0xb02)]=_0x2370c0[_0x196bf6(0x19c7)]||[];})[_0xfaf8db(0x146b)](function(){const _0x47b584=_0xfaf8db;return _0x59a074[_0x47b584(0x1366)]['get']({'userProfileId':_0x182423['currentUser'][_0x47b584(0x209a)],'sectionId':0x3ef})['$promise'];})['then'](function(_0x5132d7){const _0x308a12=_0xfaf8db,_0xa75574=_0x5132d7&&_0x5132d7[_0x308a12(0x19c7)]?_0x5132d7['rows'][0x0]:null;if(!_0xa75574){const _0x32e040=[];let _0x258dce=null;_0x182423[_0x308a12(0x288a)]&&(_0x258dce=_0x3f65c0()[_0x308a12(0xc84)](_0x182423[_0x308a12(0xb02)],{'save_name':_0x182423['playback'][_0x308a12(0x126d)]}));for(let _0x5e5c4f=0x0;_0x5e5c4f<_0x182423['sounds'][_0x308a12(0x402)];_0x5e5c4f++){_0x258dce&&_0x182423['sounds'][_0x5e5c4f]['id']===_0x258dce['id']&&(_0x182423[_0x308a12(0xb02)][_0x5e5c4f]['canSelect']=![],_0x32e040[_0x308a12(0x1f47)](_0x182423['sounds'][_0x5e5c4f]));}_0x182423['sounds']=_0x32e040;}else{if(!_0xa75574[_0x308a12(0x11d2)])return _0x59a074[_0x308a12(0xdcc)][_0x308a12(0x16b4)]({'sectionId':_0xa75574['id']})[_0x308a12(0x2945)][_0x308a12(0x146b)](function(_0x16720a){const _0x159cf4=_0x308a12,_0x46c9a6=_0x3f65c0()[_0x159cf4(0x205)](_0x16720a[_0x159cf4(0x19c7)],function(_0x5aaac4){const _0x713af9=_0x159cf4;return _0x3f65c0()[_0x713af9(0xc84)](_0x182423[_0x713af9(0xb02)],{'id':_0x5aaac4[_0x713af9(0x18b8)]});});let _0x22ce78=null;_0x182423[_0x159cf4(0x288a)]&&(_0x22ce78=_0x3f65c0()[_0x159cf4(0xc84)](_0x182423[_0x159cf4(0xb02)],{'save_name':_0x182423[_0x159cf4(0x288a)][_0x159cf4(0x126d)]}));if(_0x22ce78&&!_0x3f65c0()[_0x159cf4(0x1360)](_0x46c9a6,['id',_0x22ce78['id']])){const _0x411aaf=_0x3f65c0()[_0x159cf4(0xc84)](_0x182423[_0x159cf4(0xb02)],{'id':_0x22ce78['id']});_0x411aaf[_0x159cf4(0x8ff)]=![],_0x46c9a6[_0x159cf4(0x1f47)](_0x411aaf);}_0x182423[_0x159cf4(0xb02)]=_0x46c9a6;});}})[_0xfaf8db(0x129e)](function(_0x436406){const _0x1ee9c6=_0xfaf8db;_0x4dac49[_0x1ee9c6(0x1980)]({'title':_0x436406[_0x1ee9c6(0x107b)]?'API:'+_0x436406['status']+_0x1ee9c6(0x1315)+_0x436406[_0x1ee9c6(0x167f)]:_0x1ee9c6(0x2682),'msg':_0x436406[_0x1ee9c6(0x524)]?JSON['stringify'](_0x436406[_0x1ee9c6(0x524)]):_0x436406['toString']()});});function _0x532d0b(){const _0x422a63=_0xfaf8db;_0x182423[_0x422a63(0xcef)]=[];const _0x311ecb=[];_0x182423[_0x422a63(0x288a)][_0x422a63(0x1142)]&&_0x182423['playback'][_0x422a63(0x1142)]['toLowerCase']()===_0x422a63(0xc9c)&&_0x182423[_0x422a63(0x288a)][_0x422a63(0x8f2)]===_0x422a63(0x118b)&&(_0x182423['playback'][_0x422a63(0x1d55)]=_0x3e3d2e[_0x422a63(0x1274)]?(_0x182423[_0x422a63(0x288a)][_0x422a63(0x1e12)]||'')+_0x422a63(0x2147)+_0x3e3d2e[_0x422a63(0x1274)]+'}':(_0x182423['playback'][_0x422a63(0x1e12)]||'')+'${EXTEN}',_0x3e3d2e['recordingFormat']!==_0x422a63(0x1642)?_0x182423[_0x422a63(0x288a)][_0x422a63(0xa08)][_0x422a63(0x172b)](_0x422a63(0x1ea4))<0x0&&(_0x182423[_0x422a63(0x288a)][_0x422a63(0xa08)]+=_0x422a63(0x1ea4)):_0x182423[_0x422a63(0x288a)]['options']=_0x182423['playback'][_0x422a63(0xa08)][_0x422a63(0x5f4)](_0x422a63(0x1ea4),''));if(_0x182423[_0x422a63(0x288a)]['appType']&&_0x182423[_0x422a63(0x288a)][_0x422a63(0x8f2)]===_0x422a63(0x1802)){}else switch((_0x182423[_0x422a63(0x288a)][_0x422a63(0x1873)]||_0x182423[_0x422a63(0x288a)]['appType'])[_0x422a63(0x256e)]()){case _0x422a63(0x26ba):_0x182423[_0x422a63(0x288a)][_0x422a63(0x168a)]=_0x182423[_0x422a63(0x288a)][_0x422a63(0x19eb)]+'='+_0x182423[_0x422a63(0x288a)][_0x422a63(0x175d)];break;case _0x422a63(0x1802):break;default:_0x311ecb[0x0]=_0x182423[_0x422a63(0x288a)][_0x422a63(0x168a)],_0x311ecb[0x1]=_0x182423[_0x422a63(0x288a)][_0x422a63(0xa08)],_0x182423[_0x422a63(0x288a)]['appdata']=_0x311ecb[_0x422a63(0xb47)](',');}_0x286ade(_0x182423['playback']);}function _0x286ade(_0x28e987){const _0x1174a5=_0xfaf8db;_0x5eed3c[_0x1174a5(0x2458)](_0x28e987);}}const _0x565e43=_0x571b1b;;_0x368486[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x2296),'inboundroute',_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x368486(_0x4c1d48,_0x48a222,_0x5f3d33,_0x1a14d5,_0x5ba95f,_0x1662bb,_0x106292,_0x431d58){const _0xcd302=_0x313a4d,_0x2541db=this;_0x2541db['currentUser']=_0x106292[_0xcd302(0xb12)](),_0x2541db[_0xcd302(0xcef)]=[],_0x2541db[_0xcd302(0x1189)]=_0xcd302(0x1950)+(_0x1a14d5['appType']||_0x1a14d5[_0xcd302(0x1873)])[_0xcd302(0x1c37)](),_0x2541db[_0xcd302(0x1c72)]=angular[_0xcd302(0x235a)](_0x1a14d5),_0x2541db[_0xcd302(0x2514)]=_0x431d58,_0x2541db[_0xcd302(0x855)]={};if(_0x2541db[_0xcd302(0x1c72)][_0xcd302(0x168a)])switch(_0x2541db['queue'][_0xcd302(0x8f2)]?_0x2541db[_0xcd302(0x1c72)][_0xcd302(0x8f2)][_0xcd302(0x256e)]():_0x2541db[_0xcd302(0x1c72)][_0xcd302(0x1873)][_0xcd302(0x256e)]()){case'custom':break;case _0xcd302(0xeaa):{const _0x579ae8=_0x2541db[_0xcd302(0x1c72)][_0xcd302(0x168a)][_0xcd302(0x10c8)](',');_0x2541db['queue']['key']=_0x579ae8[0x0],_0x2541db[_0xcd302(0x1c72)][_0xcd302(0x90b)]=_0x579ae8[0x1],_0x2541db[_0xcd302(0x1c72)]['welcomemessage']=_0x579ae8[_0xcd302(0x1298)](0x2,_0x579ae8['length'])[_0xcd302(0xb47)](',');}break;case _0xcd302(0xa46):{const _0x14adf9=_0x2541db[_0xcd302(0x1c72)][_0xcd302(0x168a)]['split'](',');_0x2541db[_0xcd302(0x1c72)]['projectId']=_0x14adf9[0x0],_0x2541db['queue'][_0xcd302(0x1392)]=_0x14adf9[0x1],_0x2541db[_0xcd302(0x1c72)][_0xcd302(0x2217)]=_0x14adf9[0x2],_0x2541db[_0xcd302(0x1c72)][_0xcd302(0x90b)]=_0x14adf9[0x3],_0x2541db[_0xcd302(0x1c72)][_0xcd302(0xaf3)]=_0x14adf9['slice'](0x4,_0x14adf9[_0xcd302(0x402)])['join'](',');}break;case'amazonlex':{const _0x41ab30=_0x2541db['queue'][_0xcd302(0x168a)][_0xcd302(0x10c8)](',');_0x2541db[_0xcd302(0x1c72)][_0xcd302(0x1651)]=_0x41ab30[0x0],_0x2541db['queue']['secretaccesskey']=_0x41ab30[0x1],_0x2541db[_0xcd302(0x1c72)][_0xcd302(0x1039)]=_0x41ab30[0x2],_0x2541db[_0xcd302(0x1c72)][_0xcd302(0x8dd)]=_0x41ab30[0x3],_0x2541db[_0xcd302(0x1c72)]['welcomemessage']=_0x41ab30[_0xcd302(0x1298)](0x4,_0x41ab30[_0xcd302(0x402)])[_0xcd302(0xb47)](',');}break;case _0xcd302(0x1713):{const _0x4d4217=_0x2541db[_0xcd302(0x1c72)][_0xcd302(0x168a)][_0xcd302(0x10c8)](',');_0x2541db['queue'][_0xcd302(0x583)]=isNaN(_0x4d4217[0x0])?_0x4d4217[0x0]:parseInt(_0x4d4217[0x0],0xa),_0x2541db['queue'][_0xcd302(0xa8d)]=_0x4d4217['slice'](0x1,_0x4d4217[_0xcd302(0x402)])[_0xcd302(0xb47)](',');}break;case _0xcd302(0x7fd):_0x2541db[_0xcd302(0x1c72)][_0xcd302(0xa8d)]=_0x2541db[_0xcd302(0x1c72)]['appdata'];break;case _0xcd302(0x26ba):_0x2541db[_0xcd302(0x1c72)]['name']=_0x2541db[_0xcd302(0x1c72)][_0xcd302(0x168a)]['split']('=')[0x0],_0x2541db[_0xcd302(0x1c72)][_0xcd302(0x175d)]=_0x2541db['queue'][_0xcd302(0x168a)]['split']('=')[0x1];break;case _0xcd302(0x1ecb):_0x2541db['queue']['project']=_0x2541db['queue'][_0xcd302(0x168a)];break;default:{const _0x55052d=_0x2541db['queue'][_0xcd302(0x168a)][_0xcd302(0x10c8)](',');_0x2541db[_0xcd302(0x1c72)]['queue']=_0x3f65c0()['isEmpty'](_0x55052d[0x0])?_0x55052d[0x0]:isNaN(_0x55052d[0x0])?_0x55052d[0x0]:parseInt(_0x55052d[0x0],0xa),_0x2541db['queue']['options']=_0x3f65c0()[_0xcd302(0x2635)](_0x55052d[0x1])?_0x55052d[0x1]:isNaN(_0x55052d[0x1])?_0x55052d[0x1]:parseInt(_0x55052d[0x1],0xa),_0x2541db[_0xcd302(0x1c72)][_0xcd302(0x1227)]=_0x3f65c0()[_0xcd302(0x2635)](_0x55052d[0x2])?_0x55052d[0x2]:isNaN(_0x55052d[0x2])?_0x55052d[0x2]:parseInt(_0x55052d[0x2],0xa),_0x2541db[_0xcd302(0x1c72)]['sound']=_0x3f65c0()[_0xcd302(0x2635)](_0x55052d[0x3])?_0x55052d[0x3]:isNaN(_0x55052d[0x3])?_0x55052d[0x3]:parseInt(_0x55052d[0x3],0xa),_0x2541db['queue']['timeout']=_0x3f65c0()['isEmpty'](_0x55052d[0x4])?_0x55052d[0x4]:isNaN(_0x55052d[0x4])?_0x55052d[0x4]:parseInt(_0x55052d[0x4],0xa),_0x2541db[_0xcd302(0x1c72)]['agi']=_0x3f65c0()[_0xcd302(0x2635)](_0x55052d[0x5])?_0x55052d[0x5]:isNaN(_0x55052d[0x5])?_0x55052d[0x5]:parseInt(_0x55052d[0x5],0xa),_0x2541db[_0xcd302(0x1c72)][_0xcd302(0x9d2)]=_0x3f65c0()[_0xcd302(0x2635)](_0x55052d[0x6])?_0x55052d[0x6]:isNaN(_0x55052d[0x6])?_0x55052d[0x6]:parseInt(_0x55052d[0x6],0xa),_0x2541db[_0xcd302(0x1c72)][_0xcd302(0x1779)]=_0x3f65c0()[_0xcd302(0x2635)](_0x55052d[0x7])?_0x55052d[0x7]:isNaN(_0x55052d[0x7])?_0x55052d[0x7]:parseInt(_0x55052d[0x7],0xa),_0x2541db[_0xcd302(0x1c72)][_0xcd302(0x95e)]=_0x3f65c0()[_0xcd302(0x2635)](_0x55052d[0x8])?_0x55052d[0x8]:isNaN(_0x55052d[0x8])?_0x55052d[0x8]:parseInt(_0x55052d[0x8],0xa),_0x2541db[_0xcd302(0x1c72)][_0xcd302(0x21ca)]=_0x3f65c0()[_0xcd302(0x2635)](_0x55052d[0x9])?_0x55052d[0x9]:isNaN(_0x55052d[0x9])?_0x55052d[0x9]:parseInt(_0x55052d[0x9],0xa);}break;}else{}_0x2541db['queue'][_0xcd302(0x1142)]&&_0x2541db['queue'][_0xcd302(0x1142)][_0xcd302(0x256e)]()===_0xcd302(0xc9c)&&_0x2541db[_0xcd302(0x1c72)][_0xcd302(0x8f2)][_0xcd302(0x256e)]()===_0xcd302(0x3bb)&&(_0x2541db[_0xcd302(0x1c72)][_0xcd302(0x1e12)]=_0x2541db['queue']['phone']?_0x2541db[_0xcd302(0x1c72)][_0xcd302(0x1d55)]['split']('$')[0x0]:undefined,_0x2541db[_0xcd302(0x1c72)][_0xcd302(0x1d43)]=_0x2541db['queue']['callerID']?'CALLERID(all)='+_0x2541db[_0xcd302(0x1c72)][_0xcd302(0x11be)]:undefined);_0x2541db[_0xcd302(0x2332)]=_0x53d6a1,_0x2541db[_0xcd302(0x13f3)]=_0x50d188,_0x106292['hasRole'](_0xcd302(0x174b))?_0x1662bb[_0xcd302(0x126d)][_0xcd302(0x16b4)]({'fields':_0xcd302(0x222a),'sort':_0xcd302(0x19eb),'nolimit':'true'})[_0xcd302(0x2945)]['then'](function(_0x46d49a){const _0x252ad7=_0xcd302;_0x2541db['sounds']=_0x46d49a[_0x252ad7(0x19c7)]||[];})[_0xcd302(0x129e)](function(_0x196377){const _0x30e293=_0xcd302;_0x5f3d33[_0x30e293(0x1980)]({'title':_0x196377[_0x30e293(0x107b)]?_0x30e293(0x262a)+_0x196377[_0x30e293(0x107b)]+'\x20-\x20'+_0x196377[_0x30e293(0x167f)]:'SYSTEM:GET_SOUNDS','msg':_0x196377[_0x30e293(0x524)]?JSON[_0x30e293(0x10bb)](_0x196377[_0x30e293(0x524)]):_0x196377['toString']()});}):_0x1662bb[_0xcd302(0x126d)][_0xcd302(0x16b4)]({'fields':_0xcd302(0x222a),'sort':_0xcd302(0x19eb),'nolimit':_0xcd302(0x1185)})[_0xcd302(0x2945)][_0xcd302(0x146b)](function(_0x9b2ee7){const _0x24b703=_0xcd302;_0x2541db[_0x24b703(0xb02)]=_0x9b2ee7[_0x24b703(0x19c7)]||[];})[_0xcd302(0x146b)](function(){const _0x28ebb3=_0xcd302;return _0x1662bb[_0x28ebb3(0x1366)][_0x28ebb3(0x16b4)]({'userProfileId':_0x2541db[_0x28ebb3(0x2321)][_0x28ebb3(0x209a)],'sectionId':0x3ef})[_0x28ebb3(0x2945)];})[_0xcd302(0x146b)](function(_0x3d6e60){const _0x2e797f=_0xcd302,_0x2eb5e9=_0x3d6e60&&_0x3d6e60[_0x2e797f(0x19c7)]?_0x3d6e60[_0x2e797f(0x19c7)][0x0]:null;if(!_0x2eb5e9){const _0xe7f612=[];let _0x1df43f=null;_0x2541db[_0x2e797f(0x1c72)]&&(_0x1df43f=_0x3f65c0()['find'](_0x2541db[_0x2e797f(0xb02)],{'save_name':_0x2541db[_0x2e797f(0x1c72)][_0x2e797f(0x126d)]}));for(let _0x5c373e=0x0;_0x5c373e<_0x2541db['sounds']['length'];_0x5c373e++){_0x1df43f&&_0x2541db[_0x2e797f(0xb02)][_0x5c373e]['id']===_0x1df43f['id']&&(_0x2541db[_0x2e797f(0xb02)][_0x5c373e]['canSelect']=![],_0xe7f612[_0x2e797f(0x1f47)](_0x2541db[_0x2e797f(0xb02)][_0x5c373e]));}_0x2541db[_0x2e797f(0xb02)]=_0xe7f612;}else{if(!_0x2eb5e9[_0x2e797f(0x11d2)])return _0x1662bb['userProfileResource'][_0x2e797f(0x16b4)]({'sectionId':_0x2eb5e9['id']})[_0x2e797f(0x2945)][_0x2e797f(0x146b)](function(_0x541d99){const _0x3cba51=_0x2e797f,_0x17a004=_0x3f65c0()['map'](_0x541d99[_0x3cba51(0x19c7)],function(_0x1d7cf9){const _0x5966db=_0x3cba51;return _0x3f65c0()[_0x5966db(0xc84)](_0x2541db[_0x5966db(0xb02)],{'id':_0x1d7cf9[_0x5966db(0x18b8)]});});let _0x2ff16c=null;_0x2541db[_0x3cba51(0x1c72)]&&(_0x2ff16c=_0x3f65c0()['find'](_0x2541db[_0x3cba51(0xb02)],{'save_name':_0x2541db['queue'][_0x3cba51(0x126d)]}));if(_0x2ff16c&&!_0x3f65c0()[_0x3cba51(0x1360)](_0x17a004,['id',_0x2ff16c['id']])){const _0xe81c1=_0x3f65c0()['find'](_0x2541db[_0x3cba51(0xb02)],{'id':_0x2ff16c['id']});_0xe81c1[_0x3cba51(0x8ff)]=![],_0x17a004['push'](_0xe81c1);}_0x2541db['sounds']=_0x17a004;});}})[_0xcd302(0x129e)](function(_0x50eda6){const _0x278d4b=_0xcd302;_0x5f3d33['error']({'title':_0x50eda6['status']?_0x278d4b(0x262a)+_0x50eda6[_0x278d4b(0x107b)]+_0x278d4b(0x1315)+_0x50eda6['statusText']:_0x278d4b(0x2682),'msg':_0x50eda6[_0x278d4b(0x524)]?JSON[_0x278d4b(0x10bb)](_0x50eda6['data']):_0x50eda6[_0x278d4b(0xd5f)]()});}),_0x106292[_0xcd302(0x23e0)](_0xcd302(0x174b))?_0x1662bb[_0xcd302(0x1446)][_0xcd302(0x16b4)]({'type':'inbound','fields':'id,name,type','sort':_0xcd302(0x19eb),'nolimit':_0xcd302(0x1185)})[_0xcd302(0x2945)][_0xcd302(0x146b)](function(_0x426dbf){const _0x170103=_0xcd302;_0x2541db[_0x170103(0x1b32)]=_0x426dbf['rows']||[];})[_0xcd302(0x129e)](function(_0x418438){const _0x51cd28=_0xcd302;_0x5f3d33[_0x51cd28(0x1980)]({'title':_0x418438[_0x51cd28(0x107b)]?_0x51cd28(0x262a)+_0x418438[_0x51cd28(0x107b)]+_0x51cd28(0x1315)+_0x418438[_0x51cd28(0x167f)]:_0x51cd28(0x22d8),'msg':_0x418438[_0x51cd28(0x524)]?JSON[_0x51cd28(0x10bb)](_0x418438[_0x51cd28(0x524)]):_0x418438[_0x51cd28(0xd5f)]()});}):_0x1662bb[_0xcd302(0x1446)][_0xcd302(0x16b4)]({'type':_0xcd302(0x7b0),'fields':_0xcd302(0x45e),'sort':'name','nolimit':_0xcd302(0x1185)})[_0xcd302(0x2945)]['then'](function(_0x421bba){const _0x5572ac=_0xcd302;_0x2541db[_0x5572ac(0x1b32)]=_0x421bba[_0x5572ac(0x19c7)]||[];})[_0xcd302(0x146b)](function(){const _0x6c0952=_0xcd302;return _0x1662bb[_0x6c0952(0x1366)][_0x6c0952(0x16b4)]({'userProfileId':_0x2541db[_0x6c0952(0x2321)]['userProfileId'],'sectionId':0x191})[_0x6c0952(0x2945)];})[_0xcd302(0x146b)](function(_0x167b2d){const _0x36f44d=_0xcd302,_0x2bce3d=_0x167b2d&&_0x167b2d[_0x36f44d(0x19c7)]?_0x167b2d[_0x36f44d(0x19c7)][0x0]:null;if(!_0x2bce3d){const _0x273afd=[];let _0x576a74=null;_0x2541db['queue']&&(_0x576a74=_0x3f65c0()[_0x36f44d(0xc84)](_0x2541db[_0x36f44d(0x1b32)],{'name':_0x2541db[_0x36f44d(0x1c72)][_0x36f44d(0x1c72)]}));for(let _0x1f0610=0x0;_0x1f0610<_0x2541db[_0x36f44d(0x1b32)]['length'];_0x1f0610++){_0x576a74&&_0x2541db['queues'][_0x1f0610]['id']===_0x576a74['id']&&(_0x2541db['queues'][_0x1f0610][_0x36f44d(0x8ff)]=![],_0x273afd[_0x36f44d(0x1f47)](_0x2541db[_0x36f44d(0x1b32)][_0x1f0610]));}_0x2541db[_0x36f44d(0x1b32)]=_0x273afd;}else{if(!_0x2bce3d[_0x36f44d(0x11d2)])return _0x1662bb[_0x36f44d(0xdcc)][_0x36f44d(0x16b4)]({'sectionId':_0x2bce3d['id']})['$promise']['then'](function(_0x5b2baa){const _0x51bff8=_0x36f44d,_0x25b3e1=_0x3f65c0()[_0x51bff8(0x205)](_0x5b2baa[_0x51bff8(0x19c7)],function(_0xd5bf71){const _0x2e1e08=_0x51bff8;return _0x3f65c0()[_0x2e1e08(0xc84)](_0x2541db['queues'],{'id':_0xd5bf71['resourceId']});});let _0x3fb1f3=null;_0x2541db[_0x51bff8(0x1c72)]&&(_0x3fb1f3=_0x3f65c0()[_0x51bff8(0xc84)](_0x2541db[_0x51bff8(0x1b32)],{'name':_0x2541db['queue'][_0x51bff8(0x1c72)]}));if(_0x3fb1f3&&!_0x3f65c0()[_0x51bff8(0x1360)](_0x25b3e1,['id',_0x3fb1f3['id']])){const _0x354b86=_0x3f65c0()[_0x51bff8(0xc84)](_0x2541db[_0x51bff8(0x1b32)],{'id':_0x3fb1f3['id']});_0x354b86[_0x51bff8(0x8ff)]=![],_0x25b3e1['push'](_0x354b86);}_0x2541db[_0x51bff8(0x1b32)]=_0x25b3e1;});}})[_0xcd302(0x129e)](function(_0x7923a9){const _0x56d840=_0xcd302;_0x5f3d33[_0x56d840(0x1980)]({'title':_0x7923a9[_0x56d840(0x107b)]?'API:'+_0x7923a9[_0x56d840(0x107b)]+_0x56d840(0x1315)+_0x7923a9[_0x56d840(0x167f)]:_0x56d840(0x561),'msg':_0x7923a9[_0x56d840(0x524)]?JSON['stringify'](_0x7923a9['data']):_0x7923a9[_0x56d840(0xd5f)]()});});function _0x53d6a1(){const _0xaf8a2f=_0xcd302;_0x2541db['errors']=[];const _0x427463=[];_0x2541db[_0xaf8a2f(0x1c72)][_0xaf8a2f(0x1142)]&&_0x2541db[_0xaf8a2f(0x1c72)]['type'][_0xaf8a2f(0x256e)]()==='outbound'&&_0x2541db[_0xaf8a2f(0x1c72)]['appType']===_0xaf8a2f(0x118b)&&(_0x2541db[_0xaf8a2f(0x1c72)][_0xaf8a2f(0x1d55)]=_0x5ba95f['cutdigits']?(_0x2541db[_0xaf8a2f(0x1c72)][_0xaf8a2f(0x1e12)]||'')+_0xaf8a2f(0x2147)+_0x5ba95f[_0xaf8a2f(0x1274)]+'}':(_0x2541db[_0xaf8a2f(0x1c72)][_0xaf8a2f(0x1e12)]||'')+_0xaf8a2f(0x20df),_0x5ba95f[_0xaf8a2f(0x1425)]!=='none'?_0x2541db[_0xaf8a2f(0x1c72)][_0xaf8a2f(0xa08)]['indexOf'](_0xaf8a2f(0x1ea4))<0x0&&(_0x2541db['queue'][_0xaf8a2f(0xa08)]+='U(xcally-mixmonitor-context)'):_0x2541db['queue'][_0xaf8a2f(0xa08)]=_0x2541db[_0xaf8a2f(0x1c72)][_0xaf8a2f(0xa08)][_0xaf8a2f(0x5f4)]('U(xcally-mixmonitor-context)',''));if(_0x2541db[_0xaf8a2f(0x1c72)][_0xaf8a2f(0x8f2)]&&_0x2541db['queue'][_0xaf8a2f(0x8f2)]===_0xaf8a2f(0x1802)){}else switch((_0x2541db['queue'][_0xaf8a2f(0x1873)]||_0x2541db[_0xaf8a2f(0x1c72)][_0xaf8a2f(0x8f2)])[_0xaf8a2f(0x256e)]()){case _0xaf8a2f(0x26ba):_0x2541db[_0xaf8a2f(0x1c72)][_0xaf8a2f(0x168a)]=_0x2541db[_0xaf8a2f(0x1c72)][_0xaf8a2f(0x19eb)]+'='+_0x2541db[_0xaf8a2f(0x1c72)][_0xaf8a2f(0x175d)];break;case _0xaf8a2f(0x1802):break;default:_0x427463[0x0]=_0x2541db['queue']['queue'],_0x427463[0x1]=_0x2541db[_0xaf8a2f(0x1c72)]['options'],_0x427463[0x2]=_0x2541db[_0xaf8a2f(0x1c72)][_0xaf8a2f(0x1227)],_0x427463[0x3]=_0x2541db['queue']['sound'],_0x427463[0x4]=_0x2541db[_0xaf8a2f(0x1c72)]['timeout'],_0x427463[0x5]=_0x2541db[_0xaf8a2f(0x1c72)]['agi'],_0x427463[0x6]=_0x2541db[_0xaf8a2f(0x1c72)][_0xaf8a2f(0x9d2)],_0x427463[0x7]=_0x2541db['queue']['gosub'],_0x427463[0x8]=_0x2541db[_0xaf8a2f(0x1c72)][_0xaf8a2f(0x95e)],_0x427463[0x9]=_0x2541db['queue'][_0xaf8a2f(0x21ca)],_0x2541db[_0xaf8a2f(0x1c72)][_0xaf8a2f(0x168a)]=_0x427463[_0xaf8a2f(0xb47)](',');}_0x50d188(_0x2541db[_0xaf8a2f(0x1c72)]);}function _0x50d188(_0x116f2f){const _0x18c159=_0xcd302;_0x4c1d48[_0x18c159(0x2458)](_0x116f2f);}}const _0x48ad30=_0x368486;;_0x542863[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x2296),_0x313a4d(0x1d70),_0x313a4d(0x247f),_0x313a4d(0xa87),'crudPermissions'];function _0x542863(_0x39d58f,_0x26aa6f,_0x196f5a,_0x41e192,_0x20c122,_0xe40677,_0x14fee1,_0x2972c2){const _0x42eeac=_0x313a4d,_0x53977d=this;_0x53977d[_0x42eeac(0x2321)]=_0x14fee1['getCurrentUser'](),_0x53977d[_0x42eeac(0xcef)]=[],_0x53977d['title']=_0x42eeac(0x1950)+(_0x41e192[_0x42eeac(0x8f2)]||_0x41e192['app'])[_0x42eeac(0x1c37)](),_0x53977d[_0x42eeac(0x248d)]=angular[_0x42eeac(0x235a)](_0x41e192),_0x53977d['crudPermissions']=_0x2972c2,_0x53977d[_0x42eeac(0x855)]={};if(_0x53977d[_0x42eeac(0x248d)]['appdata'])switch(_0x53977d['ringgroup'][_0x42eeac(0x8f2)]?_0x53977d[_0x42eeac(0x248d)][_0x42eeac(0x8f2)]['toLowerCase']():_0x53977d[_0x42eeac(0x248d)][_0x42eeac(0x1873)]['toLowerCase']()){case'custom':break;case'dialogflow':{const _0x5d4378=_0x53977d[_0x42eeac(0x248d)]['appdata'][_0x42eeac(0x10c8)](',');_0x53977d[_0x42eeac(0x248d)][_0x42eeac(0x2293)]=_0x5d4378[0x0],_0x53977d['ringgroup'][_0x42eeac(0x90b)]=_0x5d4378[0x1],_0x53977d[_0x42eeac(0x248d)]['welcomemessage']=_0x5d4378[_0x42eeac(0x1298)](0x2,_0x5d4378[_0x42eeac(0x402)])[_0x42eeac(0xb47)](',');}break;case _0x42eeac(0xa46):{const _0x48fb71=_0x53977d[_0x42eeac(0x248d)][_0x42eeac(0x168a)][_0x42eeac(0x10c8)](',');_0x53977d[_0x42eeac(0x248d)][_0x42eeac(0x153a)]=_0x48fb71[0x0],_0x53977d[_0x42eeac(0x248d)][_0x42eeac(0x1392)]=_0x48fb71[0x1],_0x53977d[_0x42eeac(0x248d)]['privateKey']=_0x48fb71[0x2],_0x53977d[_0x42eeac(0x248d)][_0x42eeac(0x90b)]=_0x48fb71[0x3],_0x53977d[_0x42eeac(0x248d)][_0x42eeac(0xaf3)]=_0x48fb71[_0x42eeac(0x1298)](0x4,_0x48fb71[_0x42eeac(0x402)])[_0x42eeac(0xb47)](',');}break;case _0x42eeac(0x17d6):{const _0x592973=_0x53977d[_0x42eeac(0x248d)][_0x42eeac(0x168a)][_0x42eeac(0x10c8)](',');_0x53977d[_0x42eeac(0x248d)]['accesskeyid']=_0x592973[0x0],_0x53977d[_0x42eeac(0x248d)][_0x42eeac(0x252)]=_0x592973[0x1],_0x53977d[_0x42eeac(0x248d)][_0x42eeac(0x1039)]=_0x592973[0x2],_0x53977d['ringgroup'][_0x42eeac(0x8dd)]=_0x592973[0x3],_0x53977d[_0x42eeac(0x248d)][_0x42eeac(0xaf3)]=_0x592973['slice'](0x4,_0x592973[_0x42eeac(0x402)])['join'](',');}break;case'autoreply':{const _0xe6c8be=_0x53977d[_0x42eeac(0x248d)][_0x42eeac(0x168a)][_0x42eeac(0x10c8)](',');_0x53977d[_0x42eeac(0x248d)][_0x42eeac(0x583)]=isNaN(_0xe6c8be[0x0])?_0xe6c8be[0x0]:parseInt(_0xe6c8be[0x0],0xa),_0x53977d[_0x42eeac(0x248d)][_0x42eeac(0xa8d)]=_0xe6c8be[_0x42eeac(0x1298)](0x1,_0xe6c8be[_0x42eeac(0x402)])[_0x42eeac(0xb47)](',');}break;case _0x42eeac(0x7fd):_0x53977d[_0x42eeac(0x248d)]['text']=_0x53977d[_0x42eeac(0x248d)][_0x42eeac(0x168a)];break;case _0x42eeac(0x26ba):_0x53977d[_0x42eeac(0x248d)][_0x42eeac(0x19eb)]=_0x53977d[_0x42eeac(0x248d)][_0x42eeac(0x168a)][_0x42eeac(0x10c8)]('=')[0x0],_0x53977d[_0x42eeac(0x248d)]['value']=_0x53977d['ringgroup']['appdata'][_0x42eeac(0x10c8)]('=')[0x1];break;case _0x42eeac(0x1ecb):_0x53977d[_0x42eeac(0x248d)][_0x42eeac(0x9e0)]=_0x53977d[_0x42eeac(0x248d)][_0x42eeac(0x168a)];break;default:{const _0x5d9de1=_0x53977d[_0x42eeac(0x248d)][_0x42eeac(0x168a)][_0x42eeac(0x10c8)](',');_0x53977d[_0x42eeac(0x248d)][_0x42eeac(0x96a)]=_0x5d9de1[0x0][_0x42eeac(0x10c8)]('&'),_0x53977d['ringgroup'][_0x42eeac(0xa9c)]=_0x3f65c0()[_0x42eeac(0x2635)](_0x5d9de1[0x1])?_0x5d9de1[0x1]:isNaN(_0x5d9de1[0x1])?_0x5d9de1[0x1]:parseInt(_0x5d9de1[0x1],0xa),_0x53977d[_0x42eeac(0x248d)][_0x42eeac(0xa08)]=_0x3f65c0()[_0x42eeac(0x2635)](_0x5d9de1[0x2])?_0x5d9de1[0x2]:isNaN(_0x5d9de1[0x2])?_0x5d9de1[0x2]:parseInt(_0x5d9de1[0x2],0xa),_0x53977d[_0x42eeac(0x248d)]['url']=_0x3f65c0()['isEmpty'](_0x5d9de1[0x3])?_0x5d9de1[0x3]:isNaN(_0x5d9de1[0x3])?_0x5d9de1[0x3]:parseInt(_0x5d9de1[0x3],0xa);}break;}else{}_0x53977d['ringgroup'][_0x42eeac(0x1142)]&&_0x53977d[_0x42eeac(0x248d)][_0x42eeac(0x1142)][_0x42eeac(0x256e)]()==='outbound'&&_0x53977d[_0x42eeac(0x248d)]['appType'][_0x42eeac(0x256e)]()===_0x42eeac(0x3bb)&&(_0x53977d[_0x42eeac(0x248d)][_0x42eeac(0x1e12)]=_0x53977d['ringgroup'][_0x42eeac(0x1d55)]?_0x53977d[_0x42eeac(0x248d)][_0x42eeac(0x1d55)][_0x42eeac(0x10c8)]('$')[0x0]:undefined,_0x53977d[_0x42eeac(0x248d)][_0x42eeac(0x1d43)]=_0x53977d['ringgroup'][_0x42eeac(0x11be)]?'CALLERID(all)='+_0x53977d[_0x42eeac(0x248d)][_0x42eeac(0x11be)]:undefined);_0x53977d[_0x42eeac(0x2332)]=_0x18445d,_0x53977d['closeDialog']=_0x5b079a;const _0x238c95=[{'id':0xca,'role':'agent'},{'id':0xcb,'role':_0x42eeac(0x90c)}];let _0x342afd=null;_0x14fee1[_0x42eeac(0x23e0)](_0x42eeac(0x174b))?_0xe40677[_0x42eeac(0xe7b)][_0x42eeac(0x16b4)]({'fields':_0x42eeac(0x245b),'sort':_0x42eeac(0x19eb),'nolimit':_0x42eeac(0x1185)})[_0x42eeac(0x2945)]['then'](function(_0x357a49){const _0x1622dd=_0x42eeac;_0x53977d[_0x1622dd(0x1995)]=_0x357a49['rows']||[];})[_0x42eeac(0x129e)](function(_0x34ed9f){const _0x5937d9=_0x42eeac;_0x196f5a[_0x5937d9(0x1980)]({'title':_0x34ed9f[_0x5937d9(0x107b)]?_0x5937d9(0x262a)+_0x34ed9f[_0x5937d9(0x107b)]+_0x5937d9(0x1315)+_0x34ed9f[_0x5937d9(0x167f)]:_0x5937d9(0x42e),'msg':_0x34ed9f[_0x5937d9(0x524)]?JSON['stringify'](_0x34ed9f[_0x5937d9(0x524)]):_0x34ed9f[_0x5937d9(0xd5f)]()});}):_0xe40677[_0x42eeac(0xe7b)]['get']({'fields':'id,name,role','sort':_0x42eeac(0x19eb),'nolimit':_0x42eeac(0x1185)})[_0x42eeac(0x2945)]['then'](function(_0x278620){_0x342afd=_0x278620['rows']||[];})[_0x42eeac(0x146b)](function(){const _0x342033=_0x42eeac,_0x3eb70b=[];for(let _0x36cf11=0x0;_0x36cf11<_0x238c95['length'];_0x36cf11++){_0x3eb70b[_0x342033(0x1f47)](_0xe40677['userProfileSection']['get']({'userProfileId':_0x53977d['currentUser'][_0x342033(0x209a)],'sectionId':_0x238c95[_0x36cf11]['id']})[_0x342033(0x2945)]);}return _0x26aa6f[_0x342033(0x1be2)](_0x3eb70b);})[_0x42eeac(0x146b)](function(_0x413a23){const _0x2b465e=_0x42eeac,_0x16e36d=_0x3f65c0()[_0x2b465e(0x205)](_0x413a23,function(_0x3b2196){const _0x448114=_0x2b465e;return _0x3b2196&&_0x3b2196['rows']?_0x3b2196[_0x448114(0x19c7)][0x0]:null;}),_0xaab67d=[];for(let _0x4dc267=0x0;_0x4dc267<_0x238c95[_0x2b465e(0x402)];_0x4dc267++){const _0x5ea282=_0x3f65c0()[_0x2b465e(0xc84)](_0x16e36d,['sectionId',_0x238c95[_0x4dc267]['id']]);if(_0x5ea282){if(!_0x5ea282[_0x2b465e(0x11d2)])_0xaab67d[_0x2b465e(0x1f47)](_0xe40677[_0x2b465e(0xdcc)][_0x2b465e(0x16b4)]({'sectionId':_0x5ea282['id']})[_0x2b465e(0x2945)]);else{const _0x30b602=[];_0x342afd['forEach'](function(_0x5b7e28){const _0x3f5b1f=_0x2b465e;_0x5b7e28[_0x3f5b1f(0xfb0)]===_0x238c95[_0x4dc267]['role']&&_0x30b602['push'](_0x5b7e28);}),_0xaab67d[_0x2b465e(0x1f47)]({'rows':_0x30b602,'count':_0x30b602[_0x2b465e(0x402)]});}}}return _0x26aa6f['all'](_0xaab67d);})[_0x42eeac(0x146b)](function(_0x2d59e4){const _0x296c45=_0x42eeac,_0x3fc44c=[];let _0x2c020c=null;_0x53977d[_0x296c45(0x248d)]&&(_0x2c020c=_0x3f65c0()[_0x296c45(0xc84)](_0x342afd,{'name':_0x53977d[_0x296c45(0x248d)][_0x296c45(0x96a)]}));for(let _0x555766=0x0;_0x555766<_0x2d59e4['length'];_0x555766++){const _0x49f36f=_0x2d59e4[_0x555766][_0x296c45(0x19c7)]||[];_0x49f36f[_0x296c45(0x1df5)](function(_0x222d10){const _0x10ed4b=_0x296c45;_0x3fc44c[_0x10ed4b(0x1f47)](_0x222d10);});}_0x2c020c&&!_0x3f65c0()[_0x296c45(0x1360)](_0x3fc44c,[_0x296c45(0x18b8),_0x2c020c['id']])&&_0x342afd['forEach'](function(_0x437439){const _0x293a67=_0x296c45;_0x437439['id']===_0x2c020c['id']&&(_0x437439[_0x293a67(0x8ff)]=![],_0x3fc44c[_0x293a67(0x1f47)](_0x437439));}),_0x53977d[_0x296c45(0x1995)]=_0x3fc44c;})[_0x42eeac(0x129e)](function(_0x9f23eb){const _0x24a4aa=_0x42eeac;_0x196f5a[_0x24a4aa(0x1980)]({'title':_0x9f23eb[_0x24a4aa(0x107b)]?_0x24a4aa(0x262a)+_0x9f23eb['status']+_0x24a4aa(0x1315)+_0x9f23eb[_0x24a4aa(0x167f)]:_0x24a4aa(0x8d5),'msg':_0x9f23eb[_0x24a4aa(0x524)]?JSON[_0x24a4aa(0x10bb)](_0x9f23eb['data']):_0x9f23eb[_0x24a4aa(0xd5f)]()});});function _0x18445d(){const _0x21efc6=_0x42eeac;_0x53977d['errors']=[];const _0x522045=[];_0x53977d[_0x21efc6(0x248d)][_0x21efc6(0x1142)]&&_0x53977d[_0x21efc6(0x248d)]['type']['toLowerCase']()==='outbound'&&_0x53977d[_0x21efc6(0x248d)][_0x21efc6(0x8f2)]===_0x21efc6(0x118b)&&(_0x53977d[_0x21efc6(0x248d)][_0x21efc6(0x1d55)]=_0x20c122[_0x21efc6(0x1274)]?(_0x53977d[_0x21efc6(0x248d)]['prefix']||'')+'${EXTEN:'+_0x20c122[_0x21efc6(0x1274)]+'}':(_0x53977d[_0x21efc6(0x248d)][_0x21efc6(0x1e12)]||'')+'${EXTEN}',_0x20c122['recordingFormat']!==_0x21efc6(0x1642)?_0x53977d[_0x21efc6(0x248d)]['options']['indexOf'](_0x21efc6(0x1ea4))<0x0&&(_0x53977d[_0x21efc6(0x248d)]['options']+=_0x21efc6(0x1ea4)):_0x53977d[_0x21efc6(0x248d)]['options']=_0x53977d[_0x21efc6(0x248d)][_0x21efc6(0xa08)][_0x21efc6(0x5f4)](_0x21efc6(0x1ea4),''));if(_0x53977d['ringgroup'][_0x21efc6(0x8f2)]&&_0x53977d[_0x21efc6(0x248d)]['appType']===_0x21efc6(0x1802)){}else switch((_0x53977d[_0x21efc6(0x248d)][_0x21efc6(0x1873)]||_0x53977d[_0x21efc6(0x248d)][_0x21efc6(0x8f2)])['toLowerCase']()){case _0x21efc6(0x26ba):_0x53977d[_0x21efc6(0x248d)]['appdata']=_0x53977d[_0x21efc6(0x248d)][_0x21efc6(0x19eb)]+'='+_0x53977d[_0x21efc6(0x248d)][_0x21efc6(0x175d)];break;case _0x21efc6(0x1802):break;default:_0x522045[0x0]=_0x53977d[_0x21efc6(0x248d)][_0x21efc6(0x96a)][_0x21efc6(0xb47)]('&'),_0x522045[0x1]=_0x53977d['ringgroup'][_0x21efc6(0xa9c)],_0x522045[0x2]=_0x53977d['ringgroup']['options'],_0x522045[0x3]=_0x53977d[_0x21efc6(0x248d)][_0x21efc6(0x20cc)],_0x53977d[_0x21efc6(0x248d)][_0x21efc6(0x168a)]=_0x522045[_0x21efc6(0xb47)](',');}_0x5b079a(_0x53977d[_0x21efc6(0x248d)]);}function _0x5b079a(_0x251efe){const _0x576560=_0x42eeac;_0x39d58f[_0x576560(0x2458)](_0x251efe);}}const _0x486093=_0x542863;;_0x22d544[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x2296),'inboundroute','api',_0x313a4d(0xa87),'crudPermissions'];function _0x22d544(_0x330f63,_0x484d49,_0xd3fa95,_0x5d0ca3,_0x215184,_0x599c1f,_0x56dea0,_0x96f0a8){const _0x397ec0=_0x313a4d,_0x21e0c1=this;_0x21e0c1['currentUser']=_0x56dea0[_0x397ec0(0xb12)](),_0x21e0c1[_0x397ec0(0xcef)]=[],_0x21e0c1[_0x397ec0(0x1189)]=_0x397ec0(0x1950)+(_0x5d0ca3[_0x397ec0(0x8f2)]||_0x5d0ca3['app'])[_0x397ec0(0x1c37)](),_0x21e0c1[_0x397ec0(0x26ba)]=angular[_0x397ec0(0x235a)](_0x5d0ca3),_0x21e0c1[_0x397ec0(0x2514)]=_0x96f0a8,_0x21e0c1[_0x397ec0(0x855)]={};if(_0x21e0c1[_0x397ec0(0x26ba)][_0x397ec0(0x168a)])switch(_0x21e0c1[_0x397ec0(0x26ba)][_0x397ec0(0x8f2)]?_0x21e0c1['set']['appType']['toLowerCase']():_0x21e0c1[_0x397ec0(0x26ba)][_0x397ec0(0x1873)]['toLowerCase']()){case _0x397ec0(0x1802):break;case _0x397ec0(0xeaa):{const _0xf65e4c=_0x21e0c1[_0x397ec0(0x26ba)][_0x397ec0(0x168a)][_0x397ec0(0x10c8)](',');_0x21e0c1['set'][_0x397ec0(0x2293)]=_0xf65e4c[0x0],_0x21e0c1[_0x397ec0(0x26ba)][_0x397ec0(0x90b)]=_0xf65e4c[0x1],_0x21e0c1[_0x397ec0(0x26ba)][_0x397ec0(0xaf3)]=_0xf65e4c[_0x397ec0(0x1298)](0x2,_0xf65e4c['length'])[_0x397ec0(0xb47)](',');}break;case _0x397ec0(0xa46):{const _0x56c7c8=_0x21e0c1[_0x397ec0(0x26ba)]['appdata'][_0x397ec0(0x10c8)](',');_0x21e0c1[_0x397ec0(0x26ba)]['projectId']=_0x56c7c8[0x0],_0x21e0c1[_0x397ec0(0x26ba)]['clientEmail']=_0x56c7c8[0x1],_0x21e0c1[_0x397ec0(0x26ba)][_0x397ec0(0x2217)]=_0x56c7c8[0x2],_0x21e0c1[_0x397ec0(0x26ba)][_0x397ec0(0x90b)]=_0x56c7c8[0x3],_0x21e0c1[_0x397ec0(0x26ba)][_0x397ec0(0xaf3)]=_0x56c7c8[_0x397ec0(0x1298)](0x4,_0x56c7c8[_0x397ec0(0x402)])[_0x397ec0(0xb47)](',');}break;case _0x397ec0(0x17d6):{const _0xf8c1e3=_0x21e0c1['set']['appdata'][_0x397ec0(0x10c8)](',');_0x21e0c1[_0x397ec0(0x26ba)]['accesskeyid']=_0xf8c1e3[0x0],_0x21e0c1[_0x397ec0(0x26ba)][_0x397ec0(0x252)]=_0xf8c1e3[0x1],_0x21e0c1[_0x397ec0(0x26ba)]['lexregion']=_0xf8c1e3[0x2],_0x21e0c1[_0x397ec0(0x26ba)]['botname']=_0xf8c1e3[0x3],_0x21e0c1[_0x397ec0(0x26ba)][_0x397ec0(0xaf3)]=_0xf8c1e3['slice'](0x4,_0xf8c1e3[_0x397ec0(0x402)])[_0x397ec0(0xb47)](',');}break;case'autoreply':{const _0x1beb97=_0x21e0c1['set'][_0x397ec0(0x168a)]['split'](',');_0x21e0c1[_0x397ec0(0x26ba)]['times']=isNaN(_0x1beb97[0x0])?_0x1beb97[0x0]:parseInt(_0x1beb97[0x0],0xa),_0x21e0c1[_0x397ec0(0x26ba)]['text']=_0x1beb97[_0x397ec0(0x1298)](0x1,_0x1beb97[_0x397ec0(0x402)])[_0x397ec0(0xb47)](',');}break;case _0x397ec0(0x7fd):_0x21e0c1[_0x397ec0(0x26ba)][_0x397ec0(0xa8d)]=_0x21e0c1['set'][_0x397ec0(0x168a)];break;case _0x397ec0(0x26ba):_0x21e0c1['set']['name']=_0x21e0c1[_0x397ec0(0x26ba)]['appdata'][_0x397ec0(0x10c8)]('=')[0x0],_0x21e0c1[_0x397ec0(0x26ba)][_0x397ec0(0x175d)]=_0x21e0c1[_0x397ec0(0x26ba)][_0x397ec0(0x168a)]['split']('=')[0x1];break;case _0x397ec0(0x1ecb):_0x21e0c1[_0x397ec0(0x26ba)]['project']=_0x21e0c1[_0x397ec0(0x26ba)]['appdata'];break;default:{const _0x553253=_0x21e0c1[_0x397ec0(0x26ba)]['appdata'][_0x397ec0(0x10c8)]('=');_0x21e0c1['set']['name']=_0x3f65c0()[_0x397ec0(0x2635)](_0x553253[0x0])?_0x553253[0x0]:isNaN(_0x553253[0x0])?_0x553253[0x0]:parseInt(_0x553253[0x0],0xa),_0x21e0c1[_0x397ec0(0x26ba)][_0x397ec0(0x175d)]=_0x3f65c0()[_0x397ec0(0x2635)](_0x553253[0x1])?_0x553253[0x1]:isNaN(_0x553253[0x1])?_0x553253[0x1]:parseInt(_0x553253[0x1],0xa);}break;}else{}_0x21e0c1['set'][_0x397ec0(0x1142)]&&_0x21e0c1['set'][_0x397ec0(0x1142)][_0x397ec0(0x256e)]()===_0x397ec0(0xc9c)&&_0x21e0c1[_0x397ec0(0x26ba)][_0x397ec0(0x8f2)]['toLowerCase']()===_0x397ec0(0x3bb)&&(_0x21e0c1[_0x397ec0(0x26ba)][_0x397ec0(0x1e12)]=_0x21e0c1[_0x397ec0(0x26ba)][_0x397ec0(0x1d55)]?_0x21e0c1['set'][_0x397ec0(0x1d55)][_0x397ec0(0x10c8)]('$')[0x0]:undefined,_0x21e0c1[_0x397ec0(0x26ba)][_0x397ec0(0x1d43)]=_0x21e0c1['set'][_0x397ec0(0x11be)]?'CALLERID(all)='+_0x21e0c1[_0x397ec0(0x26ba)][_0x397ec0(0x11be)]:undefined);_0x21e0c1[_0x397ec0(0x2332)]=_0x173914,_0x21e0c1[_0x397ec0(0x13f3)]=_0x12541c,_0x56dea0[_0x397ec0(0x23e0)](_0x397ec0(0x174b))?_0x599c1f['variable'][_0x397ec0(0x16b4)]({'fields':_0x397ec0(0x7a7),'sort':_0x397ec0(0x19eb),'nolimit':_0x397ec0(0x1185)})['$promise']['then'](function(_0x3db8bc){const _0x5b43f1=_0x397ec0;_0x21e0c1['variables']=_0x3db8bc[_0x5b43f1(0x19c7)]||[];})['catch'](function(_0x3fe9ab){const _0x52f7da=_0x397ec0;_0xd3fa95[_0x52f7da(0x1980)]({'title':_0x3fe9ab[_0x52f7da(0x107b)]?'API:'+_0x3fe9ab[_0x52f7da(0x107b)]+_0x52f7da(0x1315)+_0x3fe9ab['statusText']:_0x52f7da(0x84b),'msg':_0x3fe9ab[_0x52f7da(0x524)]?JSON[_0x52f7da(0x10bb)](_0x3fe9ab[_0x52f7da(0x524)]):_0x3fe9ab[_0x52f7da(0xd5f)]()});}):_0x599c1f[_0x397ec0(0x212)][_0x397ec0(0x16b4)]({'fields':'id,name','sort':_0x397ec0(0x19eb),'nolimit':_0x397ec0(0x1185)})['$promise'][_0x397ec0(0x146b)](function(_0x10bd86){const _0x14bb11=_0x397ec0;_0x21e0c1[_0x14bb11(0x85b)]=_0x10bd86[_0x14bb11(0x19c7)]||[];})[_0x397ec0(0x146b)](function(){const _0x1c97d7=_0x397ec0;return _0x599c1f[_0x1c97d7(0x1366)]['get']({'userProfileId':_0x21e0c1[_0x1c97d7(0x2321)][_0x1c97d7(0x209a)],'sectionId':0x3f4})['$promise'];})[_0x397ec0(0x146b)](function(_0x1facaf){const _0x46bc36=_0x397ec0,_0x54b09e=_0x1facaf&&_0x1facaf[_0x46bc36(0x19c7)]?_0x1facaf[_0x46bc36(0x19c7)][0x0]:null;if(!_0x54b09e){const _0x26b69f=[];let _0x1c5b07=null;_0x21e0c1[_0x46bc36(0x26ba)]&&(_0x1c5b07=_0x3f65c0()['find'](_0x21e0c1[_0x46bc36(0x85b)],{'name':_0x21e0c1[_0x46bc36(0x26ba)][_0x46bc36(0x19eb)]}));for(let _0x5afbc0=0x0;_0x5afbc0<_0x21e0c1[_0x46bc36(0x85b)][_0x46bc36(0x402)];_0x5afbc0++){_0x1c5b07&&_0x21e0c1['variables'][_0x5afbc0]['id']===_0x1c5b07['id']&&(_0x21e0c1[_0x46bc36(0x85b)][_0x5afbc0][_0x46bc36(0x8ff)]=![],_0x26b69f[_0x46bc36(0x1f47)](_0x21e0c1[_0x46bc36(0x85b)][_0x5afbc0]));}_0x21e0c1[_0x46bc36(0x85b)]=_0x26b69f;}else{if(!_0x54b09e[_0x46bc36(0x11d2)])return _0x599c1f[_0x46bc36(0xdcc)][_0x46bc36(0x16b4)]({'sectionId':_0x54b09e['id']})[_0x46bc36(0x2945)][_0x46bc36(0x146b)](function(_0x43c0f8){const _0xb0df5c=_0x46bc36,_0x566b4e=_0x3f65c0()[_0xb0df5c(0x205)](_0x43c0f8[_0xb0df5c(0x19c7)],function(_0x2bfb9f){const _0x22ca0b=_0xb0df5c;return _0x3f65c0()[_0x22ca0b(0xc84)](_0x21e0c1[_0x22ca0b(0x85b)],{'id':_0x2bfb9f[_0x22ca0b(0x18b8)]});});let _0x546427=null;_0x21e0c1[_0xb0df5c(0x26ba)]&&(_0x546427=_0x3f65c0()[_0xb0df5c(0xc84)](_0x21e0c1[_0xb0df5c(0x85b)],{'name':_0x21e0c1[_0xb0df5c(0x26ba)][_0xb0df5c(0x19eb)]}));if(_0x546427&&!_0x3f65c0()[_0xb0df5c(0x1360)](_0x566b4e,['id',_0x546427['id']])){const _0x28de77=_0x3f65c0()[_0xb0df5c(0xc84)](_0x21e0c1[_0xb0df5c(0x85b)],{'id':_0x546427['id']});_0x28de77[_0xb0df5c(0x8ff)]=![],_0x566b4e[_0xb0df5c(0x1f47)](_0x28de77);}_0x21e0c1[_0xb0df5c(0x85b)]=_0x566b4e;});}})[_0x397ec0(0x129e)](function(_0x5c22be){const _0xc31410=_0x397ec0;_0xd3fa95[_0xc31410(0x1980)]({'title':_0x5c22be[_0xc31410(0x107b)]?_0xc31410(0x262a)+_0x5c22be[_0xc31410(0x107b)]+_0xc31410(0x1315)+_0x5c22be[_0xc31410(0x167f)]:_0xc31410(0x493),'msg':_0x5c22be[_0xc31410(0x524)]?JSON['stringify'](_0x5c22be['data']):_0x5c22be[_0xc31410(0xd5f)]()});});function _0x173914(){const _0x243d53=_0x397ec0;_0x21e0c1['errors']=[];const _0x4ef4f0=[];_0x21e0c1[_0x243d53(0x26ba)]['type']&&_0x21e0c1[_0x243d53(0x26ba)][_0x243d53(0x1142)][_0x243d53(0x256e)]()===_0x243d53(0xc9c)&&_0x21e0c1[_0x243d53(0x26ba)][_0x243d53(0x8f2)]===_0x243d53(0x118b)&&(_0x21e0c1['set']['phone']=_0x215184[_0x243d53(0x1274)]?(_0x21e0c1[_0x243d53(0x26ba)][_0x243d53(0x1e12)]||'')+'${EXTEN:'+_0x215184[_0x243d53(0x1274)]+'}':(_0x21e0c1[_0x243d53(0x26ba)]['prefix']||'')+_0x243d53(0x20df),_0x215184['recordingFormat']!==_0x243d53(0x1642)?_0x21e0c1[_0x243d53(0x26ba)]['options']['indexOf']('U(xcally-mixmonitor-context)')<0x0&&(_0x21e0c1[_0x243d53(0x26ba)]['options']+='U(xcally-mixmonitor-context)'):_0x21e0c1['set']['options']=_0x21e0c1[_0x243d53(0x26ba)][_0x243d53(0xa08)][_0x243d53(0x5f4)]('U(xcally-mixmonitor-context)',''));if(_0x21e0c1['set']['appType']&&_0x21e0c1[_0x243d53(0x26ba)][_0x243d53(0x8f2)]===_0x243d53(0x1802)){}else switch((_0x21e0c1['set'][_0x243d53(0x1873)]||_0x21e0c1[_0x243d53(0x26ba)][_0x243d53(0x8f2)])[_0x243d53(0x256e)]()){case _0x243d53(0x26ba):_0x21e0c1[_0x243d53(0x26ba)][_0x243d53(0x168a)]=_0x21e0c1[_0x243d53(0x26ba)][_0x243d53(0x19eb)]+'='+_0x21e0c1[_0x243d53(0x26ba)][_0x243d53(0x175d)];break;case _0x243d53(0x1802):break;default:_0x4ef4f0[0x0]=_0x21e0c1[_0x243d53(0x26ba)][_0x243d53(0x19eb)],_0x4ef4f0[0x1]=_0x21e0c1[_0x243d53(0x26ba)][_0x243d53(0x175d)],_0x21e0c1[_0x243d53(0x26ba)][_0x243d53(0x168a)]=_0x4ef4f0[_0x243d53(0xb47)]('=');}_0x12541c(_0x21e0c1[_0x243d53(0x26ba)]);}function _0x12541c(_0x116033){const _0x4bbe7d=_0x397ec0;_0x330f63[_0x4bbe7d(0x2458)](_0x116033);}}const _0x402dd6=_0x22d544;;_0x50d8ad[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),'inboundrouteApp',_0x313a4d(0x1d70),'api','Auth',_0x313a4d(0x2514)];function _0x50d8ad(_0xe0615f,_0x52ce10,_0x243469,_0x440d1c,_0x16ceb3,_0x2b039a,_0x435630,_0x25f0eb){const _0x5bfaa5=_0x313a4d,_0x4627ae=this;_0x4627ae[_0x5bfaa5(0x2321)]=_0x435630['getCurrentUser'](),_0x4627ae[_0x5bfaa5(0xcef)]=[],_0x4627ae[_0x5bfaa5(0x1189)]='VOICE.EDIT_'+(_0x440d1c[_0x5bfaa5(0x8f2)]||_0x440d1c[_0x5bfaa5(0x1873)])['toUpperCase'](),_0x4627ae[_0x5bfaa5(0x356)]=angular[_0x5bfaa5(0x235a)](_0x440d1c),_0x4627ae[_0x5bfaa5(0x2514)]=_0x25f0eb,_0x4627ae['hasModulePermissions']={};if(_0x4627ae['voicemail'][_0x5bfaa5(0x168a)])switch(_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0x8f2)]?_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0x8f2)][_0x5bfaa5(0x256e)]():_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0x1873)][_0x5bfaa5(0x256e)]()){case _0x5bfaa5(0x1802):break;case _0x5bfaa5(0xeaa):{const _0x545789=_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0x168a)][_0x5bfaa5(0x10c8)](',');_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0x2293)]=_0x545789[0x0],_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0x90b)]=_0x545789[0x1],_0x4627ae['voicemail'][_0x5bfaa5(0xaf3)]=_0x545789[_0x5bfaa5(0x1298)](0x2,_0x545789[_0x5bfaa5(0x402)])[_0x5bfaa5(0xb47)](',');}break;case _0x5bfaa5(0xa46):{const _0x2eb797=_0x4627ae['voicemail'][_0x5bfaa5(0x168a)]['split'](',');_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0x153a)]=_0x2eb797[0x0],_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0x1392)]=_0x2eb797[0x1],_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0x2217)]=_0x2eb797[0x2],_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0x90b)]=_0x2eb797[0x3],_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0xaf3)]=_0x2eb797[_0x5bfaa5(0x1298)](0x4,_0x2eb797[_0x5bfaa5(0x402)])[_0x5bfaa5(0xb47)](',');}break;case'amazonlex':{const _0x5d9902=_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0x168a)]['split'](',');_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0x1651)]=_0x5d9902[0x0],_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0x252)]=_0x5d9902[0x1],_0x4627ae['voicemail'][_0x5bfaa5(0x1039)]=_0x5d9902[0x2],_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0x8dd)]=_0x5d9902[0x3],_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0xaf3)]=_0x5d9902[_0x5bfaa5(0x1298)](0x4,_0x5d9902[_0x5bfaa5(0x402)])[_0x5bfaa5(0xb47)](',');}break;case'autoreply':{const _0x2b47a4=_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0x168a)][_0x5bfaa5(0x10c8)](',');_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0x583)]=isNaN(_0x2b47a4[0x0])?_0x2b47a4[0x0]:parseInt(_0x2b47a4[0x0],0xa),_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0xa8d)]=_0x2b47a4['slice'](0x1,_0x2b47a4[_0x5bfaa5(0x402)])['join'](',');}break;case'message':_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0xa8d)]=_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0x168a)];break;case _0x5bfaa5(0x26ba):_0x4627ae[_0x5bfaa5(0x356)]['name']=_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0x168a)]['split']('=')[0x0],_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0x175d)]=_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0x168a)]['split']('=')[0x1];break;case'agi':_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0x9e0)]=_0x4627ae['voicemail'][_0x5bfaa5(0x168a)];break;default:{const _0x4e7ee7=_0x4627ae['voicemail']['appdata']['split'](',');_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0xe8c)]=_0x3f65c0()['isEmpty'](_0x4e7ee7[0x0])?_0x4e7ee7[0x0]:isNaN(_0x4e7ee7[0x0])?_0x4e7ee7[0x0]:parseInt(_0x4e7ee7[0x0],0xa),_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0xa08)]=_0x3f65c0()[_0x5bfaa5(0x2635)](_0x4e7ee7[0x1])?_0x4e7ee7[0x1]:isNaN(_0x4e7ee7[0x1])?_0x4e7ee7[0x1]:parseInt(_0x4e7ee7[0x1],0xa);}break;}else{}_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0x1142)]&&_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0x1142)][_0x5bfaa5(0x256e)]()===_0x5bfaa5(0xc9c)&&_0x4627ae['voicemail'][_0x5bfaa5(0x8f2)][_0x5bfaa5(0x256e)]()===_0x5bfaa5(0x3bb)&&(_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0x1e12)]=_0x4627ae[_0x5bfaa5(0x356)]['phone']?_0x4627ae[_0x5bfaa5(0x356)][_0x5bfaa5(0x1d55)][_0x5bfaa5(0x10c8)]('$')[0x0]:undefined,_0x4627ae['voicemail']['callerId']=_0x4627ae['voicemail'][_0x5bfaa5(0x11be)]?_0x5bfaa5(0x1b60)+_0x4627ae[_0x5bfaa5(0x356)]['callerID']:undefined);_0x4627ae['saveInboundRouteApp']=_0x1eb1df,_0x4627ae[_0x5bfaa5(0x13f3)]=_0x504e5c,_0x435630[_0x5bfaa5(0x23e0)](_0x5bfaa5(0x174b))?_0x2b039a[_0x5bfaa5(0xe8c)][_0x5bfaa5(0x16b4)]({'fields':_0x5bfaa5(0x116d),'sort':_0x5bfaa5(0x1cc5),'nolimit':'true'})['$promise'][_0x5bfaa5(0x146b)](function(_0x3cc13e){const _0x4c76ee=_0x5bfaa5;_0x4627ae[_0x4c76ee(0xcb1)]=_0x3cc13e[_0x4c76ee(0x19c7)]||[];})[_0x5bfaa5(0x129e)](function(_0x1104b4){const _0x2d19d3=_0x5bfaa5;_0x243469[_0x2d19d3(0x1980)]({'title':_0x1104b4['status']?_0x2d19d3(0x262a)+_0x1104b4[_0x2d19d3(0x107b)]+'\x20-\x20'+_0x1104b4[_0x2d19d3(0x167f)]:'SYSTEM:GET_VOICEMAILS','msg':_0x1104b4[_0x2d19d3(0x524)]?JSON[_0x2d19d3(0x10bb)](_0x1104b4[_0x2d19d3(0x524)]):_0x1104b4[_0x2d19d3(0xd5f)]()});}):_0x2b039a[_0x5bfaa5(0xe8c)]['get']({'fields':_0x5bfaa5(0x116d),'sort':_0x5bfaa5(0x1cc5),'nolimit':_0x5bfaa5(0x1185)})[_0x5bfaa5(0x2945)]['then'](function(_0x1b91af){const _0x103133=_0x5bfaa5;_0x4627ae[_0x103133(0xcb1)]=_0x1b91af[_0x103133(0x19c7)]||[];})[_0x5bfaa5(0x146b)](function(){const _0x43ce73=_0x5bfaa5;return _0x2b039a[_0x43ce73(0x1366)][_0x43ce73(0x16b4)]({'userProfileId':_0x4627ae[_0x43ce73(0x2321)][_0x43ce73(0x209a)],'sectionId':0x196})[_0x43ce73(0x2945)];})['then'](function(_0x3ff95a){const _0x2d3f1a=_0x5bfaa5,_0x3d377b=_0x3ff95a&&_0x3ff95a[_0x2d3f1a(0x19c7)]?_0x3ff95a['rows'][0x0]:null;if(!_0x3d377b){const _0x4967ea=[];let _0x59001e=null;_0x4627ae[_0x2d3f1a(0x356)]&&(_0x59001e=_0x3f65c0()[_0x2d3f1a(0xc84)](_0x4627ae['voiceMails'],{'mailbox':_0x4627ae[_0x2d3f1a(0x356)][_0x2d3f1a(0xe8c)]}));for(let _0x724046=0x0;_0x724046<_0x4627ae[_0x2d3f1a(0xcb1)]['length'];_0x724046++){_0x59001e&&_0x4627ae[_0x2d3f1a(0xcb1)][_0x724046]['id']===_0x59001e['id']&&(_0x4627ae[_0x2d3f1a(0xcb1)][_0x724046]['canSelect']=![],_0x4967ea['push'](_0x4627ae[_0x2d3f1a(0xcb1)][_0x724046]));}_0x4627ae[_0x2d3f1a(0xcb1)]=_0x4967ea;}else{if(!_0x3d377b[_0x2d3f1a(0x11d2)])return _0x2b039a[_0x2d3f1a(0xdcc)]['get']({'sectionId':_0x3d377b['id']})[_0x2d3f1a(0x2945)][_0x2d3f1a(0x146b)](function(_0x4e9dac){const _0x3cbc42=_0x2d3f1a,_0x3d11a4=_0x3f65c0()[_0x3cbc42(0x205)](_0x4e9dac['rows'],function(_0x27d756){const _0x56eb0f=_0x3cbc42;return _0x3f65c0()[_0x56eb0f(0xc84)](_0x4627ae['voiceMails'],{'id':_0x27d756[_0x56eb0f(0x18b8)]});});let _0x459643=null;_0x4627ae['voicemail']&&(_0x459643=_0x3f65c0()['find'](_0x4627ae['voiceMails'],{'mailbox':_0x4627ae[_0x3cbc42(0x356)]['voiceMail']}));if(_0x459643&&!_0x3f65c0()[_0x3cbc42(0x1360)](_0x3d11a4,['id',_0x459643['id']])){const _0x53ba26=_0x3f65c0()[_0x3cbc42(0xc84)](_0x4627ae['voiceMails'],{'id':_0x459643['id']});_0x53ba26[_0x3cbc42(0x8ff)]=![],_0x3d11a4[_0x3cbc42(0x1f47)](_0x53ba26);}_0x4627ae['voiceMails']=_0x3d11a4;});}})['catch'](function(_0x1c2fe6){const _0x440b17=_0x5bfaa5;_0x243469['error']({'title':_0x1c2fe6['status']?'API:'+_0x1c2fe6['status']+_0x440b17(0x1315)+_0x1c2fe6[_0x440b17(0x167f)]:_0x440b17(0x1fc9),'msg':_0x1c2fe6[_0x440b17(0x524)]?JSON[_0x440b17(0x10bb)](_0x1c2fe6[_0x440b17(0x524)]):_0x1c2fe6[_0x440b17(0xd5f)]()});});function _0x1eb1df(){const _0x5035b4=_0x5bfaa5;_0x4627ae[_0x5035b4(0xcef)]=[];const _0xc2651d=[];_0x4627ae[_0x5035b4(0x356)]['type']&&_0x4627ae['voicemail'][_0x5035b4(0x1142)][_0x5035b4(0x256e)]()===_0x5035b4(0xc9c)&&_0x4627ae[_0x5035b4(0x356)]['appType']==='outboundDial'&&(_0x4627ae[_0x5035b4(0x356)]['phone']=_0x16ceb3['cutdigits']?(_0x4627ae['voicemail'][_0x5035b4(0x1e12)]||'')+_0x5035b4(0x2147)+_0x16ceb3[_0x5035b4(0x1274)]+'}':(_0x4627ae['voicemail'][_0x5035b4(0x1e12)]||'')+_0x5035b4(0x20df),_0x16ceb3['recordingFormat']!==_0x5035b4(0x1642)?_0x4627ae['voicemail'][_0x5035b4(0xa08)][_0x5035b4(0x172b)](_0x5035b4(0x1ea4))<0x0&&(_0x4627ae[_0x5035b4(0x356)][_0x5035b4(0xa08)]+='U(xcally-mixmonitor-context)'):_0x4627ae[_0x5035b4(0x356)]['options']=_0x4627ae[_0x5035b4(0x356)][_0x5035b4(0xa08)]['replace'](_0x5035b4(0x1ea4),''));if(_0x4627ae[_0x5035b4(0x356)][_0x5035b4(0x8f2)]&&_0x4627ae[_0x5035b4(0x356)][_0x5035b4(0x8f2)]===_0x5035b4(0x1802)){}else switch((_0x4627ae[_0x5035b4(0x356)][_0x5035b4(0x1873)]||_0x4627ae[_0x5035b4(0x356)][_0x5035b4(0x8f2)])['toLowerCase']()){case'set':_0x4627ae[_0x5035b4(0x356)][_0x5035b4(0x168a)]=_0x4627ae[_0x5035b4(0x356)]['name']+'='+_0x4627ae[_0x5035b4(0x356)]['value'];break;case _0x5035b4(0x1802):break;default:_0xc2651d[0x0]=_0x4627ae[_0x5035b4(0x356)]['voiceMail'],_0xc2651d[0x1]=_0x4627ae[_0x5035b4(0x356)]['options'],_0x4627ae[_0x5035b4(0x356)][_0x5035b4(0x168a)]=_0xc2651d[_0x5035b4(0xb47)](',');}_0x504e5c(_0x4627ae['voicemail']);}function _0x504e5c(_0x54d0f9){const _0x324079=_0x5bfaa5;_0xe0615f[_0x324079(0x2458)](_0x54d0f9);}}const _0x59f3e1=_0x50d8ad;;_0x2de03d['$inject']=['$state','$location',_0x313a4d(0x10e8),'$document',_0x313a4d(0x214b),'license','setting','api',_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x1d70),'userProfileSection'];function _0x2de03d(_0x1c9929,_0x145ea5,_0x2a4d22,_0x1ece9d,_0x277744,_0x4dc402,_0x509a00,_0x4081e7,_0x103e22,_0x366b1a,_0x1c53ab,_0x3f927f){const _0x20fcbb=_0x313a4d,_0x41aeb5=this;_0x41aeb5['currentUser']=_0x366b1a[_0x20fcbb(0xb12)](),_0x41aeb5[_0x20fcbb(0x2690)]=_0x4dc402,_0x41aeb5[_0x20fcbb(0x15b9)]=_0x509a00,_0x41aeb5[_0x20fcbb(0x2251)]=_0x41aeb5['setting']['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x41aeb5[_0x20fcbb(0x1002)]=_0x145ea5[_0x20fcbb(0x2414)]()+_0x20fcbb(0xb0e)+_0x145ea5['host'](),_0x41aeb5[_0x20fcbb(0x1d70)]=_0x1c53ab||_0x1c9929['params'][_0x20fcbb(0x1d70)]||{},_0x41aeb5[_0x20fcbb(0x1366)]=_0x3f927f&&_0x3f927f[_0x20fcbb(0x51c)]==0x1?_0x3f927f[_0x20fcbb(0x19c7)][0x0]:null,_0x41aeb5[_0x20fcbb(0x2514)]=_0x366b1a[_0x20fcbb(0xe60)](_0x41aeb5[_0x20fcbb(0x1366)]?_0x41aeb5[_0x20fcbb(0x1366)][_0x20fcbb(0x2514)]:null),_0x41aeb5[_0x20fcbb(0x855)]={},_0x41aeb5[_0x20fcbb(0x1b09)]=_0x1c9929[_0x20fcbb(0x16a)][_0x20fcbb(0x13a3)]||0x0,_0x41aeb5[_0x20fcbb(0x861)]=_0x103e22[_0x20fcbb(0x271e)],_0x41aeb5[_0x20fcbb(0xdfd)]=_0x5305f1,_0x41aeb5[_0x20fcbb(0x1988)]=_0x39596b,_0x366b1a['hasRole'](_0x20fcbb(0x174b))?_0x4081e7[_0x20fcbb(0x1b82)]['get']({'fields':_0x20fcbb(0x7a7),'sort':'name','nolimit':_0x20fcbb(0x1185)})['$promise'][_0x20fcbb(0x146b)](function(_0x4569df){const _0x56c7d6=_0x20fcbb;_0x41aeb5[_0x56c7d6(0xfe9)]=_0x4569df[_0x56c7d6(0x19c7)]||[];})[_0x20fcbb(0x129e)](function(_0x8a6d93){const _0x3ced9f=_0x20fcbb;_0x103e22[_0x3ced9f(0x1980)]({'title':_0x8a6d93[_0x3ced9f(0x107b)]?_0x3ced9f(0x262a)+_0x8a6d93[_0x3ced9f(0x107b)]+_0x3ced9f(0x1315)+_0x8a6d93[_0x3ced9f(0x167f)]:_0x3ced9f(0x2742),'msg':_0x8a6d93['data']?JSON[_0x3ced9f(0x10bb)](_0x8a6d93[_0x3ced9f(0x524)]):_0x8a6d93[_0x3ced9f(0xd5f)]()});}):_0x4081e7['voiceContext'][_0x20fcbb(0x16b4)]({'fields':_0x20fcbb(0x7a7),'sort':'name','nolimit':'true'})[_0x20fcbb(0x2945)][_0x20fcbb(0x146b)](function(_0x3497f1){const _0x29f55c=_0x20fcbb;_0x41aeb5[_0x29f55c(0xfe9)]=_0x3497f1[_0x29f55c(0x19c7)]||[];})['then'](function(){const _0xfc5128=_0x20fcbb;return _0x4081e7[_0xfc5128(0x1366)][_0xfc5128(0x16b4)]({'userProfileId':_0x41aeb5['currentUser'][_0xfc5128(0x209a)],'sectionId':0x195})['$promise'];})[_0x20fcbb(0x146b)](function(_0x333059){const _0x309f5b=_0x20fcbb,_0xee8a47=_0x333059&&_0x333059[_0x309f5b(0x19c7)]?_0x333059['rows'][0x0]:null;if(!_0xee8a47)return _0x4081e7['voiceContext'][_0x309f5b(0x16b4)]({'fields':_0x309f5b(0x7a7),'sort':_0x309f5b(0x19eb),'nolimit':'true','defaultEntry':0x1})[_0x309f5b(0x2945)][_0x309f5b(0x146b)](function(_0x1029a4){const _0x4aa361=_0x309f5b;_0x41aeb5[_0x4aa361(0xfe9)]=_0x1029a4[_0x4aa361(0x19c7)]||[];});else{if(!_0xee8a47[_0x309f5b(0x11d2)])return _0x4081e7[_0x309f5b(0xdcc)][_0x309f5b(0x16b4)]({'sectionId':_0xee8a47['id']})[_0x309f5b(0x2945)][_0x309f5b(0x146b)](function(_0x453b21){const _0x1aaef6=_0x309f5b,_0x5eac2c=_0x3f65c0()['map'](_0x453b21[_0x1aaef6(0x19c7)],function(_0x36f1bb){const _0x4ddab8=_0x1aaef6;return _0x3f65c0()[_0x4ddab8(0xc84)](_0x41aeb5[_0x4ddab8(0xfe9)],{'id':_0x36f1bb[_0x4ddab8(0x18b8)]});});let _0x49c809=null;_0x41aeb5['inboundroute']&&(_0x49c809=_0x3f65c0()[_0x1aaef6(0xc84)](_0x41aeb5[_0x1aaef6(0xfe9)],{'name':_0x41aeb5[_0x1aaef6(0x1d70)][_0x1aaef6(0x1ac1)]}));if(_0x49c809&&!_0x3f65c0()[_0x1aaef6(0x1360)](_0x5eac2c,['id',_0x49c809['id']])){const _0x50660a=_0x3f65c0()[_0x1aaef6(0xc84)](_0x41aeb5[_0x1aaef6(0xfe9)],{'id':_0x49c809['id']});_0x50660a['canSelect']=![],_0x5eac2c[_0x1aaef6(0x1f47)](_0x50660a);}_0x41aeb5['contexts']=_0x5eac2c;});}})[_0x20fcbb(0x129e)](function(_0x112b4d){const _0x558290=_0x20fcbb;_0x103e22[_0x558290(0x1980)]({'title':_0x112b4d[_0x558290(0x107b)]?_0x558290(0x262a)+_0x112b4d[_0x558290(0x107b)]+_0x558290(0x1315)+_0x112b4d[_0x558290(0x167f)]:_0x558290(0x155e),'msg':_0x112b4d[_0x558290(0x524)]?JSON[_0x558290(0x10bb)](_0x112b4d[_0x558290(0x524)]):_0x112b4d['toString']()});});function _0x5305f1(){const _0x19396b=_0x20fcbb;_0x1c9929['go'](_0x19396b(0x274f),{},{'reload':'app.voice.inboundroutes'});}function _0x39596b(){const _0x5c183d=_0x20fcbb;_0x4081e7[_0x5c183d(0xd47)][_0x5c183d(0x18e1)]({'id':_0x41aeb5['inboundroute']['id']},_0x41aeb5['inboundroute'])[_0x5c183d(0x2945)][_0x5c183d(0x146b)](function(){const _0x413eba=_0x5c183d;_0x103e22[_0x413eba(0x1c75)]({'title':_0x413eba(0xb4e),'msg':_0x41aeb5[_0x413eba(0x1d70)][_0x413eba(0x19eb)]?_0x41aeb5[_0x413eba(0x1d70)][_0x413eba(0x19eb)]+_0x413eba(0x6b0):''});})[_0x5c183d(0x129e)](function(_0x233086){const _0x8a75b3=_0x5c183d;_0x103e22[_0x8a75b3(0x1980)]({'title':_0x233086[_0x8a75b3(0x107b)]?_0x8a75b3(0x262a)+_0x233086['status']+'\x20-\x20'+_0x233086['statusText']:_0x8a75b3(0x6df),'msg':_0x233086[_0x8a75b3(0x524)]?JSON[_0x8a75b3(0x10bb)](_0x233086['data']):_0x233086['toString']()});});}}const _0x3ef292=_0x2de03d;;const _0x709116=_0x4acfac['p']+_0x313a4d(0x5fc);;_0x13bb05[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0x214b),_0x313a4d(0x1470),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x2690),'setting'];function _0x13bb05(_0xc9d576,_0x1b0944,_0x305348,_0x1b2efb,_0x16ec75,_0x5b9345,_0x278da1,_0x29409d,_0x454c13,_0x22ba49,_0x3a731a,_0x5e517e,_0x5d4982,_0x5030b2,_0x25db86,_0x1c5196,_0x538818){const _0x55a720=_0x313a4d,_0x16a004=this;_0x16a004[_0x55a720(0x2690)]=_0x1c5196,_0x16a004[_0x55a720(0x15b9)]=_0x538818,_0x16a004[_0x55a720(0x2321)]=_0x25db86[_0x55a720(0xb12)](),_0x16a004[_0x55a720(0x1470)]=_0x454c13||{'count':0x0,'rows':[]},_0x16a004[_0x55a720(0x26b6)]=_0x22ba49,_0x16a004[_0x55a720(0x1366)]=_0x3a731a&&_0x3a731a[_0x55a720(0x51c)]==0x1?_0x3a731a['rows'][0x0]:null,_0x16a004[_0x55a720(0x2514)]=_0x25db86[_0x55a720(0xe60)](_0x16a004[_0x55a720(0x1366)]?_0x16a004['userProfileSection'][_0x55a720(0x2514)]:null),_0x16a004[_0x55a720(0x768)]=_0x55a720(0x1470),_0x16a004[_0x55a720(0x216a)]='',_0x16a004[_0x55a720(0x214f)]=null,_0x16a004[_0x55a720(0x1a6b)]=[],_0x16a004[_0x55a720(0x1a56)]={'fields':_0x55a720(0x152e),'type':_0x55a720(0x7b0),'sort':_0x55a720(0x12f2),'VoiceExtensionId':'null','limit':0xa,'page':0x1},_0x16a004[_0x55a720(0x23f9)]=_0x5647e7,_0x16a004['deleteconfirm']=_0x37e07d,_0x16a004['success']=_0x54861c,_0x16a004['getInboundRoutes']=_0x4f74fd,_0x16a004['createOrEditInboundRoute']=_0x19d1e6,_0x16a004[_0x55a720(0x45d)]=_0x5973cf,_0x16a004['exportSelectedInboundRoutes']=_0x256435,_0x16a004[_0x55a720(0x1f25)]=_0x1eb2fd,_0x16a004[_0x55a720(0x1ccc)]=_0x399f57,_0x16a004[_0x55a720(0x7d5)]=_0x53f2e8,_0x25db86[_0x55a720(0x23e0)](_0x55a720(0x174b))?_0x5e517e[_0x55a720(0x1b82)]['get']({'fields':_0x55a720(0x7a7),'sort':_0x55a720(0x19eb),'nolimit':_0x55a720(0x1185)})[_0x55a720(0x2945)]['then'](function(_0x57c463){const _0x165e8b=_0x55a720;_0x16a004[_0x165e8b(0xfe9)]=_0x57c463[_0x165e8b(0x19c7)]||[];})[_0x55a720(0x129e)](function(_0x1b50a3){const _0x4be30f=_0x55a720;_0x5030b2[_0x4be30f(0x1980)]({'title':_0x1b50a3[_0x4be30f(0x107b)]?_0x4be30f(0x262a)+_0x1b50a3[_0x4be30f(0x107b)]+'\x20-\x20'+_0x1b50a3['statusText']:_0x4be30f(0x2742),'msg':_0x1b50a3[_0x4be30f(0x524)]?JSON[_0x4be30f(0x10bb)](_0x1b50a3['data']):_0x1b50a3[_0x4be30f(0xd5f)]()});}):_0x5e517e[_0x55a720(0x1b82)][_0x55a720(0x16b4)]({'fields':_0x55a720(0x7a7),'sort':'name','nolimit':_0x55a720(0x1185)})[_0x55a720(0x2945)][_0x55a720(0x146b)](function(_0xf95068){const _0x34c635=_0x55a720;_0x16a004[_0x34c635(0xfe9)]=_0xf95068[_0x34c635(0x19c7)]||[];})['then'](function(){const _0x1bb0a8=_0x55a720;return _0x5e517e[_0x1bb0a8(0x1366)][_0x1bb0a8(0x16b4)]({'userProfileId':_0x16a004['currentUser'][_0x1bb0a8(0x209a)],'sectionId':0x195})['$promise'];})[_0x55a720(0x146b)](function(_0x50d932){const _0x208a01=_0x55a720,_0x3bbb02=_0x50d932&&_0x50d932[_0x208a01(0x19c7)]?_0x50d932[_0x208a01(0x19c7)][0x0]:null;if(!_0x3bbb02)return _0x5e517e['voiceContext'][_0x208a01(0x16b4)]({'fields':_0x208a01(0x7a7),'sort':_0x208a01(0x19eb),'nolimit':_0x208a01(0x1185),'defaultEntry':0x1})[_0x208a01(0x2945)][_0x208a01(0x146b)](function(_0x7d0b30){const _0x4c00b2=_0x208a01;_0x16a004[_0x4c00b2(0xfe9)]=_0x7d0b30[_0x4c00b2(0x19c7)]||[];});else{if(!_0x3bbb02[_0x208a01(0x11d2)])return _0x5e517e[_0x208a01(0xdcc)]['get']({'sectionId':_0x3bbb02['id']})[_0x208a01(0x2945)][_0x208a01(0x146b)](function(_0x4dcb22){const _0x1c896f=_0x208a01,_0x5e3510=_0x3f65c0()[_0x1c896f(0x205)](_0x4dcb22[_0x1c896f(0x19c7)],function(_0x4a0823){const _0xb695b7=_0x1c896f;return _0x3f65c0()[_0xb695b7(0xc84)](_0x16a004['contexts'],{'id':_0x4a0823[_0xb695b7(0x18b8)]});});let _0x1c80de=null;_0x16a004[_0x1c896f(0x1d70)]&&(_0x1c80de=_0x3f65c0()['find'](_0x16a004[_0x1c896f(0xfe9)],{'name':_0x16a004[_0x1c896f(0x1d70)][_0x1c896f(0x1ac1)]}));if(_0x1c80de&&!_0x3f65c0()[_0x1c896f(0x1360)](_0x5e3510,['id',_0x1c80de['id']])){const _0x40db57=_0x3f65c0()[_0x1c896f(0xc84)](_0x16a004[_0x1c896f(0xfe9)],{'id':_0x1c80de['id']});_0x40db57['canSelect']=![],_0x5e3510[_0x1c896f(0x1f47)](_0x40db57);}_0x16a004[_0x1c896f(0xfe9)]=_0x5e3510;});}})['catch'](function(_0x4b1d4a){const _0x5917ad=_0x55a720;_0x5030b2[_0x5917ad(0x1980)]({'title':_0x4b1d4a['status']?_0x5917ad(0x262a)+_0x4b1d4a[_0x5917ad(0x107b)]+'\x20-\x20'+_0x4b1d4a[_0x5917ad(0x167f)]:_0x5917ad(0x155e),'msg':_0x4b1d4a[_0x5917ad(0x524)]?JSON[_0x5917ad(0x10bb)](_0x4b1d4a[_0x5917ad(0x524)]):_0x4b1d4a[_0x5917ad(0xd5f)]()});});function _0x5647e7(_0x4e7e68){const _0x396087=_0x55a720;_0x305348['go'](_0x396087(0x2941),{'id':_0x4e7e68['id'],'inboundroute':_0x4e7e68,'crudPermissions':_0x16a004['crudPermissions']});}function _0x37e07d(_0x3c8346,_0x4cb3fe){const _0x1064be=_0x55a720,_0xe76567=_0x16ec75[_0x1064be(0x1e8a)]()[_0x1064be(0x1189)](_0x1064be(0xdb2)+_0x3f65c0()['startCase'](_0x1064be(0x1d70))+'?')[_0x1064be(0x1cbe)](_0x1064be(0x16d3)+(_0x3c8346[_0x1064be(0x19eb)]||'inboundroute')+_0x1064be(0x252f)+_0x1064be(0xe01))['ariaLabel'](_0x1064be(0x1d05))[_0x1064be(0x1f27)](_0x4cb3fe)['ok']('OK')[_0x1064be(0x6c3)](_0x1064be(0x39a));_0x16ec75[_0x1064be(0x2615)](_0xe76567)[_0x1064be(0x146b)](function(){_0x5973cf(_0x3c8346);},function(){const _0x2ecdc2=_0x1064be;console[_0x2ecdc2(0x1a74)](_0x2ecdc2(0x39a));});}let _0x5646f6=!![],_0x2aee60=0x1;_0xc9d576[_0x55a720(0x21e8)]('vm.query.filter',function(_0x613a40,_0x26fadb){const _0x16206f=_0x55a720;_0x5646f6?_0x278da1(function(){_0x5646f6=![];}):(!_0x26fadb&&(_0x2aee60=_0x16a004[_0x16206f(0x1a56)][_0x16206f(0x844)]),_0x613a40!==_0x26fadb&&(_0x16a004[_0x16206f(0x1a56)][_0x16206f(0x844)]=0x1),!_0x613a40&&(_0x16a004[_0x16206f(0x1a56)][_0x16206f(0x844)]=_0x2aee60),_0x16a004[_0x16206f(0x28f6)]());});function _0x54861c(_0x2bde59){const _0x1ea6ee=_0x55a720;_0x16a004[_0x1ea6ee(0x1470)]=_0x2bde59||{'count':0x0,'rows':[]};}function _0x4f74fd(){const _0x5bc3be=_0x55a720;_0x16a004[_0x5bc3be(0x1a56)][_0x5bc3be(0x145d)]=(_0x16a004['query'][_0x5bc3be(0x844)]-0x1)*_0x16a004['query'][_0x5bc3be(0x221e)],_0x25db86['hasRole']('admin')?_0x16a004[_0x5bc3be(0xb9c)]=_0x5e517e[_0x5bc3be(0xd47)][_0x5bc3be(0x16b4)](_0x16a004['query'],_0x54861c)[_0x5bc3be(0x2945)]:(_0x16a004['query']['id']=_0x16a004[_0x5bc3be(0x26b6)]['id'],_0x16a004[_0x5bc3be(0x1a56)][_0x5bc3be(0x2146)]='InboundRoutes',_0x16a004['promise']=_0x5e517e[_0x5bc3be(0x26b6)][_0x5bc3be(0x158f)](_0x16a004[_0x5bc3be(0x1a56)],_0x54861c)[_0x5bc3be(0x2945)]);}function _0x19d1e6(_0x1febc4,_0x5229d5){const _0xe72957=_0x55a720;_0x16ec75[_0xe72957(0x2615)]({'controller':_0xe72957(0xf20),'controllerAs':'vm','templateUrl':_0x709116,'parent':angular[_0xe72957(0x1853)](_0x5b9345[_0xe72957(0x2586)]),'targetEvent':_0x1febc4,'clickOutsideToClose':!![],'locals':{'inboundroute':_0x5229d5,'inboundroutes':_0x16a004[_0xe72957(0x1470)][_0xe72957(0x19c7)],'license':_0x16a004[_0xe72957(0x2690)],'setting':_0x16a004[_0xe72957(0x15b9)],'crudPermissions':_0x16a004[_0xe72957(0x2514)]}});}function _0x5973cf(_0x5585a3){const _0xcd5a39=_0x55a720;_0x5e517e['voiceExtension'][_0xcd5a39(0x1fac)]({'id':_0x5585a3['id']})['$promise']['then'](function(){const _0x3d80b0=_0xcd5a39;_0x3f65c0()[_0x3d80b0(0x2640)](_0x16a004[_0x3d80b0(0x1470)][_0x3d80b0(0x19c7)],{'id':_0x5585a3['id']}),_0x16a004['inboundroutes'][_0x3d80b0(0x51c)]-=0x1,!_0x16a004[_0x3d80b0(0x1470)]['rows'][_0x3d80b0(0x402)]&&_0x16a004[_0x3d80b0(0x28f6)](),_0x5030b2[_0x3d80b0(0x1c75)]({'title':_0x3f65c0()[_0x3d80b0(0x20d1)]('InboundRoute')+'\x20deleted!','msg':_0x5585a3[_0x3d80b0(0x19eb)]?_0x5585a3['name']+_0x3d80b0(0x23e3):''});})[_0xcd5a39(0x129e)](function(_0x5b0eb4){const _0x4e8d5d=_0xcd5a39;if(_0x5b0eb4[_0x4e8d5d(0x524)]&&_0x5b0eb4[_0x4e8d5d(0x524)]['errors']&&_0x5b0eb4[_0x4e8d5d(0x524)][_0x4e8d5d(0xcef)]['length']){_0x16a004[_0x4e8d5d(0xcef)]=_0x5b0eb4[_0x4e8d5d(0x524)][_0x4e8d5d(0xcef)]||[{'message':_0x5b0eb4['toString'](),'type':_0x4e8d5d(0x1c36)}];for(let _0x491210=0x0;_0x491210<_0x5b0eb4[_0x4e8d5d(0x524)][_0x4e8d5d(0xcef)][_0x4e8d5d(0x402)];_0x491210++){_0x5030b2['error']({'title':_0x5b0eb4[_0x4e8d5d(0x524)]['errors'][_0x491210][_0x4e8d5d(0x1142)],'msg':_0x5b0eb4[_0x4e8d5d(0x524)][_0x4e8d5d(0xcef)][_0x491210][_0x4e8d5d(0x7fd)]});}}else _0x5030b2[_0x4e8d5d(0x1980)]({'title':_0x5b0eb4[_0x4e8d5d(0x107b)]?_0x4e8d5d(0x262a)+_0x5b0eb4['status']+_0x4e8d5d(0x1315)+_0x5b0eb4['statusText']:'SYSTEM:DELETEvoiceExtension','msg':_0x5b0eb4['data']?JSON[_0x4e8d5d(0x10bb)](_0x5b0eb4[_0x4e8d5d(0x524)][_0x4e8d5d(0x7fd)]):_0x5b0eb4['message']||_0x5b0eb4['toString']()});});}function _0x256435(){const _0x248502=_0x55a720,_0x2a2abc=angular['copy'](_0x16a004[_0x248502(0x1a6b)]);return _0x16a004[_0x248502(0x1a6b)]=[],_0x2a2abc;}function _0x1eb2fd(_0x911ba9){const _0x2cbd4d=_0x55a720,_0x5d4445=_0x16ec75[_0x2cbd4d(0x1e8a)]()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20inboundroutes?')[_0x2cbd4d(0x1cbe)](''+_0x16a004[_0x2cbd4d(0x1a6b)][_0x2cbd4d(0x402)]+_0x2cbd4d(0x2452)+'\x20will\x20be\x20deleted.')['ariaLabel']('delete\x20InboundRoutes')[_0x2cbd4d(0x1f27)](_0x911ba9)['ok']('OK')[_0x2cbd4d(0x6c3)](_0x2cbd4d(0x39a));_0x16ec75[_0x2cbd4d(0x2615)](_0x5d4445)['then'](function(){const _0x21af2e=_0x2cbd4d;_0x16a004['selectedInboundRoutes'][_0x21af2e(0x1df5)](function(_0x3ec3e3){_0x5973cf(_0x3ec3e3);}),_0x16a004[_0x21af2e(0x1a6b)]=[];});}function _0x399f57(){_0x16a004['selectedInboundRoutes']=[];}function _0x53f2e8(){const _0x59c5df=_0x55a720;_0x16a004[_0x59c5df(0x1a6b)]=_0x16a004[_0x59c5df(0x1470)][_0x59c5df(0x19c7)];}}const _0x5b4627=_0x13bb05;;_0x4bfbf4['$inject']=[_0x313a4d(0x910),'$state','$location',_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),'internalroutes',_0x313a4d(0x86f),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),'crudPermissions'];function _0x4bfbf4(_0x2c567e,_0x1997ef,_0xa3bb27,_0x5877b7,_0x46efd8,_0x17b8b5,_0x3970a2,_0x508cad,_0xd01e5f,_0x4b0e21,_0x23ba12,_0x4c5242,_0x58d8c7,_0x377132){const _0x42eb33=_0x313a4d,_0xe7f77b=this;_0xe7f77b[_0x42eb33(0x2321)]=_0x23ba12[_0x42eb33(0xb12)](),_0xe7f77b[_0x42eb33(0xcef)]=[],_0xe7f77b[_0x42eb33(0x15b9)]=_0x58d8c7,_0xe7f77b[_0x42eb33(0x2690)]=_0x4c5242,_0xe7f77b[_0x42eb33(0x2514)]=_0x377132,_0xe7f77b['hasModulePermissions']={},_0xe7f77b[_0x42eb33(0x2251)]=_0xe7f77b['setting']&&_0xe7f77b[_0x42eb33(0x15b9)][_0x42eb33(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0xe7f77b[_0x42eb33(0x1189)]=_0x42eb33(0x1295),_0xe7f77b[_0x42eb33(0x86f)]=angular[_0x42eb33(0x235a)](_0xd01e5f),_0xe7f77b[_0x42eb33(0x101f)]=_0x508cad,_0xe7f77b[_0x42eb33(0x13aa)]=![];!_0xe7f77b[_0x42eb33(0x86f)]&&(_0xe7f77b[_0x42eb33(0x86f)]={'appdata':_0x42eb33(0xa63),'type':_0x42eb33(0x113f),'context':'from-sip'},_0xe7f77b['title']=_0x42eb33(0x155),_0xe7f77b['newInternalRoute']=!![]);_0xe7f77b[_0x42eb33(0x15d)]=_0x16bcc9,_0xe7f77b['saveInternalRoute']=_0x4bb4d4,_0xe7f77b[_0x42eb33(0x196)]=_0xce71d4,_0xe7f77b[_0x42eb33(0xe73)]=_0x41ae63,_0xe7f77b[_0x42eb33(0x13f3)]=_0x46fe04,_0x23ba12['hasRole']('admin')?_0x4b0e21[_0x42eb33(0x1b82)][_0x42eb33(0x16b4)]({'fields':_0x42eb33(0x7a7),'sort':_0x42eb33(0x19eb),'nolimit':'true'})[_0x42eb33(0x2945)][_0x42eb33(0x146b)](function(_0x32a741){const _0x24cf41=_0x42eb33;_0xe7f77b['contexts']=_0x32a741[_0x24cf41(0x19c7)]||[];})[_0x42eb33(0x129e)](function(_0x494cc9){const _0xe0ddd3=_0x42eb33;_0x3970a2[_0xe0ddd3(0x1980)]({'title':_0x494cc9[_0xe0ddd3(0x107b)]?_0xe0ddd3(0x262a)+_0x494cc9[_0xe0ddd3(0x107b)]+_0xe0ddd3(0x1315)+_0x494cc9[_0xe0ddd3(0x167f)]:'SYSTEM:GET_CONTEXTS','msg':_0x494cc9[_0xe0ddd3(0x524)]?JSON[_0xe0ddd3(0x10bb)](_0x494cc9['data']):_0x494cc9['toString']()});}):_0x4b0e21[_0x42eb33(0x1b82)][_0x42eb33(0x16b4)]({'fields':_0x42eb33(0x7a7),'sort':_0x42eb33(0x19eb),'nolimit':'true'})['$promise'][_0x42eb33(0x146b)](function(_0x1e31df){_0xe7f77b['contexts']=_0x1e31df['rows']||[];})['then'](function(){const _0x320bc0=_0x42eb33;return _0x4b0e21['userProfileSection']['get']({'userProfileId':_0xe7f77b[_0x320bc0(0x2321)][_0x320bc0(0x209a)],'sectionId':0x195})['$promise'];})[_0x42eb33(0x146b)](function(_0x3c2278){const _0x7d139c=_0x42eb33,_0x408ecb=_0x3c2278&&_0x3c2278[_0x7d139c(0x19c7)]?_0x3c2278[_0x7d139c(0x19c7)][0x0]:null;if(!_0x408ecb)return _0x4b0e21[_0x7d139c(0x1b82)][_0x7d139c(0x16b4)]({'fields':_0x7d139c(0x7a7),'sort':'name','nolimit':_0x7d139c(0x1185),'defaultEntry':0x1})[_0x7d139c(0x2945)]['then'](function(_0x1a735b){const _0x49e504=_0x7d139c;_0xe7f77b['contexts']=_0x1a735b[_0x49e504(0x19c7)]||[];});else{if(!_0x408ecb[_0x7d139c(0x11d2)])return _0x4b0e21[_0x7d139c(0xdcc)][_0x7d139c(0x16b4)]({'sectionId':_0x408ecb['id']})[_0x7d139c(0x2945)]['then'](function(_0x5d8e99){const _0x82679f=_0x7d139c,_0x4f8b20=_0x3f65c0()[_0x82679f(0x205)](_0x5d8e99[_0x82679f(0x19c7)],function(_0x10f304){const _0x57df32=_0x82679f;return _0x3f65c0()[_0x57df32(0xc84)](_0xe7f77b['contexts'],{'id':_0x10f304[_0x57df32(0x18b8)]});});let _0x5997e1=null;_0xe7f77b[_0x82679f(0x86f)]&&(_0x5997e1=_0x3f65c0()['find'](_0xe7f77b[_0x82679f(0xfe9)],{'name':_0xe7f77b[_0x82679f(0x86f)][_0x82679f(0x1ac1)]}));if(_0x5997e1&&!_0x3f65c0()[_0x82679f(0x1360)](_0x4f8b20,['id',_0x5997e1['id']])){const _0x3b6567=_0x3f65c0()['find'](_0xe7f77b[_0x82679f(0xfe9)],{'id':_0x5997e1['id']});_0x3b6567[_0x82679f(0x8ff)]=![],_0x4f8b20['push'](_0x3b6567);}_0xe7f77b[_0x82679f(0xfe9)]=_0x4f8b20;});}})[_0x42eb33(0x129e)](function(_0xe21a59){const _0x4e4079=_0x42eb33;_0x3970a2[_0x4e4079(0x1980)]({'title':_0xe21a59[_0x4e4079(0x107b)]?_0x4e4079(0x262a)+_0xe21a59[_0x4e4079(0x107b)]+_0x4e4079(0x1315)+_0xe21a59['statusText']:_0x4e4079(0x155e),'msg':_0xe21a59[_0x4e4079(0x524)]?JSON[_0x4e4079(0x10bb)](_0xe21a59[_0x4e4079(0x524)]):_0xe21a59[_0x4e4079(0xd5f)]()});});function _0x16bcc9(){const _0x3c06b7=_0x42eb33;_0xe7f77b[_0x3c06b7(0xcef)]=[],_0x4b0e21[_0x3c06b7(0xd47)][_0x3c06b7(0x1e3)](_0xe7f77b['internalroute'])[_0x3c06b7(0x2945)][_0x3c06b7(0x146b)](function(_0x3ce5ad){const _0x1a6d13=_0x3c06b7;_0xe7f77b[_0x1a6d13(0x101f)][_0x1a6d13(0xb3d)](_0x3ce5ad[_0x1a6d13(0x2488)]()),_0x3970a2[_0x1a6d13(0x1c75)]({'title':'InternalRoute\x20properly\x20created','msg':_0xe7f77b['internalroute'][_0x1a6d13(0x19eb)]?_0xe7f77b[_0x1a6d13(0x86f)][_0x1a6d13(0x19eb)]+_0x1a6d13(0x1386):''}),_0x46fe04(_0x3ce5ad);})[_0x3c06b7(0x129e)](function(_0x462478){const _0x41572d=_0x3c06b7;if(_0x462478[_0x41572d(0x524)]&&_0x462478[_0x41572d(0x524)][_0x41572d(0xcef)]&&_0x462478['data'][_0x41572d(0xcef)][_0x41572d(0x402)]){_0xe7f77b[_0x41572d(0xcef)]=_0x462478[_0x41572d(0x524)][_0x41572d(0xcef)]||[{'message':_0x462478[_0x41572d(0xd5f)](),'type':_0x41572d(0x52e)}];for(let _0x2849bb=0x0;_0x2849bb<_0x462478[_0x41572d(0x524)][_0x41572d(0xcef)][_0x41572d(0x402)];_0x2849bb+=0x1){_0x3970a2[_0x41572d(0x1980)]({'title':_0x462478[_0x41572d(0x524)][_0x41572d(0xcef)][_0x2849bb][_0x41572d(0x1142)],'msg':_0x462478['data'][_0x41572d(0xcef)][_0x2849bb][_0x41572d(0x7fd)]});}}else _0x3970a2[_0x41572d(0x1980)]({'title':_0x462478['status']?_0x41572d(0x262a)+_0x462478['status']+_0x41572d(0x1315)+_0x462478[_0x41572d(0x167f)]:_0x41572d(0x52e),'msg':_0x462478[_0x41572d(0x524)]?JSON['stringify'](_0x462478['data'][_0x41572d(0x7fd)]):_0x462478[_0x41572d(0xd5f)]()});});}function _0x4bb4d4(){const _0x2078f0=_0x42eb33;_0xe7f77b['errors']=[],_0x4b0e21['voiceExtension'][_0x2078f0(0x18e1)]({'id':_0xe7f77b[_0x2078f0(0x86f)]['id']},_0xe7f77b[_0x2078f0(0x86f)])[_0x2078f0(0x2945)][_0x2078f0(0x146b)](function(_0x12dede){const _0x5e2f7e=_0x2078f0,_0x48ea4c=_0x3f65c0()[_0x5e2f7e(0xc84)](_0xe7f77b['internalroutes'],{'id':_0x12dede['id']});_0x48ea4c&&_0x3f65c0()['merge'](_0x48ea4c,_0x3f65c0()['pick'](_0x12dede[_0x5e2f7e(0x2488)](),_0x3f65c0()['keys'](_0x48ea4c))),_0x3970a2[_0x5e2f7e(0x1c75)]({'title':_0x5e2f7e(0xedd),'msg':_0xe7f77b[_0x5e2f7e(0x86f)][_0x5e2f7e(0x19eb)]?_0xe7f77b[_0x5e2f7e(0x86f)][_0x5e2f7e(0x19eb)]+'\x20has\x20been\x20saved!':''}),_0x46fe04(_0x12dede);})[_0x2078f0(0x129e)](function(_0x88e53f){const _0x16bb5b=_0x2078f0;if(_0x88e53f[_0x16bb5b(0x524)]&&_0x88e53f[_0x16bb5b(0x524)][_0x16bb5b(0xcef)]&&_0x88e53f[_0x16bb5b(0x524)][_0x16bb5b(0xcef)][_0x16bb5b(0x402)]){_0xe7f77b[_0x16bb5b(0xcef)]=_0x88e53f[_0x16bb5b(0x524)][_0x16bb5b(0xcef)]||[{'message':_0x88e53f['toString'](),'type':_0x16bb5b(0x872)}];for(let _0x486961=0x0;_0x486961<_0x88e53f['data'][_0x16bb5b(0xcef)]['length'];_0x486961++){_0x3970a2[_0x16bb5b(0x1980)]({'title':_0x88e53f[_0x16bb5b(0x524)][_0x16bb5b(0xcef)][_0x486961][_0x16bb5b(0x1142)],'msg':_0x88e53f['data']['errors'][_0x486961][_0x16bb5b(0x7fd)]});}}else _0x3970a2[_0x16bb5b(0x1980)]({'title':_0x88e53f[_0x16bb5b(0x107b)]?'API:'+_0x88e53f[_0x16bb5b(0x107b)]+_0x16bb5b(0x1315)+_0x88e53f[_0x16bb5b(0x167f)]:_0x16bb5b(0x872),'msg':_0x88e53f[_0x16bb5b(0x524)]?JSON[_0x16bb5b(0x10bb)](_0x88e53f[_0x16bb5b(0x524)][_0x16bb5b(0x7fd)]):_0x88e53f[_0x16bb5b(0xd5f)]()});});}function _0xce71d4(_0x3de1f8){const _0x6b5ff=_0x42eb33;_0xe7f77b[_0x6b5ff(0xcef)]=[];const _0x5e0554=_0x5877b7['confirm']()[_0x6b5ff(0x1189)](_0x6b5ff(0x1d64))[_0x6b5ff(0x80f)](_0x6b5ff(0x1061))['ariaLabel'](_0x6b5ff(0x19c4))['ok'](_0x6b5ff(0x25de))[_0x6b5ff(0x6c3)]('Cancel')[_0x6b5ff(0x1f27)](_0x3de1f8);_0x5877b7[_0x6b5ff(0x2615)](_0x5e0554)[_0x6b5ff(0x146b)](function(){const _0x3ccc8b=_0x6b5ff;_0x4b0e21[_0x3ccc8b(0xd47)][_0x3ccc8b(0x1fac)]({'id':_0xe7f77b[_0x3ccc8b(0x86f)]['id']})[_0x3ccc8b(0x2945)][_0x3ccc8b(0x146b)](function(){const _0x25cc68=_0x3ccc8b;_0x3f65c0()[_0x25cc68(0x2640)](_0xe7f77b[_0x25cc68(0x101f)],{'id':_0xe7f77b['internalroute']['id']}),_0x3970a2[_0x25cc68(0x1c75)]({'title':_0x25cc68(0x212e),'msg':(_0xe7f77b[_0x25cc68(0x86f)][_0x25cc68(0x19eb)]||_0x25cc68(0x86f))+'\x20has\x20been\x20deleted!'}),_0x46fe04(_0xe7f77b['internalroute']);})[_0x3ccc8b(0x129e)](function(_0x2cfc10){const _0x5d1fae=_0x3ccc8b;if(_0x2cfc10[_0x5d1fae(0x524)]&&_0x2cfc10['data'][_0x5d1fae(0xcef)]&&_0x2cfc10[_0x5d1fae(0x524)][_0x5d1fae(0xcef)][_0x5d1fae(0x402)]){_0xe7f77b[_0x5d1fae(0xcef)]=_0x2cfc10[_0x5d1fae(0x524)][_0x5d1fae(0xcef)]||[{'message':_0x2cfc10[_0x5d1fae(0xd5f)](),'type':'api.voiceExtension.delete'}];for(let _0x3b06bd=0x0;_0x3b06bd<_0x2cfc10[_0x5d1fae(0x524)][_0x5d1fae(0xcef)]['length'];_0x3b06bd++){_0x3970a2[_0x5d1fae(0x1980)]({'title':_0x2cfc10[_0x5d1fae(0x524)]['errors'][_0x3b06bd][_0x5d1fae(0x1142)],'msg':_0x2cfc10[_0x5d1fae(0x524)]['errors'][_0x3b06bd][_0x5d1fae(0x7fd)]});}}else _0x3970a2[_0x5d1fae(0x1980)]({'title':_0x2cfc10[_0x5d1fae(0x107b)]?_0x5d1fae(0x262a)+_0x2cfc10['status']+'\x20-\x20'+_0x2cfc10['statusText']:'api.voiceExtension.delete','msg':_0x2cfc10[_0x5d1fae(0x524)]?JSON[_0x5d1fae(0x10bb)](_0x2cfc10[_0x5d1fae(0x524)][_0x5d1fae(0x7fd)]):_0x2cfc10[_0x5d1fae(0x7fd)]||_0x2cfc10['toString']()});});},function(){});}function _0x41ae63(_0x38a265){return _0x38a265===null?undefined:new Date(_0x38a265);}function _0x46fe04(_0x621aac){const _0x577462=_0x42eb33;_0x5877b7[_0x577462(0x2458)](_0x621aac);}}const _0x2bdba3=_0x4bfbf4;;const _0x21fb16=_0x4acfac['p']+_0x313a4d(0xf2f);;const _0x552101=_0x4acfac['p']+_0x313a4d(0x19a3);;const _0x52c5c2=_0x4acfac['p']+_0x313a4d(0x7f5);;const _0x109a85=_0x4acfac['p']+_0x313a4d(0x18a4);;const _0x217369=_0x4acfac['p']+_0x313a4d(0x1680);;const _0x3e8c8f=_0x4acfac['p']+'src/js/modules/main/apps/voice/views/internalroutes/edit/apps/hangup/dialog.html/dialog.html';;const _0x1e8387=_0x4acfac['p']+'src/js/modules/main/apps/voice/views/internalroutes/edit/apps/internaldial/dialog.html/dialog.html';;const _0x49e751=_0x4acfac['p']+_0x313a4d(0xc35);;const _0x436567=_0x4acfac['p']+_0x313a4d(0x2491);;const _0x14c287=_0x4acfac['p']+_0x313a4d(0xc78);;const _0x377871=_0x4acfac['p']+_0x313a4d(0xbc0);;const _0x331a2c=_0x4acfac['p']+_0x313a4d(0xceb);;const _0xea8075=_0x4acfac['p']+'src/js/modules/main/apps/voice/views/internalroutes/edit/apps/voicemail/dialog.html/dialog.html';;_0x54f76a[_0x313a4d(0x11c2)]=[_0x313a4d(0x247f),_0x313a4d(0x10e8),'$document',_0x313a4d(0x1fe4),_0x313a4d(0xa87)];const _0x3baf4a={'agi':_0x21fb16,'custom':_0x552101,'dial':_0x52c5c2,'externaldial':_0x109a85,'goto':_0x217369,'hangup':_0x3e8c8f,'internaldial':_0x1e8387,'interval':_0x49e751,'playback':_0x436567,'queue':_0x14c287,'ringgroup':_0x377871,'set':_0x331a2c,'voicemail':_0xea8075};function _0x54f76a(_0x3b5a51,_0x3c8db5,_0x362317,_0x496b2a,_0x48daef){const _0x4b117c=_0x313a4d,_0x79ae22=this;_0x79ae22[_0x4b117c(0x2321)]=_0x48daef[_0x4b117c(0xb12)](),_0x79ae22[_0x4b117c(0x86f)]={},_0x79ae22[_0x4b117c(0x26d8)]={'count':0x0,'rows':[]},_0x79ae22[_0x4b117c(0xf94)]=[],_0x79ae22['crudPermissions'],_0x79ae22[_0x4b117c(0x1a56)]={'sort':_0x4b117c(0xa6a)},_0x79ae22[_0x4b117c(0x8b0)]=_0x3f65c0()[_0x4b117c(0xa6f)](_0x3f65c0()[_0x4b117c(0x939)]([{'app':_0x4b117c(0x1b4e),'appType':'interval','type':_0x4b117c(0x182a),'types':[_0x4b117c(0xd85),_0x4b117c(0x1802),_0x4b117c(0x25f4)],'fields':[],'isApp':![]},{'app':'Queue','appType':_0x4b117c(0x1c72),'context':_0x4b117c(0x57e),'type':'Internal','options':'xX','appdata':_0x4b117c(0x1452),'icon':_0x4b117c(0x1205),'interval':_0x4b117c(0x1559),'answer':!![],'isApp':!![],'extraApi':[{'name':_0x4b117c(0xb02),'field':{'name':'sound','key':'save_name','prefix':_0x4b117c(0xe2e)},'route':_0x4b117c(0x126d),'filters':{'fields':_0x4b117c(0x222a),'sort':'name','nolimit':!![]},'permissions':{'section':0x3ef}},{'name':'queues','field':{'name':_0x4b117c(0x1c72),'key':_0x4b117c(0x19eb)},'route':'voiceQueue','filters':{'type':_0x4b117c(0x7b0),'fields':_0x4b117c(0x45e),'sort':_0x4b117c(0x19eb),'nolimit':!![]},'permissions':{'section':0x191}}],'fields':[{'title':_0x4b117c(0xe26),'name':_0x4b117c(0x1c72),'type':_0x4b117c(0x28c6),'values':_0x4b117c(0x1b32),'value':_0x4b117c(0x15b0),'option':_0x4b117c(0x15b0),'required':!![],'param':0x0},{'title':_0x4b117c(0x23ec),'name':'options','type':_0x4b117c(0xa8d),'param':0x1},{'title':_0x4b117c(0xae8),'name':'URL','type':_0x4b117c(0xa8d),'param':0x2},{'title':'Announce_Overrides','name':_0x4b117c(0x126d),'type':_0x4b117c(0x28c6),'values':_0x4b117c(0xb02),'value':_0x4b117c(0x9ef),'option':_0x4b117c(0x1c76),'defaultValues':[{'value':'\x27\x27','option':_0x4b117c(0x13dd)}],'param':0x3},{'title':'Timeout','name':_0x4b117c(0xa9c),'type':_0x4b117c(0x181),'min':0x0,'param':0x4},{'title':_0x4b117c(0x180e),'name':_0x4b117c(0x1ecb),'type':_0x4b117c(0xa8d),'param':0x5},{'title':_0x4b117c(0x18fc),'name':_0x4b117c(0x9d2),'type':_0x4b117c(0xa8d),'param':0x6},{'title':_0x4b117c(0x1260),'name':'gosub','type':'text','param':0x7},{'title':_0x4b117c(0xfd0),'name':_0x4b117c(0x95e),'type':_0x4b117c(0xa8d),'param':0x8},{'title':_0x4b117c(0x25b),'name':_0x4b117c(0x21ca),'type':_0x4b117c(0x181),'min':0x0,'param':0x9},{'title':_0x4b117c(0x21c0),'name':_0x4b117c(0x2336),'type':_0x4b117c(0x1197),'general':!![]}]},{'app':'Playback','appType':'playback','context':_0x4b117c(0x57e),'type':'Internal','icon':'icon-apps','interval':_0x4b117c(0x1559),'answer':!![],'isApp':!![],'extraApi':[{'name':'sounds','field':{'name':_0x4b117c(0x168a),'key':_0x4b117c(0xa3d),'prefix':_0x4b117c(0xe2e)},'route':_0x4b117c(0x126d),'filters':{'fields':_0x4b117c(0x222a),'sort':_0x4b117c(0x19eb),'nolimit':!![]},'permissions':{'section':0x3ef}}],'fields':[{'title':_0x4b117c(0x671),'name':'appdata','type':_0x4b117c(0x28c6),'values':'sounds','value':_0x4b117c(0x2130),'option':_0x4b117c(0x2227),'required':!![],'param':0x0},{'title':_0x4b117c(0x23ec),'name':'options','type':'text','param':0x1},{'title':'Answer','name':_0x4b117c(0x2336),'type':_0x4b117c(0x1197),'general':!![]}]},{'app':_0x4b117c(0x20fb),'appType':_0x4b117c(0xb98),'context':_0x4b117c(0x57e),'type':_0x4b117c(0x182a),'icon':_0x4b117c(0x1205),'options':'xX','interval':_0x4b117c(0x1559),'isApp':!![],'fields':[{'title':_0x4b117c(0x2599),'name':'tech','type':_0x4b117c(0xa8d),'required':!![],'param':0x0},{'title':_0x4b117c(0x5cc),'name':_0x4b117c(0xa9c),'type':_0x4b117c(0x181),'min':0x0,'param':0x1},{'title':_0x4b117c(0x23ec),'name':_0x4b117c(0xa08),'type':'text','param':0x2},{'title':'Url','name':_0x4b117c(0x20cc),'type':'text','param':0x3}]},{'app':_0x4b117c(0x20fb),'appType':'internalDial','context':_0x4b117c(0x57e),'type':_0x4b117c(0x182a),'icon':'icon-apps','options':'xX','interval':_0x4b117c(0x1559),'isApp':!![],'extraApi':[{'name':'users','field':{'name':_0x4b117c(0xe7b),'key':_0x4b117c(0x19eb),'prefix':_0x4b117c(0xc67)},'route':_0x4b117c(0xe7b),'filters':{'fields':_0x4b117c(0x245b),'sort':_0x4b117c(0x19eb),'nolimit':!![]},'permissions':{'sections':[{'id':0xca,'role':_0x4b117c(0x1755)},{'id':0xcb,'role':_0x4b117c(0x90c)}]}}],'fields':[{'title':'User','name':_0x4b117c(0xe7b),'type':_0x4b117c(0x28c6),'values':_0x4b117c(0x1995),'value':_0x4b117c(0x23a6),'option':_0x4b117c(0x742),'required':!![],'param':0x0},{'title':_0x4b117c(0x5cc),'name':_0x4b117c(0xa9c),'type':_0x4b117c(0x181),'min':0x0,'param':0x1},{'title':'Options','name':_0x4b117c(0xa08),'type':_0x4b117c(0xa8d),'param':0x2},{'title':_0x4b117c(0xae8),'name':_0x4b117c(0x20cc),'type':'text','param':0x3}]},{'app':_0x4b117c(0x20fb),'appType':_0x4b117c(0x2168),'context':_0x4b117c(0x57e),'type':_0x4b117c(0x182a),'icon':_0x4b117c(0x1205),'interval':'*,*,*,*','options':'xX','isApp':!![],'extraApi':[{'name':'trunks','field':{'name':_0x4b117c(0x279f),'key':_0x4b117c(0x19eb)},'route':_0x4b117c(0x279f),'filters':{'fields':_0x4b117c(0x7a7),'sort':'name','nolimit':!![]},'permissions':{'section':0x3f3}}],'fields':[{'title':_0x4b117c(0x13c4),'name':_0x4b117c(0x279f),'type':_0x4b117c(0x28c6),'values':'trunks','value':_0x4b117c(0x1845),'option':_0x4b117c(0x1845),'required':!![],'param':0x0},{'title':_0x4b117c(0x1184),'name':_0x4b117c(0x1d55),'type':'text'},{'title':_0x4b117c(0x5cc),'name':_0x4b117c(0xa9c),'type':_0x4b117c(0x181),'min':0x0,'param':0x1},{'title':_0x4b117c(0x23ec),'name':_0x4b117c(0xa08),'type':_0x4b117c(0xa8d),'param':0x2},{'title':_0x4b117c(0xae8),'name':'url','type':_0x4b117c(0xa8d),'param':0x3}]},{'app':_0x4b117c(0x20fb),'appType':_0x4b117c(0xd0c),'context':_0x4b117c(0x57e),'type':_0x4b117c(0x182a),'icon':_0x4b117c(0x1205),'interval':'*,*,*,*','options':'xX','isApp':!![],'extraApi':[{'name':_0x4b117c(0x1995),'field':{'name':_0x4b117c(0x96a),'key':_0x4b117c(0x19eb),'prefix':_0x4b117c(0xc67)},'route':_0x4b117c(0xe7b),'filters':{'fields':_0x4b117c(0x245b),'sort':'name','nolimit':!![]},'permissions':{'sections':[{'id':0xca,'role':_0x4b117c(0x1755)},{'id':0xcb,'role':_0x4b117c(0x90c)}]}}],'fields':[{'title':'User','name':_0x4b117c(0x96a),'type':_0x4b117c(0x28c6),'multiple':!![],'values':'users','value':_0x4b117c(0x1e52),'option':_0x4b117c(0x26dc),'required':!![],'param':0x0},{'title':_0x4b117c(0x5cc),'name':_0x4b117c(0xa9c),'type':_0x4b117c(0x181),'min':0x0,'param':0x1},{'title':'Options','name':_0x4b117c(0xa08),'type':_0x4b117c(0xa8d),'param':0x2},{'title':_0x4b117c(0xae8),'name':_0x4b117c(0x20cc),'type':_0x4b117c(0xa8d),'param':0x3}]},{'app':'AGI','alias':_0x4b117c(0x22cc),'appType':'agi','context':_0x4b117c(0x57e),'type':'Internal','icon':_0x4b117c(0x1205),'interval':_0x4b117c(0x1559),'isApp':!![],'extraApi':[{'name':_0x4b117c(0x1991),'field':{'name':_0x4b117c(0x9e0),'key':_0x4b117c(0x19eb),'prefix':_0x4b117c(0x1e2a)},'route':_0x4b117c(0x9b1),'filters':{'fields':_0x4b117c(0x7a7),'sort':_0x4b117c(0x19eb),'nolimit':!![]},'permissions':{'section':0x44f}}],'fields':[{'title':_0x4b117c(0x176d),'name':_0x4b117c(0x9e0),'type':_0x4b117c(0x28c6),'values':_0x4b117c(0x1991),'value':_0x4b117c(0x275f),'option':_0x4b117c(0x25c6),'required':!![],'param':0x0}]},{'app':'goto','appType':'goTo','context':_0x4b117c(0x57e),'type':_0x4b117c(0x182a),'icon':_0x4b117c(0x1205),'interval':_0x4b117c(0x1559),'isApp':!![],'extraApi':[{'name':_0x4b117c(0xfe9),'field':{'name':_0x4b117c(0x1ac1),'key':_0x4b117c(0x19eb)},'route':_0x4b117c(0x1b82),'filters':{'fields':_0x4b117c(0x7a7),'sort':_0x4b117c(0x19eb),'nolimit':!![]},'permissions':{'section':0x195}}],'fields':[{'title':_0x4b117c(0xc8c),'name':_0x4b117c(0x1ac1),'type':_0x4b117c(0x28c6),'values':_0x4b117c(0xfe9),'value':_0x4b117c(0x1dfe),'option':_0x4b117c(0x1dfe),'required':!![],'param':0x0},{'title':_0x4b117c(0x2768),'name':_0x4b117c(0x1bc6),'type':_0x4b117c(0xa8d),'required':!![],'param':0x1},{'title':'Priority','name':_0x4b117c(0xa6a),'type':_0x4b117c(0xa8d),'required':!![],'param':0x2}]},{'app':_0x4b117c(0x1dbf),'appType':_0x4b117c(0x1dbf),'context':_0x4b117c(0x57e),'type':'Internal','icon':'icon-apps','interval':'*,*,*,*','isApp':!![],'fields':[{'title':_0x4b117c(0x271d),'name':_0x4b117c(0x168a),'type':_0x4b117c(0xa5f),'param':0x0,'values':[{'option':_0x4b117c(0x2cc),'value':'\x271\x27'},{'option':'HANGUPCAUSE_16','value':'\x2716\x27'},{'option':_0x4b117c(0x16ef),'value':_0x4b117c(0x12ce)},{'option':_0x4b117c(0x13d2),'value':'\x2718\x27'},{'option':'HANGUPCAUSE_21','value':'\x2721\x27'},{'option':_0x4b117c(0x272b),'value':'\x2722\x27'},{'option':_0x4b117c(0xab5),'value':_0x4b117c(0xb13)},{'option':_0x4b117c(0x24ed),'value':_0x4b117c(0x5b9)},{'option':'HANGUPCAUSE_41','value':_0x4b117c(0x2048)}],'translate':!![],'required':!![],'general':!![]}]},{'app':_0x4b117c(0x124f),'appType':_0x4b117c(0x26ba),'context':_0x4b117c(0x57e),'type':_0x4b117c(0x182a),'separator':'=','icon':_0x4b117c(0x1205),'interval':'*,*,*,*','extraApi':[{'name':_0x4b117c(0x85b),'field':{'name':'name','key':_0x4b117c(0x19eb)},'route':_0x4b117c(0x212),'filters':{'fields':_0x4b117c(0x7a7),'sort':'name','nolimit':!![]},'permissions':{'section':0x3f4}}],'isApp':!![],'fields':[{'title':_0x4b117c(0x182),'name':'name','type':_0x4b117c(0x28c6),'values':_0x4b117c(0x85b),'value':'name.name','option':_0x4b117c(0x17ac),'required':!![],'param':0x0},{'title':_0x4b117c(0x2193),'name':_0x4b117c(0x175d),'type':_0x4b117c(0xa8d),'required':!![],'param':0x1}]},{'app':null,'appType':_0x4b117c(0x1802),'icon':'icon-apps','type':'Internal','interval':'*,*,*,*','isApp':!![],'fields':[{'title':_0x4b117c(0x1b64),'name':'app','type':'text','required':!![],'param':0x0},{'title':'Arguments','name':'appdata','type':_0x4b117c(0xa8d),'param':0x1}]},{'app':_0x4b117c(0x2859),'appType':'voicemail','context':_0x4b117c(0x57e),'icon':_0x4b117c(0x1205),'type':_0x4b117c(0x182a),'interval':_0x4b117c(0x1559),'isApp':!![],'extraApi':[{'name':'voiceMails','field':{'name':_0x4b117c(0xe8c),'key':'mailbox','suffix':_0x4b117c(0x225b)},'route':_0x4b117c(0xe8c),'filters':{'fields':_0x4b117c(0x116d),'sort':'mailbox','nolimit':!![]},'permissions':{'section':0x196}}],'fields':[{'title':_0x4b117c(0x1a7e),'name':_0x4b117c(0xe8c),'type':_0x4b117c(0x28c6),'values':_0x4b117c(0xcb1),'value':_0x4b117c(0x199e),'option':_0x4b117c(0xa58),'required':!![],'param':0x0},{'title':_0x4b117c(0x23ec),'name':_0x4b117c(0xa08),'type':_0x4b117c(0xa8d),'param':0x1}]}],[_0x4b117c(0x1873)]),{'isApp':![]}),_0x79ae22[_0x4b117c(0x25f4)]={'group':{'name':'opt1','pull':_0x4b117c(0x12cc)},'animation':0x64,'sort':![]},_0x79ae22[_0x4b117c(0x25fc)]={'group':{'name':_0x4b117c(0x916),'put':_0x4b117c(0x156c)},'animation':0x64,'onAdd':function(_0x557c59){const _0x5a6434=_0x4b117c;_0x2b5fda(_0x557c59,_0x557c59[_0x5a6434(0x154d)]);},'onSort':function(){_0x515f91();}},_0x79ae22[_0x4b117c(0x5aa)]=_0x26320e,_0x79ae22[_0x4b117c(0x19b4)]=_0x2393af,_0x79ae22['getInternalRouteApps']=_0x4ff092,_0x79ae22['editInternalRouteApp']=_0x2b5fda,_0x79ae22[_0x4b117c(0x285b)]=_0x3f663,_0x79ae22['deleteInternalRouteApp']=_0x1a9dbc,_0x79ae22['deleteSelectedInternalRouteApps']=_0x5a0123,_0x79ae22[_0x4b117c(0x1450)]=_0x515f91,_0x79ae22[_0x4b117c(0x1c1c)]=_0x3b617e;function _0x26320e(_0x1a37ad,_0x5c8660){const _0xc34150=_0x4b117c;_0x79ae22[_0xc34150(0x86f)]=_0x1a37ad,_0x79ae22[_0xc34150(0x2514)]=typeof _0x5c8660!==_0xc34150(0x2274)?_0x5c8660:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x79ae22[_0xc34150(0x25fc)][_0xc34150(0x2862)]=!_0x79ae22[_0xc34150(0x2514)][_0xc34150(0x15f4)]?!![]:![],_0x79ae22[_0xc34150(0x1a56)][_0xc34150(0x1f3f)]=_0x1a37ad['id'],_0x79ae22[_0xc34150(0x1a56)][_0xc34150(0x16f6)]=!![],_0x79ae22[_0xc34150(0x1a56)]['nolimit']=!![],_0x79ae22[_0xc34150(0x260)](),_0x79ae22[_0xc34150(0x1c1c)]();}function _0x2393af(_0x23c2ac,_0x3b2589,_0x4669ec){const _0x2ea2fb=_0x4b117c,_0x24a784=_0x3c8db5['confirm']()['title'](_0x2ea2fb(0x1058))[_0x2ea2fb(0x1cbe)](_0x2ea2fb(0x16d3)+_0x23c2ac[_0x2ea2fb(0x1873)]+''+_0x2ea2fb(0xe01))['ariaLabel'](_0x2ea2fb(0x847))[_0x2ea2fb(0x1f27)](_0x4669ec)['ok']('OK')[_0x2ea2fb(0x6c3)]('CANCEL');_0x3c8db5[_0x2ea2fb(0x2615)](_0x24a784)['then'](function(){const _0x38b17a=_0x2ea2fb;_0x79ae22[_0x38b17a(0x26d8)]['rows'][_0x38b17a(0x1f7d)](_0x3b2589,0x1),_0x515f91();},function(){const _0x1e49ad=_0x2ea2fb;console[_0x1e49ad(0x1a74)](_0x1e49ad(0x39a));});}function _0x2b5fda(_0x37532a,_0x3c4278){const _0x3661e7=_0x4b117c;if(_0x79ae22[_0x3661e7(0x26d8)]['rows'][_0x3661e7(0x402)]){const _0xc43cb=_0x79ae22[_0x3661e7(0x26d8)][_0x3661e7(0x19c7)][_0x3c4278]?_0x79ae22[_0x3661e7(0x26d8)][_0x3661e7(0x19c7)][_0x3c4278]:_0x79ae22[_0x3661e7(0x26d8)][_0x3661e7(0x19c7)][0x0],_0x4ae209=(_0xc43cb[_0x3661e7(0x8f2)]||_0xc43cb['app'])[_0x3661e7(0x256e)]();_0x3c8db5[_0x3661e7(0x2615)]({'controller':_0x3661e7(0x25e1)+_0x4ae209+_0x3661e7(0x76a),'controllerAs':'vm','templateUrl':_0x3baf4a[_0x4ae209],'parent':angular[_0x3661e7(0x1853)](_0x362317[_0x3661e7(0x2586)]),'targetEvent':_0x37532a,'clickOutsideToClose':!![],'locals':{'internalrouteApp':_0xc43cb,'internalroute':_0x79ae22[_0x3661e7(0x86f)],'crudPermissions':_0x79ae22[_0x3661e7(0x2514)]}})['then'](function(_0x1d2c32){const _0x362281=_0x3661e7;_0x1d2c32&&(_0x1d2c32['id']?_0x79ae22[_0x362281(0x26d8)][_0x362281(0x19c7)][_0x3c4278]=_0x1d2c32:_0x79ae22[_0x362281(0x26d8)]['rows']['splice'](_0x3c4278,0x0,_0x1d2c32),_0x515f91());})[_0x3661e7(0x129e)](function(_0x926ece){const _0x154076=_0x3661e7;_0x926ece&&_0x496b2a[_0x154076(0x1980)]({'title':_0x926ece[_0x154076(0x107b)]?'API:'+_0x926ece[_0x154076(0x107b)]+_0x154076(0x1315)+_0x926ece['statusText']:_0x154076(0x10a0),'msg':_0x926ece[_0x154076(0x524)]?JSON[_0x154076(0x10bb)](_0x926ece['data']):_0x926ece[_0x154076(0xd5f)]()});});}}function _0x3f663(_0x46ce48,_0x51cc83){const _0x4db215=_0x4b117c;if(_0x79ae22[_0x4db215(0x26d8)]['rows'][_0x4db215(0x402)]){const _0x507d95=_0x79ae22[_0x4db215(0x26d8)][_0x4db215(0x19c7)][_0x51cc83]?_0x79ae22[_0x4db215(0x26d8)][_0x4db215(0x19c7)][_0x51cc83]:_0x79ae22[_0x4db215(0x26d8)][_0x4db215(0x19c7)][0x0];_0x3c8db5[_0x4db215(0x2615)]({'controller':_0x4db215(0x2577),'controllerAs':'vm','templateUrl':_0x49e751,'parent':angular[_0x4db215(0x1853)](_0x362317['body']),'targetEvent':_0x46ce48,'clickOutsideToClose':!![],'locals':{'interval':{'interval':_0x507d95[_0x4db215(0x2765)],'IntervalId':_0x507d95[_0x4db215(0x1ff2)],'application':!![]},'intervals':[],'crudPermissions':_0x79ae22['crudPermissions']}})['then'](function(_0x4ef4ff){const _0x3be25f=_0x4db215;_0x4ef4ff&&(_0x507d95[_0x3be25f(0x2765)]=_0x4ef4ff[_0x3be25f(0x2765)]||'*,*,*,*',_0x507d95[_0x3be25f(0x1ff2)]=_0x4ef4ff[_0x3be25f(0x1ff2)]||null,_0x515f91());});}}function _0x515f91(){const _0x534f08=_0x4b117c,_0x4cc4e3=[_0x534f08(0x1c72),_0x534f08(0x288a)];let _0x4aefd9=0x2,_0x5ea5fc=[];_0x5ea5fc[_0x534f08(0x1f47)]({'type':_0x534f08(0x113f),'app':_0x534f08(0x124f),'appdata':_0x534f08(0x174),'context':_0x79ae22[_0x534f08(0x86f)]['context'],'exten':_0x79ae22['internalroute']['exten'],'priority':_0x4aefd9++,'VoiceExtensionId':_0x79ae22['internalroute']['id']}),_0x5ea5fc[_0x534f08(0x1f47)]({'type':_0x534f08(0x113f),'app':'Set','appdata':_0x534f08(0x209),'context':_0x79ae22['internalroute'][_0x534f08(0x1ac1)],'exten':_0x79ae22['internalroute'][_0x534f08(0x19b2)],'priority':_0x4aefd9++,'VoiceExtensionId':_0x79ae22[_0x534f08(0x86f)]['id']}),_0x5ea5fc['push']({'type':_0x534f08(0x113f),'app':_0x534f08(0x124f),'appdata':'XCALLY-MOTION-PROGRESS=0','context':_0x79ae22['internalroute']['context'],'exten':_0x79ae22[_0x534f08(0x86f)][_0x534f08(0x19b2)],'priority':_0x4aefd9++,'VoiceExtensionId':_0x79ae22['internalroute']['id']}),_0x5ea5fc[_0x534f08(0x1f47)]({'type':'internal','app':_0x534f08(0x124f),'appdata':'MONITOR_OPTIONS=ab','context':_0x79ae22[_0x534f08(0x86f)][_0x534f08(0x1ac1)],'exten':_0x79ae22[_0x534f08(0x86f)][_0x534f08(0x19b2)],'priority':_0x4aefd9++,'VoiceExtensionId':_0x79ae22[_0x534f08(0x86f)]['id']});for(let _0x265849=0x0,_0x40f611=0x0;_0x265849<_0x79ae22[_0x534f08(0x26d8)]['rows'][_0x534f08(0x402)];_0x265849++,_0x40f611=0x0){const _0x4a4cf7=_0x79ae22['internalrouteApps'][_0x534f08(0x19c7)][_0x265849],_0x27478c=[],_0x3859d7=[];_0x4a4cf7[_0x534f08(0x278f)]=_0x4a4cf7[_0x534f08(0x2765)]!=='*,*,*,*'?[_0x4a4cf7[_0x534f08(0x2765)]]:_0x4a4cf7['IntervalId']?_0x3f65c0()[_0x534f08(0x205)](_0x3f65c0()[_0x534f08(0x1dd6)](_0x79ae22[_0x534f08(0x278f)]['rows'],{'IntervalId':_0x4a4cf7['IntervalId']}),_0x534f08(0x2765)):[],_0x4a4cf7['context']=_0x79ae22[_0x534f08(0x86f)][_0x534f08(0x1ac1)],_0x4a4cf7['exten']=_0x79ae22['internalroute'][_0x534f08(0x19b2)];_0x4a4cf7[_0x534f08(0x1142)]&&(_0x4a4cf7[_0x534f08(0x1142)]=_0x4a4cf7[_0x534f08(0x1142)][_0x534f08(0x256e)]());typeof _0x4a4cf7['answer']!==_0x534f08(0x2274)&&(_0x4cc4e3['includes'](_0x4a4cf7[_0x534f08(0x1873)][_0x534f08(0x256e)]())&&_0x4a4cf7[_0x534f08(0x8f2)]!==_0x534f08(0x1802)&&(_0x4a4cf7['answer']?(_0x27478c[_0x534f08(0x1f47)]({'type':_0x4a4cf7[_0x534f08(0x1142)][_0x534f08(0x256e)](),'app':'ExecIf','appdata':_0x534f08(0x1a64),'context':_0x79ae22['internalroute']['context'],'exten':_0x79ae22[_0x534f08(0x86f)]['exten'],'priority':_0x4a4cf7[_0x534f08(0x278f)][_0x534f08(0x402)]+_0x4aefd9+_0x40f611++,'VoiceExtensionId':_0x79ae22[_0x534f08(0x86f)]['id']}),_0x27478c[_0x534f08(0x1f47)]({'type':_0x4a4cf7[_0x534f08(0x1142)]['toLowerCase'](),'app':_0x534f08(0x124f),'appdata':_0x534f08(0x1a60),'context':_0x79ae22[_0x534f08(0x86f)][_0x534f08(0x1ac1)],'exten':_0x79ae22[_0x534f08(0x86f)]['exten'],'priority':_0x4a4cf7[_0x534f08(0x278f)][_0x534f08(0x402)]+_0x4aefd9+_0x40f611++,'VoiceExtensionId':_0x79ae22[_0x534f08(0x86f)]['id']})):(_0x27478c[_0x534f08(0x1f47)]({'type':_0x4a4cf7['type']['toLowerCase'](),'app':_0x534f08(0xf74),'appdata':'$[${XCALLY-MOTION-PROGRESS}=0]?Progress','context':_0x79ae22['internalroute'][_0x534f08(0x1ac1)],'exten':_0x79ae22[_0x534f08(0x86f)]['exten'],'priority':_0x4a4cf7[_0x534f08(0x278f)][_0x534f08(0x402)]+_0x4aefd9+_0x40f611++,'VoiceExtensionId':_0x79ae22['internalroute']['id']}),_0x27478c[_0x534f08(0x1f47)]({'type':_0x4a4cf7[_0x534f08(0x1142)][_0x534f08(0x256e)](),'app':_0x534f08(0x124f),'appdata':_0x534f08(0x11fa),'context':_0x79ae22[_0x534f08(0x86f)][_0x534f08(0x1ac1)],'exten':_0x79ae22['internalroute'][_0x534f08(0x19b2)],'priority':_0x4a4cf7[_0x534f08(0x278f)]['length']+_0x4aefd9+_0x40f611++,'VoiceExtensionId':_0x79ae22[_0x534f08(0x86f)]['id']}))));for(let _0x323096=0x0;_0x323096<_0x4a4cf7[_0x534f08(0x278f)][_0x534f08(0x402)];_0x323096++){const _0x176555=_0x4aefd9+_0x4a4cf7[_0x534f08(0x278f)][_0x534f08(0x402)],_0x129b9d=_0x323096===_0x4a4cf7[_0x534f08(0x278f)][_0x534f08(0x402)]-0x1?_0x4aefd9+_0x27478c[_0x534f08(0x402)]+_0x3859d7[_0x534f08(0x402)]+0x2:_0x4aefd9+_0x323096+0x1;_0x27478c[_0x534f08(0x1f7d)](_0x323096,0x0,{'type':_0x534f08(0x113f),'app':'GotoIfTime','appdata':_0x4a4cf7['intervals'][_0x323096]+'?'+_0x79ae22[_0x534f08(0x86f)][_0x534f08(0x1ac1)]+_0x534f08(0x2770)+_0x176555+':'+_0x79ae22[_0x534f08(0x86f)][_0x534f08(0x1ac1)]+_0x534f08(0x2770)+_0x129b9d,'exten':_0x79ae22[_0x534f08(0x86f)][_0x534f08(0x19b2)],'context':_0x79ae22[_0x534f08(0x86f)]['context'],'priority':_0x4aefd9+_0x323096,'VoiceExtensionId':_0x79ae22['internalroute']['id']});}_0x4a4cf7[_0x534f08(0xa6a)]=_0x27478c[_0x534f08(0x402)]?_0x3f65c0()[_0x534f08(0x22f2)](_0x27478c)[_0x534f08(0xa6a)]+0x1:_0x4aefd9,_0x4aefd9=(_0x3859d7[_0x534f08(0x402)]?_0x3f65c0()[_0x534f08(0x22f2)](_0x3859d7)[_0x534f08(0xa6a)]:_0x4a4cf7['priority'])+0x1,_0x5ea5fc=_0x3f65c0()[_0x534f08(0x163c)](_0x5ea5fc,_0x27478c,[_0x4a4cf7],_0x3859d7);}_0x5ea5fc[_0x534f08(0x1f47)]({'type':_0x534f08(0x113f),'app':'Hangup','exten':_0x79ae22['internalroute'][_0x534f08(0x19b2)],'context':_0x79ae22[_0x534f08(0x86f)][_0x534f08(0x1ac1)],'priority':_0x4aefd9,'VoiceExtensionId':_0x79ae22[_0x534f08(0x86f)]['id']}),_0x3b5a51[_0x534f08(0xd47)][_0x534f08(0x1711)]({'id':_0x79ae22[_0x534f08(0x86f)]['id']},_0x3f65c0()['sortBy'](_0x5ea5fc,_0x534f08(0xa6a)))[_0x534f08(0x2945)][_0x534f08(0x146b)](function(_0x50839a){const _0x25e336=_0x534f08;_0x79ae22[_0x25e336(0x26d8)][_0x25e336(0x19c7)]=_0x50839a[_0x25e336(0x19c7)];})[_0x534f08(0x129e)](function(_0x529768){const _0x266433=_0x534f08;console[_0x266433(0x1980)](_0x529768);});}function _0x486a9f(_0x3092e0){_0x79ae22['internalrouteApps']=_0x3092e0||{'count':0x0,'rows':[]};}function _0x3b617e(){const _0x323f62=_0x4b117c;return _0x3b5a51[_0x323f62(0x2765)][_0x323f62(0x16b4)]({'fields':_0x323f62(0x2749)})['$promise'][_0x323f62(0x146b)](function(_0x1c7589){const _0x5920ea=_0x323f62;_0x79ae22[_0x5920ea(0x278f)]=_0x1c7589;})[_0x323f62(0x129e)](function(_0x12a150){const _0x15099d=_0x323f62;console[_0x15099d(0x1980)](_0x12a150);});}function _0x4ff092(){const _0x4bef23=_0x4b117c;_0x79ae22[_0x4bef23(0xb9c)]=_0x3b5a51[_0x4bef23(0xd47)][_0x4bef23(0x16b4)](_0x79ae22[_0x4bef23(0x1a56)],_0x486a9f)[_0x4bef23(0x2945)];}function _0x1a9dbc(_0x1fbc14){const _0x32095d=_0x4b117c;_0x3f65c0()['remove'](_0x79ae22[_0x32095d(0x26d8)][_0x32095d(0x19c7)],{'id':_0x1fbc14['id']}),_0x515f91(),_0x496b2a['success']({'title':'App\x20deleted!','msg':_0x1fbc14['app']?_0x1fbc14[_0x32095d(0x1873)]+'\x20has\x20been\x20deleted!':''});}function _0x5a0123(_0x28805f){const _0x1c8ce2=_0x4b117c,_0x463658=_0x3c8db5['confirm']()[_0x1c8ce2(0x1189)](_0x1c8ce2(0x1d9f))[_0x1c8ce2(0x1cbe)](''+_0x79ae22[_0x1c8ce2(0xf94)][_0x1c8ce2(0x402)]+'\x20selected'+_0x1c8ce2(0xe01))[_0x1c8ce2(0x4bd)](_0x1c8ce2(0x2674))[_0x1c8ce2(0x1f27)](_0x28805f)['ok']('OK')[_0x1c8ce2(0x6c3)](_0x1c8ce2(0x39a));_0x3c8db5['show'](_0x463658)[_0x1c8ce2(0x146b)](function(){const _0x1de95c=_0x1c8ce2;_0x79ae22[_0x1de95c(0xf94)]['forEach'](function(_0xd84ddf){const _0x1bb71a=_0x1de95c;_0x3f65c0()[_0x1bb71a(0x2640)](_0x79ae22['internalrouteApps'][_0x1bb71a(0x19c7)],{'id':_0xd84ddf['id']});}),_0x79ae22[_0x1de95c(0xf94)]=[],_0x515f91();});}}const _0xae6248=_0x54f76a;;_0x5481ba[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x1492),'internalroute','api','Auth','crudPermissions'];function _0x5481ba(_0x438ede,_0x671773,_0x1b75eb,_0x48f2af,_0x390c27,_0x4a60b5,_0x517081,_0x512652){const _0x438536=_0x313a4d,_0xdc71f=this;_0xdc71f[_0x438536(0x2321)]=_0x517081[_0x438536(0xb12)](),_0xdc71f['errors']=[],_0xdc71f[_0x438536(0x1189)]=_0x438536(0x1950)+(_0x48f2af[_0x438536(0x8f2)]||_0x48f2af[_0x438536(0x1873)])[_0x438536(0x1c37)](),_0xdc71f[_0x438536(0x1ecb)]=angular[_0x438536(0x235a)](_0x48f2af),_0xdc71f[_0x438536(0x2514)]=_0x512652,_0xdc71f[_0x438536(0x855)]={};if(_0xdc71f['agi'][_0x438536(0x168a)])switch(_0xdc71f['agi'][_0x438536(0x8f2)]?_0xdc71f['agi'][_0x438536(0x8f2)][_0x438536(0x256e)]():_0xdc71f['agi']['app'][_0x438536(0x256e)]()){case'custom':break;case _0x438536(0xeaa):{const _0x588030=_0xdc71f[_0x438536(0x1ecb)][_0x438536(0x168a)][_0x438536(0x10c8)](',');_0xdc71f['agi'][_0x438536(0x2293)]=_0x588030[0x0],_0xdc71f[_0x438536(0x1ecb)][_0x438536(0x90b)]=_0x588030[0x1],_0xdc71f[_0x438536(0x1ecb)][_0x438536(0xaf3)]=_0x588030['slice'](0x2,_0x588030[_0x438536(0x402)])[_0x438536(0xb47)](',');}break;case'dialogflowv2':{const _0x14ffeb=_0xdc71f[_0x438536(0x1ecb)][_0x438536(0x168a)][_0x438536(0x10c8)](',');_0xdc71f[_0x438536(0x1ecb)][_0x438536(0x153a)]=_0x14ffeb[0x0],_0xdc71f[_0x438536(0x1ecb)][_0x438536(0x1392)]=_0x14ffeb[0x1],_0xdc71f['agi'][_0x438536(0x2217)]=_0x14ffeb[0x2],_0xdc71f['agi']['language']=_0x14ffeb[0x3],_0xdc71f['agi'][_0x438536(0xaf3)]=_0x14ffeb[_0x438536(0x1298)](0x4,_0x14ffeb[_0x438536(0x402)])[_0x438536(0xb47)](',');}break;case _0x438536(0x17d6):{const _0x5a5d3a=_0xdc71f[_0x438536(0x1ecb)][_0x438536(0x168a)][_0x438536(0x10c8)](',');_0xdc71f[_0x438536(0x1ecb)]['accesskeyid']=_0x5a5d3a[0x0],_0xdc71f[_0x438536(0x1ecb)][_0x438536(0x252)]=_0x5a5d3a[0x1],_0xdc71f[_0x438536(0x1ecb)][_0x438536(0x1039)]=_0x5a5d3a[0x2],_0xdc71f[_0x438536(0x1ecb)][_0x438536(0x8dd)]=_0x5a5d3a[0x3],_0xdc71f[_0x438536(0x1ecb)][_0x438536(0xaf3)]=_0x5a5d3a[_0x438536(0x1298)](0x4,_0x5a5d3a[_0x438536(0x402)])[_0x438536(0xb47)](',');}break;case _0x438536(0x1713):{const _0x34e34f=_0xdc71f[_0x438536(0x1ecb)][_0x438536(0x168a)][_0x438536(0x10c8)](',');_0xdc71f[_0x438536(0x1ecb)][_0x438536(0x583)]=isNaN(_0x34e34f[0x0])?_0x34e34f[0x0]:parseInt(_0x34e34f[0x0],0xa),_0xdc71f['agi'][_0x438536(0xa8d)]=_0x34e34f[_0x438536(0x1298)](0x1,_0x34e34f[_0x438536(0x402)])[_0x438536(0xb47)](',');}break;case _0x438536(0x7fd):_0xdc71f['agi']['text']=_0xdc71f[_0x438536(0x1ecb)]['appdata'];break;case'set':_0xdc71f[_0x438536(0x1ecb)][_0x438536(0x19eb)]=_0xdc71f[_0x438536(0x1ecb)][_0x438536(0x168a)][_0x438536(0x10c8)]('=')[0x0],_0xdc71f['agi'][_0x438536(0x175d)]=_0xdc71f['agi'][_0x438536(0x168a)][_0x438536(0x10c8)]('=')[0x1];break;case _0x438536(0x1ecb):_0xdc71f['agi']['project']=_0xdc71f[_0x438536(0x1ecb)][_0x438536(0x168a)];break;default:{const _0x309e97=_0xdc71f['agi'][_0x438536(0x168a)]['split'](',');_0xdc71f['agi'][_0x438536(0x9e0)]=_0x3f65c0()[_0x438536(0x2635)](_0x309e97[0x0])?_0x309e97[0x0]:isNaN(_0x309e97[0x0])?_0x309e97[0x0]:parseInt(_0x309e97[0x0],0xa);}break;}else{}_0xdc71f[_0x438536(0x1ecb)][_0x438536(0x1142)]&&_0xdc71f['agi'][_0x438536(0x1142)][_0x438536(0x256e)]()==='outbound'&&_0xdc71f[_0x438536(0x1ecb)][_0x438536(0x8f2)][_0x438536(0x256e)]()===_0x438536(0x3bb)&&(_0xdc71f[_0x438536(0x1ecb)]['prefix']=_0xdc71f[_0x438536(0x1ecb)]['phone']?_0xdc71f[_0x438536(0x1ecb)][_0x438536(0x1d55)][_0x438536(0x10c8)]('$')[0x0]:undefined,_0xdc71f[_0x438536(0x1ecb)][_0x438536(0x1d43)]=_0xdc71f['agi'][_0x438536(0x11be)]?_0x438536(0x1b60)+_0xdc71f[_0x438536(0x1ecb)][_0x438536(0x11be)]:undefined);_0xdc71f['saveInternalRouteApp']=_0x122ad5,_0xdc71f[_0x438536(0x13f3)]=_0x3d51f5,_0x517081['hasRole'](_0x438536(0x174b))?_0x4a60b5[_0x438536(0x9b1)][_0x438536(0x16b4)]({'fields':_0x438536(0x7a7),'sort':'name','nolimit':_0x438536(0x1185)})[_0x438536(0x2945)][_0x438536(0x146b)](function(_0x3b82b5){_0xdc71f['projects']=_0x3b82b5['rows']||[];})[_0x438536(0x129e)](function(_0x271afd){const _0x41ac78=_0x438536;_0x1b75eb[_0x41ac78(0x1980)]({'title':_0x271afd[_0x41ac78(0x107b)]?_0x41ac78(0x262a)+_0x271afd[_0x41ac78(0x107b)]+_0x41ac78(0x1315)+_0x271afd['statusText']:'SYSTEM:GET_PROJECTS','msg':_0x271afd[_0x41ac78(0x524)]?JSON[_0x41ac78(0x10bb)](_0x271afd['data']):_0x271afd[_0x41ac78(0xd5f)]()});}):_0x4a60b5[_0x438536(0x9b1)]['get']({'fields':'id,name','sort':_0x438536(0x19eb),'nolimit':_0x438536(0x1185)})[_0x438536(0x2945)][_0x438536(0x146b)](function(_0x3815c7){const _0x3bb1ea=_0x438536;_0xdc71f[_0x3bb1ea(0x1991)]=_0x3815c7[_0x3bb1ea(0x19c7)]||[];})['then'](function(){const _0x47da07=_0x438536;return _0x4a60b5[_0x47da07(0x1366)][_0x47da07(0x16b4)]({'userProfileId':_0xdc71f['currentUser'][_0x47da07(0x209a)],'sectionId':0x44f})[_0x47da07(0x2945)];})[_0x438536(0x146b)](function(_0x48eae6){const _0x47cbee=_0x438536,_0x2f7c1d=_0x48eae6&&_0x48eae6[_0x47cbee(0x19c7)]?_0x48eae6[_0x47cbee(0x19c7)][0x0]:null;if(!_0x2f7c1d){const _0x180921=[];let _0x191f2e=null;_0xdc71f[_0x47cbee(0x1ecb)]&&(_0x191f2e=_0x3f65c0()[_0x47cbee(0xc84)](_0xdc71f[_0x47cbee(0x1991)],{'name':_0xdc71f[_0x47cbee(0x1ecb)]['project']}));for(let _0x2af8b7=0x0;_0x2af8b7<_0xdc71f[_0x47cbee(0x1991)][_0x47cbee(0x402)];_0x2af8b7++){_0x191f2e&&_0xdc71f[_0x47cbee(0x1991)][_0x2af8b7]['id']===_0x191f2e['id']&&(_0xdc71f['projects'][_0x2af8b7][_0x47cbee(0x8ff)]=![],_0x180921[_0x47cbee(0x1f47)](_0xdc71f['projects'][_0x2af8b7]));}_0xdc71f[_0x47cbee(0x1991)]=_0x180921;}else{if(!_0x2f7c1d['autoAssociation'])return _0x4a60b5[_0x47cbee(0xdcc)][_0x47cbee(0x16b4)]({'sectionId':_0x2f7c1d['id']})[_0x47cbee(0x2945)][_0x47cbee(0x146b)](function(_0x485738){const _0x47a0c7=_0x47cbee,_0x13daf7=_0x3f65c0()[_0x47a0c7(0x205)](_0x485738['rows'],function(_0x388174){const _0xfbc29e=_0x47a0c7;return _0x3f65c0()[_0xfbc29e(0xc84)](_0xdc71f[_0xfbc29e(0x1991)],{'id':_0x388174['resourceId']});});let _0x53b53f=null;_0xdc71f[_0x47a0c7(0x1ecb)]&&(_0x53b53f=_0x3f65c0()['find'](_0xdc71f['projects'],{'name':_0xdc71f[_0x47a0c7(0x1ecb)][_0x47a0c7(0x9e0)]}));if(_0x53b53f&&!_0x3f65c0()['some'](_0x13daf7,['id',_0x53b53f['id']])){const _0x2e26be=_0x3f65c0()[_0x47a0c7(0xc84)](_0xdc71f[_0x47a0c7(0x1991)],{'id':_0x53b53f['id']});_0x2e26be[_0x47a0c7(0x8ff)]=![],_0x13daf7[_0x47a0c7(0x1f47)](_0x2e26be);}_0xdc71f['projects']=_0x13daf7;});}})['catch'](function(_0x3a49a3){const _0x385333=_0x438536;_0x1b75eb['error']({'title':_0x3a49a3[_0x385333(0x107b)]?_0x385333(0x262a)+_0x3a49a3[_0x385333(0x107b)]+'\x20-\x20'+_0x3a49a3[_0x385333(0x167f)]:'SYSTEM:GETprojects','msg':_0x3a49a3['data']?JSON['stringify'](_0x3a49a3['data']):_0x3a49a3[_0x385333(0xd5f)]()});});function _0x122ad5(){const _0x20b8e5=_0x438536;_0xdc71f['errors']=[];const _0x158427=[];_0xdc71f[_0x20b8e5(0x1ecb)]['type']&&_0xdc71f[_0x20b8e5(0x1ecb)][_0x20b8e5(0x1142)]['toLowerCase']()===_0x20b8e5(0xc9c)&&_0xdc71f[_0x20b8e5(0x1ecb)][_0x20b8e5(0x8f2)]==='outboundDial'&&(_0xdc71f[_0x20b8e5(0x1ecb)][_0x20b8e5(0x1d55)]=_0x390c27[_0x20b8e5(0x1274)]?(_0xdc71f['agi']['prefix']||'')+_0x20b8e5(0x2147)+_0x390c27['cutdigits']+'}':(_0xdc71f['agi']['prefix']||'')+_0x20b8e5(0x20df),_0x390c27['recordingFormat']!==_0x20b8e5(0x1642)?_0xdc71f[_0x20b8e5(0x1ecb)][_0x20b8e5(0xa08)]['indexOf'](_0x20b8e5(0x1ea4))<0x0&&(_0xdc71f[_0x20b8e5(0x1ecb)][_0x20b8e5(0xa08)]+=_0x20b8e5(0x1ea4)):_0xdc71f[_0x20b8e5(0x1ecb)][_0x20b8e5(0xa08)]=_0xdc71f[_0x20b8e5(0x1ecb)][_0x20b8e5(0xa08)][_0x20b8e5(0x5f4)](_0x20b8e5(0x1ea4),''));if(_0xdc71f[_0x20b8e5(0x1ecb)][_0x20b8e5(0x8f2)]&&_0xdc71f[_0x20b8e5(0x1ecb)][_0x20b8e5(0x8f2)]===_0x20b8e5(0x1802)){}else switch((_0xdc71f[_0x20b8e5(0x1ecb)]['app']||_0xdc71f[_0x20b8e5(0x1ecb)][_0x20b8e5(0x8f2)])[_0x20b8e5(0x256e)]()){case _0x20b8e5(0x26ba):_0xdc71f['agi'][_0x20b8e5(0x168a)]=_0xdc71f[_0x20b8e5(0x1ecb)]['name']+'='+_0xdc71f['agi'][_0x20b8e5(0x175d)];break;case'custom':break;default:_0x158427[0x0]=_0xdc71f[_0x20b8e5(0x1ecb)][_0x20b8e5(0x9e0)],_0xdc71f[_0x20b8e5(0x1ecb)][_0x20b8e5(0x168a)]=_0x158427[_0x20b8e5(0xb47)](',');}_0x3d51f5(_0xdc71f['agi']);}function _0x3d51f5(_0x172d56){const _0x2aa494=_0x438536;_0x438ede[_0x2aa494(0x2458)](_0x172d56);}}const _0x57a848=_0x5481ba;;_0x2878af[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q','toasty',_0x313a4d(0x1492),_0x313a4d(0x86f),_0x313a4d(0x247f),'Auth',_0x313a4d(0x2514)];function _0x2878af(_0x4d86e2,_0xed3bd7,_0x5571ec,_0x29c03a,_0x49a9c0,_0xc8e13e,_0x6feb74,_0x17327a){const _0x1a14f6=_0x313a4d,_0x301d1b=this;_0x301d1b['currentUser']=_0x6feb74[_0x1a14f6(0xb12)](),_0x301d1b[_0x1a14f6(0xcef)]=[],_0x301d1b['title']=_0x1a14f6(0x1950)+(_0x29c03a[_0x1a14f6(0x8f2)]||_0x29c03a[_0x1a14f6(0x1873)])[_0x1a14f6(0x1c37)](),_0x301d1b[_0x1a14f6(0x1802)]=angular[_0x1a14f6(0x235a)](_0x29c03a),_0x301d1b['crudPermissions']=_0x17327a,_0x301d1b[_0x1a14f6(0x855)]={};if(_0x301d1b[_0x1a14f6(0x1802)][_0x1a14f6(0x168a)])switch(_0x301d1b[_0x1a14f6(0x1802)][_0x1a14f6(0x8f2)]?_0x301d1b[_0x1a14f6(0x1802)][_0x1a14f6(0x8f2)][_0x1a14f6(0x256e)]():_0x301d1b['custom'][_0x1a14f6(0x1873)][_0x1a14f6(0x256e)]()){case'custom':break;case _0x1a14f6(0xeaa):{const _0x3eb687=_0x301d1b[_0x1a14f6(0x1802)][_0x1a14f6(0x168a)]['split'](',');_0x301d1b[_0x1a14f6(0x1802)]['key']=_0x3eb687[0x0],_0x301d1b[_0x1a14f6(0x1802)][_0x1a14f6(0x90b)]=_0x3eb687[0x1],_0x301d1b[_0x1a14f6(0x1802)]['welcomemessage']=_0x3eb687[_0x1a14f6(0x1298)](0x2,_0x3eb687['length'])[_0x1a14f6(0xb47)](',');}break;case _0x1a14f6(0xa46):{const _0x175391=_0x301d1b[_0x1a14f6(0x1802)]['appdata'][_0x1a14f6(0x10c8)](',');_0x301d1b['custom'][_0x1a14f6(0x153a)]=_0x175391[0x0],_0x301d1b['custom'][_0x1a14f6(0x1392)]=_0x175391[0x1],_0x301d1b['custom'][_0x1a14f6(0x2217)]=_0x175391[0x2],_0x301d1b[_0x1a14f6(0x1802)][_0x1a14f6(0x90b)]=_0x175391[0x3],_0x301d1b['custom'][_0x1a14f6(0xaf3)]=_0x175391[_0x1a14f6(0x1298)](0x4,_0x175391[_0x1a14f6(0x402)])['join'](',');}break;case'amazonlex':{const _0x383cf9=_0x301d1b[_0x1a14f6(0x1802)][_0x1a14f6(0x168a)]['split'](',');_0x301d1b['custom'][_0x1a14f6(0x1651)]=_0x383cf9[0x0],_0x301d1b[_0x1a14f6(0x1802)][_0x1a14f6(0x252)]=_0x383cf9[0x1],_0x301d1b[_0x1a14f6(0x1802)][_0x1a14f6(0x1039)]=_0x383cf9[0x2],_0x301d1b['custom']['botname']=_0x383cf9[0x3],_0x301d1b[_0x1a14f6(0x1802)]['welcomemessage']=_0x383cf9[_0x1a14f6(0x1298)](0x4,_0x383cf9['length'])[_0x1a14f6(0xb47)](',');}break;case'autoreply':{const _0x2fb684=_0x301d1b['custom'][_0x1a14f6(0x168a)][_0x1a14f6(0x10c8)](',');_0x301d1b['custom'][_0x1a14f6(0x583)]=isNaN(_0x2fb684[0x0])?_0x2fb684[0x0]:parseInt(_0x2fb684[0x0],0xa),_0x301d1b['custom'][_0x1a14f6(0xa8d)]=_0x2fb684[_0x1a14f6(0x1298)](0x1,_0x2fb684[_0x1a14f6(0x402)])[_0x1a14f6(0xb47)](',');}break;case _0x1a14f6(0x7fd):_0x301d1b[_0x1a14f6(0x1802)]['text']=_0x301d1b[_0x1a14f6(0x1802)]['appdata'];break;case'set':_0x301d1b[_0x1a14f6(0x1802)][_0x1a14f6(0x19eb)]=_0x301d1b[_0x1a14f6(0x1802)]['appdata'][_0x1a14f6(0x10c8)]('=')[0x0],_0x301d1b[_0x1a14f6(0x1802)][_0x1a14f6(0x175d)]=_0x301d1b['custom'][_0x1a14f6(0x168a)][_0x1a14f6(0x10c8)]('=')[0x1];break;case _0x1a14f6(0x1ecb):_0x301d1b[_0x1a14f6(0x1802)][_0x1a14f6(0x9e0)]=_0x301d1b[_0x1a14f6(0x1802)][_0x1a14f6(0x168a)];break;default:{const _0x1e807a=_0x301d1b['custom'][_0x1a14f6(0x168a)]['split'](',');_0x301d1b[_0x1a14f6(0x1802)][_0x1a14f6(0x1873)]=_0x3f65c0()[_0x1a14f6(0x2635)](_0x1e807a[0x0])?_0x1e807a[0x0]:isNaN(_0x1e807a[0x0])?_0x1e807a[0x0]:parseInt(_0x1e807a[0x0],0xa),_0x301d1b[_0x1a14f6(0x1802)]['appdata']=_0x3f65c0()[_0x1a14f6(0x2635)](_0x1e807a[0x1])?_0x1e807a[0x1]:isNaN(_0x1e807a[0x1])?_0x1e807a[0x1]:parseInt(_0x1e807a[0x1],0xa);}break;}else{}_0x301d1b['custom'][_0x1a14f6(0x1142)]&&_0x301d1b[_0x1a14f6(0x1802)][_0x1a14f6(0x1142)][_0x1a14f6(0x256e)]()===_0x1a14f6(0xc9c)&&_0x301d1b[_0x1a14f6(0x1802)][_0x1a14f6(0x8f2)][_0x1a14f6(0x256e)]()==='outbounddial'&&(_0x301d1b[_0x1a14f6(0x1802)][_0x1a14f6(0x1e12)]=_0x301d1b[_0x1a14f6(0x1802)][_0x1a14f6(0x1d55)]?_0x301d1b[_0x1a14f6(0x1802)][_0x1a14f6(0x1d55)][_0x1a14f6(0x10c8)]('$')[0x0]:undefined,_0x301d1b['custom'][_0x1a14f6(0x1d43)]=_0x301d1b['custom'][_0x1a14f6(0x11be)]?_0x1a14f6(0x1b60)+_0x301d1b[_0x1a14f6(0x1802)]['callerID']:undefined);_0x301d1b[_0x1a14f6(0x1f34)]=_0x1d1254,_0x301d1b[_0x1a14f6(0x13f3)]=_0x17e1b2;function _0x1d1254(){const _0x3b8558=_0x1a14f6;_0x301d1b['errors']=[];const _0x32594f=[];_0x301d1b[_0x3b8558(0x1802)][_0x3b8558(0x1142)]&&_0x301d1b[_0x3b8558(0x1802)]['type'][_0x3b8558(0x256e)]()===_0x3b8558(0xc9c)&&_0x301d1b['custom'][_0x3b8558(0x8f2)]===_0x3b8558(0x118b)&&(_0x301d1b[_0x3b8558(0x1802)]['phone']=_0x49a9c0[_0x3b8558(0x1274)]?(_0x301d1b[_0x3b8558(0x1802)][_0x3b8558(0x1e12)]||'')+'${EXTEN:'+_0x49a9c0[_0x3b8558(0x1274)]+'}':(_0x301d1b[_0x3b8558(0x1802)][_0x3b8558(0x1e12)]||'')+_0x3b8558(0x20df),_0x49a9c0[_0x3b8558(0x1425)]!==_0x3b8558(0x1642)?_0x301d1b[_0x3b8558(0x1802)]['options'][_0x3b8558(0x172b)](_0x3b8558(0x1ea4))<0x0&&(_0x301d1b[_0x3b8558(0x1802)][_0x3b8558(0xa08)]+=_0x3b8558(0x1ea4)):_0x301d1b[_0x3b8558(0x1802)][_0x3b8558(0xa08)]=_0x301d1b['custom'][_0x3b8558(0xa08)][_0x3b8558(0x5f4)](_0x3b8558(0x1ea4),''));if(_0x301d1b['custom']['appType']&&_0x301d1b['custom'][_0x3b8558(0x8f2)]===_0x3b8558(0x1802)){}else switch((_0x301d1b['custom'][_0x3b8558(0x1873)]||_0x301d1b[_0x3b8558(0x1802)][_0x3b8558(0x8f2)])[_0x3b8558(0x256e)]()){case'set':_0x301d1b[_0x3b8558(0x1802)][_0x3b8558(0x168a)]=_0x301d1b[_0x3b8558(0x1802)][_0x3b8558(0x19eb)]+'='+_0x301d1b['custom']['value'];break;case _0x3b8558(0x1802):break;default:_0x32594f[0x0]=_0x301d1b[_0x3b8558(0x1802)]['app'],_0x32594f[0x1]=_0x301d1b[_0x3b8558(0x1802)][_0x3b8558(0x168a)],_0x301d1b[_0x3b8558(0x1802)]['appdata']=_0x32594f[_0x3b8558(0xb47)](',');}_0x17e1b2(_0x301d1b[_0x3b8558(0x1802)]);}function _0x17e1b2(_0xd17ed6){const _0xef21f4=_0x1a14f6;_0x4d86e2[_0xef21f4(0x2458)](_0xd17ed6);}}const _0x112861=_0x2878af;;_0xfd11bb[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),'internalrouteApp',_0x313a4d(0x86f),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0xfd11bb(_0x37ae2,_0x4ec948,_0x2adfd4,_0x2ce35d,_0x58807e,_0x57605e,_0x3fa7af,_0x44c7c2){const _0x220733=_0x313a4d,_0x356b2c=this;_0x356b2c[_0x220733(0x2321)]=_0x3fa7af[_0x220733(0xb12)](),_0x356b2c[_0x220733(0xcef)]=[],_0x356b2c[_0x220733(0x1189)]=_0x220733(0x1950)+(_0x2ce35d[_0x220733(0x8f2)]||_0x2ce35d[_0x220733(0x1873)])[_0x220733(0x1c37)](),_0x356b2c[_0x220733(0xb98)]=angular[_0x220733(0x235a)](_0x2ce35d),_0x356b2c[_0x220733(0x2514)]=_0x44c7c2,_0x356b2c['hasModulePermissions']={};if(_0x356b2c[_0x220733(0xb98)][_0x220733(0x168a)])switch(_0x356b2c[_0x220733(0xb98)][_0x220733(0x8f2)]?_0x356b2c[_0x220733(0xb98)][_0x220733(0x8f2)][_0x220733(0x256e)]():_0x356b2c[_0x220733(0xb98)][_0x220733(0x1873)][_0x220733(0x256e)]()){case _0x220733(0x1802):break;case'dialogflow':{const _0x2f21ef=_0x356b2c[_0x220733(0xb98)][_0x220733(0x168a)][_0x220733(0x10c8)](',');_0x356b2c[_0x220733(0xb98)]['key']=_0x2f21ef[0x0],_0x356b2c[_0x220733(0xb98)]['language']=_0x2f21ef[0x1],_0x356b2c[_0x220733(0xb98)][_0x220733(0xaf3)]=_0x2f21ef[_0x220733(0x1298)](0x2,_0x2f21ef[_0x220733(0x402)])[_0x220733(0xb47)](',');}break;case'dialogflowv2':{const _0x1472f4=_0x356b2c[_0x220733(0xb98)][_0x220733(0x168a)][_0x220733(0x10c8)](',');_0x356b2c['dial'][_0x220733(0x153a)]=_0x1472f4[0x0],_0x356b2c[_0x220733(0xb98)]['clientEmail']=_0x1472f4[0x1],_0x356b2c[_0x220733(0xb98)][_0x220733(0x2217)]=_0x1472f4[0x2],_0x356b2c[_0x220733(0xb98)][_0x220733(0x90b)]=_0x1472f4[0x3],_0x356b2c[_0x220733(0xb98)][_0x220733(0xaf3)]=_0x1472f4[_0x220733(0x1298)](0x4,_0x1472f4['length'])[_0x220733(0xb47)](',');}break;case _0x220733(0x17d6):{const _0x4ce6a0=_0x356b2c[_0x220733(0xb98)][_0x220733(0x168a)]['split'](',');_0x356b2c['dial']['accesskeyid']=_0x4ce6a0[0x0],_0x356b2c['dial'][_0x220733(0x252)]=_0x4ce6a0[0x1],_0x356b2c[_0x220733(0xb98)][_0x220733(0x1039)]=_0x4ce6a0[0x2],_0x356b2c[_0x220733(0xb98)]['botname']=_0x4ce6a0[0x3],_0x356b2c[_0x220733(0xb98)][_0x220733(0xaf3)]=_0x4ce6a0[_0x220733(0x1298)](0x4,_0x4ce6a0[_0x220733(0x402)])[_0x220733(0xb47)](',');}break;case'autoreply':{const _0x3c37c4=_0x356b2c[_0x220733(0xb98)][_0x220733(0x168a)][_0x220733(0x10c8)](',');_0x356b2c[_0x220733(0xb98)][_0x220733(0x583)]=isNaN(_0x3c37c4[0x0])?_0x3c37c4[0x0]:parseInt(_0x3c37c4[0x0],0xa),_0x356b2c[_0x220733(0xb98)][_0x220733(0xa8d)]=_0x3c37c4[_0x220733(0x1298)](0x1,_0x3c37c4[_0x220733(0x402)])['join'](',');}break;case _0x220733(0x7fd):_0x356b2c[_0x220733(0xb98)]['text']=_0x356b2c[_0x220733(0xb98)][_0x220733(0x168a)];break;case'set':_0x356b2c['dial'][_0x220733(0x19eb)]=_0x356b2c[_0x220733(0xb98)][_0x220733(0x168a)]['split']('=')[0x0],_0x356b2c[_0x220733(0xb98)]['value']=_0x356b2c[_0x220733(0xb98)][_0x220733(0x168a)][_0x220733(0x10c8)]('=')[0x1];break;case _0x220733(0x1ecb):_0x356b2c[_0x220733(0xb98)][_0x220733(0x9e0)]=_0x356b2c[_0x220733(0xb98)][_0x220733(0x168a)];break;default:{const _0xaed5e2=_0x356b2c[_0x220733(0xb98)][_0x220733(0x168a)]['split'](',');_0x356b2c['dial']['tech']=_0x3f65c0()[_0x220733(0x2635)](_0xaed5e2[0x0])?_0xaed5e2[0x0]:isNaN(_0xaed5e2[0x0])?_0xaed5e2[0x0]:parseInt(_0xaed5e2[0x0],0xa),_0x356b2c[_0x220733(0xb98)][_0x220733(0xa9c)]=_0x3f65c0()[_0x220733(0x2635)](_0xaed5e2[0x1])?_0xaed5e2[0x1]:isNaN(_0xaed5e2[0x1])?_0xaed5e2[0x1]:parseInt(_0xaed5e2[0x1],0xa),_0x356b2c[_0x220733(0xb98)]['options']=_0x3f65c0()[_0x220733(0x2635)](_0xaed5e2[0x2])?_0xaed5e2[0x2]:isNaN(_0xaed5e2[0x2])?_0xaed5e2[0x2]:parseInt(_0xaed5e2[0x2],0xa),_0x356b2c[_0x220733(0xb98)][_0x220733(0x20cc)]=_0x3f65c0()['isEmpty'](_0xaed5e2[0x3])?_0xaed5e2[0x3]:isNaN(_0xaed5e2[0x3])?_0xaed5e2[0x3]:parseInt(_0xaed5e2[0x3],0xa);}break;}else{}_0x356b2c[_0x220733(0xb98)][_0x220733(0x1142)]&&_0x356b2c['dial'][_0x220733(0x1142)][_0x220733(0x256e)]()===_0x220733(0xc9c)&&_0x356b2c[_0x220733(0xb98)][_0x220733(0x8f2)][_0x220733(0x256e)]()===_0x220733(0x3bb)&&(_0x356b2c[_0x220733(0xb98)][_0x220733(0x1e12)]=_0x356b2c[_0x220733(0xb98)][_0x220733(0x1d55)]?_0x356b2c[_0x220733(0xb98)][_0x220733(0x1d55)]['split']('$')[0x0]:undefined,_0x356b2c[_0x220733(0xb98)][_0x220733(0x1d43)]=_0x356b2c[_0x220733(0xb98)]['callerID']?_0x220733(0x1b60)+_0x356b2c['dial'][_0x220733(0x11be)]:undefined);_0x356b2c[_0x220733(0x1f34)]=_0x4fe5bd,_0x356b2c['closeDialog']=_0x3705c8;function _0x4fe5bd(){const _0x4f1da9=_0x220733;_0x356b2c[_0x4f1da9(0xcef)]=[];const _0x598c32=[];_0x356b2c[_0x4f1da9(0xb98)][_0x4f1da9(0x1142)]&&_0x356b2c[_0x4f1da9(0xb98)][_0x4f1da9(0x1142)][_0x4f1da9(0x256e)]()===_0x4f1da9(0xc9c)&&_0x356b2c['dial'][_0x4f1da9(0x8f2)]===_0x4f1da9(0x118b)&&(_0x356b2c['dial'][_0x4f1da9(0x1d55)]=_0x58807e[_0x4f1da9(0x1274)]?(_0x356b2c[_0x4f1da9(0xb98)]['prefix']||'')+'${EXTEN:'+_0x58807e[_0x4f1da9(0x1274)]+'}':(_0x356b2c[_0x4f1da9(0xb98)][_0x4f1da9(0x1e12)]||'')+_0x4f1da9(0x20df),_0x58807e[_0x4f1da9(0x1425)]!==_0x4f1da9(0x1642)?_0x356b2c[_0x4f1da9(0xb98)]['options'][_0x4f1da9(0x172b)](_0x4f1da9(0x1ea4))<0x0&&(_0x356b2c[_0x4f1da9(0xb98)]['options']+=_0x4f1da9(0x1ea4)):_0x356b2c['dial'][_0x4f1da9(0xa08)]=_0x356b2c['dial'][_0x4f1da9(0xa08)][_0x4f1da9(0x5f4)]('U(xcally-mixmonitor-context)',''));if(_0x356b2c[_0x4f1da9(0xb98)]['appType']&&_0x356b2c['dial'][_0x4f1da9(0x8f2)]==='custom'){}else switch((_0x356b2c[_0x4f1da9(0xb98)][_0x4f1da9(0x1873)]||_0x356b2c[_0x4f1da9(0xb98)][_0x4f1da9(0x8f2)])[_0x4f1da9(0x256e)]()){case _0x4f1da9(0x26ba):_0x356b2c[_0x4f1da9(0xb98)][_0x4f1da9(0x168a)]=_0x356b2c[_0x4f1da9(0xb98)][_0x4f1da9(0x19eb)]+'='+_0x356b2c[_0x4f1da9(0xb98)][_0x4f1da9(0x175d)];break;case _0x4f1da9(0x1802):break;default:_0x598c32[0x0]=_0x356b2c[_0x4f1da9(0xb98)][_0x4f1da9(0xbe1)],_0x598c32[0x1]=_0x356b2c['dial']['timeout'],_0x598c32[0x2]=_0x356b2c['dial']['options'],_0x598c32[0x3]=_0x356b2c[_0x4f1da9(0xb98)][_0x4f1da9(0x20cc)],_0x356b2c['dial']['appdata']=_0x598c32[_0x4f1da9(0xb47)](',');}_0x3705c8(_0x356b2c[_0x4f1da9(0xb98)]);}function _0x3705c8(_0x12be7a){const _0x5807b9=_0x220733;_0x37ae2[_0x5807b9(0x2458)](_0x12be7a);}}const _0xb05143=_0xfd11bb;;_0x554da7['$inject']=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x1492),_0x313a4d(0x86f),'api','Auth','crudPermissions'];function _0x554da7(_0xea89db,_0x1bab67,_0x523790,_0x25ea51,_0x421e63,_0x405c64,_0x551873,_0x3ea306){const _0x484c4f=_0x313a4d,_0x42b573=this;_0x42b573[_0x484c4f(0x2321)]=_0x551873[_0x484c4f(0xb12)](),_0x42b573['errors']=[],_0x42b573['title']='VOICE.EDIT_'+(_0x25ea51[_0x484c4f(0x8f2)]||_0x25ea51['app'])[_0x484c4f(0x1c37)](),_0x42b573[_0x484c4f(0x1fb6)]=angular[_0x484c4f(0x235a)](_0x25ea51),_0x42b573[_0x484c4f(0x2514)]=_0x3ea306,_0x42b573[_0x484c4f(0x855)]={};if(_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0x168a)])switch(_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0x8f2)]?_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0x8f2)][_0x484c4f(0x256e)]():_0x42b573[_0x484c4f(0x1fb6)]['app'][_0x484c4f(0x256e)]()){case _0x484c4f(0x1802):break;case _0x484c4f(0xeaa):{const _0x12680e=_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0x168a)][_0x484c4f(0x10c8)](',');_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0x2293)]=_0x12680e[0x0],_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0x90b)]=_0x12680e[0x1],_0x42b573['externaldial'][_0x484c4f(0xaf3)]=_0x12680e[_0x484c4f(0x1298)](0x2,_0x12680e[_0x484c4f(0x402)])[_0x484c4f(0xb47)](',');}break;case _0x484c4f(0xa46):{const _0x2a7f78=_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0x168a)][_0x484c4f(0x10c8)](',');_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0x153a)]=_0x2a7f78[0x0],_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0x1392)]=_0x2a7f78[0x1],_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0x2217)]=_0x2a7f78[0x2],_0x42b573['externaldial']['language']=_0x2a7f78[0x3],_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0xaf3)]=_0x2a7f78[_0x484c4f(0x1298)](0x4,_0x2a7f78[_0x484c4f(0x402)])[_0x484c4f(0xb47)](',');}break;case _0x484c4f(0x17d6):{const _0x47dca8=_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0x168a)][_0x484c4f(0x10c8)](',');_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0x1651)]=_0x47dca8[0x0],_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0x252)]=_0x47dca8[0x1],_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0x1039)]=_0x47dca8[0x2],_0x42b573[_0x484c4f(0x1fb6)]['botname']=_0x47dca8[0x3],_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0xaf3)]=_0x47dca8[_0x484c4f(0x1298)](0x4,_0x47dca8[_0x484c4f(0x402)])[_0x484c4f(0xb47)](',');}break;case'autoreply':{const _0x244f99=_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0x168a)][_0x484c4f(0x10c8)](',');_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0x583)]=isNaN(_0x244f99[0x0])?_0x244f99[0x0]:parseInt(_0x244f99[0x0],0xa),_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0xa8d)]=_0x244f99[_0x484c4f(0x1298)](0x1,_0x244f99[_0x484c4f(0x402)])[_0x484c4f(0xb47)](',');}break;case _0x484c4f(0x7fd):_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0xa8d)]=_0x42b573['externaldial'][_0x484c4f(0x168a)];break;case _0x484c4f(0x26ba):_0x42b573['externaldial'][_0x484c4f(0x19eb)]=_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0x168a)][_0x484c4f(0x10c8)]('=')[0x0],_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0x175d)]=_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0x168a)][_0x484c4f(0x10c8)]('=')[0x1];break;case _0x484c4f(0x1ecb):_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0x9e0)]=_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0x168a)];break;default:{const _0x19f756=_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0x168a)][_0x484c4f(0x10c8)](',');_0x42b573['externaldial'][_0x484c4f(0x279f)]=_0x19f756[0x0]['split']('/')[0x1],_0x42b573[_0x484c4f(0x1fb6)]['phone']=_0x19f756[0x0]['split']('/')[0x2],_0x42b573[_0x484c4f(0x1fb6)]['timeout']=_0x3f65c0()[_0x484c4f(0x2635)](_0x19f756[0x1])?_0x19f756[0x1]:isNaN(_0x19f756[0x1])?_0x19f756[0x1]:parseInt(_0x19f756[0x1],0xa),_0x42b573['externaldial'][_0x484c4f(0xa08)]=_0x3f65c0()[_0x484c4f(0x2635)](_0x19f756[0x2])?_0x19f756[0x2]:isNaN(_0x19f756[0x2])?_0x19f756[0x2]:parseInt(_0x19f756[0x2],0xa),_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0x20cc)]=_0x3f65c0()[_0x484c4f(0x2635)](_0x19f756[0x3])?_0x19f756[0x3]:isNaN(_0x19f756[0x3])?_0x19f756[0x3]:parseInt(_0x19f756[0x3],0xa);}break;}else{}_0x42b573['externaldial'][_0x484c4f(0x1142)]&&_0x42b573['externaldial']['type']['toLowerCase']()==='outbound'&&_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0x8f2)]['toLowerCase']()===_0x484c4f(0x3bb)&&(_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0x1e12)]=_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0x1d55)]?_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0x1d55)]['split']('$')[0x0]:undefined,_0x42b573[_0x484c4f(0x1fb6)][_0x484c4f(0x1d43)]=_0x42b573['externaldial'][_0x484c4f(0x11be)]?_0x484c4f(0x1b60)+_0x42b573[_0x484c4f(0x1fb6)]['callerID']:undefined);_0x42b573['saveInternalRouteApp']=_0x2ab701,_0x42b573[_0x484c4f(0x13f3)]=_0x421621,_0x551873[_0x484c4f(0x23e0)](_0x484c4f(0x174b))?_0x405c64[_0x484c4f(0x279f)][_0x484c4f(0x16b4)]({'fields':_0x484c4f(0x7a7),'sort':_0x484c4f(0x19eb),'nolimit':_0x484c4f(0x1185)})[_0x484c4f(0x2945)][_0x484c4f(0x146b)](function(_0x2c3cb8){const _0x24733c=_0x484c4f;_0x42b573['trunks']=_0x2c3cb8[_0x24733c(0x19c7)]||[];})[_0x484c4f(0x129e)](function(_0x37ea14){const _0x5adb7e=_0x484c4f;_0x523790[_0x5adb7e(0x1980)]({'title':_0x37ea14[_0x5adb7e(0x107b)]?'API:'+_0x37ea14[_0x5adb7e(0x107b)]+_0x5adb7e(0x1315)+_0x37ea14['statusText']:_0x5adb7e(0xfc0),'msg':_0x37ea14[_0x5adb7e(0x524)]?JSON[_0x5adb7e(0x10bb)](_0x37ea14['data']):_0x37ea14['toString']()});}):_0x405c64[_0x484c4f(0x279f)]['get']({'fields':_0x484c4f(0x7a7),'sort':_0x484c4f(0x19eb),'nolimit':_0x484c4f(0x1185)})[_0x484c4f(0x2945)][_0x484c4f(0x146b)](function(_0x2576d5){const _0x2c7d7f=_0x484c4f;_0x42b573[_0x2c7d7f(0x2433)]=_0x2576d5['rows']||[];})['then'](function(){const _0x2fd7c6=_0x484c4f;return _0x405c64[_0x2fd7c6(0x1366)][_0x2fd7c6(0x16b4)]({'userProfileId':_0x42b573['currentUser'][_0x2fd7c6(0x209a)],'sectionId':0x3f3})[_0x2fd7c6(0x2945)];})[_0x484c4f(0x146b)](function(_0x587170){const _0x325b37=_0x484c4f,_0x4aa682=_0x587170&&_0x587170[_0x325b37(0x19c7)]?_0x587170[_0x325b37(0x19c7)][0x0]:null;if(!_0x4aa682){const _0x5c9529=[];let _0x543d0a=null;_0x42b573['externaldial']&&(_0x543d0a=_0x3f65c0()[_0x325b37(0xc84)](_0x42b573[_0x325b37(0x2433)],{'name':_0x42b573[_0x325b37(0x1fb6)][_0x325b37(0x279f)]}));for(let _0x3bdd97=0x0;_0x3bdd97<_0x42b573[_0x325b37(0x2433)][_0x325b37(0x402)];_0x3bdd97++){_0x543d0a&&_0x42b573[_0x325b37(0x2433)][_0x3bdd97]['id']===_0x543d0a['id']&&(_0x42b573[_0x325b37(0x2433)][_0x3bdd97][_0x325b37(0x8ff)]=![],_0x5c9529[_0x325b37(0x1f47)](_0x42b573[_0x325b37(0x2433)][_0x3bdd97]));}_0x42b573[_0x325b37(0x2433)]=_0x5c9529;}else{if(!_0x4aa682[_0x325b37(0x11d2)])return _0x405c64[_0x325b37(0xdcc)][_0x325b37(0x16b4)]({'sectionId':_0x4aa682['id']})[_0x325b37(0x2945)][_0x325b37(0x146b)](function(_0x3ebf73){const _0x1a2364=_0x325b37,_0x1d6439=_0x3f65c0()[_0x1a2364(0x205)](_0x3ebf73[_0x1a2364(0x19c7)],function(_0x392cd0){const _0x3ee94e=_0x1a2364;return _0x3f65c0()[_0x3ee94e(0xc84)](_0x42b573[_0x3ee94e(0x2433)],{'id':_0x392cd0[_0x3ee94e(0x18b8)]});});let _0xd35b6a=null;_0x42b573['externaldial']&&(_0xd35b6a=_0x3f65c0()[_0x1a2364(0xc84)](_0x42b573[_0x1a2364(0x2433)],{'name':_0x42b573['externaldial'][_0x1a2364(0x279f)]}));if(_0xd35b6a&&!_0x3f65c0()[_0x1a2364(0x1360)](_0x1d6439,['id',_0xd35b6a['id']])){const _0x5f4923=_0x3f65c0()[_0x1a2364(0xc84)](_0x42b573['trunks'],{'id':_0xd35b6a['id']});_0x5f4923['canSelect']=![],_0x1d6439[_0x1a2364(0x1f47)](_0x5f4923);}_0x42b573[_0x1a2364(0x2433)]=_0x1d6439;});}})[_0x484c4f(0x129e)](function(_0x125d7f){const _0x34ff9f=_0x484c4f;_0x523790[_0x34ff9f(0x1980)]({'title':_0x125d7f['status']?_0x34ff9f(0x262a)+_0x125d7f[_0x34ff9f(0x107b)]+_0x34ff9f(0x1315)+_0x125d7f['statusText']:_0x34ff9f(0x2436),'msg':_0x125d7f['data']?JSON[_0x34ff9f(0x10bb)](_0x125d7f[_0x34ff9f(0x524)]):_0x125d7f['toString']()});});function _0x2ab701(){const _0x4e3c19=_0x484c4f;_0x42b573[_0x4e3c19(0xcef)]=[];const _0xaa625a=[];_0x42b573['externaldial'][_0x4e3c19(0x1142)]&&_0x42b573[_0x4e3c19(0x1fb6)]['type'][_0x4e3c19(0x256e)]()===_0x4e3c19(0xc9c)&&_0x42b573[_0x4e3c19(0x1fb6)][_0x4e3c19(0x8f2)]==='outboundDial'&&(_0x42b573[_0x4e3c19(0x1fb6)]['phone']=_0x421e63[_0x4e3c19(0x1274)]?(_0x42b573[_0x4e3c19(0x1fb6)]['prefix']||'')+'${EXTEN:'+_0x421e63[_0x4e3c19(0x1274)]+'}':(_0x42b573[_0x4e3c19(0x1fb6)][_0x4e3c19(0x1e12)]||'')+_0x4e3c19(0x20df),_0x421e63[_0x4e3c19(0x1425)]!==_0x4e3c19(0x1642)?_0x42b573[_0x4e3c19(0x1fb6)][_0x4e3c19(0xa08)][_0x4e3c19(0x172b)](_0x4e3c19(0x1ea4))<0x0&&(_0x42b573[_0x4e3c19(0x1fb6)][_0x4e3c19(0xa08)]+='U(xcally-mixmonitor-context)'):_0x42b573[_0x4e3c19(0x1fb6)][_0x4e3c19(0xa08)]=_0x42b573[_0x4e3c19(0x1fb6)][_0x4e3c19(0xa08)]['replace'](_0x4e3c19(0x1ea4),''));if(_0x42b573[_0x4e3c19(0x1fb6)]['appType']&&_0x42b573['externaldial']['appType']===_0x4e3c19(0x1802)){}else switch((_0x42b573[_0x4e3c19(0x1fb6)][_0x4e3c19(0x1873)]||_0x42b573[_0x4e3c19(0x1fb6)][_0x4e3c19(0x8f2)])[_0x4e3c19(0x256e)]()){case _0x4e3c19(0x26ba):_0x42b573[_0x4e3c19(0x1fb6)][_0x4e3c19(0x168a)]=_0x42b573[_0x4e3c19(0x1fb6)][_0x4e3c19(0x19eb)]+'='+_0x42b573[_0x4e3c19(0x1fb6)]['value'];break;case'custom':break;default:_0xaa625a[0x0]=['SIP',_0x42b573[_0x4e3c19(0x1fb6)][_0x4e3c19(0x279f)],_0x42b573[_0x4e3c19(0x1fb6)]['phone']][_0x4e3c19(0xb47)]('/'),_0xaa625a[0x1]=_0x42b573[_0x4e3c19(0x1fb6)]['timeout'],_0xaa625a[0x2]=_0x42b573[_0x4e3c19(0x1fb6)][_0x4e3c19(0xa08)],_0xaa625a[0x3]=_0x42b573['externaldial'][_0x4e3c19(0x20cc)],_0x42b573[_0x4e3c19(0x1fb6)][_0x4e3c19(0x168a)]=_0xaa625a[_0x4e3c19(0xb47)](',');}_0x421621(_0x42b573[_0x4e3c19(0x1fb6)]);}function _0x421621(_0x12f576){_0xea89db['hide'](_0x12f576);}}const _0x36955c=_0x554da7;;_0x443c35[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x1492),_0x313a4d(0x86f),_0x313a4d(0x247f),_0x313a4d(0xa87),'crudPermissions'];function _0x443c35(_0x2374db,_0x2a72c3,_0xe51d5a,_0x4a029c,_0x3d231e,_0x505397,_0x1dd422,_0x243e66){const _0x5b56c3=_0x313a4d,_0x54de06=this;_0x54de06[_0x5b56c3(0x2321)]=_0x1dd422[_0x5b56c3(0xb12)](),_0x54de06['errors']=[],_0x54de06[_0x5b56c3(0x1189)]='VOICE.EDIT_'+(_0x4a029c[_0x5b56c3(0x8f2)]||_0x4a029c[_0x5b56c3(0x1873)])['toUpperCase'](),_0x54de06[_0x5b56c3(0x151b)]=angular[_0x5b56c3(0x235a)](_0x4a029c),_0x54de06[_0x5b56c3(0x2514)]=_0x243e66,_0x54de06['hasModulePermissions']={};if(_0x54de06['goto'][_0x5b56c3(0x168a)])switch(_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0x8f2)]?_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0x8f2)][_0x5b56c3(0x256e)]():_0x54de06['goto'][_0x5b56c3(0x1873)]['toLowerCase']()){case _0x5b56c3(0x1802):break;case _0x5b56c3(0xeaa):{const _0xd86110=_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0x168a)][_0x5b56c3(0x10c8)](',');_0x54de06['goto'][_0x5b56c3(0x2293)]=_0xd86110[0x0],_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0x90b)]=_0xd86110[0x1],_0x54de06[_0x5b56c3(0x151b)]['welcomemessage']=_0xd86110['slice'](0x2,_0xd86110[_0x5b56c3(0x402)])[_0x5b56c3(0xb47)](',');}break;case _0x5b56c3(0xa46):{const _0x297361=_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0x168a)]['split'](',');_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0x153a)]=_0x297361[0x0],_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0x1392)]=_0x297361[0x1],_0x54de06['goto'][_0x5b56c3(0x2217)]=_0x297361[0x2],_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0x90b)]=_0x297361[0x3],_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0xaf3)]=_0x297361[_0x5b56c3(0x1298)](0x4,_0x297361['length'])['join'](',');}break;case _0x5b56c3(0x17d6):{const _0x5f3ace=_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0x168a)][_0x5b56c3(0x10c8)](',');_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0x1651)]=_0x5f3ace[0x0],_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0x252)]=_0x5f3ace[0x1],_0x54de06[_0x5b56c3(0x151b)]['lexregion']=_0x5f3ace[0x2],_0x54de06['goto']['botname']=_0x5f3ace[0x3],_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0xaf3)]=_0x5f3ace['slice'](0x4,_0x5f3ace[_0x5b56c3(0x402)])[_0x5b56c3(0xb47)](',');}break;case _0x5b56c3(0x1713):{const _0x12c14c=_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0x168a)][_0x5b56c3(0x10c8)](',');_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0x583)]=isNaN(_0x12c14c[0x0])?_0x12c14c[0x0]:parseInt(_0x12c14c[0x0],0xa),_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0xa8d)]=_0x12c14c[_0x5b56c3(0x1298)](0x1,_0x12c14c[_0x5b56c3(0x402)])[_0x5b56c3(0xb47)](',');}break;case _0x5b56c3(0x7fd):_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0xa8d)]=_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0x168a)];break;case _0x5b56c3(0x26ba):_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0x19eb)]=_0x54de06['goto'][_0x5b56c3(0x168a)][_0x5b56c3(0x10c8)]('=')[0x0],_0x54de06[_0x5b56c3(0x151b)]['value']=_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0x168a)]['split']('=')[0x1];break;case _0x5b56c3(0x1ecb):_0x54de06[_0x5b56c3(0x151b)]['project']=_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0x168a)];break;default:{const _0xc038d0=_0x54de06['goto'][_0x5b56c3(0x168a)][_0x5b56c3(0x10c8)](',');_0x54de06[_0x5b56c3(0x151b)]['context']=_0x3f65c0()[_0x5b56c3(0x2635)](_0xc038d0[0x0])?_0xc038d0[0x0]:isNaN(_0xc038d0[0x0])?_0xc038d0[0x0]:parseInt(_0xc038d0[0x0],0xa),_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0x1bc6)]=_0x3f65c0()[_0x5b56c3(0x2635)](_0xc038d0[0x1])?_0xc038d0[0x1]:isNaN(_0xc038d0[0x1])?_0xc038d0[0x1]:parseInt(_0xc038d0[0x1],0xa),_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0xa6a)]=_0x3f65c0()[_0x5b56c3(0x2635)](_0xc038d0[0x2])?_0xc038d0[0x2]:isNaN(_0xc038d0[0x2])?_0xc038d0[0x2]:parseInt(_0xc038d0[0x2],0xa);}break;}else{}_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0x1142)]&&_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0x1142)][_0x5b56c3(0x256e)]()===_0x5b56c3(0xc9c)&&_0x54de06[_0x5b56c3(0x151b)]['appType'][_0x5b56c3(0x256e)]()===_0x5b56c3(0x3bb)&&(_0x54de06[_0x5b56c3(0x151b)]['prefix']=_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0x1d55)]?_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0x1d55)]['split']('$')[0x0]:undefined,_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0x1d43)]=_0x54de06[_0x5b56c3(0x151b)][_0x5b56c3(0x11be)]?_0x5b56c3(0x1b60)+_0x54de06['goto'][_0x5b56c3(0x11be)]:undefined);_0x54de06[_0x5b56c3(0x1f34)]=_0x54a03d,_0x54de06['closeDialog']=_0x36d4fd,_0x1dd422[_0x5b56c3(0x23e0)]('admin')?_0x505397[_0x5b56c3(0x1b82)][_0x5b56c3(0x16b4)]({'fields':_0x5b56c3(0x7a7),'sort':'name','nolimit':_0x5b56c3(0x1185)})['$promise']['then'](function(_0x5548eb){const _0x2ef0d8=_0x5b56c3;_0x54de06[_0x2ef0d8(0xfe9)]=_0x5548eb[_0x2ef0d8(0x19c7)]||[];})[_0x5b56c3(0x129e)](function(_0x43265c){const _0x5c03fe=_0x5b56c3;_0xe51d5a[_0x5c03fe(0x1980)]({'title':_0x43265c['status']?_0x5c03fe(0x262a)+_0x43265c[_0x5c03fe(0x107b)]+_0x5c03fe(0x1315)+_0x43265c[_0x5c03fe(0x167f)]:_0x5c03fe(0x2742),'msg':_0x43265c['data']?JSON[_0x5c03fe(0x10bb)](_0x43265c['data']):_0x43265c[_0x5c03fe(0xd5f)]()});}):_0x505397[_0x5b56c3(0x1b82)][_0x5b56c3(0x16b4)]({'fields':'id,name','sort':_0x5b56c3(0x19eb),'nolimit':_0x5b56c3(0x1185)})[_0x5b56c3(0x2945)]['then'](function(_0x104428){const _0x46a1c4=_0x5b56c3;_0x54de06[_0x46a1c4(0xfe9)]=_0x104428['rows']||[];})[_0x5b56c3(0x146b)](function(){const _0x31097b=_0x5b56c3;return _0x505397[_0x31097b(0x1366)][_0x31097b(0x16b4)]({'userProfileId':_0x54de06[_0x31097b(0x2321)][_0x31097b(0x209a)],'sectionId':0x195})[_0x31097b(0x2945)];})[_0x5b56c3(0x146b)](function(_0x33a0cb){const _0x435963=_0x5b56c3,_0x51dea3=_0x33a0cb&&_0x33a0cb[_0x435963(0x19c7)]?_0x33a0cb[_0x435963(0x19c7)][0x0]:null;if(!_0x51dea3)return _0x505397['voiceContext'][_0x435963(0x16b4)]({'fields':_0x435963(0x7a7),'sort':_0x435963(0x19eb),'nolimit':_0x435963(0x1185),'defaultEntry':0x1})[_0x435963(0x2945)][_0x435963(0x146b)](function(_0x35cd8e){const _0xb4d111=_0x435963;_0x54de06[_0xb4d111(0xfe9)]=_0x35cd8e[_0xb4d111(0x19c7)]||[];});else{if(!_0x51dea3[_0x435963(0x11d2)])return _0x505397[_0x435963(0xdcc)][_0x435963(0x16b4)]({'sectionId':_0x51dea3['id']})[_0x435963(0x2945)]['then'](function(_0x1679a8){const _0x3b88e7=_0x435963,_0x291f77=_0x3f65c0()['map'](_0x1679a8[_0x3b88e7(0x19c7)],function(_0x509d7e){const _0x5bc998=_0x3b88e7;return _0x3f65c0()['find'](_0x54de06[_0x5bc998(0xfe9)],{'id':_0x509d7e[_0x5bc998(0x18b8)]});});let _0x32e7d6=null;_0x54de06[_0x3b88e7(0x151b)]&&(_0x32e7d6=_0x3f65c0()[_0x3b88e7(0xc84)](_0x54de06[_0x3b88e7(0xfe9)],{'name':_0x54de06[_0x3b88e7(0x151b)][_0x3b88e7(0x1ac1)]}));if(_0x32e7d6&&!_0x3f65c0()[_0x3b88e7(0x1360)](_0x291f77,['id',_0x32e7d6['id']])){const _0x3bc66b=_0x3f65c0()[_0x3b88e7(0xc84)](_0x54de06['contexts'],{'id':_0x32e7d6['id']});_0x3bc66b[_0x3b88e7(0x8ff)]=![],_0x291f77[_0x3b88e7(0x1f47)](_0x3bc66b);}_0x54de06[_0x3b88e7(0xfe9)]=_0x291f77;});}})[_0x5b56c3(0x129e)](function(_0x2fae3f){const _0x3a66aa=_0x5b56c3;_0xe51d5a[_0x3a66aa(0x1980)]({'title':_0x2fae3f[_0x3a66aa(0x107b)]?'API:'+_0x2fae3f['status']+_0x3a66aa(0x1315)+_0x2fae3f['statusText']:'SYSTEM:GETcontexts','msg':_0x2fae3f[_0x3a66aa(0x524)]?JSON['stringify'](_0x2fae3f[_0x3a66aa(0x524)]):_0x2fae3f[_0x3a66aa(0xd5f)]()});});function _0x54a03d(){const _0x22c4eb=_0x5b56c3;_0x54de06[_0x22c4eb(0xcef)]=[];const _0x44c3e5=[];_0x54de06[_0x22c4eb(0x151b)]['type']&&_0x54de06[_0x22c4eb(0x151b)]['type'][_0x22c4eb(0x256e)]()===_0x22c4eb(0xc9c)&&_0x54de06[_0x22c4eb(0x151b)]['appType']===_0x22c4eb(0x118b)&&(_0x54de06[_0x22c4eb(0x151b)][_0x22c4eb(0x1d55)]=_0x3d231e[_0x22c4eb(0x1274)]?(_0x54de06['goto'][_0x22c4eb(0x1e12)]||'')+_0x22c4eb(0x2147)+_0x3d231e[_0x22c4eb(0x1274)]+'}':(_0x54de06[_0x22c4eb(0x151b)][_0x22c4eb(0x1e12)]||'')+_0x22c4eb(0x20df),_0x3d231e[_0x22c4eb(0x1425)]!==_0x22c4eb(0x1642)?_0x54de06[_0x22c4eb(0x151b)][_0x22c4eb(0xa08)][_0x22c4eb(0x172b)](_0x22c4eb(0x1ea4))<0x0&&(_0x54de06[_0x22c4eb(0x151b)][_0x22c4eb(0xa08)]+=_0x22c4eb(0x1ea4)):_0x54de06[_0x22c4eb(0x151b)]['options']=_0x54de06['goto'][_0x22c4eb(0xa08)][_0x22c4eb(0x5f4)](_0x22c4eb(0x1ea4),''));if(_0x54de06[_0x22c4eb(0x151b)][_0x22c4eb(0x8f2)]&&_0x54de06['goto'][_0x22c4eb(0x8f2)]===_0x22c4eb(0x1802)){}else switch((_0x54de06['goto']['app']||_0x54de06[_0x22c4eb(0x151b)][_0x22c4eb(0x8f2)])[_0x22c4eb(0x256e)]()){case _0x22c4eb(0x26ba):_0x54de06[_0x22c4eb(0x151b)][_0x22c4eb(0x168a)]=_0x54de06['goto']['name']+'='+_0x54de06[_0x22c4eb(0x151b)][_0x22c4eb(0x175d)];break;case _0x22c4eb(0x1802):break;default:_0x44c3e5[0x0]=_0x54de06['goto'][_0x22c4eb(0x1ac1)],_0x44c3e5[0x1]=_0x54de06[_0x22c4eb(0x151b)]['extension'],_0x44c3e5[0x2]=_0x54de06[_0x22c4eb(0x151b)]['priority'],_0x54de06[_0x22c4eb(0x151b)][_0x22c4eb(0x168a)]=_0x44c3e5['join'](',');}_0x36d4fd(_0x54de06[_0x22c4eb(0x151b)]);}function _0x36d4fd(_0x46d5c7){const _0x2d10b5=_0x5b56c3;_0x2374db[_0x2d10b5(0x2458)](_0x46d5c7);}}const _0x5f29cd=_0x443c35;;_0x12560c['$inject']=['$mdDialog','$q',_0x313a4d(0x1fe4),_0x313a4d(0x1492),_0x313a4d(0x86f),_0x313a4d(0x247f),'Auth','crudPermissions'];function _0x12560c(_0x544392,_0x484ae6,_0x4e2a00,_0x1cad9a,_0x4c0574,_0x4ecce0,_0x1557ac,_0x37d1da){const _0x13136d=_0x313a4d,_0x3bd4c6=this;_0x3bd4c6[_0x13136d(0x2321)]=_0x1557ac[_0x13136d(0xb12)](),_0x3bd4c6[_0x13136d(0xcef)]=[],_0x3bd4c6['title']='VOICE.EDIT_'+(_0x1cad9a[_0x13136d(0x8f2)]||_0x1cad9a['app'])[_0x13136d(0x1c37)](),_0x3bd4c6[_0x13136d(0x1dbf)]=angular['copy'](_0x1cad9a),_0x3bd4c6[_0x13136d(0x2514)]=_0x37d1da,_0x3bd4c6[_0x13136d(0x855)]={};if(_0x3bd4c6['hangup'][_0x13136d(0x168a)])switch(_0x3bd4c6[_0x13136d(0x1dbf)]['appType']?_0x3bd4c6[_0x13136d(0x1dbf)][_0x13136d(0x8f2)][_0x13136d(0x256e)]():_0x3bd4c6[_0x13136d(0x1dbf)][_0x13136d(0x1873)][_0x13136d(0x256e)]()){case'custom':break;case'dialogflow':{const _0x3c0089=_0x3bd4c6['hangup'][_0x13136d(0x168a)][_0x13136d(0x10c8)](',');_0x3bd4c6[_0x13136d(0x1dbf)][_0x13136d(0x2293)]=_0x3c0089[0x0],_0x3bd4c6[_0x13136d(0x1dbf)]['language']=_0x3c0089[0x1],_0x3bd4c6[_0x13136d(0x1dbf)][_0x13136d(0xaf3)]=_0x3c0089['slice'](0x2,_0x3c0089[_0x13136d(0x402)])['join'](',');}break;case'dialogflowv2':{const _0x1a0ffe=_0x3bd4c6[_0x13136d(0x1dbf)][_0x13136d(0x168a)][_0x13136d(0x10c8)](',');_0x3bd4c6['hangup'][_0x13136d(0x153a)]=_0x1a0ffe[0x0],_0x3bd4c6[_0x13136d(0x1dbf)][_0x13136d(0x1392)]=_0x1a0ffe[0x1],_0x3bd4c6[_0x13136d(0x1dbf)][_0x13136d(0x2217)]=_0x1a0ffe[0x2],_0x3bd4c6[_0x13136d(0x1dbf)][_0x13136d(0x90b)]=_0x1a0ffe[0x3],_0x3bd4c6[_0x13136d(0x1dbf)][_0x13136d(0xaf3)]=_0x1a0ffe[_0x13136d(0x1298)](0x4,_0x1a0ffe[_0x13136d(0x402)])[_0x13136d(0xb47)](',');}break;case _0x13136d(0x17d6):{const _0x31b64e=_0x3bd4c6[_0x13136d(0x1dbf)][_0x13136d(0x168a)][_0x13136d(0x10c8)](',');_0x3bd4c6[_0x13136d(0x1dbf)]['accesskeyid']=_0x31b64e[0x0],_0x3bd4c6[_0x13136d(0x1dbf)][_0x13136d(0x252)]=_0x31b64e[0x1],_0x3bd4c6[_0x13136d(0x1dbf)][_0x13136d(0x1039)]=_0x31b64e[0x2],_0x3bd4c6[_0x13136d(0x1dbf)][_0x13136d(0x8dd)]=_0x31b64e[0x3],_0x3bd4c6[_0x13136d(0x1dbf)]['welcomemessage']=_0x31b64e[_0x13136d(0x1298)](0x4,_0x31b64e['length'])['join'](',');}break;case'autoreply':{const _0x3cb082=_0x3bd4c6[_0x13136d(0x1dbf)][_0x13136d(0x168a)][_0x13136d(0x10c8)](',');_0x3bd4c6[_0x13136d(0x1dbf)][_0x13136d(0x583)]=isNaN(_0x3cb082[0x0])?_0x3cb082[0x0]:parseInt(_0x3cb082[0x0],0xa),_0x3bd4c6[_0x13136d(0x1dbf)][_0x13136d(0xa8d)]=_0x3cb082[_0x13136d(0x1298)](0x1,_0x3cb082['length'])[_0x13136d(0xb47)](',');}break;case _0x13136d(0x7fd):_0x3bd4c6['hangup'][_0x13136d(0xa8d)]=_0x3bd4c6[_0x13136d(0x1dbf)][_0x13136d(0x168a)];break;case'set':_0x3bd4c6[_0x13136d(0x1dbf)][_0x13136d(0x19eb)]=_0x3bd4c6['hangup'][_0x13136d(0x168a)][_0x13136d(0x10c8)]('=')[0x0],_0x3bd4c6[_0x13136d(0x1dbf)]['value']=_0x3bd4c6[_0x13136d(0x1dbf)][_0x13136d(0x168a)]['split']('=')[0x1];break;case _0x13136d(0x1ecb):_0x3bd4c6['hangup'][_0x13136d(0x9e0)]=_0x3bd4c6['hangup']['appdata'];break;default:{const _0x15feff=_0x3bd4c6[_0x13136d(0x1dbf)]['appdata'][_0x13136d(0x10c8)](',');_0x3bd4c6[_0x13136d(0x1dbf)][_0x13136d(0x168a)]=_0x3f65c0()[_0x13136d(0x2635)](_0x15feff[0x0])?_0x15feff[0x0]:isNaN(_0x15feff[0x0])?_0x15feff[0x0]:parseInt(_0x15feff[0x0],0xa);}break;}else{}_0x3bd4c6[_0x13136d(0x1dbf)]['type']&&_0x3bd4c6[_0x13136d(0x1dbf)]['type']['toLowerCase']()==='outbound'&&_0x3bd4c6[_0x13136d(0x1dbf)][_0x13136d(0x8f2)]['toLowerCase']()===_0x13136d(0x3bb)&&(_0x3bd4c6['hangup'][_0x13136d(0x1e12)]=_0x3bd4c6[_0x13136d(0x1dbf)]['phone']?_0x3bd4c6[_0x13136d(0x1dbf)]['phone']['split']('$')[0x0]:undefined,_0x3bd4c6['hangup'][_0x13136d(0x1d43)]=_0x3bd4c6[_0x13136d(0x1dbf)]['callerID']?_0x13136d(0x1b60)+_0x3bd4c6[_0x13136d(0x1dbf)][_0x13136d(0x11be)]:undefined);_0x3bd4c6[_0x13136d(0x1f34)]=_0xd17f7e,_0x3bd4c6['closeDialog']=_0x333dfc;function _0xd17f7e(){const _0x57fbe8=_0x13136d;_0x3bd4c6[_0x57fbe8(0xcef)]=[];const _0x583001=[];_0x3bd4c6[_0x57fbe8(0x1dbf)][_0x57fbe8(0x1142)]&&_0x3bd4c6['hangup']['type']['toLowerCase']()===_0x57fbe8(0xc9c)&&_0x3bd4c6['hangup'][_0x57fbe8(0x8f2)]==='outboundDial'&&(_0x3bd4c6[_0x57fbe8(0x1dbf)][_0x57fbe8(0x1d55)]=_0x4c0574['cutdigits']?(_0x3bd4c6['hangup'][_0x57fbe8(0x1e12)]||'')+'${EXTEN:'+_0x4c0574[_0x57fbe8(0x1274)]+'}':(_0x3bd4c6[_0x57fbe8(0x1dbf)][_0x57fbe8(0x1e12)]||'')+_0x57fbe8(0x20df),_0x4c0574[_0x57fbe8(0x1425)]!==_0x57fbe8(0x1642)?_0x3bd4c6['hangup'][_0x57fbe8(0xa08)][_0x57fbe8(0x172b)](_0x57fbe8(0x1ea4))<0x0&&(_0x3bd4c6['hangup'][_0x57fbe8(0xa08)]+=_0x57fbe8(0x1ea4)):_0x3bd4c6[_0x57fbe8(0x1dbf)][_0x57fbe8(0xa08)]=_0x3bd4c6[_0x57fbe8(0x1dbf)]['options'][_0x57fbe8(0x5f4)](_0x57fbe8(0x1ea4),''));if(_0x3bd4c6[_0x57fbe8(0x1dbf)][_0x57fbe8(0x8f2)]&&_0x3bd4c6[_0x57fbe8(0x1dbf)][_0x57fbe8(0x8f2)]===_0x57fbe8(0x1802)){}else switch((_0x3bd4c6[_0x57fbe8(0x1dbf)][_0x57fbe8(0x1873)]||_0x3bd4c6[_0x57fbe8(0x1dbf)]['appType'])[_0x57fbe8(0x256e)]()){case'set':_0x3bd4c6[_0x57fbe8(0x1dbf)][_0x57fbe8(0x168a)]=_0x3bd4c6[_0x57fbe8(0x1dbf)][_0x57fbe8(0x19eb)]+'='+_0x3bd4c6[_0x57fbe8(0x1dbf)]['value'];break;case _0x57fbe8(0x1802):break;default:_0x583001[0x0]=_0x3bd4c6['hangup'][_0x57fbe8(0x168a)],_0x3bd4c6['hangup']['appdata']=_0x583001[_0x57fbe8(0xb47)](',');}_0x333dfc(_0x3bd4c6[_0x57fbe8(0x1dbf)]);}function _0x333dfc(_0x5e66ce){const _0x183639=_0x13136d;_0x544392[_0x183639(0x2458)](_0x5e66ce);}}const _0x42a866=_0x12560c;;_0x17fa7c['$inject']=['$mdDialog','$q',_0x313a4d(0x1fe4),_0x313a4d(0x1492),_0x313a4d(0x86f),_0x313a4d(0x247f),_0x313a4d(0xa87),'crudPermissions'];function _0x17fa7c(_0x371c85,_0x1a89c7,_0x440817,_0x47345a,_0x21b91e,_0x4ac1eb,_0x5830e4,_0x4a92b1){const _0x313bd2=_0x313a4d,_0x537823=this;_0x537823[_0x313bd2(0x2321)]=_0x5830e4[_0x313bd2(0xb12)](),_0x537823[_0x313bd2(0xcef)]=[],_0x537823[_0x313bd2(0x1189)]=_0x313bd2(0x1950)+(_0x47345a[_0x313bd2(0x8f2)]||_0x47345a['app'])['toUpperCase'](),_0x537823[_0x313bd2(0x3bd)]=angular['copy'](_0x47345a),_0x537823['crudPermissions']=_0x4a92b1,_0x537823[_0x313bd2(0x855)]={};if(_0x537823[_0x313bd2(0x3bd)][_0x313bd2(0x168a)])switch(_0x537823[_0x313bd2(0x3bd)][_0x313bd2(0x8f2)]?_0x537823[_0x313bd2(0x3bd)]['appType'][_0x313bd2(0x256e)]():_0x537823['internaldial'][_0x313bd2(0x1873)][_0x313bd2(0x256e)]()){case'custom':break;case _0x313bd2(0xeaa):{const _0x306ec8=_0x537823[_0x313bd2(0x3bd)]['appdata'][_0x313bd2(0x10c8)](',');_0x537823[_0x313bd2(0x3bd)]['key']=_0x306ec8[0x0],_0x537823[_0x313bd2(0x3bd)][_0x313bd2(0x90b)]=_0x306ec8[0x1],_0x537823['internaldial'][_0x313bd2(0xaf3)]=_0x306ec8[_0x313bd2(0x1298)](0x2,_0x306ec8[_0x313bd2(0x402)])[_0x313bd2(0xb47)](',');}break;case _0x313bd2(0xa46):{const _0x3c4415=_0x537823['internaldial'][_0x313bd2(0x168a)][_0x313bd2(0x10c8)](',');_0x537823[_0x313bd2(0x3bd)][_0x313bd2(0x153a)]=_0x3c4415[0x0],_0x537823[_0x313bd2(0x3bd)][_0x313bd2(0x1392)]=_0x3c4415[0x1],_0x537823[_0x313bd2(0x3bd)][_0x313bd2(0x2217)]=_0x3c4415[0x2],_0x537823[_0x313bd2(0x3bd)]['language']=_0x3c4415[0x3],_0x537823[_0x313bd2(0x3bd)][_0x313bd2(0xaf3)]=_0x3c4415[_0x313bd2(0x1298)](0x4,_0x3c4415['length'])[_0x313bd2(0xb47)](',');}break;case _0x313bd2(0x17d6):{const _0x4321f7=_0x537823['internaldial'][_0x313bd2(0x168a)][_0x313bd2(0x10c8)](',');_0x537823['internaldial'][_0x313bd2(0x1651)]=_0x4321f7[0x0],_0x537823['internaldial'][_0x313bd2(0x252)]=_0x4321f7[0x1],_0x537823[_0x313bd2(0x3bd)][_0x313bd2(0x1039)]=_0x4321f7[0x2],_0x537823[_0x313bd2(0x3bd)][_0x313bd2(0x8dd)]=_0x4321f7[0x3],_0x537823['internaldial'][_0x313bd2(0xaf3)]=_0x4321f7[_0x313bd2(0x1298)](0x4,_0x4321f7[_0x313bd2(0x402)])[_0x313bd2(0xb47)](',');}break;case _0x313bd2(0x1713):{const _0x31cab7=_0x537823['internaldial'][_0x313bd2(0x168a)][_0x313bd2(0x10c8)](',');_0x537823['internaldial'][_0x313bd2(0x583)]=isNaN(_0x31cab7[0x0])?_0x31cab7[0x0]:parseInt(_0x31cab7[0x0],0xa),_0x537823[_0x313bd2(0x3bd)][_0x313bd2(0xa8d)]=_0x31cab7[_0x313bd2(0x1298)](0x1,_0x31cab7[_0x313bd2(0x402)])[_0x313bd2(0xb47)](',');}break;case _0x313bd2(0x7fd):_0x537823[_0x313bd2(0x3bd)][_0x313bd2(0xa8d)]=_0x537823['internaldial'][_0x313bd2(0x168a)];break;case _0x313bd2(0x26ba):_0x537823['internaldial'][_0x313bd2(0x19eb)]=_0x537823['internaldial'][_0x313bd2(0x168a)][_0x313bd2(0x10c8)]('=')[0x0],_0x537823[_0x313bd2(0x3bd)][_0x313bd2(0x175d)]=_0x537823['internaldial']['appdata'][_0x313bd2(0x10c8)]('=')[0x1];break;case'agi':_0x537823[_0x313bd2(0x3bd)]['project']=_0x537823['internaldial'][_0x313bd2(0x168a)];break;default:{const _0x3ddb1e=_0x537823[_0x313bd2(0x3bd)]['appdata']['split'](',');_0x537823[_0x313bd2(0x3bd)][_0x313bd2(0xe7b)]=_0x3f65c0()[_0x313bd2(0x2635)](_0x3ddb1e[0x0])?_0x3ddb1e[0x0]:isNaN(_0x3ddb1e[0x0])?_0x3ddb1e[0x0]:parseInt(_0x3ddb1e[0x0],0xa),_0x537823[_0x313bd2(0x3bd)][_0x313bd2(0xa9c)]=_0x3f65c0()['isEmpty'](_0x3ddb1e[0x1])?_0x3ddb1e[0x1]:isNaN(_0x3ddb1e[0x1])?_0x3ddb1e[0x1]:parseInt(_0x3ddb1e[0x1],0xa),_0x537823[_0x313bd2(0x3bd)][_0x313bd2(0xa08)]=_0x3f65c0()[_0x313bd2(0x2635)](_0x3ddb1e[0x2])?_0x3ddb1e[0x2]:isNaN(_0x3ddb1e[0x2])?_0x3ddb1e[0x2]:parseInt(_0x3ddb1e[0x2],0xa),_0x537823[_0x313bd2(0x3bd)][_0x313bd2(0x20cc)]=_0x3f65c0()[_0x313bd2(0x2635)](_0x3ddb1e[0x3])?_0x3ddb1e[0x3]:isNaN(_0x3ddb1e[0x3])?_0x3ddb1e[0x3]:parseInt(_0x3ddb1e[0x3],0xa);}break;}else{}_0x537823[_0x313bd2(0x3bd)][_0x313bd2(0x1142)]&&_0x537823[_0x313bd2(0x3bd)][_0x313bd2(0x1142)][_0x313bd2(0x256e)]()===_0x313bd2(0xc9c)&&_0x537823[_0x313bd2(0x3bd)][_0x313bd2(0x8f2)][_0x313bd2(0x256e)]()===_0x313bd2(0x3bb)&&(_0x537823[_0x313bd2(0x3bd)][_0x313bd2(0x1e12)]=_0x537823['internaldial'][_0x313bd2(0x1d55)]?_0x537823[_0x313bd2(0x3bd)]['phone'][_0x313bd2(0x10c8)]('$')[0x0]:undefined,_0x537823[_0x313bd2(0x3bd)][_0x313bd2(0x1d43)]=_0x537823['internaldial'][_0x313bd2(0x11be)]?'CALLERID(all)='+_0x537823[_0x313bd2(0x3bd)][_0x313bd2(0x11be)]:undefined);_0x537823[_0x313bd2(0x1f34)]=_0x4efd21,_0x537823[_0x313bd2(0x13f3)]=_0x1641b1;const _0x1b12fa=[{'id':0xca,'role':_0x313bd2(0x1755)},{'id':0xcb,'role':_0x313bd2(0x90c)}];let _0x51973e=null;_0x5830e4[_0x313bd2(0x23e0)](_0x313bd2(0x174b))?_0x4ac1eb['user']['get']({'fields':_0x313bd2(0x245b),'sort':_0x313bd2(0x19eb),'nolimit':_0x313bd2(0x1185)})['$promise'][_0x313bd2(0x146b)](function(_0xd70f25){const _0x147781=_0x313bd2;_0x537823['users']=_0xd70f25[_0x147781(0x19c7)]||[];})['catch'](function(_0x51ab5f){const _0x4645a2=_0x313bd2;_0x440817[_0x4645a2(0x1980)]({'title':_0x51ab5f[_0x4645a2(0x107b)]?_0x4645a2(0x262a)+_0x51ab5f['status']+_0x4645a2(0x1315)+_0x51ab5f[_0x4645a2(0x167f)]:_0x4645a2(0x42e),'msg':_0x51ab5f['data']?JSON[_0x4645a2(0x10bb)](_0x51ab5f[_0x4645a2(0x524)]):_0x51ab5f[_0x4645a2(0xd5f)]()});}):_0x4ac1eb[_0x313bd2(0xe7b)][_0x313bd2(0x16b4)]({'fields':'id,name,role','sort':_0x313bd2(0x19eb),'nolimit':_0x313bd2(0x1185)})[_0x313bd2(0x2945)][_0x313bd2(0x146b)](function(_0x3bbe7c){const _0x2e9473=_0x313bd2;_0x51973e=_0x3bbe7c[_0x2e9473(0x19c7)]||[];})[_0x313bd2(0x146b)](function(){const _0x16e2b1=_0x313bd2,_0x1b3b86=[];for(let _0x1d9a76=0x0;_0x1d9a76<_0x1b12fa[_0x16e2b1(0x402)];_0x1d9a76++){_0x1b3b86[_0x16e2b1(0x1f47)](_0x4ac1eb[_0x16e2b1(0x1366)]['get']({'userProfileId':_0x537823['currentUser']['userProfileId'],'sectionId':_0x1b12fa[_0x1d9a76]['id']})['$promise']);}return _0x1a89c7[_0x16e2b1(0x1be2)](_0x1b3b86);})[_0x313bd2(0x146b)](function(_0x58bbad){const _0x17707a=_0x313bd2,_0x13de67=_0x3f65c0()['map'](_0x58bbad,function(_0x10458d){const _0x18d3cc=a0_0x3bb9;return _0x10458d&&_0x10458d[_0x18d3cc(0x19c7)]?_0x10458d[_0x18d3cc(0x19c7)][0x0]:null;}),_0x168587=[];for(let _0x321821=0x0;_0x321821<_0x1b12fa['length'];_0x321821++){const _0x347249=_0x3f65c0()['find'](_0x13de67,[_0x17707a(0x631),_0x1b12fa[_0x321821]['id']]);if(_0x347249){if(!_0x347249[_0x17707a(0x11d2)])_0x168587[_0x17707a(0x1f47)](_0x4ac1eb['userProfileResource']['get']({'sectionId':_0x347249['id']})['$promise']);else{const _0x4c9a59=[];_0x51973e['forEach'](function(_0x320939){const _0x353c53=_0x17707a;_0x320939['role']===_0x1b12fa[_0x321821][_0x353c53(0xfb0)]&&_0x4c9a59[_0x353c53(0x1f47)](_0x320939);}),_0x168587[_0x17707a(0x1f47)]({'rows':_0x4c9a59,'count':_0x4c9a59[_0x17707a(0x402)]});}}}return _0x1a89c7[_0x17707a(0x1be2)](_0x168587);})[_0x313bd2(0x146b)](function(_0x31affd){const _0x5c90cb=_0x313bd2,_0x669fd=[];let _0x3d23f8=null;_0x537823['internaldial']&&(_0x3d23f8=_0x3f65c0()[_0x5c90cb(0xc84)](_0x51973e,{'name':_0x537823[_0x5c90cb(0x3bd)][_0x5c90cb(0xe7b)]}));for(let _0x5aa068=0x0;_0x5aa068<_0x31affd[_0x5c90cb(0x402)];_0x5aa068++){const _0xcf0086=_0x31affd[_0x5aa068][_0x5c90cb(0x19c7)]||[];_0xcf0086['forEach'](function(_0x3e3b33){_0x669fd['push'](_0x3e3b33);});}_0x3d23f8&&!_0x3f65c0()[_0x5c90cb(0x1360)](_0x669fd,[_0x5c90cb(0x18b8),_0x3d23f8['id']])&&_0x51973e[_0x5c90cb(0x1df5)](function(_0x9cc7be){const _0x22b081=_0x5c90cb;_0x9cc7be['id']===_0x3d23f8['id']&&(_0x9cc7be[_0x22b081(0x8ff)]=![],_0x669fd[_0x22b081(0x1f47)](_0x9cc7be));}),_0x537823[_0x5c90cb(0x1995)]=_0x669fd;})[_0x313bd2(0x129e)](function(_0x259a42){const _0x3d2d87=_0x313bd2;_0x440817[_0x3d2d87(0x1980)]({'title':_0x259a42[_0x3d2d87(0x107b)]?_0x3d2d87(0x262a)+_0x259a42[_0x3d2d87(0x107b)]+_0x3d2d87(0x1315)+_0x259a42[_0x3d2d87(0x167f)]:'SYSTEM:GETusers','msg':_0x259a42[_0x3d2d87(0x524)]?JSON[_0x3d2d87(0x10bb)](_0x259a42[_0x3d2d87(0x524)]):_0x259a42['toString']()});});function _0x4efd21(){const _0x32d008=_0x313bd2;_0x537823[_0x32d008(0xcef)]=[];const _0x43041b=[];_0x537823[_0x32d008(0x3bd)][_0x32d008(0x1142)]&&_0x537823[_0x32d008(0x3bd)][_0x32d008(0x1142)][_0x32d008(0x256e)]()===_0x32d008(0xc9c)&&_0x537823['internaldial'][_0x32d008(0x8f2)]==='outboundDial'&&(_0x537823[_0x32d008(0x3bd)][_0x32d008(0x1d55)]=_0x21b91e[_0x32d008(0x1274)]?(_0x537823['internaldial'][_0x32d008(0x1e12)]||'')+_0x32d008(0x2147)+_0x21b91e[_0x32d008(0x1274)]+'}':(_0x537823[_0x32d008(0x3bd)][_0x32d008(0x1e12)]||'')+_0x32d008(0x20df),_0x21b91e[_0x32d008(0x1425)]!=='none'?_0x537823[_0x32d008(0x3bd)][_0x32d008(0xa08)][_0x32d008(0x172b)](_0x32d008(0x1ea4))<0x0&&(_0x537823[_0x32d008(0x3bd)][_0x32d008(0xa08)]+='U(xcally-mixmonitor-context)'):_0x537823[_0x32d008(0x3bd)][_0x32d008(0xa08)]=_0x537823[_0x32d008(0x3bd)]['options'][_0x32d008(0x5f4)]('U(xcally-mixmonitor-context)',''));if(_0x537823[_0x32d008(0x3bd)][_0x32d008(0x8f2)]&&_0x537823[_0x32d008(0x3bd)][_0x32d008(0x8f2)]===_0x32d008(0x1802)){}else switch((_0x537823[_0x32d008(0x3bd)]['app']||_0x537823[_0x32d008(0x3bd)]['appType'])[_0x32d008(0x256e)]()){case _0x32d008(0x26ba):_0x537823[_0x32d008(0x3bd)]['appdata']=_0x537823['internaldial'][_0x32d008(0x19eb)]+'='+_0x537823[_0x32d008(0x3bd)]['value'];break;case _0x32d008(0x1802):break;default:_0x43041b[0x0]=_0x537823[_0x32d008(0x3bd)][_0x32d008(0xe7b)],_0x43041b[0x1]=_0x537823[_0x32d008(0x3bd)][_0x32d008(0xa9c)],_0x43041b[0x2]=_0x537823[_0x32d008(0x3bd)]['options'],_0x43041b[0x3]=_0x537823[_0x32d008(0x3bd)][_0x32d008(0x20cc)],_0x537823[_0x32d008(0x3bd)][_0x32d008(0x168a)]=_0x43041b['join'](',');}_0x1641b1(_0x537823[_0x32d008(0x3bd)]);}function _0x1641b1(_0x52fc06){const _0x2a378e=_0x313bd2;_0x371c85[_0x2a378e(0x2458)](_0x52fc06);}}const _0x2e7618=_0x17fa7c;;_0xe23b33[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),_0x313a4d(0x10e8),_0x313a4d(0x1c7e),'IndexFactory',_0x313a4d(0x2765),'intervals',_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0xe23b33(_0x1535e1,_0x3e3744,_0x483faf,_0x314387,_0x43decf,_0xbda44f,_0x124142,_0x4c1be1,_0x5cecc7,_0x43704d){const _0x5f579e=_0x313a4d,_0x1f806c=this;_0x1f806c['currentUser']=_0x5cecc7[_0x5f579e(0xb12)](),_0x1f806c[_0x5f579e(0xcef)]=[],_0x1f806c[_0x5f579e(0x1189)]=_0x5f579e(0x56d),_0x1f806c['interval']=angular['copy'](_0x43decf),_0x1f806c[_0x5f579e(0x278f)]=_0xbda44f,_0x1f806c['newInterval']=![],_0x1f806c[_0x5f579e(0x1960)]=[_0x5f579e(0xd85),'custom','list'],_0x1f806c[_0x5f579e(0x2514)]=_0x43704d,_0x1f806c[_0x5f579e(0x1e35)]=_0x314387[_0x5f579e(0x2045)](),_0x1f806c['monthNumber']=_0x314387[_0x5f579e(0xead)](),_0x1f806c['monthName']=_0x314387[_0x5f579e(0x18b6)](),_0x1f806c['daysOfMonth']=_0x314387[_0x5f579e(0x1421)]();if(!_0x1f806c['interval'])_0x1f806c[_0x5f579e(0x2765)]={'interval':_0x5f579e(0x1559)},_0x1f806c[_0x5f579e(0x1142)]=_0x5f579e(0xd85),_0x1f806c[_0x5f579e(0x1189)]=_0x5f579e(0x79c),_0x1f806c['newInterval']=!![];else{if(_0x1f806c[_0x5f579e(0x2765)][_0x5f579e(0x2765)]!==_0x5f579e(0x1559)){_0x1f806c[_0x5f579e(0x1142)]=_0x5f579e(0x1802);const _0x59b8f8=_0x1f806c[_0x5f579e(0x2765)][_0x5f579e(0x2765)][_0x5f579e(0x10c8)](','),_0x40b81a=_0x59b8f8[0x0],_0x1f1826=_0x59b8f8[0x1],_0xfa11e3=_0x59b8f8[0x2],_0x227abd=_0x59b8f8[0x3];if(_0x40b81a!=='*'){const _0x3429c2=_0x40b81a[_0x5f579e(0x10c8)]('-')[0x0],_0x4a4b58=_0x40b81a[_0x5f579e(0x10c8)]('-')[0x1];let _0x500621;_0x500621=new Date(),_0x500621[_0x5f579e(0x25cd)](Number(_0x3429c2['split'](':')[0x0])),_0x500621[_0x5f579e(0x2348)](Number(_0x3429c2['split'](':')[0x1])),_0x1f806c[_0x5f579e(0x2469)]=_0x500621,_0x500621=new Date(),_0x500621[_0x5f579e(0x25cd)](Number(_0x4a4b58['split'](':')[0x0])),_0x500621['setMinutes'](Number(_0x4a4b58[_0x5f579e(0x10c8)](':')[0x1])),_0x1f806c['timeRangeTo']=_0x500621;}_0x1f1826!=='*'&&(_0x1f806c[_0x5f579e(0x1455)]=_0x1f1826['split']('-')[0x0],_0x1f806c['dayOfWeekTo']=_0x1f1826[_0x5f579e(0x10c8)]('-')[0x1]),_0xfa11e3!=='*'&&(_0x1f806c[_0x5f579e(0xf0b)]=_0xfa11e3[_0x5f579e(0x10c8)]('-')[0x0],_0x1f806c[_0x5f579e(0x896)]=_0xfa11e3[_0x5f579e(0x10c8)]('-')[0x1]),_0x227abd!=='*'&&(_0x1f806c['monthFrom']=_0x227abd[_0x5f579e(0x10c8)]('-')[0x0],_0x1f806c['monthTo']=_0x227abd[_0x5f579e(0x10c8)]('-')[0x1]);}else _0x1f806c[_0x5f579e(0x1142)]=_0x5f579e(0xd85);}_0x1535e1[_0x5f579e(0x16a)]['id']&&!_0x1f806c[_0x5f579e(0x2765)][_0x5f579e(0xea)]&&(_0x1f806c[_0x5f579e(0x2765)]['IntervalId']=_0x1535e1[_0x5f579e(0x16a)]['id']);_0x1f806c[_0x5f579e(0x2765)]['IntervalId']&&_0x1f806c[_0x5f579e(0x2765)][_0x5f579e(0xea)]&&(_0x1f806c[_0x5f579e(0x1142)]=_0x5f579e(0x25f4));_0x1f806c[_0x5f579e(0x2f0)]=_0x293038,_0x1f806c[_0x5f579e(0x1242)]=_0x4b3823,_0x1f806c[_0x5f579e(0x13f3)]=_0x14c362;_0x1f806c['interval'][_0x5f579e(0xea)]&&(_0x5cecc7[_0x5f579e(0x23e0)](_0x5f579e(0x174b))?_0x4c1be1[_0x5f579e(0x2765)][_0x5f579e(0x16b4)]({'fields':_0x5f579e(0x648),'IntervalId':_0x5f579e(0x203c),'nolimit':!![]})['$promise']['then'](function(_0x3a9ba3){const _0x3bca22=_0x5f579e;_0x1f806c['intervals']=_0x3a9ba3[_0x3bca22(0x19c7)]||[];})[_0x5f579e(0x129e)](function(_0x546bda){const _0x39bbd3=_0x5f579e;_0x124142[_0x39bbd3(0x1980)]({'title':_0x546bda[_0x39bbd3(0x107b)]?_0x39bbd3(0x262a)+_0x546bda[_0x39bbd3(0x107b)]+_0x39bbd3(0x1315)+_0x546bda['statusText']:_0x39bbd3(0x603),'msg':_0x546bda[_0x39bbd3(0x524)]?JSON[_0x39bbd3(0x10bb)](_0x546bda[_0x39bbd3(0x524)]):_0x546bda[_0x39bbd3(0xd5f)]()});}):_0x4c1be1[_0x5f579e(0x2765)][_0x5f579e(0x16b4)]({'fields':'id,name,interval,IntervalId','IntervalId':_0x5f579e(0x203c),'nolimit':!![]})['$promise']['then'](function(_0x2fa95b){_0x1f806c['intervals']=_0x2fa95b['rows']||[];})[_0x5f579e(0x146b)](function(){const _0x182827=_0x5f579e;return _0x4c1be1['userProfileSection'][_0x182827(0x16b4)]({'userProfileId':_0x1f806c[_0x182827(0x2321)][_0x182827(0x209a)],'sectionId':0x3ec})[_0x182827(0x2945)];})[_0x5f579e(0x146b)](function(_0x4b0383){const _0x13e569=_0x5f579e,_0x897f3e=_0x4b0383&&_0x4b0383['rows']?_0x4b0383[_0x13e569(0x19c7)][0x0]:null;if(!_0x897f3e)_0x1f806c[_0x13e569(0x278f)]=[];else{if(!_0x897f3e[_0x13e569(0x11d2)])return _0x4c1be1[_0x13e569(0xdcc)][_0x13e569(0x16b4)]({'sectionId':_0x897f3e['id']})[_0x13e569(0x2945)][_0x13e569(0x146b)](function(_0x5bf1e1){const _0x25f3dc=_0x13e569,_0x250037=_0x5bf1e1&&_0x5bf1e1['rows']?_0x5bf1e1[_0x25f3dc(0x19c7)]:[],_0x292fd1=[];let _0x227fcb=null;_0x1f806c['interval']&&(_0x227fcb=_0x3f65c0()['find'](_0x1f806c[_0x25f3dc(0x278f)],{'name':_0x1f806c[_0x25f3dc(0x2765)][_0x25f3dc(0x1ff2)]})),_0x227fcb&&!_0x3f65c0()[_0x25f3dc(0x1360)](_0x250037,[_0x25f3dc(0x18b8),_0x227fcb['id']])&&_0x1f806c[_0x25f3dc(0x278f)][_0x25f3dc(0x1df5)](function(_0x495551){const _0xdf47a7=_0x25f3dc;_0x495551['id']===_0x227fcb['id']&&(_0x495551[_0xdf47a7(0x8ff)]=![],_0x292fd1[_0xdf47a7(0x1f47)](_0x495551));}),_0x1f806c[_0x25f3dc(0x278f)]=_0x292fd1;});}})['catch'](function(_0x1fbba3){const _0xb1fcb1=_0x5f579e;_0x124142[_0xb1fcb1(0x1980)]({'title':_0x1fbba3[_0xb1fcb1(0x107b)]?_0xb1fcb1(0x262a)+_0x1fbba3['status']+_0xb1fcb1(0x1315)+_0x1fbba3[_0xb1fcb1(0x167f)]:_0xb1fcb1(0x603),'msg':_0x1fbba3[_0xb1fcb1(0x524)]?JSON['stringify'](_0x1fbba3[_0xb1fcb1(0x524)]):_0x1fbba3[_0xb1fcb1(0xd5f)]()});}));function _0x2c7939(){const _0x5783df=_0x5f579e;switch(_0x1f806c[_0x5783df(0x1142)]){case _0x5783df(0xd85):case _0x5783df(0x25f4):return _0x5783df(0x1559);case'custom':{const _0x4a3165=[];if(_0x1f806c[_0x5783df(0x2469)]!=='*'&&_0x1f806c['timeRangeFrom']&&_0x1f806c[_0x5783df(0x637)]){const _0x5b314f=(_0x1f806c['timeRangeFrom'][_0x5783df(0x1d57)]()<0xa?'0':'')+_0x1f806c['timeRangeFrom'][_0x5783df(0x1d57)]()+':'+((_0x1f806c['timeRangeFrom'][_0x5783df(0x7fc)]()<0xa?'0':'')+_0x1f806c[_0x5783df(0x2469)][_0x5783df(0x7fc)]()),_0x4e0ea1=(_0x1f806c['timeRangeTo']['getHours']()<0xa?'0':'')+_0x1f806c[_0x5783df(0x637)][_0x5783df(0x1d57)]()+':'+((_0x1f806c[_0x5783df(0x637)][_0x5783df(0x7fc)]()<0xa?'0':'')+_0x1f806c[_0x5783df(0x637)][_0x5783df(0x7fc)]());_0x4a3165['push'](_0x5b314f+'-'+_0x4e0ea1);}else _0x4a3165[_0x5783df(0x1f47)]('*');return _0x1f806c[_0x5783df(0x1455)]?_0x1f806c[_0x5783df(0x27a1)]?_0x4a3165[_0x5783df(0x1f47)](_0x1f806c[_0x5783df(0x1455)]+'-'+_0x1f806c[_0x5783df(0x27a1)]):_0x4a3165[_0x5783df(0x1f47)](_0x1f806c[_0x5783df(0x1455)]):_0x4a3165[_0x5783df(0x1f47)]('*'),_0x1f806c[_0x5783df(0xf0b)]?_0x1f806c['monthDayTo']?_0x4a3165[_0x5783df(0x1f47)](_0x1f806c[_0x5783df(0xf0b)]+'-'+_0x1f806c['monthDayTo']):_0x4a3165[_0x5783df(0x1f47)](_0x1f806c[_0x5783df(0xf0b)]):_0x4a3165['push']('*'),_0x1f806c['monthFrom']?_0x1f806c[_0x5783df(0x9c3)]?_0x4a3165[_0x5783df(0x1f47)](_0x1f806c[_0x5783df(0x167)]+'-'+_0x1f806c[_0x5783df(0x9c3)]):_0x4a3165['push'](_0x1f806c['monthFrom']):_0x4a3165[_0x5783df(0x1f47)]('*'),_0x4a3165[_0x5783df(0xb47)]();}}}function _0x293038(){const _0xc55487=_0x5f579e;_0x1f806c[_0xc55487(0xcef)]=[],_0x1f806c[_0xc55487(0x2765)]['interval']=_0x2c7939(),_0x4c1be1[_0xc55487(0x2765)][_0xc55487(0x1e3)](_0x1f806c[_0xc55487(0x2765)])[_0xc55487(0x2945)][_0xc55487(0x146b)](function(_0x3006c1){const _0x404053=_0xc55487;_0x1f806c['intervals']['push'](_0x3006c1),_0x124142[_0x404053(0x1c75)]({'title':_0x404053(0x17f5),'msg':_0x1f806c[_0x404053(0x2765)][_0x404053(0x19eb)]?_0x1f806c['interval']['name']+_0x404053(0x1386):''}),_0x14c362();})[_0xc55487(0x129e)](function(_0x251224){const _0x10cbcd=_0xc55487;console['error'](_0x251224),_0x1f806c[_0x10cbcd(0xcef)]=_0x251224[_0x10cbcd(0x524)][_0x10cbcd(0xcef)]||[{'message':_0x251224['toString'](),'type':_0x10cbcd(0x7e7)}];});}function _0x4b3823(){const _0x44fc5b=_0x5f579e;_0x1f806c[_0x44fc5b(0xcef)]=[],_0x1f806c['interval'][_0x44fc5b(0x2765)]=_0x2c7939(),_0x1f806c[_0x44fc5b(0x2765)][_0x44fc5b(0xea)]?(_0x1f806c[_0x44fc5b(0x1142)]!==_0x44fc5b(0x25f4)&&(_0x1f806c[_0x44fc5b(0x2765)]['IntervalId']=null),_0x14c362(_0x1f806c[_0x44fc5b(0x2765)])):_0x4c1be1[_0x44fc5b(0x2765)][_0x44fc5b(0x18e1)]({'id':_0x1f806c[_0x44fc5b(0x2765)]['id']},_0x1f806c[_0x44fc5b(0x2765)])['$promise'][_0x44fc5b(0x146b)](function(_0x1cad06){const _0x4c71c6=_0x44fc5b,_0x5857db=_0x3f65c0()[_0x4c71c6(0xc84)](_0x1f806c[_0x4c71c6(0x278f)],{'id':_0x1cad06['id']});_0x5857db&&_0x3f65c0()[_0x4c71c6(0x168d)](_0x5857db,_0x1cad06),_0x124142['success']({'title':_0x4c71c6(0x1d51),'msg':_0x4c71c6(0x97a)}),_0x14c362();})[_0x44fc5b(0x129e)](function(_0x4d0f77){const _0x176c82=_0x44fc5b;console[_0x176c82(0x1980)](_0x4d0f77),_0x1f806c[_0x176c82(0xcef)]=_0x4d0f77[_0x176c82(0x524)][_0x176c82(0xcef)]||[{'message':_0x4d0f77[_0x176c82(0xd5f)](),'type':_0x176c82(0x1fbe)}];});}function _0x14c362(_0x13cb02){const _0x463e19=_0x5f579e;_0x3e3744[_0x463e19(0x2458)](_0x13cb02);}}const _0x4f87f7=_0xe23b33;;_0x1bc1c4[_0x313a4d(0x11c2)]=['$mdDialog','$q',_0x313a4d(0x1fe4),'internalrouteApp',_0x313a4d(0x86f),_0x313a4d(0x247f),'Auth','crudPermissions'];function _0x1bc1c4(_0x253030,_0x4eb9eb,_0x3ce0ce,_0x57d8d6,_0x8fd175,_0x8cf24,_0xf6f96,_0x38d013){const _0x877491=_0x313a4d,_0xfa3948=this;_0xfa3948[_0x877491(0x2321)]=_0xf6f96[_0x877491(0xb12)](),_0xfa3948[_0x877491(0xcef)]=[],_0xfa3948['title']=_0x877491(0x1950)+(_0x57d8d6[_0x877491(0x8f2)]||_0x57d8d6['app'])[_0x877491(0x1c37)](),_0xfa3948[_0x877491(0x288a)]=angular[_0x877491(0x235a)](_0x57d8d6),_0xfa3948['crudPermissions']=_0x38d013,_0xfa3948[_0x877491(0x855)]={};if(_0xfa3948[_0x877491(0x288a)]['appdata'])switch(_0xfa3948['playback'][_0x877491(0x8f2)]?_0xfa3948[_0x877491(0x288a)][_0x877491(0x8f2)][_0x877491(0x256e)]():_0xfa3948['playback'][_0x877491(0x1873)]['toLowerCase']()){case _0x877491(0x1802):break;case'dialogflow':{const _0x1457ee=_0xfa3948[_0x877491(0x288a)][_0x877491(0x168a)][_0x877491(0x10c8)](',');_0xfa3948[_0x877491(0x288a)][_0x877491(0x2293)]=_0x1457ee[0x0],_0xfa3948[_0x877491(0x288a)][_0x877491(0x90b)]=_0x1457ee[0x1],_0xfa3948[_0x877491(0x288a)]['welcomemessage']=_0x1457ee['slice'](0x2,_0x1457ee[_0x877491(0x402)])['join'](',');}break;case _0x877491(0xa46):{const _0x898be0=_0xfa3948['playback']['appdata'][_0x877491(0x10c8)](',');_0xfa3948[_0x877491(0x288a)][_0x877491(0x153a)]=_0x898be0[0x0],_0xfa3948['playback']['clientEmail']=_0x898be0[0x1],_0xfa3948[_0x877491(0x288a)][_0x877491(0x2217)]=_0x898be0[0x2],_0xfa3948[_0x877491(0x288a)]['language']=_0x898be0[0x3],_0xfa3948[_0x877491(0x288a)][_0x877491(0xaf3)]=_0x898be0[_0x877491(0x1298)](0x4,_0x898be0['length'])[_0x877491(0xb47)](',');}break;case _0x877491(0x17d6):{const _0x3d59f9=_0xfa3948['playback'][_0x877491(0x168a)][_0x877491(0x10c8)](',');_0xfa3948[_0x877491(0x288a)][_0x877491(0x1651)]=_0x3d59f9[0x0],_0xfa3948[_0x877491(0x288a)]['secretaccesskey']=_0x3d59f9[0x1],_0xfa3948['playback'][_0x877491(0x1039)]=_0x3d59f9[0x2],_0xfa3948[_0x877491(0x288a)][_0x877491(0x8dd)]=_0x3d59f9[0x3],_0xfa3948['playback'][_0x877491(0xaf3)]=_0x3d59f9[_0x877491(0x1298)](0x4,_0x3d59f9[_0x877491(0x402)])[_0x877491(0xb47)](',');}break;case'autoreply':{const _0x5cd0b4=_0xfa3948[_0x877491(0x288a)][_0x877491(0x168a)][_0x877491(0x10c8)](',');_0xfa3948[_0x877491(0x288a)][_0x877491(0x583)]=isNaN(_0x5cd0b4[0x0])?_0x5cd0b4[0x0]:parseInt(_0x5cd0b4[0x0],0xa),_0xfa3948[_0x877491(0x288a)][_0x877491(0xa8d)]=_0x5cd0b4['slice'](0x1,_0x5cd0b4['length'])['join'](',');}break;case _0x877491(0x7fd):_0xfa3948['playback'][_0x877491(0xa8d)]=_0xfa3948[_0x877491(0x288a)][_0x877491(0x168a)];break;case'set':_0xfa3948[_0x877491(0x288a)][_0x877491(0x19eb)]=_0xfa3948['playback'][_0x877491(0x168a)][_0x877491(0x10c8)]('=')[0x0],_0xfa3948[_0x877491(0x288a)][_0x877491(0x175d)]=_0xfa3948[_0x877491(0x288a)]['appdata'][_0x877491(0x10c8)]('=')[0x1];break;case _0x877491(0x1ecb):_0xfa3948[_0x877491(0x288a)]['project']=_0xfa3948[_0x877491(0x288a)][_0x877491(0x168a)];break;default:{const _0x2a9c9a=_0xfa3948[_0x877491(0x288a)]['appdata'][_0x877491(0x10c8)](',');_0xfa3948[_0x877491(0x288a)][_0x877491(0x168a)]=_0x3f65c0()[_0x877491(0x2635)](_0x2a9c9a[0x0])?_0x2a9c9a[0x0]:isNaN(_0x2a9c9a[0x0])?_0x2a9c9a[0x0]:parseInt(_0x2a9c9a[0x0],0xa),_0xfa3948[_0x877491(0x288a)][_0x877491(0xa08)]=_0x3f65c0()[_0x877491(0x2635)](_0x2a9c9a[0x1])?_0x2a9c9a[0x1]:isNaN(_0x2a9c9a[0x1])?_0x2a9c9a[0x1]:parseInt(_0x2a9c9a[0x1],0xa);}break;}else{}_0xfa3948[_0x877491(0x288a)][_0x877491(0x1142)]&&_0xfa3948[_0x877491(0x288a)][_0x877491(0x1142)][_0x877491(0x256e)]()===_0x877491(0xc9c)&&_0xfa3948['playback']['appType'][_0x877491(0x256e)]()===_0x877491(0x3bb)&&(_0xfa3948[_0x877491(0x288a)]['prefix']=_0xfa3948['playback'][_0x877491(0x1d55)]?_0xfa3948[_0x877491(0x288a)][_0x877491(0x1d55)][_0x877491(0x10c8)]('$')[0x0]:undefined,_0xfa3948[_0x877491(0x288a)]['callerId']=_0xfa3948[_0x877491(0x288a)][_0x877491(0x11be)]?_0x877491(0x1b60)+_0xfa3948['playback'][_0x877491(0x11be)]:undefined);_0xfa3948[_0x877491(0x1f34)]=_0x18ff08,_0xfa3948[_0x877491(0x13f3)]=_0x4c33ee,_0xf6f96['hasRole'](_0x877491(0x174b))?_0x8cf24[_0x877491(0x126d)][_0x877491(0x16b4)]({'fields':_0x877491(0x222a),'sort':_0x877491(0x19eb),'nolimit':_0x877491(0x1185)})['$promise'][_0x877491(0x146b)](function(_0x36aad4){const _0x4d5761=_0x877491;_0xfa3948[_0x4d5761(0xb02)]=_0x36aad4[_0x4d5761(0x19c7)]||[];})[_0x877491(0x129e)](function(_0x1c8db6){const _0x2b51a7=_0x877491;_0x3ce0ce[_0x2b51a7(0x1980)]({'title':_0x1c8db6[_0x2b51a7(0x107b)]?_0x2b51a7(0x262a)+_0x1c8db6[_0x2b51a7(0x107b)]+_0x2b51a7(0x1315)+_0x1c8db6['statusText']:_0x2b51a7(0xd4a),'msg':_0x1c8db6['data']?JSON[_0x2b51a7(0x10bb)](_0x1c8db6['data']):_0x1c8db6[_0x2b51a7(0xd5f)]()});}):_0x8cf24['sound'][_0x877491(0x16b4)]({'fields':'id,name,save_name','sort':_0x877491(0x19eb),'nolimit':'true'})[_0x877491(0x2945)][_0x877491(0x146b)](function(_0x5ec362){const _0x3ad67c=_0x877491;_0xfa3948['sounds']=_0x5ec362[_0x3ad67c(0x19c7)]||[];})[_0x877491(0x146b)](function(){const _0x396e53=_0x877491;return _0x8cf24['userProfileSection']['get']({'userProfileId':_0xfa3948[_0x396e53(0x2321)][_0x396e53(0x209a)],'sectionId':0x3ef})['$promise'];})[_0x877491(0x146b)](function(_0x334352){const _0x1c148c=_0x877491,_0x3739a4=_0x334352&&_0x334352['rows']?_0x334352[_0x1c148c(0x19c7)][0x0]:null;if(!_0x3739a4){const _0x5984b4=[];let _0x3702fe=null;_0xfa3948[_0x1c148c(0x288a)]&&(_0x3702fe=_0x3f65c0()[_0x1c148c(0xc84)](_0xfa3948[_0x1c148c(0xb02)],{'save_name':_0xfa3948[_0x1c148c(0x288a)][_0x1c148c(0x168a)]}));for(let _0xa66d50=0x0;_0xa66d50<_0xfa3948[_0x1c148c(0xb02)][_0x1c148c(0x402)];_0xa66d50++){_0x3702fe&&_0xfa3948[_0x1c148c(0xb02)][_0xa66d50]['id']===_0x3702fe['id']&&(_0xfa3948[_0x1c148c(0xb02)][_0xa66d50][_0x1c148c(0x8ff)]=![],_0x5984b4[_0x1c148c(0x1f47)](_0xfa3948[_0x1c148c(0xb02)][_0xa66d50]));}_0xfa3948[_0x1c148c(0xb02)]=_0x5984b4;}else{if(!_0x3739a4['autoAssociation'])return _0x8cf24[_0x1c148c(0xdcc)][_0x1c148c(0x16b4)]({'sectionId':_0x3739a4['id']})[_0x1c148c(0x2945)]['then'](function(_0x3cc77a){const _0x26ef43=_0x1c148c,_0x18371d=_0x3f65c0()['map'](_0x3cc77a[_0x26ef43(0x19c7)],function(_0x1c3cc6){const _0x4c00be=_0x26ef43;return _0x3f65c0()[_0x4c00be(0xc84)](_0xfa3948['sounds'],{'id':_0x1c3cc6[_0x4c00be(0x18b8)]});});let _0x9fe5af=null;_0xfa3948[_0x26ef43(0x288a)]&&(_0x9fe5af=_0x3f65c0()[_0x26ef43(0xc84)](_0xfa3948[_0x26ef43(0xb02)],{'save_name':_0xfa3948['playback'][_0x26ef43(0x168a)]}));if(_0x9fe5af&&!_0x3f65c0()[_0x26ef43(0x1360)](_0x18371d,['id',_0x9fe5af['id']])){const _0x2e8657=_0x3f65c0()[_0x26ef43(0xc84)](_0xfa3948[_0x26ef43(0xb02)],{'id':_0x9fe5af['id']});_0x2e8657[_0x26ef43(0x8ff)]=![],_0x18371d[_0x26ef43(0x1f47)](_0x2e8657);}_0xfa3948[_0x26ef43(0xb02)]=_0x18371d;});}})['catch'](function(_0x23ab03){const _0x4803eb=_0x877491;_0x3ce0ce[_0x4803eb(0x1980)]({'title':_0x23ab03[_0x4803eb(0x107b)]?_0x4803eb(0x262a)+_0x23ab03[_0x4803eb(0x107b)]+'\x20-\x20'+_0x23ab03[_0x4803eb(0x167f)]:_0x4803eb(0x2682),'msg':_0x23ab03[_0x4803eb(0x524)]?JSON[_0x4803eb(0x10bb)](_0x23ab03[_0x4803eb(0x524)]):_0x23ab03[_0x4803eb(0xd5f)]()});});function _0x18ff08(){const _0x400e24=_0x877491;_0xfa3948[_0x400e24(0xcef)]=[];const _0x378875=[];_0xfa3948[_0x400e24(0x288a)]['type']&&_0xfa3948[_0x400e24(0x288a)][_0x400e24(0x1142)][_0x400e24(0x256e)]()===_0x400e24(0xc9c)&&_0xfa3948[_0x400e24(0x288a)]['appType']===_0x400e24(0x118b)&&(_0xfa3948[_0x400e24(0x288a)][_0x400e24(0x1d55)]=_0x8fd175['cutdigits']?(_0xfa3948['playback'][_0x400e24(0x1e12)]||'')+'${EXTEN:'+_0x8fd175[_0x400e24(0x1274)]+'}':(_0xfa3948['playback']['prefix']||'')+_0x400e24(0x20df),_0x8fd175[_0x400e24(0x1425)]!==_0x400e24(0x1642)?_0xfa3948[_0x400e24(0x288a)]['options']['indexOf'](_0x400e24(0x1ea4))<0x0&&(_0xfa3948[_0x400e24(0x288a)][_0x400e24(0xa08)]+=_0x400e24(0x1ea4)):_0xfa3948[_0x400e24(0x288a)][_0x400e24(0xa08)]=_0xfa3948['playback']['options']['replace']('U(xcally-mixmonitor-context)',''));if(_0xfa3948[_0x400e24(0x288a)][_0x400e24(0x8f2)]&&_0xfa3948[_0x400e24(0x288a)]['appType']==='custom'){}else switch((_0xfa3948[_0x400e24(0x288a)]['app']||_0xfa3948[_0x400e24(0x288a)]['appType'])[_0x400e24(0x256e)]()){case _0x400e24(0x26ba):_0xfa3948[_0x400e24(0x288a)][_0x400e24(0x168a)]=_0xfa3948['playback'][_0x400e24(0x19eb)]+'='+_0xfa3948[_0x400e24(0x288a)][_0x400e24(0x175d)];break;case'custom':break;default:_0x378875[0x0]=_0xfa3948['playback'][_0x400e24(0x168a)],_0x378875[0x1]=_0xfa3948[_0x400e24(0x288a)][_0x400e24(0xa08)],_0xfa3948[_0x400e24(0x288a)]['appdata']=_0x378875[_0x400e24(0xb47)](',');}_0x4c33ee(_0xfa3948[_0x400e24(0x288a)]);}function _0x4c33ee(_0xa09d25){const _0x12cfd7=_0x877491;_0x253030[_0x12cfd7(0x2458)](_0xa09d25);}}const _0x122cea=_0x1bc1c4;;_0x3acdaa[_0x313a4d(0x11c2)]=['$mdDialog','$q',_0x313a4d(0x1fe4),'internalrouteApp','internalroute',_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x3acdaa(_0x219e8a,_0x453962,_0x372722,_0x646c39,_0xbddb98,_0x148412,_0xac7fcb,_0x558ede){const _0x1ba6f5=_0x313a4d,_0x58db0b=this;_0x58db0b[_0x1ba6f5(0x2321)]=_0xac7fcb[_0x1ba6f5(0xb12)](),_0x58db0b[_0x1ba6f5(0xcef)]=[],_0x58db0b[_0x1ba6f5(0x1189)]=_0x1ba6f5(0x1950)+(_0x646c39['appType']||_0x646c39[_0x1ba6f5(0x1873)])['toUpperCase'](),_0x58db0b[_0x1ba6f5(0x1c72)]=angular['copy'](_0x646c39),_0x58db0b['crudPermissions']=_0x558ede,_0x58db0b[_0x1ba6f5(0x855)]={};if(_0x58db0b[_0x1ba6f5(0x1c72)][_0x1ba6f5(0x168a)])switch(_0x58db0b[_0x1ba6f5(0x1c72)][_0x1ba6f5(0x8f2)]?_0x58db0b[_0x1ba6f5(0x1c72)][_0x1ba6f5(0x8f2)][_0x1ba6f5(0x256e)]():_0x58db0b[_0x1ba6f5(0x1c72)]['app']['toLowerCase']()){case _0x1ba6f5(0x1802):break;case _0x1ba6f5(0xeaa):{const _0x5890e8=_0x58db0b[_0x1ba6f5(0x1c72)][_0x1ba6f5(0x168a)][_0x1ba6f5(0x10c8)](',');_0x58db0b[_0x1ba6f5(0x1c72)][_0x1ba6f5(0x2293)]=_0x5890e8[0x0],_0x58db0b[_0x1ba6f5(0x1c72)]['language']=_0x5890e8[0x1],_0x58db0b[_0x1ba6f5(0x1c72)][_0x1ba6f5(0xaf3)]=_0x5890e8[_0x1ba6f5(0x1298)](0x2,_0x5890e8['length'])[_0x1ba6f5(0xb47)](',');}break;case _0x1ba6f5(0xa46):{const _0xf983c2=_0x58db0b['queue'][_0x1ba6f5(0x168a)][_0x1ba6f5(0x10c8)](',');_0x58db0b[_0x1ba6f5(0x1c72)][_0x1ba6f5(0x153a)]=_0xf983c2[0x0],_0x58db0b[_0x1ba6f5(0x1c72)]['clientEmail']=_0xf983c2[0x1],_0x58db0b[_0x1ba6f5(0x1c72)][_0x1ba6f5(0x2217)]=_0xf983c2[0x2],_0x58db0b[_0x1ba6f5(0x1c72)]['language']=_0xf983c2[0x3],_0x58db0b['queue']['welcomemessage']=_0xf983c2[_0x1ba6f5(0x1298)](0x4,_0xf983c2[_0x1ba6f5(0x402)])[_0x1ba6f5(0xb47)](',');}break;case'amazonlex':{const _0x420a68=_0x58db0b[_0x1ba6f5(0x1c72)]['appdata'][_0x1ba6f5(0x10c8)](',');_0x58db0b['queue']['accesskeyid']=_0x420a68[0x0],_0x58db0b['queue'][_0x1ba6f5(0x252)]=_0x420a68[0x1],_0x58db0b[_0x1ba6f5(0x1c72)][_0x1ba6f5(0x1039)]=_0x420a68[0x2],_0x58db0b[_0x1ba6f5(0x1c72)][_0x1ba6f5(0x8dd)]=_0x420a68[0x3],_0x58db0b[_0x1ba6f5(0x1c72)][_0x1ba6f5(0xaf3)]=_0x420a68[_0x1ba6f5(0x1298)](0x4,_0x420a68[_0x1ba6f5(0x402)])[_0x1ba6f5(0xb47)](',');}break;case _0x1ba6f5(0x1713):{const _0xd03c7e=_0x58db0b[_0x1ba6f5(0x1c72)]['appdata'][_0x1ba6f5(0x10c8)](',');_0x58db0b[_0x1ba6f5(0x1c72)]['times']=isNaN(_0xd03c7e[0x0])?_0xd03c7e[0x0]:parseInt(_0xd03c7e[0x0],0xa),_0x58db0b[_0x1ba6f5(0x1c72)][_0x1ba6f5(0xa8d)]=_0xd03c7e[_0x1ba6f5(0x1298)](0x1,_0xd03c7e[_0x1ba6f5(0x402)])[_0x1ba6f5(0xb47)](',');}break;case _0x1ba6f5(0x7fd):_0x58db0b[_0x1ba6f5(0x1c72)][_0x1ba6f5(0xa8d)]=_0x58db0b[_0x1ba6f5(0x1c72)][_0x1ba6f5(0x168a)];break;case _0x1ba6f5(0x26ba):_0x58db0b[_0x1ba6f5(0x1c72)][_0x1ba6f5(0x19eb)]=_0x58db0b['queue'][_0x1ba6f5(0x168a)][_0x1ba6f5(0x10c8)]('=')[0x0],_0x58db0b[_0x1ba6f5(0x1c72)]['value']=_0x58db0b[_0x1ba6f5(0x1c72)][_0x1ba6f5(0x168a)][_0x1ba6f5(0x10c8)]('=')[0x1];break;case _0x1ba6f5(0x1ecb):_0x58db0b[_0x1ba6f5(0x1c72)][_0x1ba6f5(0x9e0)]=_0x58db0b['queue'][_0x1ba6f5(0x168a)];break;default:{const _0x37ffd5=_0x58db0b['queue'][_0x1ba6f5(0x168a)][_0x1ba6f5(0x10c8)](',');_0x58db0b[_0x1ba6f5(0x1c72)][_0x1ba6f5(0x1c72)]=_0x3f65c0()[_0x1ba6f5(0x2635)](_0x37ffd5[0x0])?_0x37ffd5[0x0]:isNaN(_0x37ffd5[0x0])?_0x37ffd5[0x0]:parseInt(_0x37ffd5[0x0],0xa),_0x58db0b['queue'][_0x1ba6f5(0xa08)]=_0x3f65c0()[_0x1ba6f5(0x2635)](_0x37ffd5[0x1])?_0x37ffd5[0x1]:isNaN(_0x37ffd5[0x1])?_0x37ffd5[0x1]:parseInt(_0x37ffd5[0x1],0xa),_0x58db0b['queue'][_0x1ba6f5(0x1227)]=_0x3f65c0()['isEmpty'](_0x37ffd5[0x2])?_0x37ffd5[0x2]:isNaN(_0x37ffd5[0x2])?_0x37ffd5[0x2]:parseInt(_0x37ffd5[0x2],0xa),_0x58db0b['queue'][_0x1ba6f5(0x126d)]=_0x3f65c0()[_0x1ba6f5(0x2635)](_0x37ffd5[0x3])?_0x37ffd5[0x3]:isNaN(_0x37ffd5[0x3])?_0x37ffd5[0x3]:parseInt(_0x37ffd5[0x3],0xa),_0x58db0b['queue']['timeout']=_0x3f65c0()[_0x1ba6f5(0x2635)](_0x37ffd5[0x4])?_0x37ffd5[0x4]:isNaN(_0x37ffd5[0x4])?_0x37ffd5[0x4]:parseInt(_0x37ffd5[0x4],0xa),_0x58db0b[_0x1ba6f5(0x1c72)][_0x1ba6f5(0x1ecb)]=_0x3f65c0()['isEmpty'](_0x37ffd5[0x5])?_0x37ffd5[0x5]:isNaN(_0x37ffd5[0x5])?_0x37ffd5[0x5]:parseInt(_0x37ffd5[0x5],0xa),_0x58db0b['queue'][_0x1ba6f5(0x9d2)]=_0x3f65c0()[_0x1ba6f5(0x2635)](_0x37ffd5[0x6])?_0x37ffd5[0x6]:isNaN(_0x37ffd5[0x6])?_0x37ffd5[0x6]:parseInt(_0x37ffd5[0x6],0xa),_0x58db0b[_0x1ba6f5(0x1c72)][_0x1ba6f5(0x1779)]=_0x3f65c0()['isEmpty'](_0x37ffd5[0x7])?_0x37ffd5[0x7]:isNaN(_0x37ffd5[0x7])?_0x37ffd5[0x7]:parseInt(_0x37ffd5[0x7],0xa),_0x58db0b[_0x1ba6f5(0x1c72)][_0x1ba6f5(0x95e)]=_0x3f65c0()['isEmpty'](_0x37ffd5[0x8])?_0x37ffd5[0x8]:isNaN(_0x37ffd5[0x8])?_0x37ffd5[0x8]:parseInt(_0x37ffd5[0x8],0xa),_0x58db0b[_0x1ba6f5(0x1c72)]['position']=_0x3f65c0()[_0x1ba6f5(0x2635)](_0x37ffd5[0x9])?_0x37ffd5[0x9]:isNaN(_0x37ffd5[0x9])?_0x37ffd5[0x9]:parseInt(_0x37ffd5[0x9],0xa);}break;}else{}_0x58db0b[_0x1ba6f5(0x1c72)]['type']&&_0x58db0b[_0x1ba6f5(0x1c72)][_0x1ba6f5(0x1142)][_0x1ba6f5(0x256e)]()==='outbound'&&_0x58db0b['queue'][_0x1ba6f5(0x8f2)][_0x1ba6f5(0x256e)]()===_0x1ba6f5(0x3bb)&&(_0x58db0b[_0x1ba6f5(0x1c72)][_0x1ba6f5(0x1e12)]=_0x58db0b[_0x1ba6f5(0x1c72)][_0x1ba6f5(0x1d55)]?_0x58db0b['queue'][_0x1ba6f5(0x1d55)][_0x1ba6f5(0x10c8)]('$')[0x0]:undefined,_0x58db0b['queue']['callerId']=_0x58db0b[_0x1ba6f5(0x1c72)][_0x1ba6f5(0x11be)]?_0x1ba6f5(0x1b60)+_0x58db0b[_0x1ba6f5(0x1c72)]['callerID']:undefined);_0x58db0b[_0x1ba6f5(0x1f34)]=_0x4177a0,_0x58db0b[_0x1ba6f5(0x13f3)]=_0x20ed91,_0xac7fcb[_0x1ba6f5(0x23e0)](_0x1ba6f5(0x174b))?_0x148412['sound'][_0x1ba6f5(0x16b4)]({'fields':'id,name,save_name','sort':_0x1ba6f5(0x19eb),'nolimit':'true'})['$promise'][_0x1ba6f5(0x146b)](function(_0x3abde3){const _0x130bb5=_0x1ba6f5;_0x58db0b[_0x130bb5(0xb02)]=_0x3abde3[_0x130bb5(0x19c7)]||[];})[_0x1ba6f5(0x129e)](function(_0x1346b1){const _0x2d767f=_0x1ba6f5;_0x372722[_0x2d767f(0x1980)]({'title':_0x1346b1[_0x2d767f(0x107b)]?_0x2d767f(0x262a)+_0x1346b1['status']+_0x2d767f(0x1315)+_0x1346b1[_0x2d767f(0x167f)]:_0x2d767f(0xd4a),'msg':_0x1346b1['data']?JSON[_0x2d767f(0x10bb)](_0x1346b1[_0x2d767f(0x524)]):_0x1346b1['toString']()});}):_0x148412[_0x1ba6f5(0x126d)]['get']({'fields':_0x1ba6f5(0x222a),'sort':_0x1ba6f5(0x19eb),'nolimit':'true'})[_0x1ba6f5(0x2945)]['then'](function(_0x3aed52){const _0x51a235=_0x1ba6f5;_0x58db0b[_0x51a235(0xb02)]=_0x3aed52['rows']||[];})[_0x1ba6f5(0x146b)](function(){const _0x4c1ba6=_0x1ba6f5;return _0x148412[_0x4c1ba6(0x1366)]['get']({'userProfileId':_0x58db0b[_0x4c1ba6(0x2321)][_0x4c1ba6(0x209a)],'sectionId':0x3ef})[_0x4c1ba6(0x2945)];})[_0x1ba6f5(0x146b)](function(_0x1627ff){const _0x188739=_0x1ba6f5,_0x5215e4=_0x1627ff&&_0x1627ff['rows']?_0x1627ff[_0x188739(0x19c7)][0x0]:null;if(!_0x5215e4){const _0x4bf13a=[];let _0x3b3375=null;_0x58db0b[_0x188739(0x1c72)]&&(_0x3b3375=_0x3f65c0()[_0x188739(0xc84)](_0x58db0b['sounds'],{'save_name':_0x58db0b[_0x188739(0x1c72)][_0x188739(0x126d)]}));for(let _0xd9b8=0x0;_0xd9b8<_0x58db0b['sounds']['length'];_0xd9b8++){_0x3b3375&&_0x58db0b[_0x188739(0xb02)][_0xd9b8]['id']===_0x3b3375['id']&&(_0x58db0b['sounds'][_0xd9b8]['canSelect']=![],_0x4bf13a[_0x188739(0x1f47)](_0x58db0b[_0x188739(0xb02)][_0xd9b8]));}_0x58db0b[_0x188739(0xb02)]=_0x4bf13a;}else{if(!_0x5215e4[_0x188739(0x11d2)])return _0x148412['userProfileResource']['get']({'sectionId':_0x5215e4['id']})[_0x188739(0x2945)]['then'](function(_0x1b9e3f){const _0xf26dcd=_0x188739,_0x3993b7=_0x3f65c0()[_0xf26dcd(0x205)](_0x1b9e3f[_0xf26dcd(0x19c7)],function(_0x20bc72){const _0x49c264=_0xf26dcd;return _0x3f65c0()[_0x49c264(0xc84)](_0x58db0b[_0x49c264(0xb02)],{'id':_0x20bc72[_0x49c264(0x18b8)]});});let _0x44062b=null;_0x58db0b[_0xf26dcd(0x1c72)]&&(_0x44062b=_0x3f65c0()[_0xf26dcd(0xc84)](_0x58db0b[_0xf26dcd(0xb02)],{'save_name':_0x58db0b[_0xf26dcd(0x1c72)]['sound']}));if(_0x44062b&&!_0x3f65c0()[_0xf26dcd(0x1360)](_0x3993b7,['id',_0x44062b['id']])){const _0x35d6cc=_0x3f65c0()['find'](_0x58db0b[_0xf26dcd(0xb02)],{'id':_0x44062b['id']});_0x35d6cc['canSelect']=![],_0x3993b7[_0xf26dcd(0x1f47)](_0x35d6cc);}_0x58db0b[_0xf26dcd(0xb02)]=_0x3993b7;});}})['catch'](function(_0x337fa9){const _0x2d7da4=_0x1ba6f5;_0x372722[_0x2d7da4(0x1980)]({'title':_0x337fa9[_0x2d7da4(0x107b)]?'API:'+_0x337fa9['status']+_0x2d7da4(0x1315)+_0x337fa9['statusText']:_0x2d7da4(0x2682),'msg':_0x337fa9[_0x2d7da4(0x524)]?JSON['stringify'](_0x337fa9[_0x2d7da4(0x524)]):_0x337fa9[_0x2d7da4(0xd5f)]()});}),_0xac7fcb[_0x1ba6f5(0x23e0)](_0x1ba6f5(0x174b))?_0x148412[_0x1ba6f5(0x1446)]['get']({'type':'inbound','fields':_0x1ba6f5(0x45e),'sort':_0x1ba6f5(0x19eb),'nolimit':_0x1ba6f5(0x1185)})[_0x1ba6f5(0x2945)][_0x1ba6f5(0x146b)](function(_0x5e8726){const _0x2ae56b=_0x1ba6f5;_0x58db0b[_0x2ae56b(0x1b32)]=_0x5e8726[_0x2ae56b(0x19c7)]||[];})['catch'](function(_0x29b112){const _0x5e0834=_0x1ba6f5;_0x372722['error']({'title':_0x29b112[_0x5e0834(0x107b)]?'API:'+_0x29b112[_0x5e0834(0x107b)]+'\x20-\x20'+_0x29b112[_0x5e0834(0x167f)]:_0x5e0834(0x22d8),'msg':_0x29b112[_0x5e0834(0x524)]?JSON[_0x5e0834(0x10bb)](_0x29b112[_0x5e0834(0x524)]):_0x29b112[_0x5e0834(0xd5f)]()});}):_0x148412[_0x1ba6f5(0x1446)][_0x1ba6f5(0x16b4)]({'type':'inbound','fields':'id,name,type','sort':'name','nolimit':'true'})[_0x1ba6f5(0x2945)][_0x1ba6f5(0x146b)](function(_0x362595){const _0x1cf01b=_0x1ba6f5;_0x58db0b[_0x1cf01b(0x1b32)]=_0x362595[_0x1cf01b(0x19c7)]||[];})[_0x1ba6f5(0x146b)](function(){const _0x5866c6=_0x1ba6f5;return _0x148412[_0x5866c6(0x1366)][_0x5866c6(0x16b4)]({'userProfileId':_0x58db0b[_0x5866c6(0x2321)][_0x5866c6(0x209a)],'sectionId':0x191})[_0x5866c6(0x2945)];})[_0x1ba6f5(0x146b)](function(_0x5efad4){const _0x1d44f6=_0x1ba6f5,_0x399048=_0x5efad4&&_0x5efad4[_0x1d44f6(0x19c7)]?_0x5efad4[_0x1d44f6(0x19c7)][0x0]:null;if(!_0x399048){const _0x29c9e2=[];let _0x216986=null;_0x58db0b[_0x1d44f6(0x1c72)]&&(_0x216986=_0x3f65c0()['find'](_0x58db0b[_0x1d44f6(0x1b32)],{'name':_0x58db0b['queue'][_0x1d44f6(0x1c72)]}));for(let _0x12ee22=0x0;_0x12ee22<_0x58db0b[_0x1d44f6(0x1b32)]['length'];_0x12ee22++){_0x216986&&_0x58db0b[_0x1d44f6(0x1b32)][_0x12ee22]['id']===_0x216986['id']&&(_0x58db0b[_0x1d44f6(0x1b32)][_0x12ee22][_0x1d44f6(0x8ff)]=![],_0x29c9e2['push'](_0x58db0b[_0x1d44f6(0x1b32)][_0x12ee22]));}_0x58db0b[_0x1d44f6(0x1b32)]=_0x29c9e2;}else{if(!_0x399048[_0x1d44f6(0x11d2)])return _0x148412['userProfileResource'][_0x1d44f6(0x16b4)]({'sectionId':_0x399048['id']})['$promise'][_0x1d44f6(0x146b)](function(_0x5681a3){const _0x2c4b8a=_0x1d44f6,_0x47fc60=_0x3f65c0()[_0x2c4b8a(0x205)](_0x5681a3[_0x2c4b8a(0x19c7)],function(_0x27552a){const _0x5dc268=_0x2c4b8a;return _0x3f65c0()[_0x5dc268(0xc84)](_0x58db0b[_0x5dc268(0x1b32)],{'id':_0x27552a[_0x5dc268(0x18b8)]});});let _0x450072=null;_0x58db0b[_0x2c4b8a(0x1c72)]&&(_0x450072=_0x3f65c0()['find'](_0x58db0b[_0x2c4b8a(0x1b32)],{'name':_0x58db0b['queue'][_0x2c4b8a(0x1c72)]}));if(_0x450072&&!_0x3f65c0()[_0x2c4b8a(0x1360)](_0x47fc60,['id',_0x450072['id']])){const _0x502149=_0x3f65c0()[_0x2c4b8a(0xc84)](_0x58db0b['queues'],{'id':_0x450072['id']});_0x502149[_0x2c4b8a(0x8ff)]=![],_0x47fc60['push'](_0x502149);}_0x58db0b['queues']=_0x47fc60;});}})[_0x1ba6f5(0x129e)](function(_0x346adf){const _0x3d7e63=_0x1ba6f5;_0x372722[_0x3d7e63(0x1980)]({'title':_0x346adf[_0x3d7e63(0x107b)]?_0x3d7e63(0x262a)+_0x346adf['status']+_0x3d7e63(0x1315)+_0x346adf[_0x3d7e63(0x167f)]:_0x3d7e63(0x561),'msg':_0x346adf[_0x3d7e63(0x524)]?JSON[_0x3d7e63(0x10bb)](_0x346adf['data']):_0x346adf[_0x3d7e63(0xd5f)]()});});function _0x4177a0(){const _0x2b7bdd=_0x1ba6f5;_0x58db0b['errors']=[];const _0x2f31bb=[];_0x58db0b[_0x2b7bdd(0x1c72)]['type']&&_0x58db0b[_0x2b7bdd(0x1c72)][_0x2b7bdd(0x1142)]['toLowerCase']()===_0x2b7bdd(0xc9c)&&_0x58db0b[_0x2b7bdd(0x1c72)][_0x2b7bdd(0x8f2)]===_0x2b7bdd(0x118b)&&(_0x58db0b[_0x2b7bdd(0x1c72)][_0x2b7bdd(0x1d55)]=_0xbddb98[_0x2b7bdd(0x1274)]?(_0x58db0b[_0x2b7bdd(0x1c72)][_0x2b7bdd(0x1e12)]||'')+'${EXTEN:'+_0xbddb98['cutdigits']+'}':(_0x58db0b[_0x2b7bdd(0x1c72)][_0x2b7bdd(0x1e12)]||'')+_0x2b7bdd(0x20df),_0xbddb98[_0x2b7bdd(0x1425)]!=='none'?_0x58db0b[_0x2b7bdd(0x1c72)]['options']['indexOf'](_0x2b7bdd(0x1ea4))<0x0&&(_0x58db0b[_0x2b7bdd(0x1c72)][_0x2b7bdd(0xa08)]+=_0x2b7bdd(0x1ea4)):_0x58db0b[_0x2b7bdd(0x1c72)][_0x2b7bdd(0xa08)]=_0x58db0b['queue'][_0x2b7bdd(0xa08)][_0x2b7bdd(0x5f4)](_0x2b7bdd(0x1ea4),''));if(_0x58db0b[_0x2b7bdd(0x1c72)][_0x2b7bdd(0x8f2)]&&_0x58db0b['queue'][_0x2b7bdd(0x8f2)]==='custom'){}else switch((_0x58db0b[_0x2b7bdd(0x1c72)][_0x2b7bdd(0x1873)]||_0x58db0b[_0x2b7bdd(0x1c72)][_0x2b7bdd(0x8f2)])['toLowerCase']()){case _0x2b7bdd(0x26ba):_0x58db0b[_0x2b7bdd(0x1c72)][_0x2b7bdd(0x168a)]=_0x58db0b[_0x2b7bdd(0x1c72)][_0x2b7bdd(0x19eb)]+'='+_0x58db0b[_0x2b7bdd(0x1c72)]['value'];break;case _0x2b7bdd(0x1802):break;default:_0x2f31bb[0x0]=_0x58db0b[_0x2b7bdd(0x1c72)][_0x2b7bdd(0x1c72)],_0x2f31bb[0x1]=_0x58db0b['queue'][_0x2b7bdd(0xa08)],_0x2f31bb[0x2]=_0x58db0b[_0x2b7bdd(0x1c72)]['URL'],_0x2f31bb[0x3]=_0x58db0b['queue'][_0x2b7bdd(0x126d)],_0x2f31bb[0x4]=_0x58db0b[_0x2b7bdd(0x1c72)]['timeout'],_0x2f31bb[0x5]=_0x58db0b['queue']['agi'],_0x2f31bb[0x6]=_0x58db0b[_0x2b7bdd(0x1c72)][_0x2b7bdd(0x9d2)],_0x2f31bb[0x7]=_0x58db0b[_0x2b7bdd(0x1c72)][_0x2b7bdd(0x1779)],_0x2f31bb[0x8]=_0x58db0b['queue'][_0x2b7bdd(0x95e)],_0x2f31bb[0x9]=_0x58db0b[_0x2b7bdd(0x1c72)][_0x2b7bdd(0x21ca)],_0x58db0b['queue'][_0x2b7bdd(0x168a)]=_0x2f31bb['join'](',');}_0x20ed91(_0x58db0b[_0x2b7bdd(0x1c72)]);}function _0x20ed91(_0x37742f){const _0x2c6235=_0x1ba6f5;_0x219e8a[_0x2c6235(0x2458)](_0x37742f);}}const _0x47eb02=_0x3acdaa;;_0x274fa6[_0x313a4d(0x11c2)]=['$mdDialog','$q',_0x313a4d(0x1fe4),_0x313a4d(0x1492),'internalroute',_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x274fa6(_0x3a2177,_0x5b7a87,_0x35b406,_0x1d0d8f,_0x4c9b28,_0x598c0,_0x384515,_0x255ca4){const _0x2260f1=_0x313a4d,_0x56225f=this;_0x56225f[_0x2260f1(0x2321)]=_0x384515[_0x2260f1(0xb12)](),_0x56225f[_0x2260f1(0xcef)]=[],_0x56225f['title']=_0x2260f1(0x1950)+(_0x1d0d8f[_0x2260f1(0x8f2)]||_0x1d0d8f['app'])['toUpperCase'](),_0x56225f[_0x2260f1(0x248d)]=angular[_0x2260f1(0x235a)](_0x1d0d8f),_0x56225f[_0x2260f1(0x2514)]=_0x255ca4,_0x56225f[_0x2260f1(0x855)]={};if(_0x56225f[_0x2260f1(0x248d)]['appdata'])switch(_0x56225f[_0x2260f1(0x248d)]['appType']?_0x56225f[_0x2260f1(0x248d)]['appType'][_0x2260f1(0x256e)]():_0x56225f['ringgroup']['app']['toLowerCase']()){case _0x2260f1(0x1802):break;case _0x2260f1(0xeaa):{const _0x1f8b9d=_0x56225f[_0x2260f1(0x248d)][_0x2260f1(0x168a)][_0x2260f1(0x10c8)](',');_0x56225f[_0x2260f1(0x248d)][_0x2260f1(0x2293)]=_0x1f8b9d[0x0],_0x56225f[_0x2260f1(0x248d)][_0x2260f1(0x90b)]=_0x1f8b9d[0x1],_0x56225f[_0x2260f1(0x248d)]['welcomemessage']=_0x1f8b9d[_0x2260f1(0x1298)](0x2,_0x1f8b9d['length'])['join'](',');}break;case _0x2260f1(0xa46):{const _0xdf8428=_0x56225f[_0x2260f1(0x248d)][_0x2260f1(0x168a)][_0x2260f1(0x10c8)](',');_0x56225f[_0x2260f1(0x248d)][_0x2260f1(0x153a)]=_0xdf8428[0x0],_0x56225f[_0x2260f1(0x248d)][_0x2260f1(0x1392)]=_0xdf8428[0x1],_0x56225f[_0x2260f1(0x248d)][_0x2260f1(0x2217)]=_0xdf8428[0x2],_0x56225f[_0x2260f1(0x248d)]['language']=_0xdf8428[0x3],_0x56225f['ringgroup'][_0x2260f1(0xaf3)]=_0xdf8428[_0x2260f1(0x1298)](0x4,_0xdf8428[_0x2260f1(0x402)])[_0x2260f1(0xb47)](',');}break;case'amazonlex':{const _0x1f9a60=_0x56225f['ringgroup'][_0x2260f1(0x168a)][_0x2260f1(0x10c8)](',');_0x56225f['ringgroup'][_0x2260f1(0x1651)]=_0x1f9a60[0x0],_0x56225f[_0x2260f1(0x248d)][_0x2260f1(0x252)]=_0x1f9a60[0x1],_0x56225f[_0x2260f1(0x248d)]['lexregion']=_0x1f9a60[0x2],_0x56225f[_0x2260f1(0x248d)][_0x2260f1(0x8dd)]=_0x1f9a60[0x3],_0x56225f[_0x2260f1(0x248d)][_0x2260f1(0xaf3)]=_0x1f9a60[_0x2260f1(0x1298)](0x4,_0x1f9a60[_0x2260f1(0x402)])['join'](',');}break;case _0x2260f1(0x1713):{const _0xa6bc40=_0x56225f[_0x2260f1(0x248d)][_0x2260f1(0x168a)][_0x2260f1(0x10c8)](',');_0x56225f[_0x2260f1(0x248d)]['times']=isNaN(_0xa6bc40[0x0])?_0xa6bc40[0x0]:parseInt(_0xa6bc40[0x0],0xa),_0x56225f[_0x2260f1(0x248d)][_0x2260f1(0xa8d)]=_0xa6bc40[_0x2260f1(0x1298)](0x1,_0xa6bc40['length'])[_0x2260f1(0xb47)](',');}break;case _0x2260f1(0x7fd):_0x56225f['ringgroup'][_0x2260f1(0xa8d)]=_0x56225f[_0x2260f1(0x248d)][_0x2260f1(0x168a)];break;case'set':_0x56225f[_0x2260f1(0x248d)][_0x2260f1(0x19eb)]=_0x56225f[_0x2260f1(0x248d)][_0x2260f1(0x168a)][_0x2260f1(0x10c8)]('=')[0x0],_0x56225f[_0x2260f1(0x248d)][_0x2260f1(0x175d)]=_0x56225f[_0x2260f1(0x248d)][_0x2260f1(0x168a)][_0x2260f1(0x10c8)]('=')[0x1];break;case'agi':_0x56225f[_0x2260f1(0x248d)][_0x2260f1(0x9e0)]=_0x56225f[_0x2260f1(0x248d)]['appdata'];break;default:{const _0x369ae8=_0x56225f[_0x2260f1(0x248d)]['appdata'][_0x2260f1(0x10c8)](',');_0x56225f['ringgroup'][_0x2260f1(0x96a)]=_0x369ae8[0x0][_0x2260f1(0x10c8)]('&'),_0x56225f[_0x2260f1(0x248d)][_0x2260f1(0xa9c)]=_0x3f65c0()['isEmpty'](_0x369ae8[0x1])?_0x369ae8[0x1]:isNaN(_0x369ae8[0x1])?_0x369ae8[0x1]:parseInt(_0x369ae8[0x1],0xa),_0x56225f[_0x2260f1(0x248d)][_0x2260f1(0xa08)]=_0x3f65c0()[_0x2260f1(0x2635)](_0x369ae8[0x2])?_0x369ae8[0x2]:isNaN(_0x369ae8[0x2])?_0x369ae8[0x2]:parseInt(_0x369ae8[0x2],0xa),_0x56225f['ringgroup'][_0x2260f1(0x20cc)]=_0x3f65c0()[_0x2260f1(0x2635)](_0x369ae8[0x3])?_0x369ae8[0x3]:isNaN(_0x369ae8[0x3])?_0x369ae8[0x3]:parseInt(_0x369ae8[0x3],0xa);}break;}else{}_0x56225f[_0x2260f1(0x248d)][_0x2260f1(0x1142)]&&_0x56225f[_0x2260f1(0x248d)]['type'][_0x2260f1(0x256e)]()===_0x2260f1(0xc9c)&&_0x56225f[_0x2260f1(0x248d)][_0x2260f1(0x8f2)][_0x2260f1(0x256e)]()===_0x2260f1(0x3bb)&&(_0x56225f[_0x2260f1(0x248d)][_0x2260f1(0x1e12)]=_0x56225f[_0x2260f1(0x248d)]['phone']?_0x56225f[_0x2260f1(0x248d)][_0x2260f1(0x1d55)][_0x2260f1(0x10c8)]('$')[0x0]:undefined,_0x56225f['ringgroup'][_0x2260f1(0x1d43)]=_0x56225f[_0x2260f1(0x248d)][_0x2260f1(0x11be)]?'CALLERID(all)='+_0x56225f['ringgroup']['callerID']:undefined);_0x56225f[_0x2260f1(0x1f34)]=_0x1e13d8,_0x56225f[_0x2260f1(0x13f3)]=_0x50c7b4;const _0x5e69bc=[{'id':0xca,'role':_0x2260f1(0x1755)},{'id':0xcb,'role':'telephone'}];let _0x205d6c=null;_0x384515[_0x2260f1(0x23e0)](_0x2260f1(0x174b))?_0x598c0[_0x2260f1(0xe7b)][_0x2260f1(0x16b4)]({'fields':_0x2260f1(0x245b),'sort':_0x2260f1(0x19eb),'nolimit':_0x2260f1(0x1185)})[_0x2260f1(0x2945)][_0x2260f1(0x146b)](function(_0xcc8481){const _0x33f7b8=_0x2260f1;_0x56225f[_0x33f7b8(0x1995)]=_0xcc8481['rows']||[];})[_0x2260f1(0x129e)](function(_0x2f886f){const _0x3b52a7=_0x2260f1;_0x35b406[_0x3b52a7(0x1980)]({'title':_0x2f886f['status']?_0x3b52a7(0x262a)+_0x2f886f[_0x3b52a7(0x107b)]+'\x20-\x20'+_0x2f886f[_0x3b52a7(0x167f)]:'SYSTEM:GET_USERS','msg':_0x2f886f[_0x3b52a7(0x524)]?JSON[_0x3b52a7(0x10bb)](_0x2f886f[_0x3b52a7(0x524)]):_0x2f886f[_0x3b52a7(0xd5f)]()});}):_0x598c0['user'][_0x2260f1(0x16b4)]({'fields':_0x2260f1(0x245b),'sort':_0x2260f1(0x19eb),'nolimit':'true'})[_0x2260f1(0x2945)][_0x2260f1(0x146b)](function(_0x419a61){_0x205d6c=_0x419a61['rows']||[];})['then'](function(){const _0x181155=_0x2260f1,_0x34a3cf=[];for(let _0x111a1d=0x0;_0x111a1d<_0x5e69bc[_0x181155(0x402)];_0x111a1d++){_0x34a3cf[_0x181155(0x1f47)](_0x598c0[_0x181155(0x1366)][_0x181155(0x16b4)]({'userProfileId':_0x56225f['currentUser'][_0x181155(0x209a)],'sectionId':_0x5e69bc[_0x111a1d]['id']})[_0x181155(0x2945)]);}return _0x5b7a87['all'](_0x34a3cf);})[_0x2260f1(0x146b)](function(_0x53734e){const _0x13a85d=_0x2260f1,_0x34fb56=_0x3f65c0()[_0x13a85d(0x205)](_0x53734e,function(_0x468b21){const _0x155f58=_0x13a85d;return _0x468b21&&_0x468b21[_0x155f58(0x19c7)]?_0x468b21[_0x155f58(0x19c7)][0x0]:null;}),_0x19127c=[];for(let _0x1bebff=0x0;_0x1bebff<_0x5e69bc[_0x13a85d(0x402)];_0x1bebff++){const _0x28b68d=_0x3f65c0()[_0x13a85d(0xc84)](_0x34fb56,[_0x13a85d(0x631),_0x5e69bc[_0x1bebff]['id']]);if(_0x28b68d){if(!_0x28b68d[_0x13a85d(0x11d2)])_0x19127c[_0x13a85d(0x1f47)](_0x598c0['userProfileResource'][_0x13a85d(0x16b4)]({'sectionId':_0x28b68d['id']})['$promise']);else{const _0x28b094=[];_0x205d6c[_0x13a85d(0x1df5)](function(_0x12a4fe){const _0x21bb95=_0x13a85d;_0x12a4fe['role']===_0x5e69bc[_0x1bebff][_0x21bb95(0xfb0)]&&_0x28b094[_0x21bb95(0x1f47)](_0x12a4fe);}),_0x19127c[_0x13a85d(0x1f47)]({'rows':_0x28b094,'count':_0x28b094[_0x13a85d(0x402)]});}}}return _0x5b7a87[_0x13a85d(0x1be2)](_0x19127c);})[_0x2260f1(0x146b)](function(_0x5aa835){const _0x416527=_0x2260f1,_0x5575f2=[];let _0x874a4c=null;_0x56225f[_0x416527(0x248d)]&&(_0x874a4c=_0x3f65c0()[_0x416527(0xc84)](_0x205d6c,{'name':_0x56225f[_0x416527(0x248d)][_0x416527(0x96a)]}));for(let _0x20a1c6=0x0;_0x20a1c6<_0x5aa835[_0x416527(0x402)];_0x20a1c6++){const _0xa071d0=_0x5aa835[_0x20a1c6][_0x416527(0x19c7)]||[];_0xa071d0[_0x416527(0x1df5)](function(_0x1c9e6c){const _0x5d715c=_0x416527;_0x5575f2[_0x5d715c(0x1f47)](_0x1c9e6c);});}_0x874a4c&&!_0x3f65c0()[_0x416527(0x1360)](_0x5575f2,['resourceId',_0x874a4c['id']])&&_0x205d6c[_0x416527(0x1df5)](function(_0xd0f6b1){const _0x5b00f4=_0x416527;_0xd0f6b1['id']===_0x874a4c['id']&&(_0xd0f6b1[_0x5b00f4(0x8ff)]=![],_0x5575f2[_0x5b00f4(0x1f47)](_0xd0f6b1));}),_0x56225f[_0x416527(0x1995)]=_0x5575f2;})[_0x2260f1(0x129e)](function(_0x5de742){const _0x1c6161=_0x2260f1;_0x35b406[_0x1c6161(0x1980)]({'title':_0x5de742[_0x1c6161(0x107b)]?_0x1c6161(0x262a)+_0x5de742[_0x1c6161(0x107b)]+_0x1c6161(0x1315)+_0x5de742[_0x1c6161(0x167f)]:_0x1c6161(0x8d5),'msg':_0x5de742[_0x1c6161(0x524)]?JSON[_0x1c6161(0x10bb)](_0x5de742['data']):_0x5de742['toString']()});});function _0x1e13d8(){const _0x20582b=_0x2260f1;_0x56225f['errors']=[];const _0x7a4504=[];_0x56225f[_0x20582b(0x248d)][_0x20582b(0x1142)]&&_0x56225f[_0x20582b(0x248d)]['type'][_0x20582b(0x256e)]()===_0x20582b(0xc9c)&&_0x56225f[_0x20582b(0x248d)][_0x20582b(0x8f2)]===_0x20582b(0x118b)&&(_0x56225f['ringgroup'][_0x20582b(0x1d55)]=_0x4c9b28[_0x20582b(0x1274)]?(_0x56225f[_0x20582b(0x248d)][_0x20582b(0x1e12)]||'')+'${EXTEN:'+_0x4c9b28['cutdigits']+'}':(_0x56225f[_0x20582b(0x248d)][_0x20582b(0x1e12)]||'')+_0x20582b(0x20df),_0x4c9b28[_0x20582b(0x1425)]!==_0x20582b(0x1642)?_0x56225f[_0x20582b(0x248d)][_0x20582b(0xa08)][_0x20582b(0x172b)](_0x20582b(0x1ea4))<0x0&&(_0x56225f[_0x20582b(0x248d)][_0x20582b(0xa08)]+=_0x20582b(0x1ea4)):_0x56225f['ringgroup']['options']=_0x56225f[_0x20582b(0x248d)][_0x20582b(0xa08)][_0x20582b(0x5f4)]('U(xcally-mixmonitor-context)',''));if(_0x56225f[_0x20582b(0x248d)][_0x20582b(0x8f2)]&&_0x56225f[_0x20582b(0x248d)][_0x20582b(0x8f2)]===_0x20582b(0x1802)){}else switch((_0x56225f[_0x20582b(0x248d)][_0x20582b(0x1873)]||_0x56225f[_0x20582b(0x248d)]['appType'])[_0x20582b(0x256e)]()){case _0x20582b(0x26ba):_0x56225f[_0x20582b(0x248d)]['appdata']=_0x56225f[_0x20582b(0x248d)]['name']+'='+_0x56225f[_0x20582b(0x248d)]['value'];break;case'custom':break;default:_0x7a4504[0x0]=_0x56225f[_0x20582b(0x248d)]['multipleUsers'][_0x20582b(0xb47)]('&'),_0x7a4504[0x1]=_0x56225f[_0x20582b(0x248d)][_0x20582b(0xa9c)],_0x7a4504[0x2]=_0x56225f[_0x20582b(0x248d)][_0x20582b(0xa08)],_0x7a4504[0x3]=_0x56225f['ringgroup'][_0x20582b(0x20cc)],_0x56225f[_0x20582b(0x248d)][_0x20582b(0x168a)]=_0x7a4504[_0x20582b(0xb47)](',');}_0x50c7b4(_0x56225f[_0x20582b(0x248d)]);}function _0x50c7b4(_0x1aa584){const _0x47acc4=_0x2260f1;_0x3a2177[_0x47acc4(0x2458)](_0x1aa584);}}const _0x58020f=_0x274fa6;;_0x58f395['$inject']=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x1492),'internalroute',_0x313a4d(0x247f),_0x313a4d(0xa87),'crudPermissions'];function _0x58f395(_0x392757,_0x12e376,_0x3130bf,_0x44b434,_0x3734d1,_0xf25ed7,_0xbb6b6a,_0x1db90a){const _0x4bdb6b=_0x313a4d,_0x4974af=this;_0x4974af['currentUser']=_0xbb6b6a[_0x4bdb6b(0xb12)](),_0x4974af[_0x4bdb6b(0xcef)]=[],_0x4974af['title']=_0x4bdb6b(0x1950)+(_0x44b434['appType']||_0x44b434[_0x4bdb6b(0x1873)])[_0x4bdb6b(0x1c37)](),_0x4974af[_0x4bdb6b(0x26ba)]=angular['copy'](_0x44b434),_0x4974af[_0x4bdb6b(0x2514)]=_0x1db90a,_0x4974af[_0x4bdb6b(0x855)]={};if(_0x4974af[_0x4bdb6b(0x26ba)][_0x4bdb6b(0x168a)])switch(_0x4974af[_0x4bdb6b(0x26ba)][_0x4bdb6b(0x8f2)]?_0x4974af[_0x4bdb6b(0x26ba)][_0x4bdb6b(0x8f2)][_0x4bdb6b(0x256e)]():_0x4974af[_0x4bdb6b(0x26ba)][_0x4bdb6b(0x1873)][_0x4bdb6b(0x256e)]()){case _0x4bdb6b(0x1802):break;case _0x4bdb6b(0xeaa):{const _0x2f7dd6=_0x4974af[_0x4bdb6b(0x26ba)]['appdata'][_0x4bdb6b(0x10c8)](',');_0x4974af[_0x4bdb6b(0x26ba)][_0x4bdb6b(0x2293)]=_0x2f7dd6[0x0],_0x4974af[_0x4bdb6b(0x26ba)]['language']=_0x2f7dd6[0x1],_0x4974af[_0x4bdb6b(0x26ba)]['welcomemessage']=_0x2f7dd6[_0x4bdb6b(0x1298)](0x2,_0x2f7dd6[_0x4bdb6b(0x402)])[_0x4bdb6b(0xb47)](',');}break;case'dialogflowv2':{const _0x22b51d=_0x4974af[_0x4bdb6b(0x26ba)][_0x4bdb6b(0x168a)]['split'](',');_0x4974af['set'][_0x4bdb6b(0x153a)]=_0x22b51d[0x0],_0x4974af[_0x4bdb6b(0x26ba)][_0x4bdb6b(0x1392)]=_0x22b51d[0x1],_0x4974af[_0x4bdb6b(0x26ba)][_0x4bdb6b(0x2217)]=_0x22b51d[0x2],_0x4974af[_0x4bdb6b(0x26ba)][_0x4bdb6b(0x90b)]=_0x22b51d[0x3],_0x4974af['set'][_0x4bdb6b(0xaf3)]=_0x22b51d[_0x4bdb6b(0x1298)](0x4,_0x22b51d[_0x4bdb6b(0x402)])[_0x4bdb6b(0xb47)](',');}break;case _0x4bdb6b(0x17d6):{const _0x42a18b=_0x4974af[_0x4bdb6b(0x26ba)][_0x4bdb6b(0x168a)][_0x4bdb6b(0x10c8)](',');_0x4974af[_0x4bdb6b(0x26ba)][_0x4bdb6b(0x1651)]=_0x42a18b[0x0],_0x4974af['set'][_0x4bdb6b(0x252)]=_0x42a18b[0x1],_0x4974af[_0x4bdb6b(0x26ba)][_0x4bdb6b(0x1039)]=_0x42a18b[0x2],_0x4974af[_0x4bdb6b(0x26ba)]['botname']=_0x42a18b[0x3],_0x4974af[_0x4bdb6b(0x26ba)][_0x4bdb6b(0xaf3)]=_0x42a18b[_0x4bdb6b(0x1298)](0x4,_0x42a18b[_0x4bdb6b(0x402)])['join'](',');}break;case _0x4bdb6b(0x1713):{const _0x3b2aa2=_0x4974af[_0x4bdb6b(0x26ba)][_0x4bdb6b(0x168a)][_0x4bdb6b(0x10c8)](',');_0x4974af[_0x4bdb6b(0x26ba)][_0x4bdb6b(0x583)]=isNaN(_0x3b2aa2[0x0])?_0x3b2aa2[0x0]:parseInt(_0x3b2aa2[0x0],0xa),_0x4974af[_0x4bdb6b(0x26ba)][_0x4bdb6b(0xa8d)]=_0x3b2aa2[_0x4bdb6b(0x1298)](0x1,_0x3b2aa2['length'])[_0x4bdb6b(0xb47)](',');}break;case _0x4bdb6b(0x7fd):_0x4974af['set']['text']=_0x4974af[_0x4bdb6b(0x26ba)]['appdata'];break;case'set':_0x4974af[_0x4bdb6b(0x26ba)][_0x4bdb6b(0x19eb)]=_0x4974af[_0x4bdb6b(0x26ba)][_0x4bdb6b(0x168a)][_0x4bdb6b(0x10c8)]('=')[0x0],_0x4974af['set']['value']=_0x4974af['set'][_0x4bdb6b(0x168a)][_0x4bdb6b(0x10c8)]('=')[0x1];break;case'agi':_0x4974af[_0x4bdb6b(0x26ba)]['project']=_0x4974af['set']['appdata'];break;default:{const _0x4d8837=_0x4974af['set']['appdata'][_0x4bdb6b(0x10c8)]('=');_0x4974af['set'][_0x4bdb6b(0x19eb)]=_0x3f65c0()[_0x4bdb6b(0x2635)](_0x4d8837[0x0])?_0x4d8837[0x0]:isNaN(_0x4d8837[0x0])?_0x4d8837[0x0]:parseInt(_0x4d8837[0x0],0xa),_0x4974af[_0x4bdb6b(0x26ba)][_0x4bdb6b(0x175d)]=_0x3f65c0()[_0x4bdb6b(0x2635)](_0x4d8837[0x1])?_0x4d8837[0x1]:isNaN(_0x4d8837[0x1])?_0x4d8837[0x1]:parseInt(_0x4d8837[0x1],0xa);}break;}else{}_0x4974af[_0x4bdb6b(0x26ba)][_0x4bdb6b(0x1142)]&&_0x4974af[_0x4bdb6b(0x26ba)][_0x4bdb6b(0x1142)][_0x4bdb6b(0x256e)]()===_0x4bdb6b(0xc9c)&&_0x4974af[_0x4bdb6b(0x26ba)][_0x4bdb6b(0x8f2)]['toLowerCase']()===_0x4bdb6b(0x3bb)&&(_0x4974af[_0x4bdb6b(0x26ba)]['prefix']=_0x4974af[_0x4bdb6b(0x26ba)]['phone']?_0x4974af[_0x4bdb6b(0x26ba)][_0x4bdb6b(0x1d55)][_0x4bdb6b(0x10c8)]('$')[0x0]:undefined,_0x4974af[_0x4bdb6b(0x26ba)]['callerId']=_0x4974af[_0x4bdb6b(0x26ba)]['callerID']?_0x4bdb6b(0x1b60)+_0x4974af[_0x4bdb6b(0x26ba)][_0x4bdb6b(0x11be)]:undefined);_0x4974af[_0x4bdb6b(0x1f34)]=_0x3b2e29,_0x4974af[_0x4bdb6b(0x13f3)]=_0x42fbf6,_0xbb6b6a[_0x4bdb6b(0x23e0)]('admin')?_0xf25ed7[_0x4bdb6b(0x212)][_0x4bdb6b(0x16b4)]({'fields':_0x4bdb6b(0x7a7),'sort':_0x4bdb6b(0x19eb),'nolimit':_0x4bdb6b(0x1185)})[_0x4bdb6b(0x2945)][_0x4bdb6b(0x146b)](function(_0x27dfa8){const _0x979f49=_0x4bdb6b;_0x4974af[_0x979f49(0x85b)]=_0x27dfa8['rows']||[];})['catch'](function(_0x4b0d97){const _0x1c9995=_0x4bdb6b;_0x3130bf['error']({'title':_0x4b0d97['status']?_0x1c9995(0x262a)+_0x4b0d97['status']+_0x1c9995(0x1315)+_0x4b0d97[_0x1c9995(0x167f)]:_0x1c9995(0x84b),'msg':_0x4b0d97[_0x1c9995(0x524)]?JSON[_0x1c9995(0x10bb)](_0x4b0d97[_0x1c9995(0x524)]):_0x4b0d97[_0x1c9995(0xd5f)]()});}):_0xf25ed7[_0x4bdb6b(0x212)][_0x4bdb6b(0x16b4)]({'fields':_0x4bdb6b(0x7a7),'sort':_0x4bdb6b(0x19eb),'nolimit':_0x4bdb6b(0x1185)})[_0x4bdb6b(0x2945)][_0x4bdb6b(0x146b)](function(_0x3cd861){const _0x45a496=_0x4bdb6b;_0x4974af[_0x45a496(0x85b)]=_0x3cd861[_0x45a496(0x19c7)]||[];})[_0x4bdb6b(0x146b)](function(){const _0x16d2e1=_0x4bdb6b;return _0xf25ed7[_0x16d2e1(0x1366)][_0x16d2e1(0x16b4)]({'userProfileId':_0x4974af[_0x16d2e1(0x2321)][_0x16d2e1(0x209a)],'sectionId':0x3f4})[_0x16d2e1(0x2945)];})[_0x4bdb6b(0x146b)](function(_0x949162){const _0x182341=_0x4bdb6b,_0x495d3a=_0x949162&&_0x949162[_0x182341(0x19c7)]?_0x949162[_0x182341(0x19c7)][0x0]:null;if(!_0x495d3a){const _0x5ddb9b=[];let _0x5cae57=null;_0x4974af['set']&&(_0x5cae57=_0x3f65c0()[_0x182341(0xc84)](_0x4974af[_0x182341(0x85b)],{'name':_0x4974af[_0x182341(0x26ba)][_0x182341(0x19eb)]}));for(let _0x194930=0x0;_0x194930<_0x4974af[_0x182341(0x85b)][_0x182341(0x402)];_0x194930++){_0x5cae57&&_0x4974af['variables'][_0x194930]['id']===_0x5cae57['id']&&(_0x4974af[_0x182341(0x85b)][_0x194930][_0x182341(0x8ff)]=![],_0x5ddb9b[_0x182341(0x1f47)](_0x4974af[_0x182341(0x85b)][_0x194930]));}_0x4974af[_0x182341(0x85b)]=_0x5ddb9b;}else{if(!_0x495d3a[_0x182341(0x11d2)])return _0xf25ed7[_0x182341(0xdcc)]['get']({'sectionId':_0x495d3a['id']})[_0x182341(0x2945)][_0x182341(0x146b)](function(_0x3816e2){const _0x13580d=_0x182341,_0x33bde5=_0x3f65c0()[_0x13580d(0x205)](_0x3816e2[_0x13580d(0x19c7)],function(_0x49576f){const _0x4c6859=_0x13580d;return _0x3f65c0()[_0x4c6859(0xc84)](_0x4974af[_0x4c6859(0x85b)],{'id':_0x49576f[_0x4c6859(0x18b8)]});});let _0x2e556a=null;_0x4974af[_0x13580d(0x26ba)]&&(_0x2e556a=_0x3f65c0()[_0x13580d(0xc84)](_0x4974af[_0x13580d(0x85b)],{'name':_0x4974af[_0x13580d(0x26ba)][_0x13580d(0x19eb)]}));if(_0x2e556a&&!_0x3f65c0()[_0x13580d(0x1360)](_0x33bde5,['id',_0x2e556a['id']])){const _0x293ab7=_0x3f65c0()[_0x13580d(0xc84)](_0x4974af[_0x13580d(0x85b)],{'id':_0x2e556a['id']});_0x293ab7['canSelect']=![],_0x33bde5[_0x13580d(0x1f47)](_0x293ab7);}_0x4974af['variables']=_0x33bde5;});}})[_0x4bdb6b(0x129e)](function(_0x4ef98a){const _0x527cc0=_0x4bdb6b;_0x3130bf['error']({'title':_0x4ef98a[_0x527cc0(0x107b)]?_0x527cc0(0x262a)+_0x4ef98a[_0x527cc0(0x107b)]+_0x527cc0(0x1315)+_0x4ef98a[_0x527cc0(0x167f)]:_0x527cc0(0x493),'msg':_0x4ef98a['data']?JSON['stringify'](_0x4ef98a['data']):_0x4ef98a[_0x527cc0(0xd5f)]()});});function _0x3b2e29(){const _0x1b91aa=_0x4bdb6b;_0x4974af[_0x1b91aa(0xcef)]=[];const _0x5dd59c=[];_0x4974af['set']['type']&&_0x4974af['set'][_0x1b91aa(0x1142)]['toLowerCase']()===_0x1b91aa(0xc9c)&&_0x4974af[_0x1b91aa(0x26ba)][_0x1b91aa(0x8f2)]===_0x1b91aa(0x118b)&&(_0x4974af[_0x1b91aa(0x26ba)][_0x1b91aa(0x1d55)]=_0x3734d1[_0x1b91aa(0x1274)]?(_0x4974af['set']['prefix']||'')+_0x1b91aa(0x2147)+_0x3734d1[_0x1b91aa(0x1274)]+'}':(_0x4974af['set'][_0x1b91aa(0x1e12)]||'')+_0x1b91aa(0x20df),_0x3734d1['recordingFormat']!==_0x1b91aa(0x1642)?_0x4974af[_0x1b91aa(0x26ba)]['options'][_0x1b91aa(0x172b)](_0x1b91aa(0x1ea4))<0x0&&(_0x4974af[_0x1b91aa(0x26ba)]['options']+=_0x1b91aa(0x1ea4)):_0x4974af['set']['options']=_0x4974af[_0x1b91aa(0x26ba)]['options'][_0x1b91aa(0x5f4)](_0x1b91aa(0x1ea4),''));if(_0x4974af[_0x1b91aa(0x26ba)]['appType']&&_0x4974af[_0x1b91aa(0x26ba)][_0x1b91aa(0x8f2)]===_0x1b91aa(0x1802)){}else switch((_0x4974af[_0x1b91aa(0x26ba)][_0x1b91aa(0x1873)]||_0x4974af['set'][_0x1b91aa(0x8f2)])['toLowerCase']()){case _0x1b91aa(0x26ba):_0x4974af[_0x1b91aa(0x26ba)][_0x1b91aa(0x168a)]=_0x4974af['set'][_0x1b91aa(0x19eb)]+'='+_0x4974af[_0x1b91aa(0x26ba)]['value'];break;case'custom':break;default:_0x5dd59c[0x0]=_0x4974af[_0x1b91aa(0x26ba)][_0x1b91aa(0x19eb)],_0x5dd59c[0x1]=_0x4974af[_0x1b91aa(0x26ba)][_0x1b91aa(0x175d)],_0x4974af[_0x1b91aa(0x26ba)][_0x1b91aa(0x168a)]=_0x5dd59c['join']('=');}_0x42fbf6(_0x4974af[_0x1b91aa(0x26ba)]);}function _0x42fbf6(_0x43600b){const _0x1ff85c=_0x4bdb6b;_0x392757[_0x1ff85c(0x2458)](_0x43600b);}}const _0x3180f7=_0x58f395;;_0x4fa1f0['$inject']=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x1492),'internalroute',_0x313a4d(0x247f),'Auth','crudPermissions'];function _0x4fa1f0(_0x351b0e,_0x46ef93,_0x3d01d2,_0x2f05b1,_0x3df6b0,_0x214fc3,_0x126872,_0x1dd253){const _0x396585=_0x313a4d,_0x5c24a8=this;_0x5c24a8[_0x396585(0x2321)]=_0x126872[_0x396585(0xb12)](),_0x5c24a8[_0x396585(0xcef)]=[],_0x5c24a8[_0x396585(0x1189)]=_0x396585(0x1950)+(_0x2f05b1[_0x396585(0x8f2)]||_0x2f05b1[_0x396585(0x1873)])[_0x396585(0x1c37)](),_0x5c24a8[_0x396585(0x356)]=angular['copy'](_0x2f05b1),_0x5c24a8['crudPermissions']=_0x1dd253,_0x5c24a8['hasModulePermissions']={};if(_0x5c24a8[_0x396585(0x356)]['appdata'])switch(_0x5c24a8[_0x396585(0x356)]['appType']?_0x5c24a8[_0x396585(0x356)][_0x396585(0x8f2)][_0x396585(0x256e)]():_0x5c24a8[_0x396585(0x356)][_0x396585(0x1873)][_0x396585(0x256e)]()){case _0x396585(0x1802):break;case'dialogflow':{const _0x1696e6=_0x5c24a8[_0x396585(0x356)]['appdata'][_0x396585(0x10c8)](',');_0x5c24a8[_0x396585(0x356)][_0x396585(0x2293)]=_0x1696e6[0x0],_0x5c24a8['voicemail']['language']=_0x1696e6[0x1],_0x5c24a8[_0x396585(0x356)][_0x396585(0xaf3)]=_0x1696e6['slice'](0x2,_0x1696e6['length'])['join'](',');}break;case _0x396585(0xa46):{const _0x48b422=_0x5c24a8['voicemail'][_0x396585(0x168a)][_0x396585(0x10c8)](',');_0x5c24a8[_0x396585(0x356)]['projectId']=_0x48b422[0x0],_0x5c24a8[_0x396585(0x356)][_0x396585(0x1392)]=_0x48b422[0x1],_0x5c24a8['voicemail']['privateKey']=_0x48b422[0x2],_0x5c24a8[_0x396585(0x356)][_0x396585(0x90b)]=_0x48b422[0x3],_0x5c24a8[_0x396585(0x356)][_0x396585(0xaf3)]=_0x48b422[_0x396585(0x1298)](0x4,_0x48b422[_0x396585(0x402)])['join'](',');}break;case _0x396585(0x17d6):{const _0xc6f095=_0x5c24a8[_0x396585(0x356)][_0x396585(0x168a)][_0x396585(0x10c8)](',');_0x5c24a8['voicemail'][_0x396585(0x1651)]=_0xc6f095[0x0],_0x5c24a8['voicemail'][_0x396585(0x252)]=_0xc6f095[0x1],_0x5c24a8[_0x396585(0x356)][_0x396585(0x1039)]=_0xc6f095[0x2],_0x5c24a8[_0x396585(0x356)]['botname']=_0xc6f095[0x3],_0x5c24a8[_0x396585(0x356)][_0x396585(0xaf3)]=_0xc6f095[_0x396585(0x1298)](0x4,_0xc6f095[_0x396585(0x402)])['join'](',');}break;case _0x396585(0x1713):{const _0x50bc7b=_0x5c24a8[_0x396585(0x356)][_0x396585(0x168a)][_0x396585(0x10c8)](',');_0x5c24a8['voicemail'][_0x396585(0x583)]=isNaN(_0x50bc7b[0x0])?_0x50bc7b[0x0]:parseInt(_0x50bc7b[0x0],0xa),_0x5c24a8[_0x396585(0x356)][_0x396585(0xa8d)]=_0x50bc7b[_0x396585(0x1298)](0x1,_0x50bc7b[_0x396585(0x402)])[_0x396585(0xb47)](',');}break;case'message':_0x5c24a8['voicemail'][_0x396585(0xa8d)]=_0x5c24a8[_0x396585(0x356)][_0x396585(0x168a)];break;case _0x396585(0x26ba):_0x5c24a8[_0x396585(0x356)][_0x396585(0x19eb)]=_0x5c24a8[_0x396585(0x356)][_0x396585(0x168a)][_0x396585(0x10c8)]('=')[0x0],_0x5c24a8[_0x396585(0x356)]['value']=_0x5c24a8['voicemail']['appdata'][_0x396585(0x10c8)]('=')[0x1];break;case _0x396585(0x1ecb):_0x5c24a8[_0x396585(0x356)]['project']=_0x5c24a8[_0x396585(0x356)][_0x396585(0x168a)];break;default:{const _0x5e3df6=_0x5c24a8[_0x396585(0x356)][_0x396585(0x168a)]['split'](',');_0x5c24a8[_0x396585(0x356)]['voiceMail']=_0x3f65c0()[_0x396585(0x2635)](_0x5e3df6[0x0])?_0x5e3df6[0x0]:isNaN(_0x5e3df6[0x0])?_0x5e3df6[0x0]:parseInt(_0x5e3df6[0x0],0xa),_0x5c24a8[_0x396585(0x356)]['options']=_0x3f65c0()[_0x396585(0x2635)](_0x5e3df6[0x1])?_0x5e3df6[0x1]:isNaN(_0x5e3df6[0x1])?_0x5e3df6[0x1]:parseInt(_0x5e3df6[0x1],0xa);}break;}else{}_0x5c24a8[_0x396585(0x356)]['type']&&_0x5c24a8[_0x396585(0x356)]['type']['toLowerCase']()==='outbound'&&_0x5c24a8[_0x396585(0x356)]['appType'][_0x396585(0x256e)]()===_0x396585(0x3bb)&&(_0x5c24a8[_0x396585(0x356)]['prefix']=_0x5c24a8[_0x396585(0x356)][_0x396585(0x1d55)]?_0x5c24a8[_0x396585(0x356)]['phone'][_0x396585(0x10c8)]('$')[0x0]:undefined,_0x5c24a8[_0x396585(0x356)][_0x396585(0x1d43)]=_0x5c24a8[_0x396585(0x356)][_0x396585(0x11be)]?'CALLERID(all)='+_0x5c24a8[_0x396585(0x356)]['callerID']:undefined);_0x5c24a8[_0x396585(0x1f34)]=_0x20e13a,_0x5c24a8[_0x396585(0x13f3)]=_0x4645b4,_0x126872[_0x396585(0x23e0)](_0x396585(0x174b))?_0x214fc3[_0x396585(0xe8c)][_0x396585(0x16b4)]({'fields':'id,mailbox','sort':_0x396585(0x1cc5),'nolimit':_0x396585(0x1185)})[_0x396585(0x2945)][_0x396585(0x146b)](function(_0x5abeab){_0x5c24a8['voiceMails']=_0x5abeab['rows']||[];})[_0x396585(0x129e)](function(_0x498bb1){const _0x3717a2=_0x396585;_0x3d01d2['error']({'title':_0x498bb1[_0x3717a2(0x107b)]?_0x3717a2(0x262a)+_0x498bb1[_0x3717a2(0x107b)]+_0x3717a2(0x1315)+_0x498bb1[_0x3717a2(0x167f)]:_0x3717a2(0x2447),'msg':_0x498bb1[_0x3717a2(0x524)]?JSON[_0x3717a2(0x10bb)](_0x498bb1[_0x3717a2(0x524)]):_0x498bb1[_0x3717a2(0xd5f)]()});}):_0x214fc3[_0x396585(0xe8c)][_0x396585(0x16b4)]({'fields':_0x396585(0x116d),'sort':_0x396585(0x1cc5),'nolimit':_0x396585(0x1185)})[_0x396585(0x2945)][_0x396585(0x146b)](function(_0x2cc485){const _0x205731=_0x396585;_0x5c24a8[_0x205731(0xcb1)]=_0x2cc485[_0x205731(0x19c7)]||[];})[_0x396585(0x146b)](function(){const _0x48de2b=_0x396585;return _0x214fc3[_0x48de2b(0x1366)][_0x48de2b(0x16b4)]({'userProfileId':_0x5c24a8[_0x48de2b(0x2321)][_0x48de2b(0x209a)],'sectionId':0x196})[_0x48de2b(0x2945)];})[_0x396585(0x146b)](function(_0x4a4ab6){const _0x5060a2=_0x396585,_0xa77f3a=_0x4a4ab6&&_0x4a4ab6['rows']?_0x4a4ab6[_0x5060a2(0x19c7)][0x0]:null;if(!_0xa77f3a){const _0x52036d=[];let _0xffe223=null;_0x5c24a8[_0x5060a2(0x356)]&&(_0xffe223=_0x3f65c0()[_0x5060a2(0xc84)](_0x5c24a8['voiceMails'],{'mailbox':_0x5c24a8[_0x5060a2(0x356)][_0x5060a2(0xe8c)]}));for(let _0x382660=0x0;_0x382660<_0x5c24a8[_0x5060a2(0xcb1)][_0x5060a2(0x402)];_0x382660++){_0xffe223&&_0x5c24a8['voiceMails'][_0x382660]['id']===_0xffe223['id']&&(_0x5c24a8[_0x5060a2(0xcb1)][_0x382660][_0x5060a2(0x8ff)]=![],_0x52036d[_0x5060a2(0x1f47)](_0x5c24a8[_0x5060a2(0xcb1)][_0x382660]));}_0x5c24a8[_0x5060a2(0xcb1)]=_0x52036d;}else{if(!_0xa77f3a[_0x5060a2(0x11d2)])return _0x214fc3['userProfileResource'][_0x5060a2(0x16b4)]({'sectionId':_0xa77f3a['id']})['$promise'][_0x5060a2(0x146b)](function(_0x16a9a4){const _0x169c69=_0x5060a2,_0xa3c891=_0x3f65c0()[_0x169c69(0x205)](_0x16a9a4['rows'],function(_0x8fba9b){const _0x11d182=_0x169c69;return _0x3f65c0()[_0x11d182(0xc84)](_0x5c24a8['voiceMails'],{'id':_0x8fba9b['resourceId']});});let _0x4362fd=null;_0x5c24a8[_0x169c69(0x356)]&&(_0x4362fd=_0x3f65c0()['find'](_0x5c24a8['voiceMails'],{'mailbox':_0x5c24a8['voicemail'][_0x169c69(0xe8c)]}));if(_0x4362fd&&!_0x3f65c0()[_0x169c69(0x1360)](_0xa3c891,['id',_0x4362fd['id']])){const _0x3311af=_0x3f65c0()[_0x169c69(0xc84)](_0x5c24a8['voiceMails'],{'id':_0x4362fd['id']});_0x3311af[_0x169c69(0x8ff)]=![],_0xa3c891[_0x169c69(0x1f47)](_0x3311af);}_0x5c24a8[_0x169c69(0xcb1)]=_0xa3c891;});}})[_0x396585(0x129e)](function(_0x5e326f){const _0x5f4858=_0x396585;_0x3d01d2[_0x5f4858(0x1980)]({'title':_0x5e326f[_0x5f4858(0x107b)]?_0x5f4858(0x262a)+_0x5e326f[_0x5f4858(0x107b)]+_0x5f4858(0x1315)+_0x5e326f[_0x5f4858(0x167f)]:_0x5f4858(0x1fc9),'msg':_0x5e326f['data']?JSON['stringify'](_0x5e326f['data']):_0x5e326f[_0x5f4858(0xd5f)]()});});function _0x20e13a(){const _0xc92d22=_0x396585;_0x5c24a8[_0xc92d22(0xcef)]=[];const _0x55f849=[];_0x5c24a8[_0xc92d22(0x356)][_0xc92d22(0x1142)]&&_0x5c24a8[_0xc92d22(0x356)][_0xc92d22(0x1142)][_0xc92d22(0x256e)]()===_0xc92d22(0xc9c)&&_0x5c24a8[_0xc92d22(0x356)][_0xc92d22(0x8f2)]===_0xc92d22(0x118b)&&(_0x5c24a8['voicemail'][_0xc92d22(0x1d55)]=_0x3df6b0['cutdigits']?(_0x5c24a8[_0xc92d22(0x356)][_0xc92d22(0x1e12)]||'')+_0xc92d22(0x2147)+_0x3df6b0[_0xc92d22(0x1274)]+'}':(_0x5c24a8[_0xc92d22(0x356)][_0xc92d22(0x1e12)]||'')+_0xc92d22(0x20df),_0x3df6b0['recordingFormat']!=='none'?_0x5c24a8[_0xc92d22(0x356)][_0xc92d22(0xa08)]['indexOf'](_0xc92d22(0x1ea4))<0x0&&(_0x5c24a8[_0xc92d22(0x356)][_0xc92d22(0xa08)]+=_0xc92d22(0x1ea4)):_0x5c24a8['voicemail'][_0xc92d22(0xa08)]=_0x5c24a8[_0xc92d22(0x356)][_0xc92d22(0xa08)][_0xc92d22(0x5f4)](_0xc92d22(0x1ea4),''));if(_0x5c24a8[_0xc92d22(0x356)][_0xc92d22(0x8f2)]&&_0x5c24a8['voicemail'][_0xc92d22(0x8f2)]==='custom'){}else switch((_0x5c24a8[_0xc92d22(0x356)][_0xc92d22(0x1873)]||_0x5c24a8[_0xc92d22(0x356)][_0xc92d22(0x8f2)])[_0xc92d22(0x256e)]()){case _0xc92d22(0x26ba):_0x5c24a8[_0xc92d22(0x356)]['appdata']=_0x5c24a8[_0xc92d22(0x356)][_0xc92d22(0x19eb)]+'='+_0x5c24a8['voicemail']['value'];break;case'custom':break;default:_0x55f849[0x0]=_0x5c24a8[_0xc92d22(0x356)][_0xc92d22(0xe8c)],_0x55f849[0x1]=_0x5c24a8[_0xc92d22(0x356)][_0xc92d22(0xa08)],_0x5c24a8[_0xc92d22(0x356)]['appdata']=_0x55f849['join'](',');}_0x4645b4(_0x5c24a8[_0xc92d22(0x356)]);}function _0x4645b4(_0x15fe14){_0x351b0e['hide'](_0x15fe14);}}const _0x54cab9=_0x4fa1f0;;_0x12aa4d['$inject']=['$state',_0x313a4d(0xd08),_0x313a4d(0x10e8),'$document',_0x313a4d(0x214b),'license','setting',_0x313a4d(0x247f),'toasty',_0x313a4d(0xa87),_0x313a4d(0x86f),_0x313a4d(0x1366)];function _0x12aa4d(_0x2e0373,_0x4accdb,_0x2a7653,_0x281521,_0x2e0956,_0x369021,_0x39f7da,_0x3c531a,_0x1ef044,_0x1deb1f,_0xefd3fa,_0x2a6d93){const _0x185e16=_0x313a4d,_0x61436c=this;_0x61436c['currentUser']=_0x1deb1f['getCurrentUser'](),_0x61436c['license']=_0x369021,_0x61436c['setting']=_0x39f7da,_0x61436c[_0x185e16(0x2251)]=_0x61436c[_0x185e16(0x15b9)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x61436c[_0x185e16(0x1002)]=_0x4accdb[_0x185e16(0x2414)]()+_0x185e16(0xb0e)+_0x4accdb[_0x185e16(0x148e)](),_0x61436c[_0x185e16(0x86f)]=_0xefd3fa||_0x2e0373[_0x185e16(0x16a)][_0x185e16(0x86f)]||{},_0x61436c[_0x185e16(0x1366)]=_0x2a6d93&&_0x2a6d93[_0x185e16(0x51c)]==0x1?_0x2a6d93['rows'][0x0]:null,_0x61436c[_0x185e16(0x2514)]=_0x1deb1f[_0x185e16(0xe60)](_0x61436c['userProfileSection']?_0x61436c[_0x185e16(0x1366)][_0x185e16(0x2514)]:null),_0x61436c[_0x185e16(0x855)]={},_0x61436c['selectedTab']=_0x2e0373[_0x185e16(0x16a)]['tab']||0x0,_0x61436c[_0x185e16(0x861)]=_0x1ef044[_0x185e16(0x271e)],_0x61436c['gotoInternalRoutes']=_0x24e0d5,_0x61436c[_0x185e16(0x1aa2)]=_0x4bd05a,_0x1deb1f[_0x185e16(0x23e0)](_0x185e16(0x174b))?_0x3c531a[_0x185e16(0x1b82)]['get']({'fields':'id,name','sort':_0x185e16(0x19eb),'nolimit':_0x185e16(0x1185)})[_0x185e16(0x2945)][_0x185e16(0x146b)](function(_0x140b93){const _0x1fa82e=_0x185e16;_0x61436c[_0x1fa82e(0xfe9)]=_0x140b93['rows']||[];})[_0x185e16(0x129e)](function(_0x333508){const _0x9218f4=_0x185e16;_0x1ef044[_0x9218f4(0x1980)]({'title':_0x333508[_0x9218f4(0x107b)]?_0x9218f4(0x262a)+_0x333508[_0x9218f4(0x107b)]+_0x9218f4(0x1315)+_0x333508[_0x9218f4(0x167f)]:'SYSTEM:GET_CONTEXTS','msg':_0x333508['data']?JSON[_0x9218f4(0x10bb)](_0x333508['data']):_0x333508[_0x9218f4(0xd5f)]()});}):_0x3c531a[_0x185e16(0x1b82)][_0x185e16(0x16b4)]({'fields':_0x185e16(0x7a7),'sort':'name','nolimit':_0x185e16(0x1185)})['$promise']['then'](function(_0x13459f){const _0x523d09=_0x185e16;_0x61436c[_0x523d09(0xfe9)]=_0x13459f[_0x523d09(0x19c7)]||[];})[_0x185e16(0x146b)](function(){const _0x290182=_0x185e16;return _0x3c531a[_0x290182(0x1366)][_0x290182(0x16b4)]({'userProfileId':_0x61436c[_0x290182(0x2321)][_0x290182(0x209a)],'sectionId':0x195})[_0x290182(0x2945)];})['then'](function(_0x475038){const _0x17a097=_0x185e16,_0xde864b=_0x475038&&_0x475038[_0x17a097(0x19c7)]?_0x475038[_0x17a097(0x19c7)][0x0]:null;if(!_0xde864b)return _0x3c531a[_0x17a097(0x1b82)][_0x17a097(0x16b4)]({'fields':_0x17a097(0x7a7),'sort':'name','nolimit':_0x17a097(0x1185),'defaultEntry':0x1})[_0x17a097(0x2945)]['then'](function(_0x2c9c5e){const _0x4def4b=_0x17a097;_0x61436c[_0x4def4b(0xfe9)]=_0x2c9c5e[_0x4def4b(0x19c7)]||[];});else{if(!_0xde864b[_0x17a097(0x11d2)])return _0x3c531a[_0x17a097(0xdcc)][_0x17a097(0x16b4)]({'sectionId':_0xde864b['id']})[_0x17a097(0x2945)]['then'](function(_0x242d95){const _0x20bcad=_0x17a097,_0x55aa3b=_0x3f65c0()[_0x20bcad(0x205)](_0x242d95[_0x20bcad(0x19c7)],function(_0x58106b){const _0x2848c7=_0x20bcad;return _0x3f65c0()['find'](_0x61436c[_0x2848c7(0xfe9)],{'id':_0x58106b[_0x2848c7(0x18b8)]});});let _0xd45642=null;_0x61436c['internalroute']&&(_0xd45642=_0x3f65c0()['find'](_0x61436c['contexts'],{'name':_0x61436c[_0x20bcad(0x86f)]['context']}));if(_0xd45642&&!_0x3f65c0()[_0x20bcad(0x1360)](_0x55aa3b,['id',_0xd45642['id']])){const _0x521fdd=_0x3f65c0()[_0x20bcad(0xc84)](_0x61436c[_0x20bcad(0xfe9)],{'id':_0xd45642['id']});_0x521fdd[_0x20bcad(0x8ff)]=![],_0x55aa3b[_0x20bcad(0x1f47)](_0x521fdd);}_0x61436c[_0x20bcad(0xfe9)]=_0x55aa3b;});}})[_0x185e16(0x129e)](function(_0x149268){const _0xdffd8f=_0x185e16;_0x1ef044[_0xdffd8f(0x1980)]({'title':_0x149268['status']?_0xdffd8f(0x262a)+_0x149268['status']+_0xdffd8f(0x1315)+_0x149268['statusText']:_0xdffd8f(0x155e),'msg':_0x149268[_0xdffd8f(0x524)]?JSON[_0xdffd8f(0x10bb)](_0x149268[_0xdffd8f(0x524)]):_0x149268['toString']()});});function _0x24e0d5(){const _0xc56cf0=_0x185e16;_0x2e0373['go'](_0xc56cf0(0x239a),{},{'reload':_0xc56cf0(0x239a)});}function _0x4bd05a(){const _0x55b7e2=_0x185e16;_0x3c531a[_0x55b7e2(0xd47)][_0x55b7e2(0x18e1)]({'id':_0x61436c[_0x55b7e2(0x86f)]['id']},_0x61436c['internalroute'])[_0x55b7e2(0x2945)][_0x55b7e2(0x146b)](function(){const _0x36182f=_0x55b7e2;_0x1ef044[_0x36182f(0x1c75)]({'title':_0x36182f(0xa92),'msg':_0x61436c[_0x36182f(0x86f)][_0x36182f(0x19eb)]?_0x61436c[_0x36182f(0x86f)][_0x36182f(0x19eb)]+'\x20has\x20been\x20updated!':''});})[_0x55b7e2(0x129e)](function(_0x5983eb){const _0x312ca5=_0x55b7e2;_0x1ef044[_0x312ca5(0x1980)]({'title':_0x5983eb[_0x312ca5(0x107b)]?_0x312ca5(0x262a)+_0x5983eb[_0x312ca5(0x107b)]+_0x312ca5(0x1315)+_0x5983eb[_0x312ca5(0x167f)]:'SYSTEM:GETvoiceExtension','msg':_0x5983eb[_0x312ca5(0x524)]?JSON[_0x312ca5(0x10bb)](_0x5983eb[_0x312ca5(0x524)]):_0x5983eb[_0x312ca5(0xd5f)]()});});}}const _0x2e96c1=_0x12aa4d;;const _0x10ef3c=_0x4acfac['p']+_0x313a4d(0xff2);;_0x2535a9[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$window',_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),'$document',_0x313a4d(0x1abe),_0x313a4d(0x214b),_0x313a4d(0x101f),_0x313a4d(0x26b6),_0x313a4d(0x1366),'api',_0x313a4d(0x216c),'toasty',_0x313a4d(0xa87),_0x313a4d(0x2690),'setting'];function _0x2535a9(_0x3eac6d,_0x4e582d,_0x4b896d,_0x155232,_0x19eb5e,_0x103e6e,_0x46d20f,_0x43eccf,_0x519df9,_0xd78a88,_0x509268,_0x97525f,_0x4bb095,_0x4a90c6,_0x25edb4,_0x9f18a2,_0x54ebd4){const _0x4ece29=_0x313a4d,_0x5c151c=this;_0x5c151c[_0x4ece29(0x2690)]=_0x9f18a2,_0x5c151c[_0x4ece29(0x15b9)]=_0x54ebd4,_0x5c151c[_0x4ece29(0x2321)]=_0x25edb4[_0x4ece29(0xb12)](),_0x5c151c[_0x4ece29(0x101f)]=_0x519df9||{'count':0x0,'rows':[]},_0x5c151c[_0x4ece29(0x26b6)]=_0xd78a88,_0x5c151c[_0x4ece29(0x1366)]=_0x509268&&_0x509268[_0x4ece29(0x51c)]==0x1?_0x509268['rows'][0x0]:null,_0x5c151c[_0x4ece29(0x2514)]=_0x25edb4['parseCrudPermissions'](_0x5c151c[_0x4ece29(0x1366)]?_0x5c151c[_0x4ece29(0x1366)][_0x4ece29(0x2514)]:null),_0x5c151c['table']=_0x4ece29(0x101f),_0x5c151c['listOrder']='',_0x5c151c[_0x4ece29(0x214f)]=null,_0x5c151c[_0x4ece29(0x20a8)]=[],_0x5c151c['query']={'fields':'createdAt,updatedAt,id,appdata,type,exten,context,description','type':'internal','sort':_0x4ece29(0x12f2),'VoiceExtensionId':_0x4ece29(0x203c),'limit':0xa,'page':0x1},_0x5c151c[_0x4ece29(0x23f9)]=_0x59b6c1,_0x5c151c[_0x4ece29(0xf0a)]=_0x51c7a5,_0x5c151c[_0x4ece29(0x1c75)]=_0x5daf2e,_0x5c151c[_0x4ece29(0x21f5)]=_0x334d76,_0x5c151c[_0x4ece29(0x22ee)]=_0x1c6502,_0x5c151c[_0x4ece29(0x196)]=_0x5b39be,_0x5c151c[_0x4ece29(0x229a)]=_0x125f71,_0x5c151c['deleteSelectedInternalRoutes']=_0x3f14f7,_0x5c151c[_0x4ece29(0x2151)]=_0x2649e4,_0x5c151c['selectAllInternalRoutes']=_0x4c0551,_0x25edb4['hasRole'](_0x4ece29(0x174b))?_0x97525f[_0x4ece29(0x1b82)][_0x4ece29(0x16b4)]({'fields':_0x4ece29(0x7a7),'sort':_0x4ece29(0x19eb),'nolimit':_0x4ece29(0x1185)})[_0x4ece29(0x2945)][_0x4ece29(0x146b)](function(_0x12e5ee){const _0x4a9cb2=_0x4ece29;_0x5c151c['contexts']=_0x12e5ee[_0x4a9cb2(0x19c7)]||[];})[_0x4ece29(0x129e)](function(_0x109031){const _0xe935bb=_0x4ece29;_0x4a90c6[_0xe935bb(0x1980)]({'title':_0x109031['status']?_0xe935bb(0x262a)+_0x109031['status']+_0xe935bb(0x1315)+_0x109031[_0xe935bb(0x167f)]:'SYSTEM:GET_CONTEXTS','msg':_0x109031[_0xe935bb(0x524)]?JSON['stringify'](_0x109031['data']):_0x109031[_0xe935bb(0xd5f)]()});}):_0x97525f['voiceContext'][_0x4ece29(0x16b4)]({'fields':_0x4ece29(0x7a7),'sort':'name','nolimit':_0x4ece29(0x1185)})[_0x4ece29(0x2945)][_0x4ece29(0x146b)](function(_0x255164){const _0xf1a401=_0x4ece29;_0x5c151c['contexts']=_0x255164[_0xf1a401(0x19c7)]||[];})[_0x4ece29(0x146b)](function(){const _0x24c4c7=_0x4ece29;return _0x97525f[_0x24c4c7(0x1366)][_0x24c4c7(0x16b4)]({'userProfileId':_0x5c151c[_0x24c4c7(0x2321)][_0x24c4c7(0x209a)],'sectionId':0x195})['$promise'];})[_0x4ece29(0x146b)](function(_0x276552){const _0x1d91fd=_0x4ece29,_0x5b7c0f=_0x276552&&_0x276552[_0x1d91fd(0x19c7)]?_0x276552['rows'][0x0]:null;if(!_0x5b7c0f)return _0x97525f['voiceContext'][_0x1d91fd(0x16b4)]({'fields':_0x1d91fd(0x7a7),'sort':_0x1d91fd(0x19eb),'nolimit':_0x1d91fd(0x1185),'defaultEntry':0x1})[_0x1d91fd(0x2945)]['then'](function(_0x5a3aa9){const _0x471b42=_0x1d91fd;_0x5c151c[_0x471b42(0xfe9)]=_0x5a3aa9[_0x471b42(0x19c7)]||[];});else{if(!_0x5b7c0f[_0x1d91fd(0x11d2)])return _0x97525f['userProfileResource'][_0x1d91fd(0x16b4)]({'sectionId':_0x5b7c0f['id']})[_0x1d91fd(0x2945)]['then'](function(_0x1fa571){const _0x117a37=_0x1d91fd,_0xab636=_0x3f65c0()[_0x117a37(0x205)](_0x1fa571[_0x117a37(0x19c7)],function(_0x33ecf7){const _0x1320fe=_0x117a37;return _0x3f65c0()['find'](_0x5c151c[_0x1320fe(0xfe9)],{'id':_0x33ecf7[_0x1320fe(0x18b8)]});});let _0x403a32=null;_0x5c151c[_0x117a37(0x86f)]&&(_0x403a32=_0x3f65c0()[_0x117a37(0xc84)](_0x5c151c[_0x117a37(0xfe9)],{'name':_0x5c151c['internalroute'][_0x117a37(0x1ac1)]}));if(_0x403a32&&!_0x3f65c0()[_0x117a37(0x1360)](_0xab636,['id',_0x403a32['id']])){const _0x32d540=_0x3f65c0()[_0x117a37(0xc84)](_0x5c151c[_0x117a37(0xfe9)],{'id':_0x403a32['id']});_0x32d540[_0x117a37(0x8ff)]=![],_0xab636['push'](_0x32d540);}_0x5c151c['contexts']=_0xab636;});}})[_0x4ece29(0x129e)](function(_0x9828ac){const _0x2f2e77=_0x4ece29;_0x4a90c6[_0x2f2e77(0x1980)]({'title':_0x9828ac[_0x2f2e77(0x107b)]?_0x2f2e77(0x262a)+_0x9828ac[_0x2f2e77(0x107b)]+_0x2f2e77(0x1315)+_0x9828ac[_0x2f2e77(0x167f)]:'SYSTEM:GETcontexts','msg':_0x9828ac[_0x2f2e77(0x524)]?JSON[_0x2f2e77(0x10bb)](_0x9828ac[_0x2f2e77(0x524)]):_0x9828ac[_0x2f2e77(0xd5f)]()});});function _0x59b6c1(_0x2fdd41){const _0x32c8ea=_0x4ece29;_0x4b896d['go'](_0x32c8ea(0x1f2c),{'id':_0x2fdd41['id'],'internalroute':_0x2fdd41,'crudPermissions':_0x5c151c['crudPermissions']});}function _0x51c7a5(_0x325432,_0xc51cf9){const _0x2a1153=_0x4ece29,_0x269881=_0x19eb5e['confirm']()[_0x2a1153(0x1189)](_0x2a1153(0xdb2)+_0x3f65c0()[_0x2a1153(0x20d1)]('internalroute')+'?')['htmlContent'](''+(_0x325432[_0x2a1153(0x19eb)]||'internalroute')+_0x2a1153(0x252f)+_0x2a1153(0xe01))['ariaLabel'](_0x2a1153(0x13ba))[_0x2a1153(0x1f27)](_0xc51cf9)['ok']('OK')['cancel'](_0x2a1153(0x39a));_0x19eb5e['show'](_0x269881)[_0x2a1153(0x146b)](function(){_0x5b39be(_0x325432);},function(){const _0xf5bd11=_0x2a1153;console[_0xf5bd11(0x1a74)](_0xf5bd11(0x39a));});}let _0x23e844=!![],_0x2802b8=0x1;_0x3eac6d[_0x4ece29(0x21e8)]('vm.query.filter',function(_0x3b2a72,_0x4305b8){const _0x27f96b=_0x4ece29;_0x23e844?_0x46d20f(function(){_0x23e844=![];}):(!_0x4305b8&&(_0x2802b8=_0x5c151c[_0x27f96b(0x1a56)][_0x27f96b(0x844)]),_0x3b2a72!==_0x4305b8&&(_0x5c151c['query'][_0x27f96b(0x844)]=0x1),!_0x3b2a72&&(_0x5c151c['query'][_0x27f96b(0x844)]=_0x2802b8),_0x5c151c[_0x27f96b(0x21f5)]());});function _0x5daf2e(_0x44e9d3){const _0x5b0b0c=_0x4ece29;_0x5c151c[_0x5b0b0c(0x101f)]=_0x44e9d3||{'count':0x0,'rows':[]};}function _0x334d76(){const _0x38396e=_0x4ece29;_0x5c151c[_0x38396e(0x1a56)]['offset']=(_0x5c151c[_0x38396e(0x1a56)]['page']-0x1)*_0x5c151c[_0x38396e(0x1a56)]['limit'],_0x25edb4[_0x38396e(0x23e0)]('admin')?_0x5c151c[_0x38396e(0xb9c)]=_0x97525f[_0x38396e(0xd47)]['get'](_0x5c151c[_0x38396e(0x1a56)],_0x5daf2e)['$promise']:(_0x5c151c[_0x38396e(0x1a56)]['id']=_0x5c151c['userProfile']['id'],_0x5c151c[_0x38396e(0x1a56)]['section']=_0x38396e(0xa2b),_0x5c151c[_0x38396e(0xb9c)]=_0x97525f[_0x38396e(0x26b6)]['getResources'](_0x5c151c[_0x38396e(0x1a56)],_0x5daf2e)[_0x38396e(0x2945)]);}function _0x1c6502(_0x2f396e,_0xcf33c){const _0x1c7a5a=_0x4ece29;_0x19eb5e['show']({'controller':_0x1c7a5a(0x927),'controllerAs':'vm','templateUrl':_0x10ef3c,'parent':angular[_0x1c7a5a(0x1853)](_0x103e6e[_0x1c7a5a(0x2586)]),'targetEvent':_0x2f396e,'clickOutsideToClose':!![],'locals':{'internalroute':_0xcf33c,'internalroutes':_0x5c151c[_0x1c7a5a(0x101f)][_0x1c7a5a(0x19c7)],'license':_0x5c151c[_0x1c7a5a(0x2690)],'setting':_0x5c151c['setting'],'crudPermissions':_0x5c151c[_0x1c7a5a(0x2514)]}});}function _0x5b39be(_0x83a8cc){const _0x3f9fdc=_0x4ece29;_0x97525f[_0x3f9fdc(0xd47)][_0x3f9fdc(0x1fac)]({'id':_0x83a8cc['id']})[_0x3f9fdc(0x2945)]['then'](function(){const _0x3c50e4=_0x3f9fdc;_0x3f65c0()[_0x3c50e4(0x2640)](_0x5c151c[_0x3c50e4(0x101f)]['rows'],{'id':_0x83a8cc['id']}),_0x5c151c[_0x3c50e4(0x101f)][_0x3c50e4(0x51c)]-=0x1,!_0x5c151c[_0x3c50e4(0x101f)][_0x3c50e4(0x19c7)][_0x3c50e4(0x402)]&&_0x5c151c[_0x3c50e4(0x21f5)](),_0x4a90c6['success']({'title':_0x3f65c0()[_0x3c50e4(0x20d1)](_0x3c50e4(0x20e3))+'\x20deleted!','msg':_0x83a8cc[_0x3c50e4(0x19eb)]?_0x83a8cc[_0x3c50e4(0x19eb)]+_0x3c50e4(0x23e3):''});})['catch'](function(_0x6024c2){const _0x22b435=_0x3f9fdc;if(_0x6024c2[_0x22b435(0x524)]&&_0x6024c2[_0x22b435(0x524)][_0x22b435(0xcef)]&&_0x6024c2[_0x22b435(0x524)]['errors']['length']){_0x5c151c[_0x22b435(0xcef)]=_0x6024c2['data']['errors']||[{'message':_0x6024c2[_0x22b435(0xd5f)](),'type':_0x22b435(0x1c36)}];for(let _0x27fb08=0x0;_0x27fb08<_0x6024c2['data'][_0x22b435(0xcef)][_0x22b435(0x402)];_0x27fb08++){_0x4a90c6[_0x22b435(0x1980)]({'title':_0x6024c2[_0x22b435(0x524)]['errors'][_0x27fb08][_0x22b435(0x1142)],'msg':_0x6024c2[_0x22b435(0x524)][_0x22b435(0xcef)][_0x27fb08][_0x22b435(0x7fd)]});}}else _0x4a90c6[_0x22b435(0x1980)]({'title':_0x6024c2[_0x22b435(0x107b)]?_0x22b435(0x262a)+_0x6024c2[_0x22b435(0x107b)]+'\x20-\x20'+_0x6024c2[_0x22b435(0x167f)]:_0x22b435(0x1c36),'msg':_0x6024c2[_0x22b435(0x524)]?JSON[_0x22b435(0x10bb)](_0x6024c2[_0x22b435(0x524)]['message']):_0x6024c2[_0x22b435(0x7fd)]||_0x6024c2[_0x22b435(0xd5f)]()});});}function _0x125f71(){const _0x1d60f7=_0x4ece29,_0xbdb06b=angular[_0x1d60f7(0x235a)](_0x5c151c[_0x1d60f7(0x20a8)]);return _0x5c151c['selectedInternalRoutes']=[],_0xbdb06b;}function _0x3f14f7(_0x39f2d4){const _0x22d085=_0x4ece29,_0x91c30f=_0x19eb5e[_0x22d085(0x1e8a)]()[_0x22d085(0x1189)](_0x22d085(0x1cc3))[_0x22d085(0x1cbe)](_0x22d085(0x16d3)+_0x5c151c[_0x22d085(0x20a8)][_0x22d085(0x402)]+_0x22d085(0x2452)+_0x22d085(0xe01))[_0x22d085(0x4bd)](_0x22d085(0x990))[_0x22d085(0x1f27)](_0x39f2d4)['ok']('OK')[_0x22d085(0x6c3)](_0x22d085(0x39a));_0x19eb5e['show'](_0x91c30f)['then'](function(){const _0x2f9945=_0x22d085;_0x5c151c[_0x2f9945(0x20a8)][_0x2f9945(0x1df5)](function(_0x5d717b){_0x5b39be(_0x5d717b);}),_0x5c151c[_0x2f9945(0x20a8)]=[];});}function _0x2649e4(){const _0x2153d8=_0x4ece29;_0x5c151c[_0x2153d8(0x20a8)]=[];}function _0x4c0551(){const _0x51190d=_0x4ece29;_0x5c151c[_0x51190d(0x20a8)]=_0x5c151c[_0x51190d(0x101f)][_0x51190d(0x19c7)];}}const _0x56bc14=_0x2535a9;;_0x5dbf7f[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q','$translate',_0x313a4d(0x1fe4),'musiconholds',_0x313a4d(0x23ee),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),'crudPermissions'];function _0x5dbf7f(_0x3e2662,_0x2afd40,_0x217109,_0x3ec861,_0x4de759,_0x21286f,_0x585187,_0x227507,_0x3087bc,_0x9b534b,_0x35d5e7,_0x5a1ec0,_0x21acf7,_0x589085){const _0xc96b97=_0x313a4d,_0x2c72e4=this;_0x2c72e4[_0xc96b97(0x2321)]=_0x35d5e7[_0xc96b97(0xb12)](),_0x2c72e4[_0xc96b97(0xcef)]=[],_0x2c72e4[_0xc96b97(0x15b9)]=_0x21acf7,_0x2c72e4[_0xc96b97(0x2690)]=_0x5a1ec0,_0x2c72e4[_0xc96b97(0x2514)]=_0x589085,_0x2c72e4['hasModulePermissions']={},_0x2c72e4['passwordPattern']=_0x2c72e4[_0xc96b97(0x15b9)]&&_0x2c72e4[_0xc96b97(0x15b9)][_0xc96b97(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x2c72e4[_0xc96b97(0x1189)]=_0xc96b97(0x25a2),_0x2c72e4['musiconhold']=angular['copy'](_0x3087bc),_0x2c72e4[_0xc96b97(0x19a8)]=_0x227507,_0x2c72e4['newMusicOnHold']=![];!_0x2c72e4[_0xc96b97(0x23ee)]&&(_0x2c72e4['musiconhold']={'mode':'files'},_0x2c72e4[_0xc96b97(0x1189)]=_0xc96b97(0x3cb),_0x2c72e4[_0xc96b97(0x7c6)]=!![]);_0x2c72e4[_0xc96b97(0x16e5)]=_0x286b8d,_0x2c72e4['saveMusicOnHold']=_0x465056,_0x2c72e4[_0xc96b97(0x24e7)]=_0x292134,_0x2c72e4[_0xc96b97(0xe73)]=_0x1b62c8,_0x2c72e4['closeDialog']=_0x39a0cf;function _0x286b8d(){const _0x19066f=_0xc96b97;_0x2c72e4['errors']=[],_0x9b534b[_0x19066f(0x7bd)][_0x19066f(0x1e3)](_0x2c72e4[_0x19066f(0x23ee)])[_0x19066f(0x2945)][_0x19066f(0x146b)](function(_0x2213ec){const _0xf2edca=_0x19066f;_0x2c72e4[_0xf2edca(0x19a8)][_0xf2edca(0xb3d)](_0x2213ec[_0xf2edca(0x2488)]()),_0x585187['success']({'title':_0xf2edca(0x1b6a),'msg':_0x2c72e4['musiconhold'][_0xf2edca(0x19eb)]?_0x2c72e4[_0xf2edca(0x23ee)][_0xf2edca(0x19eb)]+_0xf2edca(0x1386):''}),_0x39a0cf(_0x2213ec);})[_0x19066f(0x129e)](function(_0x2ac371){const _0x4e88e5=_0x19066f;if(_0x2ac371['data']&&_0x2ac371[_0x4e88e5(0x524)][_0x4e88e5(0xcef)]&&_0x2ac371[_0x4e88e5(0x524)][_0x4e88e5(0xcef)][_0x4e88e5(0x402)]){_0x2c72e4[_0x4e88e5(0xcef)]=_0x2ac371[_0x4e88e5(0x524)]['errors']||[{'message':_0x2ac371[_0x4e88e5(0xd5f)](),'type':_0x4e88e5(0x12bd)}];for(let _0x2bf549=0x0;_0x2bf549<_0x2ac371[_0x4e88e5(0x524)][_0x4e88e5(0xcef)][_0x4e88e5(0x402)];_0x2bf549+=0x1){_0x585187[_0x4e88e5(0x1980)]({'title':_0x2ac371[_0x4e88e5(0x524)][_0x4e88e5(0xcef)][_0x2bf549][_0x4e88e5(0x1142)],'msg':_0x2ac371[_0x4e88e5(0x524)][_0x4e88e5(0xcef)][_0x2bf549][_0x4e88e5(0x7fd)]});}}else _0x585187[_0x4e88e5(0x1980)]({'title':_0x2ac371[_0x4e88e5(0x107b)]?_0x4e88e5(0x262a)+_0x2ac371[_0x4e88e5(0x107b)]+_0x4e88e5(0x1315)+_0x2ac371[_0x4e88e5(0x167f)]:_0x4e88e5(0x12bd),'msg':_0x2ac371[_0x4e88e5(0x524)]?JSON['stringify'](_0x2ac371['data'][_0x4e88e5(0x7fd)]):_0x2ac371[_0x4e88e5(0xd5f)]()});});}function _0x465056(){const _0x8c31a4=_0xc96b97;_0x2c72e4[_0x8c31a4(0xcef)]=[],_0x9b534b['voiceMusicOnHold'][_0x8c31a4(0x18e1)]({'id':_0x2c72e4[_0x8c31a4(0x23ee)]['id']},_0x2c72e4[_0x8c31a4(0x23ee)])['$promise'][_0x8c31a4(0x146b)](function(_0x41b7e7){const _0x23029b=_0x8c31a4,_0x53c07d=_0x3f65c0()['find'](_0x2c72e4[_0x23029b(0x19a8)],{'id':_0x41b7e7['id']});_0x53c07d&&_0x3f65c0()[_0x23029b(0x168d)](_0x53c07d,_0x3f65c0()[_0x23029b(0x40e)](_0x41b7e7[_0x23029b(0x2488)](),_0x3f65c0()[_0x23029b(0x627)](_0x53c07d))),_0x585187[_0x23029b(0x1c75)]({'title':_0x23029b(0x28d6),'msg':_0x2c72e4[_0x23029b(0x23ee)][_0x23029b(0x19eb)]?_0x2c72e4[_0x23029b(0x23ee)][_0x23029b(0x19eb)]+_0x23029b(0x24db):''}),_0x39a0cf(_0x41b7e7);})[_0x8c31a4(0x129e)](function(_0x174320){const _0xc732a2=_0x8c31a4;if(_0x174320['data']&&_0x174320[_0xc732a2(0x524)][_0xc732a2(0xcef)]&&_0x174320[_0xc732a2(0x524)][_0xc732a2(0xcef)]['length']){_0x2c72e4['errors']=_0x174320[_0xc732a2(0x524)][_0xc732a2(0xcef)]||[{'message':_0x174320[_0xc732a2(0xd5f)](),'type':'api.voiceMusicOnHold.update'}];for(let _0x12c414=0x0;_0x12c414<_0x174320['data'][_0xc732a2(0xcef)][_0xc732a2(0x402)];_0x12c414++){_0x585187[_0xc732a2(0x1980)]({'title':_0x174320[_0xc732a2(0x524)]['errors'][_0x12c414]['type'],'msg':_0x174320[_0xc732a2(0x524)][_0xc732a2(0xcef)][_0x12c414][_0xc732a2(0x7fd)]});}}else _0x585187[_0xc732a2(0x1980)]({'title':_0x174320[_0xc732a2(0x107b)]?'API:'+_0x174320[_0xc732a2(0x107b)]+'\x20-\x20'+_0x174320[_0xc732a2(0x167f)]:_0xc732a2(0x42c),'msg':_0x174320['data']?JSON[_0xc732a2(0x10bb)](_0x174320['data'][_0xc732a2(0x7fd)]):_0x174320[_0xc732a2(0xd5f)]()});});}function _0x292134(_0x1395c0){const _0x1dd81f=_0xc96b97;_0x2c72e4[_0x1dd81f(0xcef)]=[];const _0x58039a=_0x3ec861[_0x1dd81f(0x1e8a)]()[_0x1dd81f(0x1189)](_0x1dd81f(0x1d64))[_0x1dd81f(0x80f)]('The\x20musiconhold\x20will\x20be\x20deleted.')['ariaLabel'](_0x1dd81f(0xad0))['ok']('Delete')[_0x1dd81f(0x6c3)](_0x1dd81f(0xcf0))[_0x1dd81f(0x1f27)](_0x1395c0);_0x3ec861[_0x1dd81f(0x2615)](_0x58039a)['then'](function(){const _0x2a0d4d=_0x1dd81f;_0x9b534b['voiceMusicOnHold'][_0x2a0d4d(0x1fac)]({'id':_0x2c72e4[_0x2a0d4d(0x23ee)]['id']})['$promise'][_0x2a0d4d(0x146b)](function(){const _0x1cca8f=_0x2a0d4d;_0x3f65c0()[_0x1cca8f(0x2640)](_0x2c72e4['musiconholds'],{'id':_0x2c72e4[_0x1cca8f(0x23ee)]['id']}),_0x585187[_0x1cca8f(0x1c75)]({'title':_0x1cca8f(0x17cc),'msg':(_0x2c72e4['musiconhold'][_0x1cca8f(0x19eb)]||_0x1cca8f(0x23ee))+_0x1cca8f(0x23e3)}),_0x39a0cf(_0x2c72e4[_0x1cca8f(0x23ee)]);})[_0x2a0d4d(0x129e)](function(_0x2c00e1){const _0x15c5a5=_0x2a0d4d;if(_0x2c00e1['data']&&_0x2c00e1[_0x15c5a5(0x524)][_0x15c5a5(0xcef)]&&_0x2c00e1[_0x15c5a5(0x524)]['errors'][_0x15c5a5(0x402)]){_0x2c72e4['errors']=_0x2c00e1[_0x15c5a5(0x524)]['errors']||[{'message':_0x2c00e1[_0x15c5a5(0xd5f)](),'type':'api.voiceMusicOnHold.delete'}];for(let _0xaed3d4=0x0;_0xaed3d4<_0x2c00e1[_0x15c5a5(0x524)][_0x15c5a5(0xcef)][_0x15c5a5(0x402)];_0xaed3d4++){_0x585187[_0x15c5a5(0x1980)]({'title':_0x2c00e1['data'][_0x15c5a5(0xcef)][_0xaed3d4]['type'],'msg':_0x2c00e1[_0x15c5a5(0x524)][_0x15c5a5(0xcef)][_0xaed3d4]['message']});}}else _0x585187['error']({'title':_0x2c00e1[_0x15c5a5(0x107b)]?'API:'+_0x2c00e1[_0x15c5a5(0x107b)]+_0x15c5a5(0x1315)+_0x2c00e1[_0x15c5a5(0x167f)]:_0x15c5a5(0xf23),'msg':_0x2c00e1[_0x15c5a5(0x524)]?JSON[_0x15c5a5(0x10bb)](_0x2c00e1['data'][_0x15c5a5(0x7fd)]):_0x2c00e1[_0x15c5a5(0x7fd)]||_0x2c00e1[_0x15c5a5(0xd5f)]()});});},function(){});}function _0x1b62c8(_0x37744f){return _0x37744f===null?undefined:new Date(_0x37744f);}function _0x39a0cf(_0x54c6e9){_0x3ec861['hide'](_0x54c6e9);}}const _0x1abb76=_0x5dbf7f;;const _0x237ff6=_0x4acfac['p']+_0x313a4d(0x2343);;_0x11a8a1[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),_0x313a4d(0x910),_0x313a4d(0x1862),'$q',_0x313a4d(0x214b),_0x313a4d(0x1abe),'$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x1fe4),'api',_0x313a4d(0xa87)];function _0x11a8a1(_0x14385b,_0x47a11b,_0x598e18,_0x6e77cd,_0x32a50b,_0x49a392,_0x2888a3,_0x172a55,_0x129e14,_0x1a5264,_0x5684a3){const _0x5b8495=_0x313a4d,_0x58e616=this;_0x58e616[_0x5b8495(0x2321)]=_0x5684a3[_0x5b8495(0xb12)](),_0x58e616[_0x5b8495(0x23ee)]={},_0x58e616['musiconholdMohSounds']={'count':0x0,'rows':[]},_0x58e616['selectedMusicOnHoldMohSounds']=[],_0x58e616[_0x5b8495(0x2514)],_0x58e616[_0x5b8495(0x1a56)]={'fields':_0x5b8495(0x1c64),'limit':0xa,'page':0x1},_0x58e616[_0x5b8495(0x5aa)]=_0x406e00,_0x58e616[_0x5b8495(0x19b4)]=_0x33edd0,_0x58e616[_0x5b8495(0x142e)]=_0x50cdb5,_0x58e616[_0x5b8495(0x1c75)]=_0x30ebbc,_0x58e616[_0x5b8495(0xefc)]=_0x5928c6,_0x58e616[_0x5b8495(0x1479)]=_0x4ff660,_0x58e616[_0x5b8495(0x16fa)]=_0x4e94db,_0x58e616[_0x5b8495(0x607)]=_0x51bafc,_0x58e616[_0x5b8495(0x1efd)]=_0x112243,_0x5684a3[_0x5b8495(0x23e0)](_0x5b8495(0x174b))?_0x1a5264[_0x5b8495(0x126d)][_0x5b8495(0x16b4)]({'fields':_0x5b8495(0x7a7),'sort':_0x5b8495(0x19eb)})[_0x5b8495(0x2945)][_0x5b8495(0x146b)](function(_0xcb109f){const _0x537f3a=_0x5b8495;_0x58e616[_0x537f3a(0xb02)]=_0xcb109f[_0x537f3a(0x19c7)]||[];})[_0x5b8495(0x129e)](function(_0x2e98a4){const _0x28ba9a=_0x5b8495;_0x129e14[_0x28ba9a(0x1980)]({'title':_0x2e98a4[_0x28ba9a(0x107b)]?_0x28ba9a(0x262a)+_0x2e98a4[_0x28ba9a(0x107b)]+_0x28ba9a(0x1315)+_0x2e98a4[_0x28ba9a(0x167f)]:_0x28ba9a(0xd4a),'msg':_0x2e98a4[_0x28ba9a(0x524)]?JSON[_0x28ba9a(0x10bb)](_0x2e98a4[_0x28ba9a(0x524)]):_0x2e98a4[_0x28ba9a(0xd5f)]()});}):_0x1a5264[_0x5b8495(0x126d)][_0x5b8495(0x16b4)]({'fields':'id,name','sort':_0x5b8495(0x19eb)})['$promise'][_0x5b8495(0x146b)](function(_0x129763){const _0x7e6328=_0x5b8495;_0x58e616[_0x7e6328(0xb02)]=_0x129763[_0x7e6328(0x19c7)]||[];})[_0x5b8495(0x146b)](function(){const _0x3c7b4c=_0x5b8495;return _0x1a5264['userProfileSection']['get']({'userProfileId':_0x58e616[_0x3c7b4c(0x2321)]['userProfileId'],'sectionId':0x3ef})['$promise'];})[_0x5b8495(0x146b)](function(_0x2e81b2){const _0x5208fe=_0x5b8495,_0x1ce51d=_0x2e81b2&&_0x2e81b2['rows']?_0x2e81b2[_0x5208fe(0x19c7)][0x0]:null;if(!_0x1ce51d){const _0x432d6e=[];let _0x2aaec7=null;_0x58e616['musiconhold']&&(_0x2aaec7=_0x3f65c0()[_0x5208fe(0xc84)](_0x58e616[_0x5208fe(0xb02)],{'id':Number(_0x58e616['musiconhold']['id'])}));for(let _0x3eacc2=0x0;_0x3eacc2<_0x58e616[_0x5208fe(0xb02)][_0x5208fe(0x402)];_0x3eacc2++){_0x2aaec7&&_0x58e616[_0x5208fe(0xb02)][_0x3eacc2]['id']===_0x2aaec7['id']&&(_0x58e616[_0x5208fe(0xb02)][_0x3eacc2][_0x5208fe(0x8ff)]=![],_0x432d6e[_0x5208fe(0x1f47)](_0x58e616['sounds'][_0x3eacc2]));}_0x58e616[_0x5208fe(0xb02)]=_0x432d6e;}else{if(!_0x1ce51d[_0x5208fe(0x11d2)])return _0x1a5264['userProfileResource'][_0x5208fe(0x16b4)]({'sectionId':_0x1ce51d['id']})['$promise'][_0x5208fe(0x146b)](function(_0x1bc6de){const _0x129ed1=_0x5208fe,_0x4aa890=_0x3f65c0()[_0x129ed1(0x205)](_0x1bc6de[_0x129ed1(0x19c7)],function(_0x1a6159){const _0x15aaa8=_0x129ed1;return _0x3f65c0()[_0x15aaa8(0xc84)](_0x58e616['sounds'],{'id':_0x1a6159[_0x15aaa8(0x18b8)]});});let _0x23011c=null;_0x58e616[_0x129ed1(0x23ee)]&&(_0x23011c=_0x3f65c0()[_0x129ed1(0xc84)](_0x58e616[_0x129ed1(0xb02)],{'id':Number(_0x58e616[_0x129ed1(0x23ee)]['id'])}));if(_0x23011c&&!_0x3f65c0()[_0x129ed1(0x1360)](_0x4aa890,['id',_0x23011c['id']])){const _0x458789=_0x3f65c0()[_0x129ed1(0xc84)](_0x58e616['sounds'],{'id':_0x23011c['id']});_0x458789[_0x129ed1(0x8ff)]=![],_0x4aa890[_0x129ed1(0x1f47)](_0x458789);}_0x58e616[_0x129ed1(0xb02)]=_0x4aa890;});}})[_0x5b8495(0x129e)](function(_0x4a63d1){const _0xdc6fbc=_0x5b8495;_0x129e14[_0xdc6fbc(0x1980)]({'title':_0x4a63d1['status']?_0xdc6fbc(0x262a)+_0x4a63d1['status']+_0xdc6fbc(0x1315)+_0x4a63d1[_0xdc6fbc(0x167f)]:_0xdc6fbc(0x2682),'msg':_0x4a63d1[_0xdc6fbc(0x524)]?JSON[_0xdc6fbc(0x10bb)](_0x4a63d1[_0xdc6fbc(0x524)]):_0x4a63d1[_0xdc6fbc(0xd5f)]()});});function _0x406e00(_0x7d9858,_0x4db3fe){const _0xbf836e=_0x5b8495;_0x58e616[_0xbf836e(0x23ee)]=_0x7d9858,_0x58e616[_0xbf836e(0x2514)]=typeof _0x4db3fe!==_0xbf836e(0x2274)?_0x4db3fe:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x58e616['query'][_0xbf836e(0x1387)]=_0x58e616[_0xbf836e(0x23ee)]['id'],_0x58e616[_0xbf836e(0x1a56)]['id']=_0x58e616[_0xbf836e(0x23ee)]['id'],_0x5928c6();}function _0x50cdb5(_0x351455,_0x19c2ad,_0xe9139e){const _0x529c39=_0x5b8495;return _0x1a5264[_0x529c39(0x126d)][_0x529c39(0x15b1)]({'id':_0x351455['id'],'exists':!![],'attachments':_0xe9139e})['$promise'][_0x529c39(0x146b)](function(_0x47fe62){const _0x1089e2=_0x529c39,_0x29ef41=[_0x47fe62['buffer']];let _0x5cc959='mohSound'+_0x351455['id'];const _0x236b9c=new Blob(_0x29ef41,{'type':_0x47fe62[_0x1089e2(0x1142)]});_0x5cc959=_0x351455[_0x1089e2(0x2570)]?_0x351455[_0x1089e2(0xa3d)]+'.'+_0x351455[_0x1089e2(0x2570)]:_0x351455['save_name'];const _0x1573da=window[_0x1089e2(0xef3)][_0x1089e2(0x24ec)]('a');_0x1573da['setAttribute'](_0x1089e2(0x1b3c),URL['createObjectURL'](_0x236b9c)),_0x1573da[_0x1089e2(0x1652)](_0x1089e2(0x15b1),_0x5cc959),document[_0x1089e2(0x2586)]['appendChild'](_0x1573da),_0x1573da[_0x1089e2(0x1fa5)]();})[_0x529c39(0x129e)](function(_0x495321){const _0x426f14=_0x529c39;if(_0x495321[_0x426f14(0x524)]&&_0x495321[_0x426f14(0x524)]['errors']&&_0x495321[_0x426f14(0x524)][_0x426f14(0xcef)][_0x426f14(0x402)])for(let _0x150a95=0x0;_0x150a95<_0x495321[_0x426f14(0x524)][_0x426f14(0xcef)][_0x426f14(0x402)];_0x150a95+=0x1){_0x129e14[_0x426f14(0x1980)]({'title':_0x495321['data'][_0x426f14(0xcef)][_0x150a95][_0x426f14(0x1142)],'msg':_0x495321[_0x426f14(0x524)][_0x426f14(0xcef)][_0x150a95]['message']});}else _0x129e14[_0x426f14(0x1980)]({'title':_0x495321[_0x426f14(0x107b)]?_0x426f14(0x262a)+_0x495321[_0x426f14(0x107b)]+'\x20-\x20'+_0x495321[_0x426f14(0x167f)]:_0x426f14(0x12bd),'msg':_0x495321[_0x426f14(0x524)]?JSON[_0x426f14(0x10bb)](_0x495321[_0x426f14(0x524)][_0x426f14(0x7fd)]):_0x495321[_0x426f14(0xd5f)]()});});}function _0x33edd0(_0x56a684,_0x4e2f85){const _0xccc4e5=_0x5b8495,_0x3a8a78=_0x2888a3[_0xccc4e5(0x1e8a)]()['title'](_0xccc4e5(0xffc))[_0xccc4e5(0x1cbe)](_0xccc4e5(0x16d3)+(_0x56a684[_0xccc4e5(0x19eb)]||_0x56a684['id']&&_0x3f65c0()[_0xccc4e5(0x21cf)]('mohSound\x20#')+_0x56a684['id']||_0xccc4e5(0x51f))+''+_0xccc4e5(0xe01))[_0xccc4e5(0x4bd)](_0xccc4e5(0x95c))[_0xccc4e5(0x1f27)](_0x4e2f85)['ok']('OK')[_0xccc4e5(0x6c3)](_0xccc4e5(0x39a));_0x2888a3[_0xccc4e5(0x2615)](_0x3a8a78)[_0xccc4e5(0x146b)](function(){_0x51bafc(_0x56a684);},function(){const _0x589b70=_0xccc4e5;console[_0x589b70(0x1a74)](_0x589b70(0x39a));});}function _0x30ebbc(_0x396bd7){const _0x13e9e5=_0x5b8495;_0x58e616[_0x13e9e5(0x2536)]=_0x396bd7||{'count':0x0,'rows':[]};}function _0x5928c6(){const _0x5172b4=_0x5b8495;_0x58e616[_0x5172b4(0x1a56)][_0x5172b4(0x145d)]=(_0x58e616[_0x5172b4(0x1a56)][_0x5172b4(0x844)]-0x1)*_0x58e616[_0x5172b4(0x1a56)][_0x5172b4(0x221e)],_0x58e616[_0x5172b4(0xb9c)]=_0x1a5264[_0x5172b4(0x7bd)][_0x5172b4(0x2208)](_0x58e616['query'],_0x30ebbc)['$promise'];}function _0x4ff660(_0x24ab11,_0x22e487){const _0x397790=_0x5b8495;_0x2888a3[_0x397790(0x2615)]({'controller':'CreateOrEditMohSoundDialogController','controllerAs':'vm','templateUrl':_0x237ff6,'parent':angular[_0x397790(0x1853)](_0x172a55[_0x397790(0x2586)]),'targetEvent':_0x24ab11,'clickOutsideToClose':!![],'locals':{'musiconhold':_0x58e616[_0x397790(0x23ee)],'mohSound':_0x22e487,'mohSounds':_0x58e616[_0x397790(0x2536)][_0x397790(0x19c7)],'license':null,'setting':null,'crudPermissions':_0x58e616[_0x397790(0x2514)]}});}function _0x51bafc(_0x3cd34a){const _0x255eb0=_0x5b8495;_0x1a5264[_0x255eb0(0x7bd)]['removeSound']({'id':_0x598e18[_0x255eb0(0x16a)]['id'],'id2':_0x3cd34a['id']})[_0x255eb0(0x2945)][_0x255eb0(0x146b)](function(){const _0x19ca1d=_0x255eb0;_0x3f65c0()[_0x19ca1d(0x2640)](_0x58e616[_0x19ca1d(0x2536)][_0x19ca1d(0x19c7)],{'id':_0x3cd34a['id']}),_0x58e616[_0x19ca1d(0x2536)][_0x19ca1d(0x51c)]-=0x1,!_0x58e616[_0x19ca1d(0x2536)]['rows'][_0x19ca1d(0x402)]&&_0x5928c6(),_0x129e14[_0x19ca1d(0x1c75)]({'title':_0x19ca1d(0x1501),'msg':_0x3cd34a[_0x19ca1d(0x19eb)]?_0x3cd34a['name']+_0x19ca1d(0x23e3):''});})[_0x255eb0(0x129e)](function(_0x22141a){const _0xdb8524=_0x255eb0;if(_0x22141a['data']&&_0x22141a['data'][_0xdb8524(0xcef)]&&_0x22141a[_0xdb8524(0x524)][_0xdb8524(0xcef)][_0xdb8524(0x402)]){_0x58e616[_0xdb8524(0xcef)]=_0x22141a[_0xdb8524(0x524)][_0xdb8524(0xcef)]||[{'message':_0x22141a[_0xdb8524(0xd5f)](),'type':_0xdb8524(0xb49)}];for(let _0x94040e=0x0;_0x94040e<_0x22141a[_0xdb8524(0x524)][_0xdb8524(0xcef)][_0xdb8524(0x402)];_0x94040e++){_0x129e14['error']({'title':_0x22141a[_0xdb8524(0x524)][_0xdb8524(0xcef)][_0x94040e][_0xdb8524(0x1142)],'msg':_0x22141a[_0xdb8524(0x524)]['errors'][_0x94040e][_0xdb8524(0x7fd)]});}}else _0x129e14['error']({'title':_0x22141a['status']?_0xdb8524(0x262a)+_0x22141a[_0xdb8524(0x107b)]+'\x20-\x20'+_0x22141a[_0xdb8524(0x167f)]:'SYSTEM:GETvoiceMusicOnHold','msg':_0x22141a['data']?JSON[_0xdb8524(0x10bb)](_0x22141a[_0xdb8524(0x524)]['message']):_0x22141a['message']||_0x22141a[_0xdb8524(0xd5f)]()});});}function _0x4e94db(){const _0x24d544=_0x5b8495,_0xdc78a5=angular['copy'](_0x58e616[_0x24d544(0x407)]);return _0x58e616[_0x24d544(0x407)]=[],_0xdc78a5;}function _0x112243(_0x3e1629){const _0x3501cf=_0x5b8495,_0x21516d=_0x2888a3[_0x3501cf(0x1e8a)]()[_0x3501cf(0x1189)](_0x3501cf(0x79e))[_0x3501cf(0x1cbe)](_0x3501cf(0x16d3)+_0x58e616[_0x3501cf(0x407)][_0x3501cf(0x402)]+_0x3501cf(0x2452)+_0x3501cf(0xe01))[_0x3501cf(0x4bd)](_0x3501cf(0x83b))['targetEvent'](_0x3e1629)['ok']('OK')[_0x3501cf(0x6c3)](_0x3501cf(0x39a));_0x2888a3[_0x3501cf(0x2615)](_0x21516d)[_0x3501cf(0x146b)](function(){const _0x48f290=_0x3501cf;_0x58e616['selectedMusicOnHoldMohSounds'][_0x48f290(0x1df5)](function(_0x561bfb){_0x51bafc(_0x561bfb);}),_0x58e616[_0x48f290(0x407)]=[];});}let _0x3feab6=!![],_0x3f6639=0x1;_0x47a11b[_0x5b8495(0x21e8)](_0x5b8495(0x117f),function(_0x426b22,_0x1953b7){const _0xaf6eca=_0x5b8495;_0x3feab6?_0x49a392(function(){_0x3feab6=![];}):(!_0x1953b7&&(_0x3f6639=_0x58e616['query']['page']),_0x426b22!==_0x1953b7&&(_0x58e616['query']['page']=0x1),!_0x426b22&&(_0x58e616['query'][_0xaf6eca(0x844)]=_0x3f6639),_0x5928c6());});}const _0x33dfc9=_0x11a8a1;;_0xd74d2e['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x1f76),_0x313a4d(0x51f),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0xd74d2e(_0x4f7fb7,_0x31297e,_0x4f958a,_0x29f082,_0x59204a,_0x18c07e,_0x4ac567,_0x103f1a,_0x69c83c,_0x1f4f03,_0x37b6f1,_0x675323,_0x2e9b7e,_0x7df518){const _0x19052b=_0x313a4d,_0x631c4e=this;_0x631c4e[_0x19052b(0x2321)]=_0x37b6f1[_0x19052b(0xb12)](),_0x631c4e[_0x19052b(0xcef)]=[],_0x631c4e[_0x19052b(0x15b9)]=_0x2e9b7e,_0x631c4e[_0x19052b(0x2690)]=_0x675323,_0x631c4e['crudPermissions']=_0x7df518,_0x631c4e['hasModulePermissions']={},_0x631c4e[_0x19052b(0x2251)]=_0x631c4e[_0x19052b(0x15b9)]&&_0x631c4e['setting'][_0x19052b(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x631c4e['title']='VOICE.EDIT_MOHSOUND',_0x631c4e[_0x19052b(0x51f)]=angular[_0x19052b(0x235a)](_0x69c83c),_0x631c4e['mohSounds']=_0x103f1a,_0x631c4e[_0x19052b(0xda2)]=![];!_0x631c4e[_0x19052b(0x51f)]&&(_0x631c4e[_0x19052b(0x51f)]={},_0x631c4e[_0x19052b(0x1189)]=_0x19052b(0x7cf),_0x631c4e[_0x19052b(0xda2)]=!![]);_0x31297e[_0x19052b(0x16a)]['id']&&(_0x631c4e[_0x19052b(0x51f)]['VoiceMusicOnHoldId']=_0x31297e[_0x19052b(0x16a)]['id']);_0x631c4e[_0x19052b(0x1c53)]=_0x4099a5,_0x631c4e[_0x19052b(0x1ab)]=_0x2b9fe9,_0x631c4e[_0x19052b(0x144b)]=_0x1dd92c,_0x631c4e[_0x19052b(0xe73)]=_0x423cc9,_0x631c4e[_0x19052b(0x13f3)]=_0x217366,_0x37b6f1['hasRole'](_0x19052b(0x174b))?_0x1f4f03[_0x19052b(0x126d)][_0x19052b(0x16b4)]({'fields':_0x19052b(0x7a7),'sort':'name'})[_0x19052b(0x2945)][_0x19052b(0x146b)](function(_0x372ae2){const _0x524ad6=_0x19052b;_0x631c4e[_0x524ad6(0xb02)]=_0x372ae2[_0x524ad6(0x19c7)]||[];})[_0x19052b(0x129e)](function(_0x155db0){const _0x15953c=_0x19052b;_0x4ac567[_0x15953c(0x1980)]({'title':_0x155db0['status']?_0x15953c(0x262a)+_0x155db0[_0x15953c(0x107b)]+_0x15953c(0x1315)+_0x155db0[_0x15953c(0x167f)]:_0x15953c(0xd4a),'msg':_0x155db0[_0x15953c(0x524)]?JSON[_0x15953c(0x10bb)](_0x155db0['data']):_0x155db0[_0x15953c(0xd5f)]()});}):_0x1f4f03['sound'][_0x19052b(0x16b4)]({'fields':'id,name','sort':_0x19052b(0x19eb)})[_0x19052b(0x2945)][_0x19052b(0x146b)](function(_0x52db00){const _0x350dbf=_0x19052b;_0x631c4e[_0x350dbf(0xb02)]=_0x52db00[_0x350dbf(0x19c7)]||[];})[_0x19052b(0x146b)](function(){const _0x5172e8=_0x19052b;return _0x1f4f03[_0x5172e8(0x1366)][_0x5172e8(0x16b4)]({'userProfileId':_0x631c4e[_0x5172e8(0x2321)][_0x5172e8(0x209a)],'sectionId':0x3ef})[_0x5172e8(0x2945)];})[_0x19052b(0x146b)](function(_0x4fd571){const _0x26ca50=_0x19052b,_0x41745c=_0x4fd571&&_0x4fd571[_0x26ca50(0x19c7)]?_0x4fd571[_0x26ca50(0x19c7)][0x0]:null;if(!_0x41745c){const _0x12a618=[];let _0x3cac4b=null;_0x631c4e['mohSound']&&(_0x3cac4b=_0x3f65c0()[_0x26ca50(0xc84)](_0x631c4e[_0x26ca50(0xb02)],{'id':Number(_0x631c4e[_0x26ca50(0x51f)]['id'])}));for(let _0x12d1b0=0x0;_0x12d1b0<_0x631c4e[_0x26ca50(0xb02)][_0x26ca50(0x402)];_0x12d1b0++){_0x3cac4b&&_0x631c4e[_0x26ca50(0xb02)][_0x12d1b0]['id']===_0x3cac4b['id']&&(_0x631c4e[_0x26ca50(0xb02)][_0x12d1b0][_0x26ca50(0x8ff)]=![],_0x12a618[_0x26ca50(0x1f47)](_0x631c4e['sounds'][_0x12d1b0]));}_0x631c4e[_0x26ca50(0xb02)]=_0x12a618;}else{if(!_0x41745c['autoAssociation'])return _0x1f4f03[_0x26ca50(0xdcc)][_0x26ca50(0x16b4)]({'sectionId':_0x41745c['id']})[_0x26ca50(0x2945)][_0x26ca50(0x146b)](function(_0x451523){const _0x3161f4=_0x26ca50,_0x5239da=_0x3f65c0()['map'](_0x451523[_0x3161f4(0x19c7)],function(_0x3e894f){const _0xe0eaec=_0x3161f4;return _0x3f65c0()[_0xe0eaec(0xc84)](_0x631c4e[_0xe0eaec(0xb02)],{'id':_0x3e894f['resourceId']});});let _0xdaf9a6=null;_0x631c4e[_0x3161f4(0x51f)]&&(_0xdaf9a6=_0x3f65c0()[_0x3161f4(0xc84)](_0x631c4e[_0x3161f4(0xb02)],{'id':Number(_0x631c4e[_0x3161f4(0x51f)]['id'])}));if(_0xdaf9a6&&!_0x3f65c0()['some'](_0x5239da,['id',_0xdaf9a6['id']])){const _0x137cda=_0x3f65c0()['find'](_0x631c4e[_0x3161f4(0xb02)],{'id':_0xdaf9a6['id']});_0x137cda['canSelect']=![],_0x5239da[_0x3161f4(0x1f47)](_0x137cda);}_0x631c4e['sounds']=_0x5239da;});}})[_0x19052b(0x129e)](function(_0x5f37f0){const _0x36f2db=_0x19052b;_0x4ac567[_0x36f2db(0x1980)]({'title':_0x5f37f0[_0x36f2db(0x107b)]?_0x36f2db(0x262a)+_0x5f37f0[_0x36f2db(0x107b)]+_0x36f2db(0x1315)+_0x5f37f0['statusText']:_0x36f2db(0x2682),'msg':_0x5f37f0[_0x36f2db(0x524)]?JSON['stringify'](_0x5f37f0['data']):_0x5f37f0[_0x36f2db(0xd5f)]()});});function _0x4099a5(){const _0x53d868=_0x19052b;_0x631c4e[_0x53d868(0xcef)]=[],_0x1f4f03[_0x53d868(0x7bd)][_0x53d868(0x1bd3)]({'id':_0x31297e[_0x53d868(0x16a)]['id']},_0x631c4e[_0x53d868(0x51f)])[_0x53d868(0x2945)][_0x53d868(0x146b)](function(_0x8f3aa){const _0xfb1411=_0x53d868;_0x631c4e[_0xfb1411(0x1f76)]['unshift'](_0x8f3aa[_0xfb1411(0x2488)]()),_0x4ac567[_0xfb1411(0x1c75)]({'title':'MohSound\x20properly\x20created','msg':_0x631c4e['mohSound'][_0xfb1411(0x19eb)]?_0x631c4e[_0xfb1411(0x51f)]['name']+'\x20has\x20been\x20created!':''}),_0x217366(_0x8f3aa);})['catch'](function(_0x2281f7){const _0x5d1b45=_0x53d868;if(_0x2281f7[_0x5d1b45(0x524)]&&_0x2281f7['data'][_0x5d1b45(0xcef)]&&_0x2281f7[_0x5d1b45(0x524)][_0x5d1b45(0xcef)][_0x5d1b45(0x402)]){_0x631c4e[_0x5d1b45(0xcef)]=_0x2281f7[_0x5d1b45(0x524)][_0x5d1b45(0xcef)]||[{'message':_0x2281f7['toString'](),'type':_0x5d1b45(0x12bd)}];for(let _0x3a39f4=0x0;_0x3a39f4<_0x2281f7[_0x5d1b45(0x524)][_0x5d1b45(0xcef)][_0x5d1b45(0x402)];_0x3a39f4+=0x1){_0x4ac567['error']({'title':_0x2281f7['data'][_0x5d1b45(0xcef)][_0x3a39f4][_0x5d1b45(0x1142)],'msg':_0x2281f7['data']['errors'][_0x3a39f4]['message']});}}else _0x4ac567[_0x5d1b45(0x1980)]({'title':_0x2281f7[_0x5d1b45(0x107b)]?'API:'+_0x2281f7[_0x5d1b45(0x107b)]+_0x5d1b45(0x1315)+_0x2281f7['statusText']:_0x5d1b45(0x12bd),'msg':_0x2281f7[_0x5d1b45(0x524)]?JSON[_0x5d1b45(0x10bb)](_0x2281f7[_0x5d1b45(0x524)][_0x5d1b45(0x7fd)]):_0x2281f7['toString']()});});}function _0x2b9fe9(){const _0x36a837=_0x19052b;_0x631c4e['errors']=[],_0x1f4f03[_0x36a837(0x7bd)][_0x36a837(0x18e1)]({'id':_0x631c4e['mohSound']['id']},_0x631c4e[_0x36a837(0x51f)])['$promise']['then'](function(_0x327ca9){const _0x393635=_0x36a837,_0xfd08b3=_0x3f65c0()[_0x393635(0xc84)](_0x631c4e[_0x393635(0x1f76)],{'id':_0x327ca9['id']});_0xfd08b3&&_0x3f65c0()['merge'](_0xfd08b3,_0x3f65c0()[_0x393635(0x40e)](_0x327ca9[_0x393635(0x2488)](),_0x3f65c0()[_0x393635(0x627)](_0xfd08b3))),_0x4ac567[_0x393635(0x1c75)]({'title':_0x393635(0x711),'msg':_0x631c4e[_0x393635(0x51f)][_0x393635(0x19eb)]?_0x631c4e[_0x393635(0x51f)][_0x393635(0x19eb)]+_0x393635(0x24db):''}),_0x217366(_0x327ca9);})[_0x36a837(0x129e)](function(_0x39de3f){const _0x16ce1d=_0x36a837;if(_0x39de3f[_0x16ce1d(0x524)]&&_0x39de3f[_0x16ce1d(0x524)][_0x16ce1d(0xcef)]&&_0x39de3f['data'][_0x16ce1d(0xcef)]['length']){_0x631c4e['errors']=_0x39de3f['data'][_0x16ce1d(0xcef)]||[{'message':_0x39de3f[_0x16ce1d(0xd5f)](),'type':_0x16ce1d(0x42c)}];for(let _0x1ab447=0x0;_0x1ab447<_0x39de3f[_0x16ce1d(0x524)]['errors'][_0x16ce1d(0x402)];_0x1ab447++){_0x4ac567[_0x16ce1d(0x1980)]({'title':_0x39de3f[_0x16ce1d(0x524)][_0x16ce1d(0xcef)][_0x1ab447][_0x16ce1d(0x1142)],'msg':_0x39de3f['data']['errors'][_0x1ab447]['message']});}}else _0x4ac567[_0x16ce1d(0x1980)]({'title':_0x39de3f[_0x16ce1d(0x107b)]?'API:'+_0x39de3f[_0x16ce1d(0x107b)]+_0x16ce1d(0x1315)+_0x39de3f[_0x16ce1d(0x167f)]:_0x16ce1d(0x42c),'msg':_0x39de3f[_0x16ce1d(0x524)]?JSON[_0x16ce1d(0x10bb)](_0x39de3f['data'][_0x16ce1d(0x7fd)]):_0x39de3f[_0x16ce1d(0xd5f)]()});});}function _0x1dd92c(_0x2723e8){const _0x3a74d4=_0x19052b;_0x631c4e['errors']=[];const _0x2b1f88=_0x29f082[_0x3a74d4(0x1e8a)]()[_0x3a74d4(0x1189)](_0x3a74d4(0x1d64))[_0x3a74d4(0x80f)]('The\x20mohSound\x20will\x20be\x20deleted.')['ariaLabel'](_0x3a74d4(0x5a4))['ok']('Delete')['cancel'](_0x3a74d4(0xcf0))[_0x3a74d4(0x1f27)](_0x2723e8);_0x29f082[_0x3a74d4(0x2615)](_0x2b1f88)['then'](function(){const _0xe9e4f8=_0x3a74d4;_0x1f4f03['voiceMusicOnHold'][_0xe9e4f8(0x1fac)]({'id':_0x631c4e[_0xe9e4f8(0x51f)]['id']})[_0xe9e4f8(0x2945)][_0xe9e4f8(0x146b)](function(){const _0x58973f=_0xe9e4f8;_0x3f65c0()[_0x58973f(0x2640)](_0x631c4e[_0x58973f(0x1f76)],{'id':_0x631c4e['mohSound']['id']}),_0x4ac567[_0x58973f(0x1c75)]({'title':_0x58973f(0x1234),'msg':(_0x631c4e[_0x58973f(0x51f)]['name']||'mohSound')+_0x58973f(0x23e3)}),_0x217366(_0x631c4e['mohSound']);})[_0xe9e4f8(0x129e)](function(_0x3bdc8d){const _0x5f1dde=_0xe9e4f8;if(_0x3bdc8d['data']&&_0x3bdc8d[_0x5f1dde(0x524)]['errors']&&_0x3bdc8d[_0x5f1dde(0x524)][_0x5f1dde(0xcef)][_0x5f1dde(0x402)]){_0x631c4e[_0x5f1dde(0xcef)]=_0x3bdc8d[_0x5f1dde(0x524)]['errors']||[{'message':_0x3bdc8d[_0x5f1dde(0xd5f)](),'type':_0x5f1dde(0xf23)}];for(let _0x4a6a3d=0x0;_0x4a6a3d<_0x3bdc8d[_0x5f1dde(0x524)][_0x5f1dde(0xcef)][_0x5f1dde(0x402)];_0x4a6a3d++){_0x4ac567['error']({'title':_0x3bdc8d[_0x5f1dde(0x524)][_0x5f1dde(0xcef)][_0x4a6a3d]['type'],'msg':_0x3bdc8d[_0x5f1dde(0x524)]['errors'][_0x4a6a3d]['message']});}}else _0x4ac567[_0x5f1dde(0x1980)]({'title':_0x3bdc8d[_0x5f1dde(0x107b)]?_0x5f1dde(0x262a)+_0x3bdc8d[_0x5f1dde(0x107b)]+_0x5f1dde(0x1315)+_0x3bdc8d[_0x5f1dde(0x167f)]:'api.voiceMusicOnHold.delete','msg':_0x3bdc8d[_0x5f1dde(0x524)]?JSON[_0x5f1dde(0x10bb)](_0x3bdc8d[_0x5f1dde(0x524)][_0x5f1dde(0x7fd)]):_0x3bdc8d[_0x5f1dde(0x7fd)]||_0x3bdc8d[_0x5f1dde(0xd5f)]()});});},function(){});}function _0x423cc9(_0x144ff2){return _0x144ff2===null?undefined:new Date(_0x144ff2);}function _0x217366(_0x13b74b){const _0x30f186=_0x19052b;_0x29f082[_0x30f186(0x2458)](_0x13b74b);}}const _0x3b7890=_0xd74d2e;;_0x5603a0[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),_0x313a4d(0xd08),'$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x214b),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x247f),_0x313a4d(0x1fe4),'Auth','musiconhold',_0x313a4d(0x1366)];function _0x5603a0(_0x373ea0,_0x10086c,_0x6ce7b,_0x532239,_0x398f46,_0x356e75,_0x5cda09,_0x197cd6,_0x3a40d8,_0x9d3368,_0x14e44c,_0x1f498d){const _0x1ae578=_0x313a4d,_0x41e4c6=this;_0x41e4c6['currentUser']=_0x9d3368[_0x1ae578(0xb12)](),_0x41e4c6[_0x1ae578(0x2690)]=_0x356e75,_0x41e4c6[_0x1ae578(0x15b9)]=_0x5cda09,_0x41e4c6[_0x1ae578(0x2251)]=_0x41e4c6[_0x1ae578(0x15b9)][_0x1ae578(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x41e4c6[_0x1ae578(0x1002)]=_0x10086c[_0x1ae578(0x2414)]()+_0x1ae578(0xb0e)+_0x10086c[_0x1ae578(0x148e)](),_0x41e4c6[_0x1ae578(0x23ee)]=_0x14e44c||_0x373ea0['params'][_0x1ae578(0x23ee)]||{},_0x41e4c6[_0x1ae578(0x1366)]=_0x1f498d&&_0x1f498d[_0x1ae578(0x51c)]==0x1?_0x1f498d[_0x1ae578(0x19c7)][0x0]:null,_0x41e4c6[_0x1ae578(0x2514)]=_0x9d3368[_0x1ae578(0xe60)](_0x41e4c6[_0x1ae578(0x1366)]?_0x41e4c6['userProfileSection'][_0x1ae578(0x2514)]:null),_0x41e4c6[_0x1ae578(0x855)]={},_0x41e4c6['selectedTab']=_0x373ea0[_0x1ae578(0x16a)]['tab']||0x0,_0x41e4c6[_0x1ae578(0x861)]=_0x3a40d8['info'],_0x41e4c6[_0x1ae578(0x51b)]=_0x5d5cfb,_0x41e4c6[_0x1ae578(0xffb)]=_0x20c5f3;function _0x5d5cfb(){const _0x26d571=_0x1ae578;_0x373ea0['go']('app.voice.musiconholds',{},{'reload':_0x26d571(0x1dd8)});}function _0x20c5f3(){const _0x527291=_0x1ae578;_0x197cd6['voiceMusicOnHold']['update']({'id':_0x41e4c6[_0x527291(0x23ee)]['id']},_0x41e4c6[_0x527291(0x23ee)])[_0x527291(0x2945)]['then'](function(){const _0xe9dac5=_0x527291;_0x3a40d8[_0xe9dac5(0x1c75)]({'title':_0xe9dac5(0xf3),'msg':_0x41e4c6[_0xe9dac5(0x23ee)]['name']?_0x41e4c6[_0xe9dac5(0x23ee)][_0xe9dac5(0x19eb)]+'\x20has\x20been\x20updated!':''});})['catch'](function(_0x457b0f){const _0x53a7a6=_0x527291;_0x3a40d8[_0x53a7a6(0x1980)]({'title':_0x457b0f[_0x53a7a6(0x107b)]?'API:'+_0x457b0f[_0x53a7a6(0x107b)]+_0x53a7a6(0x1315)+_0x457b0f[_0x53a7a6(0x167f)]:_0x53a7a6(0xb49),'msg':_0x457b0f['data']?JSON[_0x53a7a6(0x10bb)](_0x457b0f[_0x53a7a6(0x524)]):_0x457b0f[_0x53a7a6(0xd5f)]()});});}}const _0x3a3cdf=_0x5603a0;;const _0x121b12=_0x4acfac['p']+_0x313a4d(0x2792);;_0x297b08[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),'$state',_0x313a4d(0x15fe),'$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0x214b),_0x313a4d(0x19a8),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x297b08(_0x31f561,_0x137d3b,_0x3b969b,_0x3c28fa,_0x42d907,_0x30f32b,_0x58def5,_0x4c13a7,_0x27d0a0,_0x56f49a,_0x4e2101,_0x2cbed5,_0x6938c5,_0x2d8e8e,_0x4ba48e,_0x41ccc7,_0x3d0e7b){const _0x546762=_0x313a4d,_0x50619b=this;_0x50619b['license']=_0x41ccc7,_0x50619b['setting']=_0x3d0e7b,_0x50619b[_0x546762(0x2321)]=_0x4ba48e[_0x546762(0xb12)](),_0x50619b[_0x546762(0x19a8)]=_0x27d0a0||{'count':0x0,'rows':[]},_0x50619b[_0x546762(0x26b6)]=_0x56f49a,_0x50619b['userProfileSection']=_0x4e2101&&_0x4e2101[_0x546762(0x51c)]==0x1?_0x4e2101[_0x546762(0x19c7)][0x0]:null,_0x50619b['crudPermissions']=_0x4ba48e['parseCrudPermissions'](_0x50619b[_0x546762(0x1366)]?_0x50619b[_0x546762(0x1366)][_0x546762(0x2514)]:null),_0x50619b[_0x546762(0x768)]='musiconholds',_0x50619b['listOrder']='',_0x50619b[_0x546762(0x214f)]=null,_0x50619b['selectedMusicOnHolds']=[],_0x50619b[_0x546762(0x1a56)]={'fields':'createdAt,updatedAt,id,name,mode,directory,application,format,sort','defaultEntry':_0x546762(0x1ed8),'limit':0xa,'page':0x1},_0x50619b[_0x546762(0xdc8)]=_0x3f65c0()['keyBy']([{'option':_0x546762(0x1f2),'value':'\x27files\x27'},{'option':_0x546762(0xf21),'value':_0x546762(0x676)}],function(_0x5edce1){const _0x38d128=_0x546762;return _0x3f65c0()[_0x38d128(0x5f4)](_0x5edce1[_0x38d128(0x175d)],new RegExp('\x27','g'),'');}),_0x50619b[_0x546762(0x9d7)]=_0x3f65c0()[_0x546762(0x194)]([{'option':'Random','value':_0x546762(0xb40)},{'option':'Alphabetical','value':_0x546762(0xb33)}],function(_0x19e753){const _0x46d371=_0x546762;return _0x3f65c0()[_0x46d371(0x5f4)](_0x19e753[_0x46d371(0x175d)],new RegExp('\x27','g'),'');}),_0x50619b[_0x546762(0x23f9)]=_0x543adb,_0x50619b[_0x546762(0xf0a)]=_0x2fda70,_0x50619b[_0x546762(0x1c75)]=_0xea870b,_0x50619b['getMusicOnHolds']=_0x506ae0,_0x50619b[_0x546762(0x114a)]=_0x25d651,_0x50619b[_0x546762(0x24e7)]=_0x3db0f9,_0x50619b[_0x546762(0x21a9)]=_0x138147,_0x50619b['deleteSelectedMusicOnHolds']=_0x27b28f,_0x50619b['deselectMusicOnHolds']=_0x5f022d,_0x50619b[_0x546762(0x13a4)]=_0x2f261e;function _0x543adb(_0x12388c){const _0x2c1fd9=_0x546762;_0x3b969b['go']('app.voice.musiconholds.edit',{'id':_0x12388c['id'],'musiconhold':_0x12388c,'crudPermissions':_0x50619b[_0x2c1fd9(0x2514)]});}function _0x2fda70(_0x53e7db,_0x24c242){const _0x223700=_0x546762,_0x2ac338=_0x42d907[_0x223700(0x1e8a)]()[_0x223700(0x1189)](_0x223700(0xdb2)+_0x3f65c0()[_0x223700(0x20d1)]('musiconhold')+'?')[_0x223700(0x1cbe)](_0x223700(0x16d3)+(_0x53e7db[_0x223700(0x19eb)]||_0x223700(0x23ee))+_0x223700(0x252f)+_0x223700(0xe01))[_0x223700(0x4bd)](_0x223700(0xae6))[_0x223700(0x1f27)](_0x24c242)['ok']('OK')[_0x223700(0x6c3)](_0x223700(0x39a));_0x42d907[_0x223700(0x2615)](_0x2ac338)['then'](function(){_0x3db0f9(_0x53e7db);},function(){const _0x56c677=_0x223700;console['log'](_0x56c677(0x39a));});}let _0x1aa9fe=!![],_0x390a64=0x1;_0x31f561[_0x546762(0x21e8)](_0x546762(0x2669),function(_0x1d2695,_0x52166c){const _0x85c5cb=_0x546762;_0x1aa9fe?_0x58def5(function(){_0x1aa9fe=![];}):(!_0x52166c&&(_0x390a64=_0x50619b[_0x85c5cb(0x1a56)]['page']),_0x1d2695!==_0x52166c&&(_0x50619b[_0x85c5cb(0x1a56)][_0x85c5cb(0x844)]=0x1),!_0x1d2695&&(_0x50619b[_0x85c5cb(0x1a56)][_0x85c5cb(0x844)]=_0x390a64),_0x50619b[_0x85c5cb(0x25c)]());});function _0xea870b(_0x3398c1){const _0x4e6d0d=_0x546762;_0x50619b[_0x4e6d0d(0x19a8)]=_0x3398c1||{'count':0x0,'rows':[]};}function _0x506ae0(){const _0xe6952=_0x546762;_0x50619b['query'][_0xe6952(0x145d)]=(_0x50619b['query'][_0xe6952(0x844)]-0x1)*_0x50619b[_0xe6952(0x1a56)][_0xe6952(0x221e)],_0x4ba48e[_0xe6952(0x23e0)](_0xe6952(0x174b))?_0x50619b['promise']=_0x2cbed5['voiceMusicOnHold'][_0xe6952(0x16b4)](_0x50619b['query'],_0xea870b)[_0xe6952(0x2945)]:(_0x50619b[_0xe6952(0x1a56)]['id']=_0x50619b[_0xe6952(0x26b6)]['id'],_0x50619b[_0xe6952(0x1a56)][_0xe6952(0x2146)]=_0xe6952(0x1760),_0x50619b['promise']=_0x2cbed5[_0xe6952(0x26b6)]['getResources'](_0x50619b[_0xe6952(0x1a56)],_0xea870b)[_0xe6952(0x2945)]);}function _0x25d651(_0x51f380,_0x59548a){const _0x5091c5=_0x546762;_0x42d907[_0x5091c5(0x2615)]({'controller':_0x5091c5(0xbc9),'controllerAs':'vm','templateUrl':_0x121b12,'parent':angular[_0x5091c5(0x1853)](_0x30f32b[_0x5091c5(0x2586)]),'targetEvent':_0x51f380,'clickOutsideToClose':!![],'locals':{'musiconhold':_0x59548a,'musiconholds':_0x50619b[_0x5091c5(0x19a8)]['rows'],'license':_0x50619b[_0x5091c5(0x2690)],'setting':_0x50619b[_0x5091c5(0x15b9)],'crudPermissions':_0x50619b[_0x5091c5(0x2514)]}});}function _0x3db0f9(_0x4900cf){const _0x463e4a=_0x546762;_0x2cbed5[_0x463e4a(0x7bd)][_0x463e4a(0x1fac)]({'id':_0x4900cf['id']})[_0x463e4a(0x2945)][_0x463e4a(0x146b)](function(){const _0xdfb77b=_0x463e4a;_0x3f65c0()[_0xdfb77b(0x2640)](_0x50619b[_0xdfb77b(0x19a8)][_0xdfb77b(0x19c7)],{'id':_0x4900cf['id']}),_0x50619b['musiconholds'][_0xdfb77b(0x51c)]-=0x1,!_0x50619b['musiconholds'][_0xdfb77b(0x19c7)][_0xdfb77b(0x402)]&&_0x50619b[_0xdfb77b(0x25c)](),_0x2d8e8e[_0xdfb77b(0x1c75)]({'title':_0x3f65c0()[_0xdfb77b(0x20d1)](_0xdfb77b(0x2c7))+_0xdfb77b(0x201c),'msg':_0x4900cf[_0xdfb77b(0x19eb)]?_0x4900cf[_0xdfb77b(0x19eb)]+'\x20has\x20been\x20deleted!':''});})['catch'](function(_0x3f521c){const _0x3e4ef8=_0x463e4a;if(_0x3f521c[_0x3e4ef8(0x524)]&&_0x3f521c['data'][_0x3e4ef8(0xcef)]&&_0x3f521c[_0x3e4ef8(0x524)][_0x3e4ef8(0xcef)][_0x3e4ef8(0x402)]){_0x50619b[_0x3e4ef8(0xcef)]=_0x3f521c[_0x3e4ef8(0x524)][_0x3e4ef8(0xcef)]||[{'message':_0x3f521c[_0x3e4ef8(0xd5f)](),'type':_0x3e4ef8(0x1e75)}];for(let _0x5078c0=0x0;_0x5078c0<_0x3f521c[_0x3e4ef8(0x524)][_0x3e4ef8(0xcef)]['length'];_0x5078c0++){_0x2d8e8e[_0x3e4ef8(0x1980)]({'title':_0x3f521c[_0x3e4ef8(0x524)][_0x3e4ef8(0xcef)][_0x5078c0]['type'],'msg':_0x3f521c[_0x3e4ef8(0x524)]['errors'][_0x5078c0][_0x3e4ef8(0x7fd)]});}}else _0x2d8e8e[_0x3e4ef8(0x1980)]({'title':_0x3f521c[_0x3e4ef8(0x107b)]?_0x3e4ef8(0x262a)+_0x3f521c['status']+_0x3e4ef8(0x1315)+_0x3f521c[_0x3e4ef8(0x167f)]:_0x3e4ef8(0x1e75),'msg':_0x3f521c[_0x3e4ef8(0x524)]?JSON['stringify'](_0x3f521c['data'][_0x3e4ef8(0x7fd)]):_0x3f521c[_0x3e4ef8(0x7fd)]||_0x3f521c['toString']()});});}function _0x138147(){const _0x98a280=_0x546762,_0x460d6b=angular[_0x98a280(0x235a)](_0x50619b[_0x98a280(0xf22)]);return _0x50619b[_0x98a280(0xf22)]=[],_0x460d6b;}function _0x27b28f(_0x59b72b){const _0x5d10ab=_0x546762,_0x4e6336=_0x42d907[_0x5d10ab(0x1e8a)]()[_0x5d10ab(0x1189)](_0x5d10ab(0xccf))[_0x5d10ab(0x1cbe)](_0x5d10ab(0x16d3)+_0x50619b[_0x5d10ab(0xf22)][_0x5d10ab(0x402)]+_0x5d10ab(0x2452)+_0x5d10ab(0xe01))[_0x5d10ab(0x4bd)](_0x5d10ab(0x2178))[_0x5d10ab(0x1f27)](_0x59b72b)['ok']('OK')[_0x5d10ab(0x6c3)](_0x5d10ab(0x39a));_0x42d907[_0x5d10ab(0x2615)](_0x4e6336)[_0x5d10ab(0x146b)](function(){const _0x4a467b=_0x5d10ab;_0x50619b['selectedMusicOnHolds'][_0x4a467b(0x1df5)](function(_0x47551e){_0x3db0f9(_0x47551e);}),_0x50619b[_0x4a467b(0xf22)]=[];});}function _0x5f022d(){const _0xf3cee0=_0x546762;_0x50619b[_0xf3cee0(0xf22)]=[];}function _0x2f261e(){const _0x6346ba=_0x546762;_0x50619b[_0x6346ba(0xf22)]=_0x50619b[_0x6346ba(0x19a8)]['rows'];}}const _0x554154=_0x297b08;;_0x4d6b3a[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$state',_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q','$translate',_0x313a4d(0x1fe4),'outboundroutes',_0x313a4d(0xaa4),_0x313a4d(0x247f),'Auth',_0x313a4d(0x2690),'setting',_0x313a4d(0x2514)];function _0x4d6b3a(_0x493227,_0x21277,_0x2cb64a,_0x4427c6,_0x315890,_0x5b5913,_0x5c137b,_0x51dcd1,_0xae868c,_0x3bcabb,_0x5dca3c,_0x4e9f85,_0x460d95,_0x62a207){const _0x3ee3a3=_0x313a4d,_0x8c442e=this;_0x8c442e[_0x3ee3a3(0x2321)]=_0x5dca3c[_0x3ee3a3(0xb12)](),_0x8c442e[_0x3ee3a3(0xcef)]=[],_0x8c442e['setting']=_0x460d95,_0x8c442e[_0x3ee3a3(0x2690)]=_0x4e9f85,_0x8c442e[_0x3ee3a3(0x2514)]=_0x62a207,_0x8c442e[_0x3ee3a3(0x855)]={},_0x8c442e[_0x3ee3a3(0x2251)]=_0x8c442e[_0x3ee3a3(0x15b9)]&&_0x8c442e['setting'][_0x3ee3a3(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x8c442e[_0x3ee3a3(0x1189)]=_0x3ee3a3(0x2928),_0x8c442e[_0x3ee3a3(0xaa4)]=angular[_0x3ee3a3(0x235a)](_0xae868c),_0x8c442e[_0x3ee3a3(0x7fb)]=_0x51dcd1,_0x8c442e[_0x3ee3a3(0x1965)]=![],_0x8c442e[_0x3ee3a3(0x15fb)]={'count':0x0,'rows':[]},_0x8c442e[_0x3ee3a3(0x8b0)]=_0x3f65c0()[_0x3ee3a3(0xa6f)](_0x3f65c0()[_0x3ee3a3(0x939)]([{'app':_0x3ee3a3(0x1b4e),'appType':_0x3ee3a3(0x2765),'type':'Outbound','types':[_0x3ee3a3(0xd85),_0x3ee3a3(0x1802),'list'],'fields':[],'isApp':![]},{'app':_0x3ee3a3(0x20fb),'appType':'outboundDial','context':_0x3ee3a3(0x57e),'type':_0x3ee3a3(0x22ce),'icon':'icon-apps','interval':_0x3ee3a3(0x1559),'options':'xX','isApp':!![],'extraApi':[{'name':_0x3ee3a3(0x2433),'route':_0x3ee3a3(0x279f),'filters':{'fields':_0x3ee3a3(0x19eb),'sort':_0x3ee3a3(0x19eb)}},{'name':_0x3ee3a3(0x1b86),'route':_0x3ee3a3(0xa80),'filters':{'fields':_0x3ee3a3(0x19eb),'sort':_0x3ee3a3(0x19eb),'nolimit':!![]}}],'fields':[{'title':_0x3ee3a3(0x13c4),'name':_0x3ee3a3(0x279f),'type':_0x3ee3a3(0x28c6),'values':_0x3ee3a3(0x2433),'value':_0x3ee3a3(0x1845),'option':_0x3ee3a3(0x1845),'required':!![],'param':0x0},{'title':_0x3ee3a3(0x2137),'name':_0x3ee3a3(0x11be),'type':_0x3ee3a3(0xa8d),'ngPattern':_0x3ee3a3(0x2772),'help':!![]},{'title':_0x3ee3a3(0x447),'name':_0x3ee3a3(0x1e12),'type':_0x3ee3a3(0xa8d)},{'title':_0x3ee3a3(0x2331),'name':'tag','type':_0x3ee3a3(0x28c6),'values':_0x3ee3a3(0x1b86),'value':_0x3ee3a3(0x1a96),'option':_0x3ee3a3(0x1a96),'defaultValues':[{'value':_0x3ee3a3(0x7ed),'option':_0x3ee3a3(0x13dd)}]},{'title':_0x3ee3a3(0x5cc),'name':_0x3ee3a3(0xa9c),'type':_0x3ee3a3(0x181),'min':0x0,'param':0x1},{'title':'Options','name':_0x3ee3a3(0xa08),'type':_0x3ee3a3(0xa8d),'param':0x2},{'title':_0x3ee3a3(0xae8),'name':_0x3ee3a3(0x20cc),'type':'text','param':0x3}]},{'app':null,'type':_0x3ee3a3(0x22ce),'appType':'custom','icon':_0x3ee3a3(0x1205),'interval':_0x3ee3a3(0x1559),'isApp':!![],'fields':[{'title':_0x3ee3a3(0x1b64),'name':_0x3ee3a3(0x1873),'type':_0x3ee3a3(0xa8d),'required':!![],'param':0x0},{'title':_0x3ee3a3(0x2357),'name':'appdata','type':_0x3ee3a3(0xa8d),'param':0x1}]}],['app']),{'isApp':![]});!_0x8c442e[_0x3ee3a3(0xaa4)]&&(_0x8c442e[_0x3ee3a3(0xaa4)]={'appdata':_0x3ee3a3(0x98e),'type':_0x3ee3a3(0xc9c),'context':'from-sip','recordingFormat':_0x3ee3a3(0x1642)},_0x8c442e[_0x3ee3a3(0x1189)]=_0x3ee3a3(0x516),_0x8c442e[_0x3ee3a3(0x1965)]=!![]);_0x8c442e[_0x3ee3a3(0x1bde)]=_0x5d6d5d,_0x8c442e[_0x3ee3a3(0x1f17)]=_0x3f4e89,_0x8c442e[_0x3ee3a3(0x2519)]=_0x586bfa,_0x8c442e[_0x3ee3a3(0xe73)]=_0x116700,_0x8c442e[_0x3ee3a3(0x1450)]=_0x4f347a,_0x8c442e['closeDialog']=_0x276435,_0x5dca3c[_0x3ee3a3(0x23e0)](_0x3ee3a3(0x174b))?_0x3bcabb[_0x3ee3a3(0x1b82)][_0x3ee3a3(0x16b4)]({'fields':_0x3ee3a3(0x7a7),'sort':_0x3ee3a3(0x19eb),'nolimit':_0x3ee3a3(0x1185)})['$promise'][_0x3ee3a3(0x146b)](function(_0x174450){const _0x5d35e2=_0x3ee3a3;_0x8c442e['contexts']=_0x174450[_0x5d35e2(0x19c7)]||[];})[_0x3ee3a3(0x129e)](function(_0x43b352){const _0x1ba268=_0x3ee3a3;_0x5c137b[_0x1ba268(0x1980)]({'title':_0x43b352['status']?_0x1ba268(0x262a)+_0x43b352[_0x1ba268(0x107b)]+'\x20-\x20'+_0x43b352[_0x1ba268(0x167f)]:'SYSTEM:GET_CONTEXTS','msg':_0x43b352[_0x1ba268(0x524)]?JSON[_0x1ba268(0x10bb)](_0x43b352[_0x1ba268(0x524)]):_0x43b352['toString']()});}):_0x3bcabb[_0x3ee3a3(0x1b82)]['get']({'fields':_0x3ee3a3(0x7a7),'sort':_0x3ee3a3(0x19eb),'nolimit':'true'})[_0x3ee3a3(0x2945)][_0x3ee3a3(0x146b)](function(_0x311656){const _0x1ce33d=_0x3ee3a3;_0x8c442e[_0x1ce33d(0xfe9)]=_0x311656['rows']||[];})['then'](function(){const _0x351b67=_0x3ee3a3;return _0x3bcabb[_0x351b67(0x1366)]['get']({'userProfileId':_0x8c442e[_0x351b67(0x2321)][_0x351b67(0x209a)],'sectionId':0x195})[_0x351b67(0x2945)];})[_0x3ee3a3(0x146b)](function(_0x4d852f){const _0x9e33ef=_0x3ee3a3,_0x401063=_0x4d852f&&_0x4d852f[_0x9e33ef(0x19c7)]?_0x4d852f[_0x9e33ef(0x19c7)][0x0]:null;if(!_0x401063)return _0x3bcabb[_0x9e33ef(0x1b82)][_0x9e33ef(0x16b4)]({'fields':_0x9e33ef(0x7a7),'sort':'name','nolimit':'true','defaultEntry':0x1})['$promise']['then'](function(_0x9b9843){const _0x373ff1=_0x9e33ef;_0x8c442e[_0x373ff1(0xfe9)]=_0x9b9843['rows']||[];});else{if(!_0x401063['autoAssociation'])return _0x3bcabb[_0x9e33ef(0xdcc)][_0x9e33ef(0x16b4)]({'sectionId':_0x401063['id']})[_0x9e33ef(0x2945)][_0x9e33ef(0x146b)](function(_0x1b97c8){const _0x504d41=_0x9e33ef,_0x2ef4ba=_0x3f65c0()['map'](_0x1b97c8['rows'],function(_0x53bff5){const _0x55ba21=a0_0x3bb9;return _0x3f65c0()['find'](_0x8c442e['contexts'],{'id':_0x53bff5[_0x55ba21(0x18b8)]});});let _0x292ef0=null;_0x8c442e[_0x504d41(0xaa4)]&&(_0x292ef0=_0x3f65c0()[_0x504d41(0xc84)](_0x8c442e['contexts'],{'name':_0x8c442e[_0x504d41(0xaa4)][_0x504d41(0x1ac1)]}));if(_0x292ef0&&!_0x3f65c0()['some'](_0x2ef4ba,['id',_0x292ef0['id']])){const _0x16bdd7=_0x3f65c0()[_0x504d41(0xc84)](_0x8c442e[_0x504d41(0xfe9)],{'id':_0x292ef0['id']});_0x16bdd7[_0x504d41(0x8ff)]=![],_0x2ef4ba[_0x504d41(0x1f47)](_0x16bdd7);}_0x8c442e[_0x504d41(0xfe9)]=_0x2ef4ba;});}})[_0x3ee3a3(0x129e)](function(_0x19b8bf){const _0x163b56=_0x3ee3a3;_0x5c137b[_0x163b56(0x1980)]({'title':_0x19b8bf[_0x163b56(0x107b)]?_0x163b56(0x262a)+_0x19b8bf['status']+_0x163b56(0x1315)+_0x19b8bf[_0x163b56(0x167f)]:_0x163b56(0x155e),'msg':_0x19b8bf['data']?JSON[_0x163b56(0x10bb)](_0x19b8bf[_0x163b56(0x524)]):_0x19b8bf['toString']()});});function _0x5d6d5d(){const _0x176157=_0x3ee3a3;_0x8c442e[_0x176157(0xcef)]=[],_0x3bcabb['voiceExtension'][_0x176157(0x1e3)](_0x8c442e[_0x176157(0xaa4)])[_0x176157(0x2945)][_0x176157(0x146b)](function(_0xc55a91){const _0x3bdc0c=_0x176157;_0x8c442e[_0x3bdc0c(0x7fb)][_0x3bdc0c(0xb3d)](_0xc55a91[_0x3bdc0c(0x2488)]()),_0x5c137b[_0x3bdc0c(0x1c75)]({'title':_0x3bdc0c(0x3f7),'msg':_0x8c442e[_0x3bdc0c(0xaa4)][_0x3bdc0c(0x19eb)]?_0x8c442e[_0x3bdc0c(0xaa4)][_0x3bdc0c(0x19eb)]+_0x3bdc0c(0x1386):''}),_0x276435(_0xc55a91);})[_0x176157(0x129e)](function(_0x4ae20e){const _0x2cb27b=_0x176157;if(_0x4ae20e[_0x2cb27b(0x524)]&&_0x4ae20e[_0x2cb27b(0x524)][_0x2cb27b(0xcef)]&&_0x4ae20e[_0x2cb27b(0x524)][_0x2cb27b(0xcef)][_0x2cb27b(0x402)]){_0x8c442e['errors']=_0x4ae20e['data']['errors']||[{'message':_0x4ae20e[_0x2cb27b(0xd5f)](),'type':_0x2cb27b(0x52e)}];for(let _0x88fc45=0x0;_0x88fc45<_0x4ae20e[_0x2cb27b(0x524)][_0x2cb27b(0xcef)]['length'];_0x88fc45+=0x1){_0x5c137b[_0x2cb27b(0x1980)]({'title':_0x4ae20e['data'][_0x2cb27b(0xcef)][_0x88fc45][_0x2cb27b(0x1142)],'msg':_0x4ae20e[_0x2cb27b(0x524)][_0x2cb27b(0xcef)][_0x88fc45][_0x2cb27b(0x7fd)]});}}else _0x5c137b['error']({'title':_0x4ae20e['status']?_0x2cb27b(0x262a)+_0x4ae20e['status']+_0x2cb27b(0x1315)+_0x4ae20e['statusText']:_0x2cb27b(0x52e),'msg':_0x4ae20e[_0x2cb27b(0x524)]?JSON['stringify'](_0x4ae20e[_0x2cb27b(0x524)][_0x2cb27b(0x7fd)]):_0x4ae20e['toString']()});});}function _0x3f4e89(){const _0x49e208=_0x3ee3a3;_0x8c442e[_0x49e208(0xcef)]=[],_0x3bcabb[_0x49e208(0xd47)]['update']({'id':_0x8c442e[_0x49e208(0xaa4)]['id']},_0x8c442e['outboundroute'])['$promise']['then'](function(_0x9c7125){const _0x49f2be=_0x49e208,_0x2f7841=_0x3f65c0()['find'](_0x8c442e[_0x49f2be(0x7fb)],{'id':_0x9c7125['id']});_0x2f7841&&(_0x3f65c0()[_0x49f2be(0x168d)](_0x2f7841,_0x3f65c0()[_0x49f2be(0x40e)](_0x9c7125[_0x49f2be(0x2488)](),_0x3f65c0()[_0x49f2be(0x627)](_0x2f7841))),_0x3bcabb['voiceExtension']['get']({'sort':'priority','VoiceExtensionId':_0x2f7841['id'],'isApp':!![],'nolimit':!![]})[_0x49f2be(0x2945)][_0x49f2be(0x146b)](function(_0x30535b){_0x8c442e['outboundrouteApps']=_0x30535b,_0x4f347a();})),_0x5c137b[_0x49f2be(0x1c75)]({'title':_0x49f2be(0x1a77),'msg':_0x8c442e['outboundroute'][_0x49f2be(0x19eb)]?_0x8c442e[_0x49f2be(0xaa4)]['name']+'\x20has\x20been\x20saved!':''}),_0x276435(_0x9c7125);})['catch'](function(_0x5a8f1c){const _0x14297c=_0x49e208;if(_0x5a8f1c[_0x14297c(0x524)]&&_0x5a8f1c[_0x14297c(0x524)][_0x14297c(0xcef)]&&_0x5a8f1c[_0x14297c(0x524)][_0x14297c(0xcef)][_0x14297c(0x402)]){_0x8c442e[_0x14297c(0xcef)]=_0x5a8f1c['data'][_0x14297c(0xcef)]||[{'message':_0x5a8f1c[_0x14297c(0xd5f)](),'type':_0x14297c(0x872)}];for(let _0x215cd2=0x0;_0x215cd2<_0x5a8f1c[_0x14297c(0x524)][_0x14297c(0xcef)][_0x14297c(0x402)];_0x215cd2++){_0x5c137b[_0x14297c(0x1980)]({'title':_0x5a8f1c[_0x14297c(0x524)][_0x14297c(0xcef)][_0x215cd2][_0x14297c(0x1142)],'msg':_0x5a8f1c[_0x14297c(0x524)]['errors'][_0x215cd2][_0x14297c(0x7fd)]});}}else _0x5c137b[_0x14297c(0x1980)]({'title':_0x5a8f1c['status']?_0x14297c(0x262a)+_0x5a8f1c[_0x14297c(0x107b)]+_0x14297c(0x1315)+_0x5a8f1c['statusText']:_0x14297c(0x872),'msg':_0x5a8f1c[_0x14297c(0x524)]?JSON[_0x14297c(0x10bb)](_0x5a8f1c['data']['message']):_0x5a8f1c[_0x14297c(0xd5f)]()});});}function _0x586bfa(_0x32e5db){const _0x258e7e=_0x3ee3a3;_0x3bcabb[_0x258e7e(0xc0f)][_0x258e7e(0x16b4)]({'VoiceExtensionId':_0xae868c['id']})['$promise']['then'](function(_0x2c43fa){const _0x3f3fcd=_0x258e7e;if(_0x2c43fa&&_0x2c43fa[_0x3f3fcd(0x19c7)]&&_0x2c43fa[_0x3f3fcd(0x19c7)]['length']>0x0)return _0x5c137b['error']({'title':_0x3f3fcd(0xfa),'msg':_0x3f3fcd(0x2c1)});else{_0x8c442e[_0x3f3fcd(0xcef)]=[];const _0x4be22b=_0x4427c6['confirm']()[_0x3f3fcd(0x1189)](_0x3f3fcd(0x1d64))[_0x3f3fcd(0x80f)]('The\x20outboundroute\x20will\x20be\x20deleted.')[_0x3f3fcd(0x4bd)](_0x3f3fcd(0x1b9c))['ok'](_0x3f3fcd(0x25de))[_0x3f3fcd(0x6c3)](_0x3f3fcd(0xcf0))['targetEvent'](_0x32e5db);_0x4427c6[_0x3f3fcd(0x2615)](_0x4be22b)[_0x3f3fcd(0x146b)](function(){const _0x414caa=_0x3f3fcd;_0x3bcabb[_0x414caa(0xd47)][_0x414caa(0x1fac)]({'id':_0x8c442e[_0x414caa(0xaa4)]['id']})['$promise']['then'](function(){const _0x5eb3c6=_0x414caa;_0x3f65c0()['remove'](_0x8c442e[_0x5eb3c6(0x7fb)],{'id':_0x8c442e['outboundroute']['id']}),_0x5c137b[_0x5eb3c6(0x1c75)]({'title':_0x5eb3c6(0x1258),'msg':(_0x8c442e[_0x5eb3c6(0xaa4)][_0x5eb3c6(0x19eb)]||'outboundroute')+'\x20has\x20been\x20deleted!'}),_0x276435(_0x8c442e[_0x5eb3c6(0xaa4)]);})[_0x414caa(0x129e)](function(_0x1bcec6){const _0x33e2c8=_0x414caa;if(_0x1bcec6['data']&&_0x1bcec6[_0x33e2c8(0x524)]['errors']&&_0x1bcec6[_0x33e2c8(0x524)][_0x33e2c8(0xcef)][_0x33e2c8(0x402)]){_0x8c442e[_0x33e2c8(0xcef)]=_0x1bcec6[_0x33e2c8(0x524)][_0x33e2c8(0xcef)]||[{'message':_0x1bcec6['toString'](),'type':'api.voiceExtension.delete'}];for(let _0x1a7fd3=0x0;_0x1a7fd3<_0x1bcec6[_0x33e2c8(0x524)][_0x33e2c8(0xcef)][_0x33e2c8(0x402)];_0x1a7fd3++){_0x5c137b[_0x33e2c8(0x1980)]({'title':_0x1bcec6['data'][_0x33e2c8(0xcef)][_0x1a7fd3]['type'],'msg':_0x1bcec6[_0x33e2c8(0x524)]['errors'][_0x1a7fd3][_0x33e2c8(0x7fd)]});}}else _0x5c137b[_0x33e2c8(0x1980)]({'title':_0x1bcec6[_0x33e2c8(0x107b)]?'API:'+_0x1bcec6[_0x33e2c8(0x107b)]+_0x33e2c8(0x1315)+_0x1bcec6[_0x33e2c8(0x167f)]:_0x33e2c8(0x277c),'msg':_0x1bcec6[_0x33e2c8(0x524)]?JSON[_0x33e2c8(0x10bb)](_0x1bcec6[_0x33e2c8(0x524)][_0x33e2c8(0x7fd)]):_0x1bcec6['message']||_0x1bcec6[_0x33e2c8(0xd5f)]()});});},function(){});}});}function _0x4f347a(){const _0x579caa=_0x3ee3a3;let _0x2fadf4=0x2,_0x53f1b8=[];_0x53f1b8[_0x579caa(0x1f47)]({'type':'outbound','app':'Set','appdata':'CDR(type)=outbound','context':_0x8c442e[_0x579caa(0xaa4)][_0x579caa(0x1ac1)],'exten':_0x8c442e[_0x579caa(0xaa4)][_0x579caa(0x19b2)],'priority':_0x2fadf4++,'VoiceExtensionId':_0x8c442e[_0x579caa(0xaa4)]['id']}),_0x53f1b8['push']({'type':'outbound','app':'Set','appdata':_0x579caa(0x1e17)+(_0x8c442e[_0x579caa(0xaa4)]['cutdigits']?_0x579caa(0x2147)+_0x8c442e[_0x579caa(0xaa4)]['cutdigits']+'}':'${EXTEN}'),'context':_0x8c442e[_0x579caa(0xaa4)][_0x579caa(0x1ac1)],'exten':_0x8c442e[_0x579caa(0xaa4)][_0x579caa(0x19b2)],'priority':_0x2fadf4++,'VoiceExtensionId':_0x8c442e[_0x579caa(0xaa4)]['id']}),_0x53f1b8[_0x579caa(0x1f47)]({'type':'outbound','app':'Set','appdata':_0x579caa(0x209),'context':_0x8c442e[_0x579caa(0xaa4)][_0x579caa(0x1ac1)],'exten':_0x8c442e[_0x579caa(0xaa4)][_0x579caa(0x19b2)],'priority':_0x2fadf4++,'VoiceExtensionId':_0x8c442e['outboundroute']['id']}),_0x53f1b8['push']({'type':'outbound','app':_0x579caa(0x124f),'appdata':_0x579caa(0x25dc),'context':_0x8c442e['outboundroute']['context'],'exten':_0x8c442e[_0x579caa(0xaa4)][_0x579caa(0x19b2)],'priority':_0x2fadf4++,'VoiceExtensionId':_0x8c442e['outboundroute']['id']}),_0x53f1b8[_0x579caa(0x1f47)]({'type':_0x579caa(0xc9c),'app':'Set','appdata':_0x579caa(0x1486),'context':_0x8c442e['outboundroute'][_0x579caa(0x1ac1)],'exten':_0x8c442e[_0x579caa(0xaa4)][_0x579caa(0x19b2)],'priority':_0x2fadf4++,'VoiceExtensionId':_0x8c442e['outboundroute']['id']}),_0x53f1b8[_0x579caa(0x1f47)]({'type':_0x579caa(0xc9c),'app':'Set','appdata':_0x579caa(0x244c)+_0x8c442e['outboundroute']['id'],'context':_0x8c442e['outboundroute'][_0x579caa(0x1ac1)],'exten':_0x8c442e[_0x579caa(0xaa4)]['exten'],'priority':_0x2fadf4++,'VoiceExtensionId':_0x8c442e[_0x579caa(0xaa4)]['id']});typeof _0x8c442e['outboundroute'][_0x579caa(0x1425)]!==_0x579caa(0x2274)&&_0x8c442e[_0x579caa(0xaa4)]['recordingFormat']!==_0x579caa(0x1642)&&(_0x53f1b8['push']({'type':_0x579caa(0xc9c),'app':_0x579caa(0x124f),'appdata':_0x579caa(0x1ca1),'context':_0x8c442e[_0x579caa(0xaa4)][_0x579caa(0x1ac1)],'exten':_0x8c442e[_0x579caa(0xaa4)][_0x579caa(0x19b2)],'priority':_0x2fadf4++,'VoiceExtensionId':_0x8c442e[_0x579caa(0xaa4)]['id']}),_0x53f1b8[_0x579caa(0x1f47)]({'type':_0x579caa(0xc9c),'app':_0x579caa(0x124f),'appdata':'_XCALLY_MIXMONITOR_FORMAT='+_0x8c442e['outboundroute'][_0x579caa(0x1425)]||0x0,'context':_0x8c442e[_0x579caa(0xaa4)][_0x579caa(0x1ac1)],'exten':_0x8c442e['outboundroute'][_0x579caa(0x19b2)],'priority':_0x2fadf4++,'VoiceExtensionId':_0x8c442e[_0x579caa(0xaa4)]['id']}));for(let _0x38293f=0x0,_0xf9d785=0x0;_0x38293f<_0x8c442e[_0x579caa(0x15fb)][_0x579caa(0x19c7)][_0x579caa(0x402)];_0x38293f++,_0xf9d785=0x0){const _0x4630fe=_0x8c442e[_0x579caa(0x15fb)][_0x579caa(0x19c7)][_0x38293f],_0x1391dd=[],_0x49f7f3=[];_0x4630fe[_0x579caa(0x278f)]=_0x4630fe['interval']!==_0x579caa(0x1559)?[_0x4630fe[_0x579caa(0x2765)]]:_0x4630fe[_0x579caa(0x1ff2)]?_0x3f65c0()['map'](_0x3f65c0()[_0x579caa(0x1dd6)](_0x8c442e[_0x579caa(0x278f)][_0x579caa(0x19c7)],{'IntervalId':_0x4630fe[_0x579caa(0x1ff2)]}),'interval'):[],_0x4630fe[_0x579caa(0x1ac1)]=_0x8c442e['outboundroute'][_0x579caa(0x1ac1)],_0x4630fe[_0x579caa(0x19b2)]=_0x8c442e[_0x579caa(0xaa4)][_0x579caa(0x19b2)];_0x4630fe[_0x579caa(0x1142)]&&(_0x4630fe[_0x579caa(0x1142)]=_0x4630fe[_0x579caa(0x1142)][_0x579caa(0x256e)]());typeof _0x4630fe[_0x579caa(0xa80)]!==_0x579caa(0x2274)&&(_0x4630fe[_0x579caa(0xa80)]&&_0x4630fe['tag']!=='--'&&_0x1391dd[_0x579caa(0x1f47)]({'type':_0x4630fe[_0x579caa(0x1142)][_0x579caa(0x256e)](),'app':_0x579caa(0x124f),'appdata':_0x579caa(0x1b3e)+_0x4630fe[_0x579caa(0xa80)],'context':_0x8c442e['outboundroute'][_0x579caa(0x1ac1)],'exten':_0x8c442e[_0x579caa(0xaa4)][_0x579caa(0x19b2)],'priority':_0x4630fe[_0x579caa(0x278f)][_0x579caa(0x402)]+_0x2fadf4+_0xf9d785++,'VoiceExtensionId':_0x8c442e[_0x579caa(0xaa4)]['id']}));_0x4630fe['callerID']?_0x1391dd[_0x579caa(0x1f47)]({'type':_0x4630fe[_0x579caa(0x1142)]['toLowerCase'](),'app':_0x579caa(0xf74),'appdata':_0x579caa(0xc57)+_0x4630fe[_0x579caa(0x11be)]+')','context':_0x8c442e[_0x579caa(0xaa4)][_0x579caa(0x1ac1)],'exten':_0x8c442e[_0x579caa(0xaa4)]['exten'],'priority':_0x4630fe['intervals'][_0x579caa(0x402)]+_0x2fadf4+_0xf9d785++,'VoiceExtensionId':_0x8c442e['outboundroute']['id']}):_0x1391dd[_0x579caa(0x1f47)]({'type':_0x4630fe[_0x579caa(0x1142)]['toLowerCase'](),'app':'ExecIf','appdata':_0x579caa(0x2562),'context':_0x8c442e[_0x579caa(0xaa4)][_0x579caa(0x1ac1)],'exten':_0x8c442e['outboundroute'][_0x579caa(0x19b2)],'priority':_0x4630fe[_0x579caa(0x278f)][_0x579caa(0x402)]+_0x2fadf4+_0xf9d785++,'VoiceExtensionId':_0x8c442e[_0x579caa(0xaa4)]['id']});for(let _0x50344a=0x0;_0x50344a<_0x4630fe['intervals'][_0x579caa(0x402)];_0x50344a++){const _0x3cc1a6=_0x2fadf4+_0x4630fe[_0x579caa(0x278f)][_0x579caa(0x402)],_0x200ad9=_0x50344a===_0x4630fe[_0x579caa(0x278f)][_0x579caa(0x402)]-0x1?_0x2fadf4+_0x1391dd['length']+_0x49f7f3['length']+0x2:_0x2fadf4+_0x50344a+0x1;_0x1391dd[_0x579caa(0x1f7d)](_0x50344a,0x0,{'type':_0x579caa(0xc9c),'app':_0x579caa(0x2229),'appdata':_0x4630fe['intervals'][_0x50344a]+'?'+_0x8c442e[_0x579caa(0xaa4)][_0x579caa(0x1ac1)]+_0x579caa(0x2770)+_0x3cc1a6+':'+_0x8c442e[_0x579caa(0xaa4)][_0x579caa(0x1ac1)]+',${EXTEN},'+_0x200ad9,'exten':_0x8c442e['outboundroute'][_0x579caa(0x19b2)],'context':_0x8c442e['outboundroute'][_0x579caa(0x1ac1)],'priority':_0x2fadf4+_0x50344a,'VoiceExtensionId':_0x8c442e[_0x579caa(0xaa4)]['id']});}if(_0x4630fe['appType']==='outboundDial'){const _0x28a39b=_0x3f65c0()[_0x579caa(0xc84)](_0x8c442e[_0x579caa(0x8b0)],{'appType':'outboundDial'});if(_0x28a39b&&_0x28a39b[_0x579caa(0x2867)]){const _0x325060={},_0x218b6f=_0x4630fe[_0x579caa(0x168a)][_0x579caa(0x10c8)](','),_0x37eb92=[];for(let _0x3fd9e1=0x0,_0x12aef0=_0x28a39b[_0x579caa(0x2867)][_0x579caa(0x402)];_0x3fd9e1<_0x12aef0;_0x3fd9e1++){if(typeof _0x28a39b[_0x579caa(0x2867)][_0x3fd9e1][_0x579caa(0x26d4)]!==_0x579caa(0x2274))switch(_0x28a39b[_0x579caa(0x2867)][_0x3fd9e1]['name']){case _0x579caa(0x279f):_0x325060[_0x579caa(0x279f)]=_0x218b6f[_0x28a39b[_0x579caa(0x2867)][_0x3fd9e1]['param']][_0x579caa(0x10c8)]('/')[0x1],_0x325060[_0x579caa(0x1d55)]=_0x218b6f[_0x28a39b[_0x579caa(0x2867)][_0x3fd9e1]['param']][_0x579caa(0x10c8)]('/')[0x2],_0x325060['prefix']=_0x325060[_0x579caa(0x1d55)]?_0x325060[_0x579caa(0x1d55)][_0x579caa(0x10c8)]('$')[0x0]:undefined,_0x325060['phone']=_0x8c442e[_0x579caa(0xaa4)][_0x579caa(0x1274)]?(_0x325060[_0x579caa(0x1e12)]||'')+_0x579caa(0x2147)+_0x8c442e[_0x579caa(0xaa4)]['cutdigits']+'}':(_0x325060[_0x579caa(0x1e12)]||'')+'${EXTEN}',_0x37eb92[_0x28a39b[_0x579caa(0x2867)][_0x3fd9e1][_0x579caa(0x26d4)]]=['SIP',_0x325060['trunk'],_0x325060[_0x579caa(0x1d55)]][_0x579caa(0xb47)]('/');break;case _0x579caa(0xa08):_0x325060['options']=_0x218b6f[_0x28a39b[_0x579caa(0x2867)][_0x3fd9e1][_0x579caa(0x26d4)]];_0x8c442e[_0x579caa(0xaa4)]['recordingFormat']!==_0x579caa(0x1642)?_0x325060[_0x579caa(0xa08)][_0x579caa(0x172b)](_0x579caa(0x1ea4))<0x0&&(_0x325060[_0x579caa(0xa08)]+=_0x579caa(0x1ea4)):_0x325060[_0x579caa(0xa08)]=_0x325060[_0x579caa(0xa08)]['replace']('U(xcally-mixmonitor-context)','');_0x37eb92[_0x28a39b[_0x579caa(0x2867)][_0x3fd9e1][_0x579caa(0x26d4)]]=_0x325060[_0x579caa(0xa08)];break;default:_0x37eb92[_0x28a39b['fields'][_0x3fd9e1]['param']]=_0x218b6f[_0x28a39b[_0x579caa(0x2867)][_0x3fd9e1][_0x579caa(0x26d4)]];}}_0x4630fe[_0x579caa(0x168a)]=_0x37eb92[_0x579caa(0xb47)](',');}}_0x4630fe[_0x579caa(0xa6a)]=_0x1391dd[_0x579caa(0x402)]?_0x3f65c0()[_0x579caa(0x22f2)](_0x1391dd)['priority']+0x1:_0x2fadf4,_0x2fadf4=(_0x49f7f3[_0x579caa(0x402)]?_0x3f65c0()[_0x579caa(0x22f2)](_0x49f7f3)['priority']:_0x4630fe[_0x579caa(0xa6a)])+0x1,_0x53f1b8=_0x3f65c0()[_0x579caa(0x163c)](_0x53f1b8,_0x1391dd,[_0x4630fe],_0x49f7f3);}_0x53f1b8[_0x579caa(0x1f47)]({'type':_0x579caa(0xc9c),'app':'Hangup','exten':_0x8c442e[_0x579caa(0xaa4)][_0x579caa(0x19b2)],'context':_0x8c442e[_0x579caa(0xaa4)][_0x579caa(0x1ac1)],'priority':_0x2fadf4,'VoiceExtensionId':_0x8c442e[_0x579caa(0xaa4)]['id']}),_0x3bcabb['voiceExtension']['addApplications']({'id':_0x8c442e[_0x579caa(0xaa4)]['id']},_0x3f65c0()[_0x579caa(0x939)](_0x53f1b8,_0x579caa(0xa6a)))['$promise']['then'](function(_0x2a8c88){const _0x4a208c=_0x579caa;_0x8c442e[_0x4a208c(0x15fb)][_0x4a208c(0x19c7)]=_0x2a8c88[_0x4a208c(0x19c7)];})[_0x579caa(0x129e)](function(_0x3a1b32){console['error'](_0x3a1b32);});}function _0x116700(_0x1b3637){return _0x1b3637===null?undefined:new Date(_0x1b3637);}function _0x276435(_0x1f8767){const _0x329eb8=_0x3ee3a3;_0x4427c6[_0x329eb8(0x2458)](_0x1f8767);}}const _0x131b07=_0x4d6b3a;;const _0x58efd7=_0x4acfac['p']+_0x313a4d(0x134e);;const _0x3ea278=_0x4acfac['p']+_0x313a4d(0xc6a);;const _0x151dad=_0x4acfac['p']+_0x313a4d(0x341);;_0x2fa57f[_0x313a4d(0x11c2)]=[_0x313a4d(0x247f),'$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x1fe4),_0x313a4d(0x279d),'$scope',_0x313a4d(0xa87)];const _0x1873e5={'custom':_0x58efd7,'interval':_0x3ea278,'outbounddial':_0x151dad};function _0x2fa57f(_0x3aa2ec,_0x28e285,_0x35caad,_0x1ecead,_0x16da13,_0x5237f0,_0x28dc1b){const _0x4b3e99=_0x313a4d,_0x590b23=this;_0x590b23[_0x4b3e99(0x2321)]=_0x28dc1b[_0x4b3e99(0xb12)](),_0x590b23[_0x4b3e99(0xaa4)]={},_0x590b23[_0x4b3e99(0x15fb)]={'count':0x0,'rows':[]},_0x590b23[_0x4b3e99(0x19fa)]=[],_0x590b23['crudPermissions'],_0x590b23['query']={'sort':'priority'},_0x590b23[_0x4b3e99(0x8b0)]=_0x3f65c0()[_0x4b3e99(0xa6f)](_0x3f65c0()[_0x4b3e99(0x939)]([{'app':_0x4b3e99(0x1b4e),'appType':_0x4b3e99(0x2765),'type':_0x4b3e99(0x22ce),'types':[_0x4b3e99(0xd85),_0x4b3e99(0x1802),_0x4b3e99(0x25f4)],'fields':[],'isApp':![]},{'app':_0x4b3e99(0x20fb),'appType':_0x4b3e99(0x118b),'context':_0x4b3e99(0x57e),'type':_0x4b3e99(0x22ce),'icon':_0x4b3e99(0x1205),'interval':_0x4b3e99(0x1559),'options':'xX','isApp':!![],'extraApi':[{'name':_0x4b3e99(0x2433),'field':{'name':_0x4b3e99(0x279f),'key':'name'},'route':'trunk','filters':{'fields':_0x4b3e99(0x7a7),'sort':'name','nolimit':!![]},'permissions':{'section':0x3f3}},{'name':_0x4b3e99(0x1b86),'field':{'name':'tag','key':_0x4b3e99(0x19eb)},'route':_0x4b3e99(0xa80),'filters':{'fields':_0x4b3e99(0x7a7),'sort':_0x4b3e99(0x19eb),'nolimit':!![]},'permissions':{'section':0x3f0}}],'fields':[{'title':'Trunk','name':_0x4b3e99(0x279f),'type':'apiselect','values':_0x4b3e99(0x2433),'value':_0x4b3e99(0x1845),'option':_0x4b3e99(0x1845),'required':!![],'param':0x0},{'title':_0x4b3e99(0x2137),'name':'callerID','type':_0x4b3e99(0xa8d),'help':!![]},{'title':_0x4b3e99(0x447),'name':_0x4b3e99(0x1e12),'type':'text'},{'title':_0x4b3e99(0x2331),'name':_0x4b3e99(0xa80),'type':_0x4b3e99(0x28c6),'values':_0x4b3e99(0x1b86),'value':_0x4b3e99(0x1a96),'option':_0x4b3e99(0x1a96),'defaultValues':[{'value':_0x4b3e99(0x7ed),'option':_0x4b3e99(0x13dd)}]},{'title':'Timeout','name':_0x4b3e99(0xa9c),'type':_0x4b3e99(0x181),'min':0x0,'param':0x1},{'title':_0x4b3e99(0x23ec),'name':_0x4b3e99(0xa08),'type':_0x4b3e99(0xa8d),'param':0x2},{'title':'Url','name':_0x4b3e99(0x20cc),'type':_0x4b3e99(0xa8d),'param':0x3}]},{'app':null,'type':_0x4b3e99(0x22ce),'appType':'custom','icon':'icon-apps','interval':'*,*,*,*','isApp':!![],'fields':[{'title':_0x4b3e99(0x1b64),'name':_0x4b3e99(0x1873),'type':_0x4b3e99(0xa8d),'required':!![],'param':0x0},{'title':_0x4b3e99(0x2357),'name':'appdata','type':_0x4b3e99(0xa8d),'param':0x1}]}],[_0x4b3e99(0x1873)]),{'isApp':![]}),_0x590b23[_0x4b3e99(0x25f4)]={'group':{'name':_0x4b3e99(0x156c),'pull':'clone'},'animation':0x64,'sort':![]},_0x590b23[_0x4b3e99(0x25fc)]={'group':{'name':_0x4b3e99(0x916),'put':'opt1'},'animation':0x64,'onAdd':function(_0x18decf){const _0xe109d0=_0x4b3e99;_0x1eb145(_0x18decf,_0x18decf[_0xe109d0(0x154d)]);},'onSort':function(){_0x5215bc();}},_0x590b23[_0x4b3e99(0x5aa)]=_0x5c736c,_0x590b23['deleteConfirm']=_0x39cd3b,_0x590b23['getOutboundRouteApps']=_0x53c274,_0x590b23[_0x4b3e99(0xc11)]=_0x1eb145,_0x590b23[_0x4b3e99(0x285b)]=_0x5c95f0,_0x590b23['deleteOutboundRouteApp']=_0x40261f,_0x590b23[_0x4b3e99(0xb7a)]=_0x1cc722,_0x590b23[_0x4b3e99(0x1450)]=_0x5215bc,_0x590b23[_0x4b3e99(0x1c1c)]=_0x401564,_0x590b23[_0x4b3e99(0xa93)]=_0x39f947;function _0x5c736c(_0x562962,_0x6ac11){const _0x31d8c3=_0x4b3e99;_0x590b23[_0x31d8c3(0xaa4)]=_0x562962,_0x590b23['crudPermissions']=typeof _0x6ac11!==_0x31d8c3(0x2274)?_0x6ac11:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x590b23['applications']['disabled']=!_0x590b23[_0x31d8c3(0x2514)][_0x31d8c3(0x15f4)]?!![]:![],_0x16da13['on']('voiceExtension:update',_0x590b23[_0x31d8c3(0xa93)]),_0x590b23[_0x31d8c3(0x1a56)][_0x31d8c3(0x1f3f)]=_0x562962['id'],_0x590b23['query'][_0x31d8c3(0x16f6)]=!![],_0x590b23[_0x31d8c3(0x1a56)][_0x31d8c3(0x6c5)]=!![],_0x590b23[_0x31d8c3(0x107f)](),_0x590b23[_0x31d8c3(0x1c1c)]();}function _0x39f947(_0x3dc90a){const _0xfaa101=_0x4b3e99;_0x3dc90a[_0xfaa101(0x1f3f)]===_0x590b23['outboundroute']['id']&&_0x5215bc(!![]);}function _0x39cd3b(_0x3c80c3,_0x597dc8,_0x583183){const _0x4d7606=_0x4b3e99,_0x4dc6c0=_0x28e285[_0x4d7606(0x1e8a)]()['title'](_0x4d7606(0x1058))[_0x4d7606(0x1cbe)](_0x4d7606(0x16d3)+_0x3c80c3['app']+_0x4d7606(0x252f)+_0x4d7606(0xe01))[_0x4d7606(0x4bd)]('delete\x20application')[_0x4d7606(0x1f27)](_0x583183)['ok']('OK')[_0x4d7606(0x6c3)](_0x4d7606(0x39a));_0x28e285[_0x4d7606(0x2615)](_0x4dc6c0)[_0x4d7606(0x146b)](function(){const _0x48471a=_0x4d7606;_0x590b23[_0x48471a(0x15fb)][_0x48471a(0x19c7)]['splice'](_0x597dc8,0x1),_0x5215bc();},function(){const _0x200ee9=_0x4d7606;console['log'](_0x200ee9(0x39a));});}function _0x1eb145(_0x3377e3,_0x1d833f){const _0x1ee5e7=_0x4b3e99;if(_0x590b23[_0x1ee5e7(0x15fb)][_0x1ee5e7(0x19c7)][_0x1ee5e7(0x402)]){const _0x1d8ce0=_0x590b23['outboundrouteApps'][_0x1ee5e7(0x19c7)][_0x1d833f]?_0x590b23[_0x1ee5e7(0x15fb)][_0x1ee5e7(0x19c7)][_0x1d833f]:_0x590b23['outboundrouteApps'][_0x1ee5e7(0x19c7)][0x0],_0x6247df=(_0x1d8ce0[_0x1ee5e7(0x8f2)]||_0x1d8ce0[_0x1ee5e7(0x1873)])[_0x1ee5e7(0x256e)]();_0x28e285[_0x1ee5e7(0x2615)]({'controller':'EditoutboundApp'+_0x6247df+_0x1ee5e7(0x76a),'controllerAs':'vm','templateUrl':_0x1873e5[_0x6247df],'parent':angular['element'](_0x35caad[_0x1ee5e7(0x2586)]),'targetEvent':_0x3377e3,'clickOutsideToClose':!![],'locals':{'outboundrouteApp':_0x1d8ce0,'outboundroute':_0x590b23['outboundroute'],'crudPermissions':_0x590b23['crudPermissions']}})[_0x1ee5e7(0x146b)](function(_0x5d17ef){const _0x2d10f1=_0x1ee5e7;_0x5d17ef&&(_0x5d17ef['id']?_0x590b23[_0x2d10f1(0x15fb)][_0x2d10f1(0x19c7)][_0x1d833f]=_0x5d17ef:_0x590b23['outboundrouteApps'][_0x2d10f1(0x19c7)]['splice'](_0x1d833f,0x0,_0x5d17ef),_0x5215bc());})[_0x1ee5e7(0x129e)](function(_0x59438d){const _0x58d62e=_0x1ee5e7;_0x59438d&&_0x1ecead[_0x58d62e(0x1980)]({'title':_0x59438d[_0x58d62e(0x107b)]?_0x58d62e(0x262a)+_0x59438d[_0x58d62e(0x107b)]+_0x58d62e(0x1315)+_0x59438d[_0x58d62e(0x167f)]:_0x58d62e(0x10a0),'msg':_0x59438d['data']?JSON[_0x58d62e(0x10bb)](_0x59438d[_0x58d62e(0x524)]):_0x59438d[_0x58d62e(0xd5f)]()});});}}function _0x5c95f0(_0x34524a,_0x44cda6){const _0x129447=_0x4b3e99;if(_0x590b23[_0x129447(0x15fb)][_0x129447(0x19c7)]['length']){const _0x125189=_0x590b23[_0x129447(0x15fb)][_0x129447(0x19c7)][_0x44cda6]?_0x590b23[_0x129447(0x15fb)][_0x129447(0x19c7)][_0x44cda6]:_0x590b23[_0x129447(0x15fb)]['rows'][0x0];_0x28e285[_0x129447(0x2615)]({'controller':_0x129447(0xaee),'controllerAs':'vm','templateUrl':_0x3ea278,'parent':angular[_0x129447(0x1853)](_0x35caad[_0x129447(0x2586)]),'targetEvent':_0x34524a,'clickOutsideToClose':!![],'locals':{'interval':{'interval':_0x125189[_0x129447(0x2765)],'IntervalId':_0x125189['IntervalId'],'application':!![]},'intervals':[],'crudPermissions':_0x590b23[_0x129447(0x2514)]}})['then'](function(_0xc976a7){const _0x4a24eb=_0x129447;_0xc976a7&&(_0x125189[_0x4a24eb(0x2765)]=_0xc976a7[_0x4a24eb(0x2765)]||_0x4a24eb(0x1559),_0x125189[_0x4a24eb(0x1ff2)]=_0xc976a7[_0x4a24eb(0x1ff2)]||null,_0x5215bc());});}}function _0x5215bc(_0x16ed3a){const _0x37ccb2=_0x4b3e99;let _0x2fb440=0x2,_0x5c3d5c=[];_0x5c3d5c[_0x37ccb2(0x1f47)]({'type':'outbound','app':_0x37ccb2(0x124f),'appdata':_0x37ccb2(0xac4),'context':_0x590b23[_0x37ccb2(0xaa4)][_0x37ccb2(0x1ac1)],'exten':_0x590b23[_0x37ccb2(0xaa4)]['exten'],'priority':_0x2fb440++,'VoiceExtensionId':_0x590b23[_0x37ccb2(0xaa4)]['id']}),_0x5c3d5c[_0x37ccb2(0x1f47)]({'type':_0x37ccb2(0xc9c),'app':_0x37ccb2(0x124f),'appdata':'CDR(destination)='+(_0x590b23[_0x37ccb2(0xaa4)]['cutdigits']?'${EXTEN:'+_0x590b23[_0x37ccb2(0xaa4)][_0x37ccb2(0x1274)]+'}':_0x37ccb2(0x20df)),'context':_0x590b23[_0x37ccb2(0xaa4)]['context'],'exten':_0x590b23[_0x37ccb2(0xaa4)][_0x37ccb2(0x19b2)],'priority':_0x2fb440++,'VoiceExtensionId':_0x590b23[_0x37ccb2(0xaa4)]['id']}),_0x5c3d5c[_0x37ccb2(0x1f47)]({'type':_0x37ccb2(0xc9c),'app':_0x37ccb2(0x124f),'appdata':_0x37ccb2(0x9be)+_0x590b23[_0x37ccb2(0xaa4)]['id'],'context':_0x590b23['outboundroute'][_0x37ccb2(0x1ac1)],'exten':_0x590b23[_0x37ccb2(0xaa4)][_0x37ccb2(0x19b2)],'priority':_0x2fb440++,'VoiceExtensionId':_0x590b23[_0x37ccb2(0xaa4)]['id']});const _0x1fd131=_0x2fb440++;_0x5c3d5c['push']({'type':_0x37ccb2(0xc9c),'app':_0x37ccb2(0xf74),'appdata':_0x37ccb2(0x1ff0)+(_0x1fd131+0x2)+_0x37ccb2(0xf44)+(_0x1fd131+0x1)+')','context':_0x590b23[_0x37ccb2(0xaa4)][_0x37ccb2(0x1ac1)],'exten':_0x590b23[_0x37ccb2(0xaa4)][_0x37ccb2(0x19b2)],'priority':_0x1fd131,'VoiceExtensionId':_0x590b23[_0x37ccb2(0xaa4)]['id']}),_0x5c3d5c[_0x37ccb2(0x1f47)]({'type':_0x37ccb2(0xc9c),'app':_0x37ccb2(0x124f),'appdata':_0x590b23[_0x37ccb2(0xaa4)][_0x37ccb2(0x1274)]?_0x37ccb2(0x2754)+_0x590b23[_0x37ccb2(0xaa4)][_0x37ccb2(0x1274)]+'}':'CDR(prefix)=noprefix','context':_0x590b23[_0x37ccb2(0xaa4)][_0x37ccb2(0x1ac1)],'exten':_0x590b23[_0x37ccb2(0xaa4)][_0x37ccb2(0x19b2)],'priority':_0x2fb440++,'VoiceExtensionId':_0x590b23[_0x37ccb2(0xaa4)]['id']}),_0x5c3d5c[_0x37ccb2(0x1f47)]({'type':_0x37ccb2(0xc9c),'app':'Set','appdata':_0x37ccb2(0x209),'context':_0x590b23['outboundroute'][_0x37ccb2(0x1ac1)],'exten':_0x590b23[_0x37ccb2(0xaa4)][_0x37ccb2(0x19b2)],'priority':_0x2fb440++,'VoiceExtensionId':_0x590b23['outboundroute']['id']}),_0x5c3d5c[_0x37ccb2(0x1f47)]({'type':_0x37ccb2(0xc9c),'app':_0x37ccb2(0x124f),'appdata':_0x37ccb2(0x25dc),'context':_0x590b23[_0x37ccb2(0xaa4)][_0x37ccb2(0x1ac1)],'exten':_0x590b23['outboundroute'][_0x37ccb2(0x19b2)],'priority':_0x2fb440++,'VoiceExtensionId':_0x590b23[_0x37ccb2(0xaa4)]['id']}),_0x5c3d5c['push']({'type':'outbound','app':_0x37ccb2(0x124f),'appdata':'MONITOR_OPTIONS=ab','context':_0x590b23['outboundroute'][_0x37ccb2(0x1ac1)],'exten':_0x590b23['outboundroute'][_0x37ccb2(0x19b2)],'priority':_0x2fb440++,'VoiceExtensionId':_0x590b23[_0x37ccb2(0xaa4)]['id']}),_0x5c3d5c[_0x37ccb2(0x1f47)]({'type':'outbound','app':_0x37ccb2(0x124f),'appdata':_0x37ccb2(0x244c)+_0x590b23[_0x37ccb2(0xaa4)]['id'],'context':_0x590b23[_0x37ccb2(0xaa4)][_0x37ccb2(0x1ac1)],'exten':_0x590b23['outboundroute']['exten'],'priority':_0x2fb440++,'VoiceExtensionId':_0x590b23[_0x37ccb2(0xaa4)]['id']});typeof _0x590b23[_0x37ccb2(0xaa4)][_0x37ccb2(0x1425)]==='undefined'&&_0x590b23[_0x37ccb2(0xaa4)][_0x37ccb2(0x1425)]!=='none'&&(_0x5c3d5c[_0x37ccb2(0x1f47)]({'type':_0x37ccb2(0xc9c),'app':'Set','appdata':_0x37ccb2(0x1ca1),'context':_0x590b23[_0x37ccb2(0xaa4)][_0x37ccb2(0x1ac1)],'exten':_0x590b23[_0x37ccb2(0xaa4)]['exten'],'priority':_0x2fb440++,'VoiceExtensionId':_0x590b23[_0x37ccb2(0xaa4)]['id']}),_0x5c3d5c['push']({'type':'outbound','app':_0x37ccb2(0x124f),'appdata':_0x37ccb2(0x2597)+_0x590b23[_0x37ccb2(0xaa4)]['recordingFormat']||0x0,'context':_0x590b23[_0x37ccb2(0xaa4)][_0x37ccb2(0x1ac1)],'exten':_0x590b23['outboundroute'][_0x37ccb2(0x19b2)],'priority':_0x2fb440++,'VoiceExtensionId':_0x590b23[_0x37ccb2(0xaa4)]['id']}));for(let _0x48cdbb=0x0,_0x40e6e6=0x0;_0x48cdbb<_0x590b23['outboundrouteApps'][_0x37ccb2(0x19c7)][_0x37ccb2(0x402)];_0x48cdbb++,_0x40e6e6=0x0){const _0x5cb9c5=_0x590b23[_0x37ccb2(0x15fb)]['rows'][_0x48cdbb],_0xebde78=[],_0x5422cc=[];_0x5cb9c5[_0x37ccb2(0x278f)]=_0x5cb9c5['interval']!=='*,*,*,*'?[_0x5cb9c5[_0x37ccb2(0x2765)]]:_0x5cb9c5[_0x37ccb2(0x1ff2)]?_0x3f65c0()[_0x37ccb2(0x205)](_0x3f65c0()[_0x37ccb2(0x1dd6)](_0x590b23['intervals']['rows'],{'IntervalId':_0x5cb9c5[_0x37ccb2(0x1ff2)]}),_0x37ccb2(0x2765)):[],_0x5cb9c5['context']=_0x590b23['outboundroute'][_0x37ccb2(0x1ac1)],_0x5cb9c5[_0x37ccb2(0x19b2)]=_0x590b23[_0x37ccb2(0xaa4)]['exten'];_0x5cb9c5['type']&&(_0x5cb9c5[_0x37ccb2(0x1142)]=_0x5cb9c5[_0x37ccb2(0x1142)][_0x37ccb2(0x256e)]());typeof _0x5cb9c5[_0x37ccb2(0xa80)]!==_0x37ccb2(0x2274)&&(_0x5cb9c5[_0x37ccb2(0xa80)]&&_0x5cb9c5[_0x37ccb2(0xa80)]!=='--'&&_0xebde78['push']({'type':_0x5cb9c5['type'][_0x37ccb2(0x256e)](),'app':_0x37ccb2(0x124f),'appdata':_0x37ccb2(0x1b3e)+_0x5cb9c5['tag'],'context':_0x590b23[_0x37ccb2(0xaa4)]['context'],'exten':_0x590b23[_0x37ccb2(0xaa4)][_0x37ccb2(0x19b2)],'priority':_0x5cb9c5[_0x37ccb2(0x278f)][_0x37ccb2(0x402)]+_0x2fb440+_0x40e6e6++,'VoiceExtensionId':_0x590b23['outboundroute']['id']}));_0x5cb9c5[_0x37ccb2(0x11be)]?_0xebde78[_0x37ccb2(0x1f47)]({'type':_0x5cb9c5[_0x37ccb2(0x1142)][_0x37ccb2(0x256e)](),'app':_0x37ccb2(0xf74),'appdata':'$[${LEN(${PREFIX-CALLERIDALL})}\x20>\x200]?Set(CALLERID(all)=${PREFIX-CALLERIDALL}):Set(CALLERID(all)='+_0x5cb9c5['callerID']+')','context':_0x590b23[_0x37ccb2(0xaa4)][_0x37ccb2(0x1ac1)],'exten':_0x590b23[_0x37ccb2(0xaa4)][_0x37ccb2(0x19b2)],'priority':_0x5cb9c5['intervals'][_0x37ccb2(0x402)]+_0x2fb440+_0x40e6e6++,'VoiceExtensionId':_0x590b23[_0x37ccb2(0xaa4)]['id']}):_0xebde78['push']({'type':_0x5cb9c5[_0x37ccb2(0x1142)][_0x37ccb2(0x256e)](),'app':'ExecIf','appdata':_0x37ccb2(0x2562),'context':_0x590b23[_0x37ccb2(0xaa4)][_0x37ccb2(0x1ac1)],'exten':_0x590b23['outboundroute']['exten'],'priority':_0x5cb9c5[_0x37ccb2(0x278f)][_0x37ccb2(0x402)]+_0x2fb440+_0x40e6e6++,'VoiceExtensionId':_0x590b23[_0x37ccb2(0xaa4)]['id']});for(let _0x51127d=0x0;_0x51127d<_0x5cb9c5[_0x37ccb2(0x278f)][_0x37ccb2(0x402)];_0x51127d++){const _0x2cb174=_0x2fb440+_0x5cb9c5[_0x37ccb2(0x278f)]['length'],_0x9125fc=_0x51127d===_0x5cb9c5[_0x37ccb2(0x278f)][_0x37ccb2(0x402)]-0x1?_0x2fb440+_0xebde78[_0x37ccb2(0x402)]+_0x5422cc[_0x37ccb2(0x402)]+0x2:_0x2fb440+_0x51127d+0x1;_0xebde78['splice'](_0x51127d,0x0,{'type':_0x37ccb2(0xc9c),'app':_0x37ccb2(0x2229),'appdata':_0x5cb9c5[_0x37ccb2(0x278f)][_0x51127d]+'?'+_0x590b23[_0x37ccb2(0xaa4)][_0x37ccb2(0x1ac1)]+_0x37ccb2(0x2770)+_0x2cb174+':'+_0x590b23[_0x37ccb2(0xaa4)][_0x37ccb2(0x1ac1)]+_0x37ccb2(0x2770)+_0x9125fc,'exten':_0x590b23[_0x37ccb2(0xaa4)]['exten'],'context':_0x590b23[_0x37ccb2(0xaa4)]['context'],'priority':_0x2fb440+_0x51127d,'VoiceExtensionId':_0x590b23[_0x37ccb2(0xaa4)]['id']});}if(_0x16ed3a&&_0x5cb9c5[_0x37ccb2(0x8f2)]==='outboundDial'){const _0x4814fc=_0x3f65c0()[_0x37ccb2(0xc84)](_0x590b23[_0x37ccb2(0x8b0)],{'appType':_0x37ccb2(0x118b)});if(_0x4814fc&&_0x4814fc[_0x37ccb2(0x2867)]){const _0x190b01={},_0x2bd1c1=_0x5cb9c5[_0x37ccb2(0x168a)][_0x37ccb2(0x10c8)](','),_0x15b3c5=[];for(let _0x5cd21f=0x0,_0x4dca61=_0x4814fc[_0x37ccb2(0x2867)][_0x37ccb2(0x402)];_0x5cd21f<_0x4dca61;_0x5cd21f++){if(typeof _0x4814fc[_0x37ccb2(0x2867)][_0x5cd21f][_0x37ccb2(0x26d4)]!==_0x37ccb2(0x2274))switch(_0x4814fc[_0x37ccb2(0x2867)][_0x5cd21f][_0x37ccb2(0x19eb)]){case _0x37ccb2(0x279f):_0x190b01[_0x37ccb2(0x279f)]=_0x2bd1c1[_0x4814fc[_0x37ccb2(0x2867)][_0x5cd21f]['param']]['split']('/')[0x1],_0x190b01[_0x37ccb2(0x1d55)]=_0x2bd1c1[_0x4814fc[_0x37ccb2(0x2867)][_0x5cd21f][_0x37ccb2(0x26d4)]][_0x37ccb2(0x10c8)]('/')[0x2],_0x190b01[_0x37ccb2(0x1e12)]=_0x190b01[_0x37ccb2(0x1d55)]?_0x190b01[_0x37ccb2(0x1d55)][_0x37ccb2(0x10c8)]('$')[0x0]:undefined,_0x190b01[_0x37ccb2(0x1d55)]=_0x590b23['outboundroute']['cutdigits']?(_0x190b01[_0x37ccb2(0x1e12)]||'')+'${EXTEN:'+_0x590b23['outboundroute']['cutdigits']+'}':(_0x190b01[_0x37ccb2(0x1e12)]||'')+_0x37ccb2(0x20df),_0x15b3c5[_0x4814fc[_0x37ccb2(0x2867)][_0x5cd21f]['param']]=[_0x37ccb2(0x1783),_0x190b01['trunk'],_0x190b01['phone']]['join']('/');break;case _0x37ccb2(0xa08):_0x190b01['options']=_0x2bd1c1[_0x4814fc['fields'][_0x5cd21f]['param']];_0x590b23[_0x37ccb2(0xaa4)][_0x37ccb2(0x1425)]!=='none'?_0x190b01['options'][_0x37ccb2(0x172b)](_0x37ccb2(0x1ea4))<0x0&&(_0x190b01[_0x37ccb2(0xa08)]+='U(xcally-mixmonitor-context)'):_0x190b01[_0x37ccb2(0xa08)]=_0x190b01[_0x37ccb2(0xa08)]['replace']('U(xcally-mixmonitor-context)','');_0x15b3c5[_0x4814fc[_0x37ccb2(0x2867)][_0x5cd21f][_0x37ccb2(0x26d4)]]=_0x190b01[_0x37ccb2(0xa08)];break;default:_0x15b3c5[_0x4814fc[_0x37ccb2(0x2867)][_0x5cd21f][_0x37ccb2(0x26d4)]]=_0x2bd1c1[_0x4814fc[_0x37ccb2(0x2867)][_0x5cd21f][_0x37ccb2(0x26d4)]];}}_0x5cb9c5[_0x37ccb2(0x168a)]=_0x15b3c5[_0x37ccb2(0xb47)](',');}}_0x5cb9c5[_0x37ccb2(0xa6a)]=_0xebde78['length']?_0x3f65c0()[_0x37ccb2(0x22f2)](_0xebde78)[_0x37ccb2(0xa6a)]+0x1:_0x2fb440,_0x2fb440=(_0x5422cc[_0x37ccb2(0x402)]?_0x3f65c0()['last'](_0x5422cc)[_0x37ccb2(0xa6a)]:_0x5cb9c5[_0x37ccb2(0xa6a)])+0x1,_0x5c3d5c=_0x3f65c0()[_0x37ccb2(0x163c)](_0x5c3d5c,_0xebde78,[_0x5cb9c5],_0x5422cc);}_0x5c3d5c[_0x37ccb2(0x1f47)]({'type':_0x37ccb2(0xc9c),'app':'Hangup','exten':_0x590b23['outboundroute']['exten'],'context':_0x590b23[_0x37ccb2(0xaa4)][_0x37ccb2(0x1ac1)],'priority':_0x2fb440,'VoiceExtensionId':_0x590b23[_0x37ccb2(0xaa4)]['id']}),_0x3aa2ec[_0x37ccb2(0xd47)]['addApplications']({'id':_0x590b23[_0x37ccb2(0xaa4)]['id']},_0x3f65c0()['sortBy'](_0x5c3d5c,'priority'))[_0x37ccb2(0x2945)][_0x37ccb2(0x146b)](function(_0x3f2a62){const _0x43719b=_0x37ccb2;_0x590b23[_0x43719b(0x15fb)][_0x43719b(0x19c7)]=_0x3f2a62[_0x43719b(0x19c7)];})[_0x37ccb2(0x129e)](function(_0x3984ec){const _0x357ebe=_0x37ccb2;console[_0x357ebe(0x1980)](_0x3984ec);});}function _0xbf1a04(_0x156b48){const _0x415b09=_0x4b3e99;_0x590b23[_0x415b09(0x15fb)]=_0x156b48||{'count':0x0,'rows':[]};}function _0x401564(){const _0x41a432=_0x4b3e99;return _0x3aa2ec[_0x41a432(0x2765)]['get']({'fields':'id,interval,IntervalId'})[_0x41a432(0x2945)][_0x41a432(0x146b)](function(_0x362988){const _0x28ccfa=_0x41a432;_0x590b23[_0x28ccfa(0x278f)]=_0x362988;})[_0x41a432(0x129e)](function(_0x596c7c){const _0x26d33e=_0x41a432;console[_0x26d33e(0x1980)](_0x596c7c);});}function _0x53c274(){const _0x426006=_0x4b3e99;_0x590b23['promise']=_0x3aa2ec[_0x426006(0xd47)][_0x426006(0x16b4)](_0x590b23[_0x426006(0x1a56)],_0xbf1a04)['$promise'];}function _0x40261f(_0xef50e5){const _0x234c63=_0x4b3e99;_0x3f65c0()[_0x234c63(0x2640)](_0x590b23[_0x234c63(0x15fb)][_0x234c63(0x19c7)],{'id':_0xef50e5['id']}),_0x5215bc(),_0x1ecead[_0x234c63(0x1c75)]({'title':_0x234c63(0x1c3a),'msg':_0xef50e5['app']?_0xef50e5[_0x234c63(0x1873)]+'\x20has\x20been\x20deleted!':''});}function _0x1cc722(_0x2ce014){const _0x16eb62=_0x4b3e99,_0x76195f=_0x28e285['confirm']()['title'](_0x16eb62(0x1d9f))['htmlContent'](_0x16eb62(0x16d3)+_0x590b23[_0x16eb62(0x19fa)][_0x16eb62(0x402)]+_0x16eb62(0x2452)+'\x20will\x20be\x20deleted.')[_0x16eb62(0x4bd)](_0x16eb62(0x2674))[_0x16eb62(0x1f27)](_0x2ce014)['ok']('OK')['cancel'](_0x16eb62(0x39a));_0x28e285['show'](_0x76195f)[_0x16eb62(0x146b)](function(){const _0x34d44a=_0x16eb62;_0x590b23[_0x34d44a(0x19fa)][_0x34d44a(0x1df5)](function(_0xf8aaf8){const _0x3cff48=_0x34d44a;_0x3f65c0()['remove'](_0x590b23[_0x3cff48(0x15fb)][_0x3cff48(0x19c7)],{'id':_0xf8aaf8['id']});}),_0x590b23['selectedOutboundRouteApps']=[],_0x5215bc();});}_0x5237f0['$on']('$destroy',function(){const _0x1a046f=_0x4b3e99;_0x16da13[_0x1a046f(0x1c5f)](_0x1a046f(0x1bdc));});}const _0x31dce0=_0x2fa57f;;_0x4e71a5[_0x313a4d(0x11c2)]=['$mdDialog','$q','toasty','outboundrouteApp',_0x313a4d(0xaa4),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x4e71a5(_0x242560,_0x505285,_0x861896,_0x329bd2,_0x3baad8,_0x14fd50,_0x3f5b93,_0x88e5e7){const _0x2a5106=_0x313a4d,_0x54bfc0=this;_0x54bfc0[_0x2a5106(0x2321)]=_0x3f5b93[_0x2a5106(0xb12)](),_0x54bfc0[_0x2a5106(0xcef)]=[],_0x54bfc0[_0x2a5106(0x1189)]='VOICE.EDIT_'+(_0x329bd2['appType']||_0x329bd2[_0x2a5106(0x1873)])[_0x2a5106(0x1c37)](),_0x54bfc0[_0x2a5106(0x1802)]=angular[_0x2a5106(0x235a)](_0x329bd2),_0x54bfc0['crudPermissions']=_0x88e5e7,_0x54bfc0[_0x2a5106(0x855)]={};if(_0x54bfc0[_0x2a5106(0x1802)][_0x2a5106(0x168a)])switch(_0x54bfc0[_0x2a5106(0x1802)]['appType']?_0x54bfc0[_0x2a5106(0x1802)][_0x2a5106(0x8f2)][_0x2a5106(0x256e)]():_0x54bfc0[_0x2a5106(0x1802)][_0x2a5106(0x1873)][_0x2a5106(0x256e)]()){case _0x2a5106(0x1802):break;case _0x2a5106(0xeaa):{const _0x17b087=_0x54bfc0['custom'][_0x2a5106(0x168a)][_0x2a5106(0x10c8)](',');_0x54bfc0['custom'][_0x2a5106(0x2293)]=_0x17b087[0x0],_0x54bfc0[_0x2a5106(0x1802)][_0x2a5106(0x90b)]=_0x17b087[0x1],_0x54bfc0['custom'][_0x2a5106(0xaf3)]=_0x17b087[_0x2a5106(0x1298)](0x2,_0x17b087[_0x2a5106(0x402)])[_0x2a5106(0xb47)](',');}break;case _0x2a5106(0xa46):{const _0x494b7d=_0x54bfc0['custom'][_0x2a5106(0x168a)]['split'](',');_0x54bfc0[_0x2a5106(0x1802)][_0x2a5106(0x153a)]=_0x494b7d[0x0],_0x54bfc0[_0x2a5106(0x1802)][_0x2a5106(0x1392)]=_0x494b7d[0x1],_0x54bfc0[_0x2a5106(0x1802)][_0x2a5106(0x2217)]=_0x494b7d[0x2],_0x54bfc0['custom'][_0x2a5106(0x90b)]=_0x494b7d[0x3],_0x54bfc0[_0x2a5106(0x1802)][_0x2a5106(0xaf3)]=_0x494b7d[_0x2a5106(0x1298)](0x4,_0x494b7d[_0x2a5106(0x402)])[_0x2a5106(0xb47)](',');}break;case _0x2a5106(0x17d6):{const _0x175120=_0x54bfc0[_0x2a5106(0x1802)][_0x2a5106(0x168a)]['split'](',');_0x54bfc0[_0x2a5106(0x1802)]['accesskeyid']=_0x175120[0x0],_0x54bfc0[_0x2a5106(0x1802)][_0x2a5106(0x252)]=_0x175120[0x1],_0x54bfc0['custom'][_0x2a5106(0x1039)]=_0x175120[0x2],_0x54bfc0[_0x2a5106(0x1802)]['botname']=_0x175120[0x3],_0x54bfc0['custom'][_0x2a5106(0xaf3)]=_0x175120[_0x2a5106(0x1298)](0x4,_0x175120[_0x2a5106(0x402)])['join'](',');}break;case _0x2a5106(0x1713):{const _0x57d750=_0x54bfc0[_0x2a5106(0x1802)]['appdata'][_0x2a5106(0x10c8)](',');_0x54bfc0[_0x2a5106(0x1802)][_0x2a5106(0x583)]=isNaN(_0x57d750[0x0])?_0x57d750[0x0]:parseInt(_0x57d750[0x0],0xa),_0x54bfc0[_0x2a5106(0x1802)][_0x2a5106(0xa8d)]=_0x57d750[_0x2a5106(0x1298)](0x1,_0x57d750[_0x2a5106(0x402)])[_0x2a5106(0xb47)](',');}break;case'message':_0x54bfc0[_0x2a5106(0x1802)]['text']=_0x54bfc0['custom'][_0x2a5106(0x168a)];break;case'set':_0x54bfc0[_0x2a5106(0x1802)][_0x2a5106(0x19eb)]=_0x54bfc0[_0x2a5106(0x1802)]['appdata']['split']('=')[0x0],_0x54bfc0[_0x2a5106(0x1802)]['value']=_0x54bfc0[_0x2a5106(0x1802)][_0x2a5106(0x168a)][_0x2a5106(0x10c8)]('=')[0x1];break;case _0x2a5106(0x1ecb):_0x54bfc0['custom'][_0x2a5106(0x9e0)]=_0x54bfc0[_0x2a5106(0x1802)]['appdata'];break;default:{const _0x57c4c3=_0x54bfc0[_0x2a5106(0x1802)]['appdata']['split'](',');_0x54bfc0[_0x2a5106(0x1802)]['app']=_0x3f65c0()['isEmpty'](_0x57c4c3[0x0])?_0x57c4c3[0x0]:isNaN(_0x57c4c3[0x0])?_0x57c4c3[0x0]:parseInt(_0x57c4c3[0x0],0xa),_0x54bfc0[_0x2a5106(0x1802)][_0x2a5106(0x168a)]=_0x3f65c0()['isEmpty'](_0x57c4c3[0x1])?_0x57c4c3[0x1]:isNaN(_0x57c4c3[0x1])?_0x57c4c3[0x1]:parseInt(_0x57c4c3[0x1],0xa);}break;}else{}_0x54bfc0['custom'][_0x2a5106(0x1142)]&&_0x54bfc0[_0x2a5106(0x1802)][_0x2a5106(0x1142)][_0x2a5106(0x256e)]()===_0x2a5106(0xc9c)&&_0x54bfc0[_0x2a5106(0x1802)][_0x2a5106(0x8f2)][_0x2a5106(0x256e)]()===_0x2a5106(0x3bb)&&(_0x54bfc0[_0x2a5106(0x1802)]['prefix']=_0x54bfc0[_0x2a5106(0x1802)]['phone']?_0x54bfc0[_0x2a5106(0x1802)][_0x2a5106(0x1d55)][_0x2a5106(0x10c8)]('$')[0x0]:undefined,_0x54bfc0[_0x2a5106(0x1802)][_0x2a5106(0x1d43)]=_0x54bfc0['custom'][_0x2a5106(0x11be)]?_0x2a5106(0x1b60)+_0x54bfc0['custom'][_0x2a5106(0x11be)]:undefined);_0x54bfc0[_0x2a5106(0xb84)]=_0x3fadd0,_0x54bfc0['closeDialog']=_0x3f4593;function _0x3fadd0(){const _0x53cc36=_0x2a5106;_0x54bfc0[_0x53cc36(0xcef)]=[];const _0x27846e=[];_0x54bfc0[_0x53cc36(0x1802)][_0x53cc36(0x1142)]&&_0x54bfc0[_0x53cc36(0x1802)][_0x53cc36(0x1142)][_0x53cc36(0x256e)]()===_0x53cc36(0xc9c)&&_0x54bfc0[_0x53cc36(0x1802)][_0x53cc36(0x8f2)]===_0x53cc36(0x118b)&&(_0x54bfc0[_0x53cc36(0x1802)][_0x53cc36(0x1d55)]=_0x3baad8[_0x53cc36(0x1274)]?(_0x54bfc0['custom'][_0x53cc36(0x1e12)]||'')+_0x53cc36(0x2147)+_0x3baad8[_0x53cc36(0x1274)]+'}':(_0x54bfc0[_0x53cc36(0x1802)][_0x53cc36(0x1e12)]||'')+'${EXTEN}',_0x3baad8[_0x53cc36(0x1425)]!==_0x53cc36(0x1642)?_0x54bfc0[_0x53cc36(0x1802)][_0x53cc36(0xa08)][_0x53cc36(0x172b)]('U(xcally-mixmonitor-context)')<0x0&&(_0x54bfc0[_0x53cc36(0x1802)][_0x53cc36(0xa08)]+=_0x53cc36(0x1ea4)):_0x54bfc0[_0x53cc36(0x1802)]['options']=_0x54bfc0['custom'][_0x53cc36(0xa08)][_0x53cc36(0x5f4)](_0x53cc36(0x1ea4),''));if(_0x54bfc0[_0x53cc36(0x1802)]['appType']&&_0x54bfc0[_0x53cc36(0x1802)][_0x53cc36(0x8f2)]===_0x53cc36(0x1802)){}else switch((_0x54bfc0[_0x53cc36(0x1802)][_0x53cc36(0x1873)]||_0x54bfc0['custom'][_0x53cc36(0x8f2)])[_0x53cc36(0x256e)]()){case _0x53cc36(0x26ba):_0x54bfc0[_0x53cc36(0x1802)][_0x53cc36(0x168a)]=_0x54bfc0['custom'][_0x53cc36(0x19eb)]+'='+_0x54bfc0[_0x53cc36(0x1802)]['value'];break;case'custom':break;default:_0x27846e[0x0]=_0x54bfc0['custom'][_0x53cc36(0x1873)],_0x27846e[0x1]=_0x54bfc0[_0x53cc36(0x1802)][_0x53cc36(0x168a)],_0x54bfc0[_0x53cc36(0x1802)][_0x53cc36(0x168a)]=_0x27846e[_0x53cc36(0xb47)](',');}_0x3f4593(_0x54bfc0[_0x53cc36(0x1802)]);}function _0x3f4593(_0x5080f6){const _0x2f4331=_0x2a5106;_0x242560[_0x2f4331(0x2458)](_0x5080f6);}}const _0x188944=_0x4e71a5;;_0xac21d5[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),_0x313a4d(0x10e8),_0x313a4d(0x1c7e),'IndexFactory',_0x313a4d(0x2765),_0x313a4d(0x278f),_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0xa87),'crudPermissions'];function _0xac21d5(_0x2fc002,_0x421028,_0x555bcd,_0x18144c,_0x4f07ef,_0xadf4fd,_0x397ff0,_0x4a6a67,_0x4a2679,_0x3d24d4){const _0x56b7e2=_0x313a4d,_0x4b8ecd=this;_0x4b8ecd[_0x56b7e2(0x2321)]=_0x4a2679[_0x56b7e2(0xb12)](),_0x4b8ecd['errors']=[],_0x4b8ecd['title']=_0x56b7e2(0x56d),_0x4b8ecd['interval']=angular[_0x56b7e2(0x235a)](_0x4f07ef),_0x4b8ecd[_0x56b7e2(0x278f)]=_0xadf4fd,_0x4b8ecd[_0x56b7e2(0xa76)]=![],_0x4b8ecd[_0x56b7e2(0x1960)]=[_0x56b7e2(0xd85),_0x56b7e2(0x1802),_0x56b7e2(0x25f4)],_0x4b8ecd[_0x56b7e2(0x2514)]=_0x3d24d4,_0x4b8ecd[_0x56b7e2(0x1e35)]=_0x18144c['getDaysOfWeek'](),_0x4b8ecd['monthNumber']=_0x18144c[_0x56b7e2(0xead)](),_0x4b8ecd[_0x56b7e2(0x2156)]=_0x18144c[_0x56b7e2(0x18b6)](),_0x4b8ecd[_0x56b7e2(0x15f1)]=_0x18144c['getDaysOfMonth']();if(!_0x4b8ecd[_0x56b7e2(0x2765)])_0x4b8ecd[_0x56b7e2(0x2765)]={'interval':'*,*,*,*'},_0x4b8ecd[_0x56b7e2(0x1142)]=_0x56b7e2(0xd85),_0x4b8ecd[_0x56b7e2(0x1189)]=_0x56b7e2(0x79c),_0x4b8ecd[_0x56b7e2(0xa76)]=!![];else{if(_0x4b8ecd[_0x56b7e2(0x2765)][_0x56b7e2(0x2765)]!==_0x56b7e2(0x1559)){_0x4b8ecd['type']='custom';const _0x31d9f7=_0x4b8ecd[_0x56b7e2(0x2765)][_0x56b7e2(0x2765)][_0x56b7e2(0x10c8)](','),_0x1e0a71=_0x31d9f7[0x0],_0x4ed2dc=_0x31d9f7[0x1],_0x32e2f9=_0x31d9f7[0x2],_0x1ce099=_0x31d9f7[0x3];if(_0x1e0a71!=='*'){const _0x8d0243=_0x1e0a71[_0x56b7e2(0x10c8)]('-')[0x0],_0x2f5d1c=_0x1e0a71[_0x56b7e2(0x10c8)]('-')[0x1];let _0x372eab;_0x372eab=new Date(),_0x372eab[_0x56b7e2(0x25cd)](Number(_0x8d0243[_0x56b7e2(0x10c8)](':')[0x0])),_0x372eab[_0x56b7e2(0x2348)](Number(_0x8d0243[_0x56b7e2(0x10c8)](':')[0x1])),_0x4b8ecd[_0x56b7e2(0x2469)]=_0x372eab,_0x372eab=new Date(),_0x372eab[_0x56b7e2(0x25cd)](Number(_0x2f5d1c[_0x56b7e2(0x10c8)](':')[0x0])),_0x372eab[_0x56b7e2(0x2348)](Number(_0x2f5d1c[_0x56b7e2(0x10c8)](':')[0x1])),_0x4b8ecd[_0x56b7e2(0x637)]=_0x372eab;}_0x4ed2dc!=='*'&&(_0x4b8ecd['dayOfWeekFrom']=_0x4ed2dc[_0x56b7e2(0x10c8)]('-')[0x0],_0x4b8ecd[_0x56b7e2(0x27a1)]=_0x4ed2dc[_0x56b7e2(0x10c8)]('-')[0x1]),_0x32e2f9!=='*'&&(_0x4b8ecd[_0x56b7e2(0xf0b)]=_0x32e2f9['split']('-')[0x0],_0x4b8ecd['monthDayTo']=_0x32e2f9['split']('-')[0x1]),_0x1ce099!=='*'&&(_0x4b8ecd['monthFrom']=_0x1ce099[_0x56b7e2(0x10c8)]('-')[0x0],_0x4b8ecd[_0x56b7e2(0x9c3)]=_0x1ce099[_0x56b7e2(0x10c8)]('-')[0x1]);}else _0x4b8ecd[_0x56b7e2(0x1142)]=_0x56b7e2(0xd85);}_0x2fc002[_0x56b7e2(0x16a)]['id']&&!_0x4b8ecd[_0x56b7e2(0x2765)][_0x56b7e2(0xea)]&&(_0x4b8ecd[_0x56b7e2(0x2765)][_0x56b7e2(0x1ff2)]=_0x2fc002[_0x56b7e2(0x16a)]['id']);_0x4b8ecd[_0x56b7e2(0x2765)][_0x56b7e2(0x1ff2)]&&_0x4b8ecd['interval'][_0x56b7e2(0xea)]&&(_0x4b8ecd[_0x56b7e2(0x1142)]='list');_0x4b8ecd['addNewInterval']=_0x39c01e,_0x4b8ecd[_0x56b7e2(0x1242)]=_0x5c566c,_0x4b8ecd['closeDialog']=_0x108f20;_0x4b8ecd[_0x56b7e2(0x2765)]['application']&&(_0x4a2679['hasRole'](_0x56b7e2(0x174b))?_0x4a6a67[_0x56b7e2(0x2765)][_0x56b7e2(0x16b4)]({'fields':_0x56b7e2(0x648),'IntervalId':'null','nolimit':!![]})[_0x56b7e2(0x2945)]['then'](function(_0x116c5d){const _0x101ff9=_0x56b7e2;_0x4b8ecd[_0x101ff9(0x278f)]=_0x116c5d['rows']||[];})[_0x56b7e2(0x129e)](function(_0x11fbe5){const _0x3673cb=_0x56b7e2;_0x397ff0[_0x3673cb(0x1980)]({'title':_0x11fbe5['status']?'API:'+_0x11fbe5[_0x3673cb(0x107b)]+'\x20-\x20'+_0x11fbe5[_0x3673cb(0x167f)]:_0x3673cb(0x603),'msg':_0x11fbe5[_0x3673cb(0x524)]?JSON[_0x3673cb(0x10bb)](_0x11fbe5[_0x3673cb(0x524)]):_0x11fbe5['toString']()});}):_0x4a6a67[_0x56b7e2(0x2765)][_0x56b7e2(0x16b4)]({'fields':_0x56b7e2(0x648),'IntervalId':'null','nolimit':!![]})[_0x56b7e2(0x2945)][_0x56b7e2(0x146b)](function(_0x549215){const _0x31048d=_0x56b7e2;_0x4b8ecd[_0x31048d(0x278f)]=_0x549215['rows']||[];})['then'](function(){const _0x474eb9=_0x56b7e2;return _0x4a6a67[_0x474eb9(0x1366)][_0x474eb9(0x16b4)]({'userProfileId':_0x4b8ecd[_0x474eb9(0x2321)]['userProfileId'],'sectionId':0x3ec})[_0x474eb9(0x2945)];})[_0x56b7e2(0x146b)](function(_0x41eaf7){const _0x33bab0=_0x56b7e2,_0x255940=_0x41eaf7&&_0x41eaf7[_0x33bab0(0x19c7)]?_0x41eaf7[_0x33bab0(0x19c7)][0x0]:null;if(!_0x255940)_0x4b8ecd['intervals']=[];else{if(!_0x255940[_0x33bab0(0x11d2)])return _0x4a6a67[_0x33bab0(0xdcc)][_0x33bab0(0x16b4)]({'sectionId':_0x255940['id']})[_0x33bab0(0x2945)][_0x33bab0(0x146b)](function(_0xce4b4){const _0x27375d=_0x33bab0,_0x447e64=_0xce4b4&&_0xce4b4['rows']?_0xce4b4[_0x27375d(0x19c7)]:[],_0x44f523=[];let _0x527f4c=null;_0x4b8ecd[_0x27375d(0x2765)]&&(_0x527f4c=_0x3f65c0()['find'](_0x4b8ecd[_0x27375d(0x278f)],{'name':_0x4b8ecd[_0x27375d(0x2765)][_0x27375d(0x1ff2)]})),_0x527f4c&&!_0x3f65c0()[_0x27375d(0x1360)](_0x447e64,[_0x27375d(0x18b8),_0x527f4c['id']])&&_0x4b8ecd[_0x27375d(0x278f)][_0x27375d(0x1df5)](function(_0x35ee40){const _0x286f1c=_0x27375d;_0x35ee40['id']===_0x527f4c['id']&&(_0x35ee40[_0x286f1c(0x8ff)]=![],_0x44f523[_0x286f1c(0x1f47)](_0x35ee40));}),_0x4b8ecd[_0x27375d(0x278f)]=_0x44f523;});}})['catch'](function(_0xfd83ab){const _0xf5875b=_0x56b7e2;_0x397ff0[_0xf5875b(0x1980)]({'title':_0xfd83ab['status']?_0xf5875b(0x262a)+_0xfd83ab[_0xf5875b(0x107b)]+_0xf5875b(0x1315)+_0xfd83ab[_0xf5875b(0x167f)]:'SYSTEM:GET_INTERVALS','msg':_0xfd83ab[_0xf5875b(0x524)]?JSON['stringify'](_0xfd83ab[_0xf5875b(0x524)]):_0xfd83ab['toString']()});}));function _0x4df41d(){const _0x4a1760=_0x56b7e2;switch(_0x4b8ecd[_0x4a1760(0x1142)]){case _0x4a1760(0xd85):case'list':return _0x4a1760(0x1559);case _0x4a1760(0x1802):{const _0x16e9ef=[];if(_0x4b8ecd['timeRangeFrom']!=='*'&&_0x4b8ecd[_0x4a1760(0x2469)]&&_0x4b8ecd['timeRangeTo']){const _0x3058b8=(_0x4b8ecd[_0x4a1760(0x2469)][_0x4a1760(0x1d57)]()<0xa?'0':'')+_0x4b8ecd['timeRangeFrom'][_0x4a1760(0x1d57)]()+':'+((_0x4b8ecd[_0x4a1760(0x2469)][_0x4a1760(0x7fc)]()<0xa?'0':'')+_0x4b8ecd['timeRangeFrom'][_0x4a1760(0x7fc)]()),_0x37ebae=(_0x4b8ecd['timeRangeTo'][_0x4a1760(0x1d57)]()<0xa?'0':'')+_0x4b8ecd[_0x4a1760(0x637)][_0x4a1760(0x1d57)]()+':'+((_0x4b8ecd[_0x4a1760(0x637)][_0x4a1760(0x7fc)]()<0xa?'0':'')+_0x4b8ecd[_0x4a1760(0x637)][_0x4a1760(0x7fc)]());_0x16e9ef[_0x4a1760(0x1f47)](_0x3058b8+'-'+_0x37ebae);}else _0x16e9ef['push']('*');return _0x4b8ecd[_0x4a1760(0x1455)]?_0x4b8ecd['dayOfWeekTo']?_0x16e9ef[_0x4a1760(0x1f47)](_0x4b8ecd[_0x4a1760(0x1455)]+'-'+_0x4b8ecd['dayOfWeekTo']):_0x16e9ef[_0x4a1760(0x1f47)](_0x4b8ecd[_0x4a1760(0x1455)]):_0x16e9ef[_0x4a1760(0x1f47)]('*'),_0x4b8ecd[_0x4a1760(0xf0b)]?_0x4b8ecd['monthDayTo']?_0x16e9ef[_0x4a1760(0x1f47)](_0x4b8ecd[_0x4a1760(0xf0b)]+'-'+_0x4b8ecd[_0x4a1760(0x896)]):_0x16e9ef[_0x4a1760(0x1f47)](_0x4b8ecd[_0x4a1760(0xf0b)]):_0x16e9ef[_0x4a1760(0x1f47)]('*'),_0x4b8ecd[_0x4a1760(0x167)]?_0x4b8ecd[_0x4a1760(0x9c3)]?_0x16e9ef[_0x4a1760(0x1f47)](_0x4b8ecd[_0x4a1760(0x167)]+'-'+_0x4b8ecd[_0x4a1760(0x9c3)]):_0x16e9ef[_0x4a1760(0x1f47)](_0x4b8ecd[_0x4a1760(0x167)]):_0x16e9ef[_0x4a1760(0x1f47)]('*'),_0x16e9ef['join']();}}}function _0x39c01e(){const _0x4077ad=_0x56b7e2;_0x4b8ecd[_0x4077ad(0xcef)]=[],_0x4b8ecd[_0x4077ad(0x2765)][_0x4077ad(0x2765)]=_0x4df41d(),_0x4a6a67[_0x4077ad(0x2765)]['save'](_0x4b8ecd[_0x4077ad(0x2765)])[_0x4077ad(0x2945)][_0x4077ad(0x146b)](function(_0x1684f2){const _0x5b9a14=_0x4077ad;_0x4b8ecd[_0x5b9a14(0x278f)][_0x5b9a14(0x1f47)](_0x1684f2),_0x397ff0[_0x5b9a14(0x1c75)]({'title':_0x5b9a14(0x17f5),'msg':_0x4b8ecd[_0x5b9a14(0x2765)][_0x5b9a14(0x19eb)]?_0x4b8ecd[_0x5b9a14(0x2765)][_0x5b9a14(0x19eb)]+_0x5b9a14(0x1386):''}),_0x108f20();})[_0x4077ad(0x129e)](function(_0x3b0702){const _0x223710=_0x4077ad;console[_0x223710(0x1980)](_0x3b0702),_0x4b8ecd[_0x223710(0xcef)]=_0x3b0702[_0x223710(0x524)][_0x223710(0xcef)]||[{'message':_0x3b0702[_0x223710(0xd5f)](),'type':'api.interval.save'}];});}function _0x5c566c(){const _0x36e695=_0x56b7e2;_0x4b8ecd['errors']=[],_0x4b8ecd['interval'][_0x36e695(0x2765)]=_0x4df41d(),_0x4b8ecd[_0x36e695(0x2765)]['application']?(_0x4b8ecd[_0x36e695(0x1142)]!=='list'&&(_0x4b8ecd[_0x36e695(0x2765)]['IntervalId']=null),_0x108f20(_0x4b8ecd[_0x36e695(0x2765)])):_0x4a6a67[_0x36e695(0x2765)]['update']({'id':_0x4b8ecd[_0x36e695(0x2765)]['id']},_0x4b8ecd[_0x36e695(0x2765)])['$promise'][_0x36e695(0x146b)](function(_0x225235){const _0x46d20e=_0x36e695,_0x9228fd=_0x3f65c0()['find'](_0x4b8ecd[_0x46d20e(0x278f)],{'id':_0x225235['id']});_0x9228fd&&_0x3f65c0()[_0x46d20e(0x168d)](_0x9228fd,_0x225235),_0x397ff0[_0x46d20e(0x1c75)]({'title':_0x46d20e(0x1d51),'msg':'Interval\x20has\x20been\x20saved!'}),_0x108f20();})['catch'](function(_0x1e4443){const _0x2a6e90=_0x36e695;console[_0x2a6e90(0x1980)](_0x1e4443),_0x4b8ecd[_0x2a6e90(0xcef)]=_0x1e4443['data'][_0x2a6e90(0xcef)]||[{'message':_0x1e4443[_0x2a6e90(0xd5f)](),'type':'api.interval.update'}];});}function _0x108f20(_0x1a25d3){_0x421028['hide'](_0x1a25d3);}}const _0x327b31=_0xac21d5;;_0x2e1445['$inject']=['$mdDialog','$q',_0x313a4d(0x1fe4),_0x313a4d(0x157),'outboundroute',_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x2e1445(_0x1e1431,_0x52fbae,_0x30efdb,_0xc06d7a,_0xf23abb,_0x49d57c,_0x46c4b8,_0x5eaea1){const _0x5b3969=_0x313a4d,_0x38ba95=this;_0x38ba95['currentUser']=_0x46c4b8[_0x5b3969(0xb12)](),_0x38ba95[_0x5b3969(0xcef)]=[],_0x38ba95[_0x5b3969(0x1189)]=_0x5b3969(0x1950)+(_0xc06d7a['appType']||_0xc06d7a[_0x5b3969(0x1873)])['toUpperCase'](),_0x38ba95['outbounddial']=angular[_0x5b3969(0x235a)](_0xc06d7a),_0x38ba95[_0x5b3969(0x2514)]=_0x5eaea1,_0x38ba95[_0x5b3969(0x855)]={};if(_0x38ba95['outbounddial'][_0x5b3969(0x168a)])switch(_0x38ba95['outbounddial']['appType']?_0x38ba95[_0x5b3969(0x3bb)]['appType'][_0x5b3969(0x256e)]():_0x38ba95[_0x5b3969(0x3bb)][_0x5b3969(0x1873)][_0x5b3969(0x256e)]()){case _0x5b3969(0x1802):break;case _0x5b3969(0xeaa):{const _0x1be986=_0x38ba95[_0x5b3969(0x3bb)][_0x5b3969(0x168a)][_0x5b3969(0x10c8)](',');_0x38ba95[_0x5b3969(0x3bb)][_0x5b3969(0x2293)]=_0x1be986[0x0],_0x38ba95['outbounddial']['language']=_0x1be986[0x1],_0x38ba95['outbounddial']['welcomemessage']=_0x1be986['slice'](0x2,_0x1be986[_0x5b3969(0x402)])[_0x5b3969(0xb47)](',');}break;case _0x5b3969(0xa46):{const _0x1c7c32=_0x38ba95[_0x5b3969(0x3bb)][_0x5b3969(0x168a)]['split'](',');_0x38ba95[_0x5b3969(0x3bb)][_0x5b3969(0x153a)]=_0x1c7c32[0x0],_0x38ba95['outbounddial'][_0x5b3969(0x1392)]=_0x1c7c32[0x1],_0x38ba95[_0x5b3969(0x3bb)][_0x5b3969(0x2217)]=_0x1c7c32[0x2],_0x38ba95[_0x5b3969(0x3bb)][_0x5b3969(0x90b)]=_0x1c7c32[0x3],_0x38ba95[_0x5b3969(0x3bb)]['welcomemessage']=_0x1c7c32[_0x5b3969(0x1298)](0x4,_0x1c7c32['length'])[_0x5b3969(0xb47)](',');}break;case _0x5b3969(0x17d6):{const _0x3cee54=_0x38ba95[_0x5b3969(0x3bb)][_0x5b3969(0x168a)]['split'](',');_0x38ba95['outbounddial']['accesskeyid']=_0x3cee54[0x0],_0x38ba95[_0x5b3969(0x3bb)]['secretaccesskey']=_0x3cee54[0x1],_0x38ba95['outbounddial']['lexregion']=_0x3cee54[0x2],_0x38ba95['outbounddial'][_0x5b3969(0x8dd)]=_0x3cee54[0x3],_0x38ba95['outbounddial'][_0x5b3969(0xaf3)]=_0x3cee54[_0x5b3969(0x1298)](0x4,_0x3cee54['length'])[_0x5b3969(0xb47)](',');}break;case _0x5b3969(0x1713):{const _0x1b5397=_0x38ba95[_0x5b3969(0x3bb)][_0x5b3969(0x168a)][_0x5b3969(0x10c8)](',');_0x38ba95['outbounddial'][_0x5b3969(0x583)]=isNaN(_0x1b5397[0x0])?_0x1b5397[0x0]:parseInt(_0x1b5397[0x0],0xa),_0x38ba95['outbounddial'][_0x5b3969(0xa8d)]=_0x1b5397[_0x5b3969(0x1298)](0x1,_0x1b5397['length'])[_0x5b3969(0xb47)](',');}break;case _0x5b3969(0x7fd):_0x38ba95[_0x5b3969(0x3bb)][_0x5b3969(0xa8d)]=_0x38ba95[_0x5b3969(0x3bb)][_0x5b3969(0x168a)];break;case _0x5b3969(0x26ba):_0x38ba95['outbounddial']['name']=_0x38ba95[_0x5b3969(0x3bb)][_0x5b3969(0x168a)][_0x5b3969(0x10c8)]('=')[0x0],_0x38ba95[_0x5b3969(0x3bb)][_0x5b3969(0x175d)]=_0x38ba95[_0x5b3969(0x3bb)]['appdata'][_0x5b3969(0x10c8)]('=')[0x1];break;case _0x5b3969(0x1ecb):_0x38ba95['outbounddial'][_0x5b3969(0x9e0)]=_0x38ba95['outbounddial'][_0x5b3969(0x168a)];break;default:{const _0x425f41=_0x38ba95[_0x5b3969(0x3bb)][_0x5b3969(0x168a)][_0x5b3969(0x10c8)](',');_0x38ba95[_0x5b3969(0x3bb)][_0x5b3969(0x279f)]=_0x425f41[0x0][_0x5b3969(0x10c8)]('/')[0x1],_0x38ba95[_0x5b3969(0x3bb)]['phone']=_0x425f41[0x0]['split']('/')[0x2],_0x38ba95[_0x5b3969(0x3bb)][_0x5b3969(0xa9c)]=_0x3f65c0()[_0x5b3969(0x2635)](_0x425f41[0x1])?_0x425f41[0x1]:isNaN(_0x425f41[0x1])?_0x425f41[0x1]:parseInt(_0x425f41[0x1],0xa),_0x38ba95[_0x5b3969(0x3bb)][_0x5b3969(0xa08)]=_0x3f65c0()[_0x5b3969(0x2635)](_0x425f41[0x2])?_0x425f41[0x2]:isNaN(_0x425f41[0x2])?_0x425f41[0x2]:parseInt(_0x425f41[0x2],0xa),_0x38ba95[_0x5b3969(0x3bb)][_0x5b3969(0x20cc)]=_0x3f65c0()[_0x5b3969(0x2635)](_0x425f41[0x3])?_0x425f41[0x3]:isNaN(_0x425f41[0x3])?_0x425f41[0x3]:parseInt(_0x425f41[0x3],0xa);}break;}else{}_0x38ba95[_0x5b3969(0x3bb)][_0x5b3969(0x1142)]&&_0x38ba95[_0x5b3969(0x3bb)][_0x5b3969(0x1142)][_0x5b3969(0x256e)]()===_0x5b3969(0xc9c)&&_0x38ba95[_0x5b3969(0x3bb)][_0x5b3969(0x8f2)]['toLowerCase']()===_0x5b3969(0x3bb)&&(_0x38ba95[_0x5b3969(0x3bb)][_0x5b3969(0x1e12)]=_0x38ba95['outbounddial'][_0x5b3969(0x1d55)]?_0x38ba95[_0x5b3969(0x3bb)][_0x5b3969(0x1d55)]['split']('$')[0x0]:undefined,_0x38ba95[_0x5b3969(0x3bb)][_0x5b3969(0x1d43)]=_0x38ba95['outbounddial'][_0x5b3969(0x11be)]?_0x5b3969(0x1b60)+_0x38ba95[_0x5b3969(0x3bb)][_0x5b3969(0x11be)]:undefined);_0x38ba95[_0x5b3969(0xb84)]=_0x8d080b,_0x38ba95[_0x5b3969(0x13f3)]=_0x25a2e8,_0x46c4b8[_0x5b3969(0x23e0)](_0x5b3969(0x174b))?_0x49d57c[_0x5b3969(0x279f)][_0x5b3969(0x16b4)]({'fields':_0x5b3969(0x7a7),'sort':_0x5b3969(0x19eb),'nolimit':_0x5b3969(0x1185)})[_0x5b3969(0x2945)][_0x5b3969(0x146b)](function(_0x2de9b2){const _0x196c41=_0x5b3969;_0x38ba95['trunks']=_0x2de9b2[_0x196c41(0x19c7)]||[];})[_0x5b3969(0x129e)](function(_0x2ae52b){const _0x9db5cf=_0x5b3969;_0x30efdb[_0x9db5cf(0x1980)]({'title':_0x2ae52b[_0x9db5cf(0x107b)]?_0x9db5cf(0x262a)+_0x2ae52b[_0x9db5cf(0x107b)]+_0x9db5cf(0x1315)+_0x2ae52b[_0x9db5cf(0x167f)]:'SYSTEM:GET_TRUNKS','msg':_0x2ae52b['data']?JSON[_0x9db5cf(0x10bb)](_0x2ae52b['data']):_0x2ae52b[_0x9db5cf(0xd5f)]()});}):_0x49d57c['trunk']['get']({'fields':_0x5b3969(0x7a7),'sort':'name','nolimit':_0x5b3969(0x1185)})[_0x5b3969(0x2945)]['then'](function(_0x4900e8){const _0x3934fa=_0x5b3969;_0x38ba95['trunks']=_0x4900e8[_0x3934fa(0x19c7)]||[];})[_0x5b3969(0x146b)](function(){const _0x1b9afb=_0x5b3969;return _0x49d57c['userProfileSection']['get']({'userProfileId':_0x38ba95[_0x1b9afb(0x2321)][_0x1b9afb(0x209a)],'sectionId':0x3f3})[_0x1b9afb(0x2945)];})['then'](function(_0x3ee466){const _0x3d59f5=_0x5b3969,_0x4a032f=_0x3ee466&&_0x3ee466[_0x3d59f5(0x19c7)]?_0x3ee466[_0x3d59f5(0x19c7)][0x0]:null;if(!_0x4a032f){const _0x57df3c=[];let _0x1b9728=null;_0x38ba95[_0x3d59f5(0x3bb)]&&(_0x1b9728=_0x3f65c0()[_0x3d59f5(0xc84)](_0x38ba95[_0x3d59f5(0x2433)],{'name':_0x38ba95[_0x3d59f5(0x3bb)][_0x3d59f5(0x279f)]}));for(let _0x38dfb3=0x0;_0x38dfb3<_0x38ba95[_0x3d59f5(0x2433)]['length'];_0x38dfb3++){_0x1b9728&&_0x38ba95[_0x3d59f5(0x2433)][_0x38dfb3]['id']===_0x1b9728['id']&&(_0x38ba95[_0x3d59f5(0x2433)][_0x38dfb3][_0x3d59f5(0x8ff)]=![],_0x57df3c[_0x3d59f5(0x1f47)](_0x38ba95['trunks'][_0x38dfb3]));}_0x38ba95[_0x3d59f5(0x2433)]=_0x57df3c;}else{if(!_0x4a032f[_0x3d59f5(0x11d2)])return _0x49d57c['userProfileResource'][_0x3d59f5(0x16b4)]({'sectionId':_0x4a032f['id']})['$promise'][_0x3d59f5(0x146b)](function(_0x17755c){const _0x371169=_0x3d59f5,_0x5d11e3=_0x3f65c0()[_0x371169(0x205)](_0x17755c['rows'],function(_0x49087e){const _0x219da8=_0x371169;return _0x3f65c0()[_0x219da8(0xc84)](_0x38ba95[_0x219da8(0x2433)],{'id':_0x49087e[_0x219da8(0x18b8)]});});let _0x2d5ecb=null;_0x38ba95[_0x371169(0x3bb)]&&(_0x2d5ecb=_0x3f65c0()[_0x371169(0xc84)](_0x38ba95[_0x371169(0x2433)],{'name':_0x38ba95['outbounddial'][_0x371169(0x279f)]}));if(_0x2d5ecb&&!_0x3f65c0()[_0x371169(0x1360)](_0x5d11e3,['id',_0x2d5ecb['id']])){const _0x591edd=_0x3f65c0()['find'](_0x38ba95[_0x371169(0x2433)],{'id':_0x2d5ecb['id']});_0x591edd[_0x371169(0x8ff)]=![],_0x5d11e3[_0x371169(0x1f47)](_0x591edd);}_0x38ba95['trunks']=_0x5d11e3;});}})['catch'](function(_0x2346ff){const _0x2b670c=_0x5b3969;_0x30efdb[_0x2b670c(0x1980)]({'title':_0x2346ff[_0x2b670c(0x107b)]?_0x2b670c(0x262a)+_0x2346ff['status']+_0x2b670c(0x1315)+_0x2346ff[_0x2b670c(0x167f)]:_0x2b670c(0x2436),'msg':_0x2346ff[_0x2b670c(0x524)]?JSON[_0x2b670c(0x10bb)](_0x2346ff['data']):_0x2346ff[_0x2b670c(0xd5f)]()});}),_0x46c4b8[_0x5b3969(0x23e0)](_0x5b3969(0x174b))?_0x49d57c[_0x5b3969(0xa80)]['get']({'fields':_0x5b3969(0x7a7),'sort':_0x5b3969(0x19eb),'nolimit':_0x5b3969(0x1185)})[_0x5b3969(0x2945)][_0x5b3969(0x146b)](function(_0x203427){const _0x5e1778=_0x5b3969;_0x38ba95[_0x5e1778(0x1b86)]=_0x203427[_0x5e1778(0x19c7)]||[];})[_0x5b3969(0x129e)](function(_0x31a292){const _0x2a1983=_0x5b3969;_0x30efdb[_0x2a1983(0x1980)]({'title':_0x31a292['status']?_0x2a1983(0x262a)+_0x31a292[_0x2a1983(0x107b)]+_0x2a1983(0x1315)+_0x31a292[_0x2a1983(0x167f)]:_0x2a1983(0x29c),'msg':_0x31a292['data']?JSON[_0x2a1983(0x10bb)](_0x31a292[_0x2a1983(0x524)]):_0x31a292[_0x2a1983(0xd5f)]()});}):_0x49d57c[_0x5b3969(0xa80)][_0x5b3969(0x16b4)]({'fields':'id,name','sort':_0x5b3969(0x19eb),'nolimit':_0x5b3969(0x1185)})['$promise'][_0x5b3969(0x146b)](function(_0x5590a0){const _0x53fa11=_0x5b3969;_0x38ba95[_0x53fa11(0x1b86)]=_0x5590a0[_0x53fa11(0x19c7)]||[];})[_0x5b3969(0x146b)](function(){const _0x2c5d38=_0x5b3969;return _0x49d57c[_0x2c5d38(0x1366)][_0x2c5d38(0x16b4)]({'userProfileId':_0x38ba95['currentUser']['userProfileId'],'sectionId':0x3f0})['$promise'];})[_0x5b3969(0x146b)](function(_0x119ea8){const _0x15ac39=_0x5b3969,_0x44f364=_0x119ea8&&_0x119ea8['rows']?_0x119ea8['rows'][0x0]:null;if(!_0x44f364){const _0x52a775=[];let _0x2a5925=null;_0x38ba95[_0x15ac39(0x3bb)]&&(_0x2a5925=_0x3f65c0()[_0x15ac39(0xc84)](_0x38ba95[_0x15ac39(0x1b86)],{'name':_0x38ba95[_0x15ac39(0x3bb)]['tag']}));for(let _0x42a1d9=0x0;_0x42a1d9<_0x38ba95[_0x15ac39(0x1b86)][_0x15ac39(0x402)];_0x42a1d9++){_0x2a5925&&_0x38ba95[_0x15ac39(0x1b86)][_0x42a1d9]['id']===_0x2a5925['id']&&(_0x38ba95[_0x15ac39(0x1b86)][_0x42a1d9][_0x15ac39(0x8ff)]=![],_0x52a775[_0x15ac39(0x1f47)](_0x38ba95[_0x15ac39(0x1b86)][_0x42a1d9]));}_0x38ba95[_0x15ac39(0x1b86)]=_0x52a775;}else{if(!_0x44f364['autoAssociation'])return _0x49d57c[_0x15ac39(0xdcc)][_0x15ac39(0x16b4)]({'sectionId':_0x44f364['id']})[_0x15ac39(0x2945)][_0x15ac39(0x146b)](function(_0x18d277){const _0x32e43d=_0x15ac39,_0x4f3e69=_0x3f65c0()[_0x32e43d(0x205)](_0x18d277[_0x32e43d(0x19c7)],function(_0x36710d){const _0x78049d=_0x32e43d;return _0x3f65c0()[_0x78049d(0xc84)](_0x38ba95[_0x78049d(0x1b86)],{'id':_0x36710d[_0x78049d(0x18b8)]});});let _0x56d988=null;_0x38ba95[_0x32e43d(0x3bb)]&&(_0x56d988=_0x3f65c0()[_0x32e43d(0xc84)](_0x38ba95[_0x32e43d(0x1b86)],{'name':_0x38ba95[_0x32e43d(0x3bb)][_0x32e43d(0xa80)]}));if(_0x56d988&&!_0x3f65c0()[_0x32e43d(0x1360)](_0x4f3e69,['id',_0x56d988['id']])){const _0x56352e=_0x3f65c0()[_0x32e43d(0xc84)](_0x38ba95[_0x32e43d(0x1b86)],{'id':_0x56d988['id']});_0x56352e[_0x32e43d(0x8ff)]=![],_0x4f3e69[_0x32e43d(0x1f47)](_0x56352e);}_0x38ba95[_0x32e43d(0x1b86)]=_0x4f3e69;});}})['catch'](function(_0x427ab9){const _0x3a320b=_0x5b3969;_0x30efdb['error']({'title':_0x427ab9[_0x3a320b(0x107b)]?_0x3a320b(0x262a)+_0x427ab9[_0x3a320b(0x107b)]+_0x3a320b(0x1315)+_0x427ab9[_0x3a320b(0x167f)]:'SYSTEM:GETtags','msg':_0x427ab9[_0x3a320b(0x524)]?JSON[_0x3a320b(0x10bb)](_0x427ab9[_0x3a320b(0x524)]):_0x427ab9[_0x3a320b(0xd5f)]()});});function _0x8d080b(){const _0x5dd9dd=_0x5b3969;_0x38ba95[_0x5dd9dd(0xcef)]=[];const _0x2df07b=[];_0x38ba95[_0x5dd9dd(0x3bb)][_0x5dd9dd(0x1142)]&&_0x38ba95[_0x5dd9dd(0x3bb)][_0x5dd9dd(0x1142)]['toLowerCase']()===_0x5dd9dd(0xc9c)&&_0x38ba95[_0x5dd9dd(0x3bb)][_0x5dd9dd(0x8f2)]===_0x5dd9dd(0x118b)&&(_0x38ba95[_0x5dd9dd(0x3bb)][_0x5dd9dd(0x1d55)]=_0xf23abb[_0x5dd9dd(0x1274)]?(_0x38ba95[_0x5dd9dd(0x3bb)][_0x5dd9dd(0x1e12)]||'')+_0x5dd9dd(0x2147)+_0xf23abb[_0x5dd9dd(0x1274)]+'}':(_0x38ba95['outbounddial'][_0x5dd9dd(0x1e12)]||'')+'${EXTEN}',_0xf23abb[_0x5dd9dd(0x1425)]!==_0x5dd9dd(0x1642)?_0x38ba95['outbounddial'][_0x5dd9dd(0xa08)][_0x5dd9dd(0x172b)](_0x5dd9dd(0x1ea4))<0x0&&(_0x38ba95[_0x5dd9dd(0x3bb)][_0x5dd9dd(0xa08)]+=_0x5dd9dd(0x1ea4)):_0x38ba95[_0x5dd9dd(0x3bb)][_0x5dd9dd(0xa08)]=_0x38ba95[_0x5dd9dd(0x3bb)][_0x5dd9dd(0xa08)]['replace']('U(xcally-mixmonitor-context)',''));if(_0x38ba95[_0x5dd9dd(0x3bb)][_0x5dd9dd(0x8f2)]&&_0x38ba95[_0x5dd9dd(0x3bb)][_0x5dd9dd(0x8f2)]==='custom'){}else switch((_0x38ba95[_0x5dd9dd(0x3bb)][_0x5dd9dd(0x1873)]||_0x38ba95[_0x5dd9dd(0x3bb)][_0x5dd9dd(0x8f2)])[_0x5dd9dd(0x256e)]()){case _0x5dd9dd(0x26ba):_0x38ba95['outbounddial'][_0x5dd9dd(0x168a)]=_0x38ba95[_0x5dd9dd(0x3bb)][_0x5dd9dd(0x19eb)]+'='+_0x38ba95['outbounddial'][_0x5dd9dd(0x175d)];break;case _0x5dd9dd(0x1802):break;default:_0x2df07b[0x0]=[_0x5dd9dd(0x1783),_0x38ba95[_0x5dd9dd(0x3bb)]['trunk'],_0x38ba95[_0x5dd9dd(0x3bb)]['phone']]['join']('/'),_0x2df07b[0x1]=_0x38ba95[_0x5dd9dd(0x3bb)][_0x5dd9dd(0xa9c)],_0x2df07b[0x2]=_0x38ba95[_0x5dd9dd(0x3bb)][_0x5dd9dd(0xa08)],_0x2df07b[0x3]=_0x38ba95['outbounddial'][_0x5dd9dd(0x20cc)],_0x38ba95[_0x5dd9dd(0x3bb)][_0x5dd9dd(0x168a)]=_0x2df07b[_0x5dd9dd(0xb47)](',');}_0x25a2e8(_0x38ba95['outbounddial']);}function _0x25a2e8(_0x2c0641){const _0x3da01f=_0x5b3969;_0x1e1431[_0x3da01f(0x2458)](_0x2c0641);}}const _0x489f46=_0x2e1445;;_0x2ae63b[_0x313a4d(0x11c2)]=['$state',_0x313a4d(0xd08),_0x313a4d(0x10e8),'$document',_0x313a4d(0x214b),_0x313a4d(0x2690),_0x313a4d(0x15b9),'api','toasty',_0x313a4d(0xa87),_0x313a4d(0xaa4),_0x313a4d(0x1366)];function _0x2ae63b(_0x53f33d,_0x3f661d,_0x3b726c,_0x34635a,_0x3cdfcd,_0x1bc90b,_0x5cb6fb,_0x5da6dd,_0x5efc07,_0x55d3c1,_0x38ef1f,_0x19d331){const _0xbc7295=_0x313a4d,_0x50dc44=this;_0x50dc44[_0xbc7295(0x2321)]=_0x55d3c1[_0xbc7295(0xb12)](),_0x50dc44[_0xbc7295(0x2690)]=_0x1bc90b,_0x50dc44['setting']=_0x5cb6fb,_0x50dc44[_0xbc7295(0x2251)]=_0x50dc44[_0xbc7295(0x15b9)][_0xbc7295(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x50dc44[_0xbc7295(0x1002)]=_0x3f661d[_0xbc7295(0x2414)]()+_0xbc7295(0xb0e)+_0x3f661d['host'](),_0x50dc44[_0xbc7295(0xaa4)]=_0x38ef1f||_0x53f33d[_0xbc7295(0x16a)]['outboundroute']||{},_0x50dc44[_0xbc7295(0x1366)]=_0x19d331&&_0x19d331['count']==0x1?_0x19d331[_0xbc7295(0x19c7)][0x0]:null,_0x50dc44[_0xbc7295(0x2514)]=_0x55d3c1[_0xbc7295(0xe60)](_0x50dc44[_0xbc7295(0x1366)]?_0x50dc44[_0xbc7295(0x1366)][_0xbc7295(0x2514)]:null),_0x50dc44[_0xbc7295(0x855)]={},_0x50dc44[_0xbc7295(0x1b09)]=_0x53f33d[_0xbc7295(0x16a)]['tab']||0x0,_0x50dc44[_0xbc7295(0x861)]=_0x5efc07[_0xbc7295(0x271e)],_0x50dc44[_0xbc7295(0x18f7)]=_0x412586,_0x50dc44['saveOutboundRoute']=_0x463449,_0x55d3c1['hasRole'](_0xbc7295(0x174b))?_0x5da6dd['voiceContext'][_0xbc7295(0x16b4)]({'fields':_0xbc7295(0x7a7),'sort':_0xbc7295(0x19eb),'nolimit':_0xbc7295(0x1185)})[_0xbc7295(0x2945)][_0xbc7295(0x146b)](function(_0x4b8a99){const _0x7d20a0=_0xbc7295;_0x50dc44[_0x7d20a0(0xfe9)]=_0x4b8a99[_0x7d20a0(0x19c7)]||[];})['catch'](function(_0x3a16ef){const _0x114c9f=_0xbc7295;_0x5efc07['error']({'title':_0x3a16ef[_0x114c9f(0x107b)]?_0x114c9f(0x262a)+_0x3a16ef[_0x114c9f(0x107b)]+_0x114c9f(0x1315)+_0x3a16ef['statusText']:_0x114c9f(0x2742),'msg':_0x3a16ef[_0x114c9f(0x524)]?JSON['stringify'](_0x3a16ef[_0x114c9f(0x524)]):_0x3a16ef[_0x114c9f(0xd5f)]()});}):_0x5da6dd[_0xbc7295(0x1b82)][_0xbc7295(0x16b4)]({'fields':_0xbc7295(0x7a7),'sort':_0xbc7295(0x19eb),'nolimit':_0xbc7295(0x1185)})['$promise'][_0xbc7295(0x146b)](function(_0x268cdd){const _0x5eef2f=_0xbc7295;_0x50dc44[_0x5eef2f(0xfe9)]=_0x268cdd[_0x5eef2f(0x19c7)]||[];})[_0xbc7295(0x146b)](function(){const _0xc0e53f=_0xbc7295;return _0x5da6dd[_0xc0e53f(0x1366)][_0xc0e53f(0x16b4)]({'userProfileId':_0x50dc44[_0xc0e53f(0x2321)][_0xc0e53f(0x209a)],'sectionId':0x195})[_0xc0e53f(0x2945)];})[_0xbc7295(0x146b)](function(_0x450a56){const _0x1a804a=_0xbc7295,_0x4d6d5f=_0x450a56&&_0x450a56[_0x1a804a(0x19c7)]?_0x450a56[_0x1a804a(0x19c7)][0x0]:null;if(!_0x4d6d5f)return _0x5da6dd[_0x1a804a(0x1b82)][_0x1a804a(0x16b4)]({'fields':_0x1a804a(0x7a7),'sort':_0x1a804a(0x19eb),'nolimit':_0x1a804a(0x1185),'defaultEntry':0x1})[_0x1a804a(0x2945)][_0x1a804a(0x146b)](function(_0x4838f7){const _0x31e6a5=_0x1a804a;_0x50dc44[_0x31e6a5(0xfe9)]=_0x4838f7['rows']||[];});else{if(!_0x4d6d5f[_0x1a804a(0x11d2)])return _0x5da6dd['userProfileResource'][_0x1a804a(0x16b4)]({'sectionId':_0x4d6d5f['id']})['$promise'][_0x1a804a(0x146b)](function(_0x208996){const _0x3278a3=_0x1a804a,_0x598a1d=_0x3f65c0()[_0x3278a3(0x205)](_0x208996[_0x3278a3(0x19c7)],function(_0x21b4f5){const _0x564b66=_0x3278a3;return _0x3f65c0()[_0x564b66(0xc84)](_0x50dc44[_0x564b66(0xfe9)],{'id':_0x21b4f5['resourceId']});});let _0x2b589b=null;_0x50dc44[_0x3278a3(0xaa4)]&&(_0x2b589b=_0x3f65c0()[_0x3278a3(0xc84)](_0x50dc44['contexts'],{'name':_0x50dc44['outboundroute'][_0x3278a3(0x1ac1)]}));if(_0x2b589b&&!_0x3f65c0()[_0x3278a3(0x1360)](_0x598a1d,['id',_0x2b589b['id']])){const _0x4eebcb=_0x3f65c0()[_0x3278a3(0xc84)](_0x50dc44[_0x3278a3(0xfe9)],{'id':_0x2b589b['id']});_0x4eebcb[_0x3278a3(0x8ff)]=![],_0x598a1d[_0x3278a3(0x1f47)](_0x4eebcb);}_0x50dc44[_0x3278a3(0xfe9)]=_0x598a1d;});}})[_0xbc7295(0x129e)](function(_0x3a63d5){const _0x279c27=_0xbc7295;_0x5efc07['error']({'title':_0x3a63d5['status']?'API:'+_0x3a63d5[_0x279c27(0x107b)]+_0x279c27(0x1315)+_0x3a63d5[_0x279c27(0x167f)]:_0x279c27(0x155e),'msg':_0x3a63d5[_0x279c27(0x524)]?JSON[_0x279c27(0x10bb)](_0x3a63d5[_0x279c27(0x524)]):_0x3a63d5[_0x279c27(0xd5f)]()});});function _0x412586(){const _0x5d1cf0=_0xbc7295;_0x53f33d['go'](_0x5d1cf0(0x2680),{},{'reload':_0x5d1cf0(0x2680)});}function _0x463449(){const _0x18354d=_0xbc7295;_0x5da6dd[_0x18354d(0xd47)][_0x18354d(0x18e1)]({'id':_0x50dc44[_0x18354d(0xaa4)]['id']},_0x50dc44[_0x18354d(0xaa4)])[_0x18354d(0x2945)]['then'](function(){const _0x2bd889=_0x18354d;_0x5efc07[_0x2bd889(0x1c75)]({'title':_0x2bd889(0x391),'msg':_0x50dc44[_0x2bd889(0xaa4)][_0x2bd889(0x19eb)]?_0x50dc44[_0x2bd889(0xaa4)][_0x2bd889(0x19eb)]+_0x2bd889(0x6b0):''});})[_0x18354d(0x129e)](function(_0x513bff){const _0x16c64a=_0x18354d;_0x5efc07[_0x16c64a(0x1980)]({'title':_0x513bff[_0x16c64a(0x107b)]?_0x16c64a(0x262a)+_0x513bff[_0x16c64a(0x107b)]+_0x16c64a(0x1315)+_0x513bff[_0x16c64a(0x167f)]:_0x16c64a(0x6df),'msg':_0x513bff[_0x16c64a(0x524)]?JSON[_0x16c64a(0x10bb)](_0x513bff['data']):_0x513bff['toString']()});});}}const _0x1457b4=_0x2ae63b;;const _0x58ef58=_0x4acfac['p']+_0x313a4d(0x371);;_0xca2d96[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),'$mdDialog','$document',_0x313a4d(0x1abe),'$translate',_0x313a4d(0x7fb),_0x313a4d(0x26b6),'userProfileSection',_0x313a4d(0x247f),'msUtils',_0x313a4d(0x1fe4),'Auth',_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0xca2d96(_0x4d948a,_0x2b9068,_0x6ca887,_0x3b80a9,_0x5779ed,_0x1d8f68,_0x525f35,_0x6b3a24,_0x14d3bf,_0x1c62e6,_0x355099,_0x22db2f,_0x1a0064,_0x3c8f62,_0x253ea0,_0xad5c10,_0x2ae0df){const _0x13a8d3=_0x313a4d,_0x4153e5=this;_0x4153e5['license']=_0xad5c10,_0x4153e5['setting']=_0x2ae0df,_0x4153e5[_0x13a8d3(0x2321)]=_0x253ea0[_0x13a8d3(0xb12)](),_0x4153e5[_0x13a8d3(0x7fb)]=_0x14d3bf||{'count':0x0,'rows':[]},_0x4153e5[_0x13a8d3(0x26b6)]=_0x1c62e6,_0x4153e5[_0x13a8d3(0x1366)]=_0x355099&&_0x355099[_0x13a8d3(0x51c)]==0x1?_0x355099[_0x13a8d3(0x19c7)][0x0]:null,_0x4153e5[_0x13a8d3(0x2514)]=_0x253ea0[_0x13a8d3(0xe60)](_0x4153e5[_0x13a8d3(0x1366)]?_0x4153e5[_0x13a8d3(0x1366)][_0x13a8d3(0x2514)]:null),_0x4153e5[_0x13a8d3(0x768)]=_0x13a8d3(0x7fb),_0x4153e5[_0x13a8d3(0x216a)]='',_0x4153e5[_0x13a8d3(0x214f)]=null,_0x4153e5[_0x13a8d3(0x10d3)]=[],_0x4153e5['query']={'fields':'createdAt,updatedAt,id,appdata,type,exten,context,recordingFormat,cutdigits,description','type':_0x13a8d3(0xc9c),'sort':_0x13a8d3(0x12f2),'VoiceExtensionId':_0x13a8d3(0x203c),'limit':0xa,'page':0x1},_0x4153e5[_0x13a8d3(0x277d)]=_0x3f65c0()['keyBy']([{'option':_0x13a8d3(0x1642),'value':_0x13a8d3(0x1ac)},{'option':'wav','value':_0x13a8d3(0x13fd)},{'option':_0x13a8d3(0x306),'value':_0x13a8d3(0x834)},{'option':_0x13a8d3(0xdf0),'value':_0x13a8d3(0x5a3)}],function(_0x12c4a1){const _0x533224=_0x13a8d3;return _0x3f65c0()[_0x533224(0x5f4)](_0x12c4a1[_0x533224(0x175d)],new RegExp('\x27','g'),'');}),_0x4153e5[_0x13a8d3(0x23f9)]=_0x583b9a,_0x4153e5[_0x13a8d3(0xf0a)]=_0x64a432,_0x4153e5[_0x13a8d3(0x1c75)]=_0x1dc94f,_0x4153e5[_0x13a8d3(0xb96)]=_0xd53bdf,_0x4153e5[_0x13a8d3(0x1b2a)]=_0x5bfa57,_0x4153e5[_0x13a8d3(0x2519)]=_0x17b168,_0x4153e5[_0x13a8d3(0x860)]=_0x2f7caf,_0x4153e5['deleteSelectedOutboundRoutes']=_0x3b3d58,_0x4153e5[_0x13a8d3(0x1538)]=_0x43101c,_0x4153e5['selectAllOutboundRoutes']=_0x1d2daa,_0x253ea0[_0x13a8d3(0x23e0)](_0x13a8d3(0x174b))?_0x22db2f['voiceContext'][_0x13a8d3(0x16b4)]({'fields':'id,name','sort':_0x13a8d3(0x19eb),'nolimit':_0x13a8d3(0x1185)})[_0x13a8d3(0x2945)][_0x13a8d3(0x146b)](function(_0x944539){const _0x7afc74=_0x13a8d3;_0x4153e5[_0x7afc74(0xfe9)]=_0x944539[_0x7afc74(0x19c7)]||[];})[_0x13a8d3(0x129e)](function(_0x117dc2){const _0x8d9725=_0x13a8d3;_0x3c8f62[_0x8d9725(0x1980)]({'title':_0x117dc2[_0x8d9725(0x107b)]?_0x8d9725(0x262a)+_0x117dc2[_0x8d9725(0x107b)]+_0x8d9725(0x1315)+_0x117dc2[_0x8d9725(0x167f)]:'SYSTEM:GET_CONTEXTS','msg':_0x117dc2['data']?JSON[_0x8d9725(0x10bb)](_0x117dc2[_0x8d9725(0x524)]):_0x117dc2['toString']()});}):_0x22db2f[_0x13a8d3(0x1b82)][_0x13a8d3(0x16b4)]({'fields':'id,name','sort':'name','nolimit':'true'})[_0x13a8d3(0x2945)][_0x13a8d3(0x146b)](function(_0x8a9dc8){const _0x530bfa=_0x13a8d3;_0x4153e5[_0x530bfa(0xfe9)]=_0x8a9dc8['rows']||[];})[_0x13a8d3(0x146b)](function(){const _0x10ef1e=_0x13a8d3;return _0x22db2f[_0x10ef1e(0x1366)][_0x10ef1e(0x16b4)]({'userProfileId':_0x4153e5[_0x10ef1e(0x2321)]['userProfileId'],'sectionId':0x195})[_0x10ef1e(0x2945)];})[_0x13a8d3(0x146b)](function(_0x48a328){const _0x8e5db8=_0x13a8d3,_0x5dcd84=_0x48a328&&_0x48a328['rows']?_0x48a328[_0x8e5db8(0x19c7)][0x0]:null;if(!_0x5dcd84)return _0x22db2f['voiceContext'][_0x8e5db8(0x16b4)]({'fields':_0x8e5db8(0x7a7),'sort':_0x8e5db8(0x19eb),'nolimit':'true','defaultEntry':0x1})[_0x8e5db8(0x2945)][_0x8e5db8(0x146b)](function(_0x15bb25){const _0x1d225f=_0x8e5db8;_0x4153e5[_0x1d225f(0xfe9)]=_0x15bb25[_0x1d225f(0x19c7)]||[];});else{if(!_0x5dcd84[_0x8e5db8(0x11d2)])return _0x22db2f[_0x8e5db8(0xdcc)][_0x8e5db8(0x16b4)]({'sectionId':_0x5dcd84['id']})[_0x8e5db8(0x2945)][_0x8e5db8(0x146b)](function(_0x15e307){const _0xdf40c4=_0x8e5db8,_0xf9b76=_0x3f65c0()['map'](_0x15e307[_0xdf40c4(0x19c7)],function(_0x5f3139){const _0x170cd3=_0xdf40c4;return _0x3f65c0()[_0x170cd3(0xc84)](_0x4153e5[_0x170cd3(0xfe9)],{'id':_0x5f3139[_0x170cd3(0x18b8)]});});let _0x161147=null;_0x4153e5['outboundroute']&&(_0x161147=_0x3f65c0()[_0xdf40c4(0xc84)](_0x4153e5[_0xdf40c4(0xfe9)],{'name':_0x4153e5['outboundroute']['context']}));if(_0x161147&&!_0x3f65c0()[_0xdf40c4(0x1360)](_0xf9b76,['id',_0x161147['id']])){const _0x5d3464=_0x3f65c0()[_0xdf40c4(0xc84)](_0x4153e5[_0xdf40c4(0xfe9)],{'id':_0x161147['id']});_0x5d3464[_0xdf40c4(0x8ff)]=![],_0xf9b76[_0xdf40c4(0x1f47)](_0x5d3464);}_0x4153e5[_0xdf40c4(0xfe9)]=_0xf9b76;});}})[_0x13a8d3(0x129e)](function(_0xcfa890){const _0x3c2da8=_0x13a8d3;_0x3c8f62['error']({'title':_0xcfa890[_0x3c2da8(0x107b)]?_0x3c2da8(0x262a)+_0xcfa890[_0x3c2da8(0x107b)]+_0x3c2da8(0x1315)+_0xcfa890['statusText']:_0x3c2da8(0x155e),'msg':_0xcfa890[_0x3c2da8(0x524)]?JSON[_0x3c2da8(0x10bb)](_0xcfa890[_0x3c2da8(0x524)]):_0xcfa890[_0x3c2da8(0xd5f)]()});});function _0x583b9a(_0x1344a9){const _0x14a312=_0x13a8d3;_0x6ca887['go'](_0x14a312(0x41e),{'id':_0x1344a9['id'],'outboundroute':_0x1344a9,'crudPermissions':_0x4153e5['crudPermissions']});}function _0x64a432(_0x1a2bc8,_0x2f26de){const _0x4023b5=_0x13a8d3,_0xe8032a=_0x5779ed[_0x4023b5(0x1e8a)]()[_0x4023b5(0x1189)](_0x4023b5(0xdb2)+_0x3f65c0()[_0x4023b5(0x20d1)](_0x4023b5(0xaa4))+'?')[_0x4023b5(0x1cbe)](_0x4023b5(0x16d3)+(_0x1a2bc8['name']||'outboundroute')+_0x4023b5(0x252f)+'\x20will\x20be\x20deleted.')['ariaLabel']('delete\x20outboundroute')[_0x4023b5(0x1f27)](_0x2f26de)['ok']('OK')[_0x4023b5(0x6c3)](_0x4023b5(0x39a));_0x5779ed[_0x4023b5(0x2615)](_0xe8032a)[_0x4023b5(0x146b)](function(){_0x17b168(_0x1a2bc8);},function(){const _0x47187a=_0x4023b5;console[_0x47187a(0x1a74)](_0x47187a(0x39a));});}let _0x114230=!![],_0x3a3971=0x1;_0x4d948a[_0x13a8d3(0x21e8)](_0x13a8d3(0x2669),function(_0x4b6f2d,_0x1b5c51){const _0x166adf=_0x13a8d3;_0x114230?_0x525f35(function(){_0x114230=![];}):(!_0x1b5c51&&(_0x3a3971=_0x4153e5[_0x166adf(0x1a56)][_0x166adf(0x844)]),_0x4b6f2d!==_0x1b5c51&&(_0x4153e5[_0x166adf(0x1a56)][_0x166adf(0x844)]=0x1),!_0x4b6f2d&&(_0x4153e5[_0x166adf(0x1a56)]['page']=_0x3a3971),_0x4153e5['getOutboundRoutes']());});function _0x1dc94f(_0x36d1ed){const _0x528e06=_0x13a8d3;_0x4153e5[_0x528e06(0x7fb)]=_0x36d1ed||{'count':0x0,'rows':[]};}function _0xd53bdf(){const _0x5575d0=_0x13a8d3;_0x4153e5[_0x5575d0(0x1a56)][_0x5575d0(0x145d)]=(_0x4153e5[_0x5575d0(0x1a56)][_0x5575d0(0x844)]-0x1)*_0x4153e5[_0x5575d0(0x1a56)]['limit'],_0x253ea0[_0x5575d0(0x23e0)](_0x5575d0(0x174b))?_0x4153e5[_0x5575d0(0xb9c)]=_0x22db2f['voiceExtension'][_0x5575d0(0x16b4)](_0x4153e5[_0x5575d0(0x1a56)],_0x1dc94f)[_0x5575d0(0x2945)]:(_0x4153e5[_0x5575d0(0x1a56)]['id']=_0x4153e5['userProfile']['id'],_0x4153e5[_0x5575d0(0x1a56)]['section']=_0x5575d0(0x1c80),_0x4153e5[_0x5575d0(0xb9c)]=_0x22db2f[_0x5575d0(0x26b6)]['getResources'](_0x4153e5[_0x5575d0(0x1a56)],_0x1dc94f)['$promise']);}function _0x5bfa57(_0x1de22f,_0x3825a2){const _0x4b1352=_0x13a8d3;_0x5779ed['show']({'controller':'CreateOrEditOutboundRouteDialogController','controllerAs':'vm','templateUrl':_0x58ef58,'parent':angular['element'](_0x1d8f68[_0x4b1352(0x2586)]),'targetEvent':_0x1de22f,'clickOutsideToClose':!![],'locals':{'outboundroute':_0x3825a2,'outboundroutes':_0x4153e5[_0x4b1352(0x7fb)][_0x4b1352(0x19c7)],'license':_0x4153e5['license'],'setting':_0x4153e5['setting'],'crudPermissions':_0x4153e5[_0x4b1352(0x2514)]}});}function _0x17b168(_0x4739c6){const _0x438286=_0x13a8d3;_0x22db2f[_0x438286(0xc0f)]['get']({'VoiceExtensionId':_0x4739c6['id']})[_0x438286(0x2945)][_0x438286(0x146b)](function(_0x26b7d5){const _0x4780b=_0x438286;if(_0x26b7d5&&_0x26b7d5[_0x4780b(0x19c7)]&&_0x26b7d5['rows'][_0x4780b(0x402)]>0x0)return _0x3c8f62[_0x4780b(0x1980)]({'title':_0x4780b(0xfa),'msg':_0x4780b(0x2c1)});else _0x22db2f[_0x4780b(0xd47)][_0x4780b(0x1fac)]({'id':_0x4739c6['id']})[_0x4780b(0x2945)][_0x4780b(0x146b)](function(){const _0x4e396a=_0x4780b;_0x3f65c0()[_0x4e396a(0x2640)](_0x4153e5[_0x4e396a(0x7fb)][_0x4e396a(0x19c7)],{'id':_0x4739c6['id']}),_0x4153e5[_0x4e396a(0x7fb)]['count']-=0x1,!_0x4153e5[_0x4e396a(0x7fb)][_0x4e396a(0x19c7)]['length']&&_0x4153e5[_0x4e396a(0xb96)](),_0x3c8f62['success']({'title':_0x3f65c0()['startCase'](_0x4e396a(0x1a8f))+'\x20deleted!','msg':_0x4739c6['name']?_0x4739c6['name']+_0x4e396a(0x23e3):''});})['catch'](function(_0x19c678){const _0x5d0374=_0x4780b;if(_0x19c678['data']&&_0x19c678['data'][_0x5d0374(0xcef)]&&_0x19c678[_0x5d0374(0x524)][_0x5d0374(0xcef)][_0x5d0374(0x402)]){_0x4153e5[_0x5d0374(0xcef)]=_0x19c678[_0x5d0374(0x524)][_0x5d0374(0xcef)]||[{'message':_0x19c678[_0x5d0374(0xd5f)](),'type':'SYSTEM:DELETEvoiceExtension'}];for(let _0x94f242=0x0;_0x94f242<_0x19c678[_0x5d0374(0x524)]['errors'][_0x5d0374(0x402)];_0x94f242++){_0x3c8f62[_0x5d0374(0x1980)]({'title':_0x19c678['data'][_0x5d0374(0xcef)][_0x94f242][_0x5d0374(0x1142)],'msg':_0x19c678[_0x5d0374(0x524)]['errors'][_0x94f242][_0x5d0374(0x7fd)]});}}else _0x3c8f62[_0x5d0374(0x1980)]({'title':_0x19c678['status']?_0x5d0374(0x262a)+_0x19c678[_0x5d0374(0x107b)]+_0x5d0374(0x1315)+_0x19c678[_0x5d0374(0x167f)]:_0x5d0374(0x1c36),'msg':_0x19c678[_0x5d0374(0x524)]?JSON[_0x5d0374(0x10bb)](_0x19c678['data'][_0x5d0374(0x7fd)]):_0x19c678[_0x5d0374(0x7fd)]||_0x19c678['toString']()});});});}function _0x2f7caf(){const _0x412cd7=_0x13a8d3,_0x5e594c=angular['copy'](_0x4153e5[_0x412cd7(0x10d3)]);return _0x4153e5[_0x412cd7(0x10d3)]=[],_0x5e594c;}function _0x3b3d58(_0x5600cf){const _0x3d6484=_0x13a8d3,_0x2dd3b3=_0x5779ed['confirm']()[_0x3d6484(0x1189)](_0x3d6484(0x16b9))[_0x3d6484(0x1cbe)](_0x3d6484(0x16d3)+_0x4153e5[_0x3d6484(0x10d3)][_0x3d6484(0x402)]+_0x3d6484(0x2452)+'\x20will\x20be\x20deleted.')[_0x3d6484(0x4bd)](_0x3d6484(0x1da0))[_0x3d6484(0x1f27)](_0x5600cf)['ok']('OK')[_0x3d6484(0x6c3)](_0x3d6484(0x39a));_0x5779ed[_0x3d6484(0x2615)](_0x2dd3b3)[_0x3d6484(0x146b)](function(){const _0x14f0c0=_0x3d6484;_0x4153e5[_0x14f0c0(0x10d3)]['forEach'](function(_0x56ad10){_0x17b168(_0x56ad10);}),_0x4153e5['selectedOutboundRoutes']=[];});}function _0x43101c(){const _0x1e49ec=_0x13a8d3;_0x4153e5[_0x1e49ec(0x10d3)]=[];}function _0x1d2daa(){const _0x320688=_0x13a8d3;_0x4153e5['selectedOutboundRoutes']=_0x4153e5[_0x320688(0x7fb)][_0x320688(0x19c7)];}}const _0x47510f=_0xca2d96;;_0x455155['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),'toasty','voicemails',_0x313a4d(0x356),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),'crudPermissions'];function _0x455155(_0x394ab2,_0x1a4675,_0x36c2d2,_0x4bf709,_0x4f7a0b,_0x398470,_0x1fca0e,_0x3f7da1,_0x52fb07,_0x4fa6bd,_0x2160a8,_0x65777e,_0x5763e2,_0xf73869){const _0x18013a=_0x313a4d,_0x1c7f41=this;_0x1c7f41[_0x18013a(0x2321)]=_0x2160a8[_0x18013a(0xb12)](),_0x1c7f41['errors']=[],_0x1c7f41[_0x18013a(0x15b9)]=_0x5763e2,_0x1c7f41[_0x18013a(0x2690)]=_0x65777e,_0x1c7f41[_0x18013a(0x2514)]=_0xf73869,_0x1c7f41[_0x18013a(0x855)]={},_0x1c7f41[_0x18013a(0x2251)]=_0x1c7f41['setting']&&_0x1c7f41[_0x18013a(0x15b9)][_0x18013a(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x1c7f41[_0x18013a(0x1189)]=_0x18013a(0xff7),_0x1c7f41['voicemail']=angular['copy'](_0x52fb07),_0x1c7f41[_0x18013a(0x28e7)]=_0x3f7da1,_0x1c7f41['newVoicemail']=![];!_0x1c7f41[_0x18013a(0x356)]&&(_0x1c7f41[_0x18013a(0x356)]={'attach':'no'},_0x1c7f41['title']=_0x18013a(0x69d),_0x1c7f41[_0x18013a(0x18f5)]=!![]);_0x1c7f41[_0x18013a(0x1fd0)]=_0x53179c,_0x1c7f41[_0x18013a(0x293c)]=_0x161691,_0x1c7f41['deleteVoicemail']=_0x3644d8,_0x1c7f41[_0x18013a(0xe73)]=_0x338018,_0x1c7f41[_0x18013a(0x13f3)]=_0x593dd1;function _0x53179c(){const _0x2d7207=_0x18013a;_0x1c7f41[_0x2d7207(0xcef)]=[],_0x4fa6bd[_0x2d7207(0xe8c)]['save'](_0x1c7f41[_0x2d7207(0x356)])[_0x2d7207(0x2945)][_0x2d7207(0x146b)](function(_0x684376){const _0x27efc7=_0x2d7207;_0x1c7f41[_0x27efc7(0x28e7)][_0x27efc7(0xb3d)](_0x684376['toJSON']()),_0x1fca0e[_0x27efc7(0x1c75)]({'title':_0x27efc7(0x630),'msg':_0x1c7f41[_0x27efc7(0x356)][_0x27efc7(0x19eb)]?_0x1c7f41[_0x27efc7(0x356)][_0x27efc7(0x19eb)]+_0x27efc7(0x1386):''}),_0x593dd1(_0x684376);})['catch'](function(_0xfc56cc){const _0x5f1f28=_0x2d7207;if(_0xfc56cc['data']&&_0xfc56cc[_0x5f1f28(0x524)][_0x5f1f28(0xcef)]&&_0xfc56cc[_0x5f1f28(0x524)][_0x5f1f28(0xcef)][_0x5f1f28(0x402)]){_0x1c7f41['errors']=_0xfc56cc[_0x5f1f28(0x524)][_0x5f1f28(0xcef)]||[{'message':_0xfc56cc[_0x5f1f28(0xd5f)](),'type':_0x5f1f28(0x1e5)}];for(let _0x471f1a=0x0;_0x471f1a<_0xfc56cc['data'][_0x5f1f28(0xcef)]['length'];_0x471f1a+=0x1){_0x1fca0e[_0x5f1f28(0x1980)]({'title':_0xfc56cc[_0x5f1f28(0x524)][_0x5f1f28(0xcef)][_0x471f1a][_0x5f1f28(0x1142)],'msg':_0xfc56cc[_0x5f1f28(0x524)][_0x5f1f28(0xcef)][_0x471f1a]['message']});}}else _0x1fca0e[_0x5f1f28(0x1980)]({'title':_0xfc56cc[_0x5f1f28(0x107b)]?'API:'+_0xfc56cc[_0x5f1f28(0x107b)]+_0x5f1f28(0x1315)+_0xfc56cc['statusText']:_0x5f1f28(0x1e5),'msg':_0xfc56cc[_0x5f1f28(0x524)]?JSON[_0x5f1f28(0x10bb)](_0xfc56cc['data']['message']):_0xfc56cc[_0x5f1f28(0xd5f)]()});});}function _0x161691(){const _0x508700=_0x18013a;_0x1c7f41['errors']=[],_0x4fa6bd[_0x508700(0xe8c)][_0x508700(0x18e1)]({'id':_0x1c7f41[_0x508700(0x356)]['id']},_0x1c7f41[_0x508700(0x356)])[_0x508700(0x2945)]['then'](function(_0x328591){const _0x2325fb=_0x508700,_0x5efdee=_0x3f65c0()['find'](_0x1c7f41['voicemails'],{'id':_0x328591['id']});_0x5efdee&&_0x3f65c0()[_0x2325fb(0x168d)](_0x5efdee,_0x3f65c0()[_0x2325fb(0x40e)](_0x328591[_0x2325fb(0x2488)](),_0x3f65c0()[_0x2325fb(0x627)](_0x5efdee))),_0x1fca0e[_0x2325fb(0x1c75)]({'title':_0x2325fb(0x150b),'msg':_0x1c7f41['voicemail']['name']?_0x1c7f41['voicemail'][_0x2325fb(0x19eb)]+_0x2325fb(0x24db):''}),_0x593dd1(_0x328591);})['catch'](function(_0x3b1c94){const _0x57f37a=_0x508700;if(_0x3b1c94[_0x57f37a(0x524)]&&_0x3b1c94['data'][_0x57f37a(0xcef)]&&_0x3b1c94[_0x57f37a(0x524)]['errors'][_0x57f37a(0x402)]){_0x1c7f41[_0x57f37a(0xcef)]=_0x3b1c94[_0x57f37a(0x524)][_0x57f37a(0xcef)]||[{'message':_0x3b1c94[_0x57f37a(0xd5f)](),'type':'api.voiceMail.update'}];for(let _0x4387b5=0x0;_0x4387b5<_0x3b1c94[_0x57f37a(0x524)][_0x57f37a(0xcef)][_0x57f37a(0x402)];_0x4387b5++){_0x1fca0e[_0x57f37a(0x1980)]({'title':_0x3b1c94[_0x57f37a(0x524)][_0x57f37a(0xcef)][_0x4387b5][_0x57f37a(0x1142)],'msg':_0x3b1c94[_0x57f37a(0x524)][_0x57f37a(0xcef)][_0x4387b5][_0x57f37a(0x7fd)]});}}else _0x1fca0e['error']({'title':_0x3b1c94[_0x57f37a(0x107b)]?'API:'+_0x3b1c94[_0x57f37a(0x107b)]+_0x57f37a(0x1315)+_0x3b1c94[_0x57f37a(0x167f)]:'api.voiceMail.update','msg':_0x3b1c94[_0x57f37a(0x524)]?JSON[_0x57f37a(0x10bb)](_0x3b1c94[_0x57f37a(0x524)][_0x57f37a(0x7fd)]):_0x3b1c94[_0x57f37a(0xd5f)]()});});}function _0x3644d8(_0x9f6ff1){const _0x14072f=_0x18013a;_0x1c7f41[_0x14072f(0xcef)]=[];const _0x323b26=_0x4bf709[_0x14072f(0x1e8a)]()[_0x14072f(0x1189)](_0x14072f(0x1d64))[_0x14072f(0x80f)]('The\x20voicemail\x20will\x20be\x20deleted.')[_0x14072f(0x4bd)](_0x14072f(0x24d7))['ok'](_0x14072f(0x25de))[_0x14072f(0x6c3)](_0x14072f(0xcf0))[_0x14072f(0x1f27)](_0x9f6ff1);_0x4bf709[_0x14072f(0x2615)](_0x323b26)[_0x14072f(0x146b)](function(){const _0x2c3d15=_0x14072f;_0x4fa6bd[_0x2c3d15(0xe8c)][_0x2c3d15(0x1fac)]({'id':_0x1c7f41[_0x2c3d15(0x356)]['id']})['$promise'][_0x2c3d15(0x146b)](function(){const _0x5cb2b3=_0x2c3d15;_0x3f65c0()['remove'](_0x1c7f41[_0x5cb2b3(0x28e7)],{'id':_0x1c7f41[_0x5cb2b3(0x356)]['id']}),_0x1fca0e['success']({'title':_0x5cb2b3(0x25bc),'msg':(_0x1c7f41[_0x5cb2b3(0x356)][_0x5cb2b3(0x19eb)]||_0x5cb2b3(0x356))+_0x5cb2b3(0x23e3)}),_0x593dd1(_0x1c7f41[_0x5cb2b3(0x356)]);})[_0x2c3d15(0x129e)](function(_0x119051){const _0x266078=_0x2c3d15;if(_0x119051[_0x266078(0x524)]&&_0x119051['data'][_0x266078(0xcef)]&&_0x119051[_0x266078(0x524)]['errors'][_0x266078(0x402)]){_0x1c7f41['errors']=_0x119051[_0x266078(0x524)][_0x266078(0xcef)]||[{'message':_0x119051[_0x266078(0xd5f)](),'type':_0x266078(0x28e0)}];for(let _0x358626=0x0;_0x358626<_0x119051[_0x266078(0x524)][_0x266078(0xcef)]['length'];_0x358626++){_0x1fca0e[_0x266078(0x1980)]({'title':_0x119051[_0x266078(0x524)][_0x266078(0xcef)][_0x358626][_0x266078(0x1142)],'msg':_0x119051['data'][_0x266078(0xcef)][_0x358626]['message']});}}else _0x1fca0e[_0x266078(0x1980)]({'title':_0x119051['status']?'API:'+_0x119051['status']+_0x266078(0x1315)+_0x119051['statusText']:_0x266078(0x28e0),'msg':_0x119051[_0x266078(0x524)]?JSON[_0x266078(0x10bb)](_0x119051[_0x266078(0x524)][_0x266078(0x7fd)]):_0x119051[_0x266078(0x7fd)]||_0x119051[_0x266078(0xd5f)]()});});},function(){});}function _0x338018(_0x538411){return _0x538411===null?undefined:new Date(_0x538411);}function _0x593dd1(_0x3fdc4f){_0x4bf709['hide'](_0x3fdc4f);}}const _0x19b629=_0x455155;;_0x4b844a[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),_0x313a4d(0x910),'$state','$q',_0x313a4d(0x214b),_0x313a4d(0x1abe),'$mdDialog','$document',_0x313a4d(0x1fe4),'api',_0x313a4d(0xa87)];function _0x4b844a(_0x3b3cad,_0x5e5503,_0x50ba6a,_0x307180,_0xe6e48b,_0x28a6c0,_0x2d22fd,_0x26b396,_0x5cb562,_0x2c7519,_0x2de9d8){const _0x4facfc=_0x313a4d,_0x12359e=this;_0x12359e[_0x4facfc(0x2321)]=_0x2de9d8['getCurrentUser'](),_0x12359e[_0x4facfc(0x356)]={},_0x12359e[_0x4facfc(0x149f)]={'count':0x0,'rows':[]},_0x12359e[_0x4facfc(0x20e8)]=[],_0x12359e[_0x4facfc(0x2514)],_0x12359e['query']={'fields':_0x4facfc(0x7bf),'sort':_0x4facfc(0x23c8),'limit':0xa,'page':0x1},_0x12359e[_0x4facfc(0x5aa)]=_0x20ad39,_0x12359e[_0x4facfc(0x19b4)]=_0x4c5f22,_0x12359e[_0x4facfc(0x45c)]=_0x12bfbf,_0x12359e[_0x4facfc(0x1c75)]=_0x1518cc,_0x12359e[_0x4facfc(0x45f)]=_0x38908c,_0x12359e[_0x4facfc(0x92a)]=_0x35717a,_0x12359e[_0x4facfc(0x952)]=_0x16acef,_0x12359e[_0x4facfc(0x1eea)]=_0x142956;function _0x20ad39(_0x26b883,_0x23d857){const _0x4a2f07=_0x4facfc;_0x12359e[_0x4a2f07(0x356)]=_0x26b883,_0x12359e[_0x4a2f07(0x2514)]=typeof _0x23d857!=='undefined'?_0x23d857:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x12359e['query']['id']=_0x12359e[_0x4a2f07(0x356)]['id'],_0x38908c();}function _0x12bfbf(_0x3c3bab,_0x3f73c5,_0x267c01){const _0x3f3f32=_0x4facfc;return _0x2c7519[_0x3f3f32(0x2f3)][_0x3f3f32(0x15b1)]({'id':_0x3c3bab['id'],'exists':!![],'attachments':_0x267c01})[_0x3f3f32(0x2945)][_0x3f3f32(0x146b)](function(_0x4fc91d){const _0x22ac8c=_0x3f3f32,_0x325246=[_0x4fc91d['buffer']];let _0x113d33=_0x22ac8c(0x7fd)+_0x3c3bab['id'];const _0x5c82d4=new Blob(_0x325246,{'type':_0x4fc91d[_0x22ac8c(0x1142)]});_0x113d33=_0x3c3bab[_0x22ac8c(0x1f1c)]+'.wav';const _0x45fbda=window['document'][_0x22ac8c(0x24ec)]('a');_0x45fbda[_0x22ac8c(0x1652)]('href',URL['createObjectURL'](_0x5c82d4)),_0x45fbda['setAttribute'](_0x22ac8c(0x15b1),_0x113d33),document[_0x22ac8c(0x2586)][_0x22ac8c(0x23e)](_0x45fbda),_0x45fbda[_0x22ac8c(0x1fa5)]();})['catch'](function(_0x5706a8){const _0x131399=_0x3f3f32;if(_0x5706a8['data']&&_0x5706a8[_0x131399(0x524)]['errors']&&_0x5706a8['data'][_0x131399(0xcef)]['length'])for(let _0x1c673b=0x0;_0x1c673b<_0x5706a8[_0x131399(0x524)]['errors']['length'];_0x1c673b+=0x1){_0x5cb562[_0x131399(0x1980)]({'title':_0x5706a8['data']['errors'][_0x1c673b]['type'],'msg':_0x5706a8[_0x131399(0x524)][_0x131399(0xcef)][_0x1c673b][_0x131399(0x7fd)]});}else _0x5cb562['error']({'title':_0x5706a8[_0x131399(0x107b)]?_0x131399(0x262a)+_0x5706a8['status']+_0x131399(0x1315)+_0x5706a8[_0x131399(0x167f)]:_0x131399(0x1e5),'msg':_0x5706a8['data']?JSON[_0x131399(0x10bb)](_0x5706a8[_0x131399(0x524)][_0x131399(0x7fd)]):_0x5706a8[_0x131399(0xd5f)]()});});}function _0x4c5f22(_0x1e862c,_0x29c3f4){const _0x3122cc=_0x4facfc,_0x9a15e0=_0x2d22fd[_0x3122cc(0x1e8a)]()[_0x3122cc(0x1189)](_0x3122cc(0x116b))[_0x3122cc(0x1cbe)](_0x3122cc(0x16d3)+(_0x1e862c['name']||_0x1e862c['id']&&_0x3f65c0()['upperFirst'](_0x3122cc(0xf3e))+_0x1e862c['id']||_0x3122cc(0x7fd))+_0x3122cc(0x252f)+_0x3122cc(0xe01))[_0x3122cc(0x4bd)]('delete\x20message')[_0x3122cc(0x1f27)](_0x29c3f4)['ok']('OK')[_0x3122cc(0x6c3)](_0x3122cc(0x39a));_0x2d22fd['show'](_0x9a15e0)[_0x3122cc(0x146b)](function(){_0x16acef(_0x1e862c);},function(){const _0x8c5f04=_0x3122cc;console['log'](_0x8c5f04(0x39a));});}function _0x1518cc(_0x2b13b2){const _0x2237d4=_0x4facfc;_0x12359e[_0x2237d4(0x149f)]=_0x2b13b2||{'count':0x0,'rows':[]};}function _0x38908c(){const _0x51e360=_0x4facfc;_0x12359e[_0x51e360(0x1a56)][_0x51e360(0x145d)]=(_0x12359e[_0x51e360(0x1a56)][_0x51e360(0x844)]-0x1)*_0x12359e[_0x51e360(0x1a56)]['limit'],_0x12359e['promise']=_0x2c7519[_0x51e360(0xe8c)][_0x51e360(0x1c0f)](_0x12359e['query'],_0x1518cc)[_0x51e360(0x2945)];}function _0x16acef(_0x85325){const _0x30d585=_0x4facfc;_0x2c7519[_0x30d585(0x2f3)][_0x30d585(0x1fac)]({'id':_0x85325['id']})[_0x30d585(0x2945)]['then'](function(){const _0x240212=_0x30d585;_0x3f65c0()['remove'](_0x12359e[_0x240212(0x149f)]['rows'],{'id':_0x85325['id']}),_0x12359e[_0x240212(0x149f)][_0x240212(0x51c)]-=0x1,!_0x12359e['voicemailMessages']['rows']['length']&&_0x38908c(),_0x5cb562[_0x240212(0x1c75)]({'title':_0x240212(0x3a1),'msg':_0x85325[_0x240212(0x19eb)]?_0x85325[_0x240212(0x19eb)]+_0x240212(0x23e3):''});})[_0x30d585(0x129e)](function(_0x5cdd89){const _0x5319e4=_0x30d585;if(_0x5cdd89[_0x5319e4(0x524)]&&_0x5cdd89['data']['errors']&&_0x5cdd89[_0x5319e4(0x524)]['errors'][_0x5319e4(0x402)]){_0x12359e[_0x5319e4(0xcef)]=_0x5cdd89['data']['errors']||[{'message':_0x5cdd89[_0x5319e4(0xd5f)](),'type':_0x5319e4(0x18db)}];for(let _0x39e448=0x0;_0x39e448<_0x5cdd89[_0x5319e4(0x524)][_0x5319e4(0xcef)][_0x5319e4(0x402)];_0x39e448++){_0x5cb562['error']({'title':_0x5cdd89['data'][_0x5319e4(0xcef)][_0x39e448][_0x5319e4(0x1142)],'msg':_0x5cdd89['data']['errors'][_0x39e448][_0x5319e4(0x7fd)]});}}else _0x5cb562[_0x5319e4(0x1980)]({'title':_0x5cdd89[_0x5319e4(0x107b)]?_0x5319e4(0x262a)+_0x5cdd89[_0x5319e4(0x107b)]+_0x5319e4(0x1315)+_0x5cdd89[_0x5319e4(0x167f)]:_0x5319e4(0x18db),'msg':_0x5cdd89[_0x5319e4(0x524)]?JSON['stringify'](_0x5cdd89[_0x5319e4(0x524)]['message']):_0x5cdd89[_0x5319e4(0x7fd)]||_0x5cdd89[_0x5319e4(0xd5f)]()});});}function _0x35717a(){const _0x45561f=_0x4facfc,_0x3d34cb=angular['copy'](_0x12359e[_0x45561f(0x20e8)]);return _0x12359e[_0x45561f(0x20e8)]=[],_0x3d34cb;}function _0x142956(_0x1f3548){const _0x4b46aa=_0x4facfc,_0xba2db2=_0x2d22fd['confirm']()[_0x4b46aa(0x1189)](_0x4b46aa(0x1fc4))[_0x4b46aa(0x1cbe)](_0x4b46aa(0x16d3)+_0x12359e[_0x4b46aa(0x20e8)][_0x4b46aa(0x402)]+_0x4b46aa(0x2452)+'\x20will\x20be\x20deleted.')['ariaLabel'](_0x4b46aa(0x1bf9))['targetEvent'](_0x1f3548)['ok']('OK')[_0x4b46aa(0x6c3)](_0x4b46aa(0x39a));_0x2d22fd[_0x4b46aa(0x2615)](_0xba2db2)['then'](function(){const _0x59d38a=_0x4b46aa;_0x12359e['selectedVoicemailMessages'][_0x59d38a(0x1df5)](function(_0x5787e2){_0x16acef(_0x5787e2);}),_0x12359e['selectedVoicemailMessages']=[];});}let _0x13eb11=!![],_0x121ccc=0x1;_0x5e5503[_0x4facfc(0x21e8)](_0x4facfc(0x117f),function(_0x4914e5,_0x439d91){const _0x414a17=_0x4facfc;_0x13eb11?_0x28a6c0(function(){_0x13eb11=![];}):(!_0x439d91&&(_0x121ccc=_0x12359e[_0x414a17(0x1a56)][_0x414a17(0x844)]),_0x4914e5!==_0x439d91&&(_0x12359e[_0x414a17(0x1a56)][_0x414a17(0x844)]=0x1),!_0x4914e5&&(_0x12359e[_0x414a17(0x1a56)][_0x414a17(0x844)]=_0x121ccc),_0x38908c());});}const _0x15f9c3=_0x4b844a;;_0x53eda3[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),'$location','$mdDialog',_0x313a4d(0x4d8),'$translate',_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x247f),'toasty',_0x313a4d(0xa87),'voicemail',_0x313a4d(0x1366)];function _0x53eda3(_0x469724,_0x1fac53,_0x19e566,_0x8a53a7,_0x51895f,_0x200687,_0x548aad,_0x53cab0,_0x1ef0b1,_0x5dfe0b,_0x27e353,_0x2b66c5){const _0x3611a6=_0x313a4d,_0x58b82f=this;_0x58b82f[_0x3611a6(0x2321)]=_0x5dfe0b[_0x3611a6(0xb12)](),_0x58b82f[_0x3611a6(0x2690)]=_0x200687,_0x58b82f['setting']=_0x548aad,_0x58b82f['passwordPattern']=_0x58b82f[_0x3611a6(0x15b9)][_0x3611a6(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x58b82f['location']=_0x1fac53[_0x3611a6(0x2414)]()+_0x3611a6(0xb0e)+_0x1fac53[_0x3611a6(0x148e)](),_0x58b82f[_0x3611a6(0x356)]=_0x27e353||_0x469724[_0x3611a6(0x16a)][_0x3611a6(0x356)]||{},_0x58b82f['userProfileSection']=_0x2b66c5&&_0x2b66c5['count']==0x1?_0x2b66c5[_0x3611a6(0x19c7)][0x0]:null,_0x58b82f['crudPermissions']=_0x5dfe0b[_0x3611a6(0xe60)](_0x58b82f['userProfileSection']?_0x58b82f[_0x3611a6(0x1366)][_0x3611a6(0x2514)]:null),_0x58b82f[_0x3611a6(0x855)]={},_0x58b82f[_0x3611a6(0x1b09)]=_0x469724[_0x3611a6(0x16a)][_0x3611a6(0x13a3)]||0x0,_0x58b82f[_0x3611a6(0x861)]=_0x1ef0b1[_0x3611a6(0x271e)],_0x58b82f[_0x3611a6(0x190e)]=_0x58b2aa,_0x58b82f['saveVoicemail']=_0x35c4e7,_0x5dfe0b['hasRole'](_0x3611a6(0x174b))?_0x53cab0['voiceContext'][_0x3611a6(0x16b4)]({'fields':_0x3611a6(0x7a7),'sort':'name'})[_0x3611a6(0x2945)][_0x3611a6(0x146b)](function(_0x5b08bf){const _0x4f0e2f=_0x3611a6;_0x58b82f[_0x4f0e2f(0xfe9)]=_0x5b08bf[_0x4f0e2f(0x19c7)]||[];})[_0x3611a6(0x129e)](function(_0x1f1b5b){const _0x471525=_0x3611a6;_0x1ef0b1['error']({'title':_0x1f1b5b['status']?_0x471525(0x262a)+_0x1f1b5b[_0x471525(0x107b)]+_0x471525(0x1315)+_0x1f1b5b[_0x471525(0x167f)]:'SYSTEM:GET_CONTEXTS','msg':_0x1f1b5b[_0x471525(0x524)]?JSON[_0x471525(0x10bb)](_0x1f1b5b[_0x471525(0x524)]):_0x1f1b5b[_0x471525(0xd5f)]()});}):_0x53cab0[_0x3611a6(0x1b82)][_0x3611a6(0x16b4)]({'fields':_0x3611a6(0x7a7),'sort':_0x3611a6(0x19eb)})[_0x3611a6(0x2945)][_0x3611a6(0x146b)](function(_0x21eed3){const _0x1ea50d=_0x3611a6;_0x58b82f[_0x1ea50d(0xfe9)]=_0x21eed3[_0x1ea50d(0x19c7)]||[];})['then'](function(){const _0x430763=_0x3611a6;return _0x53cab0['userProfileSection'][_0x430763(0x16b4)]({'userProfileId':_0x58b82f['currentUser'][_0x430763(0x209a)],'sectionId':0x195})[_0x430763(0x2945)];})[_0x3611a6(0x146b)](function(_0x25606b){const _0x3cbbef=_0x3611a6,_0xe48f5e=_0x25606b&&_0x25606b[_0x3cbbef(0x19c7)]?_0x25606b[_0x3cbbef(0x19c7)][0x0]:null;if(!_0xe48f5e)return _0x53cab0[_0x3cbbef(0x1b82)]['get']({'fields':_0x3cbbef(0x7a7),'sort':'name','defaultEntry':0x1})['$promise'][_0x3cbbef(0x146b)](function(_0x5141b7){const _0x5a4e87=_0x3cbbef;_0x58b82f[_0x5a4e87(0xfe9)]=_0x5141b7['rows']||[];});else{if(!_0xe48f5e[_0x3cbbef(0x11d2)])return _0x53cab0[_0x3cbbef(0xdcc)][_0x3cbbef(0x16b4)]({'sectionId':_0xe48f5e['id']})[_0x3cbbef(0x2945)]['then'](function(_0x338b0b){const _0x4afbde=_0x3cbbef,_0x807e00=_0x3f65c0()[_0x4afbde(0x205)](_0x338b0b[_0x4afbde(0x19c7)],function(_0x5330e1){const _0x2ba6b8=_0x4afbde;return _0x3f65c0()[_0x2ba6b8(0xc84)](_0x58b82f[_0x2ba6b8(0xfe9)],{'id':_0x5330e1['resourceId']});});let _0x56dfdc=null;_0x58b82f['voicemail']&&(_0x56dfdc=_0x3f65c0()[_0x4afbde(0xc84)](_0x58b82f['contexts'],{'name':_0x58b82f[_0x4afbde(0x356)]['context']}));if(_0x56dfdc&&!_0x3f65c0()[_0x4afbde(0x1360)](_0x807e00,['id',_0x56dfdc['id']])){const _0x1c1c0a=_0x3f65c0()['find'](_0x58b82f[_0x4afbde(0xfe9)],{'id':_0x56dfdc['id']});_0x1c1c0a[_0x4afbde(0x8ff)]=![],_0x807e00['push'](_0x1c1c0a);}_0x58b82f[_0x4afbde(0xfe9)]=_0x807e00;});}})['catch'](function(_0x595ad5){const _0x5de3da=_0x3611a6;_0x1ef0b1[_0x5de3da(0x1980)]({'title':_0x595ad5[_0x5de3da(0x107b)]?'API:'+_0x595ad5[_0x5de3da(0x107b)]+_0x5de3da(0x1315)+_0x595ad5[_0x5de3da(0x167f)]:_0x5de3da(0x155e),'msg':_0x595ad5['data']?JSON[_0x5de3da(0x10bb)](_0x595ad5[_0x5de3da(0x524)]):_0x595ad5['toString']()});});function _0x58b2aa(){const _0x247483=_0x3611a6;_0x469724['go'](_0x247483(0x405),{},{'reload':_0x247483(0x405)});}function _0x35c4e7(){const _0x47a6aa=_0x3611a6;_0x53cab0[_0x47a6aa(0xe8c)][_0x47a6aa(0x18e1)]({'id':_0x58b82f[_0x47a6aa(0x356)]['id']},_0x58b82f['voicemail'])[_0x47a6aa(0x2945)][_0x47a6aa(0x146b)](function(){const _0x5c4c87=_0x47a6aa;_0x1ef0b1['success']({'title':_0x5c4c87(0x1c4a),'msg':_0x58b82f[_0x5c4c87(0x356)][_0x5c4c87(0x19eb)]?_0x58b82f[_0x5c4c87(0x356)][_0x5c4c87(0x19eb)]+_0x5c4c87(0x6b0):''});})[_0x47a6aa(0x129e)](function(_0x34cc14){const _0x2e6266=_0x47a6aa;_0x1ef0b1[_0x2e6266(0x1980)]({'title':_0x34cc14[_0x2e6266(0x107b)]?_0x2e6266(0x262a)+_0x34cc14['status']+_0x2e6266(0x1315)+_0x34cc14[_0x2e6266(0x167f)]:_0x2e6266(0x18db),'msg':_0x34cc14['data']?JSON[_0x2e6266(0x10bb)](_0x34cc14['data']):_0x34cc14[_0x2e6266(0xd5f)]()});});}}const _0x5b5f50=_0x53eda3;;const _0x5061bd=_0x4acfac['p']+_0x313a4d(0xec6);;_0x37e0ca[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x1aa9),_0x313a4d(0x1862),'$mdSidenav','$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0x214b),_0x313a4d(0x28e7),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),'msUtils',_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x37e0ca(_0x3a558f,_0x3275dc,_0x13bb46,_0x4cba3c,_0x58d0bd,_0x53c253,_0x163968,_0x152dd2,_0x4b9615,_0xb4c862,_0x4f0574,_0x204d12,_0x2de93a,_0x180252,_0x622388,_0x2660b8,_0x3894e3){const _0x1dab2c=_0x313a4d,_0x17c7d0=this;_0x17c7d0[_0x1dab2c(0x2690)]=_0x2660b8,_0x17c7d0[_0x1dab2c(0x15b9)]=_0x3894e3,_0x17c7d0['currentUser']=_0x622388[_0x1dab2c(0xb12)](),_0x17c7d0[_0x1dab2c(0x28e7)]=_0x4b9615||{'count':0x0,'rows':[]},_0x17c7d0[_0x1dab2c(0x26b6)]=_0xb4c862,_0x17c7d0['userProfileSection']=_0x4f0574&&_0x4f0574[_0x1dab2c(0x51c)]==0x1?_0x4f0574[_0x1dab2c(0x19c7)][0x0]:null,_0x17c7d0[_0x1dab2c(0x2514)]=_0x622388[_0x1dab2c(0xe60)](_0x17c7d0['userProfileSection']?_0x17c7d0[_0x1dab2c(0x1366)][_0x1dab2c(0x2514)]:null),_0x17c7d0[_0x1dab2c(0x768)]=_0x1dab2c(0x28e7),_0x17c7d0[_0x1dab2c(0x216a)]='',_0x17c7d0['listOrderAsc']=null,_0x17c7d0[_0x1dab2c(0xcc2)]=[],_0x17c7d0['query']={'fields':_0x1dab2c(0x1e06),'sort':_0x1dab2c(0x12f2),'limit':0xa,'page':0x1},_0x17c7d0['arrayattach']=_0x3f65c0()[_0x1dab2c(0x194)]([{'option':_0x1dab2c(0x1b97),'value':_0x1dab2c(0x2339)},{'option':'No','value':_0x1dab2c(0x74f)}],function(_0x156f76){const _0x55c386=_0x1dab2c;return _0x3f65c0()[_0x55c386(0x5f4)](_0x156f76[_0x55c386(0x175d)],new RegExp('\x27','g'),'');}),_0x17c7d0[_0x1dab2c(0x1ed6)]=_0x3f65c0()[_0x1dab2c(0x194)]([{'option':_0x1dab2c(0x1b97),'value':'\x27yes\x27'},{'option':'No','value':'\x27no\x27'}],function(_0x3ec4df){const _0x24a9c0=_0x1dab2c;return _0x3f65c0()[_0x24a9c0(0x5f4)](_0x3ec4df[_0x24a9c0(0x175d)],new RegExp('\x27','g'),'');}),_0x17c7d0[_0x1dab2c(0x15aa)]=_0x3f65c0()[_0x1dab2c(0x194)]([{'option':'Yes','value':_0x1dab2c(0x2339)},{'option':'No','value':_0x1dab2c(0x74f)}],function(_0x1ab845){const _0x58559b=_0x1dab2c;return _0x3f65c0()[_0x58559b(0x5f4)](_0x1ab845[_0x58559b(0x175d)],new RegExp('\x27','g'),'');}),_0x17c7d0[_0x1dab2c(0x23f9)]=_0x290134,_0x17c7d0[_0x1dab2c(0xf0a)]=_0x31e83e,_0x17c7d0['success']=_0x2aaabf,_0x17c7d0[_0x1dab2c(0x12c7)]=_0xd83d16,_0x17c7d0['createOrEditVoicemail']=_0x5c0872,_0x17c7d0[_0x1dab2c(0x741)]=_0x3b7d36,_0x17c7d0['exportSelectedVoicemails']=_0x23ffb0,_0x17c7d0[_0x1dab2c(0xd3d)]=_0x3cdf4c,_0x17c7d0[_0x1dab2c(0x1e55)]=_0xa2ebbe,_0x17c7d0['selectAllVoicemails']=_0x461ee9;function _0x290134(_0x12764f){const _0x483451=_0x1dab2c;_0x13bb46['go'](_0x483451(0x1e71),{'id':_0x12764f['id'],'voicemail':_0x12764f,'crudPermissions':_0x17c7d0[_0x483451(0x2514)]});}function _0x31e83e(_0x29a6ff,_0xf9b532){const _0x44ad7b=_0x1dab2c,_0x1a699e=_0x58d0bd[_0x44ad7b(0x1e8a)]()[_0x44ad7b(0x1189)](_0x44ad7b(0xdb2)+_0x3f65c0()[_0x44ad7b(0x20d1)]('voicemail')+'?')['htmlContent'](_0x44ad7b(0x16d3)+(_0x29a6ff[_0x44ad7b(0x19eb)]||_0x44ad7b(0x356))+_0x44ad7b(0x252f)+_0x44ad7b(0xe01))[_0x44ad7b(0x4bd)]('delete\x20voicemail')[_0x44ad7b(0x1f27)](_0xf9b532)['ok']('OK')[_0x44ad7b(0x6c3)](_0x44ad7b(0x39a));_0x58d0bd[_0x44ad7b(0x2615)](_0x1a699e)[_0x44ad7b(0x146b)](function(){_0x3b7d36(_0x29a6ff);},function(){const _0x5d9878=_0x44ad7b;console[_0x5d9878(0x1a74)](_0x5d9878(0x39a));});}let _0x494724=!![],_0x52b8fa=0x1;_0x3a558f[_0x1dab2c(0x21e8)](_0x1dab2c(0x2669),function(_0x3ca623,_0x21b930){const _0x49b916=_0x1dab2c;_0x494724?_0x163968(function(){_0x494724=![];}):(!_0x21b930&&(_0x52b8fa=_0x17c7d0[_0x49b916(0x1a56)][_0x49b916(0x844)]),_0x3ca623!==_0x21b930&&(_0x17c7d0[_0x49b916(0x1a56)][_0x49b916(0x844)]=0x1),!_0x3ca623&&(_0x17c7d0[_0x49b916(0x1a56)]['page']=_0x52b8fa),_0x17c7d0[_0x49b916(0x12c7)]());});function _0x2aaabf(_0x23c3ac){const _0x4b1bc6=_0x1dab2c;_0x17c7d0[_0x4b1bc6(0x28e7)]=_0x23c3ac||{'count':0x0,'rows':[]};}function _0xd83d16(){const _0x5866d2=_0x1dab2c;_0x17c7d0['query'][_0x5866d2(0x145d)]=(_0x17c7d0[_0x5866d2(0x1a56)][_0x5866d2(0x844)]-0x1)*_0x17c7d0[_0x5866d2(0x1a56)][_0x5866d2(0x221e)],_0x622388['hasRole'](_0x5866d2(0x174b))?_0x17c7d0[_0x5866d2(0xb9c)]=_0x204d12[_0x5866d2(0xe8c)]['get'](_0x17c7d0[_0x5866d2(0x1a56)],_0x2aaabf)[_0x5866d2(0x2945)]:(_0x17c7d0['query']['id']=_0x17c7d0[_0x5866d2(0x26b6)]['id'],_0x17c7d0[_0x5866d2(0x1a56)]['section']='Voicemails',_0x17c7d0['promise']=_0x204d12[_0x5866d2(0x26b6)][_0x5866d2(0x158f)](_0x17c7d0['query'],_0x2aaabf)[_0x5866d2(0x2945)]);}function _0x5c0872(_0x1678f8,_0x1ed769){const _0x3b79cb=_0x1dab2c;_0x58d0bd['show']({'controller':'CreateOrEditVoicemailDialogController','controllerAs':'vm','templateUrl':_0x5061bd,'parent':angular[_0x3b79cb(0x1853)](_0x53c253[_0x3b79cb(0x2586)]),'targetEvent':_0x1678f8,'clickOutsideToClose':!![],'locals':{'voicemail':_0x1ed769,'voicemails':_0x17c7d0[_0x3b79cb(0x28e7)][_0x3b79cb(0x19c7)],'license':_0x17c7d0[_0x3b79cb(0x2690)],'setting':_0x17c7d0[_0x3b79cb(0x15b9)],'crudPermissions':_0x17c7d0[_0x3b79cb(0x2514)]}});}function _0x3b7d36(_0xa1d39f){const _0x1f8acc=_0x1dab2c;_0x204d12[_0x1f8acc(0xe8c)][_0x1f8acc(0x1fac)]({'id':_0xa1d39f['id']})[_0x1f8acc(0x2945)][_0x1f8acc(0x146b)](function(){const _0xa671a6=_0x1f8acc;_0x3f65c0()[_0xa671a6(0x2640)](_0x17c7d0[_0xa671a6(0x28e7)][_0xa671a6(0x19c7)],{'id':_0xa1d39f['id']}),_0x17c7d0['voicemails']['count']-=0x1,!_0x17c7d0['voicemails']['rows'][_0xa671a6(0x402)]&&_0x17c7d0[_0xa671a6(0x12c7)](),_0x180252[_0xa671a6(0x1c75)]({'title':_0x3f65c0()[_0xa671a6(0x20d1)]('Voicemail')+_0xa671a6(0x201c),'msg':_0xa1d39f['name']?_0xa1d39f[_0xa671a6(0x19eb)]+_0xa671a6(0x23e3):''});})[_0x1f8acc(0x129e)](function(_0x2d0a2f){const _0x250d36=_0x1f8acc;if(_0x2d0a2f[_0x250d36(0x524)]&&_0x2d0a2f[_0x250d36(0x524)]['errors']&&_0x2d0a2f['data'][_0x250d36(0xcef)]['length']){_0x17c7d0['errors']=_0x2d0a2f[_0x250d36(0x524)][_0x250d36(0xcef)]||[{'message':_0x2d0a2f[_0x250d36(0xd5f)](),'type':_0x250d36(0x440)}];for(let _0xd76759=0x0;_0xd76759<_0x2d0a2f[_0x250d36(0x524)]['errors'][_0x250d36(0x402)];_0xd76759++){_0x180252[_0x250d36(0x1980)]({'title':_0x2d0a2f[_0x250d36(0x524)][_0x250d36(0xcef)][_0xd76759][_0x250d36(0x1142)],'msg':_0x2d0a2f['data'][_0x250d36(0xcef)][_0xd76759][_0x250d36(0x7fd)]});}}else _0x180252[_0x250d36(0x1980)]({'title':_0x2d0a2f[_0x250d36(0x107b)]?_0x250d36(0x262a)+_0x2d0a2f[_0x250d36(0x107b)]+_0x250d36(0x1315)+_0x2d0a2f[_0x250d36(0x167f)]:'SYSTEM:DELETEvoiceMail','msg':_0x2d0a2f['data']?JSON['stringify'](_0x2d0a2f['data'][_0x250d36(0x7fd)]):_0x2d0a2f[_0x250d36(0x7fd)]||_0x2d0a2f[_0x250d36(0xd5f)]()});});}function _0x23ffb0(){const _0xffbddc=_0x1dab2c,_0x530f27=angular['copy'](_0x17c7d0['selectedVoicemails']);return _0x17c7d0[_0xffbddc(0xcc2)]=[],_0x530f27;}function _0x3cdf4c(_0x3e077d){const _0x41480b=_0x1dab2c,_0x266ce3=_0x58d0bd[_0x41480b(0x1e8a)]()[_0x41480b(0x1189)](_0x41480b(0x8be))[_0x41480b(0x1cbe)](_0x41480b(0x16d3)+_0x17c7d0['selectedVoicemails']['length']+'\x20selected'+_0x41480b(0xe01))[_0x41480b(0x4bd)]('delete\x20Voicemails')[_0x41480b(0x1f27)](_0x3e077d)['ok']('OK')[_0x41480b(0x6c3)]('CANCEL');_0x58d0bd[_0x41480b(0x2615)](_0x266ce3)[_0x41480b(0x146b)](function(){const _0x441cb3=_0x41480b;_0x17c7d0['selectedVoicemails'][_0x441cb3(0x1df5)](function(_0x33cce6){_0x3b7d36(_0x33cce6);}),_0x17c7d0[_0x441cb3(0xcc2)]=[];});}function _0xa2ebbe(){_0x17c7d0['selectedVoicemails']=[];}function _0x461ee9(){const _0x50513f=_0x1dab2c;_0x17c7d0['selectedVoicemails']=_0x17c7d0[_0x50513f(0x28e7)]['rows'];}}const _0x2db959=_0x37e0ca;;_0x2eecff[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x1848),_0x313a4d(0xc0f),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x2eecff(_0x2e35ef,_0x7d3c1f,_0x10dff8,_0x2cf29d,_0x5495cd,_0x3d8c39,_0x1fc1cd,_0x247a58,_0x3b4775,_0x137ad1,_0xd812a9,_0x567dfe,_0x40b2b3,_0x29de56){const _0x37415d=_0x313a4d,_0x29b6d0=this;_0x29b6d0[_0x37415d(0x2321)]=_0xd812a9[_0x37415d(0xb12)](),_0x29b6d0[_0x37415d(0xcef)]=[],_0x29b6d0['setting']=_0x40b2b3,_0x29b6d0['license']=_0x567dfe,_0x29b6d0[_0x37415d(0x2514)]=_0x29de56,_0x29b6d0[_0x37415d(0x855)]={},_0x29b6d0['passwordPattern']=_0x29b6d0[_0x37415d(0x15b9)]&&_0x29b6d0[_0x37415d(0x15b9)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x29b6d0['title']=_0x37415d(0x256),_0x29b6d0['voicePrefix']=angular[_0x37415d(0x235a)](_0x3b4775),_0x29b6d0[_0x37415d(0x1848)]=_0x247a58,_0x29b6d0[_0x37415d(0x202f)]=![];!_0x29b6d0[_0x37415d(0xc0f)]&&(_0x29b6d0['voicePrefix']={},_0x29b6d0['title']=_0x37415d(0x1e84),_0x29b6d0['newvoicePrefix']=!![]);_0x29b6d0[_0x37415d(0x1bc3)]=_0x1e475a,_0x29b6d0['savevoicePrefix']=_0x437b02,_0x29b6d0[_0x37415d(0x665)]=_0x15c77a,_0x29b6d0[_0x37415d(0xe73)]=_0x424602,_0x29b6d0[_0x37415d(0x13f3)]=_0x184949,_0xd812a9[_0x37415d(0x23e0)](_0x37415d(0x174b))?_0x137ad1[_0x37415d(0xd47)][_0x37415d(0x16b4)]({'fields':_0x37415d(0xd36),'type':_0x37415d(0xc9c),'VoiceExtensionId':_0x37415d(0x203c),'nolimit':_0x37415d(0x1185)})['$promise'][_0x37415d(0x146b)](function(_0x2bb6ff){const _0x3cd408=_0x37415d;_0x29b6d0[_0x3cd408(0x966)]=_0x2bb6ff[_0x3cd408(0x19c7)]||[];})[_0x37415d(0x129e)](function(_0x32d4ca){const _0x1a8392=_0x37415d;_0x1fc1cd[_0x1a8392(0x1980)]({'title':_0x32d4ca[_0x1a8392(0x107b)]?_0x1a8392(0x262a)+_0x32d4ca['status']+_0x1a8392(0x1315)+_0x32d4ca[_0x1a8392(0x167f)]:'SYSTEM:GET_OUTBOUNDROUTES','msg':_0x32d4ca[_0x1a8392(0x524)]?JSON['stringify'](_0x32d4ca[_0x1a8392(0x524)]):_0x32d4ca[_0x1a8392(0xd5f)]()});}):_0x137ad1[_0x37415d(0xd47)]['get']({'fields':'id,exten','type':_0x37415d(0xc9c),'VoiceExtensionId':_0x37415d(0x203c),'nolimit':_0x37415d(0x1185)})[_0x37415d(0x2945)][_0x37415d(0x146b)](function(_0x23c812){const _0x4f5dce=_0x37415d;_0x29b6d0[_0x4f5dce(0x966)]=_0x23c812['rows']||[];})[_0x37415d(0x146b)](function(){const _0x50701e=_0x37415d;return _0x137ad1[_0x50701e(0x1366)][_0x50701e(0x16b4)]({'userProfileId':_0x29b6d0[_0x50701e(0x2321)][_0x50701e(0x209a)],'sectionId':0x193})[_0x50701e(0x2945)];})[_0x37415d(0x146b)](function(_0x51ebf8){const _0x246432=_0x37415d,_0x4612e3=_0x51ebf8&&_0x51ebf8[_0x246432(0x19c7)]?_0x51ebf8['rows'][0x0]:null;if(!_0x4612e3){const _0x841555=[];let _0x5d0e3e=null;_0x29b6d0[_0x246432(0xc0f)]&&(_0x5d0e3e=_0x3f65c0()['find'](_0x29b6d0['outboundRoutes'],{'id':Number(_0x29b6d0[_0x246432(0xc0f)][_0x246432(0x1f3f)])}));for(let _0x4c565d=0x0;_0x4c565d<_0x29b6d0['outboundRoutes'][_0x246432(0x402)];_0x4c565d++){_0x5d0e3e&&_0x29b6d0[_0x246432(0x966)][_0x4c565d]['id']===_0x5d0e3e['id']&&(_0x29b6d0['outboundRoutes'][_0x4c565d]['canSelect']=![],_0x841555[_0x246432(0x1f47)](_0x29b6d0['outboundRoutes'][_0x4c565d]));}_0x29b6d0[_0x246432(0x966)]=_0x841555;}else{if(!_0x4612e3[_0x246432(0x11d2)])return _0x137ad1[_0x246432(0xdcc)][_0x246432(0x16b4)]({'sectionId':_0x4612e3['id']})['$promise'][_0x246432(0x146b)](function(_0x120058){const _0x95562b=_0x246432,_0x2f2c35=_0x3f65c0()[_0x95562b(0x205)](_0x120058[_0x95562b(0x19c7)],function(_0x4a6a11){const _0x8158c3=_0x95562b;return _0x3f65c0()[_0x8158c3(0xc84)](_0x29b6d0[_0x8158c3(0x966)],{'id':_0x4a6a11[_0x8158c3(0x18b8)]});});let _0x390b6c=null;_0x29b6d0[_0x95562b(0xc0f)]&&(_0x390b6c=_0x3f65c0()[_0x95562b(0xc84)](_0x29b6d0[_0x95562b(0x966)],{'id':Number(_0x29b6d0['voicePrefix'][_0x95562b(0x1f3f)])}));if(_0x390b6c&&!_0x3f65c0()[_0x95562b(0x1360)](_0x2f2c35,['id',_0x390b6c['id']])){const _0x12b5b1=_0x3f65c0()[_0x95562b(0xc84)](_0x29b6d0[_0x95562b(0x966)],{'id':_0x390b6c['id']});_0x12b5b1['canSelect']=![],_0x2f2c35['push'](_0x12b5b1);}_0x29b6d0[_0x95562b(0x966)]=_0x2f2c35;});}})[_0x37415d(0x129e)](function(_0x450028){const _0x43e046=_0x37415d;_0x1fc1cd[_0x43e046(0x1980)]({'title':_0x450028[_0x43e046(0x107b)]?_0x43e046(0x262a)+_0x450028[_0x43e046(0x107b)]+_0x43e046(0x1315)+_0x450028[_0x43e046(0x167f)]:_0x43e046(0x1850),'msg':_0x450028['data']?JSON[_0x43e046(0x10bb)](_0x450028[_0x43e046(0x524)]):_0x450028['toString']()});});function _0x1e475a(){const _0x1c604d=_0x37415d;_0x29b6d0[_0x1c604d(0xcef)]=[],_0x137ad1[_0x1c604d(0xc0f)][_0x1c604d(0x1e3)](_0x29b6d0['voicePrefix'])[_0x1c604d(0x2945)]['then'](function(_0x46629c){const _0x554585=_0x1c604d;_0x29b6d0[_0x554585(0x1848)][_0x554585(0xb3d)](_0x46629c['toJSON']()),_0x1fc1cd['success']({'title':'voicePrefix\x20properly\x20created','msg':_0x29b6d0['voicePrefix'][_0x554585(0x19eb)]?_0x29b6d0[_0x554585(0xc0f)][_0x554585(0x19eb)]+'\x20has\x20been\x20created!':''}),_0x184949(_0x46629c);})['catch'](function(_0x24f42a){const _0x1cd029=_0x1c604d;if(_0x24f42a['data']&&_0x24f42a[_0x1cd029(0x524)][_0x1cd029(0xcef)]&&_0x24f42a['data'][_0x1cd029(0xcef)]['length']){_0x29b6d0[_0x1cd029(0xcef)]=_0x24f42a[_0x1cd029(0x524)][_0x1cd029(0xcef)]||[{'message':_0x24f42a['toString'](),'type':_0x1cd029(0xad7)}];for(let _0x40d9fe=0x0;_0x40d9fe<_0x24f42a[_0x1cd029(0x524)][_0x1cd029(0xcef)][_0x1cd029(0x402)];_0x40d9fe+=0x1){_0x1fc1cd[_0x1cd029(0x1980)]({'title':_0x24f42a['data'][_0x1cd029(0xcef)][_0x40d9fe][_0x1cd029(0x1142)],'msg':_0x24f42a[_0x1cd029(0x524)][_0x1cd029(0xcef)][_0x40d9fe][_0x1cd029(0x7fd)]});}}else _0x1fc1cd[_0x1cd029(0x1980)]({'title':_0x24f42a['status']?_0x1cd029(0x262a)+_0x24f42a['status']+_0x1cd029(0x1315)+_0x24f42a[_0x1cd029(0x167f)]:_0x1cd029(0xad7),'msg':_0x24f42a[_0x1cd029(0x524)]?JSON[_0x1cd029(0x10bb)](_0x24f42a[_0x1cd029(0x524)][_0x1cd029(0x7fd)]):_0x24f42a[_0x1cd029(0xd5f)]()});});}function _0x437b02(){const _0x3e5172=_0x37415d;_0x29b6d0[_0x3e5172(0xcef)]=[],_0x137ad1['voicePrefix'][_0x3e5172(0x18e1)]({'id':_0x29b6d0[_0x3e5172(0xc0f)]['id']},_0x29b6d0[_0x3e5172(0xc0f)])[_0x3e5172(0x2945)][_0x3e5172(0x146b)](function(_0x1d8fd3){const _0xa907ef=_0x3e5172,_0x2ce233=_0x3f65c0()['find'](_0x29b6d0[_0xa907ef(0x1848)],{'id':_0x1d8fd3['id']});_0x2ce233&&_0x3f65c0()[_0xa907ef(0x168d)](_0x2ce233,_0x3f65c0()['pick'](_0x1d8fd3[_0xa907ef(0x2488)](),_0x3f65c0()[_0xa907ef(0x627)](_0x2ce233))),_0x1fc1cd[_0xa907ef(0x1c75)]({'title':_0xa907ef(0x21d7),'msg':_0x29b6d0[_0xa907ef(0xc0f)][_0xa907ef(0x19eb)]?_0x29b6d0[_0xa907ef(0xc0f)]['name']+'\x20has\x20been\x20saved!':''}),_0x184949(_0x1d8fd3);})['catch'](function(_0x11a530){const _0x39ba6f=_0x3e5172;if(_0x11a530[_0x39ba6f(0x524)]&&_0x11a530[_0x39ba6f(0x524)][_0x39ba6f(0xcef)]&&_0x11a530[_0x39ba6f(0x524)][_0x39ba6f(0xcef)][_0x39ba6f(0x402)]){_0x29b6d0['errors']=_0x11a530[_0x39ba6f(0x524)][_0x39ba6f(0xcef)]||[{'message':_0x11a530['toString'](),'type':'api.voicePrefix.update'}];for(let _0x548e87=0x0;_0x548e87<_0x11a530[_0x39ba6f(0x524)][_0x39ba6f(0xcef)][_0x39ba6f(0x402)];_0x548e87++){_0x1fc1cd[_0x39ba6f(0x1980)]({'title':_0x11a530[_0x39ba6f(0x524)][_0x39ba6f(0xcef)][_0x548e87]['type'],'msg':_0x11a530['data'][_0x39ba6f(0xcef)][_0x548e87][_0x39ba6f(0x7fd)]});}}else _0x1fc1cd[_0x39ba6f(0x1980)]({'title':_0x11a530[_0x39ba6f(0x107b)]?'API:'+_0x11a530[_0x39ba6f(0x107b)]+_0x39ba6f(0x1315)+_0x11a530[_0x39ba6f(0x167f)]:'api.voicePrefix.update','msg':_0x11a530['data']?JSON['stringify'](_0x11a530['data'][_0x39ba6f(0x7fd)]):_0x11a530[_0x39ba6f(0xd5f)]()});});}function _0x15c77a(_0x6cfa1e){const _0x354820=_0x37415d;_0x29b6d0[_0x354820(0xcef)]=[];const _0x4c2040=_0x2cf29d[_0x354820(0x1e8a)]()[_0x354820(0x1189)](_0x354820(0x1d64))[_0x354820(0x80f)]('The\x20voicePrefix\x20will\x20be\x20deleted.')['ariaLabel']('Delete\x20voicePrefix')['ok'](_0x354820(0x25de))[_0x354820(0x6c3)](_0x354820(0xcf0))[_0x354820(0x1f27)](_0x6cfa1e);_0x2cf29d[_0x354820(0x2615)](_0x4c2040)[_0x354820(0x146b)](function(){const _0x172bde=_0x354820;_0x137ad1['voicePrefix'][_0x172bde(0x1fac)]({'id':_0x29b6d0[_0x172bde(0xc0f)]['id']})['$promise'][_0x172bde(0x146b)](function(){const _0x3863fc=_0x172bde;_0x3f65c0()[_0x3863fc(0x2640)](_0x29b6d0[_0x3863fc(0x1848)],{'id':_0x29b6d0[_0x3863fc(0xc0f)]['id']}),_0x1fc1cd[_0x3863fc(0x1c75)]({'title':_0x3863fc(0x84a),'msg':(_0x29b6d0[_0x3863fc(0xc0f)][_0x3863fc(0x19eb)]||_0x3863fc(0xc0f))+_0x3863fc(0x23e3)}),_0x184949(_0x29b6d0[_0x3863fc(0xc0f)]);})[_0x172bde(0x129e)](function(_0x46d9fb){const _0x3ca16f=_0x172bde;if(_0x46d9fb[_0x3ca16f(0x524)]&&_0x46d9fb[_0x3ca16f(0x524)][_0x3ca16f(0xcef)]&&_0x46d9fb[_0x3ca16f(0x524)][_0x3ca16f(0xcef)][_0x3ca16f(0x402)]){_0x29b6d0['errors']=_0x46d9fb[_0x3ca16f(0x524)][_0x3ca16f(0xcef)]||[{'message':_0x46d9fb[_0x3ca16f(0xd5f)](),'type':_0x3ca16f(0x239d)}];for(let _0x592c86=0x0;_0x592c86<_0x46d9fb[_0x3ca16f(0x524)][_0x3ca16f(0xcef)]['length'];_0x592c86++){_0x1fc1cd[_0x3ca16f(0x1980)]({'title':_0x46d9fb['data'][_0x3ca16f(0xcef)][_0x592c86][_0x3ca16f(0x1142)],'msg':_0x46d9fb[_0x3ca16f(0x524)]['errors'][_0x592c86][_0x3ca16f(0x7fd)]});}}else _0x1fc1cd[_0x3ca16f(0x1980)]({'title':_0x46d9fb[_0x3ca16f(0x107b)]?_0x3ca16f(0x262a)+_0x46d9fb[_0x3ca16f(0x107b)]+'\x20-\x20'+_0x46d9fb[_0x3ca16f(0x167f)]:_0x3ca16f(0x239d),'msg':_0x46d9fb[_0x3ca16f(0x524)]?JSON['stringify'](_0x46d9fb['data']['message']):_0x46d9fb[_0x3ca16f(0x7fd)]||_0x46d9fb[_0x3ca16f(0xd5f)]()});});},function(){});}function _0x424602(_0x2a19d5){return _0x2a19d5===null?undefined:new Date(_0x2a19d5);}function _0x184949(_0x51e00f){_0x2cf29d['hide'](_0x51e00f);}}const _0x48dc6f=_0x2eecff;;_0x443976[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),'api',_0x313a4d(0xc0f),'voicePrefixes',_0x313a4d(0xfc4),_0x313a4d(0x214b),'Auth',_0x313a4d(0x2514)];function _0x443976(_0x243f8c,_0x26767e,_0xbdfdd3,_0x4c19aa,_0x2594bc,_0x366e4b,_0x4b47ef,_0x5a1cc9,_0x271e88,_0x19368e){const _0x544286=_0x313a4d,_0x1f0e1d=this;_0x1f0e1d[_0x544286(0x2321)]=_0x271e88[_0x544286(0xb12)](),_0x1f0e1d['voicePrefix']=_0x2594bc,_0x1f0e1d[_0x544286(0x2514)]=_0x19368e,_0x1f0e1d[_0x544286(0xfc4)]=_0x4b47ef,_0x1f0e1d['items']=[],_0x1f0e1d[_0x544286(0xc4e)]=[],_0x1f0e1d[_0x544286(0x1ecf)]=[],_0x1f0e1d[_0x544286(0x2e8)]=[],_0x1f0e1d['startingSelectedItems']=[],_0x1f0e1d['pendingChanges']=![],_0x1f0e1d[_0x544286(0x3d4)]=_0x180060,_0x1f0e1d[_0x544286(0x14c2)]=_0x384b9a,_0x1f0e1d[_0x544286(0x13f3)]=_0x1b5462,_0x1f0e1d[_0x544286(0x1f8a)]={'readOnly':!_0x1f0e1d[_0x544286(0x2514)][_0x544286(0x15f4)],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x544286(0x19eb),'line1':'fullname','line2':[_0x544286(0x19eb),_0x544286(0x113f)],'line3':'','labelAll':_0x5a1cc9[_0x544286(0xde)](_0x544286(0x2050)),'labelSelected':_0x5a1cc9['instant'](_0x544286(0xf73)),'transferCallback':function(){const _0x591da7=_0x544286,_0x1b4fa5=_0x3f65c0()[_0x591da7(0x1883)](_0x1f0e1d['startingSelectedItems'],_0x1f0e1d['selectedItems'],'id');_0x1f0e1d[_0x591da7(0x132)]=_0x3f65c0()[_0x591da7(0x2635)](_0x1b4fa5)?![]:!![];}};function _0x180060(){const _0x30cb0e=_0x544286;return _0x271e88['hasRole']('admin')?_0x3e8545()[_0x30cb0e(0x129e)](function(_0x50b70b){const _0x56490c=_0x30cb0e;_0xbdfdd3[_0x56490c(0x1980)]({'title':_0x50b70b[_0x56490c(0x107b)]?_0x56490c(0x262a)+_0x50b70b['status']+_0x56490c(0x1315)+_0x50b70b[_0x56490c(0x167f)]:_0x56490c(0x557),'msg':_0x50b70b['status']?JSON['stringify'](_0x50b70b['data']):_0x50b70b['toString']()});}):_0x442a84()[_0x30cb0e(0x146b)](function(_0x12d75){const _0x457420=_0x30cb0e;return _0x1f0e1d[_0x457420(0x2146)]=_0x12d75,_0x3e8545();})[_0x30cb0e(0x129e)](function(_0x3977fc){const _0x329c75=_0x30cb0e;_0xbdfdd3['error']({'title':_0x3977fc[_0x329c75(0x107b)]?'API:'+_0x3977fc[_0x329c75(0x107b)]+_0x329c75(0x1315)+_0x3977fc[_0x329c75(0x167f)]:_0x329c75(0x557),'msg':_0x3977fc[_0x329c75(0x107b)]?JSON['stringify'](_0x3977fc[_0x329c75(0x524)]):_0x3977fc['toString']()});});}function _0x442a84(){return _0x26767e(function(_0x3e0ecd,_0x4e27d7){const _0x1d311d=a0_0x3bb9;_0x4c19aa[_0x1d311d(0x1366)][_0x1d311d(0x16b4)]({'userProfileId':_0x1f0e1d[_0x1d311d(0x2321)]['userProfileId'],'name':_0x1d311d(0xca8)})[_0x1d311d(0x2945)][_0x1d311d(0x146b)](function(_0x547691){const _0x2e35f4=_0x1d311d,_0x5f4b97=_0x547691&&_0x547691['rows']?_0x547691[_0x2e35f4(0x19c7)][0x0]:null;_0x3e0ecd(_0x5f4b97);})[_0x1d311d(0x129e)](function(_0xa8f40e){_0x4e27d7(_0xa8f40e);});});}function _0x3e8545(){return _0x26767e(function(_0x50ddb4,_0x516147){const _0x2bef93=a0_0x3bb9;return _0x5a2223()[_0x2bef93(0x146b)](function(_0x3dc893){const _0x2875be=_0x2bef93;return _0x1f0e1d[_0x2875be(0x1fd6)]=_0x3dc893[_0x2875be(0x19c7)]?_0x3dc893[_0x2875be(0x19c7)]:[],_0x271e88[_0x2875be(0x23e0)](_0x2875be(0x174b))?_0x3dc893:_0x1f0e1d['section']?_0x1f0e1d[_0x2875be(0x2146)][_0x2875be(0x11d2)]?_0x3dc893:_0x3ec13a():null;})[_0x2bef93(0x146b)](function(_0x40e0b2){const _0x4a0fc8=_0x2bef93,_0x52f2c3=_0x40e0b2&&_0x40e0b2['rows']?_0x40e0b2[_0x4a0fc8(0x19c7)]:[];return _0x1f0e1d[_0x4a0fc8(0xc4e)]=_0x3f65c0()[_0x4a0fc8(0x205)](_0x52f2c3,function(_0x34f405){const _0x2fb96d=_0x4a0fc8;return _0x3f65c0()[_0x2fb96d(0xc84)](_0x1f0e1d['items'],{'id':_0x271e88['hasRole']('admin')||_0x1f0e1d['section'][_0x2fb96d(0x11d2)]?_0x34f405['id']:_0x34f405[_0x2fb96d(0x18b8)]});}),_0x1f0e1d[_0x4a0fc8(0x2e8)]=angular['copy'](_0x1f0e1d[_0x4a0fc8(0xc4e)]),_0x1f0e1d[_0x4a0fc8(0x1fd6)][_0x4a0fc8(0x1df5)](function(_0x15e6ee){const _0x17e068=_0x4a0fc8,_0x2658a2=_0x3f65c0()['find'](_0x1f0e1d[_0x17e068(0xc4e)],{'id':_0x15e6ee['id']});_0x271e88[_0x17e068(0x23e0)]('admin')?_0x15e6ee['isValid']=!![]:_0x15e6ee[_0x17e068(0x146f)]=typeof _0x2658a2!==_0x17e068(0x2274)?!![]:![];}),_0x5a6b40();})[_0x2bef93(0x146b)](function(_0x355ce9){const _0x2a350=_0x2bef93,_0xbc8f72=_0x355ce9&&_0x355ce9[_0x2a350(0x19c7)]?_0x355ce9[_0x2a350(0x19c7)]:[];_0x1f0e1d[_0x2a350(0x1ecf)]=_0x3f65c0()['map'](_0xbc8f72,function(_0x53d5ad){const _0x15d0c7=_0x2a350,_0x5e5552=_0x3f65c0()[_0x15d0c7(0xc84)](_0x1f0e1d['items'],{'id':_0x53d5ad['id']});return _0x5e5552[_0x15d0c7(0x188d)]=_0x53d5ad[_0x15d0c7(0x1acc)]?_0x15d0c7(0x2505)+_0x53d5ad[_0x15d0c7(0x1acc)]['penalty']:'',_0x5e5552[_0x15d0c7(0x113f)]=typeof _0x53d5ad[_0x15d0c7(0x113f)]!==_0x15d0c7(0x2274)?'<'+_0x53d5ad['internal']+'>':'',_0x5e5552;}),_0x1f0e1d[_0x2a350(0xa65)]=angular[_0x2a350(0x235a)](_0x1f0e1d[_0x2a350(0x1ecf)]),_0x1f0e1d['dualMultiselectOptions']['selectedItems']=_0x1f0e1d[_0x2a350(0x1ecf)],_0x1f0e1d[_0x2a350(0x1f8a)][_0x2a350(0x1fd6)]=_0x3f65c0()[_0x2a350(0x2796)](_0x1f0e1d[_0x2a350(0xc4e)],_0x1f0e1d['dualMultiselectOptions'][_0x2a350(0x1ecf)],'id'),_0x50ddb4();})['catch'](function(_0x3a1962){_0x516147(_0x3a1962);});});}function _0x3ec13a(){return _0x26767e(function(_0x4b5b6,_0x32b370){const _0x31e4c4=a0_0x3bb9;return _0x4c19aa[_0x31e4c4(0xdcc)][_0x31e4c4(0x16b4)]({'sectionId':_0x1f0e1d[_0x31e4c4(0x2146)]['id'],'nolimit':!![]})['$promise'][_0x31e4c4(0x146b)](function(_0x5046ef){_0x4b5b6(_0x5046ef);})[_0x31e4c4(0x129e)](function(_0x37be66){_0x32b370(_0x37be66);});});}function _0x5a6b40(){return _0x26767e(function(_0x273867,_0x40da47){const _0x5baa0a=a0_0x3bb9;return _0x4c19aa[_0x5baa0a(0xc0f)]['getAgents']({'id':_0x1f0e1d[_0x5baa0a(0xc0f)]['id'],'fields':'id,name,internal,fullname','nolimit':!![],'role':_0x5baa0a(0x1755)})[_0x5baa0a(0x2945)][_0x5baa0a(0x146b)](function(_0x92f94){_0x273867(_0x92f94);})['catch'](function(_0x21e1d2){_0x40da47(_0x21e1d2);});});}function _0x5a2223(){return _0x26767e(function(_0x4b252a,_0x5efd6c){const _0x5d05ff=a0_0x3bb9;return _0x4c19aa['user'][_0x5d05ff(0x16b4)]({'fields':_0x5d05ff(0x1569),'nolimit':!![],'role':_0x5d05ff(0x1755)})['$promise'][_0x5d05ff(0x146b)](function(_0x27abb4){_0x4b252a(_0x27abb4);})[_0x5d05ff(0x129e)](function(_0x445278){_0x5efd6c(_0x445278);});});}function _0x17f249(_0x3c3c97){return _0x26767e(function(_0x327cd8,_0x20fbf2){const _0x29a5a5=a0_0x3bb9;_0x3f65c0()[_0x29a5a5(0x2635)](_0x3c3c97)?_0x327cd8():_0x4c19aa[_0x29a5a5(0xc0f)][_0x29a5a5(0x135e)]({'id':_0x1f0e1d[_0x29a5a5(0xc0f)]['id'],'ids':_0x3f65c0()[_0x29a5a5(0x205)](_0x3c3c97,'id')})[_0x29a5a5(0x2945)][_0x29a5a5(0x146b)](function(){_0x327cd8();})['catch'](function(_0x1563e0){_0x20fbf2(_0x1563e0);});});}function _0x4f2d91(_0x2f1777){return _0x26767e(function(_0x58cb9a,_0x13c020){const _0xaece03=a0_0x3bb9;_0x3f65c0()[_0xaece03(0x2635)](_0x2f1777)?_0x58cb9a():_0x4c19aa[_0xaece03(0xc0f)][_0xaece03(0x1f53)]({'id':_0x1f0e1d[_0xaece03(0xc0f)]['id'],'ids':_0x3f65c0()[_0xaece03(0x205)](_0x2f1777,'id')})['$promise'][_0xaece03(0x146b)](function(){_0x58cb9a();})[_0xaece03(0x129e)](function(_0x2fca4f){_0x13c020(_0x2fca4f);});});}function _0x384b9a(){const _0x2a2687=_0x544286,_0x2c56a5=_0x3f65c0()[_0x2a2687(0x2796)](_0x1f0e1d[_0x2a2687(0xa65)],_0x1f0e1d[_0x2a2687(0x1ecf)],'id'),_0x279d28=_0x3f65c0()[_0x2a2687(0x2796)](_0x1f0e1d[_0x2a2687(0x1ecf)],_0x1f0e1d[_0x2a2687(0xa65)],'id');return _0x4f2d91(_0x2c56a5)[_0x2a2687(0x146b)](function(){return _0x17f249(_0x279d28);})[_0x2a2687(0x146b)](function(){const _0x309620=_0x2a2687;_0x1f0e1d['pendingChanges']=![],_0x1f0e1d[_0x309620(0x2e8)]=angular[_0x309620(0x235a)](_0x1f0e1d['allowedItems']),_0x1f0e1d['startingSelectedItems']=angular[_0x309620(0x235a)](_0x1f0e1d['selectedItems']),_0xbdfdd3[_0x309620(0x1c75)]({'title':_0x309620(0x4c0),'msg':_0x309620(0x26be)});})['catch'](function(_0x2661c0){const _0x3ce5a8=_0x2a2687;_0xbdfdd3[_0x3ce5a8(0x1980)]({'title':_0x2661c0['status']?_0x3ce5a8(0x262a)+_0x2661c0[_0x3ce5a8(0x107b)]+_0x3ce5a8(0x1315)+_0x2661c0[_0x3ce5a8(0x167f)]:'SYSTEM:LISTS_ASSOCIATION','msg':_0x2661c0[_0x3ce5a8(0x107b)]?JSON[_0x3ce5a8(0x10bb)](_0x2661c0[_0x3ce5a8(0x524)]):_0x2661c0[_0x3ce5a8(0xd5f)]()});});}function _0x1b5462(){const _0x20db91=_0x544286;_0x243f8c[_0x20db91(0x2458)]();}}const _0x288994=_0x443976;;const _0x14600e=_0x4acfac['p']+_0x313a4d(0x1001);;const _0x44739f=_0x4acfac['p']+_0x313a4d(0x23d3);;_0xcddaaa[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0x214b),_0x313a4d(0x1848),'userProfile',_0x313a4d(0x1366),'api',_0x313a4d(0x216c),'toasty',_0x313a4d(0xa87),'license','setting'];function _0xcddaaa(_0x319c10,_0x542498,_0x28635d,_0x20247f,_0x513528,_0x1c904a,_0x52bb63,_0x201638,_0x283762,_0x1ffcec,_0x5b4b48,_0xe52a8,_0x17fe26,_0x535cdd,_0x13edc0,_0x17709a,_0x5436b8){const _0x5860cf=_0x313a4d,_0x15ac53=this;_0x15ac53[_0x5860cf(0x2690)]=_0x17709a,_0x15ac53[_0x5860cf(0x15b9)]=_0x5436b8,_0x15ac53[_0x5860cf(0x2321)]=_0x13edc0[_0x5860cf(0xb12)](),_0x15ac53[_0x5860cf(0x1848)]=_0x283762||{'count':0x0,'rows':[]},_0x15ac53[_0x5860cf(0x26b6)]=_0x1ffcec,_0x15ac53['userProfileSection']=_0x5b4b48&&_0x5b4b48[_0x5860cf(0x51c)]==0x1?_0x5b4b48[_0x5860cf(0x19c7)][0x0]:null,_0x15ac53[_0x5860cf(0x2514)]=_0x13edc0['parseCrudPermissions'](_0x15ac53[_0x5860cf(0x1366)]?_0x15ac53[_0x5860cf(0x1366)][_0x5860cf(0x2514)]:null),_0x15ac53[_0x5860cf(0x768)]='voicePrefixes',_0x15ac53[_0x5860cf(0x216a)]='',_0x15ac53[_0x5860cf(0x214f)]=null,_0x15ac53[_0x5860cf(0x13f6)]=[],_0x15ac53[_0x5860cf(0x1a56)]={'fields':_0x5860cf(0xd0d),'limit':0xa,'page':0x1},_0x15ac53[_0x5860cf(0x2ed)]=_0x8fb791,_0x15ac53['agentadddialog']=_0x561ebd,_0x15ac53[_0x5860cf(0xf0a)]=_0x30d7e3,_0x15ac53[_0x5860cf(0x1c75)]=_0x2731a9,_0x15ac53[_0x5860cf(0x18ba)]=_0x121b8c,_0x15ac53[_0x5860cf(0xd41)]=_0x54d3b3,_0x15ac53[_0x5860cf(0x665)]=_0x88395f,_0x15ac53[_0x5860cf(0x16f4)]=_0x3da4f7,_0x15ac53['deleteSelectedVoicePrefixes']=_0x220864,_0x15ac53[_0x5860cf(0x239e)]=_0x456861,_0x15ac53[_0x5860cf(0x1f14)]=_0x3c9098,_0x13edc0['hasRole'](_0x5860cf(0x174b))?_0xe52a8[_0x5860cf(0xd47)][_0x5860cf(0x16b4)]({'fields':'id,exten','type':_0x5860cf(0xc9c),'VoiceExtensionId':_0x5860cf(0x203c),'nolimit':_0x5860cf(0x1185)})[_0x5860cf(0x2945)][_0x5860cf(0x146b)](function(_0x4a218c){const _0x224337=_0x5860cf;_0x15ac53[_0x224337(0x966)]=_0x4a218c[_0x224337(0x19c7)]||[];})[_0x5860cf(0x129e)](function(_0x560169){const _0x34960e=_0x5860cf;_0x535cdd[_0x34960e(0x1980)]({'title':_0x560169[_0x34960e(0x107b)]?_0x34960e(0x262a)+_0x560169[_0x34960e(0x107b)]+_0x34960e(0x1315)+_0x560169[_0x34960e(0x167f)]:_0x34960e(0x104f),'msg':_0x560169[_0x34960e(0x524)]?JSON['stringify'](_0x560169['data']):_0x560169[_0x34960e(0xd5f)]()});}):_0xe52a8[_0x5860cf(0xd47)][_0x5860cf(0x16b4)]({'fields':'id,exten','type':_0x5860cf(0xc9c),'VoiceExtensionId':_0x5860cf(0x203c),'nolimit':_0x5860cf(0x1185)})[_0x5860cf(0x2945)]['then'](function(_0x9477f){const _0x5a40c7=_0x5860cf;_0x15ac53[_0x5a40c7(0x966)]=_0x9477f[_0x5a40c7(0x19c7)]||[];})[_0x5860cf(0x146b)](function(){const _0x557744=_0x5860cf;return _0xe52a8[_0x557744(0x1366)][_0x557744(0x16b4)]({'userProfileId':_0x15ac53['currentUser']['userProfileId'],'sectionId':0x193})[_0x557744(0x2945)];})[_0x5860cf(0x146b)](function(_0x53b913){const _0x418686=_0x5860cf,_0x401560=_0x53b913&&_0x53b913[_0x418686(0x19c7)]?_0x53b913[_0x418686(0x19c7)][0x0]:null;if(!_0x401560){const _0x22f1ec=[];let _0x2e03d0=null;_0x15ac53[_0x418686(0xc0f)]&&(_0x2e03d0=_0x3f65c0()[_0x418686(0xc84)](_0x15ac53['outboundRoutes'],{'id':Number(_0x15ac53[_0x418686(0xc0f)][_0x418686(0x1f3f)])}));for(let _0x1a5bf5=0x0;_0x1a5bf5<_0x15ac53['outboundRoutes'][_0x418686(0x402)];_0x1a5bf5++){_0x2e03d0&&_0x15ac53[_0x418686(0x966)][_0x1a5bf5]['id']===_0x2e03d0['id']&&(_0x15ac53[_0x418686(0x966)][_0x1a5bf5]['canSelect']=![],_0x22f1ec[_0x418686(0x1f47)](_0x15ac53[_0x418686(0x966)][_0x1a5bf5]));}_0x15ac53[_0x418686(0x966)]=_0x22f1ec;}else{if(!_0x401560['autoAssociation'])return _0xe52a8[_0x418686(0xdcc)][_0x418686(0x16b4)]({'sectionId':_0x401560['id']})[_0x418686(0x2945)][_0x418686(0x146b)](function(_0x1b9124){const _0x280a99=_0x418686,_0x11b02c=_0x3f65c0()[_0x280a99(0x205)](_0x1b9124[_0x280a99(0x19c7)],function(_0xa5cd8a){const _0x2ca4f6=_0x280a99;return _0x3f65c0()[_0x2ca4f6(0xc84)](_0x15ac53[_0x2ca4f6(0x966)],{'id':_0xa5cd8a[_0x2ca4f6(0x18b8)]});});let _0x43724a=null;_0x15ac53[_0x280a99(0xc0f)]&&(_0x43724a=_0x3f65c0()['find'](_0x15ac53[_0x280a99(0x966)],{'id':Number(_0x15ac53[_0x280a99(0xc0f)][_0x280a99(0x1f3f)])}));if(_0x43724a&&!_0x3f65c0()[_0x280a99(0x1360)](_0x11b02c,['id',_0x43724a['id']])){const _0x238489=_0x3f65c0()[_0x280a99(0xc84)](_0x15ac53[_0x280a99(0x966)],{'id':_0x43724a['id']});_0x238489[_0x280a99(0x8ff)]=![],_0x11b02c['push'](_0x238489);}_0x15ac53[_0x280a99(0x966)]=_0x11b02c;});}})[_0x5860cf(0x129e)](function(_0x58ea7f){const _0x10fc9e=_0x5860cf;_0x535cdd[_0x10fc9e(0x1980)]({'title':_0x58ea7f[_0x10fc9e(0x107b)]?_0x10fc9e(0x262a)+_0x58ea7f['status']+_0x10fc9e(0x1315)+_0x58ea7f[_0x10fc9e(0x167f)]:_0x10fc9e(0x1850),'msg':_0x58ea7f[_0x10fc9e(0x524)]?JSON[_0x10fc9e(0x10bb)](_0x58ea7f[_0x10fc9e(0x524)]):_0x58ea7f[_0x10fc9e(0xd5f)]()});});function _0x8fb791(_0x5836c7,_0x2fe246){const _0x49b092=_0x5860cf;_0x513528[_0x49b092(0x2615)]({'controller':_0x49b092(0x1fc7),'controllerAs':'vm','templateUrl':_0x14600e,'parent':angular[_0x49b092(0x1853)](_0x1c904a[_0x49b092(0x2586)]),'targetEvent':_0x2fe246,'clickOutsideToClose':!![],'locals':{'voicePrefix':_0x5836c7,'voicePrefixes':_0x15ac53[_0x49b092(0x1848)][_0x49b092(0x19c7)],'license':_0x15ac53[_0x49b092(0x2690)],'setting':null,'crudPermissions':_0x15ac53['crudPermissions']}});}function _0x561ebd(_0x4ca611,_0x2ade01){const _0x4a36bb=_0x5860cf;_0x513528[_0x4a36bb(0x2615)]({'controller':_0x4a36bb(0x10ca),'controllerAs':'vm','templateUrl':_0x44739f,'parent':angular[_0x4a36bb(0x1853)](_0x1c904a['body']),'targetEvent':_0x2ade01,'clickOutsideToClose':!![],'locals':{'voicePrefix':_0x4ca611,'voicePrefixes':_0x15ac53[_0x4a36bb(0x1848)]?_0x15ac53[_0x4a36bb(0x1848)][_0x4a36bb(0x19c7)]:[],'crudPermissions':_0x15ac53[_0x4a36bb(0x2514)],'realtime':![]}});}function _0x30d7e3(_0x4b4ca9,_0x92175b){const _0x8e8d8e=_0x5860cf,_0x479a9d=_0x513528[_0x8e8d8e(0x1e8a)]()[_0x8e8d8e(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20'+_0x3f65c0()['startCase'](_0x8e8d8e(0xc0f))+'?')[_0x8e8d8e(0x1cbe)](_0x8e8d8e(0x16d3)+(_0x4b4ca9[_0x8e8d8e(0x19eb)]||_0x8e8d8e(0xc0f))+_0x8e8d8e(0x252f)+'\x20will\x20be\x20deleted.')[_0x8e8d8e(0x4bd)]('delete\x20voicePrefix')[_0x8e8d8e(0x1f27)](_0x92175b)['ok']('OK')[_0x8e8d8e(0x6c3)](_0x8e8d8e(0x39a));_0x513528[_0x8e8d8e(0x2615)](_0x479a9d)[_0x8e8d8e(0x146b)](function(){_0x88395f(_0x4b4ca9);},function(){console['log']('CANCEL');});}let _0x3d0255=!![],_0x1f6a17=0x1;_0x319c10[_0x5860cf(0x21e8)](_0x5860cf(0x2669),function(_0x517b54,_0x1314ae){const _0x16fb0c=_0x5860cf;_0x3d0255?_0x52bb63(function(){_0x3d0255=![];}):(!_0x1314ae&&(_0x1f6a17=_0x15ac53[_0x16fb0c(0x1a56)]['page']),_0x517b54!==_0x1314ae&&(_0x15ac53[_0x16fb0c(0x1a56)][_0x16fb0c(0x844)]=0x1),!_0x517b54&&(_0x15ac53[_0x16fb0c(0x1a56)][_0x16fb0c(0x844)]=_0x1f6a17),_0x15ac53[_0x16fb0c(0x18ba)]());});function _0x2731a9(_0x4f5446){const _0x2c068f=_0x5860cf;_0x15ac53[_0x2c068f(0x1848)]=_0x4f5446||{'count':0x0,'rows':[]};}function _0x121b8c(){const _0x10cd4c=_0x5860cf;_0x15ac53[_0x10cd4c(0x1a56)][_0x10cd4c(0x145d)]=(_0x15ac53['query'][_0x10cd4c(0x844)]-0x1)*_0x15ac53[_0x10cd4c(0x1a56)][_0x10cd4c(0x221e)],_0x13edc0['hasRole'](_0x10cd4c(0x174b))?_0x15ac53[_0x10cd4c(0xb9c)]=_0xe52a8['voicePrefix'][_0x10cd4c(0x16b4)](_0x15ac53[_0x10cd4c(0x1a56)],_0x2731a9)[_0x10cd4c(0x2945)]:(_0x15ac53[_0x10cd4c(0x1a56)]['id']=_0x15ac53[_0x10cd4c(0x26b6)]['id'],_0x15ac53[_0x10cd4c(0x1a56)][_0x10cd4c(0x2146)]=_0x10cd4c(0x8cf),_0x15ac53['promise']=_0xe52a8['userProfile'][_0x10cd4c(0x158f)](_0x15ac53[_0x10cd4c(0x1a56)],_0x2731a9)[_0x10cd4c(0x2945)]);}function _0x54d3b3(_0x566d7f,_0x2f1405){const _0x620d89=_0x5860cf;_0x513528['show']({'controller':_0x620d89(0x1fc7),'controllerAs':'vm','templateUrl':_0x14600e,'parent':angular[_0x620d89(0x1853)](_0x1c904a['body']),'targetEvent':_0x566d7f,'clickOutsideToClose':!![],'locals':{'voicePrefix':_0x2f1405,'voicePrefixes':_0x15ac53[_0x620d89(0x1848)]['rows'],'license':_0x15ac53['license'],'setting':_0x15ac53[_0x620d89(0x15b9)],'crudPermissions':_0x15ac53['crudPermissions']}});}function _0x88395f(_0x1d9aa2){const _0xa0cbef=_0x5860cf;_0xe52a8[_0xa0cbef(0xc0f)][_0xa0cbef(0x1fac)]({'id':_0x1d9aa2['id']})[_0xa0cbef(0x2945)][_0xa0cbef(0x146b)](function(){const _0x124599=_0xa0cbef;_0x3f65c0()[_0x124599(0x2640)](_0x15ac53[_0x124599(0x1848)][_0x124599(0x19c7)],{'id':_0x1d9aa2['id']}),_0x15ac53[_0x124599(0x1848)][_0x124599(0x51c)]-=0x1,!_0x15ac53['voicePrefixes'][_0x124599(0x19c7)][_0x124599(0x402)]&&_0x15ac53['getVoicePrefixes'](),_0x535cdd[_0x124599(0x1c75)]({'title':_0x3f65c0()[_0x124599(0x20d1)](_0x124599(0xc0f))+_0x124599(0x201c),'msg':_0x1d9aa2['name']?_0x1d9aa2[_0x124599(0x19eb)]+_0x124599(0x23e3):''});})['catch'](function(_0xaac6d9){const _0x21a01c=_0xa0cbef;if(_0xaac6d9['data']&&_0xaac6d9[_0x21a01c(0x524)][_0x21a01c(0xcef)]&&_0xaac6d9[_0x21a01c(0x524)][_0x21a01c(0xcef)][_0x21a01c(0x402)]){_0x15ac53[_0x21a01c(0xcef)]=_0xaac6d9[_0x21a01c(0x524)][_0x21a01c(0xcef)]||[{'message':_0xaac6d9[_0x21a01c(0xd5f)](),'type':'SYSTEM:DELETEvoicePrefix'}];for(let _0x3fe200=0x0;_0x3fe200<_0xaac6d9['data'][_0x21a01c(0xcef)][_0x21a01c(0x402)];_0x3fe200++){_0x535cdd['error']({'title':_0xaac6d9[_0x21a01c(0x524)][_0x21a01c(0xcef)][_0x3fe200][_0x21a01c(0x1142)],'msg':_0xaac6d9[_0x21a01c(0x524)]['errors'][_0x3fe200][_0x21a01c(0x7fd)]});}}else _0x535cdd[_0x21a01c(0x1980)]({'title':_0xaac6d9['status']?_0x21a01c(0x262a)+_0xaac6d9['status']+'\x20-\x20'+_0xaac6d9[_0x21a01c(0x167f)]:_0x21a01c(0x3da),'msg':_0xaac6d9[_0x21a01c(0x524)]?JSON['stringify'](_0xaac6d9[_0x21a01c(0x524)]['message']):_0xaac6d9['message']||_0xaac6d9[_0x21a01c(0xd5f)]()});});}function _0x3da4f7(){const _0x2ac6b7=_0x5860cf,_0x24d588=angular[_0x2ac6b7(0x235a)](_0x15ac53['selectedVoicePrefixes']);return _0x15ac53[_0x2ac6b7(0x13f6)]=[],_0x24d588;}function _0x220864(_0x4b79d9){const _0x6a2eb9=_0x5860cf,_0x13fa8d=_0x513528[_0x6a2eb9(0x1e8a)]()[_0x6a2eb9(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20voicePrefixes?')[_0x6a2eb9(0x1cbe)](_0x6a2eb9(0x16d3)+_0x15ac53[_0x6a2eb9(0x13f6)][_0x6a2eb9(0x402)]+_0x6a2eb9(0x2452)+_0x6a2eb9(0xe01))[_0x6a2eb9(0x4bd)](_0x6a2eb9(0x1757))[_0x6a2eb9(0x1f27)](_0x4b79d9)['ok']('OK')[_0x6a2eb9(0x6c3)](_0x6a2eb9(0x39a));_0x513528[_0x6a2eb9(0x2615)](_0x13fa8d)[_0x6a2eb9(0x146b)](function(){const _0x1a5a02=_0x6a2eb9;_0x15ac53['selectedVoicePrefixes']['forEach'](function(_0x3e1e37){_0x88395f(_0x3e1e37);}),_0x15ac53[_0x1a5a02(0x13f6)]=[];});}function _0x456861(){const _0x27e9bb=_0x5860cf;_0x15ac53[_0x27e9bb(0x13f6)]=[];}function _0x3c9098(){const _0x4a8356=_0x5860cf;_0x15ac53[_0x4a8356(0x13f6)]=_0x15ac53[_0x4a8356(0x1848)][_0x4a8356(0x19c7)];}}const _0x3cf24d=_0xcddaaa;;_0x42d634[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),'$location',_0x313a4d(0x10e8),'$q','$translate',_0x313a4d(0x1fe4),'voiceQueues',_0x313a4d(0x1446),'api',_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),'crudPermissions'];function _0x42d634(_0x5f212b,_0xae4ef1,_0x4b1448,_0x1ea816,_0x4a8e64,_0x52868b,_0x244d68,_0x1a8a27,_0x1f25e3,_0x41e65c,_0x3e4676,_0x232a67,_0xeeab8f,_0x3adb89){const _0x2e185d=_0x313a4d,_0x5f119a=this;_0x5f119a['currentUser']=_0x3e4676['getCurrentUser'](),_0x5f119a[_0x2e185d(0xcef)]=[],_0x5f119a['setting']=_0xeeab8f,_0x5f119a[_0x2e185d(0x2690)]=_0x232a67,_0x5f119a[_0x2e185d(0x2514)]=_0x3adb89,_0x5f119a[_0x2e185d(0x855)]={},_0x5f119a['passwordPattern']=_0x5f119a[_0x2e185d(0x15b9)]&&_0x5f119a['setting']['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x5f119a[_0x2e185d(0x1189)]=_0x2e185d(0x23bd),_0x5f119a[_0x2e185d(0x1446)]=angular['copy'](_0x1f25e3),_0x5f119a[_0x2e185d(0xa27)]=_0x1a8a27,_0x5f119a[_0x2e185d(0x19da)]=![];!_0x5f119a['voiceQueue']&&(_0x5f119a[_0x2e185d(0x1446)]={'type':_0x2e185d(0x7b0),'strategy':'ringall','timeout':0xf,'retry':0x2,'random_periodic_announce':'no','announce_holdtime':'no','announce_position':'no','reportholdtime':'no','autopause':'no','ringinuse':'no','timeoutrestart':'no','setinterfacevar':'no','setqueuevar':'no','setqueueentryvar':'no'},_0x5f119a[_0x2e185d(0x1189)]=_0x2e185d(0x2740),_0x5f119a[_0x2e185d(0x19da)]=!![]);_0x5f119a['addNewVoiceQueue']=_0x1fcc8a,_0x5f119a[_0x2e185d(0x27d8)]=_0x2d78ba,_0x5f119a['deleteVoiceQueue']=_0x521d7c,_0x5f119a[_0x2e185d(0xe73)]=_0xbb5f53,_0x5f119a[_0x2e185d(0x13f3)]=_0x147411;function _0x1fcc8a(){const _0x1df8b6=_0x2e185d;_0x5f119a[_0x1df8b6(0xcef)]=[],_0x41e65c[_0x1df8b6(0x1446)]['save'](_0x5f119a[_0x1df8b6(0x1446)])[_0x1df8b6(0x2945)]['then'](function(_0x1081ba){const _0x274562=_0x1df8b6;_0x5f119a[_0x274562(0xa27)][_0x274562(0xb3d)](_0x1081ba[_0x274562(0x2488)]()),_0x244d68['success']({'title':_0x274562(0x1740),'msg':_0x5f119a['voiceQueue'][_0x274562(0x19eb)]?_0x5f119a[_0x274562(0x1446)][_0x274562(0x19eb)]+_0x274562(0x1386):''}),_0x147411(_0x1081ba);})['catch'](function(_0x279fa6){const _0x126dac=_0x1df8b6;if(_0x279fa6[_0x126dac(0x524)]&&_0x279fa6['data'][_0x126dac(0xcef)]&&_0x279fa6['data']['errors']['length']){_0x5f119a[_0x126dac(0xcef)]=_0x279fa6[_0x126dac(0x524)][_0x126dac(0xcef)]||[{'message':_0x279fa6[_0x126dac(0xd5f)](),'type':_0x126dac(0x263d)}];for(let _0x1317b2=0x0;_0x1317b2<_0x279fa6[_0x126dac(0x524)][_0x126dac(0xcef)][_0x126dac(0x402)];_0x1317b2+=0x1){_0x244d68[_0x126dac(0x1980)]({'title':_0x279fa6[_0x126dac(0x524)]['errors'][_0x1317b2][_0x126dac(0x1142)],'msg':_0x279fa6[_0x126dac(0x524)]['errors'][_0x1317b2][_0x126dac(0x7fd)]});}}else _0x244d68[_0x126dac(0x1980)]({'title':_0x279fa6[_0x126dac(0x107b)]?'API:'+_0x279fa6[_0x126dac(0x107b)]+_0x126dac(0x1315)+_0x279fa6[_0x126dac(0x167f)]:'api.voiceQueue.save','msg':_0x279fa6['data']?JSON[_0x126dac(0x10bb)](_0x279fa6[_0x126dac(0x524)][_0x126dac(0x7fd)]):_0x279fa6[_0x126dac(0xd5f)]()});});}function _0x2d78ba(){const _0xe7c44d=_0x2e185d;_0x5f119a[_0xe7c44d(0xcef)]=[],_0x41e65c[_0xe7c44d(0x1446)]['update']({'id':_0x5f119a[_0xe7c44d(0x1446)]['id']},_0x5f119a[_0xe7c44d(0x1446)])['$promise'][_0xe7c44d(0x146b)](function(_0x1b4889){const _0x508d78=_0xe7c44d,_0x766d67=_0x3f65c0()[_0x508d78(0xc84)](_0x5f119a[_0x508d78(0xa27)],{'id':_0x1b4889['id']});_0x766d67&&_0x3f65c0()[_0x508d78(0x168d)](_0x766d67,_0x3f65c0()['pick'](_0x1b4889['toJSON'](),_0x3f65c0()[_0x508d78(0x627)](_0x766d67))),_0x244d68[_0x508d78(0x1c75)]({'title':'VoiceQueue\x20properly\x20saved!','msg':_0x5f119a[_0x508d78(0x1446)][_0x508d78(0x19eb)]?_0x5f119a[_0x508d78(0x1446)][_0x508d78(0x19eb)]+'\x20has\x20been\x20saved!':''}),_0x147411(_0x1b4889);})['catch'](function(_0x46cdf9){const _0x42369c=_0xe7c44d;if(_0x46cdf9[_0x42369c(0x524)]&&_0x46cdf9[_0x42369c(0x524)]['errors']&&_0x46cdf9[_0x42369c(0x524)][_0x42369c(0xcef)][_0x42369c(0x402)]){_0x5f119a[_0x42369c(0xcef)]=_0x46cdf9[_0x42369c(0x524)][_0x42369c(0xcef)]||[{'message':_0x46cdf9[_0x42369c(0xd5f)](),'type':_0x42369c(0x221f)}];for(let _0x1708ac=0x0;_0x1708ac<_0x46cdf9[_0x42369c(0x524)]['errors'][_0x42369c(0x402)];_0x1708ac++){_0x244d68['error']({'title':_0x46cdf9[_0x42369c(0x524)][_0x42369c(0xcef)][_0x1708ac][_0x42369c(0x1142)],'msg':_0x46cdf9['data']['errors'][_0x1708ac]['message']});}}else _0x244d68[_0x42369c(0x1980)]({'title':_0x46cdf9[_0x42369c(0x107b)]?'API:'+_0x46cdf9[_0x42369c(0x107b)]+_0x42369c(0x1315)+_0x46cdf9[_0x42369c(0x167f)]:'api.voiceQueue.update','msg':_0x46cdf9[_0x42369c(0x524)]?JSON[_0x42369c(0x10bb)](_0x46cdf9['data']['message']):_0x46cdf9['toString']()});});}function _0x521d7c(_0x676e61){const _0x464f27=_0x2e185d;_0x5f119a[_0x464f27(0xcef)]=[];const _0x1681fc=_0x1ea816[_0x464f27(0x1e8a)]()['title']('Are\x20you\x20sure?')[_0x464f27(0x80f)]('The\x20voiceQueue\x20will\x20be\x20deleted.')['ariaLabel'](_0x464f27(0x1be5))['ok'](_0x464f27(0x25de))[_0x464f27(0x6c3)](_0x464f27(0xcf0))[_0x464f27(0x1f27)](_0x676e61);_0x1ea816[_0x464f27(0x2615)](_0x1681fc)[_0x464f27(0x146b)](function(){const _0x4333a4=_0x464f27;_0x41e65c[_0x4333a4(0x1446)][_0x4333a4(0x1fac)]({'id':_0x5f119a[_0x4333a4(0x1446)]['id']})[_0x4333a4(0x2945)]['then'](function(){const _0x16a145=_0x4333a4;_0x3f65c0()['remove'](_0x5f119a[_0x16a145(0xa27)],{'id':_0x5f119a[_0x16a145(0x1446)]['id']}),_0x244d68[_0x16a145(0x1c75)]({'title':_0x16a145(0x1ab2),'msg':(_0x5f119a[_0x16a145(0x1446)]['name']||_0x16a145(0x1446))+_0x16a145(0x23e3)}),_0x147411(_0x5f119a['voiceQueue']);})[_0x4333a4(0x129e)](function(_0x4bc4ad){const _0x1d4213=_0x4333a4;if(_0x4bc4ad[_0x1d4213(0x524)]&&_0x4bc4ad[_0x1d4213(0x524)][_0x1d4213(0xcef)]&&_0x4bc4ad[_0x1d4213(0x524)]['errors']['length']){_0x5f119a[_0x1d4213(0xcef)]=_0x4bc4ad[_0x1d4213(0x524)][_0x1d4213(0xcef)]||[{'message':_0x4bc4ad['toString'](),'type':'api.voiceQueue.delete'}];for(let _0x11f665=0x0;_0x11f665<_0x4bc4ad[_0x1d4213(0x524)][_0x1d4213(0xcef)]['length'];_0x11f665++){_0x244d68[_0x1d4213(0x1980)]({'title':_0x4bc4ad[_0x1d4213(0x524)]['errors'][_0x11f665]['type'],'msg':_0x4bc4ad['data']['errors'][_0x11f665][_0x1d4213(0x7fd)]});}}else _0x244d68[_0x1d4213(0x1980)]({'title':_0x4bc4ad[_0x1d4213(0x107b)]?'API:'+_0x4bc4ad[_0x1d4213(0x107b)]+_0x1d4213(0x1315)+_0x4bc4ad[_0x1d4213(0x167f)]:_0x1d4213(0xf2e),'msg':_0x4bc4ad['data']?JSON[_0x1d4213(0x10bb)](_0x4bc4ad[_0x1d4213(0x524)]['message']):_0x4bc4ad[_0x1d4213(0x7fd)]||_0x4bc4ad[_0x1d4213(0xd5f)]()});});},function(){});}function _0xbb5f53(_0x2a5d50){return _0x2a5d50===null?undefined:new Date(_0x2a5d50);}function _0x147411(_0x378597){_0x1ea816['hide'](_0x378597);}}const _0x30837c=_0x42d634;;_0xb4f69b[_0x313a4d(0x11c2)]=['$mdDialog','$q',_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0x1446),_0x313a4d(0xa27),_0x313a4d(0xfc4),_0x313a4d(0x214b),_0x313a4d(0xa87),'crudPermissions'];function _0xb4f69b(_0x2c1bf9,_0x14caa9,_0x2b5cbe,_0x10f661,_0x6c9c93,_0x380657,_0x3c789b,_0x2b99b8,_0x1a8bbc,_0x427dd8){const _0x49214b=_0x313a4d,_0x5986c6=this;_0x5986c6[_0x49214b(0x2321)]=_0x1a8bbc[_0x49214b(0xb12)](),_0x5986c6[_0x49214b(0x1446)]=_0x6c9c93,_0x5986c6[_0x49214b(0x2514)]=_0x427dd8,_0x5986c6[_0x49214b(0x188d)]=0x0,_0x5986c6['realtime']=_0x3c789b,_0x5986c6[_0x49214b(0x1fd6)]=[],_0x5986c6['allowedItems']=[],_0x5986c6[_0x49214b(0x1ecf)]=[],_0x5986c6[_0x49214b(0x2e8)]=[],_0x5986c6['startingSelectedItems']=[],_0x5986c6['pendingChanges']=![],_0x5986c6[_0x49214b(0x3d4)]=_0x5b4112,_0x5986c6[_0x49214b(0x14c2)]=_0x19815b,_0x5986c6[_0x49214b(0x13f3)]=_0x336f25,_0x5986c6['dualMultiselectOptions']={'readOnly':!_0x5986c6[_0x49214b(0x2514)]['canEdit'],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':'name','line1':'fullname','line2':[_0x49214b(0x19eb),_0x49214b(0x113f)],'line3':_0x49214b(0x188d),'labelAll':_0x2b99b8[_0x49214b(0xde)](_0x49214b(0x2050)),'labelSelected':_0x2b99b8[_0x49214b(0xde)](_0x49214b(0xf73)),'transferCallback':function(_0x11b8c1,_0x14cc23){const _0x5d4c3f=_0x49214b;_0x14cc23?_0x11b8c1['forEach'](function(_0x3af604){const _0xd90826=a0_0x3bb9;_0x3af604[_0xd90826(0x188d)]=undefined;}):_0x11b8c1[_0x5d4c3f(0x1df5)](function(_0x15b3af){const _0x1a20b9=_0x5d4c3f;_0x15b3af[_0x1a20b9(0x188d)]=_0x1a20b9(0x2505)+_0x5986c6[_0x1a20b9(0x188d)];});const _0x44cbde=_0x3f65c0()[_0x5d4c3f(0x85e)](_0x5986c6[_0x5d4c3f(0xa65)],_0x5986c6[_0x5d4c3f(0x1ecf)],function(_0x4e1973,_0x5aa806){const _0x2a5454=_0x5d4c3f;return _0x4e1973['id']===_0x5aa806['id']&&_0x4e1973[_0x2a5454(0x188d)]===_0x5aa806[_0x2a5454(0x188d)];});_0x5986c6[_0x5d4c3f(0x132)]=_0x3f65c0()[_0x5d4c3f(0x2635)](_0x44cbde)?![]:!![];}};function _0x5b4112(){const _0x4dbe6d=_0x49214b;return _0x1a8bbc[_0x4dbe6d(0x23e0)](_0x4dbe6d(0x174b))?_0xa2e772()[_0x4dbe6d(0x129e)](function(_0x212d49){const _0xcf693b=_0x4dbe6d;_0x2b5cbe[_0xcf693b(0x1980)]({'title':_0x212d49[_0xcf693b(0x107b)]?_0xcf693b(0x262a)+_0x212d49[_0xcf693b(0x107b)]+_0xcf693b(0x1315)+_0x212d49[_0xcf693b(0x167f)]:_0xcf693b(0x557),'msg':_0x212d49[_0xcf693b(0x107b)]?JSON[_0xcf693b(0x10bb)](_0x212d49[_0xcf693b(0x524)]):_0x212d49[_0xcf693b(0xd5f)]()});}):_0x29b992()[_0x4dbe6d(0x146b)](function(_0x21f411){const _0x47df26=_0x4dbe6d;return _0x5986c6[_0x47df26(0x2146)]=_0x21f411,_0xa2e772();})[_0x4dbe6d(0x129e)](function(_0x3a378e){const _0x5f4179=_0x4dbe6d;_0x2b5cbe[_0x5f4179(0x1980)]({'title':_0x3a378e['status']?_0x5f4179(0x262a)+_0x3a378e[_0x5f4179(0x107b)]+'\x20-\x20'+_0x3a378e[_0x5f4179(0x167f)]:_0x5f4179(0x557),'msg':_0x3a378e[_0x5f4179(0x107b)]?JSON[_0x5f4179(0x10bb)](_0x3a378e[_0x5f4179(0x524)]):_0x3a378e[_0x5f4179(0xd5f)]()});});}function _0x29b992(){return _0x14caa9(function(_0x54e7b1,_0x493f73){const _0x35bddb=a0_0x3bb9;_0x10f661[_0x35bddb(0x1366)]['get']({'userProfileId':_0x5986c6[_0x35bddb(0x2321)][_0x35bddb(0x209a)],'name':_0x35bddb(0xca8)})[_0x35bddb(0x2945)][_0x35bddb(0x146b)](function(_0x45b4b2){const _0x52d852=_0x35bddb,_0xf39496=_0x45b4b2&&_0x45b4b2[_0x52d852(0x19c7)]?_0x45b4b2['rows'][0x0]:null;_0x54e7b1(_0xf39496);})[_0x35bddb(0x129e)](function(_0x207442){_0x493f73(_0x207442);});});}function _0xa2e772(){return _0x14caa9(function(_0x37bc22,_0x2b364b){const _0x2ef2ec=a0_0x3bb9;return _0x5f16f6()[_0x2ef2ec(0x146b)](function(_0x2f1b02){const _0x3611a4=_0x2ef2ec;return _0x5986c6[_0x3611a4(0x1fd6)]=_0x2f1b02[_0x3611a4(0x19c7)]?_0x2f1b02[_0x3611a4(0x19c7)]:[],_0x1a8bbc[_0x3611a4(0x23e0)]('admin')?_0x2f1b02:_0x5986c6[_0x3611a4(0x2146)]?_0x5986c6[_0x3611a4(0x2146)][_0x3611a4(0x11d2)]?_0x2f1b02:_0x58ccac():null;})[_0x2ef2ec(0x146b)](function(_0x450b49){const _0x487375=_0x2ef2ec,_0x28ca5f=_0x450b49&&_0x450b49[_0x487375(0x19c7)]?_0x450b49['rows']:[];return _0x5986c6['allowedItems']=_0x3f65c0()['map'](_0x28ca5f,function(_0x4e015e){const _0x428d84=_0x487375;return _0x3f65c0()[_0x428d84(0xc84)](_0x5986c6[_0x428d84(0x1fd6)],{'id':_0x1a8bbc[_0x428d84(0x23e0)](_0x428d84(0x174b))||_0x5986c6[_0x428d84(0x2146)][_0x428d84(0x11d2)]?_0x4e015e['id']:_0x4e015e['resourceId']});}),_0x5986c6['startingAllowedItems']=angular[_0x487375(0x235a)](_0x5986c6[_0x487375(0xc4e)]),_0x5986c6[_0x487375(0x1fd6)][_0x487375(0x1df5)](function(_0x1133fc){const _0x61d2a8=_0x487375,_0xe97185=_0x3f65c0()[_0x61d2a8(0xc84)](_0x5986c6[_0x61d2a8(0xc4e)],{'id':_0x1133fc['id']});_0x1a8bbc[_0x61d2a8(0x23e0)](_0x61d2a8(0x174b))?_0x1133fc[_0x61d2a8(0x146f)]=!![]:_0x1133fc[_0x61d2a8(0x146f)]=typeof _0xe97185!==_0x61d2a8(0x2274)?!![]:![];}),_0x40b93b();})[_0x2ef2ec(0x146b)](function(_0x481295){const _0x309590=_0x2ef2ec,_0x5d9a1b=_0x481295&&_0x481295[_0x309590(0x19c7)]?_0x481295[_0x309590(0x19c7)]:[];_0x5986c6[_0x309590(0x1ecf)]=_0x3f65c0()[_0x309590(0x205)](_0x5d9a1b,function(_0x563f6e){const _0xa4e0eb=_0x309590,_0x45d827=_0x3f65c0()[_0xa4e0eb(0xc84)](_0x5986c6[_0xa4e0eb(0x1fd6)],{'id':_0x563f6e['id']});return _0x45d827[_0xa4e0eb(0x188d)]=_0x563f6e[_0xa4e0eb(0x1f91)]?_0xa4e0eb(0x2505)+_0x563f6e[_0xa4e0eb(0x1f91)]['penalty']:'',_0x45d827[_0xa4e0eb(0x113f)]=typeof _0x563f6e[_0xa4e0eb(0x113f)]!=='undefined'?'<'+_0x563f6e[_0xa4e0eb(0x113f)]+'>':'',_0x45d827;}),_0x5986c6[_0x309590(0xa65)]=angular[_0x309590(0x235a)](_0x5986c6[_0x309590(0x1ecf)]),_0x5986c6['dualMultiselectOptions'][_0x309590(0x1ecf)]=_0x5986c6[_0x309590(0x1ecf)],_0x5986c6[_0x309590(0x1f8a)][_0x309590(0x1fd6)]=_0x3f65c0()[_0x309590(0x2796)](_0x5986c6[_0x309590(0xc4e)],_0x5986c6['dualMultiselectOptions'][_0x309590(0x1ecf)],'id'),_0x37bc22();})[_0x2ef2ec(0x129e)](function(_0x2d839d){_0x2b364b(_0x2d839d);});});}function _0x58ccac(){return _0x14caa9(function(_0x609aa0,_0x5ca399){const _0x9d4761=a0_0x3bb9;return _0x10f661['userProfileResource']['get']({'sectionId':_0x5986c6['section']['id'],'nolimit':!![]})[_0x9d4761(0x2945)][_0x9d4761(0x146b)](function(_0xebec1c){_0x609aa0(_0xebec1c);})['catch'](function(_0x24cecc){_0x5ca399(_0x24cecc);});});}function _0x40b93b(){return _0x14caa9(function(_0x1af0a2,_0x55e162){const _0x1172fd=a0_0x3bb9;return _0x10f661[_0x1172fd(0x1446)][_0x1172fd(0x333)]({'id':_0x5986c6['voiceQueue']['id'],'fields':'id,name,internal,fullname','nolimit':!![],'role':_0x1172fd(0x1755)})[_0x1172fd(0x2945)][_0x1172fd(0x146b)](function(_0x1b2d28){_0x1af0a2(_0x1b2d28);})[_0x1172fd(0x129e)](function(_0x230ce7){_0x55e162(_0x230ce7);});});}function _0x5f16f6(){return _0x14caa9(function(_0x414ef8,_0x42cf48){const _0xf2490f=a0_0x3bb9;return _0x10f661[_0xf2490f(0xe7b)][_0xf2490f(0x16b4)]({'fields':'id,name,internal,fullname','nolimit':!![],'role':_0xf2490f(0x1755)})[_0xf2490f(0x2945)][_0xf2490f(0x146b)](function(_0xa1eeb7){_0x414ef8(_0xa1eeb7);})[_0xf2490f(0x129e)](function(_0xf2b85e){_0x42cf48(_0xf2b85e);});});}function _0x3ff527(_0x189c22){return _0x14caa9(function(_0x2bb87c,_0x4dc644){const _0x625ac7=a0_0x3bb9;if(_0x3f65c0()[_0x625ac7(0x2635)](_0x189c22))_0x2bb87c();else{const _0x360d5b=[],_0x5e77b2=_0x3f65c0()[_0x625ac7(0x253)](_0x189c22,_0x625ac7(0x188d));for(let _0x1b57c6=0x0;_0x1b57c6-0x1?_0x3ee160[_0x255639(0xe83)](_0x3ee160[_0x255639(0x1052)]('/')+0x1):_0x3ee160[_0x255639(0x1052)]('\x5c')>-0x1?_0x3ee160[_0x255639(0xe83)](_0x3ee160[_0x255639(0x1052)]('\x5c')+0x1):_0x3ee160;return _0x2c8bad=_0x2c8bad[_0x255639(0xe83)](0x0,_0x2c8bad[_0x255639(0x1052)]('.')),_0x2c8bad;}function _0x29ca18(_0x4c869d){const _0x444eac=_0x141e3a;let _0x1755f5=![];const _0x475f5e=!_0x3f65c0()[_0x444eac(0x1b36)](_0x4c869d[_0x444eac(0x22b0)])?_0x4c869d[_0x444eac(0x22b0)]:_0x4c869d[_0x444eac(0x175d)][_0x444eac(0xe83)](_0x4c869d['value'][_0x444eac(0x1052)]('.'));return _0x1755f5=_0x475f5e==='.mp4'?!![]:![],_0x330a04[_0x444eac(0x1688)]['download']({'id':_0x4c869d['id']})[_0x444eac(0x2945)][_0x444eac(0x146b)](function(_0x54c2a3){const _0x470aa3=_0x444eac,_0x76f9d0=[_0x54c2a3[_0x470aa3(0x2eb)]];let _0x447c98=_0x470aa3(0x15b1);const _0x388e61=new Blob(_0x76f9d0,{'type':_0x54c2a3[_0x470aa3(0x1142)]});_0x447c98=_0x296072(_0x4c869d[_0x470aa3(0x175d)])+_0x4c869d['format'];const _0x3afdc5=window[_0x470aa3(0xef3)][_0x470aa3(0x24ec)]('a');_0x3afdc5[_0x470aa3(0x1652)](_0x470aa3(0x1b3c),URL['createObjectURL'](_0x388e61)),_0x3afdc5[_0x470aa3(0x1652)]('download',_0x447c98),document['body'][_0x470aa3(0x23e)](_0x3afdc5),_0x3afdc5['click']();})[_0x444eac(0x129e)](function(_0x1941da){const _0x51e1f0=_0x444eac;if(_0x1941da[_0x51e1f0(0x524)]&&_0x1941da[_0x51e1f0(0x524)][_0x51e1f0(0xcef)]&&_0x1941da[_0x51e1f0(0x524)][_0x51e1f0(0xcef)][_0x51e1f0(0x402)])for(let _0x3bd38e=0x0;_0x3bd38e<_0x1941da['data'][_0x51e1f0(0xcef)][_0x51e1f0(0x402)];_0x3bd38e++){_0x5df861[_0x51e1f0(0x1980)]({'title':_0x1941da[_0x51e1f0(0x524)][_0x51e1f0(0xcef)][_0x3bd38e][_0x51e1f0(0x1142)],'msg':_0x1941da[_0x51e1f0(0x524)][_0x51e1f0(0xcef)][_0x3bd38e]['message']});}else{if(String(_0x1941da['status'])===_0x51e1f0(0x2670)&&_0x1755f5===![])_0x5df861['info']({'title':_0x4bea65['instant'](_0x51e1f0(0x517)),'msg':_0x4bea65['instant'](_0x51e1f0(0x25a))});else String(_0x1941da[_0x51e1f0(0x107b)])==='404'?_0x5df861[_0x51e1f0(0x271e)]({'title':_0x4bea65[_0x51e1f0(0xde)](_0x51e1f0(0x517)),'msg':_0x4bea65[_0x51e1f0(0xde)](_0x51e1f0(0x2616))}):_0x5df861[_0x51e1f0(0x1980)]({'title':_0x1941da['status']?_0x51e1f0(0x262a)+_0x1941da['status']+_0x51e1f0(0x1315)+_0x1941da[_0x51e1f0(0x167f)]:_0x51e1f0(0x2471),'msg':_0x1941da[_0x51e1f0(0x524)]?JSON[_0x51e1f0(0x10bb)](_0x1941da[_0x51e1f0(0x524)][_0x51e1f0(0x7fd)]):_0x1941da[_0x51e1f0(0xd5f)]()});}});}function _0x40c070(_0x22affc,_0x1320e9){const _0x4b6b24=_0x141e3a,_0x3f72ff=_0x20e4fd[_0x4b6b24(0x1e8a)]()[_0x4b6b24(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20'+_0x3f65c0()[_0x4b6b24(0x20d1)](_0x4b6b24(0x1688))+'?')[_0x4b6b24(0x1cbe)](_0x4b6b24(0x16d3)+(_0x22affc['name']||'voiceRecording')+_0x4b6b24(0x252f)+_0x4b6b24(0xe01))[_0x4b6b24(0x4bd)](_0x4b6b24(0x20da))[_0x4b6b24(0x1f27)](_0x1320e9)['ok']('OK')[_0x4b6b24(0x6c3)]('CANCEL');_0x20e4fd['show'](_0x3f72ff)[_0x4b6b24(0x146b)](function(){_0x8b62f1(_0x22affc);},function(){const _0x5ebf67=_0x4b6b24;console[_0x5ebf67(0x1a74)](_0x5ebf67(0x39a));});}let _0x42ce46=!![],_0x3701c8=0x1;_0x2e8b6b[_0x141e3a(0x21e8)](_0x141e3a(0x2669),function(_0x5f1e02,_0xc2fe13){const _0x333fe8=_0x141e3a;_0x42ce46?_0x3b8db5(function(){_0x42ce46=![];}):(!_0xc2fe13&&(_0x3701c8=_0xf6c3a4[_0x333fe8(0x1a56)]['page']),_0x5f1e02!==_0xc2fe13&&(_0xf6c3a4[_0x333fe8(0x1a56)][_0x333fe8(0x844)]=0x1),!_0x5f1e02&&(_0xf6c3a4[_0x333fe8(0x1a56)][_0x333fe8(0x844)]=_0x3701c8),_0xf6c3a4[_0x333fe8(0x1f4)]());});function _0x3856f2(_0x226dd1){const _0x6e0b0c=_0x141e3a;_0xf6c3a4[_0x6e0b0c(0x2546)]=_0x226dd1||{'count':0x0,'rows':[]};}function _0x1e37aa(){const _0x45c5d3=_0x141e3a;_0xf6c3a4['query']['offset']=(_0xf6c3a4[_0x45c5d3(0x1a56)][_0x45c5d3(0x844)]-0x1)*_0xf6c3a4[_0x45c5d3(0x1a56)][_0x45c5d3(0x221e)],_0x574a30[_0x45c5d3(0x23e0)]('admin')?_0xf6c3a4[_0x45c5d3(0xb9c)]=_0x330a04['voiceRecording'][_0x45c5d3(0x16b4)](_0xf6c3a4[_0x45c5d3(0x1a56)],_0x3856f2)['$promise']:(_0xf6c3a4[_0x45c5d3(0x1a56)]['id']=_0xf6c3a4[_0x45c5d3(0x26b6)]['id'],_0xf6c3a4[_0x45c5d3(0x1a56)][_0x45c5d3(0x2146)]=_0x45c5d3(0xb19),_0xf6c3a4[_0x45c5d3(0xb9c)]=_0x330a04[_0x45c5d3(0x26b6)][_0x45c5d3(0x158f)](_0xf6c3a4[_0x45c5d3(0x1a56)],_0x3856f2)['$promise']);}function _0x3dd9a6(_0x3e7050,_0x998030){const _0x3c799b=_0x141e3a;_0x20e4fd[_0x3c799b(0x2615)]({'controller':'CreateOrEditVoiceRecordingDialogController','controllerAs':'vm','templateUrl':_0x4a5e7f,'parent':angular[_0x3c799b(0x1853)](_0x173b8c[_0x3c799b(0x2586)]),'targetEvent':_0x3e7050,'clickOutsideToClose':!![],'locals':{'voiceRecording':_0x998030,'voiceRecordings':_0xf6c3a4[_0x3c799b(0x2546)][_0x3c799b(0x19c7)],'license':_0xf6c3a4[_0x3c799b(0x2690)],'setting':_0xf6c3a4[_0x3c799b(0x15b9)],'crudPermissions':_0xf6c3a4[_0x3c799b(0x2514)]}});}function _0x8b62f1(_0x293ab2){const _0x24f0a0=_0x141e3a;_0x330a04[_0x24f0a0(0x1688)][_0x24f0a0(0x1fac)]({'id':_0x293ab2['id']})[_0x24f0a0(0x2945)][_0x24f0a0(0x146b)](function(){const _0x47b17b=_0x24f0a0;_0x3f65c0()[_0x47b17b(0x2640)](_0xf6c3a4[_0x47b17b(0x2546)][_0x47b17b(0x19c7)],{'id':_0x293ab2['id']}),_0xf6c3a4[_0x47b17b(0x2546)][_0x47b17b(0x51c)]-=0x1,!_0xf6c3a4[_0x47b17b(0x2546)][_0x47b17b(0x19c7)]['length']&&_0xf6c3a4[_0x47b17b(0x1f4)](),_0x5df861[_0x47b17b(0x1c75)]({'title':_0x3f65c0()[_0x47b17b(0x20d1)](_0x47b17b(0x6b2))+_0x47b17b(0x201c),'msg':_0x293ab2[_0x47b17b(0x19eb)]?_0x293ab2[_0x47b17b(0x19eb)]+_0x47b17b(0x23e3):''});})['catch'](function(_0x1f928f){const _0x577b43=_0x24f0a0;if(_0x1f928f[_0x577b43(0x524)]&&_0x1f928f['data'][_0x577b43(0xcef)]&&_0x1f928f[_0x577b43(0x524)][_0x577b43(0xcef)][_0x577b43(0x402)]){_0xf6c3a4[_0x577b43(0xcef)]=_0x1f928f[_0x577b43(0x524)][_0x577b43(0xcef)]||[{'message':_0x1f928f[_0x577b43(0xd5f)](),'type':'SYSTEM:DELETEvoiceRecording'}];for(let _0x2b20c5=0x0;_0x2b20c5<_0x1f928f[_0x577b43(0x524)][_0x577b43(0xcef)][_0x577b43(0x402)];_0x2b20c5++){_0x5df861['error']({'title':_0x1f928f['data'][_0x577b43(0xcef)][_0x2b20c5][_0x577b43(0x1142)],'msg':_0x1f928f[_0x577b43(0x524)][_0x577b43(0xcef)][_0x2b20c5]['message']});}}else _0x5df861[_0x577b43(0x1980)]({'title':_0x1f928f[_0x577b43(0x107b)]?_0x577b43(0x262a)+_0x1f928f[_0x577b43(0x107b)]+_0x577b43(0x1315)+_0x1f928f[_0x577b43(0x167f)]:_0x577b43(0x19ee),'msg':_0x1f928f[_0x577b43(0x524)]?JSON[_0x577b43(0x10bb)](_0x1f928f[_0x577b43(0x524)][_0x577b43(0x7fd)]):_0x1f928f['message']||_0x1f928f[_0x577b43(0xd5f)]()});});}function _0x46c4ba(){const _0xaaa8f9=_0x141e3a,_0x3b3d68=angular['copy'](_0xf6c3a4[_0xaaa8f9(0x1d20)]);return _0xf6c3a4[_0xaaa8f9(0x1d20)]=[],_0x3b3d68;}function _0x4d8502(_0x4efe7c){const _0x5c2c4f=_0x141e3a,_0x2c31ce=_0x20e4fd[_0x5c2c4f(0x1e8a)]()[_0x5c2c4f(0x1189)](_0x5c2c4f(0x217f))[_0x5c2c4f(0x1cbe)](_0x5c2c4f(0x16d3)+_0xf6c3a4['selectedVoiceRecordings'][_0x5c2c4f(0x402)]+_0x5c2c4f(0x2452)+_0x5c2c4f(0xe01))[_0x5c2c4f(0x4bd)](_0x5c2c4f(0x236e))[_0x5c2c4f(0x1f27)](_0x4efe7c)['ok']('OK')[_0x5c2c4f(0x6c3)](_0x5c2c4f(0x39a));_0x20e4fd['show'](_0x2c31ce)['then'](function(){const _0x29a5f1=_0x5c2c4f;_0xf6c3a4['selectedVoiceRecordings'][_0x29a5f1(0x1df5)](function(_0x38ef66){_0x8b62f1(_0x38ef66);}),_0xf6c3a4[_0x29a5f1(0x1d20)]=[];});}function _0x4977d9(){const _0x46a942=_0x141e3a;_0xf6c3a4[_0x46a942(0x1d20)]=[];}function _0x25b273(){const _0x4efda6=_0x141e3a;_0xf6c3a4[_0x4efda6(0x1d20)]=_0xf6c3a4[_0x4efda6(0x2546)][_0x4efda6(0x19c7)];}}const _0xc85998=_0x27b1b0;;const _0x2eee07=_0x4acfac['p']+_0x313a4d(0x2143);;const _0x12634f=_0x4acfac['p']+_0x313a4d(0xf41);;const _0x16baab=_0x4acfac['p']+_0x313a4d(0x179f);;const _0x287b90=_0x4acfac['p']+_0x313a4d(0x17f4);;const _0x25799e=_0x4acfac['p']+_0x313a4d(0xee);;const _0x371dbb=_0x4acfac['p']+_0x313a4d(0x1401);;const _0x25989f=_0x4acfac['p']+_0x313a4d(0x4fa);;const _0x910bab=_0x4acfac['p']+_0x313a4d(0x159);;const _0x1f4f50=_0x4acfac['p']+_0x313a4d(0x87d);;const _0x5c8ab7=_0x4acfac['p']+'src/js/modules/main/apps/voice/views/voicemails/voicemails.html/voicemails.html';;const _0xe19c9=_0x4acfac['p']+_0x313a4d(0x8e5);;const _0x2625b8=_0x4acfac['p']+'src/js/modules/main/apps/voice/views/musiconholds/musiconholds.html/musiconholds.html';;const _0x1639a2=_0x4acfac['p']+_0x313a4d(0x1153);;const _0x5094f9=_0x4acfac['p']+_0x313a4d(0x418);;const _0x26f713=_0x4acfac['p']+'src/js/modules/main/apps/voice/views/voiceRecordings/edit/view.html/view.html';;const _0x276c31=_0x4acfac['p']+_0x313a4d(0x20a7);;const _0x599809=_0x4acfac['p']+_0x313a4d(0x874);;_0x170569[_0x313a4d(0x11c2)]=[_0x313a4d(0x921),_0x313a4d(0x695)];function _0x170569(_0x4eb476,_0x237ea2){const _0x127f2e=_0x313a4d;_0x4eb476[_0x127f2e(0x13d6)](_0x127f2e(0x2494),{'abstract':!![],'url':'/voice'})[_0x127f2e(0x13d6)](_0x127f2e(0xeca),{'url':_0x127f2e(0x1a9f),'views':{'content@app':{'templateUrl':_0x2eee07,'controller':'VoiceQueuesController\x20as\x20vm'}},'resolve':{'voiceQueues':[_0x127f2e(0x362),_0x127f2e(0xa87),function(_0x4ceec0,_0x175a95){const _0x239003=_0x127f2e;return _0x175a95[_0x239003(0x23e0)](_0x239003(0x174b))?_0x4ceec0[_0x239003(0x2922)]('voiceQueue@get',{'fields':_0x239003(0x2380),'type':_0x239003(0x7b0),'sort':'-updatedAt','channel':'voice','limit':0xa,'offset':0x0}):_0x4ceec0[_0x239003(0x2922)](_0x239003(0x938),{'id':_0x175a95[_0x239003(0xb12)]()[_0x239003(0x209a)],'section':_0x239003(0x507),'fields':'createdAt,updatedAt,id,name,type,strategy,timeout,maxlen,retry,wrapuptime,weight,joinempty,leavewhenempty,musiconhold,announce,description,periodic_announce,queue_reporthold,queue_youarenext,queue_thereare,queue_callswaiting,queue_holdtime,queue_minutes,queue_minute,queue_seconds,queue_thankyou,announce_frequency,min_announce_frequency,periodic_announce_frequency,random_periodic_announce,announce_holdtime,announce_position,announce_position_limit,reportholdtime,acw,acwTimeout,autopause,ringinuse,memberdelay,timeoutrestart,monitor_format,context,setinterfacevar,setqueuevar,setqueueentryvar,servicelevel,mandatoryDisposition,mandatoryDispositionPauseId','type':_0x239003(0x7b0),'sort':_0x239003(0x12f2),'channel':'voice','limit':0xa,'offset':0x0});}],'userProfile':[_0x127f2e(0x362),_0x127f2e(0xa87),function(_0x573b65,_0x305394){const _0x4b4313=_0x127f2e;return _0x305394[_0x4b4313(0x23e0)](_0x4b4313(0x174b))?null:_0x573b65[_0x4b4313(0x2922)](_0x4b4313(0x119a),{'fields':'id,name,crudPermissions','id':_0x305394[_0x4b4313(0xb12)]()['userProfileId']});}],'userProfileSection':[_0x127f2e(0x362),_0x127f2e(0xa87),function(_0x3801ba,_0x6bbf7d){const _0x2123e9=_0x127f2e;return _0x6bbf7d['hasRole'](_0x2123e9(0x174b))?null:_0x3801ba[_0x2123e9(0x2922)](_0x2123e9(0x27be),{'fields':_0x2123e9(0x1e64),'userProfileId':_0x6bbf7d['getCurrentUser']()[_0x2123e9(0x209a)],'sectionId':0x191});}]},'authenticate':!![],'permissionId':0x191,'bodyClass':_0x127f2e(0xe6)})[_0x127f2e(0x13d6)](_0x127f2e(0x15f8),{'url':_0x127f2e(0x2028),'params':{'voiceQueue':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x12634f,'controller':_0x127f2e(0xde6)}},'resolve':{'voiceQueue':[_0x127f2e(0x362),_0x127f2e(0x28c8),function(_0x30d71c,_0x3fddf9){const _0x493385=_0x127f2e;return _0x30d71c[_0x493385(0x2922)](_0x493385(0x5c0),{'fields':_0x493385(0x2380),'id':_0x3fddf9['id']});}],'userProfileSection':[_0x127f2e(0x362),_0x127f2e(0xa87),function(_0x2bf0d5,_0x44bb98){const _0x47ead3=_0x127f2e;return _0x2bf0d5[_0x47ead3(0x2922)](_0x47ead3(0x27be),{'fields':_0x47ead3(0x1e64),'userProfileId':_0x44bb98[_0x47ead3(0xb12)]()[_0x47ead3(0x209a)],'sectionId':0x191});}]},'authenticate':!![],'permissionId':0x191,'bodyClass':_0x127f2e(0xe6)})[_0x127f2e(0x13d6)](_0x127f2e(0x274f),{'url':_0x127f2e(0x21c2),'views':{'content@app':{'templateUrl':_0x16baab,'controller':_0x127f2e(0x1f92)}},'resolve':{'inboundroutes':[_0x127f2e(0x362),_0x127f2e(0xa87),function(_0x198d44,_0x427e54){const _0x59df37=_0x127f2e;return _0x427e54[_0x59df37(0x23e0)](_0x59df37(0x174b))?_0x198d44[_0x59df37(0x2922)]('voiceExtension@get',{'fields':_0x59df37(0x152e),'type':'inbound','sort':_0x59df37(0x12f2),'VoiceExtensionId':_0x59df37(0x203c),'limit':0xa,'offset':0x0}):_0x198d44[_0x59df37(0x2922)](_0x59df37(0x938),{'id':_0x427e54[_0x59df37(0xb12)]()[_0x59df37(0x209a)],'section':_0x59df37(0x109a),'fields':_0x59df37(0x152e),'type':_0x59df37(0x7b0),'sort':'-updatedAt','VoiceExtensionId':_0x59df37(0x203c),'limit':0xa,'offset':0x0});}],'userProfile':[_0x127f2e(0x362),_0x127f2e(0xa87),function(_0x4e70ab,_0x212585){const _0x2f025a=_0x127f2e;return _0x212585[_0x2f025a(0x23e0)](_0x2f025a(0x174b))?null:_0x4e70ab[_0x2f025a(0x2922)](_0x2f025a(0x119a),{'fields':_0x2f025a(0x227),'id':_0x212585['getCurrentUser']()[_0x2f025a(0x209a)]});}],'userProfileSection':[_0x127f2e(0x362),'Auth',function(_0x258d99,_0x45cabb){const _0x11dc16=_0x127f2e;return _0x45cabb[_0x11dc16(0x23e0)](_0x11dc16(0x174b))?null:_0x258d99[_0x11dc16(0x2922)](_0x11dc16(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x45cabb[_0x11dc16(0xb12)]()[_0x11dc16(0x209a)],'sectionId':0x192});}]},'authenticate':!![],'permissionId':0x192,'bodyClass':'voice'})[_0x127f2e(0x13d6)]('app.voice.inboundroutes.edit',{'url':_0x127f2e(0x2028),'params':{'inboundroute':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x287b90,'controller':'InboundRouteController\x20as\x20vm'}},'resolve':{'inboundroute':['apiResolver',_0x127f2e(0x28c8),function(_0x17f201,_0x1ebbd8){const _0xe46d56=_0x127f2e;return _0x17f201[_0xe46d56(0x2922)](_0xe46d56(0xf68),{'fields':_0xe46d56(0x152e),'id':_0x1ebbd8['id']});}],'userProfileSection':['apiResolver',_0x127f2e(0xa87),function(_0x338b25,_0x1374e7){const _0xc29bb1=_0x127f2e;return _0x338b25[_0xc29bb1(0x2922)]('userProfileSection@get',{'fields':_0xc29bb1(0x1e64),'userProfileId':_0x1374e7[_0xc29bb1(0xb12)]()[_0xc29bb1(0x209a)],'sectionId':0x192});}]},'authenticate':!![],'permissionId':0x192,'bodyClass':_0x127f2e(0xe6)})[_0x127f2e(0x13d6)](_0x127f2e(0x2680),{'url':_0x127f2e(0xcbb),'views':{'content@app':{'templateUrl':_0x25799e,'controller':_0x127f2e(0x288b)}},'resolve':{'outboundroutes':[_0x127f2e(0x362),_0x127f2e(0xa87),function(_0x36864c,_0x42da6f){const _0x284188=_0x127f2e;return _0x42da6f[_0x284188(0x23e0)](_0x284188(0x174b))?_0x36864c[_0x284188(0x2922)](_0x284188(0xf68),{'fields':_0x284188(0x1c29),'type':'outbound','sort':_0x284188(0x12f2),'VoiceExtensionId':'null','limit':0xa,'offset':0x0}):_0x36864c[_0x284188(0x2922)](_0x284188(0x938),{'id':_0x42da6f[_0x284188(0xb12)]()[_0x284188(0x209a)],'section':'OutboundRoutes','fields':_0x284188(0x1c29),'type':_0x284188(0xc9c),'sort':_0x284188(0x12f2),'VoiceExtensionId':_0x284188(0x203c),'limit':0xa,'offset':0x0});}],'userProfile':[_0x127f2e(0x362),_0x127f2e(0xa87),function(_0x5ed831,_0x7787c0){const _0x3a0f4c=_0x127f2e;return _0x7787c0[_0x3a0f4c(0x23e0)](_0x3a0f4c(0x174b))?null:_0x5ed831[_0x3a0f4c(0x2922)](_0x3a0f4c(0x119a),{'fields':_0x3a0f4c(0x227),'id':_0x7787c0[_0x3a0f4c(0xb12)]()[_0x3a0f4c(0x209a)]});}],'userProfileSection':[_0x127f2e(0x362),'Auth',function(_0x4a8dc6,_0x65030e){const _0x33fc4b=_0x127f2e;return _0x65030e['hasRole'](_0x33fc4b(0x174b))?null:_0x4a8dc6[_0x33fc4b(0x2922)](_0x33fc4b(0x27be),{'fields':_0x33fc4b(0x1e64),'userProfileId':_0x65030e[_0x33fc4b(0xb12)]()['userProfileId'],'sectionId':0x193});}]},'authenticate':!![],'permissionId':0x193,'bodyClass':_0x127f2e(0xe6)})[_0x127f2e(0x13d6)](_0x127f2e(0x41e),{'url':'/:id?tab','params':{'outboundroute':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x371dbb,'controller':_0x127f2e(0x125f)}},'resolve':{'outboundroute':[_0x127f2e(0x362),_0x127f2e(0x28c8),function(_0x548ad8,_0x3f9dcf){const _0x558c6c=_0x127f2e;return _0x548ad8[_0x558c6c(0x2922)](_0x558c6c(0xf68),{'fields':_0x558c6c(0x1c29),'id':_0x3f9dcf['id']});}],'userProfileSection':['apiResolver',_0x127f2e(0xa87),function(_0x319bb5,_0x2f19cd){const _0x5634ac=_0x127f2e;return _0x319bb5[_0x5634ac(0x2922)](_0x5634ac(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x2f19cd['getCurrentUser']()['userProfileId'],'sectionId':0x193});}]},'authenticate':!![],'permissionId':0x193,'bodyClass':_0x127f2e(0xe6)})[_0x127f2e(0x13d6)](_0x127f2e(0x239a),{'url':_0x127f2e(0x763),'views':{'content@app':{'templateUrl':_0x25989f,'controller':'InternalRoutesController\x20as\x20vm'}},'resolve':{'internalroutes':['apiResolver','Auth',function(_0x284c16,_0x496b47){const _0x5ee819=_0x127f2e;return _0x496b47['hasRole']('admin')?_0x284c16['resolve']('voiceExtension@get',{'fields':_0x5ee819(0x152e),'type':_0x5ee819(0x113f),'sort':_0x5ee819(0x12f2),'VoiceExtensionId':'null','limit':0xa,'offset':0x0}):_0x284c16['resolve'](_0x5ee819(0x938),{'id':_0x496b47[_0x5ee819(0xb12)]()['userProfileId'],'section':_0x5ee819(0xa2b),'fields':_0x5ee819(0x152e),'type':'internal','sort':'-updatedAt','VoiceExtensionId':_0x5ee819(0x203c),'limit':0xa,'offset':0x0});}],'userProfile':[_0x127f2e(0x362),_0x127f2e(0xa87),function(_0x54860a,_0x50db86){const _0xb38e99=_0x127f2e;return _0x50db86[_0xb38e99(0x23e0)](_0xb38e99(0x174b))?null:_0x54860a[_0xb38e99(0x2922)](_0xb38e99(0x119a),{'fields':_0xb38e99(0x227),'id':_0x50db86[_0xb38e99(0xb12)]()[_0xb38e99(0x209a)]});}],'userProfileSection':[_0x127f2e(0x362),'Auth',function(_0x263471,_0x322aa3){const _0x5a4b10=_0x127f2e;return _0x322aa3[_0x5a4b10(0x23e0)](_0x5a4b10(0x174b))?null:_0x263471[_0x5a4b10(0x2922)](_0x5a4b10(0x27be),{'fields':_0x5a4b10(0x1e64),'userProfileId':_0x322aa3['getCurrentUser']()['userProfileId'],'sectionId':0x194});}]},'authenticate':!![],'permissionId':0x194,'bodyClass':'voice'})[_0x127f2e(0x13d6)](_0x127f2e(0x1f2c),{'url':_0x127f2e(0x2028),'params':{'internalroute':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x910bab,'controller':_0x127f2e(0x1c20)}},'resolve':{'internalroute':[_0x127f2e(0x362),'$stateParams',function(_0x2aace8,_0x2e38de){const _0xe4ced1=_0x127f2e;return _0x2aace8['resolve'](_0xe4ced1(0xf68),{'fields':_0xe4ced1(0x152e),'id':_0x2e38de['id']});}],'userProfileSection':['apiResolver',_0x127f2e(0xa87),function(_0xd630a8,_0x3c8a31){const _0x15767=_0x127f2e;return _0xd630a8[_0x15767(0x2922)](_0x15767(0x27be),{'fields':_0x15767(0x1e64),'userProfileId':_0x3c8a31[_0x15767(0xb12)]()[_0x15767(0x209a)],'sectionId':0x194});}]},'authenticate':!![],'permissionId':0x194,'bodyClass':_0x127f2e(0xe6)})[_0x127f2e(0x13d6)](_0x127f2e(0x214d),{'url':_0x127f2e(0x198),'views':{'content@app':{'templateUrl':_0x1f4f50,'controller':_0x127f2e(0x829)}},'resolve':{'contexts':[_0x127f2e(0x362),_0x127f2e(0xa87),function(_0x142e7d,_0x53c0c2){const _0x26504=_0x127f2e;return _0x53c0c2['hasRole'](_0x26504(0x174b))?_0x142e7d['resolve'](_0x26504(0x87f),{'fields':_0x26504(0x608),'sort':_0x26504(0x12f2),'defaultEntry':_0x26504(0x1ed8),'limit':0xa,'offset':0x0}):_0x142e7d['resolve'](_0x26504(0x938),{'id':_0x53c0c2[_0x26504(0xb12)]()['userProfileId'],'section':_0x26504(0x275),'fields':_0x26504(0x608),'sort':_0x26504(0x12f2),'defaultEntry':'false','limit':0xa,'offset':0x0});}],'userProfile':[_0x127f2e(0x362),_0x127f2e(0xa87),function(_0x439f26,_0x58c5df){const _0x5e3443=_0x127f2e;return _0x58c5df[_0x5e3443(0x23e0)](_0x5e3443(0x174b))?null:_0x439f26[_0x5e3443(0x2922)](_0x5e3443(0x119a),{'fields':_0x5e3443(0x227),'id':_0x58c5df[_0x5e3443(0xb12)]()[_0x5e3443(0x209a)]});}],'userProfileSection':[_0x127f2e(0x362),_0x127f2e(0xa87),function(_0x1a7293,_0x20259f){const _0xa2ec0e=_0x127f2e;return _0x20259f[_0xa2ec0e(0x23e0)](_0xa2ec0e(0x174b))?null:_0x1a7293[_0xa2ec0e(0x2922)](_0xa2ec0e(0x27be),{'fields':_0xa2ec0e(0x1e64),'userProfileId':_0x20259f[_0xa2ec0e(0xb12)]()[_0xa2ec0e(0x209a)],'sectionId':0x195});}]},'authenticate':!![],'permissionId':0x195,'bodyClass':_0x127f2e(0xe6)})['state'](_0x127f2e(0x405),{'url':_0x127f2e(0x831),'views':{'content@app':{'templateUrl':_0x5c8ab7,'controller':'VoicemailsController\x20as\x20vm'}},'resolve':{'voicemails':['apiResolver',_0x127f2e(0xa87),function(_0x58b437,_0x5a80ca){const _0x45165c=_0x127f2e;return _0x5a80ca[_0x45165c(0x23e0)]('admin')?_0x58b437[_0x45165c(0x2922)](_0x45165c(0x7c1),{'fields':_0x45165c(0x1e06),'sort':'-updatedAt','limit':0xa,'offset':0x0}):_0x58b437[_0x45165c(0x2922)](_0x45165c(0x938),{'id':_0x5a80ca[_0x45165c(0xb12)]()[_0x45165c(0x209a)],'section':_0x45165c(0x1efe),'fields':_0x45165c(0x1e06),'sort':_0x45165c(0x12f2),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver','Auth',function(_0x304a37,_0x1031d3){const _0x5f5116=_0x127f2e;return _0x1031d3[_0x5f5116(0x23e0)](_0x5f5116(0x174b))?null:_0x304a37[_0x5f5116(0x2922)](_0x5f5116(0x119a),{'fields':_0x5f5116(0x227),'id':_0x1031d3[_0x5f5116(0xb12)]()[_0x5f5116(0x209a)]});}],'userProfileSection':[_0x127f2e(0x362),_0x127f2e(0xa87),function(_0x493bfd,_0x1194d0){const _0x88c00=_0x127f2e;return _0x1194d0[_0x88c00(0x23e0)](_0x88c00(0x174b))?null:_0x493bfd[_0x88c00(0x2922)](_0x88c00(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x1194d0[_0x88c00(0xb12)]()[_0x88c00(0x209a)],'sectionId':0x196});}]},'authenticate':!![],'permissionId':0x196,'bodyClass':_0x127f2e(0xe6)})[_0x127f2e(0x13d6)](_0x127f2e(0x1e71),{'url':_0x127f2e(0x2028),'params':{'voicemail':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0xe19c9,'controller':_0x127f2e(0x11dd)}},'resolve':{'voicemail':[_0x127f2e(0x362),_0x127f2e(0x28c8),function(_0x3f3533,_0x51b8bb){const _0x3851c6=_0x127f2e;return _0x3f3533[_0x3851c6(0x2922)](_0x3851c6(0x7c1),{'fields':_0x3851c6(0x1e06),'id':_0x51b8bb['id']});}],'userProfileSection':[_0x127f2e(0x362),_0x127f2e(0xa87),function(_0x19de09,_0x2b024f){const _0x399bfa=_0x127f2e;return _0x19de09[_0x399bfa(0x2922)](_0x399bfa(0x27be),{'fields':_0x399bfa(0x1e64),'userProfileId':_0x2b024f['getCurrentUser']()[_0x399bfa(0x209a)],'sectionId':0x196});}]},'authenticate':!![],'permissionId':0x196,'bodyClass':_0x127f2e(0xe6)})[_0x127f2e(0x13d6)]('app.voice.musiconholds',{'url':_0x127f2e(0x79d),'views':{'content@app':{'templateUrl':_0x2625b8,'controller':_0x127f2e(0x12a)}},'resolve':{'musiconholds':[_0x127f2e(0x362),_0x127f2e(0xa87),function(_0x3d8332,_0x3a4456){const _0x5f41f4=_0x127f2e;return _0x3a4456[_0x5f41f4(0x23e0)]('admin')?_0x3d8332[_0x5f41f4(0x2922)](_0x5f41f4(0x1f2d),{'fields':_0x5f41f4(0xcaa),'defaultEntry':_0x5f41f4(0x1ed8),'limit':0xa,'offset':0x0}):_0x3d8332[_0x5f41f4(0x2922)]('userProfile@getResources',{'id':_0x3a4456[_0x5f41f4(0xb12)]()['userProfileId'],'section':'MusicOnHolds','fields':_0x5f41f4(0xcaa),'defaultEntry':_0x5f41f4(0x1ed8),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver',_0x127f2e(0xa87),function(_0x27cc56,_0x2e56ff){const _0x256e1b=_0x127f2e;return _0x2e56ff[_0x256e1b(0x23e0)]('admin')?null:_0x27cc56[_0x256e1b(0x2922)](_0x256e1b(0x119a),{'fields':_0x256e1b(0x227),'id':_0x2e56ff[_0x256e1b(0xb12)]()[_0x256e1b(0x209a)]});}],'userProfileSection':[_0x127f2e(0x362),_0x127f2e(0xa87),function(_0xb3dd34,_0x33fce7){const _0x319e6e=_0x127f2e;return _0x33fce7[_0x319e6e(0x23e0)](_0x319e6e(0x174b))?null:_0xb3dd34[_0x319e6e(0x2922)](_0x319e6e(0x27be),{'fields':_0x319e6e(0x1e64),'userProfileId':_0x33fce7[_0x319e6e(0xb12)]()[_0x319e6e(0x209a)],'sectionId':0x197});}]},'authenticate':!![],'permissionId':0x197,'bodyClass':_0x127f2e(0xe6)})['state'](_0x127f2e(0x3b3),{'url':_0x127f2e(0x2028),'params':{'musiconhold':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x1639a2,'controller':_0x127f2e(0x1f85)}},'resolve':{'musiconhold':[_0x127f2e(0x362),'$stateParams',function(_0x436556,_0x2f5027){const _0x415c28=_0x127f2e;return _0x436556[_0x415c28(0x2922)]('voiceMusicOnHold@get',{'fields':_0x415c28(0xcaa),'id':_0x2f5027['id']});}],'userProfileSection':[_0x127f2e(0x362),'Auth',function(_0x2ce747,_0x26fc59){const _0xb389ec=_0x127f2e;return _0x2ce747[_0xb389ec(0x2922)]('userProfileSection@get',{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x26fc59[_0xb389ec(0xb12)]()[_0xb389ec(0x209a)],'sectionId':0x197});}]},'authenticate':!![],'permissionId':0x197,'bodyClass':'voice'})[_0x127f2e(0x13d6)](_0x127f2e(0x2733),{'url':_0x127f2e(0x1a3a),'views':{'content@app':{'templateUrl':_0x5094f9,'controller':'VoiceRecordingsController\x20as\x20vm'}},'resolve':{'voiceRecordings':[_0x127f2e(0x362),_0x127f2e(0xa87),_0x127f2e(0x216c),function(_0x42206c,_0xb1f838,_0x3742a3){const _0x1a1f26=_0x127f2e;return _0xb1f838[_0x1a1f26(0x23e0)]('admin')?_0x42206c['resolve'](_0x1a1f26(0x1e86),{'fields':'createdAt,updatedAt,id,format,value,type,uniqueid,calleridnum,exten,connectedlinenum,queue,membername,rating,audio,userDisposition,userSecondDisposition,userThirdDisposition,transcribeStatus,fileText,failureReason,sentiment,sPositive,sNegative,sNeutral,sMixed','sort':_0x1a1f26(0x12f2),'createdAt':_0x3742a3[_0x1a1f26(0xc8f)](),'limit':0xa,'offset':0x0}):_0x42206c['resolve'](_0x1a1f26(0x938),{'id':_0xb1f838[_0x1a1f26(0xb12)]()[_0x1a1f26(0x209a)],'section':_0x1a1f26(0xb19),'fields':_0x1a1f26(0x1359),'sort':_0x1a1f26(0x12f2),'createdAt':_0x3742a3[_0x1a1f26(0xc8f)](),'limit':0xa,'offset':0x0});}],'userProfile':[_0x127f2e(0x362),_0x127f2e(0xa87),function(_0xb80d41,_0x43e465){const _0x4fde94=_0x127f2e;return _0x43e465[_0x4fde94(0x23e0)](_0x4fde94(0x174b))?null:_0xb80d41[_0x4fde94(0x2922)](_0x4fde94(0x119a),{'fields':_0x4fde94(0x227),'id':_0x43e465['getCurrentUser']()[_0x4fde94(0x209a)]});}],'userProfileSection':[_0x127f2e(0x362),_0x127f2e(0xa87),function(_0x25a16d,_0x78354f){const _0x323351=_0x127f2e;return _0x78354f[_0x323351(0x23e0)](_0x323351(0x174b))?null:_0x25a16d[_0x323351(0x2922)]('userProfileSection@get',{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x78354f[_0x323351(0xb12)]()[_0x323351(0x209a)],'sectionId':0x198});}]},'authenticate':!![],'permissionId':0x198,'bodyClass':_0x127f2e(0xe6)})['state'](_0x127f2e(0x4cf),{'url':_0x127f2e(0x2028),'params':{'voiceRecording':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x26f713,'controller':'VoiceRecordingController\x20as\x20vm'}},'resolve':{'voiceRecording':['apiResolver',_0x127f2e(0x28c8),function(_0x538b54,_0x5549fa){const _0x3e62bc=_0x127f2e;return _0x538b54[_0x3e62bc(0x2922)]('voiceRecording@get',{'fields':'createdAt,updatedAt,id,format,value,type,uniqueid,calleridnum,exten,connectedlinenum,queue,membername,rating,audio,userDisposition,userSecondDisposition,userThirdDisposition,transcribeStatus,fileText,failureReason,sentiment,sPositive,sNegative,sNeutral,sMixed','id':_0x5549fa['id']});}],'userProfileSection':['apiResolver','Auth',function(_0x17a293,_0x57104a){const _0x6e12e6=_0x127f2e;return _0x17a293[_0x6e12e6(0x2922)]('userProfileSection@get',{'fields':_0x6e12e6(0x1e64),'userProfileId':_0x57104a[_0x6e12e6(0xb12)]()['userProfileId'],'sectionId':0x198});}]},'authenticate':!![],'permissionId':0x198,'bodyClass':'voice'})['state'](_0x127f2e(0x1b4b),{'url':_0x127f2e(0xa51),'views':{'content@app':{'templateUrl':_0x276c31,'controller':'ChanSpiesController\x20as\x20vm'}},'resolve':{'chanSpies':[_0x127f2e(0x362),_0x127f2e(0xa87),function(_0x2b86a8,_0x5732bf){const _0x35782e=_0x127f2e;return _0x5732bf[_0x35782e(0x23e0)]('admin')?_0x2b86a8[_0x35782e(0x2922)](_0x35782e(0x22b1),{'fields':_0x35782e(0x1be1),'sort':_0x35782e(0x12f2),'limit':0xa,'offset':0x0}):_0x2b86a8[_0x35782e(0x2922)](_0x35782e(0x938),{'id':_0x5732bf[_0x35782e(0xb12)]()[_0x35782e(0x209a)],'section':'ChanSpies','fields':_0x35782e(0x1be1),'sort':_0x35782e(0x12f2),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver',_0x127f2e(0xa87),function(_0x3515b5,_0x27df78){const _0x530279=_0x127f2e;return _0x27df78[_0x530279(0x23e0)](_0x530279(0x174b))?null:_0x3515b5[_0x530279(0x2922)](_0x530279(0x119a),{'fields':_0x530279(0x227),'id':_0x27df78['getCurrentUser']()[_0x530279(0x209a)]});}],'userProfileSection':[_0x127f2e(0x362),_0x127f2e(0xa87),function(_0x440b4f,_0x324260){const _0xa179a2=_0x127f2e;return _0x324260['hasRole'](_0xa179a2(0x174b))?null:_0x440b4f[_0xa179a2(0x2922)](_0xa179a2(0x27be),{'fields':_0xa179a2(0x1e64),'userProfileId':_0x324260[_0xa179a2(0xb12)]()['userProfileId'],'sectionId':0x199});}]},'authenticate':!![],'permissionId':0x199,'bodyClass':_0x127f2e(0xe6)})[_0x127f2e(0x13d6)](_0x127f2e(0x17c8),{'url':_0x127f2e(0x1f75),'views':{'content@app':{'templateUrl':_0x599809,'controller':_0x127f2e(0xc70)}},'resolve':{'voicePrefixes':['apiResolver',_0x127f2e(0xa87),function(_0xe89f66,_0x10e5d6){const _0x3e4a6e=_0x127f2e;return _0x10e5d6['hasRole'](_0x3e4a6e(0x174b))?_0xe89f66[_0x3e4a6e(0x2922)]('voicePrefix@get',{'fields':_0x3e4a6e(0xd0d),'limit':0xa,'offset':0x0}):_0xe89f66[_0x3e4a6e(0x2922)]('userProfile@getResources',{'id':_0x10e5d6[_0x3e4a6e(0xb12)]()['userProfileId'],'section':_0x3e4a6e(0x8cf),'fields':_0x3e4a6e(0xd0d),'limit':0xa,'offset':0x0});}],'userProfile':[_0x127f2e(0x362),'Auth',function(_0x23b3c1,_0x27820a){const _0x187ec8=_0x127f2e;return _0x27820a[_0x187ec8(0x23e0)](_0x187ec8(0x174b))?null:_0x23b3c1[_0x187ec8(0x2922)](_0x187ec8(0x119a),{'fields':'id,name,crudPermissions','id':_0x27820a['getCurrentUser']()[_0x187ec8(0x209a)]});}],'userProfileSection':[_0x127f2e(0x362),_0x127f2e(0xa87),function(_0x5240ef,_0x3ba188){const _0x2bdf36=_0x127f2e;return _0x3ba188[_0x2bdf36(0x23e0)](_0x2bdf36(0x174b))?null:_0x5240ef[_0x2bdf36(0x2922)](_0x2bdf36(0x27be),{'fields':_0x2bdf36(0x1e64),'userProfileId':_0x3ba188['getCurrentUser']()[_0x2bdf36(0x209a)],'sectionId':0x19a});}]},'authenticate':!![],'permissionId':0x19a,'bodyClass':_0x127f2e(0xe6)}),_0x237ea2[_0x127f2e(0x15bf)](_0x127f2e(0x18c8));}angular[_0x313a4d(0x2528)](_0x313a4d(0x2494),[_0x313a4d(0xdad),_0x313a4d(0x962),'md.data.table',_0x313a4d(0x963),_0x313a4d(0x19e2),_0x313a4d(0x27c1),_0x313a4d(0x195b),'ngAria','ngAnimate','mdPickers',_0x313a4d(0x28a8),'mwFormViewer',_0x313a4d(0x1e57),'ngclipboard',_0x313a4d(0x1bd2),_0x313a4d(0x1792),_0x313a4d(0x1b65),_0x313a4d(0x1626),_0x313a4d(0x2713),'angular.filter','app.voice.realtime'])[_0x313a4d(0x989)](_0x170569)[_0x313a4d(0x28f0)](_0x313a4d(0x1c1e),_0x2a36af)[_0x313a4d(0x28f0)](_0x313a4d(0x1e98),_0x50496d)[_0x313a4d(0x28f0)](_0x313a4d(0xbf9),_0x31a471)[_0x313a4d(0x28f0)]('CreateOrEditContextDialogController',_0xbe9e56)[_0x313a4d(0x28f0)](_0x313a4d(0xf20),_0x3a5ae9)['controller'](_0x313a4d(0x1217),_0x21d2e6)['controller']('EditInboundAppagiDialogController',_0x502b9a)['controller'](_0x313a4d(0x282f),_0x5848e5)[_0x313a4d(0x28f0)](_0x313a4d(0x2322),_0x2b1770)[_0x313a4d(0x28f0)](_0x313a4d(0x60a),_0x2fef2f)[_0x313a4d(0x28f0)]('EditInboundAppgotoDialogController',_0x2661c3)['controller'](_0x313a4d(0x1215),_0x5dfcb)[_0x313a4d(0x28f0)](_0x313a4d(0x251e),_0x4b7a9f)[_0x313a4d(0x28f0)](_0x313a4d(0x2be),_0x5cf705)[_0x313a4d(0x28f0)](_0x313a4d(0x14ff),_0x565e43)[_0x313a4d(0x28f0)](_0x313a4d(0x24e2),_0x48ad30)['controller']('EditInboundAppringgroupDialogController',_0x486093)[_0x313a4d(0x28f0)](_0x313a4d(0x5bd),_0x402dd6)[_0x313a4d(0x28f0)]('EditInboundAppvoicemailDialogController',_0x59f3e1)[_0x313a4d(0x28f0)]('InboundRouteController',_0x3ef292)['controller'](_0x313a4d(0x5b6),_0x5b4627)['controller'](_0x313a4d(0x927),_0x2bdba3)[_0x313a4d(0x28f0)]('InternalRouteActionsController',_0xae6248)[_0x313a4d(0x28f0)](_0x313a4d(0x14ce),_0x57a848)[_0x313a4d(0x28f0)]('EditInternalAppcustomDialogController',_0x112861)[_0x313a4d(0x28f0)](_0x313a4d(0x1793),_0xb05143)[_0x313a4d(0x28f0)](_0x313a4d(0xa67),_0x36955c)['controller'](_0x313a4d(0x1083),_0x5f29cd)['controller'](_0x313a4d(0x37d),_0x42a866)[_0x313a4d(0x28f0)](_0x313a4d(0x27c8),_0x2e7618)[_0x313a4d(0x28f0)]('EditInternalAppintervalDialogController',_0x4f87f7)[_0x313a4d(0x28f0)]('EditInternalAppplaybackDialogController',_0x122cea)[_0x313a4d(0x28f0)](_0x313a4d(0xa20),_0x47eb02)[_0x313a4d(0x28f0)]('EditInternalAppringgroupDialogController',_0x58020f)[_0x313a4d(0x28f0)](_0x313a4d(0x1bab),_0x3180f7)['controller'](_0x313a4d(0x2814),_0x54cab9)[_0x313a4d(0x28f0)](_0x313a4d(0x241f),_0x2e96c1)[_0x313a4d(0x28f0)](_0x313a4d(0x244f),_0x56bc14)[_0x313a4d(0x28f0)](_0x313a4d(0xbc9),_0x1abb76)[_0x313a4d(0x28f0)](_0x313a4d(0x75f),_0x33dfc9)[_0x313a4d(0x28f0)](_0x313a4d(0x1b55),_0x3b7890)[_0x313a4d(0x28f0)](_0x313a4d(0x1485),_0x3a3cdf)[_0x313a4d(0x28f0)](_0x313a4d(0x1f15),_0x554154),angular[_0x313a4d(0x2528)](_0x313a4d(0x2494))[_0x313a4d(0x28f0)](_0x313a4d(0x27d5),_0x131b07)['controller'](_0x313a4d(0x1b9b),_0x31dce0)[_0x313a4d(0x28f0)](_0x313a4d(0x19e7),_0x188944)[_0x313a4d(0x28f0)](_0x313a4d(0xaee),_0x327b31)['controller'](_0x313a4d(0x12a7),_0x489f46)['controller'](_0x313a4d(0x1f95),_0x1457b4)[_0x313a4d(0x28f0)](_0x313a4d(0x12b5),_0x47510f)[_0x313a4d(0x28f0)]('CreateOrEditVoicemailDialogController',_0x19b629)[_0x313a4d(0x28f0)](_0x313a4d(0x827),_0x15f9c3)[_0x313a4d(0x28f0)]('VoicemailController',_0x5b5f50)[_0x313a4d(0x28f0)](_0x313a4d(0x1107),_0x2db959)[_0x313a4d(0x28f0)](_0x313a4d(0x1fc7),_0x48dc6f)[_0x313a4d(0x28f0)](_0x313a4d(0x10ca),_0x288994)['controller'](_0x313a4d(0x26c0),_0x3cf24d)[_0x313a4d(0x28f0)](_0x313a4d(0xb8b),_0x30837c)[_0x313a4d(0x28f0)](_0x313a4d(0x244d),_0xc182fb)[_0x313a4d(0x28f0)]('VoiceQueueteamaddController',_0x34a2fc)[_0x313a4d(0x28f0)](_0x313a4d(0xaed),_0x279e51)['controller'](_0x313a4d(0x120c),_0x24a2d3)[_0x313a4d(0x28f0)](_0x313a4d(0xcc9),_0x5955c9)['controller'](_0x313a4d(0x6dc),_0x35836b)[_0x313a4d(0x28f0)](_0x313a4d(0x1dd2),_0x3b6c41)['controller'](_0x313a4d(0x2485),_0xc85998);;const _0x31d977=_0x4acfac['p']+_0x313a4d(0xdd9);;const _0x1185d3=_0x4acfac['p']+'src/js/modules/main/apps/chat/views/chatQueues/edit/view.html/view.html';;const _0x28faca=_0x4acfac['p']+_0x313a4d(0x2d4);;const _0x121d2f=_0x4acfac['p']+_0x313a4d(0xd33);;const _0x156e2c=_0x4acfac['p']+'src/js/modules/main/apps/chat/views/realtime/realtime.html/realtime.html';;const _0x1ed7f0=_0x4acfac['p']+_0x313a4d(0x1804);;const _0x1b4bf3=_0x4acfac['p']+_0x313a4d(0x2911);;_0x276f26[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$q','$timeout',_0x313a4d(0x10e8),_0x313a4d(0x4d8),'$filter',_0x313a4d(0x23bb),_0x313a4d(0x23c0),_0x313a4d(0x2445),'api','socket',_0x313a4d(0x1fe4),_0x313a4d(0x1ac0),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0xa0a)];function _0x276f26(_0x392388,_0x7cd81c,_0x3ab126,_0x552d55,_0x58de1c,_0x42b499,_0x35a595,_0x282240,_0x3336b6,_0x497f9a,_0xbeb017,_0x526c58,_0x4fa767,_0x2f0f7d,_0x5a0de,_0x16a4da,_0x57b208,_0x3e61a7){const _0x24ace6=_0x313a4d,_0x3288f8=this,_0x2d3664=[_0x24ace6(0x1fce),_0x24ace6(0x538),'lastLoginAt','lastPauseAt',_0x24ace6(0x1125),_0x24ace6(0x192a),_0x24ace6(0x113f),_0x24ace6(0x2bb),_0x24ace6(0x5f7),_0x24ace6(0xcc5),_0x24ace6(0x1e9e),'smsPause',_0x24ace6(0x1f9d),_0x24ace6(0x25c4),_0x24ace6(0x211c),_0x24ace6(0x6e4),'openchannelCapacity',_0x24ace6(0xf85),_0x24ace6(0x1820),_0x24ace6(0x237c),_0x24ace6(0x1cf6),_0x24ace6(0xaac),_0x24ace6(0x247),'mailCurrentCapacity',_0x24ace6(0x2e2),_0x24ace6(0xfc1),_0x24ace6(0x1815),_0x24ace6(0xfc3),_0x24ace6(0xfae),_0x24ace6(0x1fc6),_0x24ace6(0x22f6),'whatsappStatus',_0x24ace6(0x15ea),_0x24ace6(0x685),_0x24ace6(0x1a22),_0x24ace6(0x6cb),_0x24ace6(0x10cd),_0x24ace6(0x1f5c),_0x24ace6(0xadd),_0x24ace6(0x47a),_0x24ace6(0x1dda),_0x24ace6(0x1c72),'destconnectedlinenum',_0x24ace6(0x1ca2),_0x24ace6(0x4e3),_0x24ace6(0x22c3)],_0x365d6f=[_0x24ace6(0x5f7),'openchannelPause',_0x24ace6(0x1e9e),_0x24ace6(0x17c),_0x24ace6(0x1f9d),_0x24ace6(0x25c4),_0x24ace6(0x211c),_0x24ace6(0x538)];_0x3288f8[_0x24ace6(0x2321)]=_0x16a4da['getCurrentUser'](),_0x3288f8[_0x24ace6(0xe3e)]=[_0x24ace6(0xad4),'inuse',_0x24ace6(0x26a7),_0x24ace6(0x776),_0x24ace6(0x254d)],_0x3288f8[_0x24ace6(0x23bb)]=_0x35a595||{'count':0x0,'rows':[]},_0x3288f8[_0x24ace6(0x2690)]=_0x57b208,_0x3288f8['userProfile']=_0x2f0f7d,_0x3288f8[_0x24ace6(0x1366)]=_0x5a0de&&_0x5a0de[_0x24ace6(0x51c)]==0x1?_0x5a0de[_0x24ace6(0x19c7)][0x0]:null,_0x3288f8[_0x24ace6(0x2514)]=_0x16a4da[_0x24ace6(0xe60)](_0x3288f8[_0x24ace6(0x1366)]?_0x3288f8[_0x24ace6(0x1366)][_0x24ace6(0x2514)]:null),_0x3288f8[_0x24ace6(0x23c0)]=_0x282240?_0x3f65c0()[_0x24ace6(0x194)](_0x282240[_0x24ace6(0x19c7)]?_0x282240[_0x24ace6(0x19c7)]:[],'id'):{},_0x3288f8[_0x24ace6(0x2445)]=_0x3336b6?_0x3f65c0()[_0x24ace6(0x194)](_0x3336b6[_0x24ace6(0x19c7)]?_0x3336b6[_0x24ace6(0x19c7)]:[],'id'):{},_0x3288f8['paginatedAgents']={},_0x3288f8[_0x24ace6(0x2412)]=![],_0x3288f8[_0x24ace6(0x206e)]=[],_0x3288f8['agentInternal']={};for(const _0x44b0d8 in _0x3288f8['agents']){typeof _0x3288f8[_0x24ace6(0x23c0)][_0x44b0d8]!==_0x24ace6(0x2274)&&(_0x3288f8[_0x24ace6(0x23c0)][_0x44b0d8][_0x24ace6(0x5aa)]=!![]);}_0x3288f8[_0x24ace6(0x1a56)]={'limit':0xa,'page':0x1,'order':_0x24ace6(0x286a),'globalStatusFilter':'','pauseTypeFilter':''},_0x3288f8[_0x24ace6(0x2044)]=_0x15cd6e,_0x3288f8[_0x24ace6(0x2677)]=_0x159a93,_0x3288f8[_0x24ace6(0x1e3e)]=_0x4d0e0a,_0x3288f8[_0x24ace6(0x693)]=_0x5f40f1,_0x3288f8['showInfo']=_0x221dae,_0x3288f8[_0x24ace6(0x1eaa)]=_0x70a010,_0x3288f8[_0x24ace6(0x1775)]=_0x200b2a,_0x3288f8[_0x24ace6(0x2075)]=_0x589a6a,_0x3288f8['checkPause']=_0x115d2b,_0x3288f8[_0x24ace6(0x1d53)]=_0x92bad3,_0x3288f8[_0x24ace6(0x1db0)]=_0x2d2519,_0x3288f8['onUpdate']=_0x43f98f,_0x3288f8[_0x24ace6(0x3d4)]=_0xd65a38,_0x3288f8[_0x24ace6(0x201a)]=_0xa5a650,_0x3288f8[_0x24ace6(0x483)]=_0x27a750,_0x3288f8['screenRecording']=_0x5e8ff1,_0xbeb017['on'](_0x24ace6(0x17de),_0x3288f8['onSave']),_0xbeb017['on'](_0x24ace6(0x324),_0x3288f8['onUpdate']),_0xbeb017['on'](_0x24ace6(0x118c),_0x3288f8[_0x24ace6(0x201a)]),_0xbeb017['on'](_0x24ace6(0x198f),_0x3288f8[_0x24ace6(0x483)]),_0xd65a38();let _0x1893fa=_0x3e61a7(function(){const _0x466259=_0x24ace6;_0x3288f8[_0x466259(0x2412)]&&(_0x3288f8['load']=![],_0xd65a38());},0x3e7);function _0xd65a38(){const _0x576c20=_0x24ace6;_0x3288f8[_0x576c20(0x2412)]=![];const _0x13b01e=_0x7cd81c[_0x576c20(0xce3)]();_0x3288f8[_0x576c20(0xb9c)]=_0x13b01e[_0x576c20(0xb9c)],_0x3288f8['filteredAgents']=[],_0x3288f8[_0x576c20(0x206e)]=[],_0x3f65c0()[_0x576c20(0x1a04)](_0x3288f8[_0x576c20(0x23c0)],function(_0x3eb7e6,_0x364513){const _0x252e25=_0x576c20;_0x3288f8[_0x252e25(0x2445)][_0x364513]&&_0x3f65c0()[_0x252e25(0x168d)](_0x3eb7e6,_0x3f65c0()[_0x252e25(0x40e)](_0x3f65c0()['omit'](_0x3288f8[_0x252e25(0x2445)][_0x364513],_0x365d6f),_0x2d3664)),_0x200b2a(_0x3eb7e6),_0x3eb7e6[_0x252e25(0x538)]?(_0x3288f8[_0x252e25(0x202e)][_0x252e25(0x1f47)](_0x3eb7e6),_0x3288f8[_0x252e25(0x626)][_0x3eb7e6[_0x252e25(0x113f)]]=_0x364513):_0x3eb7e6[_0x252e25(0xed1)]=_0x3f65c0()['toNumber'](_0x2deec6()()[_0x252e25(0x22b0)]('x'));});_0x3288f8[_0x576c20(0x1a56)][_0x576c20(0x1500)]&&_0x3f65c0()[_0x576c20(0x2640)](_0x3288f8[_0x576c20(0x202e)],function(_0x187fdc){const _0x5cef98=_0x576c20;return _0x187fdc[_0x5cef98(0x72b)]!==_0x3288f8[_0x5cef98(0x1a56)][_0x5cef98(0x1500)];});_0x3288f8[_0x576c20(0x1a56)][_0x576c20(0x301)]&&_0x3f65c0()['remove'](_0x3288f8['filteredAgents'],function(_0x4eb24a){const _0x4d65b3=_0x576c20;return _0x4eb24a[_0x4d65b3(0x1125)]!==_0x3288f8[_0x4d65b3(0x1a56)]['pauseTypeFilter'];});_0x3288f8[_0x576c20(0x1a56)][_0x576c20(0x1dd6)]&&_0x3f65c0()[_0x576c20(0x2640)](_0x3288f8['filteredAgents'],function(_0x567046){const _0x129ca8=_0x576c20;return _0x567046[_0x129ca8(0x286a)][_0x129ca8(0x256e)]()[_0x129ca8(0x172b)](_0x3288f8['query'][_0x129ca8(0x1dd6)][_0x129ca8(0x256e)]())<0x0;});_0x3288f8[_0x576c20(0x202e)]=_0x52b31e(_0x3288f8[_0x576c20(0x202e)]);const _0x5093e8=(_0x3288f8[_0x576c20(0x1a56)]['page']-0x1)*_0x3288f8[_0x576c20(0x1a56)]['limit'];_0x3288f8[_0x576c20(0x285)]=_0x3f65c0()[_0x576c20(0x854)](_0x3288f8[_0x576c20(0x202e)],_0x5093e8)[_0x576c20(0x1298)](0x0,_0x3288f8[_0x576c20(0x1a56)]['limit']);for(let _0x76c055=0x0;_0x76c055<_0x3288f8[_0x576c20(0x285)]['length'];_0x76c055+=0x1){_0x3288f8[_0x576c20(0x206e)][_0x576c20(0x1f47)](_0x3288f8['paginatedAgents'][_0x76c055]['id']);}_0x13b01e['resolve'](),_0x3288f8[_0x576c20(0x2412)]=!![];}function _0x2d2519(_0x515097){const _0x45cdfd=_0x24ace6;_0x3288f8['agents'][_0x515097['id']]&&(_0x3f65c0()[_0x45cdfd(0x168d)](_0x3288f8[_0x45cdfd(0x23c0)][_0x515097['id']],_0x3f65c0()[_0x45cdfd(0x40e)](_0x3f65c0()[_0x45cdfd(0x2432)](_0x515097,_0x365d6f),_0x2d3664)),_0x3f65c0()[_0x45cdfd(0x168d)](_0x3288f8[_0x45cdfd(0x2445)][_0x515097['id']],_0x3f65c0()['pick'](_0x3f65c0()['omit'](_0x515097,_0x365d6f),_0x2d3664)),_0x200b2a(_0x3288f8[_0x45cdfd(0x23c0)][_0x515097['id']]));}function _0x43f98f(_0x79c2cf){const _0x2a29ab=_0x24ace6;_0x3288f8['agents'][_0x79c2cf['id']]&&(_0x3f65c0()[_0x2a29ab(0x168d)](_0x3288f8['agents'][_0x79c2cf['id']],_0x3f65c0()['pick'](_0x79c2cf,_0x2d3664)),_0x3f65c0()[_0x2a29ab(0x168d)](_0x3288f8[_0x2a29ab(0x2445)][_0x79c2cf['id']],_0x3f65c0()[_0x2a29ab(0x40e)](_0x79c2cf,_0x2d3664)),_0x79c2cf[_0x2a29ab(0x192a)]&&(_0x3288f8[_0x2a29ab(0x23c0)][_0x79c2cf['id']][_0x2a29ab(0xed1)]=_0x79c2cf['lastPauseAt'],_0x3288f8[_0x2a29ab(0x2445)][_0x79c2cf['id']][_0x2a29ab(0xed1)]=_0x79c2cf[_0x2a29ab(0x192a)]),_0x200b2a(_0x3288f8[_0x2a29ab(0x23c0)][_0x79c2cf['id']]));}function _0xa5a650(_0x3c3ead){const _0x4b4c5d=_0x24ace6;_0x3288f8[_0x4b4c5d(0x23c0)][_0x3288f8[_0x4b4c5d(0x626)][_0x3c3ead[_0x4b4c5d(0x1fb9)]]]&&(_0x3f65c0()[_0x4b4c5d(0x168d)](_0x3288f8[_0x4b4c5d(0x23c0)][_0x3288f8['agentInternal'][_0x3c3ead[_0x4b4c5d(0x1fb9)]]],_0x3f65c0()[_0x4b4c5d(0x40e)](_0x3c3ead,[_0x4b4c5d(0x1c72),'destconnectedlinenum'])),_0x3f65c0()[_0x4b4c5d(0x168d)](_0x3288f8['rpcAgents'][_0x3288f8[_0x4b4c5d(0x626)][_0x3c3ead[_0x4b4c5d(0x1fb9)]]],_0x3f65c0()[_0x4b4c5d(0x40e)](_0x3c3ead,['queue',_0x4b4c5d(0x1e8d)])));}function _0x27a750(_0x3449c2){const _0x4a592b=_0x24ace6;_0x3288f8[_0x4a592b(0x23c0)][_0x3288f8[_0x4a592b(0x626)][_0x3449c2['destaccountcode']]]&&(_0x3288f8[_0x4a592b(0x23c0)][_0x3288f8[_0x4a592b(0x626)][_0x3449c2[_0x4a592b(0x1fb9)]]]=_0x3f65c0()[_0x4a592b(0x2432)](_0x3288f8[_0x4a592b(0x23c0)][_0x3288f8[_0x4a592b(0x626)][_0x3449c2['destaccountcode']]],['queue',_0x4a592b(0x1e8d)]),_0x3288f8[_0x4a592b(0x2445)][_0x3288f8[_0x4a592b(0x626)][_0x3449c2[_0x4a592b(0x1fb9)]]]=_0x3f65c0()[_0x4a592b(0x2432)](_0x3288f8['rpcAgents'][_0x3288f8[_0x4a592b(0x626)][_0x3449c2['destaccountcode']]],[_0x4a592b(0x1c72),_0x4a592b(0x1e8d)]));}function _0x15cd6e(_0x41e8e7){const _0x3c8eba=_0x24ace6;return _0x497f9a['user'][_0x3c8eba(0x2044)]({'id':_0x41e8e7['id'],'device':_0x3c8eba(0xfc4),'agent_id':_0x41e8e7['id'],'agent_name':_0x41e8e7[_0x3c8eba(0x19eb)]})[_0x3c8eba(0x2945)]['then'](function(){const _0x183182=_0x3c8eba;_0x526c58[_0x183182(0x1c75)]({'title':_0x183182(0x1c70),'msg':_0x41e8e7[_0x183182(0x286a)]+_0x183182(0x166c)}),_0x3288f8[_0x183182(0x23c0)][_0x41e8e7['id']]&&(_0x3288f8[_0x183182(0x23c0)][_0x41e8e7['id']][_0x183182(0x538)]=![]),_0x3288f8[_0x183182(0x2445)][_0x41e8e7['id']]&&(_0x3288f8[_0x183182(0x2445)][_0x41e8e7['id']][_0x183182(0x538)]=![]),_0xd65a38();})[_0x3c8eba(0x129e)](function(_0x1ff5e4){const _0x47d5d7=_0x3c8eba;_0x526c58[_0x47d5d7(0x1980)]({'title':_0x1ff5e4[_0x47d5d7(0x107b)]?'API:'+_0x1ff5e4[_0x47d5d7(0x107b)]+'\x20-\x20'+_0x1ff5e4[_0x47d5d7(0x167f)]:_0x47d5d7(0x23c6),'msg':_0x1ff5e4[_0x47d5d7(0x524)]?JSON[_0x47d5d7(0x10bb)](_0x1ff5e4['data']['message']):_0x1ff5e4[_0x47d5d7(0xd5f)]()});});}function _0x4d0e0a(_0x10d1d8,_0x1c2db5){const _0x1535e4=_0x24ace6;return _0x497f9a[_0x1535e4(0xe7b)][_0x1535e4(0x1e3e)]({'id':_0x10d1d8['id'],'type':_0x1c2db5})[_0x1535e4(0x2945)][_0x1535e4(0x146b)](function(_0x2b3970){const _0x5b2b16=_0x1535e4;_0x3288f8[_0x5b2b16(0x23c0)][_0x10d1d8['id']]&&_0x3f65c0()[_0x5b2b16(0x168d)](_0x3288f8['agents'][_0x10d1d8['id']],_0x3f65c0()['pick'](_0x2b3970,_0x2d3664)),_0x3288f8['rpcAgents'][_0x10d1d8['id']]&&_0x3f65c0()[_0x5b2b16(0x168d)](_0x3288f8[_0x5b2b16(0x2445)][_0x10d1d8['id']],_0x3f65c0()[_0x5b2b16(0x40e)](_0x2b3970,_0x2d3664)),_0x200b2a(_0x3288f8['agents'][_0x10d1d8['id']]);})[_0x1535e4(0x129e)](function(_0x50e08b){const _0x1970bb=_0x1535e4;_0x526c58[_0x1970bb(0x1980)]({'title':_0x50e08b['status']?_0x1970bb(0x262a)+_0x50e08b[_0x1970bb(0x107b)]+_0x1970bb(0x1315)+_0x50e08b[_0x1970bb(0x167f)]:_0x1970bb(0x23c6),'msg':_0x50e08b['data']?JSON['stringify'](_0x50e08b[_0x1970bb(0x524)][_0x1970bb(0x7fd)]):_0x50e08b['toString']()});});}function _0x5f40f1(_0x268b16){const _0x38a67a=_0x24ace6;return _0x497f9a[_0x38a67a(0xe7b)][_0x38a67a(0x974)]({'id':_0x268b16['id']})[_0x38a67a(0x2945)][_0x38a67a(0x146b)](function(_0x2dfe50){const _0x1714c5=_0x38a67a;_0x3288f8[_0x1714c5(0x23c0)][_0x268b16['id']]&&_0x3f65c0()[_0x1714c5(0x168d)](_0x3288f8[_0x1714c5(0x23c0)][_0x268b16['id']],_0x3f65c0()['pick'](_0x2dfe50,_0x2d3664)),_0x3288f8[_0x1714c5(0x2445)][_0x268b16['id']]&&_0x3f65c0()[_0x1714c5(0x168d)](_0x3288f8[_0x1714c5(0x2445)][_0x268b16['id']],_0x3f65c0()[_0x1714c5(0x40e)](_0x2dfe50,_0x2d3664)),_0x200b2a(_0x3288f8[_0x1714c5(0x23c0)][_0x268b16['id']]);})[_0x38a67a(0x129e)](function(_0x25c20b){const _0x278e39=_0x38a67a;_0x526c58[_0x278e39(0x1980)]({'title':_0x25c20b['status']?_0x278e39(0x262a)+_0x25c20b[_0x278e39(0x107b)]+_0x278e39(0x1315)+_0x25c20b[_0x278e39(0x167f)]:_0x278e39(0x23c6),'msg':_0x25c20b[_0x278e39(0x524)]?JSON[_0x278e39(0x10bb)](_0x25c20b[_0x278e39(0x524)][_0x278e39(0x7fd)]):_0x25c20b['toString']()});});}function _0x221dae(_0x138f7f){const _0x2eb1d7=_0x24ace6;_0x552d55[_0x2eb1d7(0x2615)](_0x552d55[_0x2eb1d7(0x861)]()[_0x2eb1d7(0xa70)](!![])['title'](_0x2eb1d7(0x2783))['htmlContent'](_0x2eb1d7(0x1f77))['ok']('Ok')[_0x2eb1d7(0x1f27)](_0x138f7f));}function _0x159a93(_0x26f60c,_0x54f1d6){const _0x504eb=_0x24ace6;_0x552d55[_0x504eb(0x2615)]({'controller':'AgentqueueaddController','controllerAs':'vm','templateUrl':_0xbb22f1,'parent':angular['element'](_0x58de1c[_0x504eb(0x2586)]),'targetEvent':_0x54f1d6,'clickOutsideToClose':!![],'locals':{'agent':_0x26f60c,'agents':[],'channel':_0x504eb(0xa7f),'direction':_0x504eb(0x7b0),'crudPermissions':_0x3288f8[_0x504eb(0x2514)]}});}function _0x589a6a(_0x16ad21){const _0x5451b9=_0x24ace6;return _0x16ad21===_0x5451b9(0x1e3e)||_0x16ad21===_0x5451b9(0x1127);}function _0x115d2b(_0x16d3ae){const _0x2fdace=_0x24ace6;return _0x16d3ae===_0x2fdace(0x1e3e);}function _0x92bad3(_0x136167){const _0x211431=_0x24ace6;return _0x136167===_0x211431(0x1127);}function _0x3f1738(_0x2338d4){const _0x31cf3f=_0x24ace6;return _0x2338d4===_0x31cf3f(0xb09)||_0x2338d4===_0x31cf3f(0x207d)||_0x2338d4===_0x31cf3f(0x85d)||_0x2338d4===_0x31cf3f(0xad4)||_0x3f65c0()[_0x31cf3f(0x1b36)](_0x2338d4);}function _0x70a010(_0xf39e1,_0x3bf961){const _0x4ceef2=_0x24ace6;if(_0x3bf961===_0x4ceef2(0xe6)){if(_0xf39e1[_0x3bf961+_0x4ceef2(0x74e)]!==_0x4ceef2(0xb09))return _0xf39e1[_0x3bf961+_0x4ceef2(0x74e)];if(_0xf39e1[_0x3bf961+'Pause'])return _0xf39e1[_0x3bf961+_0x4ceef2(0x74e)]='pause','pause';return _0x4ceef2(0xb09);}else{if(_0xf39e1[_0x3bf961+_0x4ceef2(0xde0)])return _0xf39e1[_0x3bf961+_0x4ceef2(0x74e)]=_0x4ceef2(0x1e3e),'pause';return _0xf39e1[_0x3bf961+'Status'];}}function _0x200b2a(_0x1e0108){const _0x512141=_0x24ace6;let _0x47b475=_0x512141(0x207d);if(_0x3f65c0()[_0x512141(0xb14)]([_0x70a010(_0x1e0108,'voice'),_0x70a010(_0x1e0108,'chat'),_0x70a010(_0x1e0108,'mail'),_0x70a010(_0x1e0108,_0x512141(0x7d9)),_0x70a010(_0x1e0108,'sms'),_0x70a010(_0x1e0108,'whatsapp'),_0x70a010(_0x1e0108,_0x512141(0x22d9))],_0x589a6a))_0x47b475='pause';else{if(_0x3f65c0()['some']([_0x70a010(_0x1e0108,'voice'),_0x70a010(_0x1e0108,'chat'),_0x70a010(_0x1e0108,_0x512141(0x56b)),_0x70a010(_0x1e0108,_0x512141(0x7d9)),_0x70a010(_0x1e0108,'sms'),_0x70a010(_0x1e0108,_0x512141(0xff9)),_0x70a010(_0x1e0108,_0x512141(0x22d9))],_0x589a6a))_0x47b475=_0x512141(0x1127);else _0x3f65c0()[_0x512141(0xb14)]([_0x70a010(_0x1e0108,_0x512141(0xe6)),_0x70a010(_0x1e0108,_0x512141(0xa7f)),_0x70a010(_0x1e0108,'mail'),_0x70a010(_0x1e0108,'openchannel'),_0x70a010(_0x1e0108,_0x512141(0x929)),_0x70a010(_0x1e0108,_0x512141(0xff9)),_0x70a010(_0x1e0108,_0x512141(0x22d9))],_0x3f1738)?_0x47b475=_0x512141(0xb09):_0x47b475=_0x512141(0x26a7);}_0x1e0108[_0x512141(0x72b)]!==_0x47b475&&(_0x1e0108[_0x512141(0x72b)]=_0x47b475,_0x1e0108[_0x512141(0x5aa)]?(_0x1e0108[_0x512141(0x5aa)]=![],_0x1e0108['globalStatusTime']=_0x589a6a(_0x47b475)?_0x3f65c0()[_0x512141(0x123)](_0x2deec6()(_0x1e0108[_0x512141(0x192a)])[_0x512141(0x22b0)]('x')):_0x3f65c0()[_0x512141(0x727)]([_0x1e0108['voiceStatusTime'],_0x1e0108['chatStatusTime'],_0x1e0108[_0x512141(0x10cd)],_0x1e0108[_0x512141(0x1f5c)],_0x1e0108['whatsappStatusTime'],_0x1e0108['openchannelStatusTime'],_0x1e0108[_0x512141(0x47a)]])):_0x1e0108[_0x512141(0xed1)]=_0x3f65c0()[_0x512141(0x123)](_0x2deec6()()[_0x512141(0x22b0)]('x')));}function _0x52b31e(_0x3a3387){const _0x2aeed2=_0x24ace6,_0x170574=_0x3f65c0()[_0x2aeed2(0xd4d)](_0x3288f8[_0x2aeed2(0x1a56)]['order'],'-')?_0x2aeed2(0x2517):_0x2aeed2(0x195);return _0x3f65c0()['orderBy'](_0x3a3387,[_0x3288f8[_0x2aeed2(0x1a56)][_0x2aeed2(0x206e)]['replace']('-','')],[_0x170574]);}function _0x300ed1(){_0x1893fa&&(_0x3e61a7['cancel'](_0x1893fa),_0x1893fa=null);}function _0x5e8ff1(_0x233850,_0xa0a62){const _0x24fa63=_0x24ace6;return _0x497f9a[_0x24fa63(0xe7b)][_0x24fa63(0x18e1)]({'id':_0x233850['id']},{'screenrecording':_0xa0a62})['$promise'][_0x24fa63(0x129e)](function(_0x249557){const _0x38642e=_0x24fa63;_0x526c58['error']({'title':_0x249557[_0x38642e(0x107b)]?_0x38642e(0x262a)+_0x249557['status']+_0x38642e(0x1315)+_0x249557[_0x38642e(0x167f)]:_0x38642e(0x254f),'msg':_0x249557[_0x38642e(0x524)]?JSON[_0x38642e(0x10bb)](_0x249557[_0x38642e(0x524)][_0x38642e(0x7fd)]):_0x249557['toString']()});});}_0x392388[_0x24ace6(0x16ad)](_0x24ace6(0x116f),function(){const _0x10ae7d=_0x24ace6;_0xbeb017[_0x10ae7d(0x1c5f)](_0x10ae7d(0x17de)),_0xbeb017[_0x10ae7d(0x1c5f)](_0x10ae7d(0x324)),_0xbeb017[_0x10ae7d(0x1c5f)](_0x10ae7d(0x118c)),_0xbeb017['removeAllListeners'](_0x10ae7d(0x198f)),_0x300ed1();});}const _0x42b5a9=_0x276f26;;const _0x4e5c4a=_0x4acfac['p']+_0x313a4d(0x1a9d);;const _0x53d1a0=_0x4acfac['p']+'src/js/modules/main/apps/chat/views/chatQueues/edit/agentadd/agentadd.html/agentadd.html';;_0x2697dd[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1abe),_0x313a4d(0x10e8),'$q',_0x313a4d(0x4d8),_0x313a4d(0xa0a),_0x313a4d(0x1b32),_0x313a4d(0x21af),'api',_0x313a4d(0x279d),'toasty',_0x313a4d(0x26b6),_0x313a4d(0x1366),'Auth'];function _0x2697dd(_0x5694cc,_0x5c39bd,_0x51de6c,_0x21c82c,_0x545ecf,_0x25304a,_0x368ab4,_0x4fba2a,_0x3865cf,_0x303ded,_0x16c48e,_0x422776,_0x589510,_0xd388c3){const _0x1fdf2a=_0x313a4d,_0x1f176d=this,_0x251a2d=[_0x1fdf2a(0x2398),_0x1fdf2a(0xbdb),_0x1fdf2a(0x12a3),_0x1fdf2a(0x10ed),'pTalking',_0x1fdf2a(0x1e38),_0x1fdf2a(0x7fd),_0x1fdf2a(0x1095),_0x1fdf2a(0x1a69),_0x1fdf2a(0x13c4),'paused'];_0x1f176d[_0x1fdf2a(0x2321)]=_0xd388c3[_0x1fdf2a(0xb12)](),_0x1f176d[_0x1fdf2a(0x51c)]=_0x368ab4[_0x1fdf2a(0x51c)]?_0x368ab4[_0x1fdf2a(0x51c)]:0x0,_0x1f176d[_0x1fdf2a(0x1b32)]=_0x368ab4?_0x3f65c0()['keyBy'](_0x368ab4[_0x1fdf2a(0x19c7)]?_0x368ab4[_0x1fdf2a(0x19c7)]:[],'id'):{},_0x1f176d[_0x1fdf2a(0x21af)]=_0x4fba2a?_0x3f65c0()[_0x1fdf2a(0x194)](_0x4fba2a[_0x1fdf2a(0x19c7)]?_0x4fba2a[_0x1fdf2a(0x19c7)]:[],'id'):{},_0x1f176d[_0x1fdf2a(0x26b6)]=_0x422776,_0x1f176d[_0x1fdf2a(0x1366)]=_0x589510&&_0x589510[_0x1fdf2a(0x51c)]==0x1?_0x589510[_0x1fdf2a(0x19c7)][0x0]:null,_0x1f176d[_0x1fdf2a(0x2514)]=_0xd388c3[_0x1fdf2a(0xe60)](_0x1f176d[_0x1fdf2a(0x1366)]?_0x1f176d['userProfileSection'][_0x1fdf2a(0x2514)]:null);_0xd388c3[_0x1fdf2a(0x23e0)](_0x1fdf2a(0x174b))?_0x1f176d['query']={'type':_0x1fdf2a(0x7b0),'sort':_0x1fdf2a(0x12f2),'limit':0xa,'page':0x1}:_0x1f176d[_0x1fdf2a(0x1a56)]={'id':_0x1f176d[_0x1fdf2a(0x2321)]['id'],'channel':_0x1fdf2a(0xa7f),'type':_0x1fdf2a(0x7b0),'sort':'-updatedAt','limit':0xa,'page':0x1};_0x1f176d[_0x1fdf2a(0x1c75)]=_0x51e512,_0x1f176d[_0x1fdf2a(0xc53)]=_0x324289,_0x1f176d[_0x1fdf2a(0x7be)]=_0x220e10,_0x1f176d[_0x1fdf2a(0x13c)]=_0x2f4370,_0x1f176d['updateQueue']=_0x61d11b,_0x1f176d[_0x1fdf2a(0x1db0)]=_0x35cce9,_0x1f176d[_0x1fdf2a(0x285f)]=_0x2e10b3,_0x1f176d[_0x1fdf2a(0x2240)]=_0x5801de,_0x1f176d[_0x1fdf2a(0x1028)]=_0x15ab3e,_0x1f176d[_0x1fdf2a(0x1c6c)]=_0x263735,_0x1f176d['onLogout']=_0xfcc615,_0x1f176d['$onInit']=_0x4e4ea4,_0x1f176d[_0x1fdf2a(0x206e)]=[],_0x3f65c0()[_0x1fdf2a(0x1a04)](_0x1f176d[_0x1fdf2a(0x1b32)],function(_0x5dd671){const _0x1d1162=_0x1fdf2a;_0x1f176d[_0x1d1162(0x206e)][_0x1d1162(0x1f47)](_0x5dd671['id']);}),_0x303ded['on']('chat_queue:save',_0x1f176d['onSave']),_0x303ded['on'](_0x1fdf2a(0x1128),_0x1f176d[_0x1fdf2a(0x285f)]),_0x303ded['on'](_0x1fdf2a(0x24df),_0x1f176d[_0x1fdf2a(0x2240)]),_0x303ded['on'](_0x1fdf2a(0x324),_0x1f176d[_0x1fdf2a(0x1028)]),_0x303ded['on'](_0x1fdf2a(0x1d3e),_0x1f176d['onLogout']),_0x303ded['on']('userVoiceQueue:save',_0x1f176d['onLogin']);function _0x4e4ea4(){const _0x2cba00=_0x1fdf2a,_0xb02c89=[];return _0x3f65c0()[_0x2cba00(0x1a04)](_0x1f176d[_0x2cba00(0x1b32)],function(_0x56fd65,_0x1808d4){const _0x1be386=_0x2cba00;_0x56fd65[_0x1be386(0x1e3d)]=0x0,_0x56fd65[_0x1be386(0x1899)]=0x0,_0x1f176d[_0x1be386(0x1b32)][_0x1808d4]['agents']={},_0xb02c89['push'](_0x3865cf[_0x1be386(0x16f8)]['getMembers']({'id':_0x1808d4})['$promise']),_0x1f176d[_0x1be386(0x21af)][_0x1808d4]&&_0x3f65c0()[_0x1be386(0x168d)](_0x56fd65,_0x3f65c0()[_0x1be386(0x40e)](_0x1f176d[_0x1be386(0x21af)][_0x1808d4],_0x251a2d));}),_0x21c82c[_0x2cba00(0x1be2)](_0xb02c89)[_0x2cba00(0x146b)](function(_0x35765f){const _0x986b9a=_0x2cba00;for(let _0x5b6ab9=0x0;_0x5b6ab9<_0x35765f[_0x986b9a(0x402)];_0x5b6ab9+=0x1){for(let _0x2f0b17=0x0;_0x2f0b17<_0x35765f[_0x5b6ab9]['rows']['length'];_0x2f0b17++){_0x35765f[_0x5b6ab9]['rows'][_0x2f0b17][_0x986b9a(0x1e3d)]&&_0x1f176d['queues'][_0x35765f[_0x5b6ab9][_0x986b9a(0x19c7)][_0x2f0b17][_0x986b9a(0x1ece)]][_0x986b9a(0x1e3d)]++,_0x1f176d[_0x986b9a(0x1b32)][_0x35765f[_0x5b6ab9][_0x986b9a(0x19c7)][_0x2f0b17][_0x986b9a(0x1ece)]][_0x986b9a(0x1899)]++,_0x1f176d[_0x986b9a(0x1b32)][_0x35765f[_0x5b6ab9][_0x986b9a(0x19c7)][_0x2f0b17][_0x986b9a(0x1ece)]][_0x986b9a(0x23c0)][_0x35765f[_0x5b6ab9]['rows'][_0x2f0b17]['UserId']]=_0x35765f[_0x5b6ab9]['rows'][_0x2f0b17][_0x986b9a(0x1ece)];}}})[_0x2cba00(0x129e)](function(_0x431ac5){const _0x1075f5=_0x2cba00;console[_0x1075f5(0x1980)](_0x431ac5);});}function _0x35cce9(_0x53ebc9){const _0x2d33bc=_0x1fdf2a;_0x1f176d[_0x2d33bc(0x1b32)][_0x53ebc9['id']]&&_0x3f65c0()[_0x2d33bc(0x168d)](_0x1f176d['queues'][_0x53ebc9['id']],_0x3f65c0()['pick'](_0x53ebc9,_0x251a2d));}function _0x2e10b3(_0x2af664){const _0x2e1b43=_0x1fdf2a;_0x1f176d[_0x2e1b43(0x1b32)][_0x2af664[_0x2e1b43(0x1ece)]]&&_0x1f176d[_0x2e1b43(0x1b32)][_0x2af664['ChatQueueId']][_0x2e1b43(0x1899)]++;}function _0x5801de(_0x1d78f4){const _0x5ed310=_0x1fdf2a;_0x1f176d[_0x5ed310(0x1b32)][_0x1d78f4[_0x5ed310(0x1ece)]]&&_0x1f176d[_0x5ed310(0x1b32)][_0x1d78f4[_0x5ed310(0x1ece)]][_0x5ed310(0x1899)]--;}function _0x15ab3e(_0x3a26f6){const _0x5d6a9a=_0x1fdf2a;console[_0x5d6a9a(0x1a74)](_0x5d6a9a(0x1028),_0x3a26f6);if(_0x3a26f6[_0x5d6a9a(0x211c)])_0x3f65c0()[_0x5d6a9a(0x1a04)](_0x1f176d['queues'],function(_0x57fea2){const _0x4571b9=_0x5d6a9a;_0x57fea2['agents'][_0x3a26f6['id']]&&(_0x57fea2[_0x4571b9(0x1e3d)]+=0x1,console[_0x4571b9(0x1a74)](_0x4571b9(0x1e3e)));});else!_0x3a26f6[_0x5d6a9a(0x211c)]&&_0x3f65c0()[_0x5d6a9a(0x1a04)](_0x1f176d['queues'],function(_0x3138a5){const _0x514e1c=_0x5d6a9a;_0x3138a5[_0x514e1c(0x23c0)][_0x3a26f6['id']]&&(_0x3138a5[_0x514e1c(0x1e3d)]>0x0&&(_0x3138a5[_0x514e1c(0x1e3d)]-=0x1,console['log'](_0x514e1c(0x974))));});}function _0x263735(_0x4f2fd9){const _0x28adb5=_0x1fdf2a;console[_0x28adb5(0x1a74)]('onLogged',_0x4f2fd9),_0x1f176d['queues'][_0x4f2fd9['ChatQueueId']]&&(_0x1f176d[_0x28adb5(0x1b32)][_0x4f2fd9['ChatQueueId']][_0x28adb5(0x1899)]+=0x1,_0x1f176d['queues'][_0x4f2fd9[_0x28adb5(0x1ece)]]['agents'][_0x4f2fd9[_0x28adb5(0xea2)]]=_0x4f2fd9['ChatQueueId']);}function _0xfcc615(_0x270342){const _0x2ae540=_0x1fdf2a;console[_0x2ae540(0x1a74)]('onLogout',_0x270342),_0x1f176d[_0x2ae540(0x1b32)][_0x270342['ChatQueueId']]&&_0x1f176d[_0x2ae540(0x1b32)][_0x270342[_0x2ae540(0x1ece)]][_0x2ae540(0x1899)]>0x0&&(_0x1f176d[_0x2ae540(0x1b32)][_0x270342[_0x2ae540(0x1ece)]]['loggedInDb']-=0x1,delete _0x1f176d[_0x2ae540(0x1b32)][_0x270342['ChatQueueId']][_0x2ae540(0x23c0)][_0x270342[_0x2ae540(0xea2)]]);}let _0xb85c6d=!![],_0x2e49e9=0x1;_0x5694cc['$watch'](_0x1fdf2a(0x2669),function(_0x262f73,_0xb3ba62){const _0x4d06e6=_0x1fdf2a;_0xb85c6d?_0x5c39bd(function(){_0xb85c6d=![];}):(!_0xb3ba62&&(_0x2e49e9=_0x1f176d[_0x4d06e6(0x1a56)][_0x4d06e6(0x844)]),_0x262f73!==_0xb3ba62&&(_0x1f176d[_0x4d06e6(0x1a56)][_0x4d06e6(0x844)]=0x1),!_0x262f73&&(_0x1f176d['query'][_0x4d06e6(0x844)]=_0x2e49e9),_0x1f176d[_0x4d06e6(0xc53)]());});function _0x51e512(_0xa0766f){const _0x441d0b=_0x1fdf2a;_0x1f176d[_0x441d0b(0x51c)]=_0xa0766f[_0x441d0b(0x51c)],_0x1f176d[_0x441d0b(0x1b32)]=_0xa0766f?_0x3f65c0()[_0x441d0b(0x194)](_0xa0766f[_0x441d0b(0x19c7)]?_0xa0766f['rows']:[],'id'):{};if(_0xa0766f['rows']){_0x1f176d[_0x441d0b(0x206e)]=[];for(let _0x186eb9=0x0;_0x186eb9<_0xa0766f[_0x441d0b(0x19c7)][_0x441d0b(0x402)];_0x186eb9++){_0x1f176d[_0x441d0b(0x206e)][_0x441d0b(0x1f47)](_0xa0766f[_0x441d0b(0x19c7)][_0x186eb9]['id']);}}_0x4e4ea4();}function _0x324289(){const _0x585494=_0x1fdf2a;_0x1f176d[_0x585494(0x1a56)][_0x585494(0x145d)]=(_0x1f176d[_0x585494(0x1a56)][_0x585494(0x844)]-0x1)*_0x1f176d[_0x585494(0x1a56)][_0x585494(0x221e)],_0xd388c3[_0x585494(0x23e0)](_0x585494(0x174b))?_0x1f176d['promise']=_0x3865cf[_0x585494(0x16f8)][_0x585494(0x16b4)](_0x1f176d[_0x585494(0x1a56)],_0x51e512)[_0x585494(0x2945)]:(_0x1f176d['query']['id']=_0x1f176d[_0x585494(0x26b6)]['id'],_0x1f176d['query']['section']=_0x585494(0x1d54),_0x1f176d[_0x585494(0xb9c)]=_0x3865cf[_0x585494(0x26b6)][_0x585494(0x158f)](_0x1f176d[_0x585494(0x1a56)],_0x51e512)[_0x585494(0x2945)]);}function _0x220e10(_0x74d48e,_0x1c689a){const _0x74dd67=_0x1fdf2a;_0x51de6c[_0x74dd67(0x2615)]({'controller':_0x74dd67(0x9eb),'controllerAs':'vm','templateUrl':_0x4e5c4a,'parent':angular['element'](_0x545ecf[_0x74dd67(0x2586)]),'targetEvent':_0x74d48e,'clickOutsideToClose':!![],'locals':{'chatQueue':_0x1c689a,'chatQueues':_0x3f65c0()[_0x74dd67(0x81b)](_0x1f176d[_0x74dd67(0x1b32)]),'license':null,'setting':null,'crudPermissions':_0x1f176d[_0x74dd67(0x2514)]}});}function _0x2f4370(_0x2a4389,_0x4ca6f3){const _0x2145f7=_0x1fdf2a;_0x51de6c[_0x2145f7(0x2615)]({'controller':_0x2145f7(0xc47),'controllerAs':'vm','templateUrl':_0x53d1a0,'parent':angular['element'](_0x545ecf[_0x2145f7(0x2586)]),'targetEvent':_0x2a4389,'clickOutsideToClose':!![],'locals':{'chatQueue':_0x4ca6f3,'chatQueues':_0x1f176d['queues']?_0x1f176d[_0x2145f7(0x1b32)][_0x2145f7(0x19c7)]:[],'realtime':![],'crudPermissions':_0x1f176d[_0x2145f7(0x2514)]}});}function _0x61d11b(_0x30e608){const _0xb86e81=_0x1fdf2a;return _0x3865cf['chatQueue'][_0xb86e81(0x18e1)](_0x30e608)[_0xb86e81(0x2945)]['then'](function(){const _0x3bf753=_0xb86e81;_0x16c48e[_0x3bf753(0x1c75)]({'title':_0x3bf753(0x5f1),'msg':_0x30e608[_0x3bf753(0x19eb)]?_0x30e608[_0x3bf753(0x19eb)]+_0x3bf753(0x6b0):''});})[_0xb86e81(0x129e)](function(_0x5eec8a){const _0xde371f=_0xb86e81;_0x16c48e[_0xde371f(0x1980)]({'title':'Error\x20creating\x20queue!','msg':_0x5eec8a[_0xde371f(0x7fd)]});});}_0x5694cc['$on'](_0x1fdf2a(0x116f),function(){const _0x578bf4=_0x1fdf2a;_0x303ded[_0x578bf4(0x1c5f)](_0x578bf4(0x1e10)),_0x303ded[_0x578bf4(0x1c5f)](_0x578bf4(0x24df)),_0x303ded['removeAllListeners'](_0x578bf4(0x1128)),_0x303ded[_0x578bf4(0x1c5f)]('user:update'),_0x303ded['removeAllListeners'](_0x578bf4(0x1d3e)),_0x303ded[_0x578bf4(0x1c5f)](_0x578bf4(0x109d));});}const _0x1cb8a8=_0x2697dd;;function _0x23981f(){const _0x43dcb8=_0x313a4d;return{'status':{'registered':'green-300-fg\x20icon-checkbox-marked-circle','unregistered':_0x43dcb8(0x4c7),'lagged':_0x43dcb8(0x4c7),'reachable':_0x43dcb8(0xdf4),'unreachable':_0x43dcb8(0x4c7),'unknown':_0x43dcb8(0xc4b)},'state':{'unknown':_0x43dcb8(0x1fd7),'not_inuse':_0x43dcb8(0x1756),'inuse':'red-300-fg\x20icon-phone-in-talk','busy':_0x43dcb8(0xb3c),'invalid':'grey-fg\x20icon-phone-hangup','unavailable':_0x43dcb8(0x1fd7),'ringing':_0x43dcb8(0x1172),'ringinuse':_0x43dcb8(0x1172),'onhold':_0x43dcb8(0x119f)},'channelStatus':{'ring':_0x43dcb8(0x1172),'up':'green-300-fg\x20icon-phone-in-talk','hangup':_0x43dcb8(0x135d)},'channelStatusOut':{'ring':_0x43dcb8(0x1a13),'up':_0x43dcb8(0x1668),'hangup':_0x43dcb8(0x135d)}};}const _0xe206ee=_0x23981f;;_0x25e174[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x1862),_0x313a4d(0x279d)];function _0x25e174(_0xfd8a19,_0x51cb88,_0xa04d19){const _0x445347=_0x313a4d,_0x249358=this;_0xa04d19[_0x445347(0x194a)](),_0xa04d19[_0x445347(0x2e3)](),_0x249358['selectedTab']=0x0;switch(_0x51cb88['current']['name']){case'app.chat.realtime.agents':_0x249358['selectedTab']=0x0;break;case _0x445347(0x16cb):_0x249358[_0x445347(0x1b09)]=0x1;break;default:_0x249358[_0x445347(0x1b09)]=0x0,_0x51cb88['go'](_0x445347(0x1c95));}_0xfd8a19['$watch'](_0x445347(0x241b),function(_0x515efd,_0x52ef37){const _0x495fb0=_0x445347;if(_0x515efd!==_0x52ef37)switch(_0x515efd){case 0x0:_0x51cb88['go'](_0x495fb0(0x1c95));break;case 0x1:_0x51cb88['go'](_0x495fb0(0x16cb));break;default:_0x51cb88['go']('app.chat.realtime.agents');}});}const _0x866c42=_0x25e174;;_0x427450[_0x313a4d(0x11c2)]=[_0x313a4d(0x921)];function _0x427450(_0x1fae6b){const _0x1a9662=_0x313a4d;_0x1fae6b[_0x1a9662(0x13d6)](_0x1a9662(0x284e),{'url':_0x1a9662(0x178b),'views':{'content@app':{'templateUrl':_0x156e2c,'controller':_0x1a9662(0x1c54)}},'resolve':{'userProfile':[_0x1a9662(0x362),_0x1a9662(0xa87),function(_0x3b5624,_0x46b577){const _0x5a4bd2=_0x1a9662;return _0x46b577['hasRole'](_0x5a4bd2(0x174b))?null:_0x3b5624[_0x5a4bd2(0x2922)]('userProfile@get',{'fields':_0x5a4bd2(0x227),'id':_0x46b577['getCurrentUser']()[_0x5a4bd2(0x209a)]});}],'userProfileSection':[_0x1a9662(0x362),_0x1a9662(0xa87),function(_0x3e215f,_0x46f23b){const _0x1f565a=_0x1a9662;return _0x46f23b[_0x1f565a(0x23e0)]('admin')?null:_0x3e215f[_0x1f565a(0x2922)](_0x1f565a(0x27be),{'fields':_0x1f565a(0x1e64),'userProfileId':_0x46f23b['getCurrentUser']()[_0x1f565a(0x209a)],'sectionId':0x1fe});}]},'authenticate':!![],'permissionId':0x1fe})[_0x1a9662(0x13d6)]('app.chat.realtime.agents',{'url':_0x1a9662(0x1507),'controller':_0x1a9662(0x1d2f),'templateUrl':_0x1ed7f0,'resolve':{'pauses':[_0x1a9662(0x362),function(_0x388c47){const _0x3668aa=_0x1a9662;return _0x388c47['resolve'](_0x3668aa(0x3f6),{'nolimit':!![]});}],'agents':[_0x1a9662(0x362),'Auth',function(_0x6d83ae,_0x846dd3){const _0x17fbaa=_0x1a9662;return _0x846dd3[_0x17fbaa(0x23e0)](_0x17fbaa(0x174b))?_0x6d83ae[_0x17fbaa(0x2922)](_0x17fbaa(0x2484),{'fields':_0x17fbaa(0x2869),'role':_0x17fbaa(0x1755),'sort':'fullname','nolimit':!![]}):_0x6d83ae['resolve'](_0x17fbaa(0x938),{'id':_0x846dd3[_0x17fbaa(0xb12)]()[_0x17fbaa(0x209a)],'section':'Agents','fields':_0x17fbaa(0x2869),'role':_0x17fbaa(0x1755),'sort':_0x17fbaa(0x286a),'nolimit':!![]});}],'userProfile':['apiResolver',_0x1a9662(0xa87),function(_0x51e64d,_0x4f7f12){const _0x3e53ed=_0x1a9662;return _0x4f7f12[_0x3e53ed(0x23e0)](_0x3e53ed(0x174b))?null:_0x51e64d[_0x3e53ed(0x2922)](_0x3e53ed(0x119a),{'fields':_0x3e53ed(0x227),'id':_0x4f7f12[_0x3e53ed(0xb12)]()[_0x3e53ed(0x209a)]});}],'userProfileSection':[_0x1a9662(0x362),'Auth',function(_0x1d5764,_0x20c098){const _0x51538f=_0x1a9662;return _0x20c098[_0x51538f(0x23e0)](_0x51538f(0x174b))?null:_0x1d5764[_0x51538f(0x2922)](_0x51538f(0x27be),{'fields':_0x51538f(0x1e64),'userProfileId':_0x20c098[_0x51538f(0xb12)]()[_0x51538f(0x209a)],'sectionId':0xca});}],'rpcAgents':[_0x1a9662(0x362),function(_0x54224c){const _0x3b2816=_0x1a9662;return _0x54224c[_0x3b2816(0x2922)]('rpc@getAgents');}]},'authenticate':!![],'permissionId':0x1fe})[_0x1a9662(0x13d6)](_0x1a9662(0x16cb),{'url':_0x1a9662(0xf15),'controller':_0x1a9662(0x2611),'templateUrl':_0x1b4bf3,'resolve':{'queues':[_0x1a9662(0x362),'Auth',function(_0x59c718,_0x3f7724){const _0x5c8a8a=_0x1a9662;return _0x3f7724['hasRole'](_0x5c8a8a(0x174b))?_0x59c718[_0x5c8a8a(0x2922)]('chatQueue@get',{'type':_0x5c8a8a(0x7b0),'sort':_0x5c8a8a(0x12f2),'limit':0xa,'offset':0x0}):_0x59c718[_0x5c8a8a(0x2922)](_0x5c8a8a(0x938),{'id':_0x3f7724[_0x5c8a8a(0xb12)]()[_0x5c8a8a(0x209a)],'section':_0x5c8a8a(0x1d54),'channel':_0x5c8a8a(0xa7f),'type':_0x5c8a8a(0x7b0),'sort':_0x5c8a8a(0x12f2),'limit':0xa,'page':0x1});}],'userProfile':[_0x1a9662(0x362),_0x1a9662(0xa87),function(_0x5264be,_0x59522e){const _0xeaf537=_0x1a9662;return _0x59522e[_0xeaf537(0x23e0)](_0xeaf537(0x174b))?null:_0x5264be[_0xeaf537(0x2922)](_0xeaf537(0x119a),{'fields':_0xeaf537(0x227),'id':_0x59522e[_0xeaf537(0xb12)]()[_0xeaf537(0x209a)]});}],'userProfileSection':['apiResolver',_0x1a9662(0xa87),function(_0x5e1c90,_0x3afb84){const _0x4ae1c7=_0x1a9662;return _0x3afb84[_0x4ae1c7(0x23e0)]('admin')?null:_0x5e1c90[_0x4ae1c7(0x2922)]('userProfileSection@get',{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x3afb84[_0x4ae1c7(0xb12)]()[_0x4ae1c7(0x209a)],'sectionId':0x1f5});}],'rpcQueues':[_0x1a9662(0x362),function(_0x3ca6b0){const _0x1e8962=_0x1a9662;return _0x3ca6b0['resolve'](_0x1e8962(0x22df));}]},'authenticate':!![],'permissionId':0x1fe});}angular['module'](_0x313a4d(0x284e),[])[_0x313a4d(0x989)](_0x427450)['controller'](_0x313a4d(0x104a),_0x42b5a9)[_0x313a4d(0x28f0)](_0x313a4d(0xe91),_0x1cb8a8)[_0x313a4d(0x1750)](_0x313a4d(0x1ac0),_0xe206ee)[_0x313a4d(0x28f0)](_0x313a4d(0x2613),_0x866c42);;const _0x58aef2=_0x4acfac['p']+_0x313a4d(0x1f12);;_0x8f4b2f[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0x214b),'chatQueues',_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),'Auth','license',_0x313a4d(0x15b9)];function _0x8f4b2f(_0x5152d3,_0x420a31,_0x203782,_0x3e6291,_0x57c4ad,_0x2aeead,_0x4aea7e,_0x535b26,_0x5a141e,_0xeaffe1,_0x26434a,_0x3e5838,_0x27e52a,_0x28c1f1,_0x1fc488,_0x3dd606,_0x146225){const _0x2d06b6=_0x313a4d,_0x58d960=this;_0x58d960[_0x2d06b6(0x2690)]=_0x3dd606,_0x58d960[_0x2d06b6(0x15b9)]=_0x146225,_0x58d960[_0x2d06b6(0x2321)]=_0x1fc488[_0x2d06b6(0xb12)](),_0x58d960['chatQueues']=_0x5a141e||{'count':0x0,'rows':[]},_0x58d960[_0x2d06b6(0x26b6)]=_0xeaffe1,_0x58d960[_0x2d06b6(0x1366)]=_0x26434a&&_0x26434a[_0x2d06b6(0x51c)]==0x1?_0x26434a['rows'][0x0]:null,_0x58d960[_0x2d06b6(0x2514)]=_0x1fc488[_0x2d06b6(0xe60)](_0x58d960[_0x2d06b6(0x1366)]?_0x58d960[_0x2d06b6(0x1366)][_0x2d06b6(0x2514)]:null),_0x58d960[_0x2d06b6(0x768)]=_0x2d06b6(0x380),_0x58d960['listOrder']='',_0x58d960[_0x2d06b6(0x214f)]=null,_0x58d960[_0x2d06b6(0x281b)]=[],_0x58d960[_0x2d06b6(0x1a56)]={'fields':_0x2d06b6(0xa22),'sort':_0x2d06b6(0x12f2),'channel':'chat','limit':0xa,'page':0x1},_0x58d960[_0x2d06b6(0x280a)]=_0x3f65c0()['keyBy']([{'option':'Beepall','value':_0x2d06b6(0xb5a)},{'option':_0x2d06b6(0xa12),'value':'\x27rrmemory\x27'}],function(_0x5e42ac){const _0x1457f9=_0x2d06b6;return _0x3f65c0()[_0x1457f9(0x5f4)](_0x5e42ac[_0x1457f9(0x175d)],new RegExp('\x27','g'),'');}),_0x58d960[_0x2d06b6(0x23f9)]=_0x26be0a,_0x58d960[_0x2d06b6(0x244)]=_0x4612ca,_0x58d960[_0x2d06b6(0x1027)]=_0x4ce3cc,_0x58d960['deleteconfirm']=_0x4f5129,_0x58d960[_0x2d06b6(0x138d)]=_0x546da4,_0x58d960[_0x2d06b6(0x1c75)]=_0x832a93,_0x58d960['getChatQueues']=_0x32bfeb,_0x58d960[_0x2d06b6(0x7be)]=_0x1376c7,_0x58d960['deleteChatQueue']=_0x477887,_0x58d960[_0x2d06b6(0x163d)]=_0x3628a1,_0x58d960[_0x2d06b6(0xfd9)]=_0x1214ff,_0x58d960[_0x2d06b6(0x17ba)]=_0x20284f,_0x58d960[_0x2d06b6(0x5d8)]=_0x4a7a0b;function _0x26be0a(_0x1abd5c){const _0x4068a6=_0x2d06b6;_0x203782['go'](_0x4068a6(0x1a5f),{'id':_0x1abd5c['id'],'chatQueue':_0x1abd5c,'crudPermissions':_0x58d960[_0x4068a6(0x2514)]});}function _0x4612ca(_0x3da377,_0x37710f){const _0x4b5726=_0x2d06b6;_0x57c4ad[_0x4b5726(0x2615)]({'controller':_0x4b5726(0xaae),'controllerAs':'vm','templateUrl':_0x58aef2,'parent':angular['element'](_0x2aeead[_0x4b5726(0x2586)]),'targetEvent':_0x37710f,'clickOutsideToClose':!![],'locals':{'chatQueue':_0x3da377,'chatQueues':_0x58d960[_0x4b5726(0x380)]?_0x58d960[_0x4b5726(0x380)][_0x4b5726(0x19c7)]:[],'crudPermissions':_0x58d960[_0x4b5726(0x2514)]}});}function _0x4ce3cc(_0x39579f,_0x26531e){const _0xf97390=_0x2d06b6;_0x57c4ad[_0xf97390(0x2615)]({'controller':_0xf97390(0xc47),'controllerAs':'vm','templateUrl':_0x53d1a0,'parent':angular[_0xf97390(0x1853)](_0x2aeead[_0xf97390(0x2586)]),'targetEvent':_0x26531e,'clickOutsideToClose':!![],'locals':{'chatQueue':_0x39579f,'chatQueues':_0x58d960[_0xf97390(0x380)]?_0x58d960[_0xf97390(0x380)]['rows']:[],'crudPermissions':_0x58d960[_0xf97390(0x2514)],'realtime':![]}});}function _0x4f5129(_0x228b40,_0x4f3190){const _0x7059ab=_0x2d06b6,_0x3eb3e8=_0x57c4ad[_0x7059ab(0x1e8a)]()[_0x7059ab(0x1189)](_0x7059ab(0xdb2)+_0x3f65c0()[_0x7059ab(0x20d1)]('chatQueue')+'?')[_0x7059ab(0x1cbe)](_0x7059ab(0x16d3)+(_0x228b40[_0x7059ab(0x19eb)]||'chatQueue')+_0x7059ab(0x252f)+_0x7059ab(0xe01))[_0x7059ab(0x4bd)]('delete\x20chatQueue')[_0x7059ab(0x1f27)](_0x4f3190)['ok']('OK')[_0x7059ab(0x6c3)](_0x7059ab(0x39a));_0x57c4ad[_0x7059ab(0x2615)](_0x3eb3e8)[_0x7059ab(0x146b)](function(){_0x477887(_0x228b40);},function(){const _0x568771=_0x7059ab;console[_0x568771(0x1a74)](_0x568771(0x39a));});}function _0x546da4(){const _0x588929=_0x2d06b6;if(_0x1fc488[_0x588929(0x23e0)]('admin'))_0x203782['go'](_0x588929(0x16cb),{});else return _0x3e5838['userProfileSection'][_0x588929(0x16b4)]({'userProfileId':_0x1fc488[_0x588929(0xb12)]()[_0x588929(0x209a)],'sectionId':0x1fe})[_0x588929(0x2945)][_0x588929(0x146b)](function(_0xab7741){const _0x4ff4a2=_0x588929,_0x50c278=_0xab7741&&_0xab7741[_0x4ff4a2(0x19c7)]?_0xab7741[_0x4ff4a2(0x19c7)][0x0]:null;_0x50c278&&_0x50c278[_0x4ff4a2(0x193e)]?_0x203782['go']('app.chat.realtime.queues',{}):_0x28c1f1[_0x4ff4a2(0x271e)]({'title':_0x535b26[_0x4ff4a2(0xde)](_0x4ff4a2(0xb27)),'msg':_0x535b26[_0x4ff4a2(0xde)]('STAFF.PERMISSIONS_UNAUTHORIZED_REDIRECT_MESSAGE')});})[_0x588929(0x129e)](function(_0x307ee9){const _0x29315c=_0x588929;_0x28c1f1['error']({'title':_0x307ee9[_0x29315c(0x107b)]?'API:'+_0x307ee9[_0x29315c(0x107b)]+_0x29315c(0x1315)+_0x307ee9['statusText']:'USERPROFILE:GET_SECTION','msg':_0x307ee9['status']?JSON['stringify'](_0x307ee9[_0x29315c(0x524)]):_0x307ee9[_0x29315c(0xd5f)]()});});}let _0x51cf1c=!![],_0x4a4dc8=0x1;_0x5152d3[_0x2d06b6(0x21e8)](_0x2d06b6(0x2669),function(_0x241fb7,_0xe5fbc2){const _0x3f8549=_0x2d06b6;_0x51cf1c?_0x4aea7e(function(){_0x51cf1c=![];}):(!_0xe5fbc2&&(_0x4a4dc8=_0x58d960[_0x3f8549(0x1a56)]['page']),_0x241fb7!==_0xe5fbc2&&(_0x58d960['query'][_0x3f8549(0x844)]=0x1),!_0x241fb7&&(_0x58d960['query'][_0x3f8549(0x844)]=_0x4a4dc8),_0x58d960[_0x3f8549(0x12fe)]());});function _0x832a93(_0x5c006b){const _0x2c9a5a=_0x2d06b6;_0x58d960[_0x2c9a5a(0x380)]=_0x5c006b||{'count':0x0,'rows':[]};}function _0x32bfeb(){const _0x1a33aa=_0x2d06b6;_0x58d960[_0x1a33aa(0x1a56)][_0x1a33aa(0x145d)]=(_0x58d960['query'][_0x1a33aa(0x844)]-0x1)*_0x58d960[_0x1a33aa(0x1a56)][_0x1a33aa(0x221e)],_0x1fc488['hasRole'](_0x1a33aa(0x174b))?_0x58d960['promise']=_0x3e5838['chatQueue']['get'](_0x58d960[_0x1a33aa(0x1a56)],_0x832a93)[_0x1a33aa(0x2945)]:(_0x58d960[_0x1a33aa(0x1a56)]['id']=_0x58d960[_0x1a33aa(0x26b6)]['id'],_0x58d960[_0x1a33aa(0x1a56)][_0x1a33aa(0x2146)]=_0x1a33aa(0x1d54),_0x58d960[_0x1a33aa(0xb9c)]=_0x3e5838[_0x1a33aa(0x26b6)][_0x1a33aa(0x158f)](_0x58d960['query'],_0x832a93)['$promise']);}function _0x1376c7(_0xf2d1e7,_0x325e5e){const _0x6d9474=_0x2d06b6;_0x57c4ad[_0x6d9474(0x2615)]({'controller':_0x6d9474(0x9eb),'controllerAs':'vm','templateUrl':_0x4e5c4a,'parent':angular['element'](_0x2aeead[_0x6d9474(0x2586)]),'targetEvent':_0xf2d1e7,'clickOutsideToClose':!![],'locals':{'chatQueue':_0x325e5e,'chatQueues':_0x58d960['chatQueues'][_0x6d9474(0x19c7)],'license':_0x58d960[_0x6d9474(0x2690)],'setting':_0x58d960['setting'],'crudPermissions':_0x58d960[_0x6d9474(0x2514)]}});}function _0x477887(_0x4532cd){const _0xbb771a=_0x2d06b6;_0x3e5838[_0xbb771a(0x16f8)][_0xbb771a(0x1fac)]({'id':_0x4532cd['id']})[_0xbb771a(0x2945)][_0xbb771a(0x146b)](function(){const _0x2e82fa=_0xbb771a;_0x3f65c0()[_0x2e82fa(0x2640)](_0x58d960[_0x2e82fa(0x380)][_0x2e82fa(0x19c7)],{'id':_0x4532cd['id']}),_0x58d960[_0x2e82fa(0x380)]['count']-=0x1,!_0x58d960[_0x2e82fa(0x380)]['rows'][_0x2e82fa(0x402)]&&_0x58d960[_0x2e82fa(0x12fe)](),_0x28c1f1[_0x2e82fa(0x1c75)]({'title':_0x3f65c0()[_0x2e82fa(0x20d1)](_0x2e82fa(0x9ac))+_0x2e82fa(0x201c),'msg':_0x4532cd['name']?_0x4532cd[_0x2e82fa(0x19eb)]+_0x2e82fa(0x23e3):''});})['catch'](function(_0x3ce287){const _0x1989e3=_0xbb771a;if(_0x3ce287[_0x1989e3(0x524)]&&_0x3ce287['data'][_0x1989e3(0xcef)]&&_0x3ce287[_0x1989e3(0x524)][_0x1989e3(0xcef)][_0x1989e3(0x402)]){_0x58d960[_0x1989e3(0xcef)]=_0x3ce287[_0x1989e3(0x524)]['errors']||[{'message':_0x3ce287['toString'](),'type':'SYSTEM:DELETEchatQueue'}];for(let _0x4bfe8d=0x0;_0x4bfe8d<_0x3ce287[_0x1989e3(0x524)][_0x1989e3(0xcef)][_0x1989e3(0x402)];_0x4bfe8d++){_0x28c1f1['error']({'title':_0x3ce287[_0x1989e3(0x524)][_0x1989e3(0xcef)][_0x4bfe8d][_0x1989e3(0x1142)],'msg':_0x3ce287[_0x1989e3(0x524)][_0x1989e3(0xcef)][_0x4bfe8d][_0x1989e3(0x7fd)]});}}else _0x28c1f1['error']({'title':_0x3ce287[_0x1989e3(0x107b)]?_0x1989e3(0x262a)+_0x3ce287[_0x1989e3(0x107b)]+_0x1989e3(0x1315)+_0x3ce287[_0x1989e3(0x167f)]:'SYSTEM:DELETEchatQueue','msg':_0x3ce287[_0x1989e3(0x524)]?JSON[_0x1989e3(0x10bb)](_0x3ce287['data']['message']):_0x3ce287['message']||_0x3ce287[_0x1989e3(0xd5f)]()});});}function _0x3628a1(){const _0x3af88c=_0x2d06b6,_0x52c63a=angular[_0x3af88c(0x235a)](_0x58d960[_0x3af88c(0x281b)]);return _0x58d960[_0x3af88c(0x281b)]=[],_0x52c63a;}function _0x1214ff(_0x530537){const _0x5751b8=_0x2d06b6,_0x156ed3=_0x57c4ad['confirm']()[_0x5751b8(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20chatQueues?')[_0x5751b8(0x1cbe)](_0x5751b8(0x16d3)+_0x58d960[_0x5751b8(0x281b)][_0x5751b8(0x402)]+'\x20selected'+_0x5751b8(0xe01))[_0x5751b8(0x4bd)](_0x5751b8(0x21d6))[_0x5751b8(0x1f27)](_0x530537)['ok']('OK')[_0x5751b8(0x6c3)](_0x5751b8(0x39a));_0x57c4ad[_0x5751b8(0x2615)](_0x156ed3)[_0x5751b8(0x146b)](function(){const _0x39e679=_0x5751b8;_0x58d960['selectedChatQueues'][_0x39e679(0x1df5)](function(_0x3bcbc9){_0x477887(_0x3bcbc9);}),_0x58d960[_0x39e679(0x281b)]=[];});}function _0x20284f(){const _0x742084=_0x2d06b6;_0x58d960[_0x742084(0x281b)]=[];}function _0x4a7a0b(){const _0x2c37a5=_0x2d06b6;_0x58d960[_0x2c37a5(0x281b)]=_0x58d960['chatQueues'][_0x2c37a5(0x19c7)];}}const _0x2f45e5=_0x8f4b2f;;_0x5841c4[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$state',_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q','$translate',_0x313a4d(0x1fe4),_0x313a4d(0x380),_0x313a4d(0x16f8),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),'crudPermissions'];function _0x5841c4(_0x1277f4,_0x58b442,_0x733014,_0x29a677,_0xccadb,_0x2b287b,_0x2d12f4,_0x12164b,_0x13bda8,_0xcd7a2b,_0xad9cb1,_0x3b4027,_0x1c59c4,_0x1a9964){const _0x357099=_0x313a4d,_0x330e7c=this;_0x330e7c[_0x357099(0x2321)]=_0xad9cb1[_0x357099(0xb12)](),_0x330e7c[_0x357099(0xcef)]=[],_0x330e7c['setting']=_0x1c59c4,_0x330e7c[_0x357099(0x2690)]=_0x3b4027,_0x330e7c[_0x357099(0x2514)]=_0x1a9964,_0x330e7c[_0x357099(0x855)]={},_0x330e7c[_0x357099(0x2251)]=_0x330e7c[_0x357099(0x15b9)]&&_0x330e7c[_0x357099(0x15b9)][_0x357099(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x330e7c[_0x357099(0x1189)]=_0x357099(0x14f3),_0x330e7c[_0x357099(0x16f8)]=angular[_0x357099(0x235a)](_0x13bda8),_0x330e7c[_0x357099(0x380)]=_0x12164b,_0x330e7c[_0x357099(0x336)]=![];!_0x330e7c['chatQueue']&&(_0x330e7c[_0x357099(0x16f8)]={'strategy':_0x357099(0x1984),'timeout':0xa},_0x330e7c[_0x357099(0x1189)]=_0x357099(0x13da),_0x330e7c[_0x357099(0x336)]=!![]);_0x330e7c[_0x357099(0x381)]=_0x5ac4a0,_0x330e7c[_0x357099(0x28f2)]=_0x2ca08d,_0x330e7c[_0x357099(0x194d)]=_0x43068,_0x330e7c['getDateFromString']=_0x4068db,_0x330e7c['closeDialog']=_0x1dbd61;function _0x5ac4a0(){const _0x4002f9=_0x357099;_0x330e7c[_0x4002f9(0xcef)]=[],_0xcd7a2b['chatQueue'][_0x4002f9(0x1e3)](_0x330e7c[_0x4002f9(0x16f8)])[_0x4002f9(0x2945)][_0x4002f9(0x146b)](function(_0x480c62){const _0x5a28d6=_0x4002f9;_0x330e7c[_0x5a28d6(0x380)][_0x5a28d6(0xb3d)](_0x480c62[_0x5a28d6(0x2488)]()),_0x2d12f4[_0x5a28d6(0x1c75)]({'title':_0x5a28d6(0x1737),'msg':_0x330e7c[_0x5a28d6(0x16f8)]['name']?_0x330e7c[_0x5a28d6(0x16f8)][_0x5a28d6(0x19eb)]+_0x5a28d6(0x1386):''}),_0x1dbd61(_0x480c62);})['catch'](function(_0x25696b){const _0x3c86bb=_0x4002f9;if(_0x25696b[_0x3c86bb(0x524)]&&_0x25696b[_0x3c86bb(0x524)][_0x3c86bb(0xcef)]&&_0x25696b[_0x3c86bb(0x524)][_0x3c86bb(0xcef)][_0x3c86bb(0x402)]){_0x330e7c[_0x3c86bb(0xcef)]=_0x25696b[_0x3c86bb(0x524)][_0x3c86bb(0xcef)]||[{'message':_0x25696b[_0x3c86bb(0xd5f)](),'type':_0x3c86bb(0x10e6)}];for(let _0x125a60=0x0;_0x125a60<_0x25696b['data'][_0x3c86bb(0xcef)]['length'];_0x125a60+=0x1){_0x2d12f4[_0x3c86bb(0x1980)]({'title':_0x25696b[_0x3c86bb(0x524)][_0x3c86bb(0xcef)][_0x125a60]['type'],'msg':_0x25696b[_0x3c86bb(0x524)][_0x3c86bb(0xcef)][_0x125a60]['message']});}}else _0x2d12f4[_0x3c86bb(0x1980)]({'title':_0x25696b['status']?_0x3c86bb(0x262a)+_0x25696b[_0x3c86bb(0x107b)]+_0x3c86bb(0x1315)+_0x25696b[_0x3c86bb(0x167f)]:'api.chatQueue.save','msg':_0x25696b[_0x3c86bb(0x524)]?JSON[_0x3c86bb(0x10bb)](_0x25696b[_0x3c86bb(0x524)][_0x3c86bb(0x7fd)]):_0x25696b[_0x3c86bb(0xd5f)]()});});}function _0x2ca08d(){const _0x176080=_0x357099;_0x330e7c[_0x176080(0xcef)]=[],_0xcd7a2b[_0x176080(0x16f8)][_0x176080(0x18e1)]({'id':_0x330e7c[_0x176080(0x16f8)]['id']},_0x330e7c['chatQueue'])[_0x176080(0x2945)][_0x176080(0x146b)](function(_0x3f62c8){const _0x5468cf=_0x176080,_0x12da1a=_0x3f65c0()[_0x5468cf(0xc84)](_0x330e7c[_0x5468cf(0x380)],{'id':_0x3f62c8['id']});_0x12da1a&&_0x3f65c0()[_0x5468cf(0x168d)](_0x12da1a,_0x3f65c0()[_0x5468cf(0x40e)](_0x3f62c8['toJSON'](),_0x3f65c0()[_0x5468cf(0x627)](_0x12da1a))),_0x2d12f4[_0x5468cf(0x1c75)]({'title':_0x5468cf(0x70b),'msg':_0x330e7c['chatQueue'][_0x5468cf(0x19eb)]?_0x330e7c[_0x5468cf(0x16f8)]['name']+_0x5468cf(0x24db):''}),_0x1dbd61(_0x3f62c8);})[_0x176080(0x129e)](function(_0x3a3cfb){const _0x29a402=_0x176080;if(_0x3a3cfb[_0x29a402(0x524)]&&_0x3a3cfb['data'][_0x29a402(0xcef)]&&_0x3a3cfb[_0x29a402(0x524)][_0x29a402(0xcef)][_0x29a402(0x402)]){_0x330e7c[_0x29a402(0xcef)]=_0x3a3cfb[_0x29a402(0x524)]['errors']||[{'message':_0x3a3cfb[_0x29a402(0xd5f)](),'type':_0x29a402(0x2058)}];for(let _0x1f56d4=0x0;_0x1f56d4<_0x3a3cfb['data'][_0x29a402(0xcef)][_0x29a402(0x402)];_0x1f56d4++){_0x2d12f4[_0x29a402(0x1980)]({'title':_0x3a3cfb[_0x29a402(0x524)][_0x29a402(0xcef)][_0x1f56d4][_0x29a402(0x1142)],'msg':_0x3a3cfb[_0x29a402(0x524)][_0x29a402(0xcef)][_0x1f56d4][_0x29a402(0x7fd)]});}}else _0x2d12f4[_0x29a402(0x1980)]({'title':_0x3a3cfb[_0x29a402(0x107b)]?_0x29a402(0x262a)+_0x3a3cfb[_0x29a402(0x107b)]+_0x29a402(0x1315)+_0x3a3cfb[_0x29a402(0x167f)]:_0x29a402(0x2058),'msg':_0x3a3cfb[_0x29a402(0x524)]?JSON[_0x29a402(0x10bb)](_0x3a3cfb[_0x29a402(0x524)][_0x29a402(0x7fd)]):_0x3a3cfb['toString']()});});}function _0x43068(_0x1c63fd){const _0x564283=_0x357099;_0x330e7c['errors']=[];const _0x244d44=_0x29a677[_0x564283(0x1e8a)]()[_0x564283(0x1189)](_0x564283(0x1d64))[_0x564283(0x80f)](_0x564283(0x162e))['ariaLabel']('Delete\x20ChatQueue')['ok'](_0x564283(0x25de))[_0x564283(0x6c3)](_0x564283(0xcf0))[_0x564283(0x1f27)](_0x1c63fd);_0x29a677['show'](_0x244d44)['then'](function(){const _0x2d039d=_0x564283;_0xcd7a2b['chatQueue'][_0x2d039d(0x1fac)]({'id':_0x330e7c[_0x2d039d(0x16f8)]['id']})[_0x2d039d(0x2945)][_0x2d039d(0x146b)](function(){const _0x35395e=_0x2d039d;_0x3f65c0()['remove'](_0x330e7c[_0x35395e(0x380)],{'id':_0x330e7c[_0x35395e(0x16f8)]['id']}),_0x2d12f4[_0x35395e(0x1c75)]({'title':'ChatQueue\x20properly\x20deleted!','msg':(_0x330e7c[_0x35395e(0x16f8)]['name']||'chatQueue')+_0x35395e(0x23e3)}),_0x1dbd61(_0x330e7c[_0x35395e(0x16f8)]);})[_0x2d039d(0x129e)](function(_0x4cb614){const _0x3985ba=_0x2d039d;if(_0x4cb614[_0x3985ba(0x524)]&&_0x4cb614[_0x3985ba(0x524)]['errors']&&_0x4cb614['data'][_0x3985ba(0xcef)]['length']){_0x330e7c[_0x3985ba(0xcef)]=_0x4cb614['data'][_0x3985ba(0xcef)]||[{'message':_0x4cb614[_0x3985ba(0xd5f)](),'type':'api.chatQueue.delete'}];for(let _0x50e365=0x0;_0x50e365<_0x4cb614[_0x3985ba(0x524)][_0x3985ba(0xcef)][_0x3985ba(0x402)];_0x50e365++){_0x2d12f4['error']({'title':_0x4cb614[_0x3985ba(0x524)][_0x3985ba(0xcef)][_0x50e365]['type'],'msg':_0x4cb614[_0x3985ba(0x524)][_0x3985ba(0xcef)][_0x50e365][_0x3985ba(0x7fd)]});}}else _0x2d12f4[_0x3985ba(0x1980)]({'title':_0x4cb614['status']?_0x3985ba(0x262a)+_0x4cb614[_0x3985ba(0x107b)]+_0x3985ba(0x1315)+_0x4cb614['statusText']:'api.chatQueue.delete','msg':_0x4cb614[_0x3985ba(0x524)]?JSON[_0x3985ba(0x10bb)](_0x4cb614[_0x3985ba(0x524)][_0x3985ba(0x7fd)]):_0x4cb614['message']||_0x4cb614['toString']()});});},function(){});}function _0x4068db(_0x224f2d){return _0x224f2d===null?undefined:new Date(_0x224f2d);}function _0x1dbd61(_0xfa7c29){const _0x2f34a5=_0x357099;_0x29a677[_0x2f34a5(0x2458)](_0xfa7c29);}}const _0x2c1b81=_0x5841c4;;_0x4c4ccc[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q','toasty',_0x313a4d(0x247f),'chatQueue',_0x313a4d(0x380),'realtime','$translate','Auth','crudPermissions'];function _0x4c4ccc(_0x45c446,_0x4afc6b,_0x2462c0,_0x164f7c,_0x253153,_0x502498,_0x268ba5,_0x185c2c,_0x598811,_0x1dec8d){const _0x5e841d=_0x313a4d,_0x153112=this;_0x153112[_0x5e841d(0x2321)]=_0x598811[_0x5e841d(0xb12)](),_0x153112['chatQueue']=_0x253153,_0x153112['crudPermissions']=_0x1dec8d,_0x153112['realtime']=_0x268ba5,_0x153112[_0x5e841d(0x1fd6)]=[],_0x153112[_0x5e841d(0xc4e)]=[],_0x153112[_0x5e841d(0x1ecf)]=[],_0x153112[_0x5e841d(0x2e8)]=[],_0x153112[_0x5e841d(0xa65)]=[],_0x153112[_0x5e841d(0x132)]=![],_0x153112[_0x5e841d(0x3d4)]=_0x409744,_0x153112[_0x5e841d(0x14c2)]=_0xacb306,_0x153112['closeDialog']=_0x11fad1,_0x153112['dualMultiselectOptions']={'readOnly':!_0x153112[_0x5e841d(0x2514)]['canEdit'],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':'name','line1':_0x5e841d(0x286a),'line2':[_0x5e841d(0x19eb),_0x5e841d(0x113f)],'line3':'','labelAll':_0x185c2c[_0x5e841d(0xde)](_0x5e841d(0x2050)),'labelSelected':_0x185c2c[_0x5e841d(0xde)](_0x5e841d(0xf73)),'transferCallback':function(){const _0x4125ac=_0x5e841d,_0x1ea80c=_0x3f65c0()[_0x4125ac(0x1883)](_0x153112['startingSelectedItems'],_0x153112[_0x4125ac(0x1ecf)],'id');_0x153112[_0x4125ac(0x132)]=_0x3f65c0()[_0x4125ac(0x2635)](_0x1ea80c)?![]:!![];}};function _0x409744(){const _0xbb3d1c=_0x5e841d;return _0x598811[_0xbb3d1c(0x23e0)](_0xbb3d1c(0x174b))?_0x377ffc()[_0xbb3d1c(0x129e)](function(_0x429000){const _0x22ef33=_0xbb3d1c;_0x2462c0[_0x22ef33(0x1980)]({'title':_0x429000[_0x22ef33(0x107b)]?_0x22ef33(0x262a)+_0x429000[_0x22ef33(0x107b)]+_0x22ef33(0x1315)+_0x429000[_0x22ef33(0x167f)]:_0x22ef33(0x557),'msg':_0x429000['status']?JSON[_0x22ef33(0x10bb)](_0x429000[_0x22ef33(0x524)]):_0x429000[_0x22ef33(0xd5f)]()});}):_0x5210a1()[_0xbb3d1c(0x146b)](function(_0x4ea7f4){const _0x18efaf=_0xbb3d1c;return _0x153112[_0x18efaf(0x2146)]=_0x4ea7f4,_0x377ffc();})['catch'](function(_0x109a18){const _0x172cfd=_0xbb3d1c;_0x2462c0[_0x172cfd(0x1980)]({'title':_0x109a18['status']?_0x172cfd(0x262a)+_0x109a18[_0x172cfd(0x107b)]+_0x172cfd(0x1315)+_0x109a18[_0x172cfd(0x167f)]:'SYSTEM:GET_AGENTS','msg':_0x109a18['status']?JSON[_0x172cfd(0x10bb)](_0x109a18[_0x172cfd(0x524)]):_0x109a18[_0x172cfd(0xd5f)]()});});}function _0x5210a1(){return _0x4afc6b(function(_0x43545c,_0x2cc8db){const _0x5ce7d1=a0_0x3bb9;_0x164f7c[_0x5ce7d1(0x1366)][_0x5ce7d1(0x16b4)]({'userProfileId':_0x153112[_0x5ce7d1(0x2321)][_0x5ce7d1(0x209a)],'name':_0x5ce7d1(0xca8)})['$promise'][_0x5ce7d1(0x146b)](function(_0x3fb39b){const _0x57a433=_0x5ce7d1,_0x2e574d=_0x3fb39b&&_0x3fb39b[_0x57a433(0x19c7)]?_0x3fb39b[_0x57a433(0x19c7)][0x0]:null;_0x43545c(_0x2e574d);})['catch'](function(_0x5ad44f){_0x2cc8db(_0x5ad44f);});});}function _0x377ffc(){return _0x4afc6b(function(_0x15e0a5,_0x52a79c){const _0x44724c=a0_0x3bb9;return _0x3b4681()['then'](function(_0x94c477){const _0x31fd05=a0_0x3bb9;return _0x153112[_0x31fd05(0x1fd6)]=_0x94c477[_0x31fd05(0x19c7)]?_0x94c477['rows']:[],_0x598811[_0x31fd05(0x23e0)](_0x31fd05(0x174b))?_0x94c477:_0x153112[_0x31fd05(0x2146)]?_0x153112[_0x31fd05(0x2146)][_0x31fd05(0x11d2)]?_0x94c477:_0x558d58():null;})[_0x44724c(0x146b)](function(_0x1cf648){const _0x3ae4b7=_0x44724c,_0x535926=_0x1cf648&&_0x1cf648[_0x3ae4b7(0x19c7)]?_0x1cf648[_0x3ae4b7(0x19c7)]:[];return _0x153112['allowedItems']=_0x3f65c0()[_0x3ae4b7(0x205)](_0x535926,function(_0x202e95){const _0x35b5b1=_0x3ae4b7;return _0x3f65c0()[_0x35b5b1(0xc84)](_0x153112[_0x35b5b1(0x1fd6)],{'id':_0x598811['hasRole'](_0x35b5b1(0x174b))||_0x153112[_0x35b5b1(0x2146)][_0x35b5b1(0x11d2)]?_0x202e95['id']:_0x202e95['resourceId']});}),_0x153112[_0x3ae4b7(0x2e8)]=angular[_0x3ae4b7(0x235a)](_0x153112[_0x3ae4b7(0xc4e)]),_0x153112[_0x3ae4b7(0x1fd6)][_0x3ae4b7(0x1df5)](function(_0x54e0bf){const _0x550db9=_0x3ae4b7,_0xfc7d2=_0x3f65c0()[_0x550db9(0xc84)](_0x153112[_0x550db9(0xc4e)],{'id':_0x54e0bf['id']});_0x598811['hasRole']('admin')?_0x54e0bf[_0x550db9(0x146f)]=!![]:_0x54e0bf['isValid']=typeof _0xfc7d2!==_0x550db9(0x2274)?!![]:![];}),_0x372654();})[_0x44724c(0x146b)](function(_0x1c10e7){const _0x513f65=_0x44724c,_0x16fa2e=_0x1c10e7&&_0x1c10e7['rows']?_0x1c10e7[_0x513f65(0x19c7)]:[];_0x153112[_0x513f65(0x1ecf)]=_0x3f65c0()[_0x513f65(0x205)](_0x16fa2e,function(_0x8e2265){const _0x48898f=_0x513f65,_0x2d9788=_0x3f65c0()[_0x48898f(0xc84)](_0x153112[_0x48898f(0x1fd6)],{'id':_0x8e2265['id']});return _0x2d9788['penalty']=_0x8e2265[_0x48898f(0x1070)]?_0x48898f(0x2505)+_0x8e2265[_0x48898f(0x1070)][_0x48898f(0x188d)]:'',_0x2d9788[_0x48898f(0x113f)]=typeof _0x8e2265[_0x48898f(0x113f)]!==_0x48898f(0x2274)?'<'+_0x8e2265[_0x48898f(0x113f)]+'>':'',_0x2d9788;}),_0x153112[_0x513f65(0xa65)]=angular[_0x513f65(0x235a)](_0x153112[_0x513f65(0x1ecf)]),_0x153112[_0x513f65(0x1f8a)][_0x513f65(0x1ecf)]=_0x153112[_0x513f65(0x1ecf)],_0x153112[_0x513f65(0x1f8a)][_0x513f65(0x1fd6)]=_0x3f65c0()['differenceBy'](_0x153112[_0x513f65(0xc4e)],_0x153112[_0x513f65(0x1f8a)]['selectedItems'],'id'),_0x15e0a5();})[_0x44724c(0x129e)](function(_0x1b9bef){_0x52a79c(_0x1b9bef);});});}function _0x558d58(){return _0x4afc6b(function(_0xe92f2c,_0x10d925){const _0x4b2148=a0_0x3bb9;return _0x164f7c[_0x4b2148(0xdcc)][_0x4b2148(0x16b4)]({'sectionId':_0x153112[_0x4b2148(0x2146)]['id'],'nolimit':!![]})[_0x4b2148(0x2945)][_0x4b2148(0x146b)](function(_0x3af0a7){_0xe92f2c(_0x3af0a7);})[_0x4b2148(0x129e)](function(_0x3d16ad){_0x10d925(_0x3d16ad);});});}function _0x372654(){return _0x4afc6b(function(_0x3955e2,_0x3abeaa){const _0x1ef345=a0_0x3bb9;return _0x164f7c[_0x1ef345(0x16f8)]['getAgents']({'id':_0x153112[_0x1ef345(0x16f8)]['id'],'fields':_0x1ef345(0x1569),'nolimit':!![],'role':'agent'})[_0x1ef345(0x2945)][_0x1ef345(0x146b)](function(_0x1abb57){_0x3955e2(_0x1abb57);})[_0x1ef345(0x129e)](function(_0x250a0f){_0x3abeaa(_0x250a0f);});});}function _0x3b4681(){return _0x4afc6b(function(_0x182b8c,_0x52687a){const _0x5a1317=a0_0x3bb9;return _0x164f7c[_0x5a1317(0xe7b)][_0x5a1317(0x16b4)]({'fields':_0x5a1317(0x1569),'nolimit':!![],'role':'agent'})[_0x5a1317(0x2945)][_0x5a1317(0x146b)](function(_0x40a77b){_0x182b8c(_0x40a77b);})[_0x5a1317(0x129e)](function(_0x560485){_0x52687a(_0x560485);});});}function _0x269236(_0xa1f89e){return _0x4afc6b(function(_0x1290ca,_0x47e8be){const _0x19c6db=a0_0x3bb9;_0x3f65c0()['isEmpty'](_0xa1f89e)?_0x1290ca():_0x164f7c['chatQueue'][_0x19c6db(0x135e)]({'id':_0x153112[_0x19c6db(0x16f8)]['id'],'ids':_0x3f65c0()['map'](_0xa1f89e,'id')})[_0x19c6db(0x2945)][_0x19c6db(0x146b)](function(){_0x1290ca();})['catch'](function(_0x3c6bac){_0x47e8be(_0x3c6bac);});});}function _0x1aaedb(_0x3c77fe){return _0x4afc6b(function(_0x243694,_0x14a721){const _0x17519a=a0_0x3bb9;_0x3f65c0()[_0x17519a(0x2635)](_0x3c77fe)?_0x243694():_0x164f7c[_0x17519a(0x16f8)][_0x17519a(0x1f53)]({'id':_0x153112[_0x17519a(0x16f8)]['id'],'ids':_0x3f65c0()['map'](_0x3c77fe,'id')})[_0x17519a(0x2945)][_0x17519a(0x146b)](function(){_0x243694();})[_0x17519a(0x129e)](function(_0x733e3a){_0x14a721(_0x733e3a);});});}function _0xacb306(){const _0x408335=_0x5e841d,_0x3f3021=_0x3f65c0()[_0x408335(0x2796)](_0x153112[_0x408335(0xa65)],_0x153112[_0x408335(0x1ecf)],'id'),_0x112fb4=_0x3f65c0()[_0x408335(0x2796)](_0x153112['selectedItems'],_0x153112[_0x408335(0xa65)],'id');return _0x1aaedb(_0x3f3021)[_0x408335(0x146b)](function(){return _0x269236(_0x112fb4);})['then'](function(){const _0x177d42=_0x408335;_0x153112[_0x177d42(0x132)]=![],_0x153112['startingAllowedItems']=angular[_0x177d42(0x235a)](_0x153112['allowedItems']),_0x153112[_0x177d42(0xa65)]=angular[_0x177d42(0x235a)](_0x153112['selectedItems']),_0x2462c0[_0x177d42(0x1c75)]({'title':'SUCCESS','msg':_0x177d42(0x26be)});})['catch'](function(_0x3cead9){const _0x308d6a=_0x408335;_0x2462c0[_0x308d6a(0x1980)]({'title':_0x3cead9['status']?_0x308d6a(0x262a)+_0x3cead9[_0x308d6a(0x107b)]+_0x308d6a(0x1315)+_0x3cead9[_0x308d6a(0x167f)]:'SYSTEM:LISTS_ASSOCIATION','msg':_0x3cead9[_0x308d6a(0x107b)]?JSON[_0x308d6a(0x10bb)](_0x3cead9['data']):_0x3cead9['toString']()});});}function _0x11fad1(){const _0x4f27db=_0x5e841d;_0x45c446[_0x4f27db(0x2458)]();}}const _0x1f34f3=_0x4c4ccc;;_0x5e8b2b['$inject']=[_0x313a4d(0x10e8),'$q','toasty','api',_0x313a4d(0x16f8),_0x313a4d(0x214b),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x5e8b2b(_0x1aca8e,_0x2240fc,_0x371b49,_0x1c1a3d,_0x382daf,_0xdad31,_0x585d09,_0x4fb6fc){const _0x5535b4=_0x313a4d,_0x527676=this;_0x527676['currentUser']=_0x585d09[_0x5535b4(0xb12)](),_0x527676[_0x5535b4(0x16f8)]=_0x382daf,_0x527676[_0x5535b4(0x2514)]=_0x4fb6fc,_0x527676[_0x5535b4(0x1fd6)]=[],_0x527676[_0x5535b4(0xc4e)]=[],_0x527676[_0x5535b4(0x1ecf)]=[],_0x527676[_0x5535b4(0x2e8)]=[],_0x527676[_0x5535b4(0xa65)]=[],_0x527676[_0x5535b4(0x132)]=![],_0x527676[_0x5535b4(0x1f8a)]={'readOnly':!_0x527676[_0x5535b4(0x2514)][_0x5535b4(0x15f4)],'allowedItems':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':'name','line1':'name','line2':'','line3':'','labelAll':_0xdad31[_0x5535b4(0xde)](_0x5535b4(0x218d)),'labelSelected':_0xdad31[_0x5535b4(0xde)](_0x5535b4(0x1ae7)),'transferCallback':function(){const _0x20edac=_0x5535b4,_0x43ac15=_0x3f65c0()[_0x20edac(0x1883)](_0x527676[_0x20edac(0xa65)],_0x527676[_0x20edac(0x1ecf)],'id');_0x527676['pendingChanges']=_0x3f65c0()['isEmpty'](_0x43ac15)?![]:!![];}},_0x527676['onInit']=_0x3e4894,_0x527676[_0x5535b4(0x215f)]=_0x31e36c,_0x527676[_0x5535b4(0x13f3)]=_0x16eb6f;function _0x3e4894(){const _0x21c786=_0x5535b4;return _0x585d09['hasRole'](_0x21c786(0x174b))?_0x4baf3e()[_0x21c786(0x129e)](function(_0x1ca90b){const _0x552dd6=_0x21c786;_0x371b49[_0x552dd6(0x1980)]({'title':_0x1ca90b[_0x552dd6(0x107b)]?_0x552dd6(0x262a)+_0x1ca90b[_0x552dd6(0x107b)]+_0x552dd6(0x1315)+_0x1ca90b[_0x552dd6(0x167f)]:'SYSTEM:GET_TEAMS','msg':_0x1ca90b[_0x552dd6(0x107b)]?JSON[_0x552dd6(0x10bb)](_0x1ca90b[_0x552dd6(0x524)]):_0x1ca90b[_0x552dd6(0xd5f)]()});}):_0x1112b9()['then'](function(_0x16076a){const _0x1466d5=_0x21c786;return _0x527676[_0x1466d5(0x2146)]=_0x16076a,_0x4baf3e();})[_0x21c786(0x129e)](function(_0x205842){const _0x1083ab=_0x21c786;_0x371b49[_0x1083ab(0x1980)]({'title':_0x205842[_0x1083ab(0x107b)]?'API:'+_0x205842[_0x1083ab(0x107b)]+'\x20-\x20'+_0x205842['statusText']:_0x1083ab(0x5a5),'msg':_0x205842[_0x1083ab(0x107b)]?JSON[_0x1083ab(0x10bb)](_0x205842[_0x1083ab(0x524)]):_0x205842[_0x1083ab(0xd5f)]()});});}function _0x1112b9(){return _0x2240fc(function(_0x5e092f,_0x5e3e37){const _0x169e1d=a0_0x3bb9;_0x1c1a3d[_0x169e1d(0x1366)][_0x169e1d(0x16b4)]({'userProfileId':_0x527676[_0x169e1d(0x2321)][_0x169e1d(0x209a)],'name':_0x169e1d(0x21ae)})['$promise'][_0x169e1d(0x146b)](function(_0x321d7d){const _0x1308e6=_0x169e1d,_0x68a1f3=_0x321d7d&&_0x321d7d[_0x1308e6(0x19c7)]?_0x321d7d['rows'][0x0]:null;_0x5e092f(_0x68a1f3);})[_0x169e1d(0x129e)](function(_0x14dc49){_0x5e3e37(_0x14dc49);});});}function _0x4baf3e(){return _0x2240fc(function(_0x5a55ff,_0x3e8be0){const _0x5269ab=a0_0x3bb9;return _0x3b5c61()[_0x5269ab(0x146b)](function(_0x1dde66){const _0x5100f8=_0x5269ab;return _0x527676[_0x5100f8(0x1fd6)]=_0x1dde66[_0x5100f8(0x19c7)]?_0x1dde66[_0x5100f8(0x19c7)]:[],_0x585d09[_0x5100f8(0x23e0)]('admin')?_0x1dde66:_0x527676[_0x5100f8(0x2146)]?_0x527676[_0x5100f8(0x2146)][_0x5100f8(0x11d2)]?_0x1dde66:_0x1083ee():null;})[_0x5269ab(0x146b)](function(_0x45e90c){const _0x54f453=_0x5269ab,_0x281908=_0x45e90c&&_0x45e90c['rows']?_0x45e90c[_0x54f453(0x19c7)]:[];return _0x527676['allowedItems']=_0x3f65c0()[_0x54f453(0x205)](_0x281908,function(_0x39f9f7){const _0x119c0e=_0x54f453;return _0x3f65c0()['find'](_0x527676[_0x119c0e(0x1fd6)],{'id':_0x585d09['hasRole'](_0x119c0e(0x174b))||_0x527676[_0x119c0e(0x2146)][_0x119c0e(0x11d2)]?_0x39f9f7['id']:_0x39f9f7['resourceId']});}),_0x527676[_0x54f453(0x1fd6)][_0x54f453(0x1df5)](function(_0x5ac955){const _0x2f98ba=_0x54f453,_0x2e4322=_0x3f65c0()[_0x2f98ba(0xc84)](_0x527676[_0x2f98ba(0xc4e)],{'id':_0x5ac955['id']});_0x585d09[_0x2f98ba(0x23e0)]('admin')?_0x5ac955[_0x2f98ba(0x146f)]=!![]:_0x5ac955[_0x2f98ba(0x146f)]=typeof _0x2e4322!==_0x2f98ba(0x2274)?!![]:![];}),_0x5a26b8();})[_0x5269ab(0x146b)](function(_0x3d7bdb){const _0x2edc70=_0x5269ab,_0x28250a=_0x3d7bdb&&_0x3d7bdb[_0x2edc70(0x19c7)]?_0x3d7bdb[_0x2edc70(0x19c7)]:[];_0x527676[_0x2edc70(0x1ecf)]=_0x3f65c0()[_0x2edc70(0x205)](_0x28250a,function(_0x41f364){const _0x32a873=_0x2edc70;return _0x3f65c0()[_0x32a873(0xc84)](_0x527676[_0x32a873(0x1fd6)],{'id':_0x41f364['id']});}),_0x527676[_0x2edc70(0xa65)]=angular[_0x2edc70(0x235a)](_0x527676['selectedItems']),_0x527676['dualMultiselectOptions'][_0x2edc70(0x1ecf)]=_0x527676[_0x2edc70(0x1ecf)],_0x527676[_0x2edc70(0x1f8a)][_0x2edc70(0x1fd6)]=_0x3f65c0()['differenceBy'](_0x527676[_0x2edc70(0xc4e)],_0x527676[_0x2edc70(0x1f8a)][_0x2edc70(0x1ecf)],'id'),_0x5a55ff();})[_0x5269ab(0x129e)](function(_0x2b37a1){_0x3e8be0(_0x2b37a1);});});}function _0x1083ee(){return _0x2240fc(function(_0x26e434,_0x2e6030){const _0x35b5ee=a0_0x3bb9;return _0x1c1a3d[_0x35b5ee(0xdcc)][_0x35b5ee(0x16b4)]({'sectionId':_0x527676[_0x35b5ee(0x2146)]['id'],'nolimit':!![]})[_0x35b5ee(0x2945)]['then'](function(_0x399cac){_0x26e434(_0x399cac);})[_0x35b5ee(0x129e)](function(_0x2f3e79){_0x2e6030(_0x2f3e79);});});}function _0x5a26b8(){return _0x2240fc(function(_0x3313b7,_0x259af2){const _0x297add=a0_0x3bb9;return _0x1c1a3d[_0x297add(0x16f8)][_0x297add(0x11d1)]({'id':_0x527676[_0x297add(0x16f8)]['id'],'fields':_0x297add(0x7a7),'nolimit':!![]})['$promise'][_0x297add(0x146b)](function(_0x378edc){_0x3313b7(_0x378edc);})[_0x297add(0x129e)](function(_0x576794){_0x259af2(_0x576794);});});}function _0x3b5c61(){return _0x2240fc(function(_0x4f45d3,_0x140c38){const _0xc55499=a0_0x3bb9;return _0x1c1a3d[_0xc55499(0x23f1)][_0xc55499(0x16b4)]({'fields':_0xc55499(0x7a7),'nolimit':!![]})['$promise'][_0xc55499(0x146b)](function(_0x3ce240){_0x4f45d3(_0x3ce240);})['catch'](function(_0x1017d5){_0x140c38(_0x1017d5);});});}function _0x2856e3(_0x3e07c4){return _0x2240fc(function(_0x469fba,_0x5768bd){const _0x34b6c4=a0_0x3bb9;_0x3f65c0()[_0x34b6c4(0x2635)](_0x3e07c4)?_0x469fba():_0x1c1a3d[_0x34b6c4(0x16f8)][_0x34b6c4(0x7a0)]({'id':_0x527676[_0x34b6c4(0x16f8)]['id'],'ids':_0x3f65c0()[_0x34b6c4(0x205)](_0x3e07c4,'id')})[_0x34b6c4(0x2945)][_0x34b6c4(0x146b)](function(){_0x469fba();})[_0x34b6c4(0x129e)](function(_0x492811){_0x5768bd(_0x492811);});});}function _0x42d5f7(_0x22bfa1){return _0x2240fc(function(_0x174104,_0x1b3b3d){const _0x1156cf=a0_0x3bb9;_0x3f65c0()[_0x1156cf(0x2635)](_0x22bfa1)?_0x174104():_0x1c1a3d['chatQueue'][_0x1156cf(0xfa4)]({'id':_0x527676[_0x1156cf(0x16f8)]['id'],'ids':_0x3f65c0()[_0x1156cf(0x205)](_0x22bfa1,'id')})[_0x1156cf(0x2945)][_0x1156cf(0x146b)](function(){_0x174104();})[_0x1156cf(0x129e)](function(_0x13b377){_0x1b3b3d(_0x13b377);});});}function _0x31e36c(){const _0x48683b=_0x5535b4,_0x1501c4=_0x3f65c0()['differenceBy'](_0x527676[_0x48683b(0xa65)],_0x527676[_0x48683b(0x1ecf)],'id'),_0x21cd31=_0x3f65c0()[_0x48683b(0x2796)](_0x527676[_0x48683b(0x1ecf)],_0x527676['startingSelectedItems'],'id');return _0x42d5f7(_0x1501c4)[_0x48683b(0x146b)](function(){return _0x2856e3(_0x21cd31);})[_0x48683b(0x146b)](function(){const _0x5427c5=_0x48683b;_0x527676[_0x5427c5(0x132)]=![],_0x527676[_0x5427c5(0x2e8)]=angular[_0x5427c5(0x235a)](_0x527676[_0x5427c5(0xc4e)]),_0x527676[_0x5427c5(0xa65)]=angular[_0x5427c5(0x235a)](_0x527676[_0x5427c5(0x1ecf)]),_0x371b49[_0x5427c5(0x1c75)]({'title':'SUCCESS','msg':_0x5427c5(0xf08)});})['catch'](function(_0x2cd6cc){const _0x3a23e4=_0x48683b;_0x371b49['error']({'title':_0x2cd6cc[_0x3a23e4(0x107b)]?_0x3a23e4(0x262a)+_0x2cd6cc['status']+_0x3a23e4(0x1315)+_0x2cd6cc[_0x3a23e4(0x167f)]:'SYSTEM:LISTS_ASSOCIATION','msg':_0x2cd6cc[_0x3a23e4(0x107b)]?JSON[_0x3a23e4(0x10bb)](_0x2cd6cc[_0x3a23e4(0x524)]):_0x2cd6cc[_0x3a23e4(0xd5f)]()});});}function _0x16eb6f(){_0x1aca8e['hide']();}}const _0x4730d1=_0x5e8b2b;;_0x5a73c9[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x214b),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87),'chatQueue',_0x313a4d(0x1366)];function _0x5a73c9(_0x3df7b6,_0xe9308a,_0x5a0a2f,_0x2daed2,_0x42dbb4,_0xa392f2,_0x3da334,_0x217597,_0x5ae7e4,_0x4b36ca,_0x3c94d9,_0x375ec3){const _0x1600b2=_0x313a4d,_0x522b07=this;_0x522b07[_0x1600b2(0x2321)]=_0x4b36ca[_0x1600b2(0xb12)](),_0x522b07[_0x1600b2(0x2690)]=_0xa392f2,_0x522b07[_0x1600b2(0x15b9)]=_0x3da334,_0x522b07[_0x1600b2(0x2251)]=_0x522b07[_0x1600b2(0x15b9)][_0x1600b2(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x522b07[_0x1600b2(0x1002)]=_0xe9308a[_0x1600b2(0x2414)]()+_0x1600b2(0xb0e)+_0xe9308a['host'](),_0x522b07[_0x1600b2(0x16f8)]=_0x3c94d9||_0x3df7b6[_0x1600b2(0x16a)][_0x1600b2(0x16f8)]||{},_0x522b07['userProfileSection']=_0x375ec3&&_0x375ec3[_0x1600b2(0x51c)]==0x1?_0x375ec3[_0x1600b2(0x19c7)][0x0]:null,_0x522b07[_0x1600b2(0x2514)]=_0x4b36ca['parseCrudPermissions'](_0x522b07[_0x1600b2(0x1366)]?_0x522b07[_0x1600b2(0x1366)]['crudPermissions']:null),_0x522b07[_0x1600b2(0x855)]={},_0x522b07['selectedTab']=_0x3df7b6[_0x1600b2(0x16a)][_0x1600b2(0x13a3)]||0x0,_0x522b07[_0x1600b2(0x244)]=_0x2a0271,_0x522b07['agentadddialog']=_0x2e18c2,_0x522b07[_0x1600b2(0x861)]=_0x5ae7e4['info'],_0x522b07[_0x1600b2(0x5fb)]=_0x5a531c,_0x522b07[_0x1600b2(0x28f2)]=_0x4fc370;function _0x2a0271(_0x4137ef,_0x4b1fd1){const _0x2bac7e=_0x1600b2;_0x5a0a2f[_0x2bac7e(0x2615)]({'controller':_0x2bac7e(0xaae),'controllerAs':'vm','templateUrl':_0x58aef2,'parent':angular[_0x2bac7e(0x1853)](_0x2daed2[_0x2bac7e(0x2586)]),'targetEvent':_0x4b1fd1,'clickOutsideToClose':!![],'locals':{'chatQueue':_0x4137ef,'chatQueues':_0x522b07[_0x2bac7e(0x380)]?_0x522b07['chatQueues'][_0x2bac7e(0x19c7)]:[],'crudPermissions':_0x522b07[_0x2bac7e(0x2514)]}});}function _0x2e18c2(_0x2109d3,_0xea7d88){const _0x361eba=_0x1600b2;_0x5a0a2f[_0x361eba(0x2615)]({'controller':_0x361eba(0xc47),'controllerAs':'vm','templateUrl':_0x53d1a0,'parent':angular[_0x361eba(0x1853)](_0x2daed2['body']),'targetEvent':_0xea7d88,'clickOutsideToClose':!![],'locals':{'chatQueue':_0x2109d3,'chatQueues':_0x522b07[_0x361eba(0x380)]?_0x522b07[_0x361eba(0x380)][_0x361eba(0x19c7)]:[],'crudPermissions':_0x522b07[_0x361eba(0x2514)],'realtime':![]}});}function _0x5a531c(){const _0x338da4=_0x1600b2;_0x3df7b6['go'](_0x338da4(0x1ce1),{},{'reload':_0x338da4(0x1ce1)});}function _0x4fc370(){const _0x51e399=_0x1600b2;_0x217597['chatQueue'][_0x51e399(0x18e1)]({'id':_0x522b07[_0x51e399(0x16f8)]['id']},_0x522b07['chatQueue'])[_0x51e399(0x2945)][_0x51e399(0x146b)](function(){const _0x3c9233=_0x51e399;_0x5ae7e4['success']({'title':_0x3c9233(0x2565),'msg':_0x522b07[_0x3c9233(0x16f8)]['name']?_0x522b07[_0x3c9233(0x16f8)][_0x3c9233(0x19eb)]+'\x20has\x20been\x20updated!':''});})['catch'](function(_0x28bee9){const _0x20ae4d=_0x51e399;_0x5ae7e4[_0x20ae4d(0x1980)]({'title':_0x28bee9[_0x20ae4d(0x107b)]?'API:'+_0x28bee9[_0x20ae4d(0x107b)]+'\x20-\x20'+_0x28bee9[_0x20ae4d(0x167f)]:_0x20ae4d(0x18ed),'msg':_0x28bee9[_0x20ae4d(0x524)]?JSON[_0x20ae4d(0x10bb)](_0x28bee9[_0x20ae4d(0x524)]):_0x28bee9['toString']()});});}}const _0x704b4f=_0x5a73c9;;const _0x15502c=_0x4acfac['p']+'src/js/modules/main/apps/chat/views/chatWebsites/edit/agentadd/agentadd.html/agentadd.html';;const _0x5519c5=_0x4acfac['p']+_0x313a4d(0x1064);;_0x38e4c6[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),'$mdDialog','$document','$timeout',_0x313a4d(0x214b),_0x313a4d(0x2710),_0x313a4d(0x26b6),'userProfileSection',_0x313a4d(0x247f),'msUtils','toasty','Auth',_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x38e4c6(_0xeb8362,_0x17992c,_0x3035c9,_0x545da2,_0x3020bc,_0x3f3557,_0x82be1c,_0x203d99,_0x541384,_0x5d461c,_0x2b4812,_0x25fc4d,_0x5d3067,_0x4c5fd8,_0x1a51dc,_0x54165b,_0x1bcfbb){const _0x2f9d72=_0x313a4d,_0x113779=this;_0x113779['license']=_0x54165b,_0x113779[_0x2f9d72(0x15b9)]=_0x1bcfbb,_0x113779[_0x2f9d72(0x2321)]=_0x1a51dc['getCurrentUser'](),_0x113779[_0x2f9d72(0x2710)]=_0x541384||{'count':0x0,'rows':[]},_0x113779['userProfile']=_0x5d461c,_0x113779[_0x2f9d72(0x1366)]=_0x2b4812&&_0x2b4812[_0x2f9d72(0x51c)]==0x1?_0x2b4812['rows'][0x0]:null,_0x113779[_0x2f9d72(0x2514)]=_0x1a51dc['parseCrudPermissions'](_0x113779['userProfileSection']?_0x113779[_0x2f9d72(0x1366)]['crudPermissions']:null),_0x113779[_0x2f9d72(0x768)]='chatWebsites',_0x113779[_0x2f9d72(0x216a)]='',_0x113779['listOrderAsc']=null,_0x113779[_0x2f9d72(0x1f19)]=[],_0x113779[_0x2f9d72(0x1a56)]={'fields':_0x2f9d72(0x1c12),'sort':_0x2f9d72(0x12f2),'limit':0xa,'page':0x1},_0x113779[_0x2f9d72(0x1892)]=_0x3f65c0()[_0x2f9d72(0x194)]([{'option':_0x2f9d72(0x568),'value':_0x2f9d72(0x6a5)},{'option':_0x2f9d72(0x48b),'value':_0x2f9d72(0x1c1b)},{'option':_0x2f9d72(0x2144),'value':'\x27agent_fullname\x27'}],function(_0x3682a7){const _0x570dfe=_0x2f9d72;return _0x3f65c0()[_0x570dfe(0x5f4)](_0x3682a7[_0x570dfe(0x175d)],new RegExp('\x27','g'),'');}),_0x113779[_0x2f9d72(0x1135)]=_0x3f65c0()[_0x2f9d72(0x194)]([{'option':_0x2f9d72(0x572),'value':_0x2f9d72(0x12be)},{'option':_0x2f9d72(0x191b),'value':_0x2f9d72(0x17df)}],function(_0x2ad21f){const _0x369ee4=_0x2f9d72;return _0x3f65c0()['replace'](_0x2ad21f[_0x369ee4(0x175d)],new RegExp('\x27','g'),'');}),_0x113779[_0x2f9d72(0x7c3)]=_0x3f65c0()[_0x2f9d72(0x194)]([{'option':_0x2f9d72(0x1f08),'value':_0x2f9d72(0xbb2)},{'option':'right','value':_0x2f9d72(0x1d45)},{'option':_0x2f9d72(0x25f5),'value':_0x2f9d72(0x294b)}],function(_0x16d206){const _0xef09ad=_0x2f9d72;return _0x3f65c0()[_0xef09ad(0x5f4)](_0x16d206[_0xef09ad(0x175d)],new RegExp('\x27','g'),'');}),_0x113779[_0x2f9d72(0x1fd1)]=_0x3f65c0()[_0x2f9d72(0x194)]([{'option':'alternate','value':'\x27alternate\x27'},{'option':_0x2f9d72(0x2474),'value':_0x2f9d72(0x1ed)}],function(_0x77ce6d){const _0x28cc1c=_0x2f9d72;return _0x3f65c0()[_0x28cc1c(0x5f4)](_0x77ce6d[_0x28cc1c(0x175d)],new RegExp('\x27','g'),'');}),_0x113779[_0x2f9d72(0x1a57)]=_0x3f65c0()[_0x2f9d72(0x194)]([{'option':_0x2f9d72(0x1f39),'value':_0x2f9d72(0xeb2)},{'option':'Thumb','value':_0x2f9d72(0x4c1)}],function(_0x53c1c2){const _0x13b69e=_0x2f9d72;return _0x3f65c0()['replace'](_0x53c1c2[_0x13b69e(0x175d)],new RegExp('\x27','g'),'');}),_0x113779[_0x2f9d72(0x23f9)]=_0x69e692,_0x113779[_0x2f9d72(0xbce)]=_0x4accb1,_0x113779[_0x2f9d72(0x165)]=_0x22728d,_0x113779[_0x2f9d72(0x1027)]=_0x34ed9d,_0x113779['deleteconfirm']=_0x168ac1,_0x113779[_0x2f9d72(0x1c75)]=_0x16ef14,_0x113779['getChatWebsites']=_0x3ad99f,_0x113779[_0x2f9d72(0xa8a)]=_0x9bcca,_0x113779[_0x2f9d72(0x1219)]=_0xa4c91a,_0x113779[_0x2f9d72(0xea6)]=_0x146933,_0x113779[_0x2f9d72(0x257e)]=_0x3ca89b,_0x113779[_0x2f9d72(0x1f8b)]=_0x143156,_0x113779[_0x2f9d72(0x18e9)]=_0x33b954,_0x1a51dc[_0x2f9d72(0x23e0)](_0x2f9d72(0x174b))?_0x25fc4d[_0x2f9d72(0xf03)]['get']({'fields':_0x2f9d72(0x7a7),'sort':_0x2f9d72(0x19eb)})[_0x2f9d72(0x2945)][_0x2f9d72(0x146b)](function(_0x43c38e){const _0x46e5ad=_0x2f9d72;_0x113779[_0x46e5ad(0x1324)]=_0x43c38e['rows']||[];})[_0x2f9d72(0x129e)](function(_0x331dde){const _0x1d8d3b=_0x2f9d72;_0x4c5fd8['error']({'title':_0x331dde['status']?'API:'+_0x331dde['status']+_0x1d8d3b(0x1315)+_0x331dde[_0x1d8d3b(0x167f)]:_0x1d8d3b(0x11b3),'msg':_0x331dde['data']?JSON[_0x1d8d3b(0x10bb)](_0x331dde[_0x1d8d3b(0x524)]):_0x331dde[_0x1d8d3b(0xd5f)]()});}):_0x25fc4d[_0x2f9d72(0xf03)][_0x2f9d72(0x16b4)]({'fields':'id,name','sort':_0x2f9d72(0x19eb)})[_0x2f9d72(0x2945)]['then'](function(_0x2da21e){const _0x3d9c1e=_0x2f9d72;_0x113779['lists']=_0x2da21e[_0x3d9c1e(0x19c7)]||[];})[_0x2f9d72(0x146b)](function(){const _0x180ce7=_0x2f9d72;return _0x25fc4d[_0x180ce7(0x1366)][_0x180ce7(0x16b4)]({'userProfileId':_0x113779[_0x180ce7(0x2321)][_0x180ce7(0x209a)],'sectionId':0x12d})[_0x180ce7(0x2945)];})[_0x2f9d72(0x146b)](function(_0x40c267){const _0x4bb00c=_0x2f9d72,_0x4eb572=_0x40c267&&_0x40c267['rows']?_0x40c267['rows'][0x0]:null;if(!_0x4eb572){const _0x27c681=[];let _0x22818c=null;_0x113779[_0x4bb00c(0x189e)]&&(_0x22818c=_0x3f65c0()[_0x4bb00c(0xc84)](_0x113779[_0x4bb00c(0x1324)],{'id':Number(_0x113779[_0x4bb00c(0x189e)][_0x4bb00c(0xb7c)])}));for(let _0x560b11=0x0;_0x560b11<_0x113779[_0x4bb00c(0x1324)][_0x4bb00c(0x402)];_0x560b11++){_0x22818c&&_0x113779['lists'][_0x560b11]['id']===_0x22818c['id']&&(_0x113779[_0x4bb00c(0x1324)][_0x560b11][_0x4bb00c(0x8ff)]=![],_0x27c681[_0x4bb00c(0x1f47)](_0x113779[_0x4bb00c(0x1324)][_0x560b11]));}_0x113779[_0x4bb00c(0x1324)]=_0x27c681;}else{if(!_0x4eb572[_0x4bb00c(0x11d2)])return _0x25fc4d[_0x4bb00c(0xdcc)][_0x4bb00c(0x16b4)]({'sectionId':_0x4eb572['id']})[_0x4bb00c(0x2945)]['then'](function(_0x288a4a){const _0x3613b9=_0x4bb00c,_0x4247ff=_0x3f65c0()[_0x3613b9(0x205)](_0x288a4a[_0x3613b9(0x19c7)],function(_0x13385d){const _0x20001f=_0x3613b9;return _0x3f65c0()[_0x20001f(0xc84)](_0x113779[_0x20001f(0x1324)],{'id':_0x13385d[_0x20001f(0x18b8)]});});let _0x2d2015=null;_0x113779['chatWebsite']&&(_0x2d2015=_0x3f65c0()[_0x3613b9(0xc84)](_0x113779[_0x3613b9(0x1324)],{'id':Number(_0x113779[_0x3613b9(0x189e)][_0x3613b9(0xb7c)])}));if(_0x2d2015&&!_0x3f65c0()['some'](_0x4247ff,['id',_0x2d2015['id']])){const _0x408e03=_0x3f65c0()[_0x3613b9(0xc84)](_0x113779[_0x3613b9(0x1324)],{'id':_0x2d2015['id']});_0x408e03[_0x3613b9(0x8ff)]=![],_0x4247ff[_0x3613b9(0x1f47)](_0x408e03);}_0x113779[_0x3613b9(0x1324)]=_0x4247ff;});}})[_0x2f9d72(0x129e)](function(_0x109d88){const _0x23b2b=_0x2f9d72;_0x4c5fd8[_0x23b2b(0x1980)]({'title':_0x109d88[_0x23b2b(0x107b)]?_0x23b2b(0x262a)+_0x109d88['status']+'\x20-\x20'+_0x109d88[_0x23b2b(0x167f)]:_0x23b2b(0x1a2f),'msg':_0x109d88[_0x23b2b(0x524)]?JSON[_0x23b2b(0x10bb)](_0x109d88[_0x23b2b(0x524)]):_0x109d88[_0x23b2b(0xd5f)]()});}),_0x1a51dc[_0x2f9d72(0x23e0)](_0x2f9d72(0x174b))?_0x25fc4d[_0x2f9d72(0x2765)][_0x2f9d72(0x16b4)]({'fields':_0x2f9d72(0x7a7),'sort':_0x2f9d72(0x19eb),'IntervalId':_0x2f9d72(0x203c)})[_0x2f9d72(0x2945)][_0x2f9d72(0x146b)](function(_0x2e0667){const _0x4bf3f5=_0x2f9d72;_0x113779[_0x4bf3f5(0x2765)]=_0x2e0667[_0x4bf3f5(0x19c7)]||[];})['catch'](function(_0x43c9ce){const _0x5237d2=_0x2f9d72;_0x4c5fd8[_0x5237d2(0x1980)]({'title':_0x43c9ce[_0x5237d2(0x107b)]?_0x5237d2(0x262a)+_0x43c9ce[_0x5237d2(0x107b)]+_0x5237d2(0x1315)+_0x43c9ce[_0x5237d2(0x167f)]:_0x5237d2(0x12c5),'msg':_0x43c9ce[_0x5237d2(0x524)]?JSON[_0x5237d2(0x10bb)](_0x43c9ce[_0x5237d2(0x524)]):_0x43c9ce[_0x5237d2(0xd5f)]()});}):_0x25fc4d['interval'][_0x2f9d72(0x16b4)]({'fields':'id,name','sort':_0x2f9d72(0x19eb),'IntervalId':_0x2f9d72(0x203c)})[_0x2f9d72(0x2945)][_0x2f9d72(0x146b)](function(_0x485c9b){const _0x310ab2=_0x2f9d72;_0x113779[_0x310ab2(0x2765)]=_0x485c9b[_0x310ab2(0x19c7)]||[];})['then'](function(){const _0x5a6814=_0x2f9d72;return _0x25fc4d[_0x5a6814(0x1366)][_0x5a6814(0x16b4)]({'userProfileId':_0x113779[_0x5a6814(0x2321)][_0x5a6814(0x209a)],'sectionId':0x3ec})[_0x5a6814(0x2945)];})[_0x2f9d72(0x146b)](function(_0x570c9a){const _0x1dea7d=_0x2f9d72,_0x26804=_0x570c9a&&_0x570c9a[_0x1dea7d(0x19c7)]?_0x570c9a['rows'][0x0]:null;if(!_0x26804){const _0x196252=[];let _0x5cc498=null;_0x113779[_0x1dea7d(0x189e)]&&(_0x5cc498=_0x3f65c0()['find'](_0x113779[_0x1dea7d(0x2765)],{'id':Number(_0x113779[_0x1dea7d(0x189e)][_0x1dea7d(0x1ff2)])}));for(let _0x58a456=0x0;_0x58a456<_0x113779[_0x1dea7d(0x2765)][_0x1dea7d(0x402)];_0x58a456++){_0x5cc498&&_0x113779['interval'][_0x58a456]['id']===_0x5cc498['id']&&(_0x113779[_0x1dea7d(0x2765)][_0x58a456][_0x1dea7d(0x8ff)]=![],_0x196252[_0x1dea7d(0x1f47)](_0x113779[_0x1dea7d(0x2765)][_0x58a456]));}_0x113779['interval']=_0x196252;}else{if(!_0x26804[_0x1dea7d(0x11d2)])return _0x25fc4d[_0x1dea7d(0xdcc)][_0x1dea7d(0x16b4)]({'sectionId':_0x26804['id']})[_0x1dea7d(0x2945)][_0x1dea7d(0x146b)](function(_0x51de08){const _0x5c8519=_0x1dea7d,_0x2b0681=_0x3f65c0()[_0x5c8519(0x205)](_0x51de08[_0x5c8519(0x19c7)],function(_0x46c380){const _0x28799a=_0x5c8519;return _0x3f65c0()[_0x28799a(0xc84)](_0x113779[_0x28799a(0x2765)],{'id':_0x46c380[_0x28799a(0x18b8)]});});let _0x283cc8=null;_0x113779[_0x5c8519(0x189e)]&&(_0x283cc8=_0x3f65c0()[_0x5c8519(0xc84)](_0x113779[_0x5c8519(0x2765)],{'id':Number(_0x113779[_0x5c8519(0x189e)]['IntervalId'])}));if(_0x283cc8&&!_0x3f65c0()[_0x5c8519(0x1360)](_0x2b0681,['id',_0x283cc8['id']])){const _0x308a7f=_0x3f65c0()['find'](_0x113779[_0x5c8519(0x2765)],{'id':_0x283cc8['id']});_0x308a7f[_0x5c8519(0x8ff)]=![],_0x2b0681['push'](_0x308a7f);}_0x113779[_0x5c8519(0x2765)]=_0x2b0681;});}})['catch'](function(_0x5d01b5){const _0x5d9ce2=_0x2f9d72;_0x4c5fd8[_0x5d9ce2(0x1980)]({'title':_0x5d01b5['status']?_0x5d9ce2(0x262a)+_0x5d01b5['status']+_0x5d9ce2(0x1315)+_0x5d01b5[_0x5d9ce2(0x167f)]:_0x5d9ce2(0x8b6),'msg':_0x5d01b5[_0x5d9ce2(0x524)]?JSON['stringify'](_0x5d01b5[_0x5d9ce2(0x524)]):_0x5d01b5[_0x5d9ce2(0xd5f)]()});});function _0x69e692(_0x1fc5d6){const _0x7329ce=_0x2f9d72;_0x3035c9['go'](_0x7329ce(0x6f1),{'id':_0x1fc5d6['id'],'chatWebsite':_0x1fc5d6,'crudPermissions':_0x113779['crudPermissions']});}function _0x4accb1(_0x548cbf){const _0x1c1d4d=_0x2f9d72;_0x3035c9['go'](_0x1c1d4d(0x6f1),{'id':_0x548cbf['id'],'tab':0xa});}function _0x22728d(_0x556dbd){_0x3035c9['go']('app.chat.chatWebsites.edit',{'id':_0x556dbd['id'],'tab':0xb});}function _0x34ed9d(_0x30581b,_0x4221da){const _0x285400=_0x2f9d72;_0x3020bc[_0x285400(0x2615)]({'controller':'ChatWebsiteagentaddController','controllerAs':'vm','templateUrl':_0x15502c,'parent':angular[_0x285400(0x1853)](_0x3f3557[_0x285400(0x2586)]),'targetEvent':_0x4221da,'clickOutsideToClose':!![],'locals':{'chatWebsite':_0x30581b,'chatWebsites':_0x113779[_0x285400(0x2710)]?_0x113779['chatWebsites']['rows']:[],'crudPermissions':_0x113779[_0x285400(0x2514)],'realtime':![]}});}function _0x168ac1(_0x4b7a15,_0x29168f){const _0x1b2904=_0x2f9d72,_0x3ff437=_0x3020bc[_0x1b2904(0x1e8a)]()[_0x1b2904(0x1189)](_0x1b2904(0xdb2)+_0x3f65c0()[_0x1b2904(0x20d1)](_0x1b2904(0x189e))+'?')[_0x1b2904(0x1cbe)](_0x1b2904(0x16d3)+(_0x4b7a15['name']||'chatWebsite')+_0x1b2904(0x252f)+'\x20will\x20be\x20deleted.')[_0x1b2904(0x4bd)](_0x1b2904(0x2019))[_0x1b2904(0x1f27)](_0x29168f)['ok']('OK')[_0x1b2904(0x6c3)]('CANCEL');_0x3020bc[_0x1b2904(0x2615)](_0x3ff437)[_0x1b2904(0x146b)](function(){_0xa4c91a(_0x4b7a15);},function(){const _0x1e815d=_0x1b2904;console[_0x1e815d(0x1a74)](_0x1e815d(0x39a));});}let _0x1a8514=!![],_0x3550ec=0x1;_0xeb8362['$watch'](_0x2f9d72(0x2669),function(_0x305237,_0x40fb2f){const _0x244a43=_0x2f9d72;_0x1a8514?_0x82be1c(function(){_0x1a8514=![];}):(!_0x40fb2f&&(_0x3550ec=_0x113779[_0x244a43(0x1a56)][_0x244a43(0x844)]),_0x305237!==_0x40fb2f&&(_0x113779[_0x244a43(0x1a56)][_0x244a43(0x844)]=0x1),!_0x305237&&(_0x113779[_0x244a43(0x1a56)]['page']=_0x3550ec),_0x113779[_0x244a43(0x3e5)]());});function _0x16ef14(_0x579f04){_0x113779['chatWebsites']=_0x579f04||{'count':0x0,'rows':[]};}function _0x3ad99f(){const _0x7c86be=_0x2f9d72;_0x113779['query']['offset']=(_0x113779[_0x7c86be(0x1a56)][_0x7c86be(0x844)]-0x1)*_0x113779[_0x7c86be(0x1a56)][_0x7c86be(0x221e)],_0x1a51dc[_0x7c86be(0x23e0)](_0x7c86be(0x174b))?_0x113779[_0x7c86be(0xb9c)]=_0x25fc4d[_0x7c86be(0x189e)][_0x7c86be(0x16b4)](_0x113779[_0x7c86be(0x1a56)],_0x16ef14)[_0x7c86be(0x2945)]:(_0x113779[_0x7c86be(0x1a56)]['id']=_0x113779[_0x7c86be(0x26b6)]['id'],_0x113779[_0x7c86be(0x1a56)]['section']=_0x7c86be(0x274c),_0x113779[_0x7c86be(0xb9c)]=_0x25fc4d[_0x7c86be(0x26b6)][_0x7c86be(0x158f)](_0x113779['query'],_0x16ef14)[_0x7c86be(0x2945)]);}function _0x9bcca(_0x1d1eb4,_0x54d332){const _0x1dd3b7=_0x2f9d72;_0x3020bc[_0x1dd3b7(0x2615)]({'controller':_0x1dd3b7(0x160e),'controllerAs':'vm','templateUrl':_0x5519c5,'parent':angular['element'](_0x3f3557['body']),'targetEvent':_0x1d1eb4,'clickOutsideToClose':!![],'locals':{'chatWebsite':_0x54d332,'chatWebsites':_0x113779[_0x1dd3b7(0x2710)][_0x1dd3b7(0x19c7)],'license':_0x113779['license'],'setting':_0x113779['setting'],'crudPermissions':_0x113779[_0x1dd3b7(0x2514)]}});}function _0xa4c91a(_0x4d8e09){const _0x267d4d=_0x2f9d72;_0x25fc4d[_0x267d4d(0x189e)][_0x267d4d(0x1fac)]({'id':_0x4d8e09['id']})['$promise'][_0x267d4d(0x146b)](function(){const _0x2fa58f=_0x267d4d;_0x3f65c0()[_0x2fa58f(0x2640)](_0x113779[_0x2fa58f(0x2710)][_0x2fa58f(0x19c7)],{'id':_0x4d8e09['id']}),_0x113779[_0x2fa58f(0x2710)][_0x2fa58f(0x51c)]-=0x1,!_0x113779[_0x2fa58f(0x2710)][_0x2fa58f(0x19c7)]['length']&&_0x113779[_0x2fa58f(0x3e5)](),_0x4c5fd8['success']({'title':_0x3f65c0()[_0x2fa58f(0x20d1)]('ChatWebsite')+_0x2fa58f(0x201c),'msg':_0x4d8e09[_0x2fa58f(0x19eb)]?_0x4d8e09['name']+_0x2fa58f(0x23e3):''});})[_0x267d4d(0x129e)](function(_0x533acc){const _0xabdb=_0x267d4d;if(_0x533acc[_0xabdb(0x524)]&&_0x533acc['data'][_0xabdb(0xcef)]&&_0x533acc[_0xabdb(0x524)]['errors'][_0xabdb(0x402)]){_0x113779[_0xabdb(0xcef)]=_0x533acc[_0xabdb(0x524)][_0xabdb(0xcef)]||[{'message':_0x533acc[_0xabdb(0xd5f)](),'type':_0xabdb(0x172)}];for(let _0x2a15bb=0x0;_0x2a15bb<_0x533acc[_0xabdb(0x524)][_0xabdb(0xcef)][_0xabdb(0x402)];_0x2a15bb++){_0x4c5fd8['error']({'title':_0x533acc[_0xabdb(0x524)]['errors'][_0x2a15bb]['type'],'msg':_0x533acc[_0xabdb(0x524)]['errors'][_0x2a15bb]['message']});}}else _0x4c5fd8[_0xabdb(0x1980)]({'title':_0x533acc[_0xabdb(0x107b)]?'API:'+_0x533acc[_0xabdb(0x107b)]+'\x20-\x20'+_0x533acc[_0xabdb(0x167f)]:'SYSTEM:DELETEchatWebsite','msg':_0x533acc[_0xabdb(0x524)]?JSON['stringify'](_0x533acc[_0xabdb(0x524)][_0xabdb(0x7fd)]):_0x533acc[_0xabdb(0x7fd)]||_0x533acc[_0xabdb(0xd5f)]()});});}function _0x146933(){const _0x2c30c8=_0x2f9d72,_0x56ddc0=angular[_0x2c30c8(0x235a)](_0x113779['selectedChatWebsites']);return _0x113779[_0x2c30c8(0x1f19)]=[],_0x56ddc0;}function _0x3ca89b(_0x455f4f){const _0x47c9b6=_0x2f9d72,_0x5be5f5=_0x3020bc[_0x47c9b6(0x1e8a)]()[_0x47c9b6(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20chatWebsites?')[_0x47c9b6(0x1cbe)](_0x47c9b6(0x16d3)+_0x113779[_0x47c9b6(0x1f19)]['length']+_0x47c9b6(0x2452)+_0x47c9b6(0xe01))[_0x47c9b6(0x4bd)](_0x47c9b6(0xc6e))['targetEvent'](_0x455f4f)['ok']('OK')[_0x47c9b6(0x6c3)](_0x47c9b6(0x39a));_0x3020bc[_0x47c9b6(0x2615)](_0x5be5f5)[_0x47c9b6(0x146b)](function(){const _0x592a37=_0x47c9b6;_0x113779['selectedChatWebsites'][_0x592a37(0x1df5)](function(_0xa2595e){_0xa4c91a(_0xa2595e);}),_0x113779['selectedChatWebsites']=[];});}function _0x143156(){const _0x1e110a=_0x2f9d72;_0x113779[_0x1e110a(0x1f19)]=[];}function _0x33b954(){const _0x594c48=_0x2f9d72;_0x113779[_0x594c48(0x1f19)]=_0x113779[_0x594c48(0x2710)]['rows'];}}const _0x1ca336=_0x38e4c6;;_0x1e55b7[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),'$mdDialog','$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x2710),_0x313a4d(0x189e),'api',_0x313a4d(0xa87),_0x313a4d(0x2690),'setting',_0x313a4d(0x2514)];function _0x1e55b7(_0x109b5e,_0x42096c,_0x59d9e8,_0x72702c,_0x410961,_0x146b57,_0x28315c,_0x313508,_0x52f3e0,_0x559d10,_0x4e6be4,_0x5e7c81,_0x474a55,_0xa650ba){const _0x58c544=_0x313a4d,_0x3f1879=this;_0x3f1879[_0x58c544(0x2321)]=_0x4e6be4['getCurrentUser'](),_0x3f1879[_0x58c544(0xcef)]=[],_0x3f1879[_0x58c544(0x15b9)]=_0x474a55,_0x3f1879['license']=_0x5e7c81,_0x3f1879['crudPermissions']=_0xa650ba,_0x3f1879[_0x58c544(0x855)]={},_0x3f1879[_0x58c544(0x2251)]=_0x3f1879[_0x58c544(0x15b9)]&&_0x3f1879[_0x58c544(0x15b9)][_0x58c544(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x3f1879[_0x58c544(0x1189)]=_0x58c544(0x2652),_0x3f1879['chatWebsite']=angular[_0x58c544(0x235a)](_0x52f3e0),_0x3f1879[_0x58c544(0x2710)]=_0x313508,_0x3f1879[_0x58c544(0x917)]=![];!_0x3f1879[_0x58c544(0x189e)]&&(_0x3f1879[_0x58c544(0x189e)]={'remote':_0x59d9e8[_0x58c544(0x2414)]()+_0x58c544(0xb0e)+_0x59d9e8[_0x58c544(0x148e)]()+(_0x59d9e8['port']()?':'+_0x59d9e8[_0x58c544(0x1553)]():''),'header_shape':_0x58c544(0x4ec),'alignment':'bottom_right','verticalAlignment':0x1e,'messagesAlignment':_0x58c544(0x1557),'IntervalId':'null','timezone':_0x58c544(0x203c),'waitForTheAssignedAgent':0xa,'notificationSound':!![],'queueTransferTimeout':0x12c,'agentTransferTimeout':0x12c},_0x3f1879[_0x58c544(0x1189)]=_0x58c544(0x19a),_0x3f1879['newChatWebsite']=!![]);_0x42096c[_0x58c544(0x16a)]['id']&&(_0x3f1879[_0x58c544(0x189e)][_0x58c544(0x1d92)]=_0x42096c['params']['id']);_0x3f1879[_0x58c544(0x30d)]=_0x21df4f,_0x3f1879[_0x58c544(0x14af)]=_0x5ceb5f,_0x3f1879['deleteChatWebsite']=_0x477c1a,_0x3f1879[_0x58c544(0xe73)]=_0x285d4c,_0x3f1879[_0x58c544(0x13f3)]=_0x14379e,_0x4e6be4[_0x58c544(0x23e0)](_0x58c544(0x174b))?_0x559d10[_0x58c544(0xf03)]['get']({'fields':_0x58c544(0x7a7),'sort':_0x58c544(0x19eb)})['$promise']['then'](function(_0x1c743c){_0x3f1879['lists']=_0x1c743c['rows']||[];})[_0x58c544(0x129e)](function(_0x5b389b){const _0x5494df=_0x58c544;_0x28315c[_0x5494df(0x1980)]({'title':_0x5b389b[_0x5494df(0x107b)]?_0x5494df(0x262a)+_0x5b389b['status']+'\x20-\x20'+_0x5b389b[_0x5494df(0x167f)]:_0x5494df(0x11b3),'msg':_0x5b389b[_0x5494df(0x524)]?JSON['stringify'](_0x5b389b[_0x5494df(0x524)]):_0x5b389b[_0x5494df(0xd5f)]()});}):_0x559d10[_0x58c544(0xf03)][_0x58c544(0x16b4)]({'fields':_0x58c544(0x7a7),'sort':'name'})[_0x58c544(0x2945)][_0x58c544(0x146b)](function(_0x60559c){const _0x35aa50=_0x58c544;_0x3f1879[_0x35aa50(0x1324)]=_0x60559c['rows']||[];})[_0x58c544(0x146b)](function(){const _0x138cc2=_0x58c544;return _0x559d10[_0x138cc2(0x1366)][_0x138cc2(0x16b4)]({'userProfileId':_0x3f1879[_0x138cc2(0x2321)]['userProfileId'],'sectionId':0x12d})['$promise'];})[_0x58c544(0x146b)](function(_0x4fa79b){const _0x1745f1=_0x58c544,_0x1aff8e=_0x4fa79b&&_0x4fa79b[_0x1745f1(0x19c7)]?_0x4fa79b['rows'][0x0]:null;if(!_0x1aff8e){const _0x5614a7=[];let _0x51f9ba=null;_0x3f1879['chatWebsite']&&(_0x51f9ba=_0x3f65c0()[_0x1745f1(0xc84)](_0x3f1879[_0x1745f1(0x1324)],{'id':Number(_0x3f1879[_0x1745f1(0x189e)][_0x1745f1(0xb7c)])}));for(let _0x5ab9b7=0x0;_0x5ab9b7<_0x3f1879['lists']['length'];_0x5ab9b7++){_0x51f9ba&&_0x3f1879['lists'][_0x5ab9b7]['id']===_0x51f9ba['id']&&(_0x3f1879[_0x1745f1(0x1324)][_0x5ab9b7]['canSelect']=![],_0x5614a7[_0x1745f1(0x1f47)](_0x3f1879[_0x1745f1(0x1324)][_0x5ab9b7]));}_0x3f1879[_0x1745f1(0x1324)]=_0x5614a7;}else{if(!_0x1aff8e[_0x1745f1(0x11d2)])return _0x559d10['userProfileResource'][_0x1745f1(0x16b4)]({'sectionId':_0x1aff8e['id']})[_0x1745f1(0x2945)][_0x1745f1(0x146b)](function(_0x5f08fd){const _0x137931=_0x1745f1,_0x1178d7=_0x3f65c0()[_0x137931(0x205)](_0x5f08fd[_0x137931(0x19c7)],function(_0x284aff){const _0x3e994a=_0x137931;return _0x3f65c0()[_0x3e994a(0xc84)](_0x3f1879[_0x3e994a(0x1324)],{'id':_0x284aff[_0x3e994a(0x18b8)]});});let _0x55151f=null;_0x3f1879[_0x137931(0x189e)]&&(_0x55151f=_0x3f65c0()[_0x137931(0xc84)](_0x3f1879['lists'],{'id':Number(_0x3f1879[_0x137931(0x189e)]['ListId'])}));if(_0x55151f&&!_0x3f65c0()[_0x137931(0x1360)](_0x1178d7,['id',_0x55151f['id']])){const _0x1184e3=_0x3f65c0()[_0x137931(0xc84)](_0x3f1879[_0x137931(0x1324)],{'id':_0x55151f['id']});_0x1184e3[_0x137931(0x8ff)]=![],_0x1178d7[_0x137931(0x1f47)](_0x1184e3);}_0x3f1879[_0x137931(0x1324)]=_0x1178d7;});}})[_0x58c544(0x129e)](function(_0x5a6ab3){const _0x28df5f=_0x58c544;_0x28315c[_0x28df5f(0x1980)]({'title':_0x5a6ab3['status']?'API:'+_0x5a6ab3['status']+_0x28df5f(0x1315)+_0x5a6ab3[_0x28df5f(0x167f)]:_0x28df5f(0x1a2f),'msg':_0x5a6ab3['data']?JSON['stringify'](_0x5a6ab3['data']):_0x5a6ab3[_0x28df5f(0xd5f)]()});}),_0x4e6be4[_0x58c544(0x23e0)](_0x58c544(0x174b))?_0x559d10[_0x58c544(0x2765)]['get']({'fields':_0x58c544(0x7a7),'sort':_0x58c544(0x19eb),'IntervalId':_0x58c544(0x203c)})['$promise'][_0x58c544(0x146b)](function(_0x4d14ac){const _0x23737c=_0x58c544;_0x3f1879['interval']=_0x4d14ac[_0x23737c(0x19c7)]||[];})[_0x58c544(0x129e)](function(_0x20be7f){const _0x55b2d1=_0x58c544;_0x28315c['error']({'title':_0x20be7f[_0x55b2d1(0x107b)]?_0x55b2d1(0x262a)+_0x20be7f[_0x55b2d1(0x107b)]+_0x55b2d1(0x1315)+_0x20be7f[_0x55b2d1(0x167f)]:_0x55b2d1(0x12c5),'msg':_0x20be7f['data']?JSON[_0x55b2d1(0x10bb)](_0x20be7f[_0x55b2d1(0x524)]):_0x20be7f['toString']()});}):_0x559d10['interval'][_0x58c544(0x16b4)]({'fields':_0x58c544(0x7a7),'sort':_0x58c544(0x19eb),'IntervalId':_0x58c544(0x203c)})['$promise'][_0x58c544(0x146b)](function(_0x35efea){const _0x4eecfd=_0x58c544;_0x3f1879[_0x4eecfd(0x2765)]=_0x35efea['rows']||[];})[_0x58c544(0x146b)](function(){const _0x2f618e=_0x58c544;return _0x559d10[_0x2f618e(0x1366)]['get']({'userProfileId':_0x3f1879[_0x2f618e(0x2321)][_0x2f618e(0x209a)],'sectionId':0x3ec})[_0x2f618e(0x2945)];})['then'](function(_0x4fa545){const _0x271f4e=_0x58c544,_0x366631=_0x4fa545&&_0x4fa545['rows']?_0x4fa545[_0x271f4e(0x19c7)][0x0]:null;if(!_0x366631){const _0xd84987=[];let _0x29ddb1=null;_0x3f1879['chatWebsite']&&(_0x29ddb1=_0x3f65c0()[_0x271f4e(0xc84)](_0x3f1879['interval'],{'id':Number(_0x3f1879[_0x271f4e(0x189e)][_0x271f4e(0x1ff2)])}));for(let _0x3bbf46=0x0;_0x3bbf46<_0x3f1879[_0x271f4e(0x2765)][_0x271f4e(0x402)];_0x3bbf46++){_0x29ddb1&&_0x3f1879[_0x271f4e(0x2765)][_0x3bbf46]['id']===_0x29ddb1['id']&&(_0x3f1879[_0x271f4e(0x2765)][_0x3bbf46][_0x271f4e(0x8ff)]=![],_0xd84987[_0x271f4e(0x1f47)](_0x3f1879['interval'][_0x3bbf46]));}_0x3f1879[_0x271f4e(0x2765)]=_0xd84987;}else{if(!_0x366631['autoAssociation'])return _0x559d10[_0x271f4e(0xdcc)][_0x271f4e(0x16b4)]({'sectionId':_0x366631['id']})[_0x271f4e(0x2945)][_0x271f4e(0x146b)](function(_0x41166c){const _0x2435fd=_0x271f4e,_0x1327e7=_0x3f65c0()[_0x2435fd(0x205)](_0x41166c['rows'],function(_0x1ac59d){const _0x93fdee=_0x2435fd;return _0x3f65c0()[_0x93fdee(0xc84)](_0x3f1879[_0x93fdee(0x2765)],{'id':_0x1ac59d[_0x93fdee(0x18b8)]});});let _0x42a0f4=null;_0x3f1879[_0x2435fd(0x189e)]&&(_0x42a0f4=_0x3f65c0()[_0x2435fd(0xc84)](_0x3f1879[_0x2435fd(0x2765)],{'id':Number(_0x3f1879[_0x2435fd(0x189e)][_0x2435fd(0x1ff2)])}));if(_0x42a0f4&&!_0x3f65c0()[_0x2435fd(0x1360)](_0x1327e7,['id',_0x42a0f4['id']])){const _0x354136=_0x3f65c0()[_0x2435fd(0xc84)](_0x3f1879[_0x2435fd(0x2765)],{'id':_0x42a0f4['id']});_0x354136[_0x2435fd(0x8ff)]=![],_0x1327e7[_0x2435fd(0x1f47)](_0x354136);}_0x3f1879[_0x2435fd(0x2765)]=_0x1327e7;});}})[_0x58c544(0x129e)](function(_0x4e1a73){const _0x728a1f=_0x58c544;_0x28315c[_0x728a1f(0x1980)]({'title':_0x4e1a73[_0x728a1f(0x107b)]?_0x728a1f(0x262a)+_0x4e1a73['status']+_0x728a1f(0x1315)+_0x4e1a73[_0x728a1f(0x167f)]:'SYSTEM:GETinterval','msg':_0x4e1a73[_0x728a1f(0x524)]?JSON[_0x728a1f(0x10bb)](_0x4e1a73[_0x728a1f(0x524)]):_0x4e1a73[_0x728a1f(0xd5f)]()});});function _0x21df4f(){const _0x28e22b=_0x58c544;_0x3f1879[_0x28e22b(0xcef)]=[],_0x559d10['chatWebsite']['save'](_0x3f1879[_0x28e22b(0x189e)])[_0x28e22b(0x2945)][_0x28e22b(0x146b)](function(_0x27dab0){const _0x526de9=_0x28e22b;_0x3f1879[_0x526de9(0x2710)][_0x526de9(0xb3d)](_0x27dab0[_0x526de9(0x2488)]()),_0x28315c[_0x526de9(0x1c75)]({'title':_0x526de9(0x2392),'msg':_0x3f1879[_0x526de9(0x189e)]['name']?_0x3f1879[_0x526de9(0x189e)]['name']+'\x20has\x20been\x20created!':''}),_0x14379e(_0x27dab0);})['catch'](function(_0x5f4565){const _0x666b63=_0x28e22b;if(_0x5f4565[_0x666b63(0x524)]&&_0x5f4565[_0x666b63(0x524)]['errors']&&_0x5f4565[_0x666b63(0x524)][_0x666b63(0xcef)][_0x666b63(0x402)]){_0x3f1879[_0x666b63(0xcef)]=_0x5f4565['data']['errors']||[{'message':_0x5f4565['toString'](),'type':_0x666b63(0x27c6)}];for(let _0xa8a44f=0x0;_0xa8a44f<_0x5f4565[_0x666b63(0x524)]['errors'][_0x666b63(0x402)];_0xa8a44f+=0x1){_0x28315c[_0x666b63(0x1980)]({'title':_0x5f4565['data']['errors'][_0xa8a44f][_0x666b63(0x1142)],'msg':_0x5f4565[_0x666b63(0x524)][_0x666b63(0xcef)][_0xa8a44f][_0x666b63(0x7fd)]});}}else _0x28315c[_0x666b63(0x1980)]({'title':_0x5f4565['status']?_0x666b63(0x262a)+_0x5f4565[_0x666b63(0x107b)]+_0x666b63(0x1315)+_0x5f4565[_0x666b63(0x167f)]:_0x666b63(0x27c6),'msg':_0x5f4565[_0x666b63(0x524)]?JSON['stringify'](_0x5f4565[_0x666b63(0x524)][_0x666b63(0x7fd)]):_0x5f4565['toString']()});});}function _0x5ceb5f(){const _0x588469=_0x58c544;_0x3f1879['errors']=[],_0x559d10[_0x588469(0x189e)]['update']({'id':_0x3f1879[_0x588469(0x189e)]['id']},_0x3f1879[_0x588469(0x189e)])[_0x588469(0x2945)][_0x588469(0x146b)](function(_0xf54495){const _0x3c7ff8=_0x588469,_0x13cfb8=_0x3f65c0()[_0x3c7ff8(0xc84)](_0x3f1879[_0x3c7ff8(0x2710)],{'id':_0xf54495['id']});_0x13cfb8&&_0x3f65c0()[_0x3c7ff8(0x168d)](_0x13cfb8,_0x3f65c0()[_0x3c7ff8(0x40e)](_0xf54495['toJSON'](),_0x3f65c0()[_0x3c7ff8(0x627)](_0x13cfb8))),_0x28315c[_0x3c7ff8(0x1c75)]({'title':_0x3c7ff8(0x1a25),'msg':_0x3f1879['chatWebsite'][_0x3c7ff8(0x19eb)]?_0x3f1879['chatWebsite'][_0x3c7ff8(0x19eb)]+'\x20has\x20been\x20saved!':''}),_0x14379e(_0xf54495);})['catch'](function(_0x37f80c){const _0x11e369=_0x588469;if(_0x37f80c[_0x11e369(0x524)]&&_0x37f80c[_0x11e369(0x524)]['errors']&&_0x37f80c[_0x11e369(0x524)][_0x11e369(0xcef)]['length']){_0x3f1879[_0x11e369(0xcef)]=_0x37f80c[_0x11e369(0x524)][_0x11e369(0xcef)]||[{'message':_0x37f80c['toString'](),'type':_0x11e369(0xb5f)}];for(let _0x1ce98c=0x0;_0x1ce98c<_0x37f80c[_0x11e369(0x524)][_0x11e369(0xcef)]['length'];_0x1ce98c++){_0x28315c[_0x11e369(0x1980)]({'title':_0x37f80c[_0x11e369(0x524)][_0x11e369(0xcef)][_0x1ce98c][_0x11e369(0x1142)],'msg':_0x37f80c[_0x11e369(0x524)][_0x11e369(0xcef)][_0x1ce98c][_0x11e369(0x7fd)]});}}else _0x28315c[_0x11e369(0x1980)]({'title':_0x37f80c['status']?_0x11e369(0x262a)+_0x37f80c[_0x11e369(0x107b)]+_0x11e369(0x1315)+_0x37f80c[_0x11e369(0x167f)]:_0x11e369(0xb5f),'msg':_0x37f80c['data']?JSON[_0x11e369(0x10bb)](_0x37f80c['data']['message']):_0x37f80c[_0x11e369(0xd5f)]()});});}function _0x477c1a(_0x1df1ed){const _0x135b06=_0x58c544;_0x3f1879['errors']=[];const _0x9d7223=_0x72702c[_0x135b06(0x1e8a)]()['title']('Are\x20you\x20sure?')['content']('The\x20chatWebsite\x20will\x20be\x20deleted.')[_0x135b06(0x4bd)](_0x135b06(0x8af))['ok'](_0x135b06(0x25de))[_0x135b06(0x6c3)]('Cancel')[_0x135b06(0x1f27)](_0x1df1ed);_0x72702c[_0x135b06(0x2615)](_0x9d7223)[_0x135b06(0x146b)](function(){const _0x1411a2=_0x135b06;_0x559d10[_0x1411a2(0x189e)][_0x1411a2(0x1fac)]({'id':_0x3f1879[_0x1411a2(0x189e)]['id']})[_0x1411a2(0x2945)][_0x1411a2(0x146b)](function(){const _0x1bfbf3=_0x1411a2;_0x3f65c0()['remove'](_0x3f1879['chatWebsites'],{'id':_0x3f1879[_0x1bfbf3(0x189e)]['id']}),_0x28315c[_0x1bfbf3(0x1c75)]({'title':_0x1bfbf3(0x1ec2),'msg':(_0x3f1879[_0x1bfbf3(0x189e)][_0x1bfbf3(0x19eb)]||'chatWebsite')+'\x20has\x20been\x20deleted!'}),_0x14379e(_0x3f1879[_0x1bfbf3(0x189e)]);})['catch'](function(_0x517b91){const _0x113158=_0x1411a2;if(_0x517b91[_0x113158(0x524)]&&_0x517b91[_0x113158(0x524)][_0x113158(0xcef)]&&_0x517b91[_0x113158(0x524)][_0x113158(0xcef)]['length']){_0x3f1879[_0x113158(0xcef)]=_0x517b91['data'][_0x113158(0xcef)]||[{'message':_0x517b91[_0x113158(0xd5f)](),'type':_0x113158(0x1c9b)}];for(let _0x52d11b=0x0;_0x52d11b<_0x517b91[_0x113158(0x524)]['errors'][_0x113158(0x402)];_0x52d11b++){_0x28315c[_0x113158(0x1980)]({'title':_0x517b91[_0x113158(0x524)][_0x113158(0xcef)][_0x52d11b]['type'],'msg':_0x517b91[_0x113158(0x524)][_0x113158(0xcef)][_0x52d11b][_0x113158(0x7fd)]});}}else _0x28315c[_0x113158(0x1980)]({'title':_0x517b91[_0x113158(0x107b)]?'API:'+_0x517b91[_0x113158(0x107b)]+'\x20-\x20'+_0x517b91[_0x113158(0x167f)]:_0x113158(0x1c9b),'msg':_0x517b91[_0x113158(0x524)]?JSON[_0x113158(0x10bb)](_0x517b91[_0x113158(0x524)]['message']):_0x517b91[_0x113158(0x7fd)]||_0x517b91[_0x113158(0xd5f)]()});});},function(){});}function _0x285d4c(_0x292a36){return _0x292a36===null?undefined:new Date(_0x292a36);}function _0x14379e(_0x31dbae){_0x72702c['hide'](_0x31dbae);}}const _0x3e3ab4=_0x1e55b7;;const _0x41ec1b=_0x4acfac['p']+_0x313a4d(0x2054);;const _0x47c96a=_0x4acfac['p']+_0x313a4d(0x14cd);;const _0x1ba3f2=_0x4acfac['p']+'src/js/modules/main/apps/chat/views/chatWebsites/edit/apps/autoreply/dialog.html/dialog.html';;const _0x3ef275=_0x4acfac['p']+_0x313a4d(0xc0a);;const _0x1d1e01=_0x4acfac['p']+'src/js/modules/main/apps/chat/views/chatWebsites/edit/apps/dialogflow/dialog.html/dialog.html';;const _0x1d059d=_0x4acfac['p']+'src/js/modules/main/apps/chat/views/chatWebsites/edit/apps/gotoif/dialog.html/dialog.html';;const _0x6ea438=_0x4acfac['p']+_0x313a4d(0x1a7);;const _0x460bff=_0x4acfac['p']+'src/js/modules/main/apps/chat/views/chatWebsites/edit/apps/interval/dialog.html/dialog.html';;const _0x1be45f=_0x4acfac['p']+_0x313a4d(0x2124);;const _0x33ffc5=_0x4acfac['p']+_0x313a4d(0xfc6);;const _0x5a94bf=_0x4acfac['p']+'src/js/modules/main/apps/chat/views/chatWebsites/edit/apps/system/dialog.html/dialog.html';;const _0x407b40=_0x4acfac['p']+'src/js/modules/main/apps/chat/views/chatWebsites/edit/apps/tag/dialog.html/dialog.html';;_0x3f360d[_0x313a4d(0x11c2)]=[_0x313a4d(0x247f),_0x313a4d(0x10e8),'$document','toasty',_0x313a4d(0xa87)];const _0x358380={'agent':_0x41ec1b,'amazonlex':_0x47c96a,'autoreply':_0x1ba3f2,'close':_0x3ef275,'dialogflow':_0x1d1e01,'gotoif':_0x1d059d,'gotop':_0x6ea438,'interval':_0x460bff,'noop':_0x1be45f,'queue':_0x33ffc5,'system':_0x5a94bf,'tag':_0x407b40};function _0x3f360d(_0x21cb08,_0x2ae994,_0x3418bf,_0x50b1f1,_0x401b22){const _0x2aba5d=_0x313a4d,_0x26f182=this;_0x26f182[_0x2aba5d(0x2321)]=_0x401b22[_0x2aba5d(0xb12)](),_0x26f182[_0x2aba5d(0x189e)]={},_0x26f182[_0x2aba5d(0x735)]={'count':0x0,'rows':[]},_0x26f182['selectedChatWebsiteApps']=[],_0x26f182[_0x2aba5d(0x2514)],_0x26f182[_0x2aba5d(0x1a56)]={'sort':'priority'},_0x26f182[_0x2aba5d(0x8b0)]=_0x3f65c0()['reject'](_0x3f65c0()['sortBy']([{'app':_0x2aba5d(0x1b4e),'appType':_0x2aba5d(0x2765),'types':[_0x2aba5d(0xd85),'custom',_0x2aba5d(0x25f4)],'fields':[],'isApp':![]},{'app':_0x2aba5d(0x309),'appType':_0x2aba5d(0x309),'type':_0x2aba5d(0x309),'icon':_0x2aba5d(0x1205),'interval':'*,*,*,*','required':!![],'isApp':!![],'fields':[{'title':'Value','name':_0x2aba5d(0x175d),'type':_0x2aba5d(0xa8d),'param':0x0}]},{'app':_0x2aba5d(0x1d47),'appType':'system','type':'system','icon':_0x2aba5d(0x1205),'interval':_0x2aba5d(0x1559),'required':!![],'isApp':!![],'extraApi':[{'name':_0x2aba5d(0x85b),'field':{'name':_0x2aba5d(0x212),'key':_0x2aba5d(0x19eb)},'route':'variable','filters':{'fields':_0x2aba5d(0x7a7),'sort':'name','nolimit':!![]},'permissions':{'section':0x3f4}}],'fields':[{'title':_0x2aba5d(0x1d8b),'name':'command','type':'text','required':!![],'param':0x0},{'title':_0x2aba5d(0x182),'name':_0x2aba5d(0x212),'type':_0x2aba5d(0x28c6),'values':_0x2aba5d(0x85b),'value':_0x2aba5d(0x1566),'option':_0x2aba5d(0x1566),'defaultValues':[{'value':'\x27\x27','option':_0x2aba5d(0x13dd)}],'defaultValue':'\x27\x27','param':0x1}]},{'app':_0x2aba5d(0x28f4),'appType':'gotop','type':_0x2aba5d(0x151b),'icon':_0x2aba5d(0x1205),'interval':_0x2aba5d(0x1559),'isApp':!![],'fields':[{'title':_0x2aba5d(0x23d8),'name':_0x2aba5d(0xa6a),'type':_0x2aba5d(0x181),'required':!![],'min':0x0,'param':0x0}]},{'app':'gotoif','appType':_0x2aba5d(0x609),'type':_0x2aba5d(0x609),'icon':_0x2aba5d(0x1205),'interval':_0x2aba5d(0x1559),'isApp':!![],'fields':[{'title':'Condition','name':_0x2aba5d(0x115f),'type':'text','required':!![],'param':0x0},{'title':'truepriority','name':_0x2aba5d(0x1d78),'type':'number','min':0x1,'required':!![],'param':0x1},{'title':'falsepriority','name':'falsepriority','type':_0x2aba5d(0x181),'min':0x1,'required':!![],'param':0x2}]},{'app':'queue','appType':_0x2aba5d(0x1c72),'foreignKey':'ChatQueueId','type':_0x2aba5d(0x1c72),'icon':'icon-apps','interval':_0x2aba5d(0x1559),'isApp':!![],'extraApi':[{'name':_0x2aba5d(0x1b32),'field':{'name':_0x2aba5d(0x1c72),'key':_0x2aba5d(0x19eb)},'route':_0x2aba5d(0x16f8),'filters':{'fields':_0x2aba5d(0x45e),'sort':_0x2aba5d(0x19eb),'nolimit':!![]},'permissions':{'section':0x1f5}}],'fields':[{'title':_0x2aba5d(0xe26),'name':_0x2aba5d(0x1c72),'type':_0x2aba5d(0x28c6),'values':_0x2aba5d(0x1b32),'value':'queue.name','option':_0x2aba5d(0x15b0),'defaultValue':0x12c,'required':!![],'param':0x0},{'title':_0x2aba5d(0x5cc),'name':_0x2aba5d(0xa9c),'type':_0x2aba5d(0x181),'max':0x20c49b,'min':0x0,'required':!![],'defaultValue':0x12c,'param':0x1}]},{'app':'agent','appType':_0x2aba5d(0x1755),'type':_0x2aba5d(0x1755),'foreignKey':'UserId','icon':_0x2aba5d(0x1205),'interval':_0x2aba5d(0x1559),'isApp':!![],'extraApi':[{'name':_0x2aba5d(0x23c0),'field':{'name':_0x2aba5d(0x1755),'key':_0x2aba5d(0x19eb)},'route':_0x2aba5d(0xe7b),'filters':{'fields':_0x2aba5d(0x7a7),'role':'agent','sort':_0x2aba5d(0x19eb),'nolimit':!![]},'permissions':{'section':0xca}}],'fields':[{'title':_0x2aba5d(0x294a),'name':'agent','type':_0x2aba5d(0x28c6),'values':_0x2aba5d(0x23c0),'value':_0x2aba5d(0x264f),'option':_0x2aba5d(0x264f),'required':!![],'param':0x0},{'title':_0x2aba5d(0x5cc),'name':'timeout','type':_0x2aba5d(0x181),'max':0x20c49b,'min':0x0,'required':!![],'defaultValue':0x1e,'param':0x1}]},{'app':'close','appType':'close','type':'close','icon':_0x2aba5d(0x1205),'interval':_0x2aba5d(0x1559),'isApp':!![],'fields':[{'title':'Disposition','name':'disposition','type':_0x2aba5d(0xa8d),'required':!![],'param':0x0}]},{'app':'autoreply','appType':'autoreply','type':_0x2aba5d(0x1713),'icon':_0x2aba5d(0x1205),'interval':'*,*,*,*','isApp':!![],'fields':[{'title':_0x2aba5d(0x583),'name':_0x2aba5d(0x583),'type':_0x2aba5d(0xa5f),'defaultValue':'1','values':[{'option':'One\x20Time','value':'1'},{'option':_0x2aba5d(0x132f),'value':'0'}],'required':!![],'param':0x0},{'title':_0x2aba5d(0x23d7),'name':_0x2aba5d(0xa8d),'type':_0x2aba5d(0x2350),'required':!![],'param':0x1}]},{'app':'tag','appType':'tag','type':_0x2aba5d(0xa80),'foreignKey':'TagId','icon':_0x2aba5d(0x1205),'interval':_0x2aba5d(0x1559),'isApp':!![],'extraApi':[{'name':_0x2aba5d(0x1b86),'field':{'name':_0x2aba5d(0xa80),'key':_0x2aba5d(0x19eb)},'route':_0x2aba5d(0xa80),'filters':{'fields':'id,name','sort':_0x2aba5d(0x19eb),'nolimit':!![]},'permissions':{'section':0x3f0}}],'fields':[{'title':_0x2aba5d(0x23a8),'name':'tag','type':_0x2aba5d(0x28c6),'values':'tags','value':_0x2aba5d(0x1a96),'option':_0x2aba5d(0x1a96),'required':!![],'param':0x0}]},{'app':'dialogflow','appType':_0x2aba5d(0xeaa),'type':'dialogflow','icon':_0x2aba5d(0x1205),'interval':_0x2aba5d(0x1559),'isApp':!![],'fields':[{'title':'Key','name':'key','type':'text','required':!![],'param':0x0},{'title':_0x2aba5d(0x90b),'name':'language','type':'select','defaultValue':_0x2aba5d(0x2383),'values':[{'option':'Danish','value':_0x2aba5d(0x17c9)},{'option':'English','value':_0x2aba5d(0x2383)},{'option':_0x2aba5d(0x592),'value':'\x27de\x27'},{'option':_0x2aba5d(0x98f),'value':_0x2aba5d(0x9f9)},{'option':'Spanish','value':'\x27es\x27'},{'option':_0x2aba5d(0x12ea),'value':_0x2aba5d(0xbe9)},{'option':_0x2aba5d(0x12f),'value':_0x2aba5d(0x27ee)},{'option':_0x2aba5d(0xf90),'value':_0x2aba5d(0x27d7)},{'option':_0x2aba5d(0x11cd),'value':_0x2aba5d(0x1df9)},{'option':'Dutch','value':'\x27nl\x27'},{'option':_0x2aba5d(0xcb4),'value':_0x2aba5d(0x74f)},{'option':'Portuguese\x20(European)','value':_0x2aba5d(0x2550)},{'option':'Portuguese\x20(Nrazilian)','value':_0x2aba5d(0x1505)},{'option':_0x2aba5d(0x25d4),'value':_0x2aba5d(0x18d0)},{'option':_0x2aba5d(0x2081),'value':_0x2aba5d(0x1717)},{'option':_0x2aba5d(0x1449),'value':_0x2aba5d(0x136c)},{'option':_0x2aba5d(0x13bf),'value':_0x2aba5d(0x289e)},{'option':_0x2aba5d(0x8d3),'value':_0x2aba5d(0x16cc)},{'option':_0x2aba5d(0x1f35),'value':_0x2aba5d(0x1a86)},{'option':_0x2aba5d(0x1cde),'value':_0x2aba5d(0x1ca7)}],'required':!![],'param':0x1},{'title':'welcomemessage','name':_0x2aba5d(0xaf3),'type':'textarea','maxlength':0xff,'param':0x2,'help':!![]}]},{'app':'dialogflowV2','appType':_0x2aba5d(0xa46),'type':_0x2aba5d(0xa46),'icon':'icon-apps','interval':_0x2aba5d(0x1559),'isApp':!![],'fields':[{'title':_0x2aba5d(0x1bdb),'name':_0x2aba5d(0x153a),'type':_0x2aba5d(0xa8d),'required':!![],'param':0x0},{'title':_0x2aba5d(0x4ff),'name':_0x2aba5d(0x1392),'type':_0x2aba5d(0xa8d),'required':!![],'param':0x1},{'title':'PrivateKey','name':_0x2aba5d(0x2217),'type':_0x2aba5d(0x2350),'required':!![],'param':0x2},{'title':'language','name':_0x2aba5d(0x90b),'type':'select','defaultValue':_0x2aba5d(0x2383),'values':[{'value':_0x2aba5d(0x1a86),'option':_0x2aba5d(0x196f)},{'value':'\x27zh-CN\x27','option':'Chinese\x20(Simplified)'},{'value':'\x27zh-TW\x27','option':'Chinese\x20(Traditional)'},{'value':_0x2aba5d(0x17c9),'option':_0x2aba5d(0x3d5)},{'value':_0x2aba5d(0x7ae),'option':_0x2aba5d(0x1d32)},{'value':_0x2aba5d(0x2383),'option':_0x2aba5d(0x1ce8)},{'value':_0x2aba5d(0x17ee),'option':_0x2aba5d(0x24ea)},{'value':'\x27en-CA\x27','option':_0x2aba5d(0x425)},{'value':_0x2aba5d(0x21ce),'option':_0x2aba5d(0x3c9)},{'value':_0x2aba5d(0x2171),'option':_0x2aba5d(0x688)},{'value':_0x2aba5d(0x1d0f),'option':_0x2aba5d(0x4e8)},{'value':'\x27fr\x27','option':_0x2aba5d(0x12ea)},{'value':_0x2aba5d(0x135b),'option':_0x2aba5d(0x3e1)},{'value':_0x2aba5d(0x1906),'option':_0x2aba5d(0x1c51)},{'value':_0x2aba5d(0x1491),'option':'German'},{'value':'\x27hi\x27','option':_0x2aba5d(0x28c1)},{'value':_0x2aba5d(0x27ee),'option':_0x2aba5d(0x12f)},{'value':_0x2aba5d(0x9f9),'option':'Italian'},{'value':_0x2aba5d(0x27d7),'option':'Japanese'},{'value':'\x27ko\x27','option':'Korean'},{'value':_0x2aba5d(0x74f),'option':_0x2aba5d(0xcb4)},{'value':_0x2aba5d(0x3f1),'option':_0x2aba5d(0xe06)},{'value':'\x27pt-BR\x27','option':_0x2aba5d(0x1aaf)},{'value':_0x2aba5d(0xe6d),'option':_0x2aba5d(0x1d1)},{'value':_0x2aba5d(0x18d0),'option':_0x2aba5d(0x25d4)},{'value':_0x2aba5d(0x2374),'option':'Spanish'},{'value':_0x2aba5d(0x1bb6),'option':_0x2aba5d(0x12c9)},{'value':'\x27es-ES\x27','option':'Spanish\x20(Spain)'},{'value':'\x27sw\x27','option':_0x2aba5d(0x2081)},{'value':'\x27th\x27','option':_0x2aba5d(0x1449)},{'value':'\x27tr\x27','option':'Turkish'},{'value':_0x2aba5d(0x289e),'option':'Ukrainian'}],'required':!![],'param':0x3},{'title':_0x2aba5d(0xaf3),'name':'welcomemessage','type':_0x2aba5d(0x2350),'maxlength':0xff,'param':0x4,'help':!![]}]},{'app':_0x2aba5d(0x17d6),'appType':_0x2aba5d(0x17d6),'type':_0x2aba5d(0x17d6),'icon':_0x2aba5d(0x1205),'interval':_0x2aba5d(0x1559),'isApp':!![],'fields':[{'title':_0x2aba5d(0x1651),'name':'accesskeyid','type':'text','required':!![],'param':0x0},{'title':_0x2aba5d(0x252),'name':'secretaccesskey','type':_0x2aba5d(0xa8d),'required':!![],'param':0x1},{'title':_0x2aba5d(0x1039),'name':_0x2aba5d(0x1039),'type':_0x2aba5d(0xa5f),'defaultValue':_0x2aba5d(0x2947),'values':[{'option':_0x2aba5d(0x18e2),'value':'\x27us-east-1\x27'},{'option':'US\x20West\x20(Oregon)','value':_0x2aba5d(0x22fd)},{'option':_0x2aba5d(0x6a8),'value':'\x27eu-west-1\x27'},{'option':_0x2aba5d(0x13d9),'value':'\x27ap-southeast-2\x27'}],'required':!![],'param':0x2},{'title':'botname','name':_0x2aba5d(0x8dd),'type':_0x2aba5d(0xa8d),'required':!![],'param':0x3},{'title':_0x2aba5d(0xaf3),'name':'welcomemessage','type':'textarea','maxlength':0xff,'param':0x4,'help':!![]}]}],['app']),{'isApp':![]}),_0x26f182['list']={'group':{'name':_0x2aba5d(0x156c),'pull':'clone'},'animation':0x64,'sort':![]},_0x26f182[_0x2aba5d(0x25fc)]={'group':{'name':'opt2','put':'opt1'},'animation':0x64,'onAdd':function(_0x35ec94){const _0x24f76c=_0x2aba5d;_0x169c72(_0x35ec94,_0x35ec94[_0x24f76c(0x154d)]);},'onSort':function(){_0x4941a1();}},_0x26f182['init']=_0x4c7806,_0x26f182[_0x2aba5d(0x19b4)]=_0x3c1d63,_0x26f182[_0x2aba5d(0xf30)]=_0x48e269,_0x26f182['editChatWebsiteApp']=_0x169c72,_0x26f182['editInterval']=_0x165164,_0x26f182[_0x2aba5d(0x150d)]=_0x5eceb5,_0x26f182['deleteSelectedChatWebsiteApps']=_0x12ed0d,_0x26f182[_0x2aba5d(0x1450)]=_0x4941a1,_0x26f182[_0x2aba5d(0x1c1c)]=_0x288c61;function _0x4c7806(_0x987218,_0x1f6c8c){const _0x286dc5=_0x2aba5d;_0x26f182[_0x286dc5(0x189e)]=_0x987218,_0x26f182[_0x286dc5(0x2514)]=typeof _0x1f6c8c!==_0x286dc5(0x2274)?_0x1f6c8c:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x26f182['applications'][_0x286dc5(0x2862)]=!_0x26f182[_0x286dc5(0x2514)][_0x286dc5(0x15f4)]?!![]:![],_0x26f182['query']['id']=_0x987218['id'],_0x26f182[_0x286dc5(0x1a56)][_0x286dc5(0x16f6)]=!![],_0x26f182[_0x286dc5(0x1a56)][_0x286dc5(0x6c5)]=!![],_0x26f182['getChatWebsiteApps'](),_0x26f182[_0x286dc5(0x1c1c)]();}function _0x3c1d63(_0x45e461,_0x4a64c4,_0x16cf00){const _0x577a24=_0x2aba5d,_0x14b691=_0x2ae994[_0x577a24(0x1e8a)]()[_0x577a24(0x1189)](_0x577a24(0x1058))[_0x577a24(0x1cbe)](_0x577a24(0x16d3)+_0x45e461[_0x577a24(0x1873)]+_0x577a24(0x252f)+'\x20will\x20be\x20deleted.')[_0x577a24(0x4bd)](_0x577a24(0x847))[_0x577a24(0x1f27)](_0x16cf00)['ok']('OK')['cancel'](_0x577a24(0x39a));_0x2ae994['show'](_0x14b691)[_0x577a24(0x146b)](function(){const _0x15d40b=_0x577a24;_0x26f182['chatWebsiteApps'][_0x15d40b(0x19c7)][_0x15d40b(0x1f7d)](_0x4a64c4,0x1),_0x4941a1();},function(){const _0xafc7f6=_0x577a24;console[_0xafc7f6(0x1a74)]('CANCEL');});}function _0x169c72(_0x275e1d,_0x2894a){const _0x18d9a8=_0x2aba5d;if(_0x26f182[_0x18d9a8(0x735)]['rows']['length']){const _0x1327d2=_0x26f182[_0x18d9a8(0x735)]['rows'][_0x2894a]?_0x26f182[_0x18d9a8(0x735)][_0x18d9a8(0x19c7)][_0x2894a]:_0x26f182['chatWebsiteApps'][_0x18d9a8(0x19c7)][0x0],_0x5b25b4=(_0x1327d2['appType']||_0x1327d2[_0x18d9a8(0x1873)])['toLowerCase']();_0x2ae994[_0x18d9a8(0x2615)]({'controller':_0x18d9a8(0x2534)+_0x5b25b4+_0x18d9a8(0x76a),'controllerAs':'vm','templateUrl':_0x358380[_0x5b25b4],'parent':angular['element'](_0x3418bf['body']),'targetEvent':_0x275e1d,'clickOutsideToClose':!![],'locals':{'chatWebsiteApp':_0x1327d2,'chatWebsite':_0x26f182[_0x18d9a8(0x189e)],'crudPermissions':_0x26f182[_0x18d9a8(0x2514)]}})[_0x18d9a8(0x146b)](function(_0x5162d1){const _0x1d6aa9=_0x18d9a8;_0x5162d1&&(_0x5162d1['id']?_0x26f182[_0x1d6aa9(0x735)][_0x1d6aa9(0x19c7)][_0x2894a]=_0x5162d1:_0x26f182[_0x1d6aa9(0x735)][_0x1d6aa9(0x19c7)][_0x1d6aa9(0x1f7d)](_0x2894a,0x0,_0x5162d1),_0x4941a1());})[_0x18d9a8(0x129e)](function(_0x267151){const _0x3df1b4=_0x18d9a8;_0x267151&&_0x50b1f1[_0x3df1b4(0x1980)]({'title':_0x267151[_0x3df1b4(0x107b)]?_0x3df1b4(0x262a)+_0x267151['status']+_0x3df1b4(0x1315)+_0x267151[_0x3df1b4(0x167f)]:_0x3df1b4(0x10a0),'msg':_0x267151['data']?JSON[_0x3df1b4(0x10bb)](_0x267151[_0x3df1b4(0x524)]):_0x267151['toString']()});});}}function _0x165164(_0x1d6130,_0x5a72a6){const _0xaf4c98=_0x2aba5d;if(_0x26f182[_0xaf4c98(0x735)]['rows']['length']){const _0x16f826=_0x26f182[_0xaf4c98(0x735)][_0xaf4c98(0x19c7)][_0x5a72a6]?_0x26f182[_0xaf4c98(0x735)][_0xaf4c98(0x19c7)][_0x5a72a6]:_0x26f182['chatWebsiteApps']['rows'][0x0];_0x2ae994[_0xaf4c98(0x2615)]({'controller':_0xaf4c98(0x4d0),'controllerAs':'vm','templateUrl':_0x460bff,'parent':angular[_0xaf4c98(0x1853)](_0x3418bf[_0xaf4c98(0x2586)]),'targetEvent':_0x1d6130,'clickOutsideToClose':!![],'locals':{'interval':{'interval':_0x16f826['interval'],'IntervalId':_0x16f826['IntervalId'],'application':!![]},'intervals':[],'crudPermissions':_0x26f182[_0xaf4c98(0x2514)]}})[_0xaf4c98(0x146b)](function(_0xcfd3f2){const _0x20cede=_0xaf4c98;_0xcfd3f2&&(_0x16f826[_0x20cede(0x2765)]=_0xcfd3f2[_0x20cede(0x2765)]||_0x20cede(0x1559),_0x16f826[_0x20cede(0x1ff2)]=_0xcfd3f2['IntervalId']||null,_0x4941a1());});}}function _0x4941a1(){const _0x3e434c=_0x2aba5d;let _0x1c628e=0x1,_0x318be7=[];for(let _0x2e1af1=0x0;_0x2e1af1<_0x26f182['chatWebsiteApps'][_0x3e434c(0x19c7)][_0x3e434c(0x402)];_0x2e1af1++){const _0x19e83a=_0x26f182['chatWebsiteApps'][_0x3e434c(0x19c7)][_0x2e1af1],_0x19b8fa=[],_0x11f4e8=[];_0x19e83a[_0x3e434c(0x278f)]=_0x19e83a['interval']!==_0x3e434c(0x1559)?[_0x19e83a[_0x3e434c(0x2765)]]:_0x19e83a['IntervalId']?_0x3f65c0()[_0x3e434c(0x205)](_0x3f65c0()[_0x3e434c(0x1dd6)](_0x26f182[_0x3e434c(0x278f)][_0x3e434c(0x19c7)],{'IntervalId':_0x19e83a['IntervalId']}),_0x3e434c(0x2765)):[],_0x19e83a[_0x3e434c(0x1ac1)]=_0x26f182[_0x3e434c(0x189e)][_0x3e434c(0x1ac1)],_0x19e83a[_0x3e434c(0x19b2)]=_0x26f182[_0x3e434c(0x189e)][_0x3e434c(0x19b2)],_0x19e83a['type']&&(_0x19e83a['type']=_0x19e83a[_0x3e434c(0x1142)][_0x3e434c(0x256e)]()),_0x19e83a['priority']=_0x19b8fa[_0x3e434c(0x402)]?_0x3f65c0()[_0x3e434c(0x22f2)](_0x19b8fa)[_0x3e434c(0xa6a)]+0x1:_0x1c628e,_0x1c628e=(_0x11f4e8[_0x3e434c(0x402)]?_0x3f65c0()[_0x3e434c(0x22f2)](_0x11f4e8)['priority']:_0x19e83a[_0x3e434c(0xa6a)])+0x1,_0x318be7=_0x3f65c0()[_0x3e434c(0x163c)](_0x318be7,_0x19b8fa,[_0x19e83a],_0x11f4e8);}_0x21cb08[_0x3e434c(0x189e)][_0x3e434c(0x1711)]({'id':_0x26f182[_0x3e434c(0x189e)]['id']},_0x3f65c0()['sortBy'](_0x318be7,'priority'))[_0x3e434c(0x2945)][_0x3e434c(0x146b)](function(_0x30faa9){const _0x3ae2c6=_0x3e434c;_0x26f182[_0x3ae2c6(0x735)][_0x3ae2c6(0x19c7)]=_0x30faa9[_0x3ae2c6(0x19c7)];})[_0x3e434c(0x129e)](function(_0x42684e){const _0x466f4b=_0x3e434c;console[_0x466f4b(0x1980)](_0x42684e);});}function _0x40f737(_0xfa46da){const _0x516cb3=_0x2aba5d;_0x26f182[_0x516cb3(0x735)]=_0xfa46da||{'count':0x0,'rows':[]};}function _0x288c61(){const _0xc51b37=_0x2aba5d;return _0x21cb08['interval'][_0xc51b37(0x16b4)]({'fields':'id,interval,IntervalId'})['$promise']['then'](function(_0x1e18f5){_0x26f182['intervals']=_0x1e18f5;})[_0xc51b37(0x129e)](function(_0x1f1066){const _0x53eb38=_0xc51b37;console[_0x53eb38(0x1980)](_0x1f1066);});}function _0x48e269(){const _0x2b5976=_0x2aba5d;_0x26f182[_0x2b5976(0xb9c)]=_0x21cb08[_0x2b5976(0x189e)][_0x2b5976(0x261b)](_0x26f182[_0x2b5976(0x1a56)],_0x40f737)[_0x2b5976(0x2945)];}function _0x5eceb5(_0x182698){const _0x5758a6=_0x2aba5d;_0x3f65c0()[_0x5758a6(0x2640)](_0x26f182[_0x5758a6(0x735)][_0x5758a6(0x19c7)],{'id':_0x182698['id']}),_0x4941a1(),_0x50b1f1[_0x5758a6(0x1c75)]({'title':'App\x20deleted!','msg':_0x182698[_0x5758a6(0x1873)]?_0x182698[_0x5758a6(0x1873)]+_0x5758a6(0x23e3):''});}function _0x12ed0d(_0x173918){const _0x5af38f=_0x2aba5d,_0x320fb2=_0x2ae994[_0x5af38f(0x1e8a)]()[_0x5af38f(0x1189)](_0x5af38f(0x1d9f))[_0x5af38f(0x1cbe)](_0x5af38f(0x16d3)+_0x26f182[_0x5af38f(0x242a)][_0x5af38f(0x402)]+_0x5af38f(0x2452)+_0x5af38f(0xe01))['ariaLabel'](_0x5af38f(0x2674))[_0x5af38f(0x1f27)](_0x173918)['ok']('OK')['cancel'](_0x5af38f(0x39a));_0x2ae994[_0x5af38f(0x2615)](_0x320fb2)[_0x5af38f(0x146b)](function(){const _0x40308b=_0x5af38f;_0x26f182[_0x40308b(0x242a)][_0x40308b(0x1df5)](function(_0x24e861){const _0x15b606=_0x40308b;_0x3f65c0()[_0x15b606(0x2640)](_0x26f182[_0x15b606(0x735)][_0x15b606(0x19c7)],{'id':_0x24e861['id']});}),_0x26f182[_0x40308b(0x242a)]=[],_0x4941a1();});}}const _0x21f5a2=_0x3f360d;;_0x385ee8[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0x189e),'chatWebsites',_0x313a4d(0xfc4),_0x313a4d(0x214b),'Auth','crudPermissions'];function _0x385ee8(_0x143c4a,_0x5d93c0,_0x51461e,_0x229fb9,_0x55de46,_0x442d2d,_0x5f0159,_0x4ae1fd,_0x4a0ba7,_0x562268){const _0x137921=_0x313a4d,_0x3566c1=this;_0x3566c1[_0x137921(0x2321)]=_0x4a0ba7[_0x137921(0xb12)](),_0x3566c1['chatWebsite']=_0x55de46,_0x3566c1[_0x137921(0x2514)]=_0x562268,_0x3566c1[_0x137921(0xfc4)]=_0x5f0159,_0x3566c1[_0x137921(0x1fd6)]=[],_0x3566c1[_0x137921(0xc4e)]=[],_0x3566c1[_0x137921(0x1ecf)]=[],_0x3566c1[_0x137921(0x2e8)]=[],_0x3566c1[_0x137921(0xa65)]=[],_0x3566c1[_0x137921(0x132)]=![],_0x3566c1['onInit']=_0x4198d9,_0x3566c1[_0x137921(0x14c2)]=_0x27e000,_0x3566c1[_0x137921(0x13f3)]=_0x6e0d54,_0x3566c1[_0x137921(0x1f8a)]={'readOnly':!_0x3566c1[_0x137921(0x2514)][_0x137921(0x15f4)],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':'name','line1':_0x137921(0x286a),'line2':[_0x137921(0x19eb),_0x137921(0x113f)],'line3':'','labelAll':_0x4ae1fd['instant'](_0x137921(0x2050)),'labelSelected':_0x4ae1fd['instant']('APP.SELECTED_AGENTS'),'transferCallback':function(){const _0x24b957=_0x137921,_0x149106=_0x3f65c0()[_0x24b957(0x1883)](_0x3566c1['startingSelectedItems'],_0x3566c1[_0x24b957(0x1ecf)],'id');_0x3566c1[_0x24b957(0x132)]=_0x3f65c0()[_0x24b957(0x2635)](_0x149106)?![]:!![];}};function _0x4198d9(){const _0x5b681e=_0x137921;return _0x4a0ba7['hasRole'](_0x5b681e(0x174b))?_0x42aad7()[_0x5b681e(0x129e)](function(_0x3bcf58){const _0x502a18=_0x5b681e;_0x51461e[_0x502a18(0x1980)]({'title':_0x3bcf58[_0x502a18(0x107b)]?_0x502a18(0x262a)+_0x3bcf58['status']+_0x502a18(0x1315)+_0x3bcf58[_0x502a18(0x167f)]:_0x502a18(0x557),'msg':_0x3bcf58['status']?JSON['stringify'](_0x3bcf58[_0x502a18(0x524)]):_0x3bcf58[_0x502a18(0xd5f)]()});}):_0x5f3034()[_0x5b681e(0x146b)](function(_0x55525c){return _0x3566c1['section']=_0x55525c,_0x42aad7();})['catch'](function(_0x2eae8f){const _0x2a3dde=_0x5b681e;_0x51461e[_0x2a3dde(0x1980)]({'title':_0x2eae8f['status']?'API:'+_0x2eae8f['status']+'\x20-\x20'+_0x2eae8f[_0x2a3dde(0x167f)]:_0x2a3dde(0x557),'msg':_0x2eae8f['status']?JSON[_0x2a3dde(0x10bb)](_0x2eae8f['data']):_0x2eae8f['toString']()});});}function _0x5f3034(){return _0x5d93c0(function(_0x18cb19,_0x16af80){const _0x4cf107=a0_0x3bb9;_0x229fb9[_0x4cf107(0x1366)][_0x4cf107(0x16b4)]({'userProfileId':_0x3566c1[_0x4cf107(0x2321)][_0x4cf107(0x209a)],'name':_0x4cf107(0xca8)})['$promise'][_0x4cf107(0x146b)](function(_0x4f17c3){const _0x42ed50=_0x4cf107,_0x3959df=_0x4f17c3&&_0x4f17c3['rows']?_0x4f17c3[_0x42ed50(0x19c7)][0x0]:null;_0x18cb19(_0x3959df);})[_0x4cf107(0x129e)](function(_0x28adce){_0x16af80(_0x28adce);});});}function _0x42aad7(){return _0x5d93c0(function(_0x3c4af1,_0x3946ff){const _0x4e50f3=a0_0x3bb9;return _0x2bfaf8()[_0x4e50f3(0x146b)](function(_0x502889){const _0xfd9562=_0x4e50f3;return _0x3566c1[_0xfd9562(0x1fd6)]=_0x502889['rows']?_0x502889[_0xfd9562(0x19c7)]:[],_0x4a0ba7[_0xfd9562(0x23e0)](_0xfd9562(0x174b))?_0x502889:_0x3566c1[_0xfd9562(0x2146)]?_0x3566c1[_0xfd9562(0x2146)][_0xfd9562(0x11d2)]?_0x502889:_0xba534():null;})[_0x4e50f3(0x146b)](function(_0x224eda){const _0x308abf=_0x4e50f3,_0x310fe1=_0x224eda&&_0x224eda[_0x308abf(0x19c7)]?_0x224eda[_0x308abf(0x19c7)]:[];return _0x3566c1[_0x308abf(0xc4e)]=_0x3f65c0()[_0x308abf(0x205)](_0x310fe1,function(_0x482b93){const _0x29754f=_0x308abf;return _0x3f65c0()['find'](_0x3566c1[_0x29754f(0x1fd6)],{'id':_0x4a0ba7['hasRole'](_0x29754f(0x174b))||_0x3566c1[_0x29754f(0x2146)][_0x29754f(0x11d2)]?_0x482b93['id']:_0x482b93['resourceId']});}),_0x3566c1[_0x308abf(0x2e8)]=angular['copy'](_0x3566c1['allowedItems']),_0x3566c1[_0x308abf(0x1fd6)][_0x308abf(0x1df5)](function(_0x338b11){const _0x4677a3=_0x308abf,_0x1a837f=_0x3f65c0()[_0x4677a3(0xc84)](_0x3566c1[_0x4677a3(0xc4e)],{'id':_0x338b11['id']});_0x4a0ba7[_0x4677a3(0x23e0)](_0x4677a3(0x174b))?_0x338b11[_0x4677a3(0x146f)]=!![]:_0x338b11[_0x4677a3(0x146f)]=typeof _0x1a837f!==_0x4677a3(0x2274)?!![]:![];}),_0x5a0319();})[_0x4e50f3(0x146b)](function(_0x4bb81e){const _0x1c415f=_0x4e50f3,_0x51998d=_0x4bb81e&&_0x4bb81e[_0x1c415f(0x19c7)]?_0x4bb81e[_0x1c415f(0x19c7)]:[];_0x3566c1['selectedItems']=_0x3f65c0()[_0x1c415f(0x205)](_0x51998d,function(_0x19d1c0){const _0x1b849b=_0x1c415f,_0xe67298=_0x3f65c0()['find'](_0x3566c1[_0x1b849b(0x1fd6)],{'id':_0x19d1c0['id']});return _0xe67298['penalty']=_0x19d1c0[_0x1b849b(0x12db)]?_0x1b849b(0x2505)+_0x19d1c0[_0x1b849b(0x12db)][_0x1b849b(0x188d)]:'',_0xe67298[_0x1b849b(0x113f)]=typeof _0x19d1c0[_0x1b849b(0x113f)]!==_0x1b849b(0x2274)?'<'+_0x19d1c0['internal']+'>':'',_0xe67298;}),_0x3566c1[_0x1c415f(0xa65)]=angular[_0x1c415f(0x235a)](_0x3566c1[_0x1c415f(0x1ecf)]),_0x3566c1['dualMultiselectOptions']['selectedItems']=_0x3566c1[_0x1c415f(0x1ecf)],_0x3566c1[_0x1c415f(0x1f8a)]['items']=_0x3f65c0()[_0x1c415f(0x2796)](_0x3566c1[_0x1c415f(0xc4e)],_0x3566c1[_0x1c415f(0x1f8a)][_0x1c415f(0x1ecf)],'id'),_0x3c4af1();})[_0x4e50f3(0x129e)](function(_0x5e0c54){_0x3946ff(_0x5e0c54);});});}function _0xba534(){return _0x5d93c0(function(_0x621886,_0x23cc8c){const _0x84f11d=a0_0x3bb9;return _0x229fb9[_0x84f11d(0xdcc)]['get']({'sectionId':_0x3566c1[_0x84f11d(0x2146)]['id'],'nolimit':!![]})[_0x84f11d(0x2945)]['then'](function(_0x2aacdd){_0x621886(_0x2aacdd);})[_0x84f11d(0x129e)](function(_0x32dfe6){_0x23cc8c(_0x32dfe6);});});}function _0x5a0319(){return _0x5d93c0(function(_0x1fec47,_0x2c64a7){const _0x53a11c=a0_0x3bb9;return _0x229fb9[_0x53a11c(0x189e)]['getAgents']({'id':_0x3566c1['chatWebsite']['id'],'fields':_0x53a11c(0x1569),'nolimit':!![],'role':'agent'})[_0x53a11c(0x2945)][_0x53a11c(0x146b)](function(_0x73a4d8){_0x1fec47(_0x73a4d8);})[_0x53a11c(0x129e)](function(_0x4f4688){_0x2c64a7(_0x4f4688);});});}function _0x2bfaf8(){return _0x5d93c0(function(_0x296e2d,_0x28a134){const _0x27a76d=a0_0x3bb9;return _0x229fb9[_0x27a76d(0xe7b)][_0x27a76d(0x16b4)]({'fields':_0x27a76d(0x1569),'nolimit':!![],'role':_0x27a76d(0x1755)})[_0x27a76d(0x2945)][_0x27a76d(0x146b)](function(_0x2f628e){_0x296e2d(_0x2f628e);})[_0x27a76d(0x129e)](function(_0x160578){_0x28a134(_0x160578);});});}function _0x370aaf(_0x49457f){return _0x5d93c0(function(_0x220db7,_0x12cb9c){const _0x16b55a=a0_0x3bb9;_0x3f65c0()[_0x16b55a(0x2635)](_0x49457f)?_0x220db7():_0x229fb9['chatWebsite'][_0x16b55a(0x135e)]({'id':_0x3566c1[_0x16b55a(0x189e)]['id'],'ids':_0x3f65c0()[_0x16b55a(0x205)](_0x49457f,'id')})[_0x16b55a(0x2945)]['then'](function(){_0x220db7();})['catch'](function(_0xb39b8){_0x12cb9c(_0xb39b8);});});}function _0x9382b8(_0x4a0aa9){return _0x5d93c0(function(_0x1e99a7,_0x4e601a){const _0xe434b=a0_0x3bb9;_0x3f65c0()['isEmpty'](_0x4a0aa9)?_0x1e99a7():_0x229fb9[_0xe434b(0x189e)][_0xe434b(0x1f53)]({'id':_0x3566c1[_0xe434b(0x189e)]['id'],'ids':_0x3f65c0()['map'](_0x4a0aa9,'id')})[_0xe434b(0x2945)][_0xe434b(0x146b)](function(){_0x1e99a7();})[_0xe434b(0x129e)](function(_0x4c03e9){_0x4e601a(_0x4c03e9);});});}function _0x27e000(){const _0x1a7b07=_0x137921,_0x34a7e3=_0x3f65c0()['differenceBy'](_0x3566c1[_0x1a7b07(0xa65)],_0x3566c1[_0x1a7b07(0x1ecf)],'id'),_0x315d5f=_0x3f65c0()['differenceBy'](_0x3566c1[_0x1a7b07(0x1ecf)],_0x3566c1['startingSelectedItems'],'id');return _0x9382b8(_0x34a7e3)['then'](function(){return _0x370aaf(_0x315d5f);})[_0x1a7b07(0x146b)](function(){const _0xe4a54=_0x1a7b07;_0x3566c1[_0xe4a54(0x132)]=![],_0x3566c1[_0xe4a54(0x2e8)]=angular[_0xe4a54(0x235a)](_0x3566c1[_0xe4a54(0xc4e)]),_0x3566c1[_0xe4a54(0xa65)]=angular['copy'](_0x3566c1[_0xe4a54(0x1ecf)]),_0x51461e[_0xe4a54(0x1c75)]({'title':_0xe4a54(0x4c0),'msg':_0xe4a54(0x26be)});})[_0x1a7b07(0x129e)](function(_0x5139f1){const _0x35a20f=_0x1a7b07;_0x51461e[_0x35a20f(0x1980)]({'title':_0x5139f1[_0x35a20f(0x107b)]?'API:'+_0x5139f1[_0x35a20f(0x107b)]+_0x35a20f(0x1315)+_0x5139f1['statusText']:'SYSTEM:LISTS_ASSOCIATION','msg':_0x5139f1['status']?JSON['stringify'](_0x5139f1['data']):_0x5139f1[_0x35a20f(0xd5f)]()});});}function _0x6e0d54(){const _0xdf8756=_0x137921;_0x143c4a[_0xdf8756(0x2458)]();}}const _0x125bc9=_0x385ee8;;_0x838ff9['$inject']=[_0x313a4d(0x23df),_0x313a4d(0x1fe4)];function _0x838ff9(_0x6f00e1,_0x1cd5bd){const _0x315b4e=_0x313a4d,_0x4496af=this,_0xef9e8b=0x8,_0x261739=_0xef9e8b*0x400*0x400;_0x4496af[_0x315b4e(0x189e)]={},_0x4496af[_0x315b4e(0x2514)],_0x4496af['ngFlowOptions']={'singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0x261739,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x4496af[_0x315b4e(0x1376)]={'flow':{}},_0x4496af[_0x315b4e(0x240d)]=![],_0x4496af['fileAdded']=_0x31a590,_0x4496af[_0x315b4e(0x1dc6)]=_0x514b54,_0x4496af[_0x315b4e(0xc4c)]=_0x4ccf65,_0x4496af[_0x315b4e(0x5aa)]=_0x19f738;function _0x19f738(_0xa8e021,_0x21b2df){const _0x2ba514=_0x315b4e;_0x4496af[_0x2ba514(0x189e)]=angular[_0x2ba514(0x235a)](_0xa8e021),_0x4496af[_0x2ba514(0x2514)]=typeof _0x21b2df!==_0x2ba514(0x2274)?_0x21b2df:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x4496af[_0x2ba514(0x4c3)]['target']=_0x2ba514(0x7b2)['replace'](_0x2ba514(0xa55),_0x4496af[_0x2ba514(0x189e)]['id']),_0x4496af[_0x2ba514(0x189e)]['images']=[];}function _0x31a590(_0x28b9d2){const _0x878d8f=_0x315b4e,_0x45a020=['png',_0x878d8f(0x621)];if(!_0x3f65c0()['includes'](_0x45a020,_0x28b9d2[_0x878d8f(0x193f)]()))return _0x1cd5bd['error']({'title':_0x878d8f(0x4c6)+_0x28b9d2[_0x878d8f(0x193f)](),'msg':_0x878d8f(0x208f)+_0x45a020[_0x878d8f(0xb47)]()}),![];if(_0x28b9d2[_0x878d8f(0x4cc)]>_0x261739)return _0x1cd5bd['error']({'title':_0x878d8f(0x625),'msg':'The\x20max\x20allowed\x20size\x20is\x20'+_0xef9e8b+'MB'}),![];const _0x22007b={'id':_0x28b9d2[_0x878d8f(0x1276)],'file':_0x28b9d2,'type':_0x878d8f(0x159c)};return _0x4496af[_0x878d8f(0x189e)]['images'][_0x878d8f(0xb3d)](_0x22007b),!![];}function _0x514b54(){const _0x37585a=_0x315b4e;_0x4496af['ngFlow'][_0x37585a(0x963)][_0x37585a(0x1da1)]['headers']={'X-Requested-With':_0x37585a(0x1f8f),'Authorization':_0x37585a(0x2745)+_0x6f00e1[_0x37585a(0x16b4)]('motion.token')},_0x4496af[_0x37585a(0x1376)][_0x37585a(0x963)][_0x37585a(0x1dc6)]();}function _0x4ccf65(_0x1eb8a5){const _0x468f03=_0x315b4e;angular[_0x468f03(0x1df5)](_0x4496af['chatWebsite'][_0x468f03(0x1f07)],function(_0x901e93){const _0x2a5050=_0x468f03;if(_0x901e93['id']===_0x1eb8a5[_0x2a5050(0x1276)]){const _0x336deb=new FileReader();_0x336deb[_0x2a5050(0xa0c)](_0x901e93[_0x2a5050(0x252e)]['file']),_0x336deb['onload']=function(_0x59b9de){const _0x39d272=_0x2a5050;_0x901e93[_0x39d272(0x20cc)]=_0x59b9de[_0x39d272(0xe1d)][_0x39d272(0x61a)];},_0x901e93[_0x2a5050(0x1142)]='image';}});}}const _0x4303c2=_0x838ff9;;_0x18ed78['$inject']=['$mdDialog','$q',_0x313a4d(0x1fe4),_0x313a4d(0x2265),'chatWebsite','api','Auth',_0x313a4d(0x2514)];function _0x18ed78(_0x9ce2fa,_0x2030e5,_0x5518d1,_0xc05d77,_0x558e1f,_0xf7e8db,_0x5e3f2e,_0x4dca15){const _0x18541f=_0x313a4d,_0xbcf89d=this;_0xbcf89d['currentUser']=_0x5e3f2e[_0x18541f(0xb12)](),_0xbcf89d['errors']=[],_0xbcf89d[_0x18541f(0x1189)]=_0x18541f(0xbe6)+(_0xc05d77[_0x18541f(0x8f2)]||_0xc05d77[_0x18541f(0x1873)])[_0x18541f(0x1c37)](),_0xbcf89d[_0x18541f(0x1755)]=angular[_0x18541f(0x235a)](_0xc05d77),_0xbcf89d[_0x18541f(0x2514)]=_0x4dca15,_0xbcf89d['hasModulePermissions']={};if(_0xbcf89d[_0x18541f(0x1755)][_0x18541f(0x168a)])switch(_0xbcf89d[_0x18541f(0x1755)][_0x18541f(0x8f2)]?_0xbcf89d[_0x18541f(0x1755)][_0x18541f(0x8f2)]['toLowerCase']():_0xbcf89d[_0x18541f(0x1755)][_0x18541f(0x1873)]['toLowerCase']()){case'custom':break;case _0x18541f(0xeaa):{const _0x486467=_0xbcf89d[_0x18541f(0x1755)]['appdata'][_0x18541f(0x10c8)](',');_0xbcf89d['agent'][_0x18541f(0x2293)]=_0x486467[0x0],_0xbcf89d[_0x18541f(0x1755)][_0x18541f(0x90b)]=_0x486467[0x1],_0xbcf89d[_0x18541f(0x1755)][_0x18541f(0xaf3)]=_0x486467['slice'](0x2,_0x486467['length'])[_0x18541f(0xb47)](',');}break;case _0x18541f(0xa46):{const _0x4762ec=_0xbcf89d[_0x18541f(0x1755)][_0x18541f(0x168a)][_0x18541f(0x10c8)](',');_0xbcf89d[_0x18541f(0x1755)][_0x18541f(0x153a)]=_0x4762ec[0x0],_0xbcf89d[_0x18541f(0x1755)][_0x18541f(0x1392)]=_0x4762ec[0x1],_0xbcf89d[_0x18541f(0x1755)][_0x18541f(0x2217)]=_0x4762ec[0x2],_0xbcf89d[_0x18541f(0x1755)][_0x18541f(0x90b)]=_0x4762ec[0x3],_0xbcf89d['agent'][_0x18541f(0xaf3)]=_0x4762ec[_0x18541f(0x1298)](0x4,_0x4762ec[_0x18541f(0x402)])[_0x18541f(0xb47)](',');}break;case _0x18541f(0x17d6):{const _0x5ebdb7=_0xbcf89d[_0x18541f(0x1755)][_0x18541f(0x168a)]['split'](',');_0xbcf89d[_0x18541f(0x1755)][_0x18541f(0x1651)]=_0x5ebdb7[0x0],_0xbcf89d[_0x18541f(0x1755)][_0x18541f(0x252)]=_0x5ebdb7[0x1],_0xbcf89d['agent'][_0x18541f(0x1039)]=_0x5ebdb7[0x2],_0xbcf89d[_0x18541f(0x1755)]['botname']=_0x5ebdb7[0x3],_0xbcf89d[_0x18541f(0x1755)][_0x18541f(0xaf3)]=_0x5ebdb7[_0x18541f(0x1298)](0x4,_0x5ebdb7['length'])[_0x18541f(0xb47)](',');}break;case _0x18541f(0x1713):{const _0x11c8b=_0xbcf89d[_0x18541f(0x1755)][_0x18541f(0x168a)][_0x18541f(0x10c8)](',');_0xbcf89d['agent']['times']=isNaN(_0x11c8b[0x0])?_0x11c8b[0x0]:parseInt(_0x11c8b[0x0],0xa),_0xbcf89d['agent'][_0x18541f(0xa8d)]=_0x11c8b['slice'](0x1,_0x11c8b[_0x18541f(0x402)])['join'](',');}break;case _0x18541f(0x7fd):_0xbcf89d[_0x18541f(0x1755)][_0x18541f(0xa8d)]=_0xbcf89d[_0x18541f(0x1755)][_0x18541f(0x168a)];break;case _0x18541f(0x26ba):_0xbcf89d['agent']['name']=_0xbcf89d['agent']['appdata'][_0x18541f(0x10c8)]('=')[0x0],_0xbcf89d[_0x18541f(0x1755)]['value']=_0xbcf89d['agent'][_0x18541f(0x168a)]['split']('=')[0x1];break;case _0x18541f(0x1ecb):_0xbcf89d['agent'][_0x18541f(0x9e0)]=_0xbcf89d[_0x18541f(0x1755)][_0x18541f(0x168a)];break;default:{const _0x3f5ca4=_0xbcf89d['agent'][_0x18541f(0x168a)][_0x18541f(0x10c8)](',');_0xbcf89d[_0x18541f(0x1755)][_0x18541f(0x1755)]=_0x3f65c0()[_0x18541f(0x2635)](_0x3f5ca4[0x0])?_0x3f5ca4[0x0]:isNaN(_0x3f5ca4[0x0])?_0x3f5ca4[0x0]:parseInt(_0x3f5ca4[0x0],0xa),_0xbcf89d['agent'][_0x18541f(0xa9c)]=_0x3f65c0()[_0x18541f(0x2635)](_0x3f5ca4[0x1])?_0x3f5ca4[0x1]:isNaN(_0x3f5ca4[0x1])?_0x3f5ca4[0x1]:parseInt(_0x3f5ca4[0x1],0xa);}break;}else _0xbcf89d[_0x18541f(0x1755)]['timeout']=0x1e;_0xbcf89d[_0x18541f(0x1755)][_0x18541f(0x1142)]&&_0xbcf89d[_0x18541f(0x1755)][_0x18541f(0x1142)]['toLowerCase']()===_0x18541f(0xc9c)&&_0xbcf89d['agent']['appType'][_0x18541f(0x256e)]()==='outbounddial'&&(_0xbcf89d['agent']['prefix']=_0xbcf89d[_0x18541f(0x1755)]['phone']?_0xbcf89d[_0x18541f(0x1755)][_0x18541f(0x1d55)][_0x18541f(0x10c8)]('$')[0x0]:undefined,_0xbcf89d[_0x18541f(0x1755)]['callerId']=_0xbcf89d[_0x18541f(0x1755)][_0x18541f(0x11be)]?_0x18541f(0x1b60)+_0xbcf89d[_0x18541f(0x1755)][_0x18541f(0x11be)]:undefined);_0xbcf89d['saveChatWebsiteApp']=_0x9741f2,_0xbcf89d[_0x18541f(0x13f3)]=_0x1989af,_0x5e3f2e[_0x18541f(0x23e0)](_0x18541f(0x174b))?_0xf7e8db[_0x18541f(0xe7b)][_0x18541f(0x16b4)]({'fields':'id,name','role':_0x18541f(0x1755),'sort':'name','nolimit':'true'})[_0x18541f(0x2945)][_0x18541f(0x146b)](function(_0x5698a7){const _0x10d154=_0x18541f;_0xbcf89d[_0x10d154(0x23c0)]=_0x5698a7['rows']||[];})[_0x18541f(0x129e)](function(_0x54c795){const _0x4afa36=_0x18541f;_0x5518d1['error']({'title':_0x54c795[_0x4afa36(0x107b)]?_0x4afa36(0x262a)+_0x54c795[_0x4afa36(0x107b)]+'\x20-\x20'+_0x54c795['statusText']:'SYSTEM:GET_AGENTS','msg':_0x54c795[_0x4afa36(0x524)]?JSON[_0x4afa36(0x10bb)](_0x54c795[_0x4afa36(0x524)]):_0x54c795['toString']()});}):_0xf7e8db['user']['get']({'fields':_0x18541f(0x7a7),'role':'agent','sort':_0x18541f(0x19eb),'nolimit':'true'})['$promise'][_0x18541f(0x146b)](function(_0x2e90d1){const _0x3ee78b=_0x18541f;_0xbcf89d[_0x3ee78b(0x23c0)]=_0x2e90d1[_0x3ee78b(0x19c7)]||[];})['then'](function(){const _0x38c3e9=_0x18541f;return _0xf7e8db[_0x38c3e9(0x1366)]['get']({'userProfileId':_0xbcf89d[_0x38c3e9(0x2321)]['userProfileId'],'sectionId':0xca})[_0x38c3e9(0x2945)];})['then'](function(_0x3e74cc){const _0x8f08a0=_0x18541f,_0x1c3751=_0x3e74cc&&_0x3e74cc[_0x8f08a0(0x19c7)]?_0x3e74cc[_0x8f08a0(0x19c7)][0x0]:null;if(!_0x1c3751){const _0x2e4cbc=[];let _0x14eaa4=null;_0xbcf89d[_0x8f08a0(0x1755)]&&(_0x14eaa4=_0x3f65c0()['find'](_0xbcf89d[_0x8f08a0(0x23c0)],{'name':_0xbcf89d['agent'][_0x8f08a0(0x1755)]}));for(let _0x1aab9c=0x0;_0x1aab9c<_0xbcf89d[_0x8f08a0(0x23c0)][_0x8f08a0(0x402)];_0x1aab9c++){_0x14eaa4&&_0xbcf89d[_0x8f08a0(0x23c0)][_0x1aab9c]['id']===_0x14eaa4['id']&&(_0xbcf89d['agents'][_0x1aab9c][_0x8f08a0(0x8ff)]=![],_0x2e4cbc[_0x8f08a0(0x1f47)](_0xbcf89d[_0x8f08a0(0x23c0)][_0x1aab9c]));}_0xbcf89d[_0x8f08a0(0x23c0)]=_0x2e4cbc;}else{if(!_0x1c3751[_0x8f08a0(0x11d2)])return _0xf7e8db[_0x8f08a0(0xdcc)][_0x8f08a0(0x16b4)]({'sectionId':_0x1c3751['id']})[_0x8f08a0(0x2945)][_0x8f08a0(0x146b)](function(_0x3f7c79){const _0x1cf32e=_0x8f08a0,_0x54c12e=_0x3f65c0()[_0x1cf32e(0x205)](_0x3f7c79[_0x1cf32e(0x19c7)],function(_0x2995e9){const _0x20f2d5=_0x1cf32e;return _0x3f65c0()[_0x20f2d5(0xc84)](_0xbcf89d['agents'],{'id':_0x2995e9['resourceId']});});let _0x10b4f6=null;_0xbcf89d[_0x1cf32e(0x1755)]&&(_0x10b4f6=_0x3f65c0()['find'](_0xbcf89d[_0x1cf32e(0x23c0)],{'name':_0xbcf89d['agent']['agent']}));if(_0x10b4f6&&!_0x3f65c0()[_0x1cf32e(0x1360)](_0x54c12e,['id',_0x10b4f6['id']])){const _0xf5d67f=_0x3f65c0()['find'](_0xbcf89d[_0x1cf32e(0x23c0)],{'id':_0x10b4f6['id']});_0xf5d67f[_0x1cf32e(0x8ff)]=![],_0x54c12e[_0x1cf32e(0x1f47)](_0xf5d67f);}_0xbcf89d[_0x1cf32e(0x23c0)]=_0x54c12e;});}})[_0x18541f(0x129e)](function(_0x5778a6){const _0x150191=_0x18541f;_0x5518d1['error']({'title':_0x5778a6['status']?_0x150191(0x262a)+_0x5778a6[_0x150191(0x107b)]+_0x150191(0x1315)+_0x5778a6[_0x150191(0x167f)]:_0x150191(0x788),'msg':_0x5778a6['data']?JSON[_0x150191(0x10bb)](_0x5778a6[_0x150191(0x524)]):_0x5778a6[_0x150191(0xd5f)]()});});function _0x9741f2(){const _0x50805f=_0x18541f;_0xbcf89d[_0x50805f(0xcef)]=[];const _0x140bbb=[];_0xbcf89d['agent'][_0x50805f(0x1142)]&&_0xbcf89d['agent'][_0x50805f(0x1142)][_0x50805f(0x256e)]()==='outbound'&&_0xbcf89d[_0x50805f(0x1755)]['appType']==='outboundDial'&&(_0xbcf89d[_0x50805f(0x1755)][_0x50805f(0x1d55)]=_0x558e1f[_0x50805f(0x1274)]?(_0xbcf89d[_0x50805f(0x1755)]['prefix']||'')+_0x50805f(0x2147)+_0x558e1f[_0x50805f(0x1274)]+'}':(_0xbcf89d[_0x50805f(0x1755)][_0x50805f(0x1e12)]||'')+'${EXTEN}',_0x558e1f['recordingFormat']!==_0x50805f(0x1642)?_0xbcf89d[_0x50805f(0x1755)]['options'][_0x50805f(0x172b)](_0x50805f(0x1ea4))<0x0&&(_0xbcf89d[_0x50805f(0x1755)]['options']+=_0x50805f(0x1ea4)):_0xbcf89d['agent'][_0x50805f(0xa08)]=_0xbcf89d[_0x50805f(0x1755)][_0x50805f(0xa08)][_0x50805f(0x5f4)]('U(xcally-mixmonitor-context)',''));const _0x2ebb2a=_0x3f65c0()[_0x50805f(0xc84)](_0xbcf89d[_0x50805f(0x23c0)],{'name':_0xbcf89d[_0x50805f(0x1755)][_0x50805f(0x1755)]});_0x2ebb2a&&(_0xbcf89d[_0x50805f(0x1755)][_0x50805f(0xea2)]=_0x2ebb2a['id']);if(_0xbcf89d[_0x50805f(0x1755)]['appType']&&_0xbcf89d[_0x50805f(0x1755)][_0x50805f(0x8f2)]===_0x50805f(0x1802)){}else switch((_0xbcf89d['agent'][_0x50805f(0x1873)]||_0xbcf89d[_0x50805f(0x1755)][_0x50805f(0x8f2)])[_0x50805f(0x256e)]()){case _0x50805f(0x26ba):_0xbcf89d['agent']['appdata']=_0xbcf89d[_0x50805f(0x1755)][_0x50805f(0x19eb)]+'='+_0xbcf89d['agent'][_0x50805f(0x175d)];break;case _0x50805f(0x1802):break;default:_0x140bbb[0x0]=_0xbcf89d[_0x50805f(0x1755)][_0x50805f(0x1755)],_0x140bbb[0x1]=_0xbcf89d[_0x50805f(0x1755)]['timeout'],_0xbcf89d[_0x50805f(0x1755)][_0x50805f(0x168a)]=_0x140bbb[_0x50805f(0xb47)](',');}_0x1989af(_0xbcf89d[_0x50805f(0x1755)]);}function _0x1989af(_0x343326){const _0x338b16=_0x18541f;_0x9ce2fa[_0x338b16(0x2458)](_0x343326);}}const _0x102235=_0x18ed78;;_0xdfb71[_0x313a4d(0x11c2)]=['$mdDialog','$q',_0x313a4d(0x1fe4),_0x313a4d(0x2265),_0x313a4d(0x189e),'api',_0x313a4d(0xa87),'crudPermissions'];function _0xdfb71(_0x4705eb,_0x521da8,_0x42a7ea,_0x548385,_0xdebe6a,_0x5f05a0,_0x42d73d,_0x45787d){const _0x41042f=_0x313a4d,_0x36ddb6=this;_0x36ddb6['currentUser']=_0x42d73d[_0x41042f(0xb12)](),_0x36ddb6[_0x41042f(0xcef)]=[],_0x36ddb6[_0x41042f(0x1189)]=_0x41042f(0xbe6)+(_0x548385['appType']||_0x548385[_0x41042f(0x1873)])[_0x41042f(0x1c37)](),_0x36ddb6[_0x41042f(0x17d6)]=angular[_0x41042f(0x235a)](_0x548385),_0x36ddb6[_0x41042f(0x2514)]=_0x45787d,_0x36ddb6[_0x41042f(0x855)]={};if(_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x168a)])switch(_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x8f2)]?_0x36ddb6[_0x41042f(0x17d6)]['appType'][_0x41042f(0x256e)]():_0x36ddb6['amazonlex'][_0x41042f(0x1873)][_0x41042f(0x256e)]()){case'custom':break;case _0x41042f(0xeaa):{const _0x4dae47=_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x168a)]['split'](',');_0x36ddb6[_0x41042f(0x17d6)]['key']=_0x4dae47[0x0],_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x90b)]=_0x4dae47[0x1],_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0xaf3)]=_0x4dae47['slice'](0x2,_0x4dae47[_0x41042f(0x402)])[_0x41042f(0xb47)](',');}break;case _0x41042f(0xa46):{const _0x2882f7=_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x168a)][_0x41042f(0x10c8)](',');_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x153a)]=_0x2882f7[0x0],_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x1392)]=_0x2882f7[0x1],_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x2217)]=_0x2882f7[0x2],_0x36ddb6['amazonlex'][_0x41042f(0x90b)]=_0x2882f7[0x3],_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0xaf3)]=_0x2882f7[_0x41042f(0x1298)](0x4,_0x2882f7[_0x41042f(0x402)])[_0x41042f(0xb47)](',');}break;case _0x41042f(0x17d6):{const _0x1ec7b2=_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x168a)][_0x41042f(0x10c8)](',');_0x36ddb6['amazonlex'][_0x41042f(0x1651)]=_0x1ec7b2[0x0],_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x252)]=_0x1ec7b2[0x1],_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x1039)]=_0x1ec7b2[0x2],_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x8dd)]=_0x1ec7b2[0x3],_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0xaf3)]=_0x1ec7b2[_0x41042f(0x1298)](0x4,_0x1ec7b2[_0x41042f(0x402)])[_0x41042f(0xb47)](',');}break;case _0x41042f(0x1713):{const _0x61e20a=_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x168a)]['split'](',');_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x583)]=isNaN(_0x61e20a[0x0])?_0x61e20a[0x0]:parseInt(_0x61e20a[0x0],0xa),_0x36ddb6[_0x41042f(0x17d6)]['text']=_0x61e20a[_0x41042f(0x1298)](0x1,_0x61e20a[_0x41042f(0x402)])[_0x41042f(0xb47)](',');}break;case _0x41042f(0x7fd):_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0xa8d)]=_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x168a)];break;case _0x41042f(0x26ba):_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x19eb)]=_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x168a)]['split']('=')[0x0],_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x175d)]=_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x168a)][_0x41042f(0x10c8)]('=')[0x1];break;case _0x41042f(0x1ecb):_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x9e0)]=_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x168a)];break;default:{const _0x1946a1=_0x36ddb6['amazonlex'][_0x41042f(0x168a)][_0x41042f(0x10c8)](',');_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x1651)]=_0x3f65c0()[_0x41042f(0x2635)](_0x1946a1[0x0])?_0x1946a1[0x0]:isNaN(_0x1946a1[0x0])?_0x1946a1[0x0]:parseInt(_0x1946a1[0x0],0xa),_0x36ddb6['amazonlex'][_0x41042f(0x252)]=_0x3f65c0()[_0x41042f(0x2635)](_0x1946a1[0x1])?_0x1946a1[0x1]:isNaN(_0x1946a1[0x1])?_0x1946a1[0x1]:parseInt(_0x1946a1[0x1],0xa),_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x1039)]=_0x3f65c0()[_0x41042f(0x2635)](_0x1946a1[0x2])?_0x1946a1[0x2]:isNaN(_0x1946a1[0x2])?_0x1946a1[0x2]:parseInt(_0x1946a1[0x2],0xa),_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x8dd)]=_0x3f65c0()['isEmpty'](_0x1946a1[0x3])?_0x1946a1[0x3]:isNaN(_0x1946a1[0x3])?_0x1946a1[0x3]:parseInt(_0x1946a1[0x3],0xa),_0x36ddb6['amazonlex'][_0x41042f(0xaf3)]=_0x3f65c0()['isEmpty'](_0x1946a1[0x4])?_0x1946a1[0x4]:isNaN(_0x1946a1[0x4])?_0x1946a1[0x4]:parseInt(_0x1946a1[0x4],0xa);}break;}else _0x36ddb6['amazonlex'][_0x41042f(0x1039)]='us-east-1';_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x1142)]&&_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x1142)]['toLowerCase']()==='outbound'&&_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x8f2)][_0x41042f(0x256e)]()===_0x41042f(0x3bb)&&(_0x36ddb6[_0x41042f(0x17d6)]['prefix']=_0x36ddb6['amazonlex'][_0x41042f(0x1d55)]?_0x36ddb6[_0x41042f(0x17d6)]['phone'][_0x41042f(0x10c8)]('$')[0x0]:undefined,_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x1d43)]=_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x11be)]?_0x41042f(0x1b60)+_0x36ddb6[_0x41042f(0x17d6)][_0x41042f(0x11be)]:undefined);_0x36ddb6[_0x41042f(0x2213)]=_0x367d87,_0x36ddb6[_0x41042f(0x13f3)]=_0x45b7a6;function _0x367d87(){const _0xd084b8=_0x41042f;_0x36ddb6[_0xd084b8(0xcef)]=[];const _0x2eea77=[];_0x36ddb6[_0xd084b8(0x17d6)][_0xd084b8(0x1142)]&&_0x36ddb6['amazonlex'][_0xd084b8(0x1142)][_0xd084b8(0x256e)]()===_0xd084b8(0xc9c)&&_0x36ddb6[_0xd084b8(0x17d6)][_0xd084b8(0x8f2)]===_0xd084b8(0x118b)&&(_0x36ddb6['amazonlex'][_0xd084b8(0x1d55)]=_0xdebe6a['cutdigits']?(_0x36ddb6[_0xd084b8(0x17d6)]['prefix']||'')+_0xd084b8(0x2147)+_0xdebe6a[_0xd084b8(0x1274)]+'}':(_0x36ddb6[_0xd084b8(0x17d6)][_0xd084b8(0x1e12)]||'')+_0xd084b8(0x20df),_0xdebe6a['recordingFormat']!==_0xd084b8(0x1642)?_0x36ddb6[_0xd084b8(0x17d6)][_0xd084b8(0xa08)][_0xd084b8(0x172b)](_0xd084b8(0x1ea4))<0x0&&(_0x36ddb6[_0xd084b8(0x17d6)][_0xd084b8(0xa08)]+='U(xcally-mixmonitor-context)'):_0x36ddb6[_0xd084b8(0x17d6)]['options']=_0x36ddb6[_0xd084b8(0x17d6)][_0xd084b8(0xa08)][_0xd084b8(0x5f4)](_0xd084b8(0x1ea4),''));if(_0x36ddb6['amazonlex'][_0xd084b8(0x8f2)]&&_0x36ddb6['amazonlex'][_0xd084b8(0x8f2)]===_0xd084b8(0x1802)){}else switch((_0x36ddb6[_0xd084b8(0x17d6)]['app']||_0x36ddb6[_0xd084b8(0x17d6)][_0xd084b8(0x8f2)])[_0xd084b8(0x256e)]()){case _0xd084b8(0x26ba):_0x36ddb6[_0xd084b8(0x17d6)][_0xd084b8(0x168a)]=_0x36ddb6['amazonlex'][_0xd084b8(0x19eb)]+'='+_0x36ddb6[_0xd084b8(0x17d6)][_0xd084b8(0x175d)];break;case _0xd084b8(0x1802):break;default:_0x2eea77[0x0]=_0x36ddb6['amazonlex'][_0xd084b8(0x1651)],_0x2eea77[0x1]=_0x36ddb6['amazonlex'][_0xd084b8(0x252)],_0x2eea77[0x2]=_0x36ddb6[_0xd084b8(0x17d6)][_0xd084b8(0x1039)],_0x2eea77[0x3]=_0x36ddb6[_0xd084b8(0x17d6)]['botname'],_0x2eea77[0x4]=_0x36ddb6[_0xd084b8(0x17d6)]['welcomemessage'],_0x36ddb6[_0xd084b8(0x17d6)][_0xd084b8(0x168a)]=_0x2eea77[_0xd084b8(0xb47)](',');}_0x45b7a6(_0x36ddb6[_0xd084b8(0x17d6)]);}function _0x45b7a6(_0xa4b676){const _0x3da2a3=_0x41042f;_0x4705eb[_0x3da2a3(0x2458)](_0xa4b676);}}const _0xdf96c3=_0xdfb71;;_0x173400[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q','toasty',_0x313a4d(0x2265),'chatWebsite',_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x173400(_0x1ed572,_0x3fc025,_0x10605f,_0x245d1c,_0x5d5a94,_0x457272,_0x339224,_0x50b6c9){const _0x4b4d57=_0x313a4d,_0x2724e4=this;_0x2724e4[_0x4b4d57(0x2321)]=_0x339224['getCurrentUser'](),_0x2724e4[_0x4b4d57(0xcef)]=[],_0x2724e4[_0x4b4d57(0x1189)]=_0x4b4d57(0xbe6)+(_0x245d1c[_0x4b4d57(0x8f2)]||_0x245d1c[_0x4b4d57(0x1873)])['toUpperCase'](),_0x2724e4[_0x4b4d57(0x1713)]=angular[_0x4b4d57(0x235a)](_0x245d1c),_0x2724e4['crudPermissions']=_0x50b6c9,_0x2724e4[_0x4b4d57(0x855)]={};if(_0x2724e4[_0x4b4d57(0x1713)][_0x4b4d57(0x168a)])switch(_0x2724e4[_0x4b4d57(0x1713)][_0x4b4d57(0x8f2)]?_0x2724e4[_0x4b4d57(0x1713)][_0x4b4d57(0x8f2)][_0x4b4d57(0x256e)]():_0x2724e4[_0x4b4d57(0x1713)]['app'][_0x4b4d57(0x256e)]()){case'custom':break;case'dialogflow':{const _0x5bc82d=_0x2724e4[_0x4b4d57(0x1713)][_0x4b4d57(0x168a)][_0x4b4d57(0x10c8)](',');_0x2724e4['autoreply']['key']=_0x5bc82d[0x0],_0x2724e4[_0x4b4d57(0x1713)]['language']=_0x5bc82d[0x1],_0x2724e4[_0x4b4d57(0x1713)][_0x4b4d57(0xaf3)]=_0x5bc82d[_0x4b4d57(0x1298)](0x2,_0x5bc82d[_0x4b4d57(0x402)])['join'](',');}break;case _0x4b4d57(0xa46):{const _0x1a0bb8=_0x2724e4['autoreply'][_0x4b4d57(0x168a)][_0x4b4d57(0x10c8)](',');_0x2724e4['autoreply'][_0x4b4d57(0x153a)]=_0x1a0bb8[0x0],_0x2724e4[_0x4b4d57(0x1713)][_0x4b4d57(0x1392)]=_0x1a0bb8[0x1],_0x2724e4[_0x4b4d57(0x1713)]['privateKey']=_0x1a0bb8[0x2],_0x2724e4[_0x4b4d57(0x1713)][_0x4b4d57(0x90b)]=_0x1a0bb8[0x3],_0x2724e4[_0x4b4d57(0x1713)][_0x4b4d57(0xaf3)]=_0x1a0bb8[_0x4b4d57(0x1298)](0x4,_0x1a0bb8[_0x4b4d57(0x402)])[_0x4b4d57(0xb47)](',');}break;case _0x4b4d57(0x17d6):{const _0x3eba72=_0x2724e4[_0x4b4d57(0x1713)][_0x4b4d57(0x168a)]['split'](',');_0x2724e4[_0x4b4d57(0x1713)]['accesskeyid']=_0x3eba72[0x0],_0x2724e4[_0x4b4d57(0x1713)][_0x4b4d57(0x252)]=_0x3eba72[0x1],_0x2724e4[_0x4b4d57(0x1713)]['lexregion']=_0x3eba72[0x2],_0x2724e4[_0x4b4d57(0x1713)][_0x4b4d57(0x8dd)]=_0x3eba72[0x3],_0x2724e4[_0x4b4d57(0x1713)][_0x4b4d57(0xaf3)]=_0x3eba72[_0x4b4d57(0x1298)](0x4,_0x3eba72[_0x4b4d57(0x402)])[_0x4b4d57(0xb47)](',');}break;case _0x4b4d57(0x1713):{const _0x58a0fe=_0x2724e4['autoreply']['appdata'][_0x4b4d57(0x10c8)](',');_0x2724e4[_0x4b4d57(0x1713)]['times']=isNaN(_0x58a0fe[0x0])?_0x58a0fe[0x0]:parseInt(_0x58a0fe[0x0],0xa),_0x2724e4[_0x4b4d57(0x1713)][_0x4b4d57(0xa8d)]=_0x58a0fe['slice'](0x1,_0x58a0fe[_0x4b4d57(0x402)])[_0x4b4d57(0xb47)](',');}break;case'message':_0x2724e4[_0x4b4d57(0x1713)]['text']=_0x2724e4['autoreply'][_0x4b4d57(0x168a)];break;case'set':_0x2724e4['autoreply'][_0x4b4d57(0x19eb)]=_0x2724e4['autoreply']['appdata'][_0x4b4d57(0x10c8)]('=')[0x0],_0x2724e4['autoreply'][_0x4b4d57(0x175d)]=_0x2724e4[_0x4b4d57(0x1713)][_0x4b4d57(0x168a)]['split']('=')[0x1];break;case _0x4b4d57(0x1ecb):_0x2724e4[_0x4b4d57(0x1713)][_0x4b4d57(0x9e0)]=_0x2724e4['autoreply']['appdata'];break;default:{const _0x181ae3=_0x2724e4[_0x4b4d57(0x1713)][_0x4b4d57(0x168a)][_0x4b4d57(0x10c8)](',');_0x2724e4['autoreply'][_0x4b4d57(0x583)]=_0x3f65c0()[_0x4b4d57(0x2635)](_0x181ae3[0x0])?_0x181ae3[0x0]:isNaN(_0x181ae3[0x0])?_0x181ae3[0x0]:parseInt(_0x181ae3[0x0],0xa),_0x2724e4[_0x4b4d57(0x1713)]['text']=_0x3f65c0()['isEmpty'](_0x181ae3[0x1])?_0x181ae3[0x1]:isNaN(_0x181ae3[0x1])?_0x181ae3[0x1]:parseInt(_0x181ae3[0x1],0xa);}break;}else _0x2724e4['autoreply'][_0x4b4d57(0x583)]=0x1;_0x2724e4[_0x4b4d57(0x1713)]['type']&&_0x2724e4['autoreply'][_0x4b4d57(0x1142)][_0x4b4d57(0x256e)]()===_0x4b4d57(0xc9c)&&_0x2724e4[_0x4b4d57(0x1713)][_0x4b4d57(0x8f2)][_0x4b4d57(0x256e)]()===_0x4b4d57(0x3bb)&&(_0x2724e4['autoreply'][_0x4b4d57(0x1e12)]=_0x2724e4[_0x4b4d57(0x1713)][_0x4b4d57(0x1d55)]?_0x2724e4[_0x4b4d57(0x1713)][_0x4b4d57(0x1d55)][_0x4b4d57(0x10c8)]('$')[0x0]:undefined,_0x2724e4['autoreply'][_0x4b4d57(0x1d43)]=_0x2724e4[_0x4b4d57(0x1713)][_0x4b4d57(0x11be)]?'CALLERID(all)='+_0x2724e4['autoreply'][_0x4b4d57(0x11be)]:undefined);_0x2724e4[_0x4b4d57(0x2213)]=_0x52842f,_0x2724e4[_0x4b4d57(0x13f3)]=_0x507bc2;function _0x52842f(){const _0x4e74a4=_0x4b4d57;_0x2724e4[_0x4e74a4(0xcef)]=[];const _0x4c8a07=[];_0x2724e4[_0x4e74a4(0x1713)][_0x4e74a4(0x1142)]&&_0x2724e4[_0x4e74a4(0x1713)][_0x4e74a4(0x1142)][_0x4e74a4(0x256e)]()===_0x4e74a4(0xc9c)&&_0x2724e4[_0x4e74a4(0x1713)][_0x4e74a4(0x8f2)]===_0x4e74a4(0x118b)&&(_0x2724e4['autoreply'][_0x4e74a4(0x1d55)]=_0x5d5a94[_0x4e74a4(0x1274)]?(_0x2724e4[_0x4e74a4(0x1713)][_0x4e74a4(0x1e12)]||'')+'${EXTEN:'+_0x5d5a94[_0x4e74a4(0x1274)]+'}':(_0x2724e4['autoreply'][_0x4e74a4(0x1e12)]||'')+_0x4e74a4(0x20df),_0x5d5a94['recordingFormat']!=='none'?_0x2724e4['autoreply']['options'][_0x4e74a4(0x172b)]('U(xcally-mixmonitor-context)')<0x0&&(_0x2724e4[_0x4e74a4(0x1713)][_0x4e74a4(0xa08)]+=_0x4e74a4(0x1ea4)):_0x2724e4[_0x4e74a4(0x1713)][_0x4e74a4(0xa08)]=_0x2724e4[_0x4e74a4(0x1713)][_0x4e74a4(0xa08)][_0x4e74a4(0x5f4)](_0x4e74a4(0x1ea4),''));if(_0x2724e4['autoreply'][_0x4e74a4(0x8f2)]&&_0x2724e4[_0x4e74a4(0x1713)]['appType']===_0x4e74a4(0x1802)){}else switch((_0x2724e4[_0x4e74a4(0x1713)][_0x4e74a4(0x1873)]||_0x2724e4[_0x4e74a4(0x1713)][_0x4e74a4(0x8f2)])[_0x4e74a4(0x256e)]()){case _0x4e74a4(0x26ba):_0x2724e4[_0x4e74a4(0x1713)][_0x4e74a4(0x168a)]=_0x2724e4[_0x4e74a4(0x1713)][_0x4e74a4(0x19eb)]+'='+_0x2724e4['autoreply']['value'];break;case _0x4e74a4(0x1802):break;default:_0x4c8a07[0x0]=_0x2724e4[_0x4e74a4(0x1713)]['times'],_0x4c8a07[0x1]=_0x2724e4[_0x4e74a4(0x1713)][_0x4e74a4(0xa8d)],_0x2724e4[_0x4e74a4(0x1713)][_0x4e74a4(0x168a)]=_0x4c8a07['join'](',');}_0x507bc2(_0x2724e4[_0x4e74a4(0x1713)]);}function _0x507bc2(_0x49775a){_0x1ed572['hide'](_0x49775a);}}const _0x108937=_0x173400;;_0x54fdd0['$inject']=['$mdDialog','$q',_0x313a4d(0x1fe4),_0x313a4d(0x2265),_0x313a4d(0x189e),_0x313a4d(0x247f),_0x313a4d(0xa87),'crudPermissions'];function _0x54fdd0(_0x200254,_0x3d31bd,_0x383008,_0x5b0333,_0xb1099,_0x2f0f4a,_0x1d73ec,_0x469f91){const _0x19292d=_0x313a4d,_0x53c3db=this;_0x53c3db['currentUser']=_0x1d73ec[_0x19292d(0xb12)](),_0x53c3db[_0x19292d(0xcef)]=[],_0x53c3db['title']=_0x19292d(0xbe6)+(_0x5b0333['appType']||_0x5b0333[_0x19292d(0x1873)])[_0x19292d(0x1c37)](),_0x53c3db['close']=angular[_0x19292d(0x235a)](_0x5b0333),_0x53c3db[_0x19292d(0x2514)]=_0x469f91,_0x53c3db['hasModulePermissions']={};if(_0x53c3db['close']['appdata'])switch(_0x53c3db[_0x19292d(0xa7c)][_0x19292d(0x8f2)]?_0x53c3db[_0x19292d(0xa7c)][_0x19292d(0x8f2)][_0x19292d(0x256e)]():_0x53c3db[_0x19292d(0xa7c)][_0x19292d(0x1873)]['toLowerCase']()){case'custom':break;case _0x19292d(0xeaa):{const _0x2a5b33=_0x53c3db[_0x19292d(0xa7c)]['appdata'][_0x19292d(0x10c8)](',');_0x53c3db[_0x19292d(0xa7c)][_0x19292d(0x2293)]=_0x2a5b33[0x0],_0x53c3db[_0x19292d(0xa7c)][_0x19292d(0x90b)]=_0x2a5b33[0x1],_0x53c3db[_0x19292d(0xa7c)][_0x19292d(0xaf3)]=_0x2a5b33[_0x19292d(0x1298)](0x2,_0x2a5b33['length'])[_0x19292d(0xb47)](',');}break;case _0x19292d(0xa46):{const _0x9c2ad3=_0x53c3db[_0x19292d(0xa7c)][_0x19292d(0x168a)]['split'](',');_0x53c3db[_0x19292d(0xa7c)][_0x19292d(0x153a)]=_0x9c2ad3[0x0],_0x53c3db[_0x19292d(0xa7c)][_0x19292d(0x1392)]=_0x9c2ad3[0x1],_0x53c3db[_0x19292d(0xa7c)][_0x19292d(0x2217)]=_0x9c2ad3[0x2],_0x53c3db[_0x19292d(0xa7c)][_0x19292d(0x90b)]=_0x9c2ad3[0x3],_0x53c3db['close']['welcomemessage']=_0x9c2ad3[_0x19292d(0x1298)](0x4,_0x9c2ad3[_0x19292d(0x402)])[_0x19292d(0xb47)](',');}break;case _0x19292d(0x17d6):{const _0x45ec96=_0x53c3db['close']['appdata'][_0x19292d(0x10c8)](',');_0x53c3db[_0x19292d(0xa7c)][_0x19292d(0x1651)]=_0x45ec96[0x0],_0x53c3db[_0x19292d(0xa7c)]['secretaccesskey']=_0x45ec96[0x1],_0x53c3db[_0x19292d(0xa7c)]['lexregion']=_0x45ec96[0x2],_0x53c3db[_0x19292d(0xa7c)][_0x19292d(0x8dd)]=_0x45ec96[0x3],_0x53c3db[_0x19292d(0xa7c)]['welcomemessage']=_0x45ec96[_0x19292d(0x1298)](0x4,_0x45ec96['length'])['join'](',');}break;case'autoreply':{const _0x407600=_0x53c3db[_0x19292d(0xa7c)][_0x19292d(0x168a)][_0x19292d(0x10c8)](',');_0x53c3db[_0x19292d(0xa7c)][_0x19292d(0x583)]=isNaN(_0x407600[0x0])?_0x407600[0x0]:parseInt(_0x407600[0x0],0xa),_0x53c3db[_0x19292d(0xa7c)][_0x19292d(0xa8d)]=_0x407600[_0x19292d(0x1298)](0x1,_0x407600[_0x19292d(0x402)])[_0x19292d(0xb47)](',');}break;case _0x19292d(0x7fd):_0x53c3db[_0x19292d(0xa7c)][_0x19292d(0xa8d)]=_0x53c3db[_0x19292d(0xa7c)][_0x19292d(0x168a)];break;case _0x19292d(0x26ba):_0x53c3db['close'][_0x19292d(0x19eb)]=_0x53c3db['close'][_0x19292d(0x168a)][_0x19292d(0x10c8)]('=')[0x0],_0x53c3db[_0x19292d(0xa7c)][_0x19292d(0x175d)]=_0x53c3db['close']['appdata'][_0x19292d(0x10c8)]('=')[0x1];break;case _0x19292d(0x1ecb):_0x53c3db['close'][_0x19292d(0x9e0)]=_0x53c3db['close'][_0x19292d(0x168a)];break;default:{const _0x2e8cf8=_0x53c3db[_0x19292d(0xa7c)][_0x19292d(0x168a)][_0x19292d(0x10c8)](',');_0x53c3db[_0x19292d(0xa7c)]['disposition']=_0x3f65c0()[_0x19292d(0x2635)](_0x2e8cf8[0x0])?_0x2e8cf8[0x0]:isNaN(_0x2e8cf8[0x0])?_0x2e8cf8[0x0]:parseInt(_0x2e8cf8[0x0],0xa);}break;}else{}_0x53c3db[_0x19292d(0xa7c)]['type']&&_0x53c3db[_0x19292d(0xa7c)][_0x19292d(0x1142)][_0x19292d(0x256e)]()===_0x19292d(0xc9c)&&_0x53c3db[_0x19292d(0xa7c)][_0x19292d(0x8f2)][_0x19292d(0x256e)]()==='outbounddial'&&(_0x53c3db[_0x19292d(0xa7c)][_0x19292d(0x1e12)]=_0x53c3db['close'][_0x19292d(0x1d55)]?_0x53c3db[_0x19292d(0xa7c)][_0x19292d(0x1d55)][_0x19292d(0x10c8)]('$')[0x0]:undefined,_0x53c3db['close'][_0x19292d(0x1d43)]=_0x53c3db[_0x19292d(0xa7c)][_0x19292d(0x11be)]?'CALLERID(all)='+_0x53c3db[_0x19292d(0xa7c)]['callerID']:undefined);_0x53c3db[_0x19292d(0x2213)]=_0x469a81,_0x53c3db['closeDialog']=_0x1bc25f;function _0x469a81(){const _0x5842b0=_0x19292d;_0x53c3db[_0x5842b0(0xcef)]=[];const _0x50a78e=[];_0x53c3db[_0x5842b0(0xa7c)][_0x5842b0(0x1142)]&&_0x53c3db[_0x5842b0(0xa7c)][_0x5842b0(0x1142)][_0x5842b0(0x256e)]()===_0x5842b0(0xc9c)&&_0x53c3db[_0x5842b0(0xa7c)][_0x5842b0(0x8f2)]==='outboundDial'&&(_0x53c3db[_0x5842b0(0xa7c)][_0x5842b0(0x1d55)]=_0xb1099['cutdigits']?(_0x53c3db[_0x5842b0(0xa7c)][_0x5842b0(0x1e12)]||'')+_0x5842b0(0x2147)+_0xb1099[_0x5842b0(0x1274)]+'}':(_0x53c3db[_0x5842b0(0xa7c)][_0x5842b0(0x1e12)]||'')+_0x5842b0(0x20df),_0xb1099[_0x5842b0(0x1425)]!==_0x5842b0(0x1642)?_0x53c3db[_0x5842b0(0xa7c)][_0x5842b0(0xa08)][_0x5842b0(0x172b)](_0x5842b0(0x1ea4))<0x0&&(_0x53c3db[_0x5842b0(0xa7c)][_0x5842b0(0xa08)]+=_0x5842b0(0x1ea4)):_0x53c3db[_0x5842b0(0xa7c)]['options']=_0x53c3db['close'][_0x5842b0(0xa08)][_0x5842b0(0x5f4)]('U(xcally-mixmonitor-context)',''));if(_0x53c3db[_0x5842b0(0xa7c)][_0x5842b0(0x8f2)]&&_0x53c3db[_0x5842b0(0xa7c)][_0x5842b0(0x8f2)]===_0x5842b0(0x1802)){}else switch((_0x53c3db[_0x5842b0(0xa7c)][_0x5842b0(0x1873)]||_0x53c3db['close'][_0x5842b0(0x8f2)])[_0x5842b0(0x256e)]()){case _0x5842b0(0x26ba):_0x53c3db[_0x5842b0(0xa7c)][_0x5842b0(0x168a)]=_0x53c3db[_0x5842b0(0xa7c)]['name']+'='+_0x53c3db['close'][_0x5842b0(0x175d)];break;case'custom':break;default:_0x50a78e[0x0]=_0x53c3db[_0x5842b0(0xa7c)]['disposition'],_0x53c3db['close']['appdata']=_0x50a78e[_0x5842b0(0xb47)](',');}_0x1bc25f(_0x53c3db[_0x5842b0(0xa7c)]);}function _0x1bc25f(_0x34fe5d){const _0xbe4095=_0x19292d;_0x200254[_0xbe4095(0x2458)](_0x34fe5d);}}const _0x414932=_0x54fdd0;;_0x2ad1d8['$inject']=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x2265),_0x313a4d(0x189e),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x2ad1d8(_0x15e962,_0x22f248,_0x4bf987,_0x3c5783,_0x43d791,_0x4e1dc4,_0x1285c4,_0x47d70f){const _0x4ff0ca=_0x313a4d,_0x488d95=this;_0x488d95[_0x4ff0ca(0x2321)]=_0x1285c4[_0x4ff0ca(0xb12)](),_0x488d95['errors']=[],_0x488d95[_0x4ff0ca(0x1189)]=_0x4ff0ca(0xbe6)+(_0x3c5783[_0x4ff0ca(0x8f2)]||_0x3c5783[_0x4ff0ca(0x1873)])[_0x4ff0ca(0x1c37)](),_0x488d95[_0x4ff0ca(0xeaa)]=angular[_0x4ff0ca(0x235a)](_0x3c5783),_0x488d95[_0x4ff0ca(0x2514)]=_0x47d70f,_0x488d95[_0x4ff0ca(0x855)]={};if(_0x488d95[_0x4ff0ca(0xeaa)][_0x4ff0ca(0x168a)])switch(_0x488d95['dialogflow']['appType']?_0x488d95[_0x4ff0ca(0xeaa)][_0x4ff0ca(0x8f2)][_0x4ff0ca(0x256e)]():_0x488d95[_0x4ff0ca(0xeaa)][_0x4ff0ca(0x1873)][_0x4ff0ca(0x256e)]()){case _0x4ff0ca(0x1802):break;case _0x4ff0ca(0xeaa):{const _0x549683=_0x488d95[_0x4ff0ca(0xeaa)]['appdata'][_0x4ff0ca(0x10c8)](',');_0x488d95[_0x4ff0ca(0xeaa)]['key']=_0x549683[0x0],_0x488d95[_0x4ff0ca(0xeaa)]['language']=_0x549683[0x1],_0x488d95['dialogflow'][_0x4ff0ca(0xaf3)]=_0x549683[_0x4ff0ca(0x1298)](0x2,_0x549683[_0x4ff0ca(0x402)])[_0x4ff0ca(0xb47)](',');}break;case'dialogflowv2':{const _0x5c044c=_0x488d95['dialogflow'][_0x4ff0ca(0x168a)][_0x4ff0ca(0x10c8)](',');_0x488d95[_0x4ff0ca(0xeaa)][_0x4ff0ca(0x153a)]=_0x5c044c[0x0],_0x488d95['dialogflow'][_0x4ff0ca(0x1392)]=_0x5c044c[0x1],_0x488d95[_0x4ff0ca(0xeaa)][_0x4ff0ca(0x2217)]=_0x5c044c[0x2],_0x488d95[_0x4ff0ca(0xeaa)][_0x4ff0ca(0x90b)]=_0x5c044c[0x3],_0x488d95[_0x4ff0ca(0xeaa)][_0x4ff0ca(0xaf3)]=_0x5c044c[_0x4ff0ca(0x1298)](0x4,_0x5c044c[_0x4ff0ca(0x402)])[_0x4ff0ca(0xb47)](',');}break;case'amazonlex':{const _0x240dd9=_0x488d95[_0x4ff0ca(0xeaa)][_0x4ff0ca(0x168a)][_0x4ff0ca(0x10c8)](',');_0x488d95[_0x4ff0ca(0xeaa)][_0x4ff0ca(0x1651)]=_0x240dd9[0x0],_0x488d95[_0x4ff0ca(0xeaa)]['secretaccesskey']=_0x240dd9[0x1],_0x488d95['dialogflow'][_0x4ff0ca(0x1039)]=_0x240dd9[0x2],_0x488d95[_0x4ff0ca(0xeaa)][_0x4ff0ca(0x8dd)]=_0x240dd9[0x3],_0x488d95[_0x4ff0ca(0xeaa)]['welcomemessage']=_0x240dd9['slice'](0x4,_0x240dd9[_0x4ff0ca(0x402)])[_0x4ff0ca(0xb47)](',');}break;case _0x4ff0ca(0x1713):{const _0x5dea8b=_0x488d95[_0x4ff0ca(0xeaa)][_0x4ff0ca(0x168a)][_0x4ff0ca(0x10c8)](',');_0x488d95[_0x4ff0ca(0xeaa)][_0x4ff0ca(0x583)]=isNaN(_0x5dea8b[0x0])?_0x5dea8b[0x0]:parseInt(_0x5dea8b[0x0],0xa),_0x488d95[_0x4ff0ca(0xeaa)][_0x4ff0ca(0xa8d)]=_0x5dea8b[_0x4ff0ca(0x1298)](0x1,_0x5dea8b[_0x4ff0ca(0x402)])[_0x4ff0ca(0xb47)](',');}break;case _0x4ff0ca(0x7fd):_0x488d95[_0x4ff0ca(0xeaa)][_0x4ff0ca(0xa8d)]=_0x488d95['dialogflow']['appdata'];break;case _0x4ff0ca(0x26ba):_0x488d95[_0x4ff0ca(0xeaa)]['name']=_0x488d95[_0x4ff0ca(0xeaa)][_0x4ff0ca(0x168a)][_0x4ff0ca(0x10c8)]('=')[0x0],_0x488d95[_0x4ff0ca(0xeaa)][_0x4ff0ca(0x175d)]=_0x488d95[_0x4ff0ca(0xeaa)][_0x4ff0ca(0x168a)][_0x4ff0ca(0x10c8)]('=')[0x1];break;case'agi':_0x488d95[_0x4ff0ca(0xeaa)]['project']=_0x488d95['dialogflow'][_0x4ff0ca(0x168a)];break;default:{const _0x3c78d3=_0x488d95[_0x4ff0ca(0xeaa)][_0x4ff0ca(0x168a)][_0x4ff0ca(0x10c8)](',');_0x488d95['dialogflow']['key']=_0x3f65c0()[_0x4ff0ca(0x2635)](_0x3c78d3[0x0])?_0x3c78d3[0x0]:isNaN(_0x3c78d3[0x0])?_0x3c78d3[0x0]:parseInt(_0x3c78d3[0x0],0xa),_0x488d95[_0x4ff0ca(0xeaa)][_0x4ff0ca(0x90b)]=_0x3f65c0()[_0x4ff0ca(0x2635)](_0x3c78d3[0x1])?_0x3c78d3[0x1]:isNaN(_0x3c78d3[0x1])?_0x3c78d3[0x1]:parseInt(_0x3c78d3[0x1],0xa),_0x488d95['dialogflow']['welcomemessage']=_0x3f65c0()['isEmpty'](_0x3c78d3[0x2])?_0x3c78d3[0x2]:isNaN(_0x3c78d3[0x2])?_0x3c78d3[0x2]:parseInt(_0x3c78d3[0x2],0xa);}break;}else _0x488d95[_0x4ff0ca(0xeaa)][_0x4ff0ca(0x90b)]='en';_0x488d95[_0x4ff0ca(0xeaa)][_0x4ff0ca(0x1142)]&&_0x488d95['dialogflow'][_0x4ff0ca(0x1142)][_0x4ff0ca(0x256e)]()===_0x4ff0ca(0xc9c)&&_0x488d95[_0x4ff0ca(0xeaa)][_0x4ff0ca(0x8f2)][_0x4ff0ca(0x256e)]()==='outbounddial'&&(_0x488d95['dialogflow'][_0x4ff0ca(0x1e12)]=_0x488d95[_0x4ff0ca(0xeaa)][_0x4ff0ca(0x1d55)]?_0x488d95[_0x4ff0ca(0xeaa)]['phone'][_0x4ff0ca(0x10c8)]('$')[0x0]:undefined,_0x488d95['dialogflow'][_0x4ff0ca(0x1d43)]=_0x488d95[_0x4ff0ca(0xeaa)][_0x4ff0ca(0x11be)]?_0x4ff0ca(0x1b60)+_0x488d95[_0x4ff0ca(0xeaa)]['callerID']:undefined);_0x488d95[_0x4ff0ca(0x2213)]=_0x56412b,_0x488d95[_0x4ff0ca(0x13f3)]=_0x339ba6;function _0x56412b(){const _0x4f96f5=_0x4ff0ca;_0x488d95[_0x4f96f5(0xcef)]=[];const _0x55bf86=[];_0x488d95[_0x4f96f5(0xeaa)][_0x4f96f5(0x1142)]&&_0x488d95[_0x4f96f5(0xeaa)][_0x4f96f5(0x1142)]['toLowerCase']()===_0x4f96f5(0xc9c)&&_0x488d95['dialogflow']['appType']==='outboundDial'&&(_0x488d95[_0x4f96f5(0xeaa)]['phone']=_0x43d791[_0x4f96f5(0x1274)]?(_0x488d95[_0x4f96f5(0xeaa)]['prefix']||'')+'${EXTEN:'+_0x43d791[_0x4f96f5(0x1274)]+'}':(_0x488d95[_0x4f96f5(0xeaa)][_0x4f96f5(0x1e12)]||'')+_0x4f96f5(0x20df),_0x43d791[_0x4f96f5(0x1425)]!==_0x4f96f5(0x1642)?_0x488d95[_0x4f96f5(0xeaa)][_0x4f96f5(0xa08)][_0x4f96f5(0x172b)]('U(xcally-mixmonitor-context)')<0x0&&(_0x488d95[_0x4f96f5(0xeaa)][_0x4f96f5(0xa08)]+='U(xcally-mixmonitor-context)'):_0x488d95['dialogflow'][_0x4f96f5(0xa08)]=_0x488d95[_0x4f96f5(0xeaa)][_0x4f96f5(0xa08)][_0x4f96f5(0x5f4)]('U(xcally-mixmonitor-context)',''));if(_0x488d95[_0x4f96f5(0xeaa)][_0x4f96f5(0x8f2)]&&_0x488d95['dialogflow'][_0x4f96f5(0x8f2)]===_0x4f96f5(0x1802)){}else switch((_0x488d95['dialogflow'][_0x4f96f5(0x1873)]||_0x488d95[_0x4f96f5(0xeaa)][_0x4f96f5(0x8f2)])[_0x4f96f5(0x256e)]()){case _0x4f96f5(0x26ba):_0x488d95[_0x4f96f5(0xeaa)][_0x4f96f5(0x168a)]=_0x488d95[_0x4f96f5(0xeaa)][_0x4f96f5(0x19eb)]+'='+_0x488d95['dialogflow'][_0x4f96f5(0x175d)];break;case _0x4f96f5(0x1802):break;default:_0x55bf86[0x0]=_0x488d95['dialogflow'][_0x4f96f5(0x2293)],_0x55bf86[0x1]=_0x488d95['dialogflow']['language'],_0x55bf86[0x2]=_0x488d95[_0x4f96f5(0xeaa)][_0x4f96f5(0xaf3)],_0x488d95[_0x4f96f5(0xeaa)][_0x4f96f5(0x168a)]=_0x55bf86[_0x4f96f5(0xb47)](',');}_0x339ba6(_0x488d95[_0x4f96f5(0xeaa)]);}function _0x339ba6(_0x17c839){_0x15e962['hide'](_0x17c839);}}const _0x1d362b=_0x2ad1d8;;_0x4d4517[_0x313a4d(0x11c2)]=['$mdDialog','$q',_0x313a4d(0x1fe4),'chatWebsiteApp','chatWebsite',_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x4d4517(_0x21c764,_0x32ea9f,_0x1c2627,_0x16c9d5,_0x21ece2,_0x118e7e,_0x53e70a,_0x354485){const _0xaed9e9=_0x313a4d,_0xd6b8c6=this;_0xd6b8c6['currentUser']=_0x53e70a['getCurrentUser'](),_0xd6b8c6[_0xaed9e9(0xcef)]=[],_0xd6b8c6[_0xaed9e9(0x1189)]=_0xaed9e9(0xbe6)+(_0x16c9d5[_0xaed9e9(0x8f2)]||_0x16c9d5['app'])[_0xaed9e9(0x1c37)](),_0xd6b8c6[_0xaed9e9(0x609)]=angular['copy'](_0x16c9d5),_0xd6b8c6[_0xaed9e9(0x2514)]=_0x354485,_0xd6b8c6['hasModulePermissions']={};if(_0xd6b8c6[_0xaed9e9(0x609)][_0xaed9e9(0x168a)])switch(_0xd6b8c6[_0xaed9e9(0x609)][_0xaed9e9(0x8f2)]?_0xd6b8c6[_0xaed9e9(0x609)][_0xaed9e9(0x8f2)][_0xaed9e9(0x256e)]():_0xd6b8c6[_0xaed9e9(0x609)][_0xaed9e9(0x1873)][_0xaed9e9(0x256e)]()){case _0xaed9e9(0x1802):break;case _0xaed9e9(0xeaa):{const _0x452453=_0xd6b8c6[_0xaed9e9(0x609)][_0xaed9e9(0x168a)]['split'](',');_0xd6b8c6[_0xaed9e9(0x609)][_0xaed9e9(0x2293)]=_0x452453[0x0],_0xd6b8c6[_0xaed9e9(0x609)][_0xaed9e9(0x90b)]=_0x452453[0x1],_0xd6b8c6['gotoif'][_0xaed9e9(0xaf3)]=_0x452453[_0xaed9e9(0x1298)](0x2,_0x452453[_0xaed9e9(0x402)])['join'](',');}break;case _0xaed9e9(0xa46):{const _0xe79317=_0xd6b8c6['gotoif'][_0xaed9e9(0x168a)][_0xaed9e9(0x10c8)](',');_0xd6b8c6['gotoif'][_0xaed9e9(0x153a)]=_0xe79317[0x0],_0xd6b8c6[_0xaed9e9(0x609)]['clientEmail']=_0xe79317[0x1],_0xd6b8c6[_0xaed9e9(0x609)][_0xaed9e9(0x2217)]=_0xe79317[0x2],_0xd6b8c6[_0xaed9e9(0x609)]['language']=_0xe79317[0x3],_0xd6b8c6[_0xaed9e9(0x609)][_0xaed9e9(0xaf3)]=_0xe79317[_0xaed9e9(0x1298)](0x4,_0xe79317[_0xaed9e9(0x402)])[_0xaed9e9(0xb47)](',');}break;case _0xaed9e9(0x17d6):{const _0x3d796a=_0xd6b8c6[_0xaed9e9(0x609)][_0xaed9e9(0x168a)][_0xaed9e9(0x10c8)](',');_0xd6b8c6[_0xaed9e9(0x609)][_0xaed9e9(0x1651)]=_0x3d796a[0x0],_0xd6b8c6[_0xaed9e9(0x609)][_0xaed9e9(0x252)]=_0x3d796a[0x1],_0xd6b8c6['gotoif'][_0xaed9e9(0x1039)]=_0x3d796a[0x2],_0xd6b8c6[_0xaed9e9(0x609)][_0xaed9e9(0x8dd)]=_0x3d796a[0x3],_0xd6b8c6[_0xaed9e9(0x609)][_0xaed9e9(0xaf3)]=_0x3d796a['slice'](0x4,_0x3d796a[_0xaed9e9(0x402)])[_0xaed9e9(0xb47)](',');}break;case _0xaed9e9(0x1713):{const _0xcb2a9b=_0xd6b8c6['gotoif'][_0xaed9e9(0x168a)]['split'](',');_0xd6b8c6['gotoif'][_0xaed9e9(0x583)]=isNaN(_0xcb2a9b[0x0])?_0xcb2a9b[0x0]:parseInt(_0xcb2a9b[0x0],0xa),_0xd6b8c6['gotoif']['text']=_0xcb2a9b[_0xaed9e9(0x1298)](0x1,_0xcb2a9b[_0xaed9e9(0x402)])[_0xaed9e9(0xb47)](',');}break;case _0xaed9e9(0x7fd):_0xd6b8c6['gotoif'][_0xaed9e9(0xa8d)]=_0xd6b8c6[_0xaed9e9(0x609)][_0xaed9e9(0x168a)];break;case _0xaed9e9(0x26ba):_0xd6b8c6[_0xaed9e9(0x609)][_0xaed9e9(0x19eb)]=_0xd6b8c6[_0xaed9e9(0x609)][_0xaed9e9(0x168a)][_0xaed9e9(0x10c8)]('=')[0x0],_0xd6b8c6['gotoif'][_0xaed9e9(0x175d)]=_0xd6b8c6['gotoif'][_0xaed9e9(0x168a)][_0xaed9e9(0x10c8)]('=')[0x1];break;case _0xaed9e9(0x1ecb):_0xd6b8c6[_0xaed9e9(0x609)]['project']=_0xd6b8c6[_0xaed9e9(0x609)][_0xaed9e9(0x168a)];break;default:{const _0x23974b=_0xd6b8c6[_0xaed9e9(0x609)][_0xaed9e9(0x168a)][_0xaed9e9(0x10c8)](',');_0xd6b8c6[_0xaed9e9(0x609)][_0xaed9e9(0x115f)]=_0x3f65c0()[_0xaed9e9(0x2635)](_0x23974b[0x0])?_0x23974b[0x0]:isNaN(_0x23974b[0x0])?_0x23974b[0x0]:parseInt(_0x23974b[0x0],0xa),_0xd6b8c6[_0xaed9e9(0x609)][_0xaed9e9(0x1d78)]=_0x3f65c0()['isEmpty'](_0x23974b[0x1])?_0x23974b[0x1]:isNaN(_0x23974b[0x1])?_0x23974b[0x1]:parseInt(_0x23974b[0x1],0xa),_0xd6b8c6['gotoif'][_0xaed9e9(0x28e1)]=_0x3f65c0()[_0xaed9e9(0x2635)](_0x23974b[0x2])?_0x23974b[0x2]:isNaN(_0x23974b[0x2])?_0x23974b[0x2]:parseInt(_0x23974b[0x2],0xa);}break;}else{}_0xd6b8c6[_0xaed9e9(0x609)][_0xaed9e9(0x1142)]&&_0xd6b8c6[_0xaed9e9(0x609)][_0xaed9e9(0x1142)][_0xaed9e9(0x256e)]()===_0xaed9e9(0xc9c)&&_0xd6b8c6[_0xaed9e9(0x609)][_0xaed9e9(0x8f2)][_0xaed9e9(0x256e)]()===_0xaed9e9(0x3bb)&&(_0xd6b8c6[_0xaed9e9(0x609)][_0xaed9e9(0x1e12)]=_0xd6b8c6[_0xaed9e9(0x609)][_0xaed9e9(0x1d55)]?_0xd6b8c6[_0xaed9e9(0x609)]['phone']['split']('$')[0x0]:undefined,_0xd6b8c6[_0xaed9e9(0x609)][_0xaed9e9(0x1d43)]=_0xd6b8c6['gotoif'][_0xaed9e9(0x11be)]?_0xaed9e9(0x1b60)+_0xd6b8c6[_0xaed9e9(0x609)]['callerID']:undefined);_0xd6b8c6[_0xaed9e9(0x2213)]=_0x3ccf96,_0xd6b8c6[_0xaed9e9(0x13f3)]=_0xe08630;function _0x3ccf96(){const _0x675246=_0xaed9e9;_0xd6b8c6['errors']=[];const _0x1131a4=[];_0xd6b8c6['gotoif'][_0x675246(0x1142)]&&_0xd6b8c6[_0x675246(0x609)][_0x675246(0x1142)][_0x675246(0x256e)]()==='outbound'&&_0xd6b8c6['gotoif'][_0x675246(0x8f2)]===_0x675246(0x118b)&&(_0xd6b8c6[_0x675246(0x609)][_0x675246(0x1d55)]=_0x21ece2['cutdigits']?(_0xd6b8c6[_0x675246(0x609)][_0x675246(0x1e12)]||'')+'${EXTEN:'+_0x21ece2[_0x675246(0x1274)]+'}':(_0xd6b8c6[_0x675246(0x609)]['prefix']||'')+'${EXTEN}',_0x21ece2['recordingFormat']!==_0x675246(0x1642)?_0xd6b8c6[_0x675246(0x609)][_0x675246(0xa08)]['indexOf'](_0x675246(0x1ea4))<0x0&&(_0xd6b8c6[_0x675246(0x609)][_0x675246(0xa08)]+=_0x675246(0x1ea4)):_0xd6b8c6[_0x675246(0x609)][_0x675246(0xa08)]=_0xd6b8c6[_0x675246(0x609)][_0x675246(0xa08)][_0x675246(0x5f4)](_0x675246(0x1ea4),''));if(_0xd6b8c6[_0x675246(0x609)][_0x675246(0x8f2)]&&_0xd6b8c6[_0x675246(0x609)][_0x675246(0x8f2)]===_0x675246(0x1802)){}else switch((_0xd6b8c6[_0x675246(0x609)]['app']||_0xd6b8c6['gotoif'][_0x675246(0x8f2)])['toLowerCase']()){case'set':_0xd6b8c6[_0x675246(0x609)][_0x675246(0x168a)]=_0xd6b8c6[_0x675246(0x609)][_0x675246(0x19eb)]+'='+_0xd6b8c6['gotoif'][_0x675246(0x175d)];break;case _0x675246(0x1802):break;default:_0x1131a4[0x0]=_0xd6b8c6[_0x675246(0x609)][_0x675246(0x115f)],_0x1131a4[0x1]=_0xd6b8c6['gotoif'][_0x675246(0x1d78)],_0x1131a4[0x2]=_0xd6b8c6[_0x675246(0x609)]['falsepriority'],_0xd6b8c6['gotoif'][_0x675246(0x168a)]=_0x1131a4[_0x675246(0xb47)](',');}_0xe08630(_0xd6b8c6['gotoif']);}function _0xe08630(_0x2ebd9e){const _0x35a417=_0xaed9e9;_0x21c764[_0x35a417(0x2458)](_0x2ebd9e);}}const _0x31d454=_0x4d4517;;_0x4fb50d['$inject']=['$mdDialog','$q',_0x313a4d(0x1fe4),_0x313a4d(0x2265),_0x313a4d(0x189e),'api','Auth','crudPermissions'];function _0x4fb50d(_0x4ab41f,_0x4eeba2,_0x45ebda,_0x56385c,_0x1baf55,_0x3d72d3,_0x1de7f5,_0x1788b6){const _0x1a7f7f=_0x313a4d,_0xd7318=this;_0xd7318[_0x1a7f7f(0x2321)]=_0x1de7f5[_0x1a7f7f(0xb12)](),_0xd7318[_0x1a7f7f(0xcef)]=[],_0xd7318[_0x1a7f7f(0x1189)]='CHAT.EDIT_'+(_0x56385c[_0x1a7f7f(0x8f2)]||_0x56385c['app'])[_0x1a7f7f(0x1c37)](),_0xd7318[_0x1a7f7f(0x28f4)]=angular[_0x1a7f7f(0x235a)](_0x56385c),_0xd7318[_0x1a7f7f(0x2514)]=_0x1788b6,_0xd7318[_0x1a7f7f(0x855)]={};if(_0xd7318['gotop'][_0x1a7f7f(0x168a)])switch(_0xd7318[_0x1a7f7f(0x28f4)]['appType']?_0xd7318[_0x1a7f7f(0x28f4)][_0x1a7f7f(0x8f2)][_0x1a7f7f(0x256e)]():_0xd7318[_0x1a7f7f(0x28f4)][_0x1a7f7f(0x1873)][_0x1a7f7f(0x256e)]()){case _0x1a7f7f(0x1802):break;case _0x1a7f7f(0xeaa):{const _0x21b4a0=_0xd7318['gotop']['appdata']['split'](',');_0xd7318['gotop'][_0x1a7f7f(0x2293)]=_0x21b4a0[0x0],_0xd7318[_0x1a7f7f(0x28f4)]['language']=_0x21b4a0[0x1],_0xd7318['gotop'][_0x1a7f7f(0xaf3)]=_0x21b4a0[_0x1a7f7f(0x1298)](0x2,_0x21b4a0[_0x1a7f7f(0x402)])[_0x1a7f7f(0xb47)](',');}break;case _0x1a7f7f(0xa46):{const _0x44df33=_0xd7318[_0x1a7f7f(0x28f4)][_0x1a7f7f(0x168a)][_0x1a7f7f(0x10c8)](',');_0xd7318[_0x1a7f7f(0x28f4)]['projectId']=_0x44df33[0x0],_0xd7318[_0x1a7f7f(0x28f4)][_0x1a7f7f(0x1392)]=_0x44df33[0x1],_0xd7318[_0x1a7f7f(0x28f4)]['privateKey']=_0x44df33[0x2],_0xd7318[_0x1a7f7f(0x28f4)][_0x1a7f7f(0x90b)]=_0x44df33[0x3],_0xd7318[_0x1a7f7f(0x28f4)][_0x1a7f7f(0xaf3)]=_0x44df33[_0x1a7f7f(0x1298)](0x4,_0x44df33[_0x1a7f7f(0x402)])['join'](',');}break;case _0x1a7f7f(0x17d6):{const _0x468820=_0xd7318[_0x1a7f7f(0x28f4)][_0x1a7f7f(0x168a)][_0x1a7f7f(0x10c8)](',');_0xd7318['gotop'][_0x1a7f7f(0x1651)]=_0x468820[0x0],_0xd7318[_0x1a7f7f(0x28f4)][_0x1a7f7f(0x252)]=_0x468820[0x1],_0xd7318[_0x1a7f7f(0x28f4)][_0x1a7f7f(0x1039)]=_0x468820[0x2],_0xd7318['gotop']['botname']=_0x468820[0x3],_0xd7318[_0x1a7f7f(0x28f4)]['welcomemessage']=_0x468820[_0x1a7f7f(0x1298)](0x4,_0x468820['length'])[_0x1a7f7f(0xb47)](',');}break;case'autoreply':{const _0x1eb98c=_0xd7318[_0x1a7f7f(0x28f4)][_0x1a7f7f(0x168a)][_0x1a7f7f(0x10c8)](',');_0xd7318['gotop']['times']=isNaN(_0x1eb98c[0x0])?_0x1eb98c[0x0]:parseInt(_0x1eb98c[0x0],0xa),_0xd7318[_0x1a7f7f(0x28f4)][_0x1a7f7f(0xa8d)]=_0x1eb98c[_0x1a7f7f(0x1298)](0x1,_0x1eb98c[_0x1a7f7f(0x402)])['join'](',');}break;case'message':_0xd7318[_0x1a7f7f(0x28f4)][_0x1a7f7f(0xa8d)]=_0xd7318[_0x1a7f7f(0x28f4)]['appdata'];break;case'set':_0xd7318['gotop']['name']=_0xd7318[_0x1a7f7f(0x28f4)]['appdata'][_0x1a7f7f(0x10c8)]('=')[0x0],_0xd7318['gotop']['value']=_0xd7318['gotop'][_0x1a7f7f(0x168a)][_0x1a7f7f(0x10c8)]('=')[0x1];break;case'agi':_0xd7318[_0x1a7f7f(0x28f4)][_0x1a7f7f(0x9e0)]=_0xd7318[_0x1a7f7f(0x28f4)]['appdata'];break;default:{const _0x2562a0=_0xd7318[_0x1a7f7f(0x28f4)][_0x1a7f7f(0x168a)][_0x1a7f7f(0x10c8)](',');_0xd7318[_0x1a7f7f(0x28f4)][_0x1a7f7f(0xa6a)]=_0x3f65c0()[_0x1a7f7f(0x2635)](_0x2562a0[0x0])?_0x2562a0[0x0]:isNaN(_0x2562a0[0x0])?_0x2562a0[0x0]:parseInt(_0x2562a0[0x0],0xa);}break;}else{}_0xd7318[_0x1a7f7f(0x28f4)]['type']&&_0xd7318[_0x1a7f7f(0x28f4)][_0x1a7f7f(0x1142)][_0x1a7f7f(0x256e)]()===_0x1a7f7f(0xc9c)&&_0xd7318[_0x1a7f7f(0x28f4)]['appType'][_0x1a7f7f(0x256e)]()===_0x1a7f7f(0x3bb)&&(_0xd7318[_0x1a7f7f(0x28f4)]['prefix']=_0xd7318[_0x1a7f7f(0x28f4)][_0x1a7f7f(0x1d55)]?_0xd7318[_0x1a7f7f(0x28f4)]['phone'][_0x1a7f7f(0x10c8)]('$')[0x0]:undefined,_0xd7318[_0x1a7f7f(0x28f4)][_0x1a7f7f(0x1d43)]=_0xd7318[_0x1a7f7f(0x28f4)][_0x1a7f7f(0x11be)]?_0x1a7f7f(0x1b60)+_0xd7318[_0x1a7f7f(0x28f4)][_0x1a7f7f(0x11be)]:undefined);_0xd7318[_0x1a7f7f(0x2213)]=_0x5f314b,_0xd7318[_0x1a7f7f(0x13f3)]=_0x115826;function _0x5f314b(){const _0x3b05d3=_0x1a7f7f;_0xd7318['errors']=[];const _0x22911f=[];_0xd7318[_0x3b05d3(0x28f4)]['type']&&_0xd7318[_0x3b05d3(0x28f4)]['type'][_0x3b05d3(0x256e)]()===_0x3b05d3(0xc9c)&&_0xd7318[_0x3b05d3(0x28f4)][_0x3b05d3(0x8f2)]===_0x3b05d3(0x118b)&&(_0xd7318[_0x3b05d3(0x28f4)][_0x3b05d3(0x1d55)]=_0x1baf55['cutdigits']?(_0xd7318[_0x3b05d3(0x28f4)][_0x3b05d3(0x1e12)]||'')+_0x3b05d3(0x2147)+_0x1baf55[_0x3b05d3(0x1274)]+'}':(_0xd7318[_0x3b05d3(0x28f4)][_0x3b05d3(0x1e12)]||'')+_0x3b05d3(0x20df),_0x1baf55[_0x3b05d3(0x1425)]!==_0x3b05d3(0x1642)?_0xd7318[_0x3b05d3(0x28f4)]['options']['indexOf'](_0x3b05d3(0x1ea4))<0x0&&(_0xd7318[_0x3b05d3(0x28f4)][_0x3b05d3(0xa08)]+=_0x3b05d3(0x1ea4)):_0xd7318[_0x3b05d3(0x28f4)][_0x3b05d3(0xa08)]=_0xd7318['gotop']['options'][_0x3b05d3(0x5f4)](_0x3b05d3(0x1ea4),''));if(_0xd7318[_0x3b05d3(0x28f4)]['appType']&&_0xd7318[_0x3b05d3(0x28f4)][_0x3b05d3(0x8f2)]===_0x3b05d3(0x1802)){}else switch((_0xd7318[_0x3b05d3(0x28f4)][_0x3b05d3(0x1873)]||_0xd7318[_0x3b05d3(0x28f4)][_0x3b05d3(0x8f2)])[_0x3b05d3(0x256e)]()){case _0x3b05d3(0x26ba):_0xd7318[_0x3b05d3(0x28f4)][_0x3b05d3(0x168a)]=_0xd7318[_0x3b05d3(0x28f4)]['name']+'='+_0xd7318[_0x3b05d3(0x28f4)][_0x3b05d3(0x175d)];break;case'custom':break;default:_0x22911f[0x0]=_0xd7318[_0x3b05d3(0x28f4)][_0x3b05d3(0xa6a)],_0xd7318[_0x3b05d3(0x28f4)][_0x3b05d3(0x168a)]=_0x22911f[_0x3b05d3(0xb47)](',');}_0x115826(_0xd7318[_0x3b05d3(0x28f4)]);}function _0x115826(_0x485616){const _0x165701=_0x1a7f7f;_0x4ab41f[_0x165701(0x2458)](_0x485616);}}const _0x33c1a5=_0x4fb50d;;_0x181271[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),_0x313a4d(0x10e8),'$mdToast',_0x313a4d(0x15f6),_0x313a4d(0x2765),_0x313a4d(0x278f),_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x181271(_0x4e977b,_0x41227f,_0x325ff6,_0xe800f2,_0x5d23e5,_0x37d512,_0x50c3c8,_0x10e933,_0x5bbfe6,_0x34732a){const _0xe7b5ba=_0x313a4d,_0x5186a8=this;_0x5186a8[_0xe7b5ba(0x2321)]=_0x5bbfe6['getCurrentUser'](),_0x5186a8[_0xe7b5ba(0xcef)]=[],_0x5186a8['title']='TOOLS.EDIT_INTERVAL',_0x5186a8[_0xe7b5ba(0x2765)]=angular[_0xe7b5ba(0x235a)](_0x5d23e5),_0x5186a8[_0xe7b5ba(0x278f)]=_0x37d512,_0x5186a8[_0xe7b5ba(0xa76)]=![],_0x5186a8[_0xe7b5ba(0x1960)]=[_0xe7b5ba(0xd85),_0xe7b5ba(0x1802),_0xe7b5ba(0x25f4)],_0x5186a8[_0xe7b5ba(0x2514)]=_0x34732a,_0x5186a8[_0xe7b5ba(0x1e35)]=_0xe800f2[_0xe7b5ba(0x2045)](),_0x5186a8[_0xe7b5ba(0x593)]=_0xe800f2['getMonthNumber'](),_0x5186a8[_0xe7b5ba(0x2156)]=_0xe800f2[_0xe7b5ba(0x18b6)](),_0x5186a8[_0xe7b5ba(0x15f1)]=_0xe800f2[_0xe7b5ba(0x1421)]();if(!_0x5186a8[_0xe7b5ba(0x2765)])_0x5186a8[_0xe7b5ba(0x2765)]={'interval':_0xe7b5ba(0x1559)},_0x5186a8[_0xe7b5ba(0x1142)]='always',_0x5186a8['title']=_0xe7b5ba(0x79c),_0x5186a8['newInterval']=!![];else{if(_0x5186a8[_0xe7b5ba(0x2765)][_0xe7b5ba(0x2765)]!=='*,*,*,*'){_0x5186a8['type']=_0xe7b5ba(0x1802);const _0x10b6ec=_0x5186a8[_0xe7b5ba(0x2765)][_0xe7b5ba(0x2765)][_0xe7b5ba(0x10c8)](','),_0x5c3c26=_0x10b6ec[0x0],_0x57be7f=_0x10b6ec[0x1],_0x31cb59=_0x10b6ec[0x2],_0x3642b3=_0x10b6ec[0x3];if(_0x5c3c26!=='*'){const _0x58307e=_0x5c3c26[_0xe7b5ba(0x10c8)]('-')[0x0],_0x94a6ff=_0x5c3c26[_0xe7b5ba(0x10c8)]('-')[0x1];let _0x94fb2a;_0x94fb2a=new Date(),_0x94fb2a[_0xe7b5ba(0x25cd)](Number(_0x58307e[_0xe7b5ba(0x10c8)](':')[0x0])),_0x94fb2a['setMinutes'](Number(_0x58307e[_0xe7b5ba(0x10c8)](':')[0x1])),_0x5186a8['timeRangeFrom']=_0x94fb2a,_0x94fb2a=new Date(),_0x94fb2a[_0xe7b5ba(0x25cd)](Number(_0x94a6ff[_0xe7b5ba(0x10c8)](':')[0x0])),_0x94fb2a[_0xe7b5ba(0x2348)](Number(_0x94a6ff[_0xe7b5ba(0x10c8)](':')[0x1])),_0x5186a8[_0xe7b5ba(0x637)]=_0x94fb2a;}_0x57be7f!=='*'&&(_0x5186a8[_0xe7b5ba(0x1455)]=_0x57be7f[_0xe7b5ba(0x10c8)]('-')[0x0],_0x5186a8['dayOfWeekTo']=_0x57be7f[_0xe7b5ba(0x10c8)]('-')[0x1]),_0x31cb59!=='*'&&(_0x5186a8['monthDayFrom']=_0x31cb59['split']('-')[0x0],_0x5186a8[_0xe7b5ba(0x896)]=_0x31cb59[_0xe7b5ba(0x10c8)]('-')[0x1]),_0x3642b3!=='*'&&(_0x5186a8['monthFrom']=_0x3642b3['split']('-')[0x0],_0x5186a8['monthTo']=_0x3642b3[_0xe7b5ba(0x10c8)]('-')[0x1]);}else _0x5186a8[_0xe7b5ba(0x1142)]=_0xe7b5ba(0xd85);}_0x4e977b[_0xe7b5ba(0x16a)]['id']&&!_0x5186a8[_0xe7b5ba(0x2765)][_0xe7b5ba(0xea)]&&(_0x5186a8[_0xe7b5ba(0x2765)][_0xe7b5ba(0x1ff2)]=_0x4e977b[_0xe7b5ba(0x16a)]['id']);_0x5186a8[_0xe7b5ba(0x2765)]['IntervalId']&&_0x5186a8['interval'][_0xe7b5ba(0xea)]&&(_0x5186a8[_0xe7b5ba(0x1142)]=_0xe7b5ba(0x25f4));_0x5186a8[_0xe7b5ba(0x2f0)]=_0x44d052,_0x5186a8[_0xe7b5ba(0x1242)]=_0x140220,_0x5186a8[_0xe7b5ba(0x13f3)]=_0x11f31e;_0x5186a8[_0xe7b5ba(0x2765)][_0xe7b5ba(0xea)]&&(_0x5bbfe6['hasRole'](_0xe7b5ba(0x174b))?_0x10e933[_0xe7b5ba(0x2765)][_0xe7b5ba(0x16b4)]({'fields':'id,name,interval,IntervalId','IntervalId':_0xe7b5ba(0x203c),'nolimit':!![]})['$promise'][_0xe7b5ba(0x146b)](function(_0x220db9){const _0x4471b0=_0xe7b5ba;_0x5186a8[_0x4471b0(0x278f)]=_0x220db9['rows']||[];})[_0xe7b5ba(0x129e)](function(_0x6be60b){const _0x3b0238=_0xe7b5ba;_0x50c3c8[_0x3b0238(0x1980)]({'title':_0x6be60b['status']?'API:'+_0x6be60b[_0x3b0238(0x107b)]+'\x20-\x20'+_0x6be60b[_0x3b0238(0x167f)]:_0x3b0238(0x603),'msg':_0x6be60b[_0x3b0238(0x524)]?JSON[_0x3b0238(0x10bb)](_0x6be60b[_0x3b0238(0x524)]):_0x6be60b['toString']()});}):_0x10e933[_0xe7b5ba(0x2765)][_0xe7b5ba(0x16b4)]({'fields':_0xe7b5ba(0x648),'IntervalId':_0xe7b5ba(0x203c),'nolimit':!![]})[_0xe7b5ba(0x2945)][_0xe7b5ba(0x146b)](function(_0x1e9217){const _0x4d1d68=_0xe7b5ba;_0x5186a8['intervals']=_0x1e9217[_0x4d1d68(0x19c7)]||[];})['then'](function(){const _0x5c3e54=_0xe7b5ba;return _0x10e933[_0x5c3e54(0x1366)][_0x5c3e54(0x16b4)]({'userProfileId':_0x5186a8[_0x5c3e54(0x2321)]['userProfileId'],'sectionId':0x3ec})[_0x5c3e54(0x2945)];})[_0xe7b5ba(0x146b)](function(_0x402196){const _0x542339=_0xe7b5ba,_0x26e166=_0x402196&&_0x402196[_0x542339(0x19c7)]?_0x402196[_0x542339(0x19c7)][0x0]:null;if(!_0x26e166)_0x5186a8[_0x542339(0x278f)]=[];else{if(!_0x26e166[_0x542339(0x11d2)])return _0x10e933['userProfileResource'][_0x542339(0x16b4)]({'sectionId':_0x26e166['id']})[_0x542339(0x2945)]['then'](function(_0x424a9f){const _0x2aedd5=_0x542339,_0x327a61=_0x424a9f&&_0x424a9f['rows']?_0x424a9f[_0x2aedd5(0x19c7)]:[],_0x5f0383=[];let _0x3c877c=null;_0x5186a8[_0x2aedd5(0x2765)]&&(_0x3c877c=_0x3f65c0()[_0x2aedd5(0xc84)](_0x5186a8[_0x2aedd5(0x278f)],{'name':_0x5186a8['interval'][_0x2aedd5(0x1ff2)]})),_0x3c877c&&!_0x3f65c0()[_0x2aedd5(0x1360)](_0x327a61,[_0x2aedd5(0x18b8),_0x3c877c['id']])&&_0x5186a8[_0x2aedd5(0x278f)][_0x2aedd5(0x1df5)](function(_0x28e4cd){const _0x21c5af=_0x2aedd5;_0x28e4cd['id']===_0x3c877c['id']&&(_0x28e4cd[_0x21c5af(0x8ff)]=![],_0x5f0383['push'](_0x28e4cd));}),_0x5186a8[_0x2aedd5(0x278f)]=_0x5f0383;});}})[_0xe7b5ba(0x129e)](function(_0x31ab5a){const _0x33e3f9=_0xe7b5ba;_0x50c3c8[_0x33e3f9(0x1980)]({'title':_0x31ab5a['status']?_0x33e3f9(0x262a)+_0x31ab5a[_0x33e3f9(0x107b)]+'\x20-\x20'+_0x31ab5a[_0x33e3f9(0x167f)]:'SYSTEM:GET_INTERVALS','msg':_0x31ab5a['data']?JSON[_0x33e3f9(0x10bb)](_0x31ab5a[_0x33e3f9(0x524)]):_0x31ab5a['toString']()});}));function _0x2babc3(){const _0x33ccd0=_0xe7b5ba;switch(_0x5186a8[_0x33ccd0(0x1142)]){case _0x33ccd0(0xd85):case'list':return _0x33ccd0(0x1559);case _0x33ccd0(0x1802):{const _0x8b9e5b=[];if(_0x5186a8[_0x33ccd0(0x2469)]!=='*'&&_0x5186a8[_0x33ccd0(0x2469)]&&_0x5186a8[_0x33ccd0(0x637)]){const _0x1c7072=(_0x5186a8[_0x33ccd0(0x2469)][_0x33ccd0(0x1d57)]()<0xa?'0':'')+_0x5186a8[_0x33ccd0(0x2469)][_0x33ccd0(0x1d57)]()+':'+((_0x5186a8['timeRangeFrom'][_0x33ccd0(0x7fc)]()<0xa?'0':'')+_0x5186a8['timeRangeFrom'][_0x33ccd0(0x7fc)]()),_0x171f17=(_0x5186a8[_0x33ccd0(0x637)][_0x33ccd0(0x1d57)]()<0xa?'0':'')+_0x5186a8['timeRangeTo'][_0x33ccd0(0x1d57)]()+':'+((_0x5186a8[_0x33ccd0(0x637)][_0x33ccd0(0x7fc)]()<0xa?'0':'')+_0x5186a8[_0x33ccd0(0x637)][_0x33ccd0(0x7fc)]());_0x8b9e5b[_0x33ccd0(0x1f47)](_0x1c7072+'-'+_0x171f17);}else _0x8b9e5b['push']('*');return _0x5186a8[_0x33ccd0(0x1455)]?_0x5186a8[_0x33ccd0(0x27a1)]?_0x8b9e5b[_0x33ccd0(0x1f47)](_0x5186a8[_0x33ccd0(0x1455)]+'-'+_0x5186a8[_0x33ccd0(0x27a1)]):_0x8b9e5b['push'](_0x5186a8[_0x33ccd0(0x1455)]):_0x8b9e5b[_0x33ccd0(0x1f47)]('*'),_0x5186a8[_0x33ccd0(0xf0b)]?_0x5186a8['monthDayTo']?_0x8b9e5b['push'](_0x5186a8['monthDayFrom']+'-'+_0x5186a8[_0x33ccd0(0x896)]):_0x8b9e5b[_0x33ccd0(0x1f47)](_0x5186a8['monthDayFrom']):_0x8b9e5b['push']('*'),_0x5186a8['monthFrom']?_0x5186a8[_0x33ccd0(0x9c3)]?_0x8b9e5b[_0x33ccd0(0x1f47)](_0x5186a8[_0x33ccd0(0x167)]+'-'+_0x5186a8['monthTo']):_0x8b9e5b[_0x33ccd0(0x1f47)](_0x5186a8['monthFrom']):_0x8b9e5b['push']('*'),_0x8b9e5b['join']();}}}function _0x44d052(){const _0x4bd3cd=_0xe7b5ba;_0x5186a8['errors']=[],_0x5186a8[_0x4bd3cd(0x2765)]['interval']=_0x2babc3(),_0x10e933['interval'][_0x4bd3cd(0x1e3)](_0x5186a8[_0x4bd3cd(0x2765)])['$promise'][_0x4bd3cd(0x146b)](function(_0x5c6800){const _0x448f94=_0x4bd3cd;_0x5186a8['intervals']['push'](_0x5c6800),_0x50c3c8[_0x448f94(0x1c75)]({'title':_0x448f94(0x17f5),'msg':_0x5186a8[_0x448f94(0x2765)][_0x448f94(0x19eb)]?_0x5186a8['interval'][_0x448f94(0x19eb)]+'\x20has\x20been\x20created!':''}),_0x11f31e();})[_0x4bd3cd(0x129e)](function(_0x3d5573){const _0x539f23=_0x4bd3cd;console[_0x539f23(0x1980)](_0x3d5573),_0x5186a8[_0x539f23(0xcef)]=_0x3d5573['data'][_0x539f23(0xcef)]||[{'message':_0x3d5573[_0x539f23(0xd5f)](),'type':'api.interval.save'}];});}function _0x140220(){const _0x1145ad=_0xe7b5ba;_0x5186a8[_0x1145ad(0xcef)]=[],_0x5186a8['interval'][_0x1145ad(0x2765)]=_0x2babc3(),_0x5186a8[_0x1145ad(0x2765)][_0x1145ad(0xea)]?(_0x5186a8[_0x1145ad(0x1142)]!=='list'&&(_0x5186a8[_0x1145ad(0x2765)]['IntervalId']=null),_0x11f31e(_0x5186a8[_0x1145ad(0x2765)])):_0x10e933['interval'][_0x1145ad(0x18e1)]({'id':_0x5186a8[_0x1145ad(0x2765)]['id']},_0x5186a8['interval'])[_0x1145ad(0x2945)][_0x1145ad(0x146b)](function(_0x293e45){const _0x116fba=_0x1145ad,_0x3c0f08=_0x3f65c0()[_0x116fba(0xc84)](_0x5186a8[_0x116fba(0x278f)],{'id':_0x293e45['id']});_0x3c0f08&&_0x3f65c0()['merge'](_0x3c0f08,_0x293e45),_0x50c3c8['success']({'title':'Interval\x20properly\x20saved!','msg':'Interval\x20has\x20been\x20saved!'}),_0x11f31e();})[_0x1145ad(0x129e)](function(_0x3a6cc6){const _0x3ac766=_0x1145ad;console['error'](_0x3a6cc6),_0x5186a8['errors']=_0x3a6cc6[_0x3ac766(0x524)][_0x3ac766(0xcef)]||[{'message':_0x3a6cc6[_0x3ac766(0xd5f)](),'type':_0x3ac766(0x1fbe)}];});}function _0x11f31e(_0xe6eb2c){_0x41227f['hide'](_0xe6eb2c);}}const _0x5a7e15=_0x181271;;_0x28a10e['$inject']=['$mdDialog','$q',_0x313a4d(0x1fe4),_0x313a4d(0x2265),_0x313a4d(0x189e),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x28a10e(_0x1d51e1,_0x1000cf,_0x3f1aa6,_0x5d6af4,_0x485778,_0x3830a8,_0x9d1377,_0x32bba5){const _0x2272ac=_0x313a4d,_0x3ae333=this;_0x3ae333[_0x2272ac(0x2321)]=_0x9d1377['getCurrentUser'](),_0x3ae333[_0x2272ac(0xcef)]=[],_0x3ae333[_0x2272ac(0x1189)]='CHAT.EDIT_'+(_0x5d6af4['appType']||_0x5d6af4[_0x2272ac(0x1873)])[_0x2272ac(0x1c37)](),_0x3ae333[_0x2272ac(0x309)]=angular[_0x2272ac(0x235a)](_0x5d6af4),_0x3ae333[_0x2272ac(0x2514)]=_0x32bba5,_0x3ae333[_0x2272ac(0x855)]={};if(_0x3ae333[_0x2272ac(0x309)]['appdata'])switch(_0x3ae333[_0x2272ac(0x309)][_0x2272ac(0x8f2)]?_0x3ae333[_0x2272ac(0x309)][_0x2272ac(0x8f2)]['toLowerCase']():_0x3ae333['noop']['app'][_0x2272ac(0x256e)]()){case'custom':break;case'dialogflow':{const _0x1be74a=_0x3ae333[_0x2272ac(0x309)][_0x2272ac(0x168a)][_0x2272ac(0x10c8)](',');_0x3ae333[_0x2272ac(0x309)][_0x2272ac(0x2293)]=_0x1be74a[0x0],_0x3ae333[_0x2272ac(0x309)][_0x2272ac(0x90b)]=_0x1be74a[0x1],_0x3ae333[_0x2272ac(0x309)][_0x2272ac(0xaf3)]=_0x1be74a['slice'](0x2,_0x1be74a[_0x2272ac(0x402)])[_0x2272ac(0xb47)](',');}break;case'dialogflowv2':{const _0x2379ee=_0x3ae333[_0x2272ac(0x309)][_0x2272ac(0x168a)][_0x2272ac(0x10c8)](',');_0x3ae333[_0x2272ac(0x309)]['projectId']=_0x2379ee[0x0],_0x3ae333[_0x2272ac(0x309)][_0x2272ac(0x1392)]=_0x2379ee[0x1],_0x3ae333[_0x2272ac(0x309)][_0x2272ac(0x2217)]=_0x2379ee[0x2],_0x3ae333[_0x2272ac(0x309)][_0x2272ac(0x90b)]=_0x2379ee[0x3],_0x3ae333[_0x2272ac(0x309)][_0x2272ac(0xaf3)]=_0x2379ee[_0x2272ac(0x1298)](0x4,_0x2379ee['length'])['join'](',');}break;case'amazonlex':{const _0x5ab21e=_0x3ae333[_0x2272ac(0x309)][_0x2272ac(0x168a)][_0x2272ac(0x10c8)](',');_0x3ae333[_0x2272ac(0x309)][_0x2272ac(0x1651)]=_0x5ab21e[0x0],_0x3ae333[_0x2272ac(0x309)]['secretaccesskey']=_0x5ab21e[0x1],_0x3ae333['noop'][_0x2272ac(0x1039)]=_0x5ab21e[0x2],_0x3ae333['noop'][_0x2272ac(0x8dd)]=_0x5ab21e[0x3],_0x3ae333['noop'][_0x2272ac(0xaf3)]=_0x5ab21e['slice'](0x4,_0x5ab21e[_0x2272ac(0x402)])[_0x2272ac(0xb47)](',');}break;case _0x2272ac(0x1713):{const _0x302aec=_0x3ae333[_0x2272ac(0x309)][_0x2272ac(0x168a)][_0x2272ac(0x10c8)](',');_0x3ae333[_0x2272ac(0x309)][_0x2272ac(0x583)]=isNaN(_0x302aec[0x0])?_0x302aec[0x0]:parseInt(_0x302aec[0x0],0xa),_0x3ae333[_0x2272ac(0x309)][_0x2272ac(0xa8d)]=_0x302aec[_0x2272ac(0x1298)](0x1,_0x302aec['length'])[_0x2272ac(0xb47)](',');}break;case'message':_0x3ae333['noop'][_0x2272ac(0xa8d)]=_0x3ae333[_0x2272ac(0x309)]['appdata'];break;case _0x2272ac(0x26ba):_0x3ae333['noop'][_0x2272ac(0x19eb)]=_0x3ae333[_0x2272ac(0x309)][_0x2272ac(0x168a)][_0x2272ac(0x10c8)]('=')[0x0],_0x3ae333[_0x2272ac(0x309)][_0x2272ac(0x175d)]=_0x3ae333[_0x2272ac(0x309)][_0x2272ac(0x168a)]['split']('=')[0x1];break;case _0x2272ac(0x1ecb):_0x3ae333[_0x2272ac(0x309)][_0x2272ac(0x9e0)]=_0x3ae333['noop'][_0x2272ac(0x168a)];break;default:{const _0x2900ea=_0x3ae333[_0x2272ac(0x309)][_0x2272ac(0x168a)]['split'](',');_0x3ae333[_0x2272ac(0x309)][_0x2272ac(0x175d)]=_0x3f65c0()['isEmpty'](_0x2900ea[0x0])?_0x2900ea[0x0]:isNaN(_0x2900ea[0x0])?_0x2900ea[0x0]:parseInt(_0x2900ea[0x0],0xa);}break;}else{}_0x3ae333[_0x2272ac(0x309)][_0x2272ac(0x1142)]&&_0x3ae333[_0x2272ac(0x309)][_0x2272ac(0x1142)][_0x2272ac(0x256e)]()==='outbound'&&_0x3ae333[_0x2272ac(0x309)][_0x2272ac(0x8f2)][_0x2272ac(0x256e)]()==='outbounddial'&&(_0x3ae333[_0x2272ac(0x309)]['prefix']=_0x3ae333[_0x2272ac(0x309)][_0x2272ac(0x1d55)]?_0x3ae333[_0x2272ac(0x309)][_0x2272ac(0x1d55)]['split']('$')[0x0]:undefined,_0x3ae333['noop'][_0x2272ac(0x1d43)]=_0x3ae333[_0x2272ac(0x309)][_0x2272ac(0x11be)]?_0x2272ac(0x1b60)+_0x3ae333[_0x2272ac(0x309)]['callerID']:undefined);_0x3ae333[_0x2272ac(0x2213)]=_0xc50305,_0x3ae333[_0x2272ac(0x13f3)]=_0x1ba0f4;function _0xc50305(){const _0x128bb9=_0x2272ac;_0x3ae333[_0x128bb9(0xcef)]=[];const _0x51f4df=[];_0x3ae333[_0x128bb9(0x309)][_0x128bb9(0x1142)]&&_0x3ae333[_0x128bb9(0x309)][_0x128bb9(0x1142)][_0x128bb9(0x256e)]()===_0x128bb9(0xc9c)&&_0x3ae333[_0x128bb9(0x309)][_0x128bb9(0x8f2)]===_0x128bb9(0x118b)&&(_0x3ae333[_0x128bb9(0x309)]['phone']=_0x485778[_0x128bb9(0x1274)]?(_0x3ae333['noop'][_0x128bb9(0x1e12)]||'')+_0x128bb9(0x2147)+_0x485778[_0x128bb9(0x1274)]+'}':(_0x3ae333['noop'][_0x128bb9(0x1e12)]||'')+_0x128bb9(0x20df),_0x485778[_0x128bb9(0x1425)]!=='none'?_0x3ae333[_0x128bb9(0x309)][_0x128bb9(0xa08)][_0x128bb9(0x172b)](_0x128bb9(0x1ea4))<0x0&&(_0x3ae333[_0x128bb9(0x309)]['options']+=_0x128bb9(0x1ea4)):_0x3ae333[_0x128bb9(0x309)][_0x128bb9(0xa08)]=_0x3ae333[_0x128bb9(0x309)][_0x128bb9(0xa08)][_0x128bb9(0x5f4)](_0x128bb9(0x1ea4),''));if(_0x3ae333[_0x128bb9(0x309)][_0x128bb9(0x8f2)]&&_0x3ae333['noop'][_0x128bb9(0x8f2)]==='custom'){}else switch((_0x3ae333[_0x128bb9(0x309)][_0x128bb9(0x1873)]||_0x3ae333[_0x128bb9(0x309)][_0x128bb9(0x8f2)])[_0x128bb9(0x256e)]()){case _0x128bb9(0x26ba):_0x3ae333[_0x128bb9(0x309)][_0x128bb9(0x168a)]=_0x3ae333[_0x128bb9(0x309)][_0x128bb9(0x19eb)]+'='+_0x3ae333[_0x128bb9(0x309)][_0x128bb9(0x175d)];break;case _0x128bb9(0x1802):break;default:_0x51f4df[0x0]=_0x3ae333[_0x128bb9(0x309)]['value'],_0x3ae333[_0x128bb9(0x309)][_0x128bb9(0x168a)]=_0x51f4df[_0x128bb9(0xb47)](',');}_0x1ba0f4(_0x3ae333['noop']);}function _0x1ba0f4(_0x58621a){const _0x322fdd=_0x2272ac;_0x1d51e1[_0x322fdd(0x2458)](_0x58621a);}}const _0x26e4ca=_0x28a10e;;_0x467cc0[_0x313a4d(0x11c2)]=['$mdDialog','$q',_0x313a4d(0x1fe4),_0x313a4d(0x2265),_0x313a4d(0x189e),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x467cc0(_0x5b0eed,_0x21d678,_0x49efe5,_0xddd41,_0x49a885,_0x312c53,_0x548ff9,_0x4edbbd){const _0x24d5e1=_0x313a4d,_0x2d652c=this;_0x2d652c['currentUser']=_0x548ff9[_0x24d5e1(0xb12)](),_0x2d652c['errors']=[],_0x2d652c['title']='CHAT.EDIT_'+(_0xddd41[_0x24d5e1(0x8f2)]||_0xddd41[_0x24d5e1(0x1873)])[_0x24d5e1(0x1c37)](),_0x2d652c[_0x24d5e1(0x1c72)]=angular[_0x24d5e1(0x235a)](_0xddd41),_0x2d652c['crudPermissions']=_0x4edbbd,_0x2d652c[_0x24d5e1(0x855)]={};if(_0x2d652c[_0x24d5e1(0x1c72)][_0x24d5e1(0x168a)])switch(_0x2d652c[_0x24d5e1(0x1c72)][_0x24d5e1(0x8f2)]?_0x2d652c[_0x24d5e1(0x1c72)][_0x24d5e1(0x8f2)][_0x24d5e1(0x256e)]():_0x2d652c[_0x24d5e1(0x1c72)]['app'][_0x24d5e1(0x256e)]()){case _0x24d5e1(0x1802):break;case _0x24d5e1(0xeaa):{const _0x4d6aee=_0x2d652c['queue'][_0x24d5e1(0x168a)][_0x24d5e1(0x10c8)](',');_0x2d652c[_0x24d5e1(0x1c72)][_0x24d5e1(0x2293)]=_0x4d6aee[0x0],_0x2d652c[_0x24d5e1(0x1c72)]['language']=_0x4d6aee[0x1],_0x2d652c[_0x24d5e1(0x1c72)]['welcomemessage']=_0x4d6aee[_0x24d5e1(0x1298)](0x2,_0x4d6aee[_0x24d5e1(0x402)])[_0x24d5e1(0xb47)](',');}break;case _0x24d5e1(0xa46):{const _0x4ce43d=_0x2d652c[_0x24d5e1(0x1c72)][_0x24d5e1(0x168a)]['split'](',');_0x2d652c[_0x24d5e1(0x1c72)]['projectId']=_0x4ce43d[0x0],_0x2d652c[_0x24d5e1(0x1c72)][_0x24d5e1(0x1392)]=_0x4ce43d[0x1],_0x2d652c[_0x24d5e1(0x1c72)]['privateKey']=_0x4ce43d[0x2],_0x2d652c[_0x24d5e1(0x1c72)]['language']=_0x4ce43d[0x3],_0x2d652c[_0x24d5e1(0x1c72)][_0x24d5e1(0xaf3)]=_0x4ce43d[_0x24d5e1(0x1298)](0x4,_0x4ce43d[_0x24d5e1(0x402)])[_0x24d5e1(0xb47)](',');}break;case'amazonlex':{const _0x302c43=_0x2d652c[_0x24d5e1(0x1c72)][_0x24d5e1(0x168a)]['split'](',');_0x2d652c['queue'][_0x24d5e1(0x1651)]=_0x302c43[0x0],_0x2d652c[_0x24d5e1(0x1c72)]['secretaccesskey']=_0x302c43[0x1],_0x2d652c['queue'][_0x24d5e1(0x1039)]=_0x302c43[0x2],_0x2d652c[_0x24d5e1(0x1c72)]['botname']=_0x302c43[0x3],_0x2d652c['queue'][_0x24d5e1(0xaf3)]=_0x302c43[_0x24d5e1(0x1298)](0x4,_0x302c43[_0x24d5e1(0x402)])[_0x24d5e1(0xb47)](',');}break;case _0x24d5e1(0x1713):{const _0xad2843=_0x2d652c[_0x24d5e1(0x1c72)][_0x24d5e1(0x168a)][_0x24d5e1(0x10c8)](',');_0x2d652c[_0x24d5e1(0x1c72)]['times']=isNaN(_0xad2843[0x0])?_0xad2843[0x0]:parseInt(_0xad2843[0x0],0xa),_0x2d652c[_0x24d5e1(0x1c72)][_0x24d5e1(0xa8d)]=_0xad2843[_0x24d5e1(0x1298)](0x1,_0xad2843[_0x24d5e1(0x402)])['join'](',');}break;case'message':_0x2d652c[_0x24d5e1(0x1c72)][_0x24d5e1(0xa8d)]=_0x2d652c['queue'][_0x24d5e1(0x168a)];break;case _0x24d5e1(0x26ba):_0x2d652c[_0x24d5e1(0x1c72)][_0x24d5e1(0x19eb)]=_0x2d652c[_0x24d5e1(0x1c72)][_0x24d5e1(0x168a)][_0x24d5e1(0x10c8)]('=')[0x0],_0x2d652c[_0x24d5e1(0x1c72)]['value']=_0x2d652c[_0x24d5e1(0x1c72)][_0x24d5e1(0x168a)][_0x24d5e1(0x10c8)]('=')[0x1];break;case _0x24d5e1(0x1ecb):_0x2d652c[_0x24d5e1(0x1c72)][_0x24d5e1(0x9e0)]=_0x2d652c[_0x24d5e1(0x1c72)][_0x24d5e1(0x168a)];break;default:{const _0x3ddbe6=_0x2d652c[_0x24d5e1(0x1c72)][_0x24d5e1(0x168a)]['split'](',');_0x2d652c[_0x24d5e1(0x1c72)][_0x24d5e1(0x1c72)]=_0x3f65c0()[_0x24d5e1(0x2635)](_0x3ddbe6[0x0])?_0x3ddbe6[0x0]:isNaN(_0x3ddbe6[0x0])?_0x3ddbe6[0x0]:parseInt(_0x3ddbe6[0x0],0xa),_0x2d652c[_0x24d5e1(0x1c72)][_0x24d5e1(0xa9c)]=_0x3f65c0()[_0x24d5e1(0x2635)](_0x3ddbe6[0x1])?_0x3ddbe6[0x1]:isNaN(_0x3ddbe6[0x1])?_0x3ddbe6[0x1]:parseInt(_0x3ddbe6[0x1],0xa);}break;}else _0x2d652c[_0x24d5e1(0x1c72)]['queue']=0x12c,_0x2d652c[_0x24d5e1(0x1c72)][_0x24d5e1(0xa9c)]=0x12c;_0x2d652c['queue'][_0x24d5e1(0x1142)]&&_0x2d652c['queue'][_0x24d5e1(0x1142)]['toLowerCase']()==='outbound'&&_0x2d652c[_0x24d5e1(0x1c72)][_0x24d5e1(0x8f2)][_0x24d5e1(0x256e)]()===_0x24d5e1(0x3bb)&&(_0x2d652c['queue'][_0x24d5e1(0x1e12)]=_0x2d652c[_0x24d5e1(0x1c72)][_0x24d5e1(0x1d55)]?_0x2d652c['queue'][_0x24d5e1(0x1d55)]['split']('$')[0x0]:undefined,_0x2d652c[_0x24d5e1(0x1c72)][_0x24d5e1(0x1d43)]=_0x2d652c['queue']['callerID']?_0x24d5e1(0x1b60)+_0x2d652c['queue'][_0x24d5e1(0x11be)]:undefined);_0x2d652c[_0x24d5e1(0x2213)]=_0x565f57,_0x2d652c[_0x24d5e1(0x13f3)]=_0x4997c7,_0x548ff9[_0x24d5e1(0x23e0)]('admin')?_0x312c53[_0x24d5e1(0x16f8)][_0x24d5e1(0x16b4)]({'fields':_0x24d5e1(0x45e),'sort':_0x24d5e1(0x19eb),'nolimit':_0x24d5e1(0x1185)})[_0x24d5e1(0x2945)][_0x24d5e1(0x146b)](function(_0x38a79b){const _0xaa3ade=_0x24d5e1;_0x2d652c[_0xaa3ade(0x1b32)]=_0x38a79b[_0xaa3ade(0x19c7)]||[];})['catch'](function(_0x51f43a){const _0x53150c=_0x24d5e1;_0x49efe5[_0x53150c(0x1980)]({'title':_0x51f43a['status']?_0x53150c(0x262a)+_0x51f43a[_0x53150c(0x107b)]+_0x53150c(0x1315)+_0x51f43a['statusText']:_0x53150c(0x22d8),'msg':_0x51f43a[_0x53150c(0x524)]?JSON[_0x53150c(0x10bb)](_0x51f43a['data']):_0x51f43a[_0x53150c(0xd5f)]()});}):_0x312c53[_0x24d5e1(0x16f8)][_0x24d5e1(0x16b4)]({'fields':_0x24d5e1(0x45e),'sort':_0x24d5e1(0x19eb),'nolimit':_0x24d5e1(0x1185)})[_0x24d5e1(0x2945)]['then'](function(_0x34473d){const _0x23f9d6=_0x24d5e1;_0x2d652c['queues']=_0x34473d[_0x23f9d6(0x19c7)]||[];})[_0x24d5e1(0x146b)](function(){const _0x3e0fb8=_0x24d5e1;return _0x312c53['userProfileSection'][_0x3e0fb8(0x16b4)]({'userProfileId':_0x2d652c[_0x3e0fb8(0x2321)][_0x3e0fb8(0x209a)],'sectionId':0x1f5})['$promise'];})[_0x24d5e1(0x146b)](function(_0x443240){const _0x43d794=_0x24d5e1,_0xce9ab5=_0x443240&&_0x443240['rows']?_0x443240[_0x43d794(0x19c7)][0x0]:null;if(!_0xce9ab5){const _0xcb0377=[];let _0x3d18e3=null;_0x2d652c[_0x43d794(0x1c72)]&&(_0x3d18e3=_0x3f65c0()[_0x43d794(0xc84)](_0x2d652c[_0x43d794(0x1b32)],{'name':_0x2d652c['queue'][_0x43d794(0x1c72)]}));for(let _0x504ec1=0x0;_0x504ec1<_0x2d652c[_0x43d794(0x1b32)]['length'];_0x504ec1++){_0x3d18e3&&_0x2d652c[_0x43d794(0x1b32)][_0x504ec1]['id']===_0x3d18e3['id']&&(_0x2d652c['queues'][_0x504ec1]['canSelect']=![],_0xcb0377[_0x43d794(0x1f47)](_0x2d652c['queues'][_0x504ec1]));}_0x2d652c['queues']=_0xcb0377;}else{if(!_0xce9ab5[_0x43d794(0x11d2)])return _0x312c53['userProfileResource'][_0x43d794(0x16b4)]({'sectionId':_0xce9ab5['id']})['$promise'][_0x43d794(0x146b)](function(_0x13c23a){const _0x413892=_0x43d794,_0x106ae5=_0x3f65c0()[_0x413892(0x205)](_0x13c23a[_0x413892(0x19c7)],function(_0x575f31){const _0x547c30=_0x413892;return _0x3f65c0()[_0x547c30(0xc84)](_0x2d652c[_0x547c30(0x1b32)],{'id':_0x575f31[_0x547c30(0x18b8)]});});let _0x54fcfb=null;_0x2d652c[_0x413892(0x1c72)]&&(_0x54fcfb=_0x3f65c0()[_0x413892(0xc84)](_0x2d652c[_0x413892(0x1b32)],{'name':_0x2d652c[_0x413892(0x1c72)][_0x413892(0x1c72)]}));if(_0x54fcfb&&!_0x3f65c0()[_0x413892(0x1360)](_0x106ae5,['id',_0x54fcfb['id']])){const _0x20bd93=_0x3f65c0()[_0x413892(0xc84)](_0x2d652c[_0x413892(0x1b32)],{'id':_0x54fcfb['id']});_0x20bd93[_0x413892(0x8ff)]=![],_0x106ae5['push'](_0x20bd93);}_0x2d652c['queues']=_0x106ae5;});}})['catch'](function(_0x45180d){const _0x483d37=_0x24d5e1;_0x49efe5[_0x483d37(0x1980)]({'title':_0x45180d[_0x483d37(0x107b)]?_0x483d37(0x262a)+_0x45180d[_0x483d37(0x107b)]+'\x20-\x20'+_0x45180d[_0x483d37(0x167f)]:'SYSTEM:GETqueues','msg':_0x45180d[_0x483d37(0x524)]?JSON[_0x483d37(0x10bb)](_0x45180d['data']):_0x45180d[_0x483d37(0xd5f)]()});});function _0x565f57(){const _0x429f5b=_0x24d5e1;_0x2d652c['errors']=[];const _0x138f2b=[];_0x2d652c[_0x429f5b(0x1c72)][_0x429f5b(0x1142)]&&_0x2d652c['queue'][_0x429f5b(0x1142)][_0x429f5b(0x256e)]()===_0x429f5b(0xc9c)&&_0x2d652c[_0x429f5b(0x1c72)][_0x429f5b(0x8f2)]===_0x429f5b(0x118b)&&(_0x2d652c[_0x429f5b(0x1c72)][_0x429f5b(0x1d55)]=_0x49a885['cutdigits']?(_0x2d652c[_0x429f5b(0x1c72)]['prefix']||'')+_0x429f5b(0x2147)+_0x49a885[_0x429f5b(0x1274)]+'}':(_0x2d652c[_0x429f5b(0x1c72)][_0x429f5b(0x1e12)]||'')+_0x429f5b(0x20df),_0x49a885['recordingFormat']!==_0x429f5b(0x1642)?_0x2d652c['queue'][_0x429f5b(0xa08)][_0x429f5b(0x172b)](_0x429f5b(0x1ea4))<0x0&&(_0x2d652c[_0x429f5b(0x1c72)]['options']+=_0x429f5b(0x1ea4)):_0x2d652c[_0x429f5b(0x1c72)][_0x429f5b(0xa08)]=_0x2d652c['queue'][_0x429f5b(0xa08)][_0x429f5b(0x5f4)](_0x429f5b(0x1ea4),''));const _0xa2a326=_0x3f65c0()[_0x429f5b(0xc84)](_0x2d652c[_0x429f5b(0x1b32)],{'name':_0x2d652c[_0x429f5b(0x1c72)][_0x429f5b(0x1c72)]});_0xa2a326&&(_0x2d652c[_0x429f5b(0x1c72)][_0x3f65c0()[_0x429f5b(0x83f)](_0x429f5b(0xa7f))+_0x429f5b(0x23f8)]=_0xa2a326['id']);if(_0x2d652c[_0x429f5b(0x1c72)][_0x429f5b(0x8f2)]&&_0x2d652c[_0x429f5b(0x1c72)][_0x429f5b(0x8f2)]===_0x429f5b(0x1802)){}else switch((_0x2d652c[_0x429f5b(0x1c72)][_0x429f5b(0x1873)]||_0x2d652c[_0x429f5b(0x1c72)][_0x429f5b(0x8f2)])['toLowerCase']()){case _0x429f5b(0x26ba):_0x2d652c[_0x429f5b(0x1c72)][_0x429f5b(0x168a)]=_0x2d652c[_0x429f5b(0x1c72)][_0x429f5b(0x19eb)]+'='+_0x2d652c['queue'][_0x429f5b(0x175d)];break;case _0x429f5b(0x1802):break;default:_0x138f2b[0x0]=_0x2d652c[_0x429f5b(0x1c72)]['queue'],_0x138f2b[0x1]=_0x2d652c[_0x429f5b(0x1c72)]['timeout'],_0x2d652c[_0x429f5b(0x1c72)]['appdata']=_0x138f2b[_0x429f5b(0xb47)](',');}_0x4997c7(_0x2d652c[_0x429f5b(0x1c72)]);}function _0x4997c7(_0x45d580){const _0x365653=_0x24d5e1;_0x5b0eed[_0x365653(0x2458)](_0x45d580);}}const _0x35648b=_0x467cc0;;_0x5c5ff9[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q','toasty',_0x313a4d(0x2265),_0x313a4d(0x189e),'api',_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x5c5ff9(_0xccade1,_0x41c45e,_0x5d345a,_0x5601d9,_0x52ee4e,_0x313829,_0x4abdfb,_0x145db9){const _0xbfee1d=_0x313a4d,_0x3bbd93=this;_0x3bbd93[_0xbfee1d(0x2321)]=_0x4abdfb[_0xbfee1d(0xb12)](),_0x3bbd93['errors']=[],_0x3bbd93[_0xbfee1d(0x1189)]='CHAT.EDIT_'+(_0x5601d9[_0xbfee1d(0x8f2)]||_0x5601d9[_0xbfee1d(0x1873)])[_0xbfee1d(0x1c37)](),_0x3bbd93[_0xbfee1d(0x1d47)]=angular[_0xbfee1d(0x235a)](_0x5601d9),_0x3bbd93[_0xbfee1d(0x2514)]=_0x145db9,_0x3bbd93[_0xbfee1d(0x855)]={};if(_0x3bbd93[_0xbfee1d(0x1d47)][_0xbfee1d(0x168a)])switch(_0x3bbd93[_0xbfee1d(0x1d47)]['appType']?_0x3bbd93[_0xbfee1d(0x1d47)][_0xbfee1d(0x8f2)]['toLowerCase']():_0x3bbd93[_0xbfee1d(0x1d47)][_0xbfee1d(0x1873)]['toLowerCase']()){case _0xbfee1d(0x1802):break;case _0xbfee1d(0xeaa):{const _0x5e74b9=_0x3bbd93['system'][_0xbfee1d(0x168a)][_0xbfee1d(0x10c8)](',');_0x3bbd93[_0xbfee1d(0x1d47)][_0xbfee1d(0x2293)]=_0x5e74b9[0x0],_0x3bbd93[_0xbfee1d(0x1d47)][_0xbfee1d(0x90b)]=_0x5e74b9[0x1],_0x3bbd93[_0xbfee1d(0x1d47)][_0xbfee1d(0xaf3)]=_0x5e74b9['slice'](0x2,_0x5e74b9[_0xbfee1d(0x402)])[_0xbfee1d(0xb47)](',');}break;case _0xbfee1d(0xa46):{const _0x2a48c4=_0x3bbd93['system']['appdata'][_0xbfee1d(0x10c8)](',');_0x3bbd93[_0xbfee1d(0x1d47)]['projectId']=_0x2a48c4[0x0],_0x3bbd93[_0xbfee1d(0x1d47)][_0xbfee1d(0x1392)]=_0x2a48c4[0x1],_0x3bbd93[_0xbfee1d(0x1d47)]['privateKey']=_0x2a48c4[0x2],_0x3bbd93[_0xbfee1d(0x1d47)][_0xbfee1d(0x90b)]=_0x2a48c4[0x3],_0x3bbd93[_0xbfee1d(0x1d47)][_0xbfee1d(0xaf3)]=_0x2a48c4['slice'](0x4,_0x2a48c4[_0xbfee1d(0x402)])[_0xbfee1d(0xb47)](',');}break;case'amazonlex':{const _0x63b13f=_0x3bbd93[_0xbfee1d(0x1d47)][_0xbfee1d(0x168a)][_0xbfee1d(0x10c8)](',');_0x3bbd93[_0xbfee1d(0x1d47)][_0xbfee1d(0x1651)]=_0x63b13f[0x0],_0x3bbd93['system']['secretaccesskey']=_0x63b13f[0x1],_0x3bbd93[_0xbfee1d(0x1d47)][_0xbfee1d(0x1039)]=_0x63b13f[0x2],_0x3bbd93[_0xbfee1d(0x1d47)][_0xbfee1d(0x8dd)]=_0x63b13f[0x3],_0x3bbd93[_0xbfee1d(0x1d47)]['welcomemessage']=_0x63b13f['slice'](0x4,_0x63b13f[_0xbfee1d(0x402)])[_0xbfee1d(0xb47)](',');}break;case'autoreply':{const _0x129142=_0x3bbd93[_0xbfee1d(0x1d47)][_0xbfee1d(0x168a)]['split'](',');_0x3bbd93[_0xbfee1d(0x1d47)]['times']=isNaN(_0x129142[0x0])?_0x129142[0x0]:parseInt(_0x129142[0x0],0xa),_0x3bbd93[_0xbfee1d(0x1d47)][_0xbfee1d(0xa8d)]=_0x129142[_0xbfee1d(0x1298)](0x1,_0x129142['length'])[_0xbfee1d(0xb47)](',');}break;case _0xbfee1d(0x7fd):_0x3bbd93[_0xbfee1d(0x1d47)]['text']=_0x3bbd93[_0xbfee1d(0x1d47)]['appdata'];break;case _0xbfee1d(0x26ba):_0x3bbd93[_0xbfee1d(0x1d47)]['name']=_0x3bbd93[_0xbfee1d(0x1d47)][_0xbfee1d(0x168a)][_0xbfee1d(0x10c8)]('=')[0x0],_0x3bbd93[_0xbfee1d(0x1d47)][_0xbfee1d(0x175d)]=_0x3bbd93[_0xbfee1d(0x1d47)][_0xbfee1d(0x168a)][_0xbfee1d(0x10c8)]('=')[0x1];break;case _0xbfee1d(0x1ecb):_0x3bbd93[_0xbfee1d(0x1d47)][_0xbfee1d(0x9e0)]=_0x3bbd93[_0xbfee1d(0x1d47)][_0xbfee1d(0x168a)];break;default:{const _0x382d36=_0x3bbd93[_0xbfee1d(0x1d47)][_0xbfee1d(0x168a)][_0xbfee1d(0x10c8)](',');_0x3bbd93[_0xbfee1d(0x1d47)][_0xbfee1d(0x23e9)]=_0x3f65c0()[_0xbfee1d(0x2635)](_0x382d36[0x0])?_0x382d36[0x0]:isNaN(_0x382d36[0x0])?_0x382d36[0x0]:parseInt(_0x382d36[0x0],0xa),_0x3bbd93[_0xbfee1d(0x1d47)][_0xbfee1d(0x212)]=_0x3f65c0()[_0xbfee1d(0x2635)](_0x382d36[0x1])?_0x382d36[0x1]:isNaN(_0x382d36[0x1])?_0x382d36[0x1]:parseInt(_0x382d36[0x1],0xa);}break;}else _0x3bbd93[_0xbfee1d(0x1d47)][_0xbfee1d(0x212)]='';_0x3bbd93[_0xbfee1d(0x1d47)][_0xbfee1d(0x1142)]&&_0x3bbd93[_0xbfee1d(0x1d47)]['type'][_0xbfee1d(0x256e)]()===_0xbfee1d(0xc9c)&&_0x3bbd93['system'][_0xbfee1d(0x8f2)][_0xbfee1d(0x256e)]()==='outbounddial'&&(_0x3bbd93[_0xbfee1d(0x1d47)][_0xbfee1d(0x1e12)]=_0x3bbd93['system'][_0xbfee1d(0x1d55)]?_0x3bbd93['system'][_0xbfee1d(0x1d55)][_0xbfee1d(0x10c8)]('$')[0x0]:undefined,_0x3bbd93['system'][_0xbfee1d(0x1d43)]=_0x3bbd93[_0xbfee1d(0x1d47)][_0xbfee1d(0x11be)]?_0xbfee1d(0x1b60)+_0x3bbd93[_0xbfee1d(0x1d47)][_0xbfee1d(0x11be)]:undefined);_0x3bbd93['saveChatWebsiteApp']=_0x40fd80,_0x3bbd93[_0xbfee1d(0x13f3)]=_0x1661d5,_0x4abdfb['hasRole'](_0xbfee1d(0x174b))?_0x313829[_0xbfee1d(0x212)]['get']({'fields':'id,name','sort':'name','nolimit':'true'})[_0xbfee1d(0x2945)]['then'](function(_0x3a316f){const _0x48f12e=_0xbfee1d;_0x3bbd93[_0x48f12e(0x85b)]=_0x3a316f[_0x48f12e(0x19c7)]||[];})[_0xbfee1d(0x129e)](function(_0x3b779b){const _0x3f14c2=_0xbfee1d;_0x5d345a[_0x3f14c2(0x1980)]({'title':_0x3b779b['status']?_0x3f14c2(0x262a)+_0x3b779b[_0x3f14c2(0x107b)]+_0x3f14c2(0x1315)+_0x3b779b[_0x3f14c2(0x167f)]:_0x3f14c2(0x84b),'msg':_0x3b779b[_0x3f14c2(0x524)]?JSON[_0x3f14c2(0x10bb)](_0x3b779b[_0x3f14c2(0x524)]):_0x3b779b['toString']()});}):_0x313829['variable'][_0xbfee1d(0x16b4)]({'fields':'id,name','sort':'name','nolimit':'true'})[_0xbfee1d(0x2945)][_0xbfee1d(0x146b)](function(_0x46d415){const _0x444749=_0xbfee1d;_0x3bbd93['variables']=_0x46d415[_0x444749(0x19c7)]||[];})[_0xbfee1d(0x146b)](function(){const _0x302deb=_0xbfee1d;return _0x313829[_0x302deb(0x1366)][_0x302deb(0x16b4)]({'userProfileId':_0x3bbd93['currentUser'][_0x302deb(0x209a)],'sectionId':0x3f4})['$promise'];})[_0xbfee1d(0x146b)](function(_0x27f764){const _0x4d5bcf=_0xbfee1d,_0x4b278b=_0x27f764&&_0x27f764[_0x4d5bcf(0x19c7)]?_0x27f764[_0x4d5bcf(0x19c7)][0x0]:null;if(!_0x4b278b){const _0x46ff45=[];let _0x3c744b=null;_0x3bbd93[_0x4d5bcf(0x1d47)]&&(_0x3c744b=_0x3f65c0()[_0x4d5bcf(0xc84)](_0x3bbd93[_0x4d5bcf(0x85b)],{'name':_0x3bbd93['system'][_0x4d5bcf(0x212)]}));for(let _0x3c1361=0x0;_0x3c1361<_0x3bbd93['variables']['length'];_0x3c1361++){_0x3c744b&&_0x3bbd93['variables'][_0x3c1361]['id']===_0x3c744b['id']&&(_0x3bbd93['variables'][_0x3c1361][_0x4d5bcf(0x8ff)]=![],_0x46ff45['push'](_0x3bbd93[_0x4d5bcf(0x85b)][_0x3c1361]));}_0x3bbd93[_0x4d5bcf(0x85b)]=_0x46ff45;}else{if(!_0x4b278b[_0x4d5bcf(0x11d2)])return _0x313829[_0x4d5bcf(0xdcc)][_0x4d5bcf(0x16b4)]({'sectionId':_0x4b278b['id']})[_0x4d5bcf(0x2945)]['then'](function(_0x980bc8){const _0x1e9d80=_0x4d5bcf,_0x452c2b=_0x3f65c0()[_0x1e9d80(0x205)](_0x980bc8[_0x1e9d80(0x19c7)],function(_0x240bbe){const _0x1a47e9=_0x1e9d80;return _0x3f65c0()['find'](_0x3bbd93[_0x1a47e9(0x85b)],{'id':_0x240bbe['resourceId']});});let _0x1dd706=null;_0x3bbd93[_0x1e9d80(0x1d47)]&&(_0x1dd706=_0x3f65c0()['find'](_0x3bbd93['variables'],{'name':_0x3bbd93[_0x1e9d80(0x1d47)]['variable']}));if(_0x1dd706&&!_0x3f65c0()['some'](_0x452c2b,['id',_0x1dd706['id']])){const _0x4af177=_0x3f65c0()[_0x1e9d80(0xc84)](_0x3bbd93[_0x1e9d80(0x85b)],{'id':_0x1dd706['id']});_0x4af177[_0x1e9d80(0x8ff)]=![],_0x452c2b[_0x1e9d80(0x1f47)](_0x4af177);}_0x3bbd93['variables']=_0x452c2b;});}})[_0xbfee1d(0x129e)](function(_0x7fc82a){const _0x53066f=_0xbfee1d;_0x5d345a['error']({'title':_0x7fc82a[_0x53066f(0x107b)]?_0x53066f(0x262a)+_0x7fc82a['status']+_0x53066f(0x1315)+_0x7fc82a[_0x53066f(0x167f)]:_0x53066f(0x493),'msg':_0x7fc82a[_0x53066f(0x524)]?JSON[_0x53066f(0x10bb)](_0x7fc82a[_0x53066f(0x524)]):_0x7fc82a[_0x53066f(0xd5f)]()});});function _0x40fd80(){const _0xd60431=_0xbfee1d;_0x3bbd93[_0xd60431(0xcef)]=[];const _0x3c4888=[];_0x3bbd93[_0xd60431(0x1d47)]['type']&&_0x3bbd93['system'][_0xd60431(0x1142)][_0xd60431(0x256e)]()===_0xd60431(0xc9c)&&_0x3bbd93[_0xd60431(0x1d47)][_0xd60431(0x8f2)]==='outboundDial'&&(_0x3bbd93[_0xd60431(0x1d47)]['phone']=_0x52ee4e[_0xd60431(0x1274)]?(_0x3bbd93[_0xd60431(0x1d47)][_0xd60431(0x1e12)]||'')+'${EXTEN:'+_0x52ee4e[_0xd60431(0x1274)]+'}':(_0x3bbd93[_0xd60431(0x1d47)][_0xd60431(0x1e12)]||'')+_0xd60431(0x20df),_0x52ee4e[_0xd60431(0x1425)]!==_0xd60431(0x1642)?_0x3bbd93['system']['options'][_0xd60431(0x172b)](_0xd60431(0x1ea4))<0x0&&(_0x3bbd93[_0xd60431(0x1d47)][_0xd60431(0xa08)]+=_0xd60431(0x1ea4)):_0x3bbd93[_0xd60431(0x1d47)]['options']=_0x3bbd93['system'][_0xd60431(0xa08)]['replace'](_0xd60431(0x1ea4),''));if(_0x3bbd93[_0xd60431(0x1d47)]['appType']&&_0x3bbd93[_0xd60431(0x1d47)]['appType']===_0xd60431(0x1802)){}else switch((_0x3bbd93[_0xd60431(0x1d47)][_0xd60431(0x1873)]||_0x3bbd93['system'][_0xd60431(0x8f2)])[_0xd60431(0x256e)]()){case _0xd60431(0x26ba):_0x3bbd93[_0xd60431(0x1d47)][_0xd60431(0x168a)]=_0x3bbd93['system'][_0xd60431(0x19eb)]+'='+_0x3bbd93[_0xd60431(0x1d47)][_0xd60431(0x175d)];break;case'custom':break;default:_0x3c4888[0x0]=_0x3bbd93[_0xd60431(0x1d47)][_0xd60431(0x23e9)],_0x3c4888[0x1]=_0x3bbd93[_0xd60431(0x1d47)][_0xd60431(0x212)],_0x3bbd93[_0xd60431(0x1d47)][_0xd60431(0x168a)]=_0x3c4888[_0xd60431(0xb47)](',');}_0x1661d5(_0x3bbd93['system']);}function _0x1661d5(_0x48eb7e){_0xccade1['hide'](_0x48eb7e);}}const _0x1331e6=_0x5c5ff9;;_0xed959d[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q','toasty',_0x313a4d(0x2265),'chatWebsite',_0x313a4d(0x247f),'Auth','crudPermissions'];function _0xed959d(_0x346dac,_0x3cbcd2,_0x3f6578,_0x1a5592,_0x3b91a4,_0xe7359,_0x47ac0f,_0x496713){const _0x14a953=_0x313a4d,_0x7b6f3e=this;_0x7b6f3e[_0x14a953(0x2321)]=_0x47ac0f[_0x14a953(0xb12)](),_0x7b6f3e[_0x14a953(0xcef)]=[],_0x7b6f3e[_0x14a953(0x1189)]='CHAT.EDIT_'+(_0x1a5592[_0x14a953(0x8f2)]||_0x1a5592[_0x14a953(0x1873)])[_0x14a953(0x1c37)](),_0x7b6f3e[_0x14a953(0xa80)]=angular['copy'](_0x1a5592),_0x7b6f3e['crudPermissions']=_0x496713,_0x7b6f3e[_0x14a953(0x855)]={};if(_0x7b6f3e['tag'][_0x14a953(0x168a)])switch(_0x7b6f3e['tag'][_0x14a953(0x8f2)]?_0x7b6f3e['tag']['appType']['toLowerCase']():_0x7b6f3e[_0x14a953(0xa80)][_0x14a953(0x1873)][_0x14a953(0x256e)]()){case'custom':break;case _0x14a953(0xeaa):{const _0x29be57=_0x7b6f3e[_0x14a953(0xa80)]['appdata'][_0x14a953(0x10c8)](',');_0x7b6f3e[_0x14a953(0xa80)][_0x14a953(0x2293)]=_0x29be57[0x0],_0x7b6f3e[_0x14a953(0xa80)][_0x14a953(0x90b)]=_0x29be57[0x1],_0x7b6f3e['tag'][_0x14a953(0xaf3)]=_0x29be57[_0x14a953(0x1298)](0x2,_0x29be57[_0x14a953(0x402)])[_0x14a953(0xb47)](',');}break;case _0x14a953(0xa46):{const _0x3f7252=_0x7b6f3e[_0x14a953(0xa80)][_0x14a953(0x168a)][_0x14a953(0x10c8)](',');_0x7b6f3e[_0x14a953(0xa80)][_0x14a953(0x153a)]=_0x3f7252[0x0],_0x7b6f3e[_0x14a953(0xa80)]['clientEmail']=_0x3f7252[0x1],_0x7b6f3e[_0x14a953(0xa80)][_0x14a953(0x2217)]=_0x3f7252[0x2],_0x7b6f3e[_0x14a953(0xa80)]['language']=_0x3f7252[0x3],_0x7b6f3e['tag'][_0x14a953(0xaf3)]=_0x3f7252[_0x14a953(0x1298)](0x4,_0x3f7252[_0x14a953(0x402)])[_0x14a953(0xb47)](',');}break;case _0x14a953(0x17d6):{const _0x4258d1=_0x7b6f3e['tag']['appdata'][_0x14a953(0x10c8)](',');_0x7b6f3e['tag']['accesskeyid']=_0x4258d1[0x0],_0x7b6f3e[_0x14a953(0xa80)][_0x14a953(0x252)]=_0x4258d1[0x1],_0x7b6f3e['tag'][_0x14a953(0x1039)]=_0x4258d1[0x2],_0x7b6f3e['tag'][_0x14a953(0x8dd)]=_0x4258d1[0x3],_0x7b6f3e[_0x14a953(0xa80)][_0x14a953(0xaf3)]=_0x4258d1[_0x14a953(0x1298)](0x4,_0x4258d1['length'])['join'](',');}break;case _0x14a953(0x1713):{const _0x43f4d6=_0x7b6f3e[_0x14a953(0xa80)][_0x14a953(0x168a)][_0x14a953(0x10c8)](',');_0x7b6f3e[_0x14a953(0xa80)][_0x14a953(0x583)]=isNaN(_0x43f4d6[0x0])?_0x43f4d6[0x0]:parseInt(_0x43f4d6[0x0],0xa),_0x7b6f3e['tag'][_0x14a953(0xa8d)]=_0x43f4d6['slice'](0x1,_0x43f4d6['length'])[_0x14a953(0xb47)](',');}break;case _0x14a953(0x7fd):_0x7b6f3e['tag'][_0x14a953(0xa8d)]=_0x7b6f3e[_0x14a953(0xa80)][_0x14a953(0x168a)];break;case _0x14a953(0x26ba):_0x7b6f3e[_0x14a953(0xa80)]['name']=_0x7b6f3e['tag'][_0x14a953(0x168a)][_0x14a953(0x10c8)]('=')[0x0],_0x7b6f3e[_0x14a953(0xa80)]['value']=_0x7b6f3e[_0x14a953(0xa80)]['appdata'][_0x14a953(0x10c8)]('=')[0x1];break;case'agi':_0x7b6f3e['tag']['project']=_0x7b6f3e['tag'][_0x14a953(0x168a)];break;default:{const _0x3e1a41=_0x7b6f3e[_0x14a953(0xa80)][_0x14a953(0x168a)][_0x14a953(0x10c8)](',');_0x7b6f3e[_0x14a953(0xa80)]['tag']=_0x3f65c0()[_0x14a953(0x2635)](_0x3e1a41[0x0])?_0x3e1a41[0x0]:isNaN(_0x3e1a41[0x0])?_0x3e1a41[0x0]:parseInt(_0x3e1a41[0x0],0xa);}break;}else{}_0x7b6f3e[_0x14a953(0xa80)][_0x14a953(0x1142)]&&_0x7b6f3e[_0x14a953(0xa80)][_0x14a953(0x1142)][_0x14a953(0x256e)]()===_0x14a953(0xc9c)&&_0x7b6f3e[_0x14a953(0xa80)][_0x14a953(0x8f2)][_0x14a953(0x256e)]()==='outbounddial'&&(_0x7b6f3e[_0x14a953(0xa80)][_0x14a953(0x1e12)]=_0x7b6f3e['tag']['phone']?_0x7b6f3e['tag'][_0x14a953(0x1d55)][_0x14a953(0x10c8)]('$')[0x0]:undefined,_0x7b6f3e[_0x14a953(0xa80)][_0x14a953(0x1d43)]=_0x7b6f3e[_0x14a953(0xa80)][_0x14a953(0x11be)]?_0x14a953(0x1b60)+_0x7b6f3e[_0x14a953(0xa80)][_0x14a953(0x11be)]:undefined);_0x7b6f3e[_0x14a953(0x2213)]=_0x36b66f,_0x7b6f3e[_0x14a953(0x13f3)]=_0x1a06de,_0x47ac0f['hasRole'](_0x14a953(0x174b))?_0xe7359[_0x14a953(0xa80)][_0x14a953(0x16b4)]({'fields':_0x14a953(0x7a7),'sort':_0x14a953(0x19eb),'nolimit':_0x14a953(0x1185)})[_0x14a953(0x2945)][_0x14a953(0x146b)](function(_0x218dda){const _0x56fd3e=_0x14a953;_0x7b6f3e['tags']=_0x218dda[_0x56fd3e(0x19c7)]||[];})[_0x14a953(0x129e)](function(_0x172f7b){const _0x43a340=_0x14a953;_0x3f6578['error']({'title':_0x172f7b[_0x43a340(0x107b)]?_0x43a340(0x262a)+_0x172f7b[_0x43a340(0x107b)]+_0x43a340(0x1315)+_0x172f7b['statusText']:'SYSTEM:GET_TAGS','msg':_0x172f7b['data']?JSON['stringify'](_0x172f7b['data']):_0x172f7b[_0x43a340(0xd5f)]()});}):_0xe7359[_0x14a953(0xa80)][_0x14a953(0x16b4)]({'fields':_0x14a953(0x7a7),'sort':'name','nolimit':_0x14a953(0x1185)})[_0x14a953(0x2945)]['then'](function(_0x2ac3e7){const _0x411689=_0x14a953;_0x7b6f3e[_0x411689(0x1b86)]=_0x2ac3e7[_0x411689(0x19c7)]||[];})[_0x14a953(0x146b)](function(){const _0x4e90a6=_0x14a953;return _0xe7359[_0x4e90a6(0x1366)][_0x4e90a6(0x16b4)]({'userProfileId':_0x7b6f3e[_0x4e90a6(0x2321)][_0x4e90a6(0x209a)],'sectionId':0x3f0})[_0x4e90a6(0x2945)];})[_0x14a953(0x146b)](function(_0x1cb8a0){const _0x5cdb4d=_0x14a953,_0x1cfc7=_0x1cb8a0&&_0x1cb8a0[_0x5cdb4d(0x19c7)]?_0x1cb8a0[_0x5cdb4d(0x19c7)][0x0]:null;if(!_0x1cfc7){const _0x4dc32a=[];let _0x3a6778=null;_0x7b6f3e[_0x5cdb4d(0xa80)]&&(_0x3a6778=_0x3f65c0()['find'](_0x7b6f3e[_0x5cdb4d(0x1b86)],{'name':_0x7b6f3e['tag'][_0x5cdb4d(0xa80)]}));for(let _0x3ea5c0=0x0;_0x3ea5c0<_0x7b6f3e[_0x5cdb4d(0x1b86)][_0x5cdb4d(0x402)];_0x3ea5c0++){_0x3a6778&&_0x7b6f3e[_0x5cdb4d(0x1b86)][_0x3ea5c0]['id']===_0x3a6778['id']&&(_0x7b6f3e[_0x5cdb4d(0x1b86)][_0x3ea5c0][_0x5cdb4d(0x8ff)]=![],_0x4dc32a['push'](_0x7b6f3e[_0x5cdb4d(0x1b86)][_0x3ea5c0]));}_0x7b6f3e[_0x5cdb4d(0x1b86)]=_0x4dc32a;}else{if(!_0x1cfc7['autoAssociation'])return _0xe7359['userProfileResource'][_0x5cdb4d(0x16b4)]({'sectionId':_0x1cfc7['id']})['$promise']['then'](function(_0x1b338d){const _0x2ffc3f=_0x5cdb4d,_0x2fd207=_0x3f65c0()['map'](_0x1b338d[_0x2ffc3f(0x19c7)],function(_0x167863){const _0x18f6d3=_0x2ffc3f;return _0x3f65c0()[_0x18f6d3(0xc84)](_0x7b6f3e[_0x18f6d3(0x1b86)],{'id':_0x167863['resourceId']});});let _0x25386b=null;_0x7b6f3e[_0x2ffc3f(0xa80)]&&(_0x25386b=_0x3f65c0()[_0x2ffc3f(0xc84)](_0x7b6f3e[_0x2ffc3f(0x1b86)],{'name':_0x7b6f3e[_0x2ffc3f(0xa80)][_0x2ffc3f(0xa80)]}));if(_0x25386b&&!_0x3f65c0()[_0x2ffc3f(0x1360)](_0x2fd207,['id',_0x25386b['id']])){const _0x3ac3ca=_0x3f65c0()[_0x2ffc3f(0xc84)](_0x7b6f3e[_0x2ffc3f(0x1b86)],{'id':_0x25386b['id']});_0x3ac3ca[_0x2ffc3f(0x8ff)]=![],_0x2fd207[_0x2ffc3f(0x1f47)](_0x3ac3ca);}_0x7b6f3e[_0x2ffc3f(0x1b86)]=_0x2fd207;});}})[_0x14a953(0x129e)](function(_0x5a75b7){const _0x262eaa=_0x14a953;_0x3f6578[_0x262eaa(0x1980)]({'title':_0x5a75b7['status']?'API:'+_0x5a75b7['status']+_0x262eaa(0x1315)+_0x5a75b7[_0x262eaa(0x167f)]:'SYSTEM:GETtags','msg':_0x5a75b7['data']?JSON[_0x262eaa(0x10bb)](_0x5a75b7['data']):_0x5a75b7[_0x262eaa(0xd5f)]()});});function _0x36b66f(){const _0x4ff40e=_0x14a953;_0x7b6f3e['errors']=[];const _0x1c8223=[];_0x7b6f3e['tag'][_0x4ff40e(0x1142)]&&_0x7b6f3e['tag']['type']['toLowerCase']()===_0x4ff40e(0xc9c)&&_0x7b6f3e[_0x4ff40e(0xa80)][_0x4ff40e(0x8f2)]===_0x4ff40e(0x118b)&&(_0x7b6f3e[_0x4ff40e(0xa80)][_0x4ff40e(0x1d55)]=_0x3b91a4['cutdigits']?(_0x7b6f3e[_0x4ff40e(0xa80)][_0x4ff40e(0x1e12)]||'')+_0x4ff40e(0x2147)+_0x3b91a4[_0x4ff40e(0x1274)]+'}':(_0x7b6f3e[_0x4ff40e(0xa80)][_0x4ff40e(0x1e12)]||'')+'${EXTEN}',_0x3b91a4[_0x4ff40e(0x1425)]!=='none'?_0x7b6f3e['tag'][_0x4ff40e(0xa08)][_0x4ff40e(0x172b)]('U(xcally-mixmonitor-context)')<0x0&&(_0x7b6f3e[_0x4ff40e(0xa80)][_0x4ff40e(0xa08)]+='U(xcally-mixmonitor-context)'):_0x7b6f3e[_0x4ff40e(0xa80)][_0x4ff40e(0xa08)]=_0x7b6f3e[_0x4ff40e(0xa80)][_0x4ff40e(0xa08)][_0x4ff40e(0x5f4)]('U(xcally-mixmonitor-context)',''));const _0x21d8a8=_0x3f65c0()[_0x4ff40e(0xc84)](_0x7b6f3e['tags'],{'name':_0x7b6f3e[_0x4ff40e(0xa80)][_0x4ff40e(0xa80)]});_0x21d8a8&&(_0x7b6f3e['tag'][_0x4ff40e(0xb0a)]=_0x21d8a8['id']);if(_0x7b6f3e[_0x4ff40e(0xa80)][_0x4ff40e(0x8f2)]&&_0x7b6f3e[_0x4ff40e(0xa80)][_0x4ff40e(0x8f2)]===_0x4ff40e(0x1802)){}else switch((_0x7b6f3e['tag']['app']||_0x7b6f3e[_0x4ff40e(0xa80)][_0x4ff40e(0x8f2)])[_0x4ff40e(0x256e)]()){case _0x4ff40e(0x26ba):_0x7b6f3e[_0x4ff40e(0xa80)][_0x4ff40e(0x168a)]=_0x7b6f3e[_0x4ff40e(0xa80)][_0x4ff40e(0x19eb)]+'='+_0x7b6f3e[_0x4ff40e(0xa80)][_0x4ff40e(0x175d)];break;case _0x4ff40e(0x1802):break;default:_0x1c8223[0x0]=_0x7b6f3e[_0x4ff40e(0xa80)]['tag'],_0x7b6f3e[_0x4ff40e(0xa80)][_0x4ff40e(0x168a)]=_0x1c8223[_0x4ff40e(0xb47)](',');}_0x1a06de(_0x7b6f3e[_0x4ff40e(0xa80)]);}function _0x1a06de(_0x57e85c){_0x346dac['hide'](_0x57e85c);}}const _0x34c4be=_0xed959d;;const _0x39f3f1=_0x4acfac['p']+'src/js/modules/main/apps/chat/views/chatWebsites/edit/chatCannedAnswers/dialog.html/dialog.html';;_0x1f3ea0[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),_0x313a4d(0x910),_0x313a4d(0x1862),'$q',_0x313a4d(0x214b),_0x313a4d(0x1abe),'$mdDialog','$document',_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0xa87)];function _0x1f3ea0(_0x506d2b,_0x401e2b,_0xc5fcc5,_0x24172c,_0x49b332,_0x58718c,_0x581ce7,_0x5a62e4,_0x51505c,_0x1dceaf,_0x7eace7){const _0x580502=_0x313a4d,_0x134251=this;_0x134251['currentUser']=_0x7eace7[_0x580502(0xb12)](),_0x134251[_0x580502(0x189e)]={},_0x134251[_0x580502(0x280f)]={'count':0x0,'rows':[]},_0x134251[_0x580502(0x1510)]=[],_0x134251[_0x580502(0x2514)],_0x134251[_0x580502(0x1a56)]={'fields':_0x580502(0x241e),'limit':0xa,'page':0x1},_0x134251[_0x580502(0x5aa)]=_0x548146,_0x134251['deleteConfirm']=_0x3e03e0,_0x134251['success']=_0x204371,_0x134251[_0x580502(0xa7e)]=_0x3122ac,_0x134251[_0x580502(0x5b3)]=_0x413534,_0x134251['exportSelectedChatWebsiteChatCannedAnswers']=_0x36998b,_0x134251[_0x580502(0x1111)]=_0x45e6ec,_0x134251[_0x580502(0x226c)]=_0x3021de;function _0x548146(_0x11bcc2,_0x3dfd53){const _0x3d8c17=_0x580502;_0x134251[_0x3d8c17(0x189e)]=_0x11bcc2,_0x134251[_0x3d8c17(0x2514)]=typeof _0x3dfd53!==_0x3d8c17(0x2274)?_0x3dfd53:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x134251[_0x3d8c17(0x1a56)][_0x3d8c17(0x1d92)]=_0x134251['chatWebsite']['id'],_0x134251[_0x3d8c17(0x1a56)]['id']=_0x134251[_0x3d8c17(0x189e)]['id'],_0x3122ac();}function _0x3e03e0(_0xd44c3a,_0xaa5625){const _0x6beb6c=_0x580502,_0x1de65c=_0x581ce7[_0x6beb6c(0x1e8a)]()[_0x6beb6c(0x1189)](_0x6beb6c(0xb44))['htmlContent'](''+(_0xd44c3a[_0x6beb6c(0x19eb)]||_0xd44c3a['id']&&_0x3f65c0()[_0x6beb6c(0x21cf)]('chatCannedAnswer\x20#')+_0xd44c3a['id']||_0x6beb6c(0x714))+_0x6beb6c(0x252f)+_0x6beb6c(0xe01))[_0x6beb6c(0x4bd)](_0x6beb6c(0x1540))['targetEvent'](_0xaa5625)['ok']('OK')[_0x6beb6c(0x6c3)]('CANCEL');_0x581ce7['show'](_0x1de65c)[_0x6beb6c(0x146b)](function(){_0x45e6ec(_0xd44c3a);},function(){const _0x31e7e6=_0x6beb6c;console[_0x31e7e6(0x1a74)](_0x31e7e6(0x39a));});}function _0x204371(_0x27ca84){const _0x5ae6d0=_0x580502;_0x134251[_0x5ae6d0(0x280f)]=_0x27ca84||{'count':0x0,'rows':[]};}function _0x3122ac(){const _0x91d800=_0x580502;_0x134251['query']['offset']=(_0x134251[_0x91d800(0x1a56)][_0x91d800(0x844)]-0x1)*_0x134251[_0x91d800(0x1a56)][_0x91d800(0x221e)],_0x134251[_0x91d800(0xb9c)]=_0x1dceaf['chatWebsite'][_0x91d800(0x13e1)](_0x134251['query'],_0x204371)[_0x91d800(0x2945)];}function _0x413534(_0x547794,_0x99c810){const _0x27ee34=_0x580502;_0x581ce7[_0x27ee34(0x2615)]({'controller':_0x27ee34(0x1963),'controllerAs':'vm','templateUrl':_0x39f3f1,'parent':angular[_0x27ee34(0x1853)](_0x5a62e4[_0x27ee34(0x2586)]),'targetEvent':_0x547794,'clickOutsideToClose':!![],'locals':{'chatWebsite':_0x134251['chatWebsite'],'chatCannedAnswer':_0x99c810,'chatCannedAnswers':_0x134251[_0x27ee34(0x280f)][_0x27ee34(0x19c7)],'license':null,'setting':null,'crudPermissions':_0x134251[_0x27ee34(0x2514)]}});}function _0x45e6ec(_0x4df4d7){const _0x5b94e7=_0x580502;_0x1dceaf['cannedAnswer']['delete']({'id':_0x4df4d7['id']})['$promise'][_0x5b94e7(0x146b)](function(){const _0x40c34d=_0x5b94e7;_0x3f65c0()[_0x40c34d(0x2640)](_0x134251[_0x40c34d(0x280f)][_0x40c34d(0x19c7)],{'id':_0x4df4d7['id']}),_0x134251['chatWebsiteChatCannedAnswers'][_0x40c34d(0x51c)]-=0x1,!_0x134251[_0x40c34d(0x280f)][_0x40c34d(0x19c7)][_0x40c34d(0x402)]&&_0x3122ac(),_0x51505c['success']({'title':_0x40c34d(0xa39),'msg':_0x4df4d7['name']?_0x4df4d7[_0x40c34d(0x19eb)]+_0x40c34d(0x23e3):''});})[_0x5b94e7(0x129e)](function(_0x31753b){const _0x56acca=_0x5b94e7;if(_0x31753b[_0x56acca(0x524)]&&_0x31753b[_0x56acca(0x524)][_0x56acca(0xcef)]&&_0x31753b['data'][_0x56acca(0xcef)]['length']){_0x134251[_0x56acca(0xcef)]=_0x31753b[_0x56acca(0x524)][_0x56acca(0xcef)]||[{'message':_0x31753b[_0x56acca(0xd5f)](),'type':_0x56acca(0xfb3)}];for(let _0x1d60fd=0x0;_0x1d60fd<_0x31753b[_0x56acca(0x524)][_0x56acca(0xcef)][_0x56acca(0x402)];_0x1d60fd++){_0x51505c[_0x56acca(0x1980)]({'title':_0x31753b['data']['errors'][_0x1d60fd]['type'],'msg':_0x31753b[_0x56acca(0x524)]['errors'][_0x1d60fd][_0x56acca(0x7fd)]});}}else _0x51505c[_0x56acca(0x1980)]({'title':_0x31753b[_0x56acca(0x107b)]?_0x56acca(0x262a)+_0x31753b[_0x56acca(0x107b)]+'\x20-\x20'+_0x31753b[_0x56acca(0x167f)]:_0x56acca(0xfb3),'msg':_0x31753b[_0x56acca(0x524)]?JSON[_0x56acca(0x10bb)](_0x31753b[_0x56acca(0x524)][_0x56acca(0x7fd)]):_0x31753b[_0x56acca(0x7fd)]||_0x31753b[_0x56acca(0xd5f)]()});});}function _0x36998b(){const _0x38f486=_0x580502,_0x9a82c2=angular[_0x38f486(0x235a)](_0x134251[_0x38f486(0x1510)]);return _0x134251[_0x38f486(0x1510)]=[],_0x9a82c2;}function _0x3021de(_0x5486f3){const _0x3219e1=_0x580502,_0x1aab1a=_0x581ce7[_0x3219e1(0x1e8a)]()[_0x3219e1(0x1189)](_0x3219e1(0x1437))[_0x3219e1(0x1cbe)](_0x3219e1(0x16d3)+_0x134251[_0x3219e1(0x1510)][_0x3219e1(0x402)]+_0x3219e1(0x2452)+'\x20will\x20be\x20deleted.')[_0x3219e1(0x4bd)](_0x3219e1(0x28e))[_0x3219e1(0x1f27)](_0x5486f3)['ok']('OK')[_0x3219e1(0x6c3)](_0x3219e1(0x39a));_0x581ce7['show'](_0x1aab1a)[_0x3219e1(0x146b)](function(){const _0x3684c1=_0x3219e1;_0x134251[_0x3684c1(0x1510)][_0x3684c1(0x1df5)](function(_0x399799){_0x45e6ec(_0x399799);}),_0x134251['selectedChatWebsiteChatCannedAnswers']=[];});}let _0x468b18=!![],_0x5a7c6a=0x1;_0x401e2b[_0x580502(0x21e8)]('vm_dc.query.filter',function(_0x3667b5,_0xed9092){const _0x4727cf=_0x580502;_0x468b18?_0x58718c(function(){_0x468b18=![];}):(!_0xed9092&&(_0x5a7c6a=_0x134251['query'][_0x4727cf(0x844)]),_0x3667b5!==_0xed9092&&(_0x134251['query']['page']=0x1),!_0x3667b5&&(_0x134251[_0x4727cf(0x1a56)][_0x4727cf(0x844)]=_0x5a7c6a),_0x3122ac());});}const _0x43057f=_0x1f3ea0;;_0x5cf7be['$inject']=['$scope',_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),'toasty',_0x313a4d(0x1069),_0x313a4d(0x714),'api','Auth','license',_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x5cf7be(_0x4fb1e7,_0xbf94d7,_0x21eefa,_0x43af18,_0xd769c,_0x4a9587,_0x2a1f3f,_0x54f71d,_0x39019e,_0x383ff8,_0x3ea028,_0x3bb0a7,_0x546ade,_0x5f2723){const _0x27fa1b=_0x313a4d,_0x5dcb46=this;_0x5dcb46[_0x27fa1b(0x2321)]=_0x3ea028[_0x27fa1b(0xb12)](),_0x5dcb46[_0x27fa1b(0xcef)]=[],_0x5dcb46['setting']=_0x546ade,_0x5dcb46[_0x27fa1b(0x2690)]=_0x3bb0a7,_0x5dcb46[_0x27fa1b(0x2514)]=_0x5f2723,_0x5dcb46[_0x27fa1b(0x855)]={},_0x5dcb46[_0x27fa1b(0x2251)]=_0x5dcb46[_0x27fa1b(0x15b9)]&&_0x5dcb46['setting']['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x5dcb46[_0x27fa1b(0x1189)]=_0x27fa1b(0x16d2),_0x5dcb46[_0x27fa1b(0x714)]=angular[_0x27fa1b(0x235a)](_0x39019e),_0x5dcb46[_0x27fa1b(0x1069)]=_0x54f71d,_0x5dcb46[_0x27fa1b(0x28a0)]=![];!_0x5dcb46[_0x27fa1b(0x714)]&&(_0x5dcb46[_0x27fa1b(0x714)]={},_0x5dcb46[_0x27fa1b(0x1189)]=_0x27fa1b(0x1530),_0x5dcb46[_0x27fa1b(0x28a0)]=!![]);_0xbf94d7['params']['id']&&(_0x5dcb46['chatCannedAnswer'][_0x27fa1b(0x1d92)]=_0xbf94d7[_0x27fa1b(0x16a)]['id']);_0x5dcb46[_0x27fa1b(0xf58)]=_0x282296,_0x5dcb46[_0x27fa1b(0x56e)]=_0x354056,_0x5dcb46[_0x27fa1b(0xc9b)]=_0x39b43d,_0x5dcb46['getDateFromString']=_0x4370a3,_0x5dcb46['closeDialog']=_0x21e1ae;function _0x282296(){const _0x4a10fe=_0x27fa1b;_0x5dcb46[_0x4a10fe(0xcef)]=[],_0x383ff8[_0x4a10fe(0x1ada)]['save'](_0x5dcb46[_0x4a10fe(0x714)])['$promise']['then'](function(_0x20cd04){const _0x95d4f9=_0x4a10fe;_0x5dcb46[_0x95d4f9(0x1069)][_0x95d4f9(0xb3d)](_0x20cd04[_0x95d4f9(0x2488)]()),_0x2a1f3f[_0x95d4f9(0x1c75)]({'title':'ChatCannedAnswer\x20properly\x20created','msg':_0x5dcb46[_0x95d4f9(0x714)]['name']?_0x5dcb46['chatCannedAnswer'][_0x95d4f9(0x19eb)]+'\x20has\x20been\x20created!':''}),_0x21e1ae(_0x20cd04);})[_0x4a10fe(0x129e)](function(_0xe68c59){const _0x3c7e5c=_0x4a10fe;if(_0xe68c59['data']&&_0xe68c59[_0x3c7e5c(0x524)][_0x3c7e5c(0xcef)]&&_0xe68c59[_0x3c7e5c(0x524)][_0x3c7e5c(0xcef)][_0x3c7e5c(0x402)]){_0x5dcb46[_0x3c7e5c(0xcef)]=_0xe68c59[_0x3c7e5c(0x524)][_0x3c7e5c(0xcef)]||[{'message':_0xe68c59[_0x3c7e5c(0xd5f)](),'type':'api.cannedAnswer.save'}];for(let _0x3bcc11=0x0;_0x3bcc11<_0xe68c59[_0x3c7e5c(0x524)][_0x3c7e5c(0xcef)][_0x3c7e5c(0x402)];_0x3bcc11+=0x1){_0x2a1f3f[_0x3c7e5c(0x1980)]({'title':_0xe68c59[_0x3c7e5c(0x524)][_0x3c7e5c(0xcef)][_0x3bcc11][_0x3c7e5c(0x1142)],'msg':_0xe68c59['data'][_0x3c7e5c(0xcef)][_0x3bcc11][_0x3c7e5c(0x7fd)]});}}else _0x2a1f3f['error']({'title':_0xe68c59[_0x3c7e5c(0x107b)]?_0x3c7e5c(0x262a)+_0xe68c59[_0x3c7e5c(0x107b)]+'\x20-\x20'+_0xe68c59[_0x3c7e5c(0x167f)]:_0x3c7e5c(0x13f),'msg':_0xe68c59[_0x3c7e5c(0x524)]?JSON[_0x3c7e5c(0x10bb)](_0xe68c59['data']['message']):_0xe68c59[_0x3c7e5c(0xd5f)]()});});}function _0x354056(){const _0x147d05=_0x27fa1b;_0x5dcb46[_0x147d05(0xcef)]=[],_0x383ff8[_0x147d05(0x1ada)][_0x147d05(0x18e1)]({'id':_0x5dcb46[_0x147d05(0x714)]['id']},_0x5dcb46[_0x147d05(0x714)])[_0x147d05(0x2945)][_0x147d05(0x146b)](function(_0x1aae7d){const _0x1f0029=_0x147d05,_0x51ad52=_0x3f65c0()[_0x1f0029(0xc84)](_0x5dcb46[_0x1f0029(0x1069)],{'id':_0x1aae7d['id']});_0x51ad52&&_0x3f65c0()['merge'](_0x51ad52,_0x3f65c0()['pick'](_0x1aae7d[_0x1f0029(0x2488)](),_0x3f65c0()[_0x1f0029(0x627)](_0x51ad52))),_0x2a1f3f[_0x1f0029(0x1c75)]({'title':_0x1f0029(0x1941),'msg':_0x5dcb46[_0x1f0029(0x714)][_0x1f0029(0x19eb)]?_0x5dcb46['chatCannedAnswer'][_0x1f0029(0x19eb)]+_0x1f0029(0x24db):''}),_0x21e1ae(_0x1aae7d);})['catch'](function(_0x28f054){const _0x3640b4=_0x147d05;if(_0x28f054['data']&&_0x28f054['data']['errors']&&_0x28f054[_0x3640b4(0x524)][_0x3640b4(0xcef)][_0x3640b4(0x402)]){_0x5dcb46['errors']=_0x28f054[_0x3640b4(0x524)][_0x3640b4(0xcef)]||[{'message':_0x28f054[_0x3640b4(0xd5f)](),'type':'api.cannedAnswer.update'}];for(let _0xcb7154=0x0;_0xcb7154<_0x28f054['data'][_0x3640b4(0xcef)][_0x3640b4(0x402)];_0xcb7154++){_0x2a1f3f['error']({'title':_0x28f054['data'][_0x3640b4(0xcef)][_0xcb7154][_0x3640b4(0x1142)],'msg':_0x28f054['data']['errors'][_0xcb7154]['message']});}}else _0x2a1f3f['error']({'title':_0x28f054[_0x3640b4(0x107b)]?_0x3640b4(0x262a)+_0x28f054[_0x3640b4(0x107b)]+_0x3640b4(0x1315)+_0x28f054[_0x3640b4(0x167f)]:_0x3640b4(0x11ab),'msg':_0x28f054[_0x3640b4(0x524)]?JSON[_0x3640b4(0x10bb)](_0x28f054['data'][_0x3640b4(0x7fd)]):_0x28f054[_0x3640b4(0xd5f)]()});});}function _0x39b43d(_0x4e399f){const _0x36e06c=_0x27fa1b;_0x5dcb46[_0x36e06c(0xcef)]=[];const _0x51ce03=_0x43af18[_0x36e06c(0x1e8a)]()[_0x36e06c(0x1189)](_0x36e06c(0x1d64))['content'](_0x36e06c(0xd07))[_0x36e06c(0x4bd)](_0x36e06c(0x12bb))['ok']('Delete')[_0x36e06c(0x6c3)](_0x36e06c(0xcf0))['targetEvent'](_0x4e399f);_0x43af18[_0x36e06c(0x2615)](_0x51ce03)['then'](function(){const _0x262f44=_0x36e06c;_0x383ff8[_0x262f44(0x1ada)]['delete']({'id':_0x5dcb46['chatCannedAnswer']['id']})['$promise']['then'](function(){const _0x7336a4=_0x262f44;_0x3f65c0()[_0x7336a4(0x2640)](_0x5dcb46[_0x7336a4(0x1069)],{'id':_0x5dcb46[_0x7336a4(0x714)]['id']}),_0x2a1f3f[_0x7336a4(0x1c75)]({'title':_0x7336a4(0x2553),'msg':(_0x5dcb46[_0x7336a4(0x714)][_0x7336a4(0x19eb)]||'chatCannedAnswer')+'\x20has\x20been\x20deleted!'}),_0x21e1ae(_0x5dcb46[_0x7336a4(0x714)]);})[_0x262f44(0x129e)](function(_0x26c589){const _0x1c7cc9=_0x262f44;if(_0x26c589['data']&&_0x26c589['data'][_0x1c7cc9(0xcef)]&&_0x26c589[_0x1c7cc9(0x524)]['errors'][_0x1c7cc9(0x402)]){_0x5dcb46[_0x1c7cc9(0xcef)]=_0x26c589[_0x1c7cc9(0x524)]['errors']||[{'message':_0x26c589['toString'](),'type':_0x1c7cc9(0xe6a)}];for(let _0x4e7742=0x0;_0x4e7742<_0x26c589[_0x1c7cc9(0x524)][_0x1c7cc9(0xcef)][_0x1c7cc9(0x402)];_0x4e7742++){_0x2a1f3f[_0x1c7cc9(0x1980)]({'title':_0x26c589['data'][_0x1c7cc9(0xcef)][_0x4e7742][_0x1c7cc9(0x1142)],'msg':_0x26c589[_0x1c7cc9(0x524)][_0x1c7cc9(0xcef)][_0x4e7742]['message']});}}else _0x2a1f3f['error']({'title':_0x26c589[_0x1c7cc9(0x107b)]?_0x1c7cc9(0x262a)+_0x26c589[_0x1c7cc9(0x107b)]+_0x1c7cc9(0x1315)+_0x26c589[_0x1c7cc9(0x167f)]:_0x1c7cc9(0xe6a),'msg':_0x26c589[_0x1c7cc9(0x524)]?JSON[_0x1c7cc9(0x10bb)](_0x26c589['data']['message']):_0x26c589['message']||_0x26c589[_0x1c7cc9(0xd5f)]()});});},function(){});}function _0x4370a3(_0x363cf6){return _0x363cf6===null?undefined:new Date(_0x363cf6);}function _0x21e1ae(_0x4cf2ce){const _0x277fbd=_0x27fa1b;_0x43af18[_0x277fbd(0x2458)](_0x4cf2ce);}}const _0x2ace20=_0x5cf7be;;_0xaa518b[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),'$mdDialog',_0x313a4d(0x4d8),'$timeout','$translate',_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87)];function _0xaa518b(_0x98458f,_0x4dc356,_0x5d4fb6,_0x10f75d,_0x5a3ce9,_0x139577,_0x1e592d,_0x336111,_0x2511f7,_0x3b395f,_0x124157){const _0x346786=_0x313a4d,_0x4ae539=this;_0x4ae539['currentUser']=_0x124157[_0x346786(0xb12)](),_0x4ae539[_0x346786(0x2647)]={'count':0x0,'rows':[]},_0x4ae539[_0x346786(0x2ad)]=[],_0x4ae539[_0x346786(0x2514)],_0x4ae539['levels']={'first':_0x346786(0x20c1),'second':_0x346786(0x227b),'third':_0x346786(0x1195)},_0x4ae539['query']={'fields':_0x346786(0x2430),'sort':_0x346786(0x12f2),'limit':0xa,'page':0x1},_0x4ae539[_0x346786(0x5aa)]=_0xccd5b,_0x4ae539[_0x346786(0x19b4)]=_0x142c94,_0x4ae539[_0x346786(0x1c75)]=_0x425202,_0x4ae539['getDispositions']=_0x340e4e,_0x4ae539['createOrEditDisposition']=_0x674e4d,_0x4ae539['deleteDisposition']=_0x167d11,_0x4ae539[_0x346786(0x25f0)]=_0x2a2c8b,_0x4ae539[_0x346786(0x11e4)]=_0x41a6f0,_0x4ae539['deselectDispositions']=_0x53547b,_0x4ae539[_0x346786(0x481)]=_0x2c141b;function _0xccd5b(_0x2eae0b,_0x54c8a1){const _0x2cc8a0=_0x346786;_0x4ae539[_0x2cc8a0(0x189e)]=_0x2eae0b||{},_0x4ae539[_0x2cc8a0(0x2514)]=typeof _0x54c8a1!==_0x2cc8a0(0x2274)?_0x54c8a1:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x4ae539['query'][_0x2cc8a0(0x1d92)]=_0x4ae539[_0x2cc8a0(0x189e)]['id'],_0x4ae539[_0x2cc8a0(0x1a56)]['id']=_0x4ae539['chatWebsite']['id'],_0x340e4e();}function _0x674e4d(_0x174231,_0x4149bb){const _0x4fb8db=_0x346786;_0x5a3ce9[_0x4fb8db(0x2615)]({'controller':_0x4fb8db(0x1a84),'controllerAs':'vm','templateUrl':_0x4f093a,'parent':angular[_0x4fb8db(0x1853)](_0x139577['body']),'targetEvent':_0x174231,'clickOutsideToClose':!![],'locals':{'disposition':_0x4149bb,'model':{'id':_0x4ae539[_0x4fb8db(0x189e)]['id'],'field':_0x4fb8db(0x1d92),'route':'chatWebsite'},'license':null,'setting':null,'crudPermissions':_0x4ae539[_0x4fb8db(0x2514)]}})[_0x4fb8db(0x146b)](function(_0x41dc2f){if(_0x41dc2f)_0x340e4e();});}function _0x142c94(_0x17131d,_0x246165){const _0x35a5bc=_0x346786,_0x2142ed=_0x3f65c0()['some'](_0x4ae539[_0x35a5bc(0x2647)][_0x35a5bc(0x19c7)],[_0x35a5bc(0x11b5),_0x17131d['id']]),_0x3f3215=_0x5a3ce9[_0x35a5bc(0x1e8a)]()[_0x35a5bc(0x1189)](_0x336111['instant'](_0x35a5bc(0x19e6)))[_0x35a5bc(0x80f)](_0x336111[_0x35a5bc(0xde)](_0x35a5bc(0xe8d)+(_0x2142ed?'DISPOSITION_DELETE_MESSAGE_CHILDREN':'DISPOSITION_DELETE_MESSAGE'),{'name':_0x17131d[_0x35a5bc(0x19eb)]}))[_0x35a5bc(0x4bd)](_0x35a5bc(0x27d1))['targetEvent'](_0x246165)['ok']('OK')['cancel'](_0x336111[_0x35a5bc(0xde)]('APP.CANCEL'));_0x5a3ce9[_0x35a5bc(0x2615)](_0x3f3215)[_0x35a5bc(0x146b)](function(){_0x167d11(_0x17131d);});}function _0x425202(_0x30075f){const _0xb78eaf=_0x346786;_0x4ae539[_0xb78eaf(0x2647)]=_0x30075f||{'count':0x0,'rows':[]};}function _0x340e4e(){const _0x596500=_0x346786;_0x4ae539['query']['offset']=(_0x4ae539[_0x596500(0x1a56)]['page']-0x1)*_0x4ae539['query'][_0x596500(0x221e)],_0x4ae539[_0x596500(0xb9c)]=_0x2511f7[_0x596500(0x189e)][_0x596500(0x2451)](_0x4ae539[_0x596500(0x1a56)],_0x425202)[_0x596500(0x2945)];}function _0x167d11(_0x79d36d){const _0x591fb3=_0x346786;_0x2511f7[_0x591fb3(0x9e1)]['delete']({'id':_0x79d36d['id']})[_0x591fb3(0x2945)][_0x591fb3(0x146b)](function(){const _0x3e55a3=_0x591fb3;_0x340e4e(),_0x3b395f[_0x3e55a3(0x1c75)]({'title':_0x336111[_0x3e55a3(0xde)](_0x3e55a3(0xa2f))});})[_0x591fb3(0x129e)](function(_0x1b7636){const _0x333d92=_0x591fb3;if(_0x1b7636[_0x333d92(0x524)]&&_0x1b7636[_0x333d92(0x524)][_0x333d92(0xcef)]&&_0x1b7636[_0x333d92(0x524)][_0x333d92(0xcef)][_0x333d92(0x402)]){_0x4ae539['errors']=_0x1b7636[_0x333d92(0x524)][_0x333d92(0xcef)]||[{'message':_0x1b7636[_0x333d92(0xd5f)](),'type':_0x333d92(0x24a7)}];for(let _0x15f9d7=0x0;_0x15f9d7<_0x1b7636[_0x333d92(0x524)][_0x333d92(0xcef)][_0x333d92(0x402)];_0x15f9d7++){_0x3b395f['error']({'title':_0x1b7636[_0x333d92(0x524)][_0x333d92(0xcef)][_0x15f9d7][_0x333d92(0x1142)],'msg':_0x1b7636[_0x333d92(0x524)][_0x333d92(0xcef)][_0x15f9d7][_0x333d92(0x7fd)]});}}else _0x3b395f['error']({'title':_0x1b7636[_0x333d92(0x107b)]?_0x333d92(0x262a)+_0x1b7636['status']+_0x333d92(0x1315)+_0x1b7636[_0x333d92(0x167f)]:'SYSTEM:DELETEdisposition','msg':_0x1b7636['data']?JSON[_0x333d92(0x10bb)](_0x1b7636[_0x333d92(0x524)][_0x333d92(0x7fd)]):_0x1b7636['message']||_0x1b7636[_0x333d92(0xd5f)]()});});}function _0x2a2c8b(){const _0x4fffa6=_0x346786,_0x2ec3ae=angular[_0x4fffa6(0x235a)](_0x4ae539[_0x4fffa6(0x2ad)]);return _0x4ae539[_0x4fffa6(0x2ad)]=[],_0x2ec3ae;}function _0x41a6f0(_0x558c5d){const _0x527e48=_0x346786,_0xe3c679=_0x5a3ce9[_0x527e48(0x1e8a)]()[_0x527e48(0x1189)](_0x336111[_0x527e48(0xde)]('TOOLS.NOTIFICATIONS.DISPOSITIONS_DELETE_TITLE'))['content'](_0x336111[_0x527e48(0xde)](_0x527e48(0x23ce),{'total':_0x4ae539['selectedDispositions']['length']}))[_0x527e48(0x4bd)](_0x527e48(0x1eb0))[_0x527e48(0x1f27)](_0x558c5d)['ok']('OK')[_0x527e48(0x6c3)](_0x336111['instant'](_0x527e48(0x1161)));_0x5a3ce9[_0x527e48(0x2615)](_0xe3c679)[_0x527e48(0x146b)](function(){const _0x36abf8=_0x527e48;_0x4ae539[_0x36abf8(0x2ad)][_0x36abf8(0x1df5)](function(_0x32baa7){_0x167d11(_0x32baa7);}),_0x4ae539[_0x36abf8(0x2ad)]=[];});}function _0x53547b(){const _0x4bc19f=_0x346786;_0x4ae539[_0x4bc19f(0x2ad)]=[];}function _0x2c141b(){const _0xbe3ac1=_0x346786;_0x4ae539[_0xbe3ac1(0x2ad)]=_0x4ae539[_0xbe3ac1(0x2647)][_0xbe3ac1(0x19c7)];}let _0x287824=!![],_0x3aab95=0x1;_0x98458f[_0x346786(0x21e8)](_0x346786(0x117f),function(_0x5d3f29,_0x39d203){const _0x2e90ea=_0x346786;_0x287824?_0x1e592d(function(){_0x287824=![];}):(!_0x39d203&&(_0x3aab95=_0x4ae539[_0x2e90ea(0x1a56)]['page']),_0x5d3f29!==_0x39d203&&(_0x4ae539[_0x2e90ea(0x1a56)][_0x2e90ea(0x844)]=0x1),!_0x5d3f29&&(_0x4ae539[_0x2e90ea(0x1a56)][_0x2e90ea(0x844)]=_0x3aab95),_0x340e4e());});}const _0x2af6ba=_0xaa518b;;_0x16dfea['$inject']=['$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x247f)];function _0x16dfea(_0x1702c6,_0xaa1810,_0x194402){const _0x7d6665=_0x313a4d,_0x140372=this;_0x140372[_0x7d6665(0x189e)]={},_0x140372[_0x7d6665(0x189)]={},_0x140372[_0x7d6665(0xa1e)]=[{'type':_0x7d6665(0x11f8),'name':_0x7d6665(0x14df),'icon':_0x7d6665(0x1e48)},{'type':_0x7d6665(0x2292),'name':'Select','icon':_0x7d6665(0x526)},{'type':'input','name':_0x7d6665(0x229c),'icon':_0x7d6665(0x1bd8)},{'type':_0x7d6665(0x2350),'name':_0x7d6665(0xd9e),'icon':_0x7d6665(0x110e)},{'type':_0x7d6665(0x1844),'name':_0x7d6665(0x146e),'icon':_0x7d6665(0x22fb)},{'type':_0x7d6665(0x13cb),'name':_0x7d6665(0x2480),'icon':'icon-checkbox-multiple-marked-outline'},{'type':_0x7d6665(0x5ae),'name':'Agreement','icon':_0x7d6665(0x2883)}],_0x140372['init']=_0x59edb2,_0x140372['up']=_0x49134,_0x140372[_0x7d6665(0x1982)]=_0x5b8139,_0x140372[_0x7d6665(0x387)]=_0xf0ede3,_0x140372['remove']=_0x364f65;function _0x59edb2(_0x381dfb){const _0xe8c049=_0x7d6665;_0x140372[_0xe8c049(0x2867)]=[],_0x140372[_0xe8c049(0x10ba)]=[],_0x140372[_0xe8c049(0x1b5b)]=['id','createdAt',_0xe8c049(0x144f),_0xe8c049(0xb7c),'CompanyId',_0xe8c049(0x2945),_0xe8c049(0x26f2)],_0x140372['chatWebsite']=_0x381dfb,_0x3f65c0()[_0xe8c049(0x2635)](_0x140372[_0xe8c049(0x189e)]['offlineForm'])&&(_0x140372['chatWebsite'][_0xe8c049(0x189)]={'items':[],'fromKey':null}),_0x194402[_0xe8c049(0xf03)]['getCustomFields']({'id':_0x140372['chatWebsite'][_0xe8c049(0xb7c)]})[_0xe8c049(0x2945)][_0xe8c049(0x146b)](function(_0x4104f8){const _0x506ba2=_0xe8c049;for(let _0x3fda4b=0x0;_0x3fda4b<_0x4104f8[_0x506ba2(0x19c7)]['length'];_0x3fda4b++){_0x140372[_0x506ba2(0x10ba)][_0x506ba2(0x1f47)]({'key':_0x506ba2(0x21ef)+_0x4104f8['rows'][_0x3fda4b]['id'],'value':_0x4104f8[_0x506ba2(0x19c7)][_0x3fda4b][_0x506ba2(0x280c)]});}return _0x194402[_0x506ba2(0x18c3)][_0x506ba2(0x1ea2)]()[_0x506ba2(0x2945)];})[_0xe8c049(0x146b)](function(_0x396209){const _0x5c4f56=_0xe8c049,_0x22122d=_0x3f65c0()['keys'](_0x396209);for(let _0x59fbc2=0x0;_0x59fbc2<_0x22122d[_0x5c4f56(0x402)];_0x59fbc2++){!_0x3f65c0()[_0x5c4f56(0xa4e)](_0x140372[_0x5c4f56(0x1b5b)],_0x22122d[_0x59fbc2])&&!_0x3f65c0()['startsWith'](_0x22122d[_0x59fbc2],_0x5c4f56(0x21ef))&&_0x140372[_0x5c4f56(0x2867)][_0x5c4f56(0xb3d)]({'key':_0x22122d[_0x59fbc2],'value':_0x22122d[_0x59fbc2]});}return _0x194402['variable']['get']()['$promise'];})[_0xe8c049(0x146b)](function(_0x39c681){const _0x313069=_0xe8c049;_0x140372[_0x313069(0x85b)]=_0x39c681[_0x313069(0x19c7)];})[_0xe8c049(0x129e)](function(_0x3b59c8){const _0x55d8f8=_0xe8c049;console[_0x55d8f8(0x1980)](_0x3b59c8);});}function _0x49134(_0x12abda,_0x58cd06){const _0x14ee84=_0x7d6665;if(_0x58cd06!==0x0){const _0x6c3ef1=_0x140372[_0x14ee84(0x189e)][_0x14ee84(0x189)]['items'][_0x58cd06-0x1];_0x140372['chatWebsite'][_0x14ee84(0x189)][_0x14ee84(0x1fd6)][_0x58cd06]=_0x6c3ef1,_0x140372[_0x14ee84(0x189e)]['offlineForm'][_0x14ee84(0x1fd6)][_0x58cd06-0x1]=angular['copy'](_0x12abda);if(_0x140372[_0x14ee84(0x189e)][_0x14ee84(0x189)][_0x14ee84(0x1f7)]===_0x58cd06)_0x140372[_0x14ee84(0x189e)][_0x14ee84(0x189)][_0x14ee84(0x1f7)]--;else _0x140372[_0x14ee84(0x189e)][_0x14ee84(0x189)][_0x14ee84(0x1f7)]===_0x58cd06-0x1&&_0x140372[_0x14ee84(0x189e)][_0x14ee84(0x189)][_0x14ee84(0x1f7)]++;}}function _0x5b8139(_0x24f359,_0x14c8b1){const _0xeca256=_0x7d6665;if(_0x14c8b1!==_0x140372[_0xeca256(0x189e)][_0xeca256(0x189)][_0xeca256(0x1fd6)][_0xeca256(0x402)]-0x1){const _0x4e988c=_0x140372['chatWebsite'][_0xeca256(0x189)][_0xeca256(0x1fd6)][_0x14c8b1+0x1];_0x140372[_0xeca256(0x189e)][_0xeca256(0x189)][_0xeca256(0x1fd6)][_0x14c8b1]=_0x4e988c,_0x140372[_0xeca256(0x189e)]['offlineForm'][_0xeca256(0x1fd6)][_0x14c8b1+0x1]=angular['copy'](_0x24f359);if(_0x140372[_0xeca256(0x189e)][_0xeca256(0x189)][_0xeca256(0x1f7)]===_0x14c8b1)_0x140372[_0xeca256(0x189e)][_0xeca256(0x189)]['fromKey']++;else _0x140372[_0xeca256(0x189e)][_0xeca256(0x189)][_0xeca256(0x1f7)]===_0x14c8b1+0x1&&_0x140372[_0xeca256(0x189e)][_0xeca256(0x189)][_0xeca256(0x1f7)]--;}}function _0xf0ede3(_0x1d9149){const _0x5db6ea=_0x7d6665;_0x140372[_0x5db6ea(0x189e)][_0x5db6ea(0x189)][_0x5db6ea(0x1fd6)][_0x5db6ea(0x1f47)]({'type':_0x1d9149,'cmField':null,'variable':null});}function _0x364f65(_0x585de4){const _0x284070=_0x7d6665,_0x4a752b=_0x1702c6[_0x284070(0x1e8a)]()[_0x284070(0x1189)](_0x284070(0x2181))[_0x284070(0x199c)](_0x284070(0x1d64))['ariaLabel'](_0x284070(0x14ed))['ok']('Ok')['cancel'](_0x284070(0xcf0));_0x1702c6[_0x284070(0x2615)](_0x4a752b)[_0x284070(0x146b)](function(){const _0x491fd6=_0x284070;_0x140372[_0x491fd6(0x189e)][_0x491fd6(0x189)][_0x491fd6(0x1fd6)][_0x491fd6(0x1f7d)](_0x585de4,0x1);});}}const _0x222367=_0x16dfea;;_0x53c54c[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),_0x313a4d(0x4d8),'api'];function _0x53c54c(_0x32b044,_0xb3d3e6,_0x4375dd){const _0x53da2b=_0x313a4d,_0x296820=this;_0x296820[_0x53da2b(0x189e)]={},_0x296820[_0x53da2b(0x8ae)]={},_0x296820[_0x53da2b(0xa1e)]=[{'type':'label','name':'Label','icon':_0x53da2b(0x1e48)},{'type':_0x53da2b(0x2292),'name':'Select','icon':_0x53da2b(0x526)},{'type':'input','name':_0x53da2b(0x229c),'icon':_0x53da2b(0x1bd8)},{'type':'textarea','name':'Textarea','icon':_0x53da2b(0x110e)},{'type':_0x53da2b(0x1844),'name':_0x53da2b(0x146e),'icon':'icon-radiobox-marked'},{'type':_0x53da2b(0x13cb),'name':_0x53da2b(0x2480),'icon':_0x53da2b(0x19c0)},{'type':'agreement','name':_0x53da2b(0x1297),'icon':'icon-checkbox-marked-outline'}],_0x296820[_0x53da2b(0x5aa)]=_0x4c7b1e,_0x296820['up']=_0x32263d,_0x296820[_0x53da2b(0x1982)]=_0x471e95,_0x296820[_0x53da2b(0x387)]=_0x48298f,_0x296820[_0x53da2b(0x2640)]=_0x2de9f8;function _0x4c7b1e(_0x418161){const _0x970500=_0x53da2b;_0x296820[_0x970500(0x2867)]=[],_0x296820['customFields']=[],_0x296820[_0x970500(0x1b5b)]=['id','createdAt','updatedAt',_0x970500(0xb7c),'CompanyId',_0x970500(0x2945),'$resolved'],_0x296820[_0x970500(0x189e)]=_0x418161,_0x3f65c0()[_0x970500(0x2635)](_0x296820['chatWebsite'][_0x970500(0x8ae)])&&(_0x296820['chatWebsite']['onlineForm']={'items':[],'fromKey':null}),_0x4375dd[_0x970500(0xf03)]['getCustomFields']({'id':_0x296820[_0x970500(0x189e)][_0x970500(0xb7c)]})['$promise'][_0x970500(0x146b)](function(_0x5f542a){const _0x5b93de=_0x970500;for(let _0x424161=0x0;_0x424161<_0x5f542a[_0x5b93de(0x19c7)][_0x5b93de(0x402)];_0x424161++){_0x296820[_0x5b93de(0x10ba)][_0x5b93de(0x1f47)]({'key':_0x5b93de(0x21ef)+_0x5f542a[_0x5b93de(0x19c7)][_0x424161]['id'],'value':_0x5f542a['rows'][_0x424161]['alias']});}return _0x4375dd[_0x5b93de(0x18c3)][_0x5b93de(0x1ea2)]()[_0x5b93de(0x2945)];})[_0x970500(0x146b)](function(_0x36b187){const _0x4cbb66=_0x970500,_0x2382d0=_0x3f65c0()['keys'](_0x36b187);for(let _0x4fd0ff=0x0;_0x4fd0ff<_0x2382d0['length'];_0x4fd0ff++){!_0x3f65c0()[_0x4cbb66(0xa4e)](_0x296820[_0x4cbb66(0x1b5b)],_0x2382d0[_0x4fd0ff])&&!_0x3f65c0()['startsWith'](_0x2382d0[_0x4fd0ff],_0x4cbb66(0x21ef))&&_0x296820[_0x4cbb66(0x2867)][_0x4cbb66(0xb3d)]({'key':_0x2382d0[_0x4fd0ff],'value':_0x2382d0[_0x4fd0ff]});}return _0x4375dd[_0x4cbb66(0x212)][_0x4cbb66(0x16b4)]()['$promise'];})[_0x970500(0x146b)](function(_0x4e257b){const _0x28a743=_0x970500;_0x296820[_0x28a743(0x85b)]=_0x4e257b['rows'];})['catch'](function(_0x37f74a){console['error'](_0x37f74a);});}function _0x32263d(_0x367719,_0x36cd9a){const _0x5402bd=_0x53da2b;if(_0x36cd9a!==0x0){const _0x149fd2=_0x296820[_0x5402bd(0x189e)]['onlineForm'][_0x5402bd(0x1fd6)][_0x36cd9a-0x1];_0x296820[_0x5402bd(0x189e)][_0x5402bd(0x8ae)][_0x5402bd(0x1fd6)][_0x36cd9a]=_0x149fd2,_0x296820[_0x5402bd(0x189e)][_0x5402bd(0x8ae)][_0x5402bd(0x1fd6)][_0x36cd9a-0x1]=angular['copy'](_0x367719);if(_0x296820[_0x5402bd(0x189e)][_0x5402bd(0x8ae)][_0x5402bd(0x1f7)]===_0x36cd9a)_0x296820[_0x5402bd(0x189e)][_0x5402bd(0x8ae)][_0x5402bd(0x1f7)]--;else _0x296820[_0x5402bd(0x189e)][_0x5402bd(0x8ae)][_0x5402bd(0x1f7)]===_0x36cd9a-0x1&&_0x296820[_0x5402bd(0x189e)][_0x5402bd(0x8ae)]['fromKey']++;}}function _0x471e95(_0x311e89,_0x30ae0f){const _0x1e320b=_0x53da2b;if(_0x30ae0f!==_0x296820[_0x1e320b(0x189e)][_0x1e320b(0x8ae)][_0x1e320b(0x1fd6)]['length']-0x1){const _0x29b8fb=_0x296820[_0x1e320b(0x189e)][_0x1e320b(0x8ae)]['items'][_0x30ae0f+0x1];_0x296820[_0x1e320b(0x189e)]['onlineForm'][_0x1e320b(0x1fd6)][_0x30ae0f]=_0x29b8fb,_0x296820[_0x1e320b(0x189e)][_0x1e320b(0x8ae)][_0x1e320b(0x1fd6)][_0x30ae0f+0x1]=angular['copy'](_0x311e89);if(_0x296820[_0x1e320b(0x189e)]['onlineForm'][_0x1e320b(0x1f7)]===_0x30ae0f)_0x296820['chatWebsite']['onlineForm'][_0x1e320b(0x1f7)]++;else _0x296820['chatWebsite'][_0x1e320b(0x8ae)][_0x1e320b(0x1f7)]===_0x30ae0f+0x1&&_0x296820[_0x1e320b(0x189e)][_0x1e320b(0x8ae)]['fromKey']--;}}function _0x48298f(_0x558a2e){const _0x3cf0b0=_0x53da2b;_0x296820[_0x3cf0b0(0x189e)][_0x3cf0b0(0x8ae)][_0x3cf0b0(0x1fd6)][_0x3cf0b0(0x1f47)]({'type':_0x558a2e,'cmField':null,'variable':null});}function _0x2de9f8(_0x4c4aa3){const _0x16257e=_0x53da2b,_0x3dae72=_0x32b044[_0x16257e(0x1e8a)]()[_0x16257e(0x1189)](_0x16257e(0x2181))[_0x16257e(0x199c)]('Are\x20you\x20sure?')['ariaLabel'](_0x16257e(0x14ed))['ok']('Ok')['cancel'](_0x16257e(0xcf0));_0x32b044['show'](_0x3dae72)[_0x16257e(0x146b)](function(){const _0x2d8ef7=_0x16257e;_0x296820[_0x2d8ef7(0x189e)][_0x2d8ef7(0x8ae)][_0x2d8ef7(0x1f7)]===_0x4c4aa3&&(_0x296820[_0x2d8ef7(0x189e)][_0x2d8ef7(0x8ae)]['fromKey']=null),_0x296820[_0x2d8ef7(0x189e)][_0x2d8ef7(0x8ae)]['items'][_0x2d8ef7(0x1f7d)](_0x4c4aa3,0x1),!_0x296820[_0x2d8ef7(0x189e)][_0x2d8ef7(0x8ae)][_0x2d8ef7(0x1fd6)][_0x2d8ef7(0x402)]&&(_0x296820[_0x2d8ef7(0x189e)][_0x2d8ef7(0x8ae)][_0x2d8ef7(0x1f7)]=null);});}}const _0x3e63b4=_0x53c54c;;_0x4ab385[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),_0x313a4d(0x1fe4)];function _0x4ab385(_0x3a6c53,_0xee49a3){const _0x3de55e=_0x313a4d,_0x2c1ad9=this,_0x136ed5=0x8,_0x125489=_0x136ed5*0x400*0x400;_0x2c1ad9[_0x3de55e(0x189e)]={},_0x2c1ad9['crudPermissions'],_0x2c1ad9[_0x3de55e(0x4c3)]={'singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0x125489,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x2c1ad9[_0x3de55e(0x1376)]={'flow':{}},_0x2c1ad9['dropping']=![],_0x2c1ad9[_0x3de55e(0x143d)]=_0x397f3e,_0x2c1ad9['upload']=_0x34b2c4,_0x2c1ad9[_0x3de55e(0xc4c)]=_0x54d566,_0x2c1ad9['init']=_0x4a2788;function _0x4a2788(_0x132b28,_0xc47485){const _0x25489c=_0x3de55e;_0x2c1ad9['chatWebsite']=angular['copy'](_0x132b28),_0x2c1ad9[_0x25489c(0x2514)]=typeof _0xc47485!==_0x25489c(0x2274)?_0xc47485:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x2c1ad9[_0x25489c(0x4c3)][_0x25489c(0xe1d)]=_0x25489c(0x22aa)[_0x25489c(0x5f4)](_0x25489c(0xa55),_0x2c1ad9[_0x25489c(0x189e)]['id']),_0x2c1ad9[_0x25489c(0x189e)][_0x25489c(0x1f07)]=[];}function _0x397f3e(_0x399349){const _0x245c13=_0x3de55e,_0x319d77=[_0x245c13(0xadf),_0x245c13(0x621)];if(!_0x3f65c0()['includes'](_0x319d77,_0x399349[_0x245c13(0x193f)]()))return _0xee49a3[_0x245c13(0x1980)]({'title':_0x245c13(0x4c6)+_0x399349[_0x245c13(0x193f)](),'msg':_0x245c13(0x208f)+_0x319d77[_0x245c13(0xb47)]()}),![];if(_0x399349[_0x245c13(0x4cc)]>_0x125489)return _0xee49a3['error']({'title':_0x245c13(0x625),'msg':_0x245c13(0x923)+_0x136ed5+'MB'}),![];const _0x5b5149={'id':_0x399349[_0x245c13(0x1276)],'file':_0x399349,'type':_0x245c13(0x159c)};return _0x2c1ad9['chatWebsite'][_0x245c13(0x1f07)][_0x245c13(0xb3d)](_0x5b5149),!![];}function _0x34b2c4(){const _0x5c99bc=_0x3de55e;_0x2c1ad9[_0x5c99bc(0x1376)][_0x5c99bc(0x963)][_0x5c99bc(0x1da1)][_0x5c99bc(0xa01)]={'X-Requested-With':'XMLHttpRequest','Authorization':'Bearer\x20'+_0x3a6c53[_0x5c99bc(0x16b4)](_0x5c99bc(0xe2d))},_0x2c1ad9[_0x5c99bc(0x1376)][_0x5c99bc(0x963)][_0x5c99bc(0x1dc6)]();}function _0x54d566(_0x4aa3ce){const _0x3efa49=_0x3de55e;angular[_0x3efa49(0x1df5)](_0x2c1ad9[_0x3efa49(0x189e)]['images'],function(_0x28e4a0){const _0x4d67a0=_0x3efa49;if(_0x28e4a0['id']===_0x4aa3ce[_0x4d67a0(0x1276)]){const _0x188f7e=new FileReader();_0x188f7e[_0x4d67a0(0xa0c)](_0x28e4a0[_0x4d67a0(0x252e)][_0x4d67a0(0x252e)]),_0x188f7e[_0x4d67a0(0x20f6)]=function(_0x129cd8){const _0x2de525=_0x4d67a0;_0x28e4a0['url']=_0x129cd8[_0x2de525(0xe1d)]['result'];},_0x28e4a0['type']='image';}});}}const _0x3db1c1=_0x4ab385;;const _0x270cc0=_0x4acfac['p']+'src/js/modules/main/apps/dashboards/views/general/agent/interaction/agent.general.interaction.dialog.html/agent.general.interaction.dialog.html';;_0x6f95fe[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),_0x313a4d(0x910),'$state','$q',_0x313a4d(0x214b),_0x313a4d(0x1abe),_0x313a4d(0x10e8),'$document',_0x313a4d(0x1fe4),_0x313a4d(0x247f),'Auth'];function _0x6f95fe(_0x29248b,_0x27a440,_0x261657,_0x2e100f,_0x31726d,_0x3fbacf,_0x278ad9,_0x326673,_0xe8a860,_0x3dfb8d,_0x37ca9f){const _0x100563=_0x313a4d,_0x11a023=this;_0x11a023['currentUser']=_0x37ca9f[_0x100563(0xb12)](),_0x11a023[_0x100563(0x189e)]={},_0x11a023[_0x100563(0x6b7)]={'count':0x0,'rows':[]},_0x11a023[_0x100563(0x68a)]=[],_0x11a023['crudPermissions'],_0x11a023['query']={'read':_0x100563(0x203c),'closed':_0x100563(0x203c),'sort':_0x100563(0xd6f),'includeAll':_0x100563(0x1185),'limit':0xa,'page':0x1},_0x11a023[_0x100563(0x5aa)]=_0x58e469,_0x11a023[_0x100563(0x19b4)]=_0x1ac449,_0x11a023[_0x100563(0x2317)]=_0x27a128,_0x11a023[_0x100563(0x1c75)]=_0x319533,_0x11a023[_0x100563(0x1b96)]=_0x124179,_0x11a023['spychatInteraction']=_0x5a4d48,_0x11a023[_0x100563(0x21a6)]=_0x37a113,_0x11a023['exportSelectedChatWebsiteInteractions']=_0x32e9a9,_0x11a023[_0x100563(0x722)]=_0x80f9bf,_0x11a023[_0x100563(0x177f)]=_0x223e6c;function _0x58e469(_0x24766c,_0x24a8ad){const _0xac7ebe=_0x100563;_0x11a023['chatWebsite']=_0x24766c,_0x11a023['crudPermissions']=typeof _0x24a8ad!=='undefined'?_0x24a8ad:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x11a023[_0xac7ebe(0x1a56)]['ChatWebsiteId']=_0x11a023[_0xac7ebe(0x189e)]['id'],_0x11a023[_0xac7ebe(0xe93)]={'fields':_0x23423c()},_0x50ba4c();}function _0x50ba4c(){const _0x567a7f=_0x100563;return _0x3dfb8d['tag'][_0x567a7f(0x16b4)]()[_0x567a7f(0x2945)][_0x567a7f(0x146b)](function(_0x22139b){const _0x207db7=_0x567a7f;_0x11a023[_0x207db7(0x1b86)]=_0x22139b||{'count':0x0,'rows':[]};})[_0x567a7f(0x146b)](function(){const _0x2a5111=_0x567a7f;_0x11a023[_0x2a5111(0x28af)]=_0x4e1c73();});}function _0x4e1c73(){const _0x94bd2c=_0x100563;return[{'name':'Start\x20Date','key':'createdAt','type':_0x94bd2c(0x1a10),'label':_0x94bd2c(0x2902)},{'name':_0x94bd2c(0x1c7c),'key':'read','type':_0x94bd2c(0xa5f),'label':_0x94bd2c(0x8f5),'customOptions':[{'value':0x0,'translate':_0x94bd2c(0x33f)},{'value':0x1,'translate':_0x94bd2c(0x1ce6)},{'value':null,'translate':'DASHBOARDS.ALL'}]},{'name':_0x94bd2c(0x74e),'key':_0x94bd2c(0x1943),'type':_0x94bd2c(0xa5f),'label':_0x94bd2c(0x1682),'customOptions':[{'value':0x0,'translate':_0x94bd2c(0x143b)},{'value':0x1,'translate':_0x94bd2c(0x1805)},{'value':null,'translate':_0x94bd2c(0x175e)}]},{'name':'Agent','key':_0x94bd2c(0xea2),'type':_0x94bd2c(0xa5f),'label':_0x94bd2c(0x127),'customOptions':[{'value':'null','translate':'DASHBOARDS.NOT_ASSIGNED'},{'value':undefined,'translate':'DASHBOARDS.ALL'}]},{'name':_0x94bd2c(0x23a8),'key':'tag','type':'multiselect','label':_0x94bd2c(0x1c0e),'options':_0x11a023[_0x94bd2c(0x1b86)][_0x94bd2c(0x19c7)],'placeholder':_0x94bd2c(0x66f)}];}function _0x23423c(){const _0x531957=_0x100563;return[{'name':'Id','column':'id','type':_0x531957(0x181)},{'name':_0x531957(0x364),'column':_0x531957(0x364),'type':_0x531957(0x14ee),'options':{'searchFields':[_0x531957(0x1ed4),_0x531957(0xee4),_0x531957(0x1a2b)],'route':{'model':_0x531957(0x18c3),'action':_0x531957(0x16b4),'params':{'fields':_0x531957(0x992),'Contact':_0x531957(0x3fb),'nolimit':!![]}},'extraOperators':[_0x531957(0xacb)],'excludedOperators':[_0x531957(0x1671)]}},{'name':_0x531957(0x11e1),'column':_0x531957(0xe32),'type':'text','options':{'excludedOperators':[_0x531957(0x6be),_0x531957(0x1671)]}},{'name':_0x531957(0x710),'column':_0x531957(0xb80),'type':_0x531957(0xa8d),'options':{'excludedOperators':['$eq',_0x531957(0x1671)]}},{'name':_0x531957(0x74e),'column':'closed','type':_0x531957(0xa5f),'values':[{'id':0x0,'translate':_0x531957(0x143b)},{'id':0x1,'translate':_0x531957(0x1805)}],'options':{'excludedOperators':[_0x531957(0x1671)]}},{'name':'Agent','column':_0x531957(0x2393),'type':_0x531957(0x14ee),'options':{'table':'i','route':{'model':_0x531957(0xe7b),'action':_0x531957(0x16b4),'params':{'role':_0x531957(0x1755),'fields':_0x531957(0x12bf),'nolimit':!![]}},'searchFields':[_0x531957(0x286a),_0x531957(0x19eb)],'extraOperators':[_0x531957(0xacb)],'excludedOperators':[_0x531957(0x1671)]}},{'name':_0x531957(0x2331),'column':_0x531957(0x23a8),'type':_0x531957(0x175c),'options':{'route':{'model':_0x531957(0xa80),'action':_0x531957(0x16b4),'params':{'nolimit':!![]}},'excludedOperators':['$notIn']}},{'name':'Start\x20Date','column':'createdAt','type':'date','options':{'excludedOperators':[_0x531957(0x1671)]}},{'name':_0x531957(0x270b),'column':'unreadMessages','type':_0x531957(0xa5f),'values':[{'id':0x1,'translate':_0x531957(0x1ce6)},{'id':0x0,'translate':_0x531957(0x33f)}],'options':{'excludedOperators':['$ne']}}];}function _0x37a113(){const _0x2ed56d=_0x100563;_0x278ad9['show']({'controller':_0x2ed56d(0x26bc),'controllerAs':'vm','templateUrl':_0x3fb128,'parent':angular[_0x2ed56d(0x1853)](_0x326673[_0x2ed56d(0x2586)]),'clickOutsideToClose':![],'locals':{'fields':_0x11a023[_0x2ed56d(0xe93)][_0x2ed56d(0x2867)],'color':undefined,'storagePath':'chat.chatWebsites'},'fullscreen':!![]})[_0x2ed56d(0x146b)](function(_0x299af6){const _0x3bf758=_0x2ed56d;_0x11a023[_0x3bf758(0x1a56)][_0x3bf758(0x21da)]=_0x299af6===![]?undefined:_0x299af6;if(_0x11a023[_0x3bf758(0x1a56)][_0x3bf758(0x21da)])_0x124179();else _0x299af6===![]&&_0x124179();})[_0x2ed56d(0x129e)](function(_0x32beef){const _0x2f8d4=_0x2ed56d;_0xe8a860[_0x2f8d4(0x1980)]({'title':'ERROR','msg':_0x32beef[_0x2f8d4(0x524)]?JSON[_0x2f8d4(0x10bb)](_0x32beef[_0x2f8d4(0x524)][_0x2f8d4(0x7fd)]):_0x32beef['toString']()});});}function _0x27a128(_0x10afb1,_0x114546,_0x4de73e){const _0x2a154e=_0x100563;return _0x3dfb8d[_0x2a154e(0xc9f)][_0x2a154e(0x15b1)]({'id':_0x10afb1['id'],'exists':!![],'attachments':_0x4de73e})[_0x2a154e(0x2945)][_0x2a154e(0x146b)](function(_0x50b9ac){const _0x3f3d91=_0x2a154e,_0x3a944c=[_0x50b9ac['buffer']];let _0x2044eb=_0x3f3d91(0xe80)+_0x10afb1['id'];const _0x2cde82=new Blob(_0x3a944c,{'type':_0x50b9ac[_0x3f3d91(0x1142)]});_0x2044eb=_0x3f3d91(0xa33)+_0x10afb1['id']+_0x3f3d91(0xf04);const _0x177e25=window[_0x3f3d91(0xef3)][_0x3f3d91(0x24ec)]('a');_0x177e25[_0x3f3d91(0x1652)]('href',URL[_0x3f3d91(0x1c58)](_0x2cde82)),_0x177e25['setAttribute'](_0x3f3d91(0x15b1),_0x2044eb),document['body'][_0x3f3d91(0x23e)](_0x177e25),_0x177e25[_0x3f3d91(0x1fa5)]();})['catch'](function(_0x478ab0){const _0xf6b5f6=_0x2a154e;if(_0x478ab0['data']&&_0x478ab0[_0xf6b5f6(0x524)][_0xf6b5f6(0xcef)]&&_0x478ab0[_0xf6b5f6(0x524)]['errors']['length'])for(let _0x37f5c3=0x0;_0x37f5c3<_0x478ab0[_0xf6b5f6(0x524)]['errors'][_0xf6b5f6(0x402)];_0x37f5c3+=0x1){_0xe8a860['error']({'title':_0x478ab0[_0xf6b5f6(0x524)][_0xf6b5f6(0xcef)][_0x37f5c3][_0xf6b5f6(0x1142)],'msg':_0x478ab0['data'][_0xf6b5f6(0xcef)][_0x37f5c3]['message']});}else _0xe8a860[_0xf6b5f6(0x1980)]({'title':_0x478ab0[_0xf6b5f6(0x107b)]?_0xf6b5f6(0x262a)+_0x478ab0[_0xf6b5f6(0x107b)]+_0xf6b5f6(0x1315)+_0x478ab0[_0xf6b5f6(0x167f)]:'api.chatWebsite.save','msg':_0x478ab0[_0xf6b5f6(0x524)]?JSON[_0xf6b5f6(0x10bb)](_0x478ab0[_0xf6b5f6(0x524)]['message']):_0x478ab0['toString']()});});}function _0x1ac449(_0x2034f3,_0x558902){const _0x1cb382=_0x100563,_0x514d9f=_0x278ad9['confirm']()[_0x1cb382(0x1189)](_0x1cb382(0x1f40))[_0x1cb382(0x1cbe)](''+(_0x2034f3[_0x1cb382(0x19eb)]||_0x2034f3['id']&&_0x3f65c0()[_0x1cb382(0x21cf)](_0x1cb382(0xb37))+_0x2034f3['id']||_0x1cb382(0xe80))+_0x1cb382(0x252f)+_0x1cb382(0xe01))[_0x1cb382(0x4bd)](_0x1cb382(0xcab))['targetEvent'](_0x558902)['ok']('OK')[_0x1cb382(0x6c3)](_0x1cb382(0x39a));_0x278ad9[_0x1cb382(0x2615)](_0x514d9f)[_0x1cb382(0x146b)](function(){_0x80f9bf(_0x2034f3);},function(){const _0x1b3555=_0x1cb382;console[_0x1b3555(0x1a74)]('CANCEL');});}function _0x319533(_0x44bd25){const _0x1ad593=_0x100563;_0x11a023[_0x1ad593(0x6b7)]=_0x44bd25||{'count':0x0,'rows':[]};for(let _0x8b243e=0x0;_0x8b243e<_0x11a023[_0x1ad593(0x6b7)]['rows'][_0x1ad593(0x402)];_0x8b243e+=0x1){const _0x556daa=_0x11a023['chatWebsiteInteractions'][_0x1ad593(0x19c7)][_0x8b243e];_0x11ce52(_0x556daa),_0x556daa['Owner'][_0x1ad593(0x19eb)]=_0x325961(_0x556daa);}}function _0x124179(){const _0x4e2cef=_0x100563;_0x11a023[_0x4e2cef(0x1a56)]['offset']=(_0x11a023[_0x4e2cef(0x1a56)][_0x4e2cef(0x844)]-0x1)*_0x11a023[_0x4e2cef(0x1a56)]['limit'],_0x11a023['promise']=_0x3dfb8d[_0x4e2cef(0xc9f)][_0x4e2cef(0x16b4)](_0x11a023['query'],_0x319533)['$promise'];}function _0x5a4d48(_0x23be3d,_0x3a9d8d){const _0x5194f8=_0x100563;_0x278ad9[_0x5194f8(0x2615)]({'controller':_0x5194f8(0xd2c),'controllerAs':'vm','templateUrl':_0x270cc0,'parent':angular[_0x5194f8(0x1853)](_0x326673['body']),'targetEvent':_0x23be3d,'clickOutsideToClose':!![],'onShowing':function(_0x2d1264){const _0x3ff1b3=_0x5194f8;_0x2d1264['vm'][_0x3ff1b3(0x5aa)]({'id':0x1,'channel':_0x3ff1b3(0xa7f),'interaction':_0x3a9d8d,'spy':!![]},_0x27a440[_0x3ff1b3(0x2870)]['vm'][_0x3ff1b3(0x15b9)]);}});}function _0x80f9bf(_0x484590){const _0x411794=_0x100563;_0x3dfb8d[_0x411794(0xc9f)][_0x411794(0x1fac)]({'id':_0x484590['id']})['$promise'][_0x411794(0x146b)](function(){const _0x52c15f=_0x411794;_0x3f65c0()[_0x52c15f(0x2640)](_0x11a023[_0x52c15f(0x6b7)][_0x52c15f(0x19c7)],{'id':_0x484590['id']}),_0x11a023['chatWebsiteInteractions'][_0x52c15f(0x51c)]-=0x1,!_0x11a023[_0x52c15f(0x6b7)]['rows']['length']&&_0x124179(),_0xe8a860['success']({'title':_0x52c15f(0x739),'msg':_0x484590['name']?_0x484590[_0x52c15f(0x19eb)]+_0x52c15f(0x23e3):''});})[_0x411794(0x129e)](function(_0x46ad3c){const _0x2b3128=_0x411794;if(_0x46ad3c[_0x2b3128(0x524)]&&_0x46ad3c[_0x2b3128(0x524)][_0x2b3128(0xcef)]&&_0x46ad3c[_0x2b3128(0x524)][_0x2b3128(0xcef)][_0x2b3128(0x402)]){_0x11a023['errors']=_0x46ad3c[_0x2b3128(0x524)]['errors']||[{'message':_0x46ad3c[_0x2b3128(0xd5f)](),'type':_0x2b3128(0xfb3)}];for(let _0xa452f7=0x0;_0xa452f7<_0x46ad3c[_0x2b3128(0x524)][_0x2b3128(0xcef)][_0x2b3128(0x402)];_0xa452f7++){_0xe8a860[_0x2b3128(0x1980)]({'title':_0x46ad3c[_0x2b3128(0x524)]['errors'][_0xa452f7]['type'],'msg':_0x46ad3c['data'][_0x2b3128(0xcef)][_0xa452f7]['message']});}}else _0xe8a860[_0x2b3128(0x1980)]({'title':_0x46ad3c[_0x2b3128(0x107b)]?_0x2b3128(0x262a)+_0x46ad3c['status']+_0x2b3128(0x1315)+_0x46ad3c[_0x2b3128(0x167f)]:'SYSTEM:GETchatWebsite','msg':_0x46ad3c[_0x2b3128(0x524)]?JSON[_0x2b3128(0x10bb)](_0x46ad3c[_0x2b3128(0x524)][_0x2b3128(0x7fd)]):_0x46ad3c[_0x2b3128(0x7fd)]||_0x46ad3c[_0x2b3128(0xd5f)]()});});}function _0x32e9a9(){const _0x11351f=_0x100563,_0x184a74=angular[_0x11351f(0x235a)](_0x11a023[_0x11351f(0x68a)]);return _0x11a023[_0x11351f(0x68a)]=[],_0x184a74;}function _0x223e6c(_0x5dcc6d){const _0x1c2cb5=_0x100563,_0x2e77aa=_0x278ad9[_0x1c2cb5(0x1e8a)]()[_0x1c2cb5(0x1189)](_0x1c2cb5(0x2283))['htmlContent'](''+_0x11a023[_0x1c2cb5(0x68a)][_0x1c2cb5(0x402)]+_0x1c2cb5(0x2452)+_0x1c2cb5(0xe01))[_0x1c2cb5(0x4bd)]('delete\x20interactions')[_0x1c2cb5(0x1f27)](_0x5dcc6d)['ok']('OK')[_0x1c2cb5(0x6c3)](_0x1c2cb5(0x39a));_0x278ad9[_0x1c2cb5(0x2615)](_0x2e77aa)['then'](function(){const _0x24461c=_0x1c2cb5;_0x11a023['selectedChatWebsiteInteractions'][_0x24461c(0x1df5)](function(_0x1374bc){_0x80f9bf(_0x1374bc);}),_0x11a023[_0x24461c(0x68a)]=[];});}function _0x11ce52(_0x30934f){const _0x474be0=_0x100563;_0x30934f[_0x474be0(0x364)]?_0x30934f[_0x474be0(0x792)]=(_0x30934f['Contact'][_0x474be0(0x1ed4)]||'')+'\x20'+(_0x30934f['Contact'][_0x474be0(0xee4)]||''):_0x30934f[_0x474be0(0x792)]=_0x31726d['instant']('APP.UNKNOWN');}function _0x325961(_0x1a05bf){const _0x32acf2=_0x100563;if(_0x1a05bf[_0x32acf2(0xea2)])return _0x1a05bf[_0x32acf2(0xea2)]===_0x11a023[_0x32acf2(0x2321)]['id']?_0x31726d[_0x32acf2(0xde)](_0x32acf2(0x6d9)):_0x1a05bf['Owner'][_0x32acf2(0x286a)]+'\x20<'+_0x1a05bf[_0x32acf2(0xac5)][_0x32acf2(0x113f)]+'>';return _0x31726d[_0x32acf2(0xde)](_0x32acf2(0x38d));}let _0x3b188e=!![],_0x51886d=0x1;_0x27a440[_0x100563(0x21e8)](_0x100563(0x117f),function(_0x12f4bc,_0x59a943){const _0x2cb750=_0x100563;_0x3b188e?_0x3fbacf(function(){_0x3b188e=![];}):(!_0x59a943&&(_0x51886d=_0x11a023[_0x2cb750(0x1a56)][_0x2cb750(0x844)]),_0x12f4bc!==_0x59a943&&(_0x11a023[_0x2cb750(0x1a56)]['page']=0x1),!_0x12f4bc&&(_0x11a023[_0x2cb750(0x1a56)][_0x2cb750(0x844)]=_0x51886d),_0x124179());});}const _0x9b4402=_0x6f95fe;;_0x48824f[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),_0x313a4d(0x1fe4)];function _0x48824f(_0x6ed406,_0x192e93){const _0x1c0488=_0x313a4d,_0x93a08f=this,_0x2ca80a=0x8,_0x1067ed=_0x2ca80a*0x400*0x400;_0x93a08f[_0x1c0488(0x189e)]={},_0x93a08f[_0x1c0488(0x2514)],_0x93a08f[_0x1c0488(0x4c3)]={'singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0x1067ed,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x93a08f[_0x1c0488(0x1376)]={'flow':{}},_0x93a08f['dropping']=![],_0x93a08f[_0x1c0488(0x143d)]=_0x98df6,_0x93a08f[_0x1c0488(0x1dc6)]=_0x1e57ca,_0x93a08f[_0x1c0488(0xc4c)]=_0x17dd06,_0x93a08f['init']=_0x45b0b4;function _0x45b0b4(_0x78d6ea,_0x1430cf){const _0x396277=_0x1c0488;_0x93a08f[_0x396277(0x189e)]=angular['copy'](_0x78d6ea),_0x93a08f[_0x396277(0x2514)]=typeof _0x1430cf!==_0x396277(0x2274)?_0x1430cf:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x93a08f[_0x396277(0x4c3)][_0x396277(0xe1d)]=_0x396277(0x2342)[_0x396277(0x5f4)](':id',_0x93a08f[_0x396277(0x189e)]['id']),_0x93a08f[_0x396277(0x189e)][_0x396277(0x1f07)]=[];}function _0x98df6(_0x1fcae9){const _0x457537=_0x1c0488,_0x5e00e4=[_0x457537(0xadf),_0x457537(0x621)];if(!_0x3f65c0()[_0x457537(0xa4e)](_0x5e00e4,_0x1fcae9[_0x457537(0x193f)]()))return _0x192e93[_0x457537(0x1980)]({'title':_0x457537(0x4c6)+_0x1fcae9[_0x457537(0x193f)](),'msg':_0x457537(0x208f)+_0x5e00e4[_0x457537(0xb47)]()}),![];if(_0x1fcae9[_0x457537(0x4cc)]>_0x1067ed)return _0x192e93[_0x457537(0x1980)]({'title':_0x457537(0x625),'msg':'The\x20max\x20allowed\x20size\x20is\x20'+_0x2ca80a+'MB'}),![];const _0x127aa4={'id':_0x1fcae9[_0x457537(0x1276)],'file':_0x1fcae9,'type':_0x457537(0x159c)};return _0x93a08f[_0x457537(0x189e)][_0x457537(0x1f07)]['unshift'](_0x127aa4),!![];}function _0x1e57ca(){const _0x469499=_0x1c0488;_0x93a08f['ngFlow'][_0x469499(0x963)][_0x469499(0x1da1)][_0x469499(0xa01)]={'X-Requested-With':_0x469499(0x1f8f),'Authorization':_0x469499(0x2745)+_0x6ed406['get'](_0x469499(0xe2d))},_0x93a08f[_0x469499(0x1376)][_0x469499(0x963)][_0x469499(0x1dc6)]();}function _0x17dd06(_0x1b160c){const _0x1c6329=_0x1c0488;angular['forEach'](_0x93a08f[_0x1c6329(0x189e)][_0x1c6329(0x1f07)],function(_0x488fc7){const _0x31b94c=_0x1c6329;if(_0x488fc7['id']===_0x1b160c[_0x31b94c(0x1276)]){const _0x198559=new FileReader();_0x198559['readAsDataURL'](_0x488fc7[_0x31b94c(0x252e)][_0x31b94c(0x252e)]),_0x198559[_0x31b94c(0x20f6)]=function(_0x3d1c3d){_0x488fc7['url']=_0x3d1c3d['target']['result'];},_0x488fc7[_0x31b94c(0x1142)]=_0x31b94c(0x106c);}});}}const _0x4c5187=_0x48824f;;const _0xcb8bd2=_0x4acfac['p']+_0x313a4d(0x1cee);;_0x3258a3[_0x313a4d(0x11c2)]=['$cookies',_0x313a4d(0x910),_0x313a4d(0x1862),'$q',_0x313a4d(0x214b),'$timeout','$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0xa87)];function _0x3258a3(_0x2e1b57,_0x85b3da,_0x616427,_0x2a1a40,_0x3b9e4a,_0x595b1f,_0x2857bc,_0x2b1234,_0x180f7a,_0x1b4b15,_0x35f9e9){const _0x79fc67=_0x313a4d,_0x3d8def=this;_0x3d8def[_0x79fc67(0x2321)]=_0x35f9e9[_0x79fc67(0xb12)](),_0x3d8def[_0x79fc67(0x189e)]={},_0x3d8def[_0x79fc67(0x19e4)]={'count':0x0,'rows':[]},_0x3d8def['selectedChatWebsiteOfflineMessages']=[],_0x3d8def[_0x79fc67(0x2514)],_0x3d8def[_0x79fc67(0x1a56)]={'fields':_0x79fc67(0x2102),'sort':'-createdAt','limit':0xa,'page':0x1},_0x3d8def[_0x79fc67(0x5aa)]=_0x338c5f,_0x3d8def['deleteConfirm']=_0x3c7e3e,_0x3d8def[_0x79fc67(0x1c75)]=_0x1671ad,_0x3d8def[_0x79fc67(0x264)]=_0x2a766f,_0x3d8def['createOrEditChatWebsiteOfflineMessage']=_0x4ff1d2,_0x3d8def[_0x79fc67(0x14a2)]=_0x47e56c,_0x3d8def[_0x79fc67(0x10d7)]=_0x28802f,_0x3d8def[_0x79fc67(0x1cbf)]=_0x5e0880,_0x3d8def['deleteSelectedChatWebsiteOfflineMessages']=_0x211abe;function _0x338c5f(_0x235a03,_0x560e28){const _0x27382b=_0x79fc67;_0x3d8def[_0x27382b(0x189e)]=_0x235a03,_0x3d8def[_0x27382b(0x2514)]=typeof _0x560e28!==_0x27382b(0x2274)?_0x560e28:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x3d8def[_0x27382b(0x1a56)]['id']=_0x3d8def[_0x27382b(0x189e)]['id'],_0x2a766f();}function _0x3c7e3e(_0x498318,_0x121361){const _0x1dbce6=_0x79fc67,_0x33747f=_0x2857bc[_0x1dbce6(0x1e8a)]()[_0x1dbce6(0x1189)](_0x1dbce6(0x63c))[_0x1dbce6(0x1cbe)](_0x1dbce6(0x16d3)+(_0x498318[_0x1dbce6(0x19eb)]||_0x498318['id']&&_0x3f65c0()['upperFirst']('offlineMessage\x20#')+_0x498318['id']||_0x1dbce6(0x1526))+_0x1dbce6(0x252f)+'\x20will\x20be\x20deleted.')[_0x1dbce6(0x4bd)]('delete\x20offlineMessage')[_0x1dbce6(0x1f27)](_0x121361)['ok']('OK')[_0x1dbce6(0x6c3)](_0x1dbce6(0x39a));_0x2857bc[_0x1dbce6(0x2615)](_0x33747f)[_0x1dbce6(0x146b)](function(){_0x5e0880(_0x498318);},function(){const _0x311af1=_0x1dbce6;console[_0x311af1(0x1a74)](_0x311af1(0x39a));});}function _0x1671ad(_0x34faea){const _0xeed6d9=_0x79fc67;_0x3d8def[_0xeed6d9(0x19e4)]=_0x34faea||{'count':0x0,'rows':[]};}function _0x2a766f(){const _0x44becf=_0x79fc67;_0x3d8def[_0x44becf(0x1a56)][_0x44becf(0x145d)]=(_0x3d8def['query'][_0x44becf(0x844)]-0x1)*_0x3d8def[_0x44becf(0x1a56)][_0x44becf(0x221e)],_0x3d8def['promise']=_0x1b4b15[_0x44becf(0x189e)][_0x44becf(0x1c2e)](_0x3d8def[_0x44becf(0x1a56)],_0x1671ad)[_0x44becf(0x2945)];}function _0x4ff1d2(_0x89bf77,_0x4b55ad){const _0x439dd3=_0x79fc67;_0x2857bc[_0x439dd3(0x2615)]({'controller':_0x439dd3(0xff3),'controllerAs':'vm','templateUrl':_0xcb8bd2,'parent':angular[_0x439dd3(0x1853)](_0x2b1234[_0x439dd3(0x2586)]),'targetEvent':_0x89bf77,'clickOutsideToClose':!![],'locals':{'chatWebsite':_0x3d8def[_0x439dd3(0x189e)],'offlineMessage':_0x4b55ad,'offlineMessages':_0x3d8def[_0x439dd3(0x19e4)][_0x439dd3(0x19c7)],'license':null,'setting':null,'crudPermissions':_0x3d8def[_0x439dd3(0x2514)]}});}function _0x47e56c(_0x2387b1,_0x54d8ad){const _0x5d26d7=_0x79fc67;_0x2857bc[_0x5d26d7(0x2615)]({'controller':_0x5d26d7(0x7c2),'controllerAs':'vm','templateUrl':_0xcb8bd2,'parent':angular[_0x5d26d7(0x1853)](_0x2b1234['body']),'targetEvent':_0x2387b1,'clickOutsideToClose':!![],'resolve':{'message':['apiResolver',function(_0x4804a){const _0x356a37=_0x5d26d7;return _0x4804a[_0x356a37(0x2922)]('chatOfflineMessage@get',{'fields':_0x356a37(0x49c),'id':_0x54d8ad['id']});}]}});}function _0x5e0880(_0x6605ae){const _0x5b3ac3=_0x79fc67;_0x1b4b15[_0x5b3ac3(0x147e)][_0x5b3ac3(0x1fac)]({'id':_0x6605ae['id']})[_0x5b3ac3(0x2945)][_0x5b3ac3(0x146b)](function(){const _0x56105d=_0x5b3ac3;_0x3f65c0()[_0x56105d(0x2640)](_0x3d8def['chatWebsiteOfflineMessages'][_0x56105d(0x19c7)],{'id':_0x6605ae['id']}),_0x3d8def[_0x56105d(0x19e4)]['count']-=0x1,!_0x3d8def[_0x56105d(0x19e4)]['rows'][_0x56105d(0x402)]&&_0x2a766f(),_0x180f7a[_0x56105d(0x1c75)]({'title':_0x56105d(0x18ae),'msg':_0x6605ae[_0x56105d(0x19eb)]?_0x6605ae[_0x56105d(0x19eb)]+_0x56105d(0x23e3):''});})[_0x5b3ac3(0x129e)](function(_0x5f129a){const _0x2b5dd1=_0x5b3ac3;if(_0x5f129a[_0x2b5dd1(0x524)]&&_0x5f129a['data'][_0x2b5dd1(0xcef)]&&_0x5f129a[_0x2b5dd1(0x524)][_0x2b5dd1(0xcef)][_0x2b5dd1(0x402)]){_0x3d8def[_0x2b5dd1(0xcef)]=_0x5f129a[_0x2b5dd1(0x524)]['errors']||[{'message':_0x5f129a[_0x2b5dd1(0xd5f)](),'type':_0x2b5dd1(0xfb3)}];for(let _0x28bcb4=0x0;_0x28bcb4<_0x5f129a[_0x2b5dd1(0x524)][_0x2b5dd1(0xcef)][_0x2b5dd1(0x402)];_0x28bcb4++){_0x180f7a[_0x2b5dd1(0x1980)]({'title':_0x5f129a[_0x2b5dd1(0x524)][_0x2b5dd1(0xcef)][_0x28bcb4][_0x2b5dd1(0x1142)],'msg':_0x5f129a[_0x2b5dd1(0x524)][_0x2b5dd1(0xcef)][_0x28bcb4]['message']});}}else _0x180f7a[_0x2b5dd1(0x1980)]({'title':_0x5f129a[_0x2b5dd1(0x107b)]?'API:'+_0x5f129a[_0x2b5dd1(0x107b)]+_0x2b5dd1(0x1315)+_0x5f129a[_0x2b5dd1(0x167f)]:_0x2b5dd1(0xfb3),'msg':_0x5f129a[_0x2b5dd1(0x524)]?JSON[_0x2b5dd1(0x10bb)](_0x5f129a[_0x2b5dd1(0x524)]['message']):_0x5f129a[_0x2b5dd1(0x7fd)]||_0x5f129a[_0x2b5dd1(0xd5f)]()});});}function _0x28802f(){const _0x380d0e=_0x79fc67,_0x5d7b76=angular[_0x380d0e(0x235a)](_0x3d8def['selectedChatWebsiteOfflineMessages']);return _0x3d8def[_0x380d0e(0xbbb)]=[],_0x5d7b76;}function _0x211abe(_0x4ef745){const _0x5f8e09=_0x79fc67,_0x109342=_0x2857bc[_0x5f8e09(0x1e8a)]()[_0x5f8e09(0x1189)](_0x5f8e09(0x22ca))['htmlContent'](''+_0x3d8def[_0x5f8e09(0xbbb)]['length']+_0x5f8e09(0x2452)+_0x5f8e09(0xe01))[_0x5f8e09(0x4bd)](_0x5f8e09(0x1add))[_0x5f8e09(0x1f27)](_0x4ef745)['ok']('OK')[_0x5f8e09(0x6c3)](_0x5f8e09(0x39a));_0x2857bc[_0x5f8e09(0x2615)](_0x109342)[_0x5f8e09(0x146b)](function(){const _0x1e3d66=_0x5f8e09;_0x3d8def[_0x1e3d66(0xbbb)][_0x1e3d66(0x1df5)](function(_0x3a785b){_0x5e0880(_0x3a785b);}),_0x3d8def[_0x1e3d66(0xbbb)]=[];});}let _0x30d261=!![],_0x5cd977=0x1;_0x85b3da['$watch'](_0x79fc67(0x117f),function(_0x12bcf4,_0x27b914){const _0x33d950=_0x79fc67;_0x30d261?_0x595b1f(function(){_0x30d261=![];}):(!_0x27b914&&(_0x5cd977=_0x3d8def[_0x33d950(0x1a56)][_0x33d950(0x844)]),_0x12bcf4!==_0x27b914&&(_0x3d8def[_0x33d950(0x1a56)][_0x33d950(0x844)]=0x1),!_0x12bcf4&&(_0x3d8def['query'][_0x33d950(0x844)]=_0x5cd977),_0x2a766f());});}const _0x3ef50c=_0x3258a3;;_0x3a443f[_0x313a4d(0x11c2)]=['$mdDialog',_0x313a4d(0x7fd)];function _0x3a443f(_0xc360d6,_0x71c499){const _0xc4ad85=_0x313a4d,_0xb4e4fb=this;_0xb4e4fb[_0xc4ad85(0x1189)]='CHAT.OFFLINE_MESSAGE',_0xb4e4fb['message']=_0x71c499,_0xb4e4fb[_0xc4ad85(0x13f3)]=_0xdcc0b6;function _0xdcc0b6(_0x73190b){const _0x5ea0b5=_0xc4ad85;_0xc360d6[_0x5ea0b5(0x2458)](_0x73190b);}}const _0x222102=_0x3a443f;;const _0x587978=_0x4acfac['p']+_0x313a4d(0xa71);;_0x5999bb[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),'$scope',_0x313a4d(0x1862),'$q','$translate',_0x313a4d(0x1abe),_0x313a4d(0x10e8),'$document',_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0xa87)];function _0x5999bb(_0x470b31,_0x23310d,_0x1a91ea,_0x3d34f2,_0x49838b,_0x5b16c8,_0x53d159,_0x408c22,_0x5a798b,_0x576283,_0x16aa42){const _0x4b641b=_0x313a4d,_0x357e48=this;_0x357e48[_0x4b641b(0x2321)]=_0x16aa42[_0x4b641b(0xb12)](),_0x357e48[_0x4b641b(0x189e)]={},_0x357e48['chatWebsiteProactiveActions']={'count':0x0,'rows':[]},_0x357e48[_0x4b641b(0xb65)]=[],_0x357e48[_0x4b641b(0x2514)],_0x357e48[_0x4b641b(0x1a56)]={'fields':_0x4b641b(0x1be8),'limit':0xa,'page':0x1},_0x357e48[_0x4b641b(0x11da)]=_0x3f65c0()[_0x4b641b(0x194)]([{'option':'MouseOver','value':_0x4b641b(0x1aee)},{'option':'Timeout','value':_0x4b641b(0x1d4a)}],function(_0xca77d){const _0x45828a=_0x4b641b;return _0x3f65c0()[_0x45828a(0x5f4)](_0xca77d['value'],new RegExp('\x27','g'),'');}),_0x357e48[_0x4b641b(0x5aa)]=_0x32b513,_0x357e48[_0x4b641b(0x19b4)]=_0x38da0f,_0x357e48['success']=_0x22c0d8,_0x357e48['getChatWebsiteProactiveActions']=_0x569185,_0x357e48[_0x4b641b(0x58f)]=_0x3a24ad,_0x357e48[_0x4b641b(0x2835)]=_0x576630,_0x357e48[_0x4b641b(0x699)]=_0x2fd8e6,_0x357e48[_0x4b641b(0xe67)]=_0x1bd55e;function _0x32b513(_0x4f03c3,_0x1b268a){const _0x1add3d=_0x4b641b;_0x357e48[_0x1add3d(0x189e)]=_0x4f03c3,_0x357e48[_0x1add3d(0x2514)]=typeof _0x1b268a!==_0x1add3d(0x2274)?_0x1b268a:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x357e48[_0x1add3d(0x1a56)][_0x1add3d(0x1d92)]=_0x357e48[_0x1add3d(0x189e)]['id'],_0x357e48[_0x1add3d(0x1a56)]['id']=_0x357e48[_0x1add3d(0x189e)]['id'],_0x569185();}function _0x38da0f(_0xf197c7,_0x17668d){const _0x2256ae=_0x4b641b,_0x178ba9=_0x53d159[_0x2256ae(0x1e8a)]()[_0x2256ae(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20chatProactiveAction?')[_0x2256ae(0x1cbe)](_0x2256ae(0x16d3)+(_0xf197c7[_0x2256ae(0x19eb)]||_0xf197c7['id']&&_0x3f65c0()['upperFirst'](_0x2256ae(0x2d8))+_0xf197c7['id']||_0x2256ae(0xebc))+_0x2256ae(0x252f)+_0x2256ae(0xe01))[_0x2256ae(0x4bd)](_0x2256ae(0x1b42))[_0x2256ae(0x1f27)](_0x17668d)['ok']('OK')[_0x2256ae(0x6c3)](_0x2256ae(0x39a));_0x53d159['show'](_0x178ba9)[_0x2256ae(0x146b)](function(){_0x2fd8e6(_0xf197c7);},function(){const _0x445f50=_0x2256ae;console[_0x445f50(0x1a74)]('CANCEL');});}function _0x22c0d8(_0x4dec0b){const _0x4454ad=_0x4b641b;_0x357e48[_0x4454ad(0x1fc8)]=_0x4dec0b||{'count':0x0,'rows':[]};}function _0x569185(){const _0x3e8f3f=_0x4b641b;_0x357e48['query'][_0x3e8f3f(0x145d)]=(_0x357e48[_0x3e8f3f(0x1a56)]['page']-0x1)*_0x357e48['query']['limit'],_0x357e48['promise']=_0x576283[_0x3e8f3f(0x189e)][_0x3e8f3f(0xe0a)](_0x357e48[_0x3e8f3f(0x1a56)],_0x22c0d8)[_0x3e8f3f(0x2945)];}function _0x3a24ad(_0x39b36d,_0x39094d){const _0x1526b7=_0x4b641b;_0x53d159[_0x1526b7(0x2615)]({'controller':_0x1526b7(0x1791),'controllerAs':'vm','templateUrl':_0x587978,'parent':angular[_0x1526b7(0x1853)](_0x408c22['body']),'targetEvent':_0x39b36d,'clickOutsideToClose':!![],'locals':{'chatWebsite':_0x357e48[_0x1526b7(0x189e)],'chatProactiveAction':_0x39094d,'proactive':_0x357e48[_0x1526b7(0x1fc8)][_0x1526b7(0x19c7)],'license':null,'setting':null,'crudPermissions':_0x357e48['crudPermissions']}});}function _0x2fd8e6(_0x85d908){const _0x57b6bb=_0x4b641b;_0x576283[_0x57b6bb(0xebc)][_0x57b6bb(0x1fac)]({'id':_0x85d908['id']})[_0x57b6bb(0x2945)][_0x57b6bb(0x146b)](function(){const _0x315a22=_0x57b6bb;_0x3f65c0()[_0x315a22(0x2640)](_0x357e48[_0x315a22(0x1fc8)][_0x315a22(0x19c7)],{'id':_0x85d908['id']}),_0x357e48[_0x315a22(0x1fc8)]['count']-=0x1,!_0x357e48[_0x315a22(0x1fc8)][_0x315a22(0x19c7)][_0x315a22(0x402)]&&_0x569185(),_0x5a798b[_0x315a22(0x1c75)]({'title':_0x315a22(0x1d10),'msg':_0x85d908[_0x315a22(0x19eb)]?_0x85d908[_0x315a22(0x19eb)]+_0x315a22(0x23e3):''});})[_0x57b6bb(0x129e)](function(_0x1dbce1){const _0x2f7be3=_0x57b6bb;if(_0x1dbce1[_0x2f7be3(0x524)]&&_0x1dbce1['data'][_0x2f7be3(0xcef)]&&_0x1dbce1[_0x2f7be3(0x524)]['errors'][_0x2f7be3(0x402)]){_0x357e48['errors']=_0x1dbce1[_0x2f7be3(0x524)][_0x2f7be3(0xcef)]||[{'message':_0x1dbce1[_0x2f7be3(0xd5f)](),'type':'SYSTEM:GETchatWebsite'}];for(let _0x2d6652=0x0;_0x2d6652<_0x1dbce1[_0x2f7be3(0x524)]['errors'][_0x2f7be3(0x402)];_0x2d6652++){_0x5a798b['error']({'title':_0x1dbce1[_0x2f7be3(0x524)]['errors'][_0x2d6652][_0x2f7be3(0x1142)],'msg':_0x1dbce1[_0x2f7be3(0x524)][_0x2f7be3(0xcef)][_0x2d6652][_0x2f7be3(0x7fd)]});}}else _0x5a798b[_0x2f7be3(0x1980)]({'title':_0x1dbce1[_0x2f7be3(0x107b)]?_0x2f7be3(0x262a)+_0x1dbce1[_0x2f7be3(0x107b)]+_0x2f7be3(0x1315)+_0x1dbce1['statusText']:'SYSTEM:GETchatWebsite','msg':_0x1dbce1[_0x2f7be3(0x524)]?JSON[_0x2f7be3(0x10bb)](_0x1dbce1[_0x2f7be3(0x524)][_0x2f7be3(0x7fd)]):_0x1dbce1[_0x2f7be3(0x7fd)]||_0x1dbce1[_0x2f7be3(0xd5f)]()});});}function _0x576630(){const _0x337f30=_0x4b641b,_0x28a112=angular[_0x337f30(0x235a)](_0x357e48[_0x337f30(0xb65)]);return _0x357e48['selectedChatWebsiteProactiveActions']=[],_0x28a112;}function _0x1bd55e(_0x2149d5){const _0x866d41=_0x4b641b,_0x4f7bfd=_0x53d159[_0x866d41(0x1e8a)]()[_0x866d41(0x1189)](_0x866d41(0x2630))[_0x866d41(0x1cbe)](''+_0x357e48['selectedChatWebsiteProactiveActions'][_0x866d41(0x402)]+_0x866d41(0x2452)+'\x20will\x20be\x20deleted.')[_0x866d41(0x4bd)]('delete\x20proactive')[_0x866d41(0x1f27)](_0x2149d5)['ok']('OK')[_0x866d41(0x6c3)](_0x866d41(0x39a));_0x53d159[_0x866d41(0x2615)](_0x4f7bfd)[_0x866d41(0x146b)](function(){const _0x21bac4=_0x866d41;_0x357e48[_0x21bac4(0xb65)][_0x21bac4(0x1df5)](function(_0x351dc1){_0x2fd8e6(_0x351dc1);}),_0x357e48['selectedChatWebsiteProactiveActions']=[];});}let _0x329ff9=!![],_0x52020b=0x1;_0x23310d[_0x4b641b(0x21e8)](_0x4b641b(0x117f),function(_0x2ca238,_0x3f1153){const _0x59f01b=_0x4b641b;_0x329ff9?_0x5b16c8(function(){_0x329ff9=![];}):(!_0x3f1153&&(_0x52020b=_0x357e48[_0x59f01b(0x1a56)][_0x59f01b(0x844)]),_0x2ca238!==_0x3f1153&&(_0x357e48['query']['page']=0x1),!_0x2ca238&&(_0x357e48[_0x59f01b(0x1a56)]['page']=_0x52020b),_0x569185());});}const _0x47a9ea=_0x5999bb;;_0x7d9a6a[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x15f2),_0x313a4d(0xebc),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x7d9a6a(_0x1b6f04,_0x120571,_0x12b31a,_0x3cb1d2,_0x307dc9,_0x406870,_0x2ea8b5,_0x18bc36,_0x3ce8a3,_0x2e1177,_0x3950c3,_0x4d97c7,_0x1a6aa3,_0x356ab2){const _0x182c35=_0x313a4d,_0x3eb5da=this;_0x3eb5da[_0x182c35(0x2321)]=_0x3950c3[_0x182c35(0xb12)](),_0x3eb5da['errors']=[],_0x3eb5da[_0x182c35(0x15b9)]=_0x1a6aa3,_0x3eb5da[_0x182c35(0x2690)]=_0x4d97c7,_0x3eb5da[_0x182c35(0x2514)]=_0x356ab2,_0x3eb5da[_0x182c35(0x855)]={},_0x3eb5da['passwordPattern']=_0x3eb5da[_0x182c35(0x15b9)]&&_0x3eb5da[_0x182c35(0x15b9)][_0x182c35(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x3eb5da['title']=_0x182c35(0x277a),_0x3eb5da[_0x182c35(0xebc)]=angular[_0x182c35(0x235a)](_0x3ce8a3),_0x3eb5da[_0x182c35(0x15f2)]=_0x18bc36,_0x3eb5da['newChatProactiveAction']=![];!_0x3eb5da[_0x182c35(0xebc)]&&(_0x3eb5da[_0x182c35(0xebc)]={'type':_0x182c35(0x25dd)},_0x3eb5da[_0x182c35(0x1189)]='CHAT.NEW_CHATPROACTIVEACTION',_0x3eb5da[_0x182c35(0x1de)]=!![]);_0x120571[_0x182c35(0x16a)]['id']&&(_0x3eb5da['chatProactiveAction'][_0x182c35(0x1d92)]=_0x120571['params']['id']);_0x3eb5da[_0x182c35(0x1702)]=_0x3106ca,_0x3eb5da[_0x182c35(0x2397)]=_0x184fd4,_0x3eb5da[_0x182c35(0xacd)]=_0x5c7764,_0x3eb5da['getDateFromString']=_0x5aec33,_0x3eb5da[_0x182c35(0x13f3)]=_0x4dca18;function _0x3106ca(){const _0x4449e2=_0x182c35;_0x3eb5da['errors']=[],_0x2e1177[_0x4449e2(0xebc)][_0x4449e2(0x1e3)](_0x3eb5da['chatProactiveAction'])[_0x4449e2(0x2945)][_0x4449e2(0x146b)](function(_0x5621fa){const _0x204e24=_0x4449e2;_0x3eb5da[_0x204e24(0x15f2)][_0x204e24(0xb3d)](_0x5621fa[_0x204e24(0x2488)]()),_0x2ea8b5[_0x204e24(0x1c75)]({'title':_0x204e24(0x967),'msg':_0x3eb5da['chatProactiveAction'][_0x204e24(0x19eb)]?_0x3eb5da['chatProactiveAction'][_0x204e24(0x19eb)]+_0x204e24(0x1386):''}),_0x4dca18(_0x5621fa);})['catch'](function(_0x461475){const _0x4d6e20=_0x4449e2;if(_0x461475[_0x4d6e20(0x524)]&&_0x461475[_0x4d6e20(0x524)][_0x4d6e20(0xcef)]&&_0x461475[_0x4d6e20(0x524)][_0x4d6e20(0xcef)][_0x4d6e20(0x402)]){_0x3eb5da[_0x4d6e20(0xcef)]=_0x461475[_0x4d6e20(0x524)][_0x4d6e20(0xcef)]||[{'message':_0x461475[_0x4d6e20(0xd5f)](),'type':'api.chatProactiveAction.save'}];for(let _0x5310ae=0x0;_0x5310ae<_0x461475[_0x4d6e20(0x524)][_0x4d6e20(0xcef)][_0x4d6e20(0x402)];_0x5310ae+=0x1){_0x2ea8b5[_0x4d6e20(0x1980)]({'title':_0x461475['data']['errors'][_0x5310ae]['type'],'msg':_0x461475[_0x4d6e20(0x524)][_0x4d6e20(0xcef)][_0x5310ae][_0x4d6e20(0x7fd)]});}}else _0x2ea8b5[_0x4d6e20(0x1980)]({'title':_0x461475[_0x4d6e20(0x107b)]?_0x4d6e20(0x262a)+_0x461475['status']+_0x4d6e20(0x1315)+_0x461475['statusText']:_0x4d6e20(0x865),'msg':_0x461475['data']?JSON[_0x4d6e20(0x10bb)](_0x461475[_0x4d6e20(0x524)][_0x4d6e20(0x7fd)]):_0x461475[_0x4d6e20(0xd5f)]()});});}function _0x184fd4(){const _0x34104e=_0x182c35;_0x3eb5da[_0x34104e(0xcef)]=[],_0x2e1177[_0x34104e(0xebc)][_0x34104e(0x18e1)]({'id':_0x3eb5da[_0x34104e(0xebc)]['id']},_0x3eb5da[_0x34104e(0xebc)])[_0x34104e(0x2945)][_0x34104e(0x146b)](function(_0x3eb9e9){const _0x1df6fe=_0x34104e,_0x12fe8c=_0x3f65c0()[_0x1df6fe(0xc84)](_0x3eb5da[_0x1df6fe(0x15f2)],{'id':_0x3eb9e9['id']});_0x12fe8c&&_0x3f65c0()[_0x1df6fe(0x168d)](_0x12fe8c,_0x3f65c0()[_0x1df6fe(0x40e)](_0x3eb9e9['toJSON'](),_0x3f65c0()[_0x1df6fe(0x627)](_0x12fe8c))),_0x2ea8b5[_0x1df6fe(0x1c75)]({'title':_0x1df6fe(0x12fc),'msg':_0x3eb5da[_0x1df6fe(0xebc)][_0x1df6fe(0x19eb)]?_0x3eb5da['chatProactiveAction'][_0x1df6fe(0x19eb)]+_0x1df6fe(0x24db):''}),_0x4dca18(_0x3eb9e9);})[_0x34104e(0x129e)](function(_0x5c1ad7){const _0x2caf30=_0x34104e;if(_0x5c1ad7[_0x2caf30(0x524)]&&_0x5c1ad7[_0x2caf30(0x524)][_0x2caf30(0xcef)]&&_0x5c1ad7[_0x2caf30(0x524)]['errors']['length']){_0x3eb5da[_0x2caf30(0xcef)]=_0x5c1ad7[_0x2caf30(0x524)][_0x2caf30(0xcef)]||[{'message':_0x5c1ad7[_0x2caf30(0xd5f)](),'type':_0x2caf30(0x1a6d)}];for(let _0xe4f8d=0x0;_0xe4f8d<_0x5c1ad7['data'][_0x2caf30(0xcef)][_0x2caf30(0x402)];_0xe4f8d++){_0x2ea8b5[_0x2caf30(0x1980)]({'title':_0x5c1ad7[_0x2caf30(0x524)][_0x2caf30(0xcef)][_0xe4f8d][_0x2caf30(0x1142)],'msg':_0x5c1ad7[_0x2caf30(0x524)][_0x2caf30(0xcef)][_0xe4f8d][_0x2caf30(0x7fd)]});}}else _0x2ea8b5[_0x2caf30(0x1980)]({'title':_0x5c1ad7['status']?_0x2caf30(0x262a)+_0x5c1ad7[_0x2caf30(0x107b)]+_0x2caf30(0x1315)+_0x5c1ad7[_0x2caf30(0x167f)]:'api.chatProactiveAction.update','msg':_0x5c1ad7[_0x2caf30(0x524)]?JSON[_0x2caf30(0x10bb)](_0x5c1ad7['data'][_0x2caf30(0x7fd)]):_0x5c1ad7['toString']()});});}function _0x5c7764(_0x590da3){const _0x5b7c91=_0x182c35;_0x3eb5da[_0x5b7c91(0xcef)]=[];const _0x2ea28b=_0x3cb1d2[_0x5b7c91(0x1e8a)]()[_0x5b7c91(0x1189)](_0x5b7c91(0x1d64))[_0x5b7c91(0x80f)](_0x5b7c91(0xc03))[_0x5b7c91(0x4bd)](_0x5b7c91(0x1d34))['ok'](_0x5b7c91(0x25de))[_0x5b7c91(0x6c3)](_0x5b7c91(0xcf0))[_0x5b7c91(0x1f27)](_0x590da3);_0x3cb1d2[_0x5b7c91(0x2615)](_0x2ea28b)[_0x5b7c91(0x146b)](function(){const _0x3a2724=_0x5b7c91;_0x2e1177[_0x3a2724(0xebc)][_0x3a2724(0x1fac)]({'id':_0x3eb5da[_0x3a2724(0xebc)]['id']})['$promise'][_0x3a2724(0x146b)](function(){const _0x3c9144=_0x3a2724;_0x3f65c0()[_0x3c9144(0x2640)](_0x3eb5da[_0x3c9144(0x15f2)],{'id':_0x3eb5da['chatProactiveAction']['id']}),_0x2ea8b5['success']({'title':'ChatProactiveAction\x20properly\x20deleted!','msg':(_0x3eb5da[_0x3c9144(0xebc)][_0x3c9144(0x19eb)]||_0x3c9144(0xebc))+'\x20has\x20been\x20deleted!'}),_0x4dca18(_0x3eb5da[_0x3c9144(0xebc)]);})[_0x3a2724(0x129e)](function(_0x1097f6){const _0x371881=_0x3a2724;if(_0x1097f6[_0x371881(0x524)]&&_0x1097f6[_0x371881(0x524)][_0x371881(0xcef)]&&_0x1097f6[_0x371881(0x524)]['errors'][_0x371881(0x402)]){_0x3eb5da[_0x371881(0xcef)]=_0x1097f6[_0x371881(0x524)][_0x371881(0xcef)]||[{'message':_0x1097f6[_0x371881(0xd5f)](),'type':_0x371881(0xec1)}];for(let _0x3fcf4a=0x0;_0x3fcf4a<_0x1097f6[_0x371881(0x524)][_0x371881(0xcef)][_0x371881(0x402)];_0x3fcf4a++){_0x2ea8b5['error']({'title':_0x1097f6[_0x371881(0x524)]['errors'][_0x3fcf4a][_0x371881(0x1142)],'msg':_0x1097f6[_0x371881(0x524)][_0x371881(0xcef)][_0x3fcf4a][_0x371881(0x7fd)]});}}else _0x2ea8b5[_0x371881(0x1980)]({'title':_0x1097f6[_0x371881(0x107b)]?_0x371881(0x262a)+_0x1097f6[_0x371881(0x107b)]+'\x20-\x20'+_0x1097f6[_0x371881(0x167f)]:_0x371881(0xec1),'msg':_0x1097f6[_0x371881(0x524)]?JSON['stringify'](_0x1097f6[_0x371881(0x524)][_0x371881(0x7fd)]):_0x1097f6['message']||_0x1097f6[_0x371881(0xd5f)]()});});},function(){});}function _0x5aec33(_0x52fcfc){return _0x52fcfc===null?undefined:new Date(_0x52fcfc);}function _0x4dca18(_0x308404){_0x3cb1d2['hide'](_0x308404);}}const _0xfb11b8=_0x7d9a6a;;_0x21c2c1[_0x313a4d(0x11c2)]=[_0x313a4d(0x910)];function _0x21c2c1(_0x25da16){const _0x307bce=_0x313a4d,_0x553922=this;_0x553922[_0x307bce(0x189e)]={},_0x553922[_0x307bce(0x5aa)]=_0x2289cb,_0x553922['info']={};function _0x2289cb(_0x4ce128){const _0x3d03e4=_0x307bce;_0x553922[_0x3d03e4(0x189e)]=_0x4ce128,_0x553922[_0x3d03e4(0x48e)]=_0x3d03e4(0x16a8),_0x553922[_0x3d03e4(0x1a8)]=_0x3d03e4(0x1a4e)+_0x553922['chatWebsite']['remote']+'/api/chat/websites/'+_0x553922[_0x3d03e4(0x189e)]['id']+_0x3d03e4(0x1f90)+_0x553922[_0x3d03e4(0x189e)][_0x3d03e4(0x1957)]+'\x22>',_0x553922['end']=_0x3d03e4(0x16a8);}_0x25da16[_0x307bce(0x21e8)](_0x307bce(0x1cf0),function(){const _0x2cc95b=_0x307bce;_0x553922['script']=_0x2cc95b(0x1a4e)+_0x553922[_0x2cc95b(0x189e)][_0x2cc95b(0xc75)]+_0x2cc95b(0x2459)+_0x553922[_0x2cc95b(0x189e)]['id']+_0x2cc95b(0x1f90)+_0x553922[_0x2cc95b(0x189e)][_0x2cc95b(0x1957)]+_0x2cc95b(0x2667);});}const _0x4b269f=_0x21c2c1;;_0x3eb3ca[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),_0x313a4d(0x1fe4)];function _0x3eb3ca(_0x399759,_0xdeec37){const _0x159a17=_0x313a4d,_0x1b4cc4=this,_0x3e352e=0x8,_0x445632=_0x3e352e*0x400*0x400;_0x1b4cc4[_0x159a17(0x189e)]={},_0x1b4cc4['crudPermissions'],_0x1b4cc4['ngFlowOptions']={'singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0x445632,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x1b4cc4[_0x159a17(0x1376)]={'flow':{}},_0x1b4cc4[_0x159a17(0x240d)]=![],_0x1b4cc4[_0x159a17(0x143d)]=_0x4c873c,_0x1b4cc4['upload']=_0x2a890c,_0x1b4cc4[_0x159a17(0xc4c)]=_0x21458f,_0x1b4cc4[_0x159a17(0x5aa)]=_0x133974;function _0x133974(_0x302ee3,_0x195951){const _0x28c32f=_0x159a17;_0x1b4cc4[_0x28c32f(0x189e)]=angular[_0x28c32f(0x235a)](_0x302ee3),_0x1b4cc4[_0x28c32f(0x2514)]=typeof _0x195951!==_0x28c32f(0x2274)?_0x195951:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x1b4cc4[_0x28c32f(0x4c3)][_0x28c32f(0xe1d)]=_0x28c32f(0x13a8)['replace'](':id',_0x1b4cc4[_0x28c32f(0x189e)]['id']),_0x1b4cc4[_0x28c32f(0x189e)]['images']=[];}function _0x4c873c(_0x39ab56){const _0x1c1efd=_0x159a17,_0x43eaea=[_0x1c1efd(0xadf),'jpg'];if(!_0x3f65c0()[_0x1c1efd(0xa4e)](_0x43eaea,_0x39ab56[_0x1c1efd(0x193f)]()))return _0xdeec37[_0x1c1efd(0x1980)]({'title':_0x1c1efd(0x4c6)+_0x39ab56[_0x1c1efd(0x193f)](),'msg':_0x1c1efd(0x208f)+_0x43eaea[_0x1c1efd(0xb47)]()}),![];if(_0x39ab56[_0x1c1efd(0x4cc)]>_0x445632)return _0xdeec37[_0x1c1efd(0x1980)]({'title':_0x1c1efd(0x625),'msg':_0x1c1efd(0x923)+_0x3e352e+'MB'}),![];const _0x23548c={'id':_0x39ab56[_0x1c1efd(0x1276)],'file':_0x39ab56,'type':_0x1c1efd(0x159c)};return _0x1b4cc4['chatWebsite']['images'][_0x1c1efd(0xb3d)](_0x23548c),!![];}function _0x2a890c(){const _0x1b0255=_0x159a17;_0x1b4cc4[_0x1b0255(0x1376)]['flow'][_0x1b0255(0x1da1)][_0x1b0255(0xa01)]={'X-Requested-With':'XMLHttpRequest','Authorization':_0x1b0255(0x2745)+_0x399759[_0x1b0255(0x16b4)](_0x1b0255(0xe2d))},_0x1b4cc4[_0x1b0255(0x1376)][_0x1b0255(0x963)][_0x1b0255(0x1dc6)]();}function _0x21458f(_0x261d36){const _0xca00c5=_0x159a17;angular[_0xca00c5(0x1df5)](_0x1b4cc4[_0xca00c5(0x189e)]['images'],function(_0x47a4fd){const _0x477756=_0xca00c5;if(_0x47a4fd['id']===_0x261d36[_0x477756(0x1276)]){const _0x3b1fcb=new FileReader();_0x3b1fcb[_0x477756(0xa0c)](_0x47a4fd[_0x477756(0x252e)][_0x477756(0x252e)]),_0x3b1fcb['onload']=function(_0x1af64d){const _0x3e45d1=_0x477756;_0x47a4fd[_0x3e45d1(0x20cc)]=_0x1af64d['target'][_0x3e45d1(0x61a)];},_0x47a4fd[_0x477756(0x1142)]=_0x477756(0x106c);}});}}const _0x4943d7=_0x3eb3ca;;_0x3922a7[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x214b),_0x313a4d(0x2690),_0x313a4d(0x15b9),'api',_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x189e),_0x313a4d(0x1366)];function _0x3922a7(_0x29c92a,_0x428e7c,_0x1c4c8f,_0x4cb30f,_0x2ccc9b,_0x383c65,_0x1e7dc7,_0x18b4ea,_0x1f25a3,_0x258441,_0x136bdf,_0x5d339a){const _0x1fb36c=_0x313a4d,_0x46fc25=this;_0x46fc25['currentUser']=_0x258441[_0x1fb36c(0xb12)](),_0x46fc25[_0x1fb36c(0x2690)]=_0x383c65,_0x46fc25['setting']=_0x1e7dc7,_0x46fc25['passwordPattern']=_0x46fc25[_0x1fb36c(0x15b9)][_0x1fb36c(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x46fc25[_0x1fb36c(0x1002)]=_0x428e7c[_0x1fb36c(0x2414)]()+_0x1fb36c(0xb0e)+_0x428e7c[_0x1fb36c(0x148e)](),_0x46fc25[_0x1fb36c(0x189e)]=_0x136bdf||_0x29c92a[_0x1fb36c(0x16a)][_0x1fb36c(0x189e)]||{},_0x46fc25['userProfileSection']=_0x5d339a&&_0x5d339a[_0x1fb36c(0x51c)]==0x1?_0x5d339a['rows'][0x0]:null,_0x46fc25['crudPermissions']=_0x258441[_0x1fb36c(0xe60)](_0x46fc25[_0x1fb36c(0x1366)]?_0x46fc25['userProfileSection'][_0x1fb36c(0x2514)]:null),_0x46fc25[_0x1fb36c(0x855)]={},_0x46fc25['selectedTab']=_0x29c92a[_0x1fb36c(0x16a)]['tab']||0x0,_0x46fc25[_0x1fb36c(0x1027)]=_0x2c7711,_0x46fc25['alert']=_0x1f25a3[_0x1fb36c(0x271e)],_0x46fc25[_0x1fb36c(0xe1b)]=_0x132eb8,_0x46fc25[_0x1fb36c(0x14af)]=_0x3811d5,_0x258441[_0x1fb36c(0x23e0)](_0x1fb36c(0x174b))?_0x18b4ea[_0x1fb36c(0xf03)]['get']({'fields':_0x1fb36c(0x7a7),'sort':_0x1fb36c(0x19eb)})[_0x1fb36c(0x2945)]['then'](function(_0x59ab36){const _0x144b67=_0x1fb36c;_0x46fc25[_0x144b67(0x1324)]=_0x59ab36[_0x144b67(0x19c7)]||[];})['catch'](function(_0x3cbd62){const _0x43013d=_0x1fb36c;_0x1f25a3['error']({'title':_0x3cbd62[_0x43013d(0x107b)]?'API:'+_0x3cbd62[_0x43013d(0x107b)]+_0x43013d(0x1315)+_0x3cbd62[_0x43013d(0x167f)]:'SYSTEM:GET_LISTS','msg':_0x3cbd62[_0x43013d(0x524)]?JSON['stringify'](_0x3cbd62[_0x43013d(0x524)]):_0x3cbd62[_0x43013d(0xd5f)]()});}):_0x18b4ea[_0x1fb36c(0xf03)]['get']({'fields':_0x1fb36c(0x7a7),'sort':'name'})[_0x1fb36c(0x2945)]['then'](function(_0x516adb){const _0x48b38f=_0x1fb36c;_0x46fc25[_0x48b38f(0x1324)]=_0x516adb['rows']||[];})[_0x1fb36c(0x146b)](function(){const _0x1ef344=_0x1fb36c;return _0x18b4ea[_0x1ef344(0x1366)][_0x1ef344(0x16b4)]({'userProfileId':_0x46fc25['currentUser']['userProfileId'],'sectionId':0x12d})['$promise'];})[_0x1fb36c(0x146b)](function(_0x95e8c5){const _0x28d74b=_0x1fb36c,_0x12c875=_0x95e8c5&&_0x95e8c5['rows']?_0x95e8c5[_0x28d74b(0x19c7)][0x0]:null;if(!_0x12c875){const _0x1bde29=[];let _0x4bc158=null;_0x46fc25[_0x28d74b(0x189e)]&&(_0x4bc158=_0x3f65c0()[_0x28d74b(0xc84)](_0x46fc25[_0x28d74b(0x1324)],{'id':Number(_0x46fc25[_0x28d74b(0x189e)][_0x28d74b(0xb7c)])}));for(let _0x42d357=0x0;_0x42d357<_0x46fc25['lists'][_0x28d74b(0x402)];_0x42d357++){_0x4bc158&&_0x46fc25[_0x28d74b(0x1324)][_0x42d357]['id']===_0x4bc158['id']&&(_0x46fc25[_0x28d74b(0x1324)][_0x42d357][_0x28d74b(0x8ff)]=![],_0x1bde29[_0x28d74b(0x1f47)](_0x46fc25[_0x28d74b(0x1324)][_0x42d357]));}_0x46fc25[_0x28d74b(0x1324)]=_0x1bde29;}else{if(!_0x12c875[_0x28d74b(0x11d2)])return _0x18b4ea[_0x28d74b(0xdcc)]['get']({'sectionId':_0x12c875['id']})[_0x28d74b(0x2945)][_0x28d74b(0x146b)](function(_0xa7c1d3){const _0x33cb79=_0x28d74b,_0x4cfe09=_0x3f65c0()['map'](_0xa7c1d3[_0x33cb79(0x19c7)],function(_0x109cc8){const _0x58d2fc=_0x33cb79;return _0x3f65c0()[_0x58d2fc(0xc84)](_0x46fc25[_0x58d2fc(0x1324)],{'id':_0x109cc8[_0x58d2fc(0x18b8)]});});let _0x5b6194=null;_0x46fc25[_0x33cb79(0x189e)]&&(_0x5b6194=_0x3f65c0()['find'](_0x46fc25[_0x33cb79(0x1324)],{'id':Number(_0x46fc25[_0x33cb79(0x189e)][_0x33cb79(0xb7c)])}));if(_0x5b6194&&!_0x3f65c0()[_0x33cb79(0x1360)](_0x4cfe09,['id',_0x5b6194['id']])){const _0x1fda1=_0x3f65c0()[_0x33cb79(0xc84)](_0x46fc25[_0x33cb79(0x1324)],{'id':_0x5b6194['id']});_0x1fda1[_0x33cb79(0x8ff)]=![],_0x4cfe09[_0x33cb79(0x1f47)](_0x1fda1);}_0x46fc25[_0x33cb79(0x1324)]=_0x4cfe09;});}})[_0x1fb36c(0x129e)](function(_0x784d7c){const _0xb179ce=_0x1fb36c;_0x1f25a3['error']({'title':_0x784d7c[_0xb179ce(0x107b)]?_0xb179ce(0x262a)+_0x784d7c['status']+_0xb179ce(0x1315)+_0x784d7c['statusText']:_0xb179ce(0x1a2f),'msg':_0x784d7c['data']?JSON[_0xb179ce(0x10bb)](_0x784d7c[_0xb179ce(0x524)]):_0x784d7c['toString']()});}),_0x258441[_0x1fb36c(0x23e0)](_0x1fb36c(0x174b))?_0x18b4ea[_0x1fb36c(0x2765)][_0x1fb36c(0x16b4)]({'fields':_0x1fb36c(0x7a7),'sort':_0x1fb36c(0x19eb),'IntervalId':_0x1fb36c(0x203c)})[_0x1fb36c(0x2945)][_0x1fb36c(0x146b)](function(_0x14cf5e){const _0x2244e1=_0x1fb36c;_0x46fc25[_0x2244e1(0x2765)]=_0x14cf5e['rows']||[];})[_0x1fb36c(0x129e)](function(_0x408507){const _0x4de3bb=_0x1fb36c;_0x1f25a3[_0x4de3bb(0x1980)]({'title':_0x408507['status']?'API:'+_0x408507[_0x4de3bb(0x107b)]+_0x4de3bb(0x1315)+_0x408507[_0x4de3bb(0x167f)]:_0x4de3bb(0x12c5),'msg':_0x408507[_0x4de3bb(0x524)]?JSON[_0x4de3bb(0x10bb)](_0x408507[_0x4de3bb(0x524)]):_0x408507['toString']()});}):_0x18b4ea[_0x1fb36c(0x2765)]['get']({'fields':'id,name','sort':_0x1fb36c(0x19eb),'IntervalId':'null'})[_0x1fb36c(0x2945)]['then'](function(_0x5a2abc){const _0x4742c1=_0x1fb36c;_0x46fc25[_0x4742c1(0x2765)]=_0x5a2abc[_0x4742c1(0x19c7)]||[];})[_0x1fb36c(0x146b)](function(){const _0x3eaccf=_0x1fb36c;return _0x18b4ea[_0x3eaccf(0x1366)][_0x3eaccf(0x16b4)]({'userProfileId':_0x46fc25['currentUser'][_0x3eaccf(0x209a)],'sectionId':0x3ec})['$promise'];})[_0x1fb36c(0x146b)](function(_0x25a5d2){const _0x275032=_0x1fb36c,_0x433317=_0x25a5d2&&_0x25a5d2[_0x275032(0x19c7)]?_0x25a5d2[_0x275032(0x19c7)][0x0]:null;if(!_0x433317){const _0x51cf99=[];let _0x51f5fa=null;_0x46fc25[_0x275032(0x189e)]&&(_0x51f5fa=_0x3f65c0()[_0x275032(0xc84)](_0x46fc25[_0x275032(0x2765)],{'id':Number(_0x46fc25[_0x275032(0x189e)][_0x275032(0x1ff2)])}));for(let _0x451df0=0x0;_0x451df0<_0x46fc25[_0x275032(0x2765)][_0x275032(0x402)];_0x451df0++){_0x51f5fa&&_0x46fc25[_0x275032(0x2765)][_0x451df0]['id']===_0x51f5fa['id']&&(_0x46fc25[_0x275032(0x2765)][_0x451df0][_0x275032(0x8ff)]=![],_0x51cf99[_0x275032(0x1f47)](_0x46fc25[_0x275032(0x2765)][_0x451df0]));}_0x46fc25[_0x275032(0x2765)]=_0x51cf99;}else{if(!_0x433317[_0x275032(0x11d2)])return _0x18b4ea['userProfileResource'][_0x275032(0x16b4)]({'sectionId':_0x433317['id']})['$promise']['then'](function(_0x36924a){const _0x58f2a4=_0x275032,_0x2c1d83=_0x3f65c0()[_0x58f2a4(0x205)](_0x36924a[_0x58f2a4(0x19c7)],function(_0x39d732){const _0x59ffd5=_0x58f2a4;return _0x3f65c0()['find'](_0x46fc25[_0x59ffd5(0x2765)],{'id':_0x39d732[_0x59ffd5(0x18b8)]});});let _0x267528=null;_0x46fc25[_0x58f2a4(0x189e)]&&(_0x267528=_0x3f65c0()['find'](_0x46fc25['interval'],{'id':Number(_0x46fc25[_0x58f2a4(0x189e)][_0x58f2a4(0x1ff2)])}));if(_0x267528&&!_0x3f65c0()[_0x58f2a4(0x1360)](_0x2c1d83,['id',_0x267528['id']])){const _0x5e5ca7=_0x3f65c0()[_0x58f2a4(0xc84)](_0x46fc25[_0x58f2a4(0x2765)],{'id':_0x267528['id']});_0x5e5ca7[_0x58f2a4(0x8ff)]=![],_0x2c1d83[_0x58f2a4(0x1f47)](_0x5e5ca7);}_0x46fc25[_0x58f2a4(0x2765)]=_0x2c1d83;});}})[_0x1fb36c(0x129e)](function(_0x661122){const _0x15c646=_0x1fb36c;_0x1f25a3[_0x15c646(0x1980)]({'title':_0x661122['status']?_0x15c646(0x262a)+_0x661122[_0x15c646(0x107b)]+_0x15c646(0x1315)+_0x661122[_0x15c646(0x167f)]:_0x15c646(0x8b6),'msg':_0x661122[_0x15c646(0x524)]?JSON['stringify'](_0x661122[_0x15c646(0x524)]):_0x661122[_0x15c646(0xd5f)]()});}),_0x258441[_0x1fb36c(0x23e0)](_0x1fb36c(0x174b))?_0x18b4ea[_0x1fb36c(0x1e3e)][_0x1fb36c(0x16b4)]({'fields':_0x1fb36c(0x69a),'sort':_0x1fb36c(0x19eb),'nolimit':_0x1fb36c(0x1185)})['$promise']['then'](function(_0x13da20){const _0x8cb230=_0x1fb36c;_0x46fc25[_0x8cb230(0x23bb)]=_0x13da20[_0x8cb230(0x19c7)]||[];})['catch'](function(_0x34d190){const _0x5c36ea=_0x1fb36c;_0x1f25a3[_0x5c36ea(0x1980)]({'title':_0x34d190[_0x5c36ea(0x107b)]?_0x5c36ea(0x262a)+_0x34d190[_0x5c36ea(0x107b)]+_0x5c36ea(0x1315)+_0x34d190[_0x5c36ea(0x167f)]:'SYSTEM:GET_PAUSES','msg':_0x34d190[_0x5c36ea(0x524)]?JSON['stringify'](_0x34d190[_0x5c36ea(0x524)]):_0x34d190[_0x5c36ea(0xd5f)]()});}):_0x18b4ea[_0x1fb36c(0x1e3e)][_0x1fb36c(0x16b4)]({'fields':_0x1fb36c(0x69a),'sort':'name','nolimit':'true'})[_0x1fb36c(0x2945)]['then'](function(_0x22593c){const _0xa1ee4e=_0x1fb36c;_0x46fc25[_0xa1ee4e(0x23bb)]=_0x22593c[_0xa1ee4e(0x19c7)]||[];})[_0x1fb36c(0x146b)](function(){const _0x43dccd=_0x1fb36c;return _0x18b4ea[_0x43dccd(0x1366)][_0x43dccd(0x16b4)]({'userProfileId':_0x46fc25[_0x43dccd(0x2321)][_0x43dccd(0x209a)],'sectionId':0x3ed})[_0x43dccd(0x2945)];})['then'](function(_0x5689c1){const _0x57930c=_0x1fb36c,_0x519df6=_0x5689c1&&_0x5689c1[_0x57930c(0x19c7)]?_0x5689c1['rows'][0x0]:null;if(!_0x519df6)_0x46fc25[_0x57930c(0x23bb)]=[];else{if(!_0x519df6[_0x57930c(0x11d2)])return _0x18b4ea[_0x57930c(0xdcc)]['get']({'sectionId':_0x519df6['id']})[_0x57930c(0x2945)][_0x57930c(0x146b)](function(_0x2524b2){const _0x3d9214=_0x57930c,_0x53269b=_0x3f65c0()['map'](_0x2524b2[_0x3d9214(0x19c7)],function(_0x29a1f1){const _0x24a39c=_0x3d9214;return _0x3f65c0()[_0x24a39c(0xc84)](_0x46fc25[_0x24a39c(0x23bb)],{'id':_0x29a1f1[_0x24a39c(0x18b8)]});});_0x46fc25[_0x3d9214(0x23bb)][_0x3d9214(0x1df5)](function(_0x8038c2){const _0x3ec3d1=_0x3d9214;!_0x3f65c0()[_0x3ec3d1(0x1360)](_0x53269b,['id',_0x8038c2['id']])&&(_0x8038c2['canSelect']=![]),_0x53269b[_0x3ec3d1(0x1f47)](_0x8038c2);}),_0x46fc25[_0x3d9214(0x23bb)]=_0x53269b;});}})['catch'](function(_0x1fceb7){const _0x11b84f=_0x1fb36c;_0x1f25a3[_0x11b84f(0x1980)]({'title':_0x1fceb7[_0x11b84f(0x107b)]?'API:'+_0x1fceb7[_0x11b84f(0x107b)]+'\x20-\x20'+_0x1fceb7['statusText']:_0x11b84f(0x176),'msg':_0x1fceb7['data']?JSON[_0x11b84f(0x10bb)](_0x1fceb7[_0x11b84f(0x524)]):_0x1fceb7['toString']()});});function _0x2c7711(_0x3434c0,_0x4d02ed){const _0x3aa27b=_0x1fb36c;_0x1c4c8f[_0x3aa27b(0x2615)]({'controller':_0x3aa27b(0x6ec),'controllerAs':'vm','templateUrl':_0x15502c,'parent':angular[_0x3aa27b(0x1853)](_0x4cb30f['body']),'targetEvent':_0x4d02ed,'clickOutsideToClose':!![],'locals':{'chatWebsite':_0x3434c0,'chatWebsites':_0x46fc25[_0x3aa27b(0x2710)]?_0x46fc25['chatWebsites']['rows']:[],'crudPermissions':_0x46fc25[_0x3aa27b(0x2514)],'realtime':![]}});}function _0x132eb8(){const _0x5a3b86=_0x1fb36c;_0x29c92a['go'](_0x5a3b86(0x21f),{},{'reload':_0x5a3b86(0x21f)});}function _0x3811d5(){const _0x2ff948=_0x1fb36c;_0x18b4ea[_0x2ff948(0x189e)]['update']({'id':_0x46fc25[_0x2ff948(0x189e)]['id']},_0x46fc25[_0x2ff948(0x189e)])[_0x2ff948(0x2945)][_0x2ff948(0x146b)](function(){const _0x4897d7=_0x2ff948;_0x1f25a3[_0x4897d7(0x1c75)]({'title':_0x4897d7(0x1462),'msg':_0x46fc25[_0x4897d7(0x189e)][_0x4897d7(0x19eb)]?_0x46fc25[_0x4897d7(0x189e)]['name']+_0x4897d7(0x6b0):''});})[_0x2ff948(0x129e)](function(_0x454916){const _0x462f98=_0x2ff948;_0x1f25a3[_0x462f98(0x1980)]({'title':_0x454916[_0x462f98(0x107b)]?_0x462f98(0x262a)+_0x454916[_0x462f98(0x107b)]+_0x462f98(0x1315)+_0x454916[_0x462f98(0x167f)]:_0x462f98(0xfb3),'msg':_0x454916[_0x462f98(0x524)]?JSON[_0x462f98(0x10bb)](_0x454916[_0x462f98(0x524)]):_0x454916[_0x462f98(0xd5f)]()});});}}const _0x29c58d=_0x3922a7;;_0xcac9cf['$inject']=[_0x313a4d(0x921),'$translatePartialLoaderProvider'];function _0xcac9cf(_0x4e1428,_0x21dcc2){const _0xbf442f=_0x313a4d;_0x4e1428['state']('app.chat',{'abstract':!![],'url':_0xbf442f(0xfbe)})['state']('app.chat.chatQueues',{'url':_0xbf442f(0xdda),'views':{'content@app':{'templateUrl':_0x31d977,'controller':_0xbf442f(0x6ff)}},'resolve':{'chatQueues':[_0xbf442f(0x362),_0xbf442f(0xa87),function(_0x261136,_0x5634f0){const _0x38eb06=_0xbf442f;return _0x5634f0['hasRole'](_0x38eb06(0x174b))?_0x261136[_0x38eb06(0x2922)](_0x38eb06(0x1b30),{'fields':_0x38eb06(0xa22),'sort':_0x38eb06(0x12f2),'channel':_0x38eb06(0xa7f),'limit':0xa,'offset':0x0}):_0x261136[_0x38eb06(0x2922)](_0x38eb06(0x938),{'id':_0x5634f0[_0x38eb06(0xb12)]()[_0x38eb06(0x209a)],'section':'ChatQueues','fields':'createdAt,updatedAt,id,name,strategy,timeout,description','sort':'-updatedAt','channel':_0x38eb06(0xa7f),'limit':0xa,'offset':0x0});}],'userProfile':[_0xbf442f(0x362),'Auth',function(_0x302bab,_0xc24a01){const _0x264e80=_0xbf442f;return _0xc24a01[_0x264e80(0x23e0)](_0x264e80(0x174b))?null:_0x302bab[_0x264e80(0x2922)]('userProfile@get',{'fields':_0x264e80(0x227),'id':_0xc24a01[_0x264e80(0xb12)]()[_0x264e80(0x209a)]});}],'userProfileSection':[_0xbf442f(0x362),'Auth',function(_0x2212da,_0x503e47){const _0x983456=_0xbf442f;return _0x503e47[_0x983456(0x23e0)](_0x983456(0x174b))?null:_0x2212da[_0x983456(0x2922)](_0x983456(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x503e47['getCurrentUser']()[_0x983456(0x209a)],'sectionId':0x1f5});}]},'authenticate':!![],'permissionId':0x1f5,'bodyClass':_0xbf442f(0xa7f)})[_0xbf442f(0x13d6)](_0xbf442f(0x1a5f),{'url':'/:id?tab','params':{'chatQueue':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x1185d3,'controller':_0xbf442f(0x1f44)}},'resolve':{'chatQueue':[_0xbf442f(0x362),_0xbf442f(0x28c8),function(_0x59db69,_0x182c1c){const _0x2ac0a6=_0xbf442f;return _0x59db69[_0x2ac0a6(0x2922)]('chatQueue@get',{'fields':'createdAt,updatedAt,id,name,strategy,timeout,description','id':_0x182c1c['id']});}],'userProfileSection':['apiResolver',_0xbf442f(0xa87),function(_0x3acf74,_0x237e05){const _0x3d89f1=_0xbf442f;return _0x3acf74[_0x3d89f1(0x2922)](_0x3d89f1(0x27be),{'fields':_0x3d89f1(0x1e64),'userProfileId':_0x237e05[_0x3d89f1(0xb12)]()[_0x3d89f1(0x209a)],'sectionId':0x1f5});}]},'authenticate':!![],'permissionId':0x1f5,'bodyClass':_0xbf442f(0xa7f)})[_0xbf442f(0x13d6)](_0xbf442f(0x21f),{'url':_0xbf442f(0x16fd),'views':{'content@app':{'templateUrl':_0x28faca,'controller':_0xbf442f(0x1f96)}},'resolve':{'chatWebsites':[_0xbf442f(0x362),_0xbf442f(0xa87),function(_0x4d07b6,_0x4430e0){const _0x3a7958=_0xbf442f;return _0x4430e0[_0x3a7958(0x23e0)](_0x3a7958(0x174b))?_0x4d07b6['resolve'](_0x3a7958(0x176b),{'fields':_0x3a7958(0x1c12),'sort':_0x3a7958(0x12f2),'limit':0xa,'offset':0x0}):_0x4d07b6[_0x3a7958(0x2922)](_0x3a7958(0x938),{'id':_0x4430e0[_0x3a7958(0xb12)]()['userProfileId'],'section':'ChatWebsites','fields':_0x3a7958(0x1c12),'sort':'-updatedAt','limit':0xa,'offset':0x0});}],'userProfile':['apiResolver',_0xbf442f(0xa87),function(_0x348564,_0x5c1482){const _0x17ee9a=_0xbf442f;return _0x5c1482[_0x17ee9a(0x23e0)](_0x17ee9a(0x174b))?null:_0x348564['resolve']('userProfile@get',{'fields':_0x17ee9a(0x227),'id':_0x5c1482[_0x17ee9a(0xb12)]()[_0x17ee9a(0x209a)]});}],'userProfileSection':['apiResolver',_0xbf442f(0xa87),function(_0x291a09,_0x208161){const _0x4d14d5=_0xbf442f;return _0x208161[_0x4d14d5(0x23e0)]('admin')?null:_0x291a09[_0x4d14d5(0x2922)](_0x4d14d5(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x208161[_0x4d14d5(0xb12)]()[_0x4d14d5(0x209a)],'sectionId':0x1f6});}]},'authenticate':!![],'permissionId':0x1f6,'bodyClass':_0xbf442f(0xa7f)})[_0xbf442f(0x13d6)](_0xbf442f(0x6f1),{'url':_0xbf442f(0x2028),'params':{'chatWebsite':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x121d2f,'controller':_0xbf442f(0x266f)}},'resolve':{'chatWebsite':[_0xbf442f(0x362),_0xbf442f(0x28c8),function(_0x57ab18,_0x4e847a){const _0x2db932=_0xbf442f;return _0x57ab18[_0x2db932(0x2922)](_0x2db932(0x176b),{'fields':_0x2db932(0x1c12),'id':_0x4e847a['id']});}],'userProfileSection':[_0xbf442f(0x362),_0xbf442f(0xa87),function(_0x57544a,_0x37b2d3){const _0x11db3c=_0xbf442f;return _0x57544a[_0x11db3c(0x2922)](_0x11db3c(0x27be),{'fields':_0x11db3c(0x1e64),'userProfileId':_0x37b2d3['getCurrentUser']()[_0x11db3c(0x209a)],'sectionId':0x1f6});}]},'authenticate':!![],'permissionId':0x1f6,'bodyClass':_0xbf442f(0xa7f)}),_0x21dcc2[_0xbf442f(0x15bf)](_0xbf442f(0x3fa));}angular[_0x313a4d(0x2528)](_0x313a4d(0x1f22),['ngCsv',_0x313a4d(0x962),_0x313a4d(0x23c7),_0x313a4d(0x963),_0x313a4d(0x19e2),'ckeditor','ng-sortable',_0x313a4d(0x15ef),'ngAnimate',_0x313a4d(0x1f5f),_0x313a4d(0x28a8),_0x313a4d(0xfb2),_0x313a4d(0x1e57),'ngclipboard',_0x313a4d(0x1bd2),_0x313a4d(0x1792),_0x313a4d(0x1b65),'material.components.expansionPanels',_0x313a4d(0x2713),_0x313a4d(0x11df),'app.chat.realtime'])['config'](_0xcac9cf)[_0x313a4d(0x28f0)](_0x313a4d(0x2410),_0x2f45e5)[_0x313a4d(0x28f0)]('CreateOrEditChatQueueDialogController',_0x2c1b81)[_0x313a4d(0x28f0)](_0x313a4d(0xc47),_0x1f34f3)['controller'](_0x313a4d(0xaae),_0x4730d1)[_0x313a4d(0x28f0)](_0x313a4d(0x1269),_0x704b4f)['controller'](_0x313a4d(0x11fc),_0x1ca336)[_0x313a4d(0x28f0)](_0x313a4d(0x160e),_0x3e3ab4)[_0x313a4d(0x28f0)](_0x313a4d(0xbb9),_0x21f5a2)[_0x313a4d(0x28f0)](_0x313a4d(0x6ec),_0x125bc9)['controller'](_0x313a4d(0x1677),_0x4303c2)[_0x313a4d(0x28f0)](_0x313a4d(0x164f),_0x102235)[_0x313a4d(0x28f0)]('EditChatWebsiteAppamazonlexDialogController',_0xdf96c3)['controller'](_0x313a4d(0x74c),_0xdf96c3)['controller']('EditChatWebsiteAppautoreplyDialogController',_0x108937)[_0x313a4d(0x28f0)]('EditChatWebsiteAppcloseDialogController',_0x414932)[_0x313a4d(0x28f0)](_0x313a4d(0x1c91),_0x1d362b)[_0x313a4d(0x28f0)](_0x313a4d(0x19bb),_0x31d454)[_0x313a4d(0x28f0)]('EditChatWebsiteAppgotopDialogController',_0x33c1a5)[_0x313a4d(0x28f0)](_0x313a4d(0x4d0),_0x5a7e15)[_0x313a4d(0x28f0)]('EditChatWebsiteAppnoopDialogController',_0x26e4ca)[_0x313a4d(0x28f0)]('EditChatWebsiteAppqueueDialogController',_0x35648b)[_0x313a4d(0x28f0)]('EditChatWebsiteAppsystemDialogController',_0x1331e6)[_0x313a4d(0x28f0)](_0x313a4d(0x1598),_0x34c4be)[_0x313a4d(0x28f0)](_0x313a4d(0x137c),_0x43057f)['controller']('CreateOrEditChatCannedAnswerDialogController',_0x2ace20)['controller'](_0x313a4d(0x1d63),_0x2af6ba)[_0x313a4d(0x28f0)](_0x313a4d(0x1ce4),_0x222367)['controller'](_0x313a4d(0x23f4),_0x3e63b4)[_0x313a4d(0x28f0)](_0x313a4d(0x2942),_0x3db1c1)[_0x313a4d(0x28f0)]('ChatWebsiteInteractionsController',_0x9b4402)[_0x313a4d(0x28f0)](_0x313a4d(0x1056),_0x4c5187)[_0x313a4d(0x28f0)](_0x313a4d(0x1785),_0x3ef50c)[_0x313a4d(0x28f0)](_0x313a4d(0x7c2),_0x222102)[_0x313a4d(0x28f0)](_0x313a4d(0x17f9),_0x47a9ea)[_0x313a4d(0x28f0)](_0x313a4d(0x1791),_0xfb11b8)['controller'](_0x313a4d(0x1049),_0x4b269f)['controller'](_0x313a4d(0x1da2),_0x4943d7)[_0x313a4d(0x28f0)](_0x313a4d(0xc12),_0x29c58d);;const _0x3f82bd=_0x4acfac['p']+_0x313a4d(0x21c7);;const _0x1e0eb0=_0x4acfac['p']+'src/js/modules/main/apps/mail/views/realtime/agents/view.html/view.html';;const _0x26c266=_0x4acfac['p']+'src/js/modules/main/apps/mail/views/realtime/queues/view.html/view.html';;const _0x1be58e=_0x4acfac['p']+_0x313a4d(0xbcd);;_0x148cd7[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$q',_0x313a4d(0x1abe),_0x313a4d(0x10e8),'$document',_0x313a4d(0x1ee0),_0x313a4d(0x23bb),_0x313a4d(0x23c0),_0x313a4d(0x2445),_0x313a4d(0x247f),'socket',_0x313a4d(0x1fe4),'helperClasses','userProfile',_0x313a4d(0x1366),_0x313a4d(0xa87),_0x313a4d(0x2690),'$interval'];function _0x148cd7(_0x3738aa,_0x40ea9f,_0x3dc822,_0x2e185a,_0x41a93f,_0x179aff,_0x1fd4be,_0x3a83cf,_0x184ea0,_0x95590,_0x100520,_0x6d4cb2,_0x5a61d6,_0x1a10c7,_0x337532,_0x5c6b2b,_0x275dc0,_0x2d8362){const _0x268c5b=_0x313a4d,_0xe710b3=this,_0x52b5b5=[_0x268c5b(0x1fce),'online',_0x268c5b(0x1d01),_0x268c5b(0x192a),_0x268c5b(0x1125),_0x268c5b(0x192a),_0x268c5b(0x113f),_0x268c5b(0x2bb),_0x268c5b(0x5f7),'openchannelPause',_0x268c5b(0x1e9e),_0x268c5b(0x17c),'whatsappPause','faxPause',_0x268c5b(0x211c),_0x268c5b(0x6e4),_0x268c5b(0x15fd),'mailCapacity','smsCapacity',_0x268c5b(0x237c),_0x268c5b(0x1cf6),_0x268c5b(0xaac),_0x268c5b(0x247),'mailCurrentCapacity','smsCurrentCapacity',_0x268c5b(0xfc1),_0x268c5b(0x1815),'chatStatus','openchannelStatus','mailStatus','smsStatus','whatsappStatus',_0x268c5b(0x15ea),_0x268c5b(0x685),_0x268c5b(0x1a22),'openchannelStatusTime',_0x268c5b(0x10cd),_0x268c5b(0x1f5c),'whatsappStatusTime','faxStatusTime',_0x268c5b(0x1dda),'queue','destconnectedlinenum',_0x268c5b(0x1ca2),_0x268c5b(0x4e3),'phoneBarEnableAutomaticScreenRecording'],_0x5e4a59=['chatPause',_0x268c5b(0xcc5),'mailPause','smsPause','whatsappPause',_0x268c5b(0x25c4),_0x268c5b(0x211c),'online'];_0xe710b3[_0x268c5b(0x2321)]=_0x5c6b2b[_0x268c5b(0xb12)](),_0xe710b3[_0x268c5b(0xe3e)]=[_0x268c5b(0xad4),_0x268c5b(0xfe4),'busy',_0x268c5b(0x776),_0x268c5b(0x254d)],_0xe710b3['pauses']=_0x1fd4be||{'count':0x0,'rows':[]},_0xe710b3[_0x268c5b(0x2690)]=_0x275dc0,_0xe710b3[_0x268c5b(0x26b6)]=_0x1a10c7,_0xe710b3[_0x268c5b(0x1366)]=_0x337532&&_0x337532[_0x268c5b(0x51c)]==0x1?_0x337532['rows'][0x0]:null,_0xe710b3[_0x268c5b(0x2514)]=_0x5c6b2b[_0x268c5b(0xe60)](_0xe710b3[_0x268c5b(0x1366)]?_0xe710b3['userProfileSection'][_0x268c5b(0x2514)]:null),_0xe710b3['agents']=_0x3a83cf?_0x3f65c0()['keyBy'](_0x3a83cf[_0x268c5b(0x19c7)]?_0x3a83cf['rows']:[],'id'):{},_0xe710b3[_0x268c5b(0x2445)]=_0x184ea0?_0x3f65c0()[_0x268c5b(0x194)](_0x184ea0['rows']?_0x184ea0[_0x268c5b(0x19c7)]:[],'id'):{},_0xe710b3['paginatedAgents']={},_0xe710b3[_0x268c5b(0x2412)]=![],_0xe710b3[_0x268c5b(0x206e)]=[],_0xe710b3[_0x268c5b(0x626)]={};for(const _0x95ac4f in _0xe710b3['agents']){typeof _0xe710b3[_0x268c5b(0x23c0)][_0x95ac4f]!==_0x268c5b(0x2274)&&(_0xe710b3[_0x268c5b(0x23c0)][_0x95ac4f]['init']=!![]);}_0xe710b3['query']={'limit':0xa,'page':0x1,'order':_0x268c5b(0x286a),'globalStatusFilter':'','pauseTypeFilter':''},_0xe710b3[_0x268c5b(0x2044)]=_0xb17af8,_0xe710b3[_0x268c5b(0x2677)]=_0x17a4c9,_0xe710b3[_0x268c5b(0x1e3e)]=_0x30507a,_0xe710b3['unPause']=_0x5b09e9,_0xe710b3[_0x268c5b(0x232c)]=_0x56bd18,_0xe710b3['getAgentStatus']=_0x4b19b1,_0xe710b3[_0x268c5b(0x1775)]=_0x1e5b4d,_0xe710b3[_0x268c5b(0x2075)]=_0x28c21b,_0xe710b3[_0x268c5b(0x169)]=_0x43eaff,_0xe710b3[_0x268c5b(0x1d53)]=_0x23f09e,_0xe710b3[_0x268c5b(0x1db0)]=_0x46a636,_0xe710b3[_0x268c5b(0x1a76)]=_0x50f8d,_0xe710b3[_0x268c5b(0x3d4)]=_0x4c874b,_0xe710b3[_0x268c5b(0x201a)]=_0x5b41eb,_0xe710b3[_0x268c5b(0x483)]=_0x12a886,_0xe710b3[_0x268c5b(0x1b80)]=_0x4405ac,_0x100520['on'](_0x268c5b(0x17de),_0xe710b3[_0x268c5b(0x1db0)]),_0x100520['on'](_0x268c5b(0x324),_0xe710b3[_0x268c5b(0x1a76)]),_0x100520['on'](_0x268c5b(0x118c),_0xe710b3[_0x268c5b(0x201a)]),_0x100520['on'](_0x268c5b(0x198f),_0xe710b3[_0x268c5b(0x483)]),_0x4c874b();let _0x40e53d=_0x2d8362(function(){const _0x198418=_0x268c5b;_0xe710b3['load']&&(_0xe710b3[_0x198418(0x2412)]=![],_0x4c874b());},0x3e7);function _0x4c874b(){const _0x51e9d3=_0x268c5b;_0xe710b3['load']=![];const _0x400a30=_0x40ea9f[_0x51e9d3(0xce3)]();_0xe710b3[_0x51e9d3(0xb9c)]=_0x400a30[_0x51e9d3(0xb9c)],_0xe710b3[_0x51e9d3(0x202e)]=[],_0xe710b3[_0x51e9d3(0x206e)]=[],_0x3f65c0()[_0x51e9d3(0x1a04)](_0xe710b3['agents'],function(_0x3739ce,_0x20241a){const _0x10eb7a=_0x51e9d3;_0xe710b3[_0x10eb7a(0x2445)][_0x20241a]&&_0x3f65c0()['merge'](_0x3739ce,_0x3f65c0()[_0x10eb7a(0x40e)](_0x3f65c0()['omit'](_0xe710b3[_0x10eb7a(0x2445)][_0x20241a],_0x5e4a59),_0x52b5b5)),_0x1e5b4d(_0x3739ce),_0x3739ce[_0x10eb7a(0x538)]?(_0xe710b3['filteredAgents']['push'](_0x3739ce),_0xe710b3[_0x10eb7a(0x626)][_0x3739ce[_0x10eb7a(0x113f)]]=_0x20241a):_0x3739ce[_0x10eb7a(0xed1)]=_0x3f65c0()[_0x10eb7a(0x123)](_0x2deec6()()[_0x10eb7a(0x22b0)]('x'));});_0xe710b3['query']['globalStatusFilter']&&_0x3f65c0()[_0x51e9d3(0x2640)](_0xe710b3[_0x51e9d3(0x202e)],function(_0xf71a7d){const _0x27bed1=_0x51e9d3;return _0xf71a7d[_0x27bed1(0x72b)]!==_0xe710b3[_0x27bed1(0x1a56)][_0x27bed1(0x1500)];});_0xe710b3[_0x51e9d3(0x1a56)][_0x51e9d3(0x301)]&&_0x3f65c0()[_0x51e9d3(0x2640)](_0xe710b3[_0x51e9d3(0x202e)],function(_0x5b158d){const _0x5503de=_0x51e9d3;return _0x5b158d[_0x5503de(0x1125)]!==_0xe710b3[_0x5503de(0x1a56)][_0x5503de(0x301)];});_0xe710b3[_0x51e9d3(0x1a56)]['filter']&&_0x3f65c0()['remove'](_0xe710b3[_0x51e9d3(0x202e)],function(_0x237278){const _0x309085=_0x51e9d3;return _0x237278[_0x309085(0x286a)]['toLowerCase']()[_0x309085(0x172b)](_0xe710b3[_0x309085(0x1a56)][_0x309085(0x1dd6)]['toLowerCase']())<0x0;});_0xe710b3[_0x51e9d3(0x202e)]=_0x1eab24(_0xe710b3['filteredAgents']);const _0x4108b7=(_0xe710b3[_0x51e9d3(0x1a56)]['page']-0x1)*_0xe710b3['query'][_0x51e9d3(0x221e)];_0xe710b3[_0x51e9d3(0x285)]=_0x3f65c0()[_0x51e9d3(0x854)](_0xe710b3['filteredAgents'],_0x4108b7)[_0x51e9d3(0x1298)](0x0,_0xe710b3[_0x51e9d3(0x1a56)][_0x51e9d3(0x221e)]);for(let _0x109afd=0x0;_0x109afd<_0xe710b3[_0x51e9d3(0x285)]['length'];_0x109afd+=0x1){_0xe710b3['order'][_0x51e9d3(0x1f47)](_0xe710b3[_0x51e9d3(0x285)][_0x109afd]['id']);}_0x400a30['resolve'](),_0xe710b3[_0x51e9d3(0x2412)]=!![];}function _0x46a636(_0x328e4e){const _0x13f32a=_0x268c5b;_0xe710b3[_0x13f32a(0x23c0)][_0x328e4e['id']]&&(_0x3f65c0()[_0x13f32a(0x168d)](_0xe710b3[_0x13f32a(0x23c0)][_0x328e4e['id']],_0x3f65c0()[_0x13f32a(0x40e)](_0x3f65c0()[_0x13f32a(0x2432)](_0x328e4e,_0x5e4a59),_0x52b5b5)),_0x3f65c0()[_0x13f32a(0x168d)](_0xe710b3[_0x13f32a(0x2445)][_0x328e4e['id']],_0x3f65c0()[_0x13f32a(0x40e)](_0x3f65c0()[_0x13f32a(0x2432)](_0x328e4e,_0x5e4a59),_0x52b5b5)),_0x1e5b4d(_0xe710b3[_0x13f32a(0x23c0)][_0x328e4e['id']]));}function _0x50f8d(_0x1a11f3){const _0x4f54ba=_0x268c5b;_0xe710b3[_0x4f54ba(0x23c0)][_0x1a11f3['id']]&&(_0x3f65c0()[_0x4f54ba(0x168d)](_0xe710b3['agents'][_0x1a11f3['id']],_0x3f65c0()[_0x4f54ba(0x40e)](_0x1a11f3,_0x52b5b5)),_0x3f65c0()[_0x4f54ba(0x168d)](_0xe710b3[_0x4f54ba(0x2445)][_0x1a11f3['id']],_0x3f65c0()['pick'](_0x1a11f3,_0x52b5b5)),_0x1a11f3[_0x4f54ba(0x192a)]&&(_0xe710b3[_0x4f54ba(0x23c0)][_0x1a11f3['id']][_0x4f54ba(0xed1)]=_0x1a11f3['lastPauseAt'],_0xe710b3[_0x4f54ba(0x2445)][_0x1a11f3['id']]['globalStatusTime']=_0x1a11f3[_0x4f54ba(0x192a)]),_0x1e5b4d(_0xe710b3[_0x4f54ba(0x23c0)][_0x1a11f3['id']]));}function _0x5b41eb(_0x529147){const _0x4223cc=_0x268c5b;_0xe710b3[_0x4223cc(0x23c0)][_0xe710b3[_0x4223cc(0x626)][_0x529147[_0x4223cc(0x1fb9)]]]&&(_0x3f65c0()[_0x4223cc(0x168d)](_0xe710b3['agents'][_0xe710b3[_0x4223cc(0x626)][_0x529147[_0x4223cc(0x1fb9)]]],_0x3f65c0()['pick'](_0x529147,[_0x4223cc(0x1c72),_0x4223cc(0x1e8d)])),_0x3f65c0()[_0x4223cc(0x168d)](_0xe710b3[_0x4223cc(0x2445)][_0xe710b3[_0x4223cc(0x626)][_0x529147[_0x4223cc(0x1fb9)]]],_0x3f65c0()[_0x4223cc(0x40e)](_0x529147,[_0x4223cc(0x1c72),_0x4223cc(0x1e8d)])));}function _0x12a886(_0x155470){const _0x316357=_0x268c5b;_0xe710b3['agents'][_0xe710b3[_0x316357(0x626)][_0x155470[_0x316357(0x1fb9)]]]&&(_0xe710b3[_0x316357(0x23c0)][_0xe710b3['agentInternal'][_0x155470[_0x316357(0x1fb9)]]]=_0x3f65c0()[_0x316357(0x2432)](_0xe710b3[_0x316357(0x23c0)][_0xe710b3[_0x316357(0x626)][_0x155470[_0x316357(0x1fb9)]]],['queue',_0x316357(0x1e8d)]),_0xe710b3[_0x316357(0x2445)][_0xe710b3[_0x316357(0x626)][_0x155470[_0x316357(0x1fb9)]]]=_0x3f65c0()[_0x316357(0x2432)](_0xe710b3[_0x316357(0x2445)][_0xe710b3[_0x316357(0x626)][_0x155470[_0x316357(0x1fb9)]]],['queue',_0x316357(0x1e8d)]));}function _0xb17af8(_0x5626e1){const _0x33f356=_0x268c5b;return _0x95590['user']['logout']({'id':_0x5626e1['id'],'device':_0x33f356(0xfc4),'agent_id':_0x5626e1['id'],'agent_name':_0x5626e1[_0x33f356(0x19eb)]})[_0x33f356(0x2945)][_0x33f356(0x146b)](function(){const _0x535cbb=_0x33f356;_0x6d4cb2['success']({'title':_0x535cbb(0x1c70),'msg':_0x5626e1[_0x535cbb(0x286a)]+_0x535cbb(0x166c)}),_0xe710b3[_0x535cbb(0x23c0)][_0x5626e1['id']]&&(_0xe710b3['agents'][_0x5626e1['id']][_0x535cbb(0x538)]=![]),_0xe710b3[_0x535cbb(0x2445)][_0x5626e1['id']]&&(_0xe710b3['rpcAgents'][_0x5626e1['id']][_0x535cbb(0x538)]=![]),_0x4c874b();})[_0x33f356(0x129e)](function(_0x312f6d){const _0x1a28f7=_0x33f356;_0x6d4cb2[_0x1a28f7(0x1980)]({'title':_0x312f6d[_0x1a28f7(0x107b)]?_0x1a28f7(0x262a)+_0x312f6d[_0x1a28f7(0x107b)]+'\x20-\x20'+_0x312f6d[_0x1a28f7(0x167f)]:_0x1a28f7(0x23c6),'msg':_0x312f6d['data']?JSON[_0x1a28f7(0x10bb)](_0x312f6d[_0x1a28f7(0x524)]['message']):_0x312f6d[_0x1a28f7(0xd5f)]()});});}function _0x30507a(_0x740621,_0x38680e){const _0x36bf5a=_0x268c5b;return _0x95590[_0x36bf5a(0xe7b)][_0x36bf5a(0x1e3e)]({'id':_0x740621['id'],'type':_0x38680e})['$promise'][_0x36bf5a(0x146b)](function(_0x5c8dda){const _0xddad0a=_0x36bf5a;_0xe710b3[_0xddad0a(0x23c0)][_0x740621['id']]&&_0x3f65c0()[_0xddad0a(0x168d)](_0xe710b3[_0xddad0a(0x23c0)][_0x740621['id']],_0x3f65c0()[_0xddad0a(0x40e)](_0x5c8dda,_0x52b5b5)),_0xe710b3[_0xddad0a(0x2445)][_0x740621['id']]&&_0x3f65c0()['merge'](_0xe710b3[_0xddad0a(0x2445)][_0x740621['id']],_0x3f65c0()['pick'](_0x5c8dda,_0x52b5b5)),_0x1e5b4d(_0xe710b3[_0xddad0a(0x23c0)][_0x740621['id']]);})['catch'](function(_0x254559){const _0x4bb71a=_0x36bf5a;_0x6d4cb2[_0x4bb71a(0x1980)]({'title':_0x254559[_0x4bb71a(0x107b)]?_0x4bb71a(0x262a)+_0x254559[_0x4bb71a(0x107b)]+'\x20-\x20'+_0x254559[_0x4bb71a(0x167f)]:_0x4bb71a(0x23c6),'msg':_0x254559[_0x4bb71a(0x524)]?JSON[_0x4bb71a(0x10bb)](_0x254559[_0x4bb71a(0x524)]['message']):_0x254559[_0x4bb71a(0xd5f)]()});});}function _0x5b09e9(_0x5e8960){const _0x173066=_0x268c5b;return _0x95590[_0x173066(0xe7b)]['unpause']({'id':_0x5e8960['id']})['$promise'][_0x173066(0x146b)](function(_0x4997ec){const _0x4d9425=_0x173066;_0xe710b3[_0x4d9425(0x23c0)][_0x5e8960['id']]&&_0x3f65c0()[_0x4d9425(0x168d)](_0xe710b3[_0x4d9425(0x23c0)][_0x5e8960['id']],_0x3f65c0()[_0x4d9425(0x40e)](_0x4997ec,_0x52b5b5)),_0xe710b3[_0x4d9425(0x2445)][_0x5e8960['id']]&&_0x3f65c0()[_0x4d9425(0x168d)](_0xe710b3[_0x4d9425(0x2445)][_0x5e8960['id']],_0x3f65c0()[_0x4d9425(0x40e)](_0x4997ec,_0x52b5b5)),_0x1e5b4d(_0xe710b3['agents'][_0x5e8960['id']]);})[_0x173066(0x129e)](function(_0x9f2a4a){const _0x3ccf95=_0x173066;_0x6d4cb2[_0x3ccf95(0x1980)]({'title':_0x9f2a4a[_0x3ccf95(0x107b)]?_0x3ccf95(0x262a)+_0x9f2a4a[_0x3ccf95(0x107b)]+_0x3ccf95(0x1315)+_0x9f2a4a[_0x3ccf95(0x167f)]:_0x3ccf95(0x23c6),'msg':_0x9f2a4a[_0x3ccf95(0x524)]?JSON['stringify'](_0x9f2a4a['data'][_0x3ccf95(0x7fd)]):_0x9f2a4a['toString']()});});}function _0x56bd18(_0x3e9171){const _0x11a6d6=_0x268c5b;_0x2e185a[_0x11a6d6(0x2615)](_0x2e185a[_0x11a6d6(0x861)]()[_0x11a6d6(0xa70)](!![])['title'](_0x11a6d6(0x2783))[_0x11a6d6(0x1cbe)](_0x11a6d6(0x1f77))['ok']('Ok')[_0x11a6d6(0x1f27)](_0x3e9171));}function _0x17a4c9(_0x5723ea,_0x1d2b5b){const _0x47ab4f=_0x268c5b;_0x2e185a[_0x47ab4f(0x2615)]({'controller':_0x47ab4f(0x2610),'controllerAs':'vm','templateUrl':_0xbb22f1,'parent':angular['element'](_0x41a93f[_0x47ab4f(0x2586)]),'targetEvent':_0x1d2b5b,'clickOutsideToClose':!![],'locals':{'agent':_0x5723ea,'agents':[],'channel':'mail','direction':_0x47ab4f(0x7b0),'crudPermissions':_0xe710b3[_0x47ab4f(0x2514)]}});}function _0x28c21b(_0x363ebd){const _0x46f079=_0x268c5b;return _0x363ebd===_0x46f079(0x1e3e)||_0x363ebd==='*pause';}function _0x43eaff(_0x5b9576){const _0x4b56f4=_0x268c5b;return _0x5b9576===_0x4b56f4(0x1e3e);}function _0x23f09e(_0xcede6a){const _0xb9c5b0=_0x268c5b;return _0xcede6a===_0xb9c5b0(0x1127);}function _0x59eee4(_0x5f0096){const _0x331ffb=_0x268c5b;return _0x5f0096===_0x331ffb(0xb09)||_0x5f0096===_0x331ffb(0x207d)||_0x5f0096==='unavailable'||_0x5f0096==='ringing'||_0x3f65c0()[_0x331ffb(0x1b36)](_0x5f0096);}function _0x4b19b1(_0x1eb228,_0x191997){const _0x546aca=_0x268c5b;if(_0x191997===_0x546aca(0xe6)){if(_0x1eb228[_0x191997+'Status']!==_0x546aca(0xb09))return _0x1eb228[_0x191997+_0x546aca(0x74e)];if(_0x1eb228[_0x191997+_0x546aca(0xde0)])return _0x1eb228[_0x191997+_0x546aca(0x74e)]='pause',_0x546aca(0x1e3e);return _0x546aca(0xb09);}else{if(_0x1eb228[_0x191997+_0x546aca(0xde0)])return _0x1eb228[_0x191997+_0x546aca(0x74e)]=_0x546aca(0x1e3e),_0x546aca(0x1e3e);return _0x1eb228[_0x191997+_0x546aca(0x74e)];}}function _0x1e5b4d(_0x2f7d8d){const _0x7e602b=_0x268c5b;let _0x375a3e=_0x7e602b(0x207d);if(_0x3f65c0()['every']([_0x4b19b1(_0x2f7d8d,'voice'),_0x4b19b1(_0x2f7d8d,_0x7e602b(0xa7f)),_0x4b19b1(_0x2f7d8d,'mail'),_0x4b19b1(_0x2f7d8d,'openchannel'),_0x4b19b1(_0x2f7d8d,_0x7e602b(0x929)),_0x4b19b1(_0x2f7d8d,_0x7e602b(0xff9)),_0x4b19b1(_0x2f7d8d,_0x7e602b(0x22d9))],_0x28c21b))_0x375a3e=_0x7e602b(0x1e3e);else{if(_0x3f65c0()['some']([_0x4b19b1(_0x2f7d8d,_0x7e602b(0xe6)),_0x4b19b1(_0x2f7d8d,'chat'),_0x4b19b1(_0x2f7d8d,_0x7e602b(0x56b)),_0x4b19b1(_0x2f7d8d,_0x7e602b(0x7d9)),_0x4b19b1(_0x2f7d8d,'sms'),_0x4b19b1(_0x2f7d8d,_0x7e602b(0xff9)),_0x4b19b1(_0x2f7d8d,_0x7e602b(0x22d9))],_0x28c21b))_0x375a3e=_0x7e602b(0x1127);else _0x3f65c0()[_0x7e602b(0xb14)]([_0x4b19b1(_0x2f7d8d,'voice'),_0x4b19b1(_0x2f7d8d,_0x7e602b(0xa7f)),_0x4b19b1(_0x2f7d8d,_0x7e602b(0x56b)),_0x4b19b1(_0x2f7d8d,_0x7e602b(0x7d9)),_0x4b19b1(_0x2f7d8d,_0x7e602b(0x929)),_0x4b19b1(_0x2f7d8d,_0x7e602b(0xff9)),_0x4b19b1(_0x2f7d8d,_0x7e602b(0x22d9))],_0x59eee4)?_0x375a3e='idle':_0x375a3e=_0x7e602b(0x26a7);}_0x2f7d8d['globalStatus']!==_0x375a3e&&(_0x2f7d8d['globalStatus']=_0x375a3e,_0x2f7d8d[_0x7e602b(0x5aa)]?(_0x2f7d8d[_0x7e602b(0x5aa)]=![],_0x2f7d8d[_0x7e602b(0xed1)]=_0x28c21b(_0x375a3e)?_0x3f65c0()['toNumber'](_0x2deec6()(_0x2f7d8d['lastPauseAt'])[_0x7e602b(0x22b0)]('x')):_0x3f65c0()[_0x7e602b(0x727)]([_0x2f7d8d[_0x7e602b(0x1dda)],_0x2f7d8d[_0x7e602b(0x1a22)],_0x2f7d8d['mailStatusTime'],_0x2f7d8d[_0x7e602b(0x1f5c)],_0x2f7d8d[_0x7e602b(0xadd)],_0x2f7d8d[_0x7e602b(0x6cb)],_0x2f7d8d[_0x7e602b(0x47a)]])):_0x2f7d8d[_0x7e602b(0xed1)]=_0x3f65c0()[_0x7e602b(0x123)](_0x2deec6()()[_0x7e602b(0x22b0)]('x')));}function _0x1eab24(_0x2c85b8){const _0x324856=_0x268c5b,_0x2cdd78=_0x3f65c0()[_0x324856(0xd4d)](_0xe710b3[_0x324856(0x1a56)]['order'],'-')?_0x324856(0x2517):'asc';return _0x3f65c0()[_0x324856(0x979)](_0x2c85b8,[_0xe710b3['query'][_0x324856(0x206e)]['replace']('-','')],[_0x2cdd78]);}function _0x512223(){const _0x6c4998=_0x268c5b;_0x40e53d&&(_0x2d8362[_0x6c4998(0x6c3)](_0x40e53d),_0x40e53d=null);}function _0x4405ac(_0x2007db,_0x1fcab7){const _0x33ed46=_0x268c5b;return _0x95590[_0x33ed46(0xe7b)][_0x33ed46(0x18e1)]({'id':_0x2007db['id']},{'screenrecording':_0x1fcab7})[_0x33ed46(0x2945)][_0x33ed46(0x129e)](function(_0x5008ba){const _0x26eea3=_0x33ed46;_0x6d4cb2[_0x26eea3(0x1980)]({'title':_0x5008ba[_0x26eea3(0x107b)]?_0x26eea3(0x262a)+_0x5008ba[_0x26eea3(0x107b)]+_0x26eea3(0x1315)+_0x5008ba[_0x26eea3(0x167f)]:_0x26eea3(0x254f),'msg':_0x5008ba['data']?JSON[_0x26eea3(0x10bb)](_0x5008ba[_0x26eea3(0x524)][_0x26eea3(0x7fd)]):_0x5008ba[_0x26eea3(0xd5f)]()});});}_0x3738aa[_0x268c5b(0x16ad)]('$destroy',function(){const _0x6a57d2=_0x268c5b;_0x100520[_0x6a57d2(0x1c5f)](_0x6a57d2(0x17de)),_0x100520[_0x6a57d2(0x1c5f)](_0x6a57d2(0x324)),_0x100520['removeAllListeners'](_0x6a57d2(0x118c)),_0x100520[_0x6a57d2(0x1c5f)](_0x6a57d2(0x198f)),_0x512223();});}const _0x43446a=_0x148cd7;;const _0x2e6ac7=_0x4acfac['p']+_0x313a4d(0x148b);;const _0xa9f5e7=_0x4acfac['p']+_0x313a4d(0x882);;_0x2d3e47[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x1abe),_0x313a4d(0x10e8),'$q',_0x313a4d(0x4d8),_0x313a4d(0xa0a),'queues',_0x313a4d(0x21af),_0x313a4d(0x247f),_0x313a4d(0x279d),_0x313a4d(0x1fe4),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0xa87)];function _0x2d3e47(_0x24970b,_0x1aceef,_0x487ddd,_0x3fb725,_0x36c15e,_0x751ec6,_0x2fb8f5,_0x2b99a6,_0x49eac2,_0xb6f5a3,_0x557fdb,_0x2da04a,_0x20cc73,_0x228c48){const _0x3c3447=_0x313a4d,_0xa0bf52=this,_0x596d85=[_0x3c3447(0x2398),'available',_0x3c3447(0x12a3),_0x3c3447(0x10ed),_0x3c3447(0x166f),'originated',_0x3c3447(0x7fd),_0x3c3447(0x1095),_0x3c3447(0x1a69),_0x3c3447(0x13c4),'paused'];_0xa0bf52[_0x3c3447(0x2321)]=_0x228c48['getCurrentUser'](),_0xa0bf52[_0x3c3447(0x51c)]=_0x2fb8f5['count']?_0x2fb8f5[_0x3c3447(0x51c)]:0x0,_0xa0bf52[_0x3c3447(0x1b32)]=_0x2fb8f5?_0x3f65c0()['keyBy'](_0x2fb8f5[_0x3c3447(0x19c7)]?_0x2fb8f5[_0x3c3447(0x19c7)]:[],'id'):{},_0xa0bf52['rpcQueues']=_0x2b99a6?_0x3f65c0()[_0x3c3447(0x194)](_0x2b99a6[_0x3c3447(0x19c7)]?_0x2b99a6['rows']:[],'id'):{},_0xa0bf52[_0x3c3447(0x26b6)]=_0x2da04a,_0xa0bf52['userProfileSection']=_0x20cc73&&_0x20cc73[_0x3c3447(0x51c)]==0x1?_0x20cc73[_0x3c3447(0x19c7)][0x0]:null,_0xa0bf52[_0x3c3447(0x2514)]=_0x228c48['parseCrudPermissions'](_0xa0bf52[_0x3c3447(0x1366)]?_0xa0bf52[_0x3c3447(0x1366)][_0x3c3447(0x2514)]:null);_0x228c48['hasRole'](_0x3c3447(0x174b))?_0xa0bf52[_0x3c3447(0x1a56)]={'type':_0x3c3447(0x7b0),'sort':'-updatedAt','limit':0xa,'page':0x1}:_0xa0bf52[_0x3c3447(0x1a56)]={'id':_0xa0bf52[_0x3c3447(0x2321)]['id'],'channel':'mail','type':_0x3c3447(0x7b0),'sort':_0x3c3447(0x12f2),'limit':0xa,'page':0x1};_0xa0bf52['success']=_0x157d8a,_0xa0bf52['getQueues']=_0x2f2405,_0xa0bf52[_0x3c3447(0x1c4b)]=_0x36edb0,_0xa0bf52[_0x3c3447(0x13c)]=_0x35e331,_0xa0bf52[_0x3c3447(0x2801)]=_0x4dc19c,_0xa0bf52[_0x3c3447(0x1db0)]=_0x14b074,_0xa0bf52[_0x3c3447(0x285f)]=_0x31aeaf,_0xa0bf52[_0x3c3447(0x2240)]=_0x3f47e3,_0xa0bf52['onPause']=_0x167d0b,_0xa0bf52['onLogin']=_0x4476ee,_0xa0bf52[_0x3c3447(0x600)]=_0x989808,_0xa0bf52[_0x3c3447(0x20de)]=_0x55c697,_0xa0bf52[_0x3c3447(0x206e)]=[],_0x3f65c0()[_0x3c3447(0x1a04)](_0xa0bf52[_0x3c3447(0x1b32)],function(_0x5d9624){const _0xa30b8e=_0x3c3447;_0xa0bf52[_0xa30b8e(0x206e)]['push'](_0x5d9624['id']);}),_0xb6f5a3['on'](_0x3c3447(0x1a8b),_0xa0bf52[_0x3c3447(0x1db0)]),_0xb6f5a3['on'](_0x3c3447(0x1150),_0xa0bf52[_0x3c3447(0x285f)]),_0xb6f5a3['on'](_0x3c3447(0x4d1),_0xa0bf52[_0x3c3447(0x2240)]),_0xb6f5a3['on'](_0x3c3447(0x324),_0xa0bf52['onPause']),_0xb6f5a3['on'](_0x3c3447(0x1d3e),_0xa0bf52[_0x3c3447(0x600)]),_0xb6f5a3['on']('userVoiceQueue:save',_0xa0bf52['onLogin']);function _0x55c697(){const _0x32d212=_0x3c3447,_0x21e63c=[];return _0x3f65c0()[_0x32d212(0x1a04)](_0xa0bf52[_0x32d212(0x1b32)],function(_0x510be1,_0x307426){const _0x5e4f65=_0x32d212;_0x510be1[_0x5e4f65(0x1e3d)]=0x0,_0x510be1[_0x5e4f65(0x1899)]=0x0,_0xa0bf52[_0x5e4f65(0x1b32)][_0x307426]['agents']={},_0x21e63c[_0x5e4f65(0x1f47)](_0x49eac2[_0x5e4f65(0x101c)][_0x5e4f65(0x24c3)]({'id':_0x307426})['$promise']),_0xa0bf52[_0x5e4f65(0x21af)][_0x307426]&&_0x3f65c0()[_0x5e4f65(0x168d)](_0x510be1,_0x3f65c0()[_0x5e4f65(0x40e)](_0xa0bf52[_0x5e4f65(0x21af)][_0x307426],_0x596d85));}),_0x3fb725[_0x32d212(0x1be2)](_0x21e63c)[_0x32d212(0x146b)](function(_0x21b4d2){const _0x40630e=_0x32d212;for(let _0x5565e0=0x0;_0x5565e0<_0x21b4d2[_0x40630e(0x402)];_0x5565e0+=0x1){for(let _0x1d8bd4=0x0;_0x1d8bd4<_0x21b4d2[_0x5565e0][_0x40630e(0x19c7)]['length'];_0x1d8bd4++){_0x21b4d2[_0x5565e0][_0x40630e(0x19c7)][_0x1d8bd4][_0x40630e(0x1e3d)]&&_0xa0bf52['queues'][_0x21b4d2[_0x5565e0][_0x40630e(0x19c7)][_0x1d8bd4][_0x40630e(0x286d)]][_0x40630e(0x1e3d)]++,_0xa0bf52[_0x40630e(0x1b32)][_0x21b4d2[_0x5565e0][_0x40630e(0x19c7)][_0x1d8bd4][_0x40630e(0x286d)]][_0x40630e(0x1899)]++,_0xa0bf52[_0x40630e(0x1b32)][_0x21b4d2[_0x5565e0]['rows'][_0x1d8bd4][_0x40630e(0x286d)]][_0x40630e(0x23c0)][_0x21b4d2[_0x5565e0][_0x40630e(0x19c7)][_0x1d8bd4][_0x40630e(0xea2)]]=_0x21b4d2[_0x5565e0][_0x40630e(0x19c7)][_0x1d8bd4][_0x40630e(0x286d)];}}})[_0x32d212(0x129e)](function(_0x4e3687){console['error'](_0x4e3687);});}function _0x14b074(_0x3087e6){const _0x380664=_0x3c3447;_0xa0bf52[_0x380664(0x1b32)][_0x3087e6['id']]&&_0x3f65c0()[_0x380664(0x168d)](_0xa0bf52[_0x380664(0x1b32)][_0x3087e6['id']],_0x3f65c0()[_0x380664(0x40e)](_0x3087e6,_0x596d85));}function _0x31aeaf(_0x5e61f5){const _0xedc03a=_0x3c3447;_0xa0bf52[_0xedc03a(0x1b32)][_0x5e61f5[_0xedc03a(0x286d)]]&&_0xa0bf52[_0xedc03a(0x1b32)][_0x5e61f5[_0xedc03a(0x286d)]][_0xedc03a(0x1899)]++;}function _0x3f47e3(_0x469c0e){const _0x452bb7=_0x3c3447;_0xa0bf52[_0x452bb7(0x1b32)][_0x469c0e[_0x452bb7(0x286d)]]&&_0xa0bf52[_0x452bb7(0x1b32)][_0x469c0e['MailQueueId']][_0x452bb7(0x1899)]--;}function _0x167d0b(_0x233634){const _0x2cf34b=_0x3c3447;console['log'](_0x2cf34b(0x1028),_0x233634);if(_0x233634[_0x2cf34b(0x211c)])_0x3f65c0()[_0x2cf34b(0x1a04)](_0xa0bf52[_0x2cf34b(0x1b32)],function(_0x116120){const _0x2855a2=_0x2cf34b;_0x116120[_0x2855a2(0x23c0)][_0x233634['id']]&&(_0x116120[_0x2855a2(0x1e3d)]+=0x1,console['log'](_0x2855a2(0x1e3e)));});else!_0x233634[_0x2cf34b(0x211c)]&&_0x3f65c0()['forIn'](_0xa0bf52[_0x2cf34b(0x1b32)],function(_0x279e09){const _0x526ee8=_0x2cf34b;_0x279e09[_0x526ee8(0x23c0)][_0x233634['id']]&&(_0x279e09[_0x526ee8(0x1e3d)]>0x0&&(_0x279e09[_0x526ee8(0x1e3d)]-=0x1,console['log']('unpause')));});}function _0x4476ee(_0x29954c){const _0x228eb6=_0x3c3447;console['log'](_0x228eb6(0x414),_0x29954c),_0xa0bf52[_0x228eb6(0x1b32)][_0x29954c['MailQueueId']]&&(_0xa0bf52[_0x228eb6(0x1b32)][_0x29954c['MailQueueId']]['loggedInDb']+=0x1,_0xa0bf52[_0x228eb6(0x1b32)][_0x29954c[_0x228eb6(0x286d)]][_0x228eb6(0x23c0)][_0x29954c[_0x228eb6(0xea2)]]=_0x29954c['MailQueueId']);}function _0x989808(_0x45f799){const _0x4a25d8=_0x3c3447;console[_0x4a25d8(0x1a74)](_0x4a25d8(0x600),_0x45f799),_0xa0bf52[_0x4a25d8(0x1b32)][_0x45f799[_0x4a25d8(0x286d)]]&&_0xa0bf52['queues'][_0x45f799[_0x4a25d8(0x286d)]]['loggedInDb']>0x0&&(_0xa0bf52[_0x4a25d8(0x1b32)][_0x45f799[_0x4a25d8(0x286d)]]['loggedInDb']-=0x1,delete _0xa0bf52['queues'][_0x45f799[_0x4a25d8(0x286d)]][_0x4a25d8(0x23c0)][_0x45f799[_0x4a25d8(0xea2)]]);}let _0x488f68=!![],_0x378016=0x1;_0x24970b['$watch']('vm.query.filter',function(_0x1b69fd,_0x112a61){const _0x4b1c3f=_0x3c3447;_0x488f68?_0x1aceef(function(){_0x488f68=![];}):(!_0x112a61&&(_0x378016=_0xa0bf52[_0x4b1c3f(0x1a56)][_0x4b1c3f(0x844)]),_0x1b69fd!==_0x112a61&&(_0xa0bf52[_0x4b1c3f(0x1a56)]['page']=0x1),!_0x1b69fd&&(_0xa0bf52[_0x4b1c3f(0x1a56)][_0x4b1c3f(0x844)]=_0x378016),_0xa0bf52[_0x4b1c3f(0xc53)]());});function _0x157d8a(_0x1190c7){const _0x7a1263=_0x3c3447;_0xa0bf52['count']=_0x1190c7[_0x7a1263(0x51c)],_0xa0bf52[_0x7a1263(0x1b32)]=_0x1190c7?_0x3f65c0()[_0x7a1263(0x194)](_0x1190c7[_0x7a1263(0x19c7)]?_0x1190c7['rows']:[],'id'):{};if(_0x1190c7[_0x7a1263(0x19c7)]){_0xa0bf52['order']=[];for(let _0x5a4e92=0x0;_0x5a4e92<_0x1190c7[_0x7a1263(0x19c7)][_0x7a1263(0x402)];_0x5a4e92++){_0xa0bf52[_0x7a1263(0x206e)]['push'](_0x1190c7[_0x7a1263(0x19c7)][_0x5a4e92]['id']);}}_0x55c697();}function _0x2f2405(){const _0x3ec935=_0x3c3447;_0xa0bf52[_0x3ec935(0x1a56)][_0x3ec935(0x145d)]=(_0xa0bf52['query'][_0x3ec935(0x844)]-0x1)*_0xa0bf52[_0x3ec935(0x1a56)][_0x3ec935(0x221e)],_0x228c48['hasRole'](_0x3ec935(0x174b))?_0xa0bf52[_0x3ec935(0xb9c)]=_0x49eac2[_0x3ec935(0x101c)][_0x3ec935(0x16b4)](_0xa0bf52[_0x3ec935(0x1a56)],_0x157d8a)[_0x3ec935(0x2945)]:(_0xa0bf52[_0x3ec935(0x1a56)]['id']=_0xa0bf52['userProfile']['id'],_0xa0bf52[_0x3ec935(0x1a56)][_0x3ec935(0x2146)]=_0x3ec935(0xf4d),_0xa0bf52[_0x3ec935(0xb9c)]=_0x49eac2[_0x3ec935(0x26b6)][_0x3ec935(0x158f)](_0xa0bf52[_0x3ec935(0x1a56)],_0x157d8a)[_0x3ec935(0x2945)]);}function _0x36edb0(_0x495a6c,_0x550d7a){const _0x315991=_0x3c3447;_0x487ddd['show']({'controller':_0x315991(0x1788),'controllerAs':'vm','templateUrl':_0x2e6ac7,'parent':angular['element'](_0x36c15e[_0x315991(0x2586)]),'targetEvent':_0x495a6c,'clickOutsideToClose':!![],'locals':{'mailQueue':_0x550d7a,'mailQueues':_0x3f65c0()[_0x315991(0x81b)](_0xa0bf52[_0x315991(0x1b32)]),'license':null,'setting':null,'crudPermissions':_0xa0bf52['crudPermissions']}});}function _0x35e331(_0x3ccd49,_0x4d1943){const _0x4f0328=_0x3c3447;_0x487ddd[_0x4f0328(0x2615)]({'controller':_0x4f0328(0x964),'controllerAs':'vm','templateUrl':_0xa9f5e7,'parent':angular[_0x4f0328(0x1853)](_0x36c15e[_0x4f0328(0x2586)]),'targetEvent':_0x3ccd49,'clickOutsideToClose':!![],'locals':{'mailQueue':_0x4d1943,'mailQueues':_0xa0bf52[_0x4f0328(0x1b32)]?_0xa0bf52['queues'][_0x4f0328(0x19c7)]:[],'realtime':![],'crudPermissions':_0xa0bf52[_0x4f0328(0x2514)]}});}function _0x4dc19c(_0x570510){const _0x13067a=_0x3c3447;return _0x49eac2[_0x13067a(0x101c)][_0x13067a(0x18e1)](_0x570510)[_0x13067a(0x2945)][_0x13067a(0x146b)](function(){const _0x329315=_0x13067a;_0x557fdb[_0x329315(0x1c75)]({'title':_0x329315(0x5f1),'msg':_0x570510[_0x329315(0x19eb)]?_0x570510[_0x329315(0x19eb)]+_0x329315(0x6b0):''});})['catch'](function(_0x2ececb){const _0x2e6eb4=_0x13067a;_0x557fdb['error']({'title':_0x2e6eb4(0x2174),'msg':_0x2ececb[_0x2e6eb4(0x7fd)]});});}_0x24970b[_0x3c3447(0x16ad)]('$destroy',function(){const _0x5575e3=_0x3c3447;_0xb6f5a3[_0x5575e3(0x1c5f)](_0x5575e3(0x1a8b)),_0xb6f5a3['removeAllListeners']('userMailQueue:remove'),_0xb6f5a3[_0x5575e3(0x1c5f)](_0x5575e3(0x1150)),_0xb6f5a3[_0x5575e3(0x1c5f)](_0x5575e3(0x324)),_0xb6f5a3[_0x5575e3(0x1c5f)](_0x5575e3(0x1d3e)),_0xb6f5a3[_0x5575e3(0x1c5f)](_0x5575e3(0x109d));});}const _0x3d19ad=_0x2d3e47;;function _0x4e21b0(){const _0x5bea7c=_0x313a4d;return{'status':{'registered':'green-300-fg\x20icon-checkbox-marked-circle','unregistered':_0x5bea7c(0x4c7),'lagged':'red-300-fg\x20icon-close-circle','reachable':_0x5bea7c(0xdf4),'unreachable':'red-300-fg\x20icon-close-circle','unknown':_0x5bea7c(0xc4b)},'state':{'unknown':'grey-fg\x20icon-phone-hangup','not_inuse':_0x5bea7c(0x1756),'inuse':_0x5bea7c(0x255c),'busy':_0x5bea7c(0xb3c),'invalid':'grey-fg\x20icon-phone-hangup','unavailable':_0x5bea7c(0x1fd7),'ringing':_0x5bea7c(0x1172),'ringinuse':_0x5bea7c(0x1172),'onhold':_0x5bea7c(0x119f)},'channelStatus':{'ring':'blue-300-fg\x20icon-phone-incoming','up':_0x5bea7c(0x1668),'hangup':_0x5bea7c(0x135d)},'channelStatusOut':{'ring':_0x5bea7c(0x1a13),'up':'green-300-fg\x20icon-phone-in-talk','hangup':'red-300-fg\x20icon-phone-hangup'}};}const _0x28a6b3=_0x4e21b0;;_0x4fe08c[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x1862),'socket'];function _0x4fe08c(_0x47ceda,_0x3be34b,_0x47c60e){const _0x45f6a1=_0x313a4d,_0x269af2=this;_0x47c60e[_0x45f6a1(0x194a)](),_0x47c60e[_0x45f6a1(0x2e3)](),_0x269af2[_0x45f6a1(0x1b09)]=0x0;switch(_0x3be34b[_0x45f6a1(0x2d7)][_0x45f6a1(0x19eb)]){case'app.mail.realtime.agents':_0x269af2[_0x45f6a1(0x1b09)]=0x0;break;case'app.mail.realtime.queues':_0x269af2[_0x45f6a1(0x1b09)]=0x1;break;case _0x45f6a1(0xfb7):_0x269af2[_0x45f6a1(0x1b09)]=0x2;break;default:_0x269af2['selectedTab']=0x0,_0x3be34b['go'](_0x45f6a1(0x22cf));}_0x47ceda[_0x45f6a1(0x21e8)](_0x45f6a1(0x241b),function(_0x273b1e,_0xf3f986){const _0x55b7b8=_0x45f6a1;if(_0x273b1e!==_0xf3f986)switch(_0x273b1e){case 0x0:_0x3be34b['go']('app.mail.realtime.agents');break;case 0x1:_0x3be34b['go']('app.mail.realtime.queues');break;case 0x2:_0x3be34b['go'](_0x55b7b8(0xfb7));break;default:_0x3be34b['go']('app.mail.realtime.agents');}});}const _0x4ee48c=_0x4fe08c;;_0x26bd35[_0x313a4d(0x11c2)]=[_0x313a4d(0x921)];function _0x26bd35(_0x2c697f){const _0x53d816=_0x313a4d;_0x2c697f[_0x53d816(0x13d6)](_0x53d816(0x2795),{'url':'/realtime','views':{'content@app':{'templateUrl':_0x3f82bd,'controller':'MailRealtimeController\x20as\x20vm'}},'resolve':{'userProfile':[_0x53d816(0x362),_0x53d816(0xa87),function(_0x4fedc4,_0x321558){const _0x492f41=_0x53d816;return _0x321558['hasRole'](_0x492f41(0x174b))?null:_0x4fedc4[_0x492f41(0x2922)](_0x492f41(0x119a),{'fields':_0x492f41(0x227),'id':_0x321558[_0x492f41(0xb12)]()[_0x492f41(0x209a)]});}],'userProfileSection':['apiResolver',_0x53d816(0xa87),function(_0x360d32,_0x682833){const _0x418e1b=_0x53d816;return _0x682833[_0x418e1b(0x23e0)](_0x418e1b(0x174b))?null:_0x360d32['resolve'](_0x418e1b(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x682833[_0x418e1b(0xb12)]()[_0x418e1b(0x209a)],'sectionId':0x262});}]},'authenticate':!![],'permissionId':0x262})['state'](_0x53d816(0x22cf),{'url':_0x53d816(0x1507),'controller':_0x53d816(0x2664),'templateUrl':_0x1e0eb0,'resolve':{'pauses':['apiResolver',function(_0x5f1b09){return _0x5f1b09['resolve']('pause@get',{'nolimit':!![]});}],'agents':[_0x53d816(0x362),_0x53d816(0xa87),function(_0x3f6844,_0x4a2d53){const _0x47d4ec=_0x53d816;return _0x4a2d53[_0x47d4ec(0x23e0)]('admin')?_0x3f6844[_0x47d4ec(0x2922)](_0x47d4ec(0x2484),{'fields':_0x47d4ec(0x2869),'role':_0x47d4ec(0x1755),'sort':'fullname','nolimit':!![]}):_0x3f6844[_0x47d4ec(0x2922)]('userProfile@getResources',{'id':_0x4a2d53[_0x47d4ec(0xb12)]()['userProfileId'],'section':_0x47d4ec(0xca8),'fields':_0x47d4ec(0x2869),'role':_0x47d4ec(0x1755),'sort':'fullname','nolimit':!![]});}],'userProfile':[_0x53d816(0x362),_0x53d816(0xa87),function(_0x2b7bff,_0x40fd48){const _0x2bad4c=_0x53d816;return _0x40fd48['hasRole'](_0x2bad4c(0x174b))?null:_0x2b7bff['resolve'](_0x2bad4c(0x119a),{'fields':_0x2bad4c(0x227),'id':_0x40fd48[_0x2bad4c(0xb12)]()['userProfileId']});}],'userProfileSection':[_0x53d816(0x362),_0x53d816(0xa87),function(_0x289af7,_0x2f624a){const _0x1dd992=_0x53d816;return _0x2f624a[_0x1dd992(0x23e0)](_0x1dd992(0x174b))?null:_0x289af7['resolve'](_0x1dd992(0x27be),{'fields':_0x1dd992(0x1e64),'userProfileId':_0x2f624a[_0x1dd992(0xb12)]()[_0x1dd992(0x209a)],'sectionId':0xca});}],'rpcAgents':[_0x53d816(0x362),function(_0x2762ba){const _0x2d88c8=_0x53d816;return _0x2762ba[_0x2d88c8(0x2922)](_0x2d88c8(0x19ab));}]},'authenticate':!![],'permissionId':0x262})[_0x53d816(0x13d6)](_0x53d816(0x104),{'url':'/queues','controller':'QueuesMailRealtimeController\x20as\x20vm','templateUrl':_0x26c266,'resolve':{'queues':[_0x53d816(0x362),_0x53d816(0xa87),function(_0x2f0ee7,_0x450000){const _0x290b7f=_0x53d816;return _0x450000[_0x290b7f(0x23e0)](_0x290b7f(0x174b))?_0x2f0ee7[_0x290b7f(0x2922)](_0x290b7f(0x1e9c),{'type':_0x290b7f(0x7b0),'sort':_0x290b7f(0x12f2),'limit':0xa,'offset':0x0}):_0x2f0ee7[_0x290b7f(0x2922)]('userProfile@getResources',{'id':_0x450000[_0x290b7f(0xb12)]()[_0x290b7f(0x209a)],'section':_0x290b7f(0xf4d),'channel':_0x290b7f(0x56b),'type':_0x290b7f(0x7b0),'sort':_0x290b7f(0x12f2),'limit':0xa,'page':0x1});}],'userProfile':[_0x53d816(0x362),_0x53d816(0xa87),function(_0x262097,_0x28b76d){const _0x35c95f=_0x53d816;return _0x28b76d[_0x35c95f(0x23e0)](_0x35c95f(0x174b))?null:_0x262097[_0x35c95f(0x2922)](_0x35c95f(0x119a),{'fields':'id,name,crudPermissions','id':_0x28b76d[_0x35c95f(0xb12)]()[_0x35c95f(0x209a)]});}],'userProfileSection':[_0x53d816(0x362),_0x53d816(0xa87),function(_0x59bbf1,_0x1b5377){const _0x14024e=_0x53d816;return _0x1b5377[_0x14024e(0x23e0)](_0x14024e(0x174b))?null:_0x59bbf1['resolve']('userProfileSection@get',{'fields':_0x14024e(0x1e64),'userProfileId':_0x1b5377[_0x14024e(0xb12)]()[_0x14024e(0x209a)],'sectionId':0x259});}],'rpcQueues':['apiResolver',function(_0x3a26b1){const _0x3f1932=_0x53d816;return _0x3a26b1[_0x3f1932(0x2922)](_0x3f1932(0x259c));}]},'authenticate':!![],'permissionId':0x262})[_0x53d816(0x13d6)](_0x53d816(0xfb7),{'url':_0x53d816(0x2425),'controller':'MailAccountsRealtimeController\x20as\x20vm','templateUrl':_0x1be58e,'resolve':{'mailAccounts':[_0x53d816(0x362),_0x53d816(0xa87),function(_0x441839,_0x4e59f6){const _0x8bbef4=_0x53d816;return _0x4e59f6['hasRole'](_0x8bbef4(0x174b))?_0x441839['resolve']('mailAccount@get',{'sort':_0x8bbef4(0x12f2),'limit':0xa,'offset':0x0}):_0x441839['resolve']('userProfile@getResources',{'id':_0x4e59f6[_0x8bbef4(0xb12)]()[_0x8bbef4(0x209a)],'section':_0x8bbef4(0xbf0),'sort':_0x8bbef4(0x12f2),'limit':0xa,'offset':0x0});}],'userProfile':[_0x53d816(0x362),_0x53d816(0xa87),function(_0x26f603,_0x110b3f){const _0x51c12c=_0x53d816;return _0x110b3f[_0x51c12c(0x23e0)]('admin')?null:_0x26f603['resolve'](_0x51c12c(0x119a),{'fields':_0x51c12c(0x227),'id':_0x110b3f[_0x51c12c(0xb12)]()['userProfileId']});}],'userProfileSection':[_0x53d816(0x362),'Auth',function(_0x3b550f,_0xe72746){const _0xb1cc73=_0x53d816;return _0xe72746[_0xb1cc73(0x23e0)]('admin')?null:_0x3b550f[_0xb1cc73(0x2922)](_0xb1cc73(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0xe72746[_0xb1cc73(0xb12)]()[_0xb1cc73(0x209a)],'sectionId':0x25a});}],'rpcMailAccounts':[_0x53d816(0x362),function(_0x547a7c){const _0xbe077c=_0x53d816;return _0x547a7c[_0xbe077c(0x2922)](_0xbe077c(0x1709));}]},'authenticate':!![],'permissionId':0x262});}angular['module'](_0x313a4d(0x2795),[])['config'](_0x26bd35)[_0x313a4d(0x28f0)]('AgentsMailRealtimeController',_0x43446a)['controller'](_0x313a4d(0xb1b),_0x3d19ad)[_0x313a4d(0x1750)]('helperClasses',_0x28a6b3)['controller']('MailRealtimeController',_0x4ee48c);;const _0x22d496=_0x4acfac['p']+_0x313a4d(0x1c03);;const _0x2fdd7e=_0x4acfac['p']+_0x313a4d(0x145);;const _0x51d93e=_0x4acfac['p']+_0x313a4d(0x22e4);;const _0xd9b0b=_0x4acfac['p']+_0x313a4d(0x1d6b);;const _0x562770=_0x4acfac['p']+'src/js/modules/main/apps/mail/views/mailSubtatuses/mailSubtatuses.html/mailSubtatuses.html';;_0x1683ab[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),'$location',_0x313a4d(0x10e8),'$q','$translate',_0x313a4d(0x1fe4),_0x313a4d(0x1593),_0x313a4d(0x67d),'api',_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x1683ab(_0x129abb,_0x537748,_0x2aab6e,_0x39a1bc,_0x391ac8,_0x4985ce,_0x5a4397,_0x269717,_0x1b9623,_0x48de07,_0x513c39,_0x182be9,_0x41db35,_0x35e42e){const _0x26cd7c=_0x313a4d,_0x5f10a8=this;_0x5f10a8[_0x26cd7c(0x2321)]=_0x513c39[_0x26cd7c(0xb12)](),_0x5f10a8[_0x26cd7c(0xcef)]=[],_0x5f10a8['setting']=_0x41db35,_0x5f10a8[_0x26cd7c(0x2690)]=_0x182be9,_0x5f10a8[_0x26cd7c(0x2514)]=_0x35e42e,_0x5f10a8[_0x26cd7c(0x855)]={},_0x5f10a8[_0x26cd7c(0x2251)]=_0x5f10a8[_0x26cd7c(0x15b9)]&&_0x5f10a8[_0x26cd7c(0x15b9)][_0x26cd7c(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x5f10a8[_0x26cd7c(0x1189)]=_0x26cd7c(0x211f),_0x5f10a8[_0x26cd7c(0x67d)]=angular[_0x26cd7c(0x235a)](_0x1b9623),_0x5f10a8['mailAccounts']=_0x269717,_0x5f10a8[_0x26cd7c(0x1780)]=![];!_0x5f10a8[_0x26cd7c(0x67d)]&&(_0x5f10a8[_0x26cd7c(0x67d)]={'active':!![],'cservice':_0x26cd7c(0x203c),'cauthentication':!![],'fontSize':0xd,'waitForTheAssignedAgent':0xa,'notificationSound':!![],'queueTransferTimeout':0x12c,'agentTransferTimeout':0x12c},_0x5f10a8[_0x26cd7c(0x1189)]=_0x26cd7c(0x22c7),_0x5f10a8[_0x26cd7c(0x1780)]=!![]);_0x537748[_0x26cd7c(0x16a)]['id']&&(_0x5f10a8[_0x26cd7c(0x67d)][_0x26cd7c(0x658)]=_0x537748[_0x26cd7c(0x16a)]['id']);_0x5f10a8[_0x26cd7c(0x175b)]=_0x25655d,_0x5f10a8[_0x26cd7c(0x1e05)]=_0x540aa9,_0x5f10a8[_0x26cd7c(0x1d7e)]=_0x417ff0,_0x5f10a8['getDateFromString']=_0x32a5c6,_0x5f10a8['closeDialog']=_0x150ff8,_0x513c39[_0x26cd7c(0x23e0)](_0x26cd7c(0x174b))?_0x48de07['cmList'][_0x26cd7c(0x16b4)]({'fields':_0x26cd7c(0x7a7),'sort':_0x26cd7c(0x19eb)})[_0x26cd7c(0x2945)][_0x26cd7c(0x146b)](function(_0x556a5d){const _0x205a5a=_0x26cd7c;_0x5f10a8[_0x205a5a(0x1324)]=_0x556a5d['rows']||[];})[_0x26cd7c(0x129e)](function(_0x22e367){const _0x58fb2c=_0x26cd7c;_0x5a4397[_0x58fb2c(0x1980)]({'title':_0x22e367[_0x58fb2c(0x107b)]?_0x58fb2c(0x262a)+_0x22e367[_0x58fb2c(0x107b)]+_0x58fb2c(0x1315)+_0x22e367[_0x58fb2c(0x167f)]:_0x58fb2c(0x11b3),'msg':_0x22e367[_0x58fb2c(0x524)]?JSON[_0x58fb2c(0x10bb)](_0x22e367[_0x58fb2c(0x524)]):_0x22e367['toString']()});}):_0x48de07[_0x26cd7c(0xf03)][_0x26cd7c(0x16b4)]({'fields':_0x26cd7c(0x7a7),'sort':_0x26cd7c(0x19eb)})[_0x26cd7c(0x2945)]['then'](function(_0x583587){const _0x52a843=_0x26cd7c;_0x5f10a8['lists']=_0x583587[_0x52a843(0x19c7)]||[];})[_0x26cd7c(0x146b)](function(){const _0x232d19=_0x26cd7c;return _0x48de07['userProfileSection'][_0x232d19(0x16b4)]({'userProfileId':_0x5f10a8[_0x232d19(0x2321)][_0x232d19(0x209a)],'sectionId':0x12d})[_0x232d19(0x2945)];})[_0x26cd7c(0x146b)](function(_0x1d08d0){const _0x567d27=_0x26cd7c,_0x13eae8=_0x1d08d0&&_0x1d08d0[_0x567d27(0x19c7)]?_0x1d08d0['rows'][0x0]:null;if(!_0x13eae8){const _0x1e62bb=[];let _0xa119dc=null;_0x5f10a8[_0x567d27(0x67d)]&&(_0xa119dc=_0x3f65c0()[_0x567d27(0xc84)](_0x5f10a8[_0x567d27(0x1324)],{'id':Number(_0x5f10a8[_0x567d27(0x67d)][_0x567d27(0xb7c)])}));for(let _0x18991b=0x0;_0x18991b<_0x5f10a8['lists'][_0x567d27(0x402)];_0x18991b++){_0xa119dc&&_0x5f10a8[_0x567d27(0x1324)][_0x18991b]['id']===_0xa119dc['id']&&(_0x5f10a8[_0x567d27(0x1324)][_0x18991b]['canSelect']=![],_0x1e62bb['push'](_0x5f10a8['lists'][_0x18991b]));}_0x5f10a8[_0x567d27(0x1324)]=_0x1e62bb;}else{if(!_0x13eae8[_0x567d27(0x11d2)])return _0x48de07[_0x567d27(0xdcc)]['get']({'sectionId':_0x13eae8['id']})[_0x567d27(0x2945)][_0x567d27(0x146b)](function(_0x3ed77b){const _0x4f36f5=_0x567d27,_0x2ca93f=_0x3f65c0()[_0x4f36f5(0x205)](_0x3ed77b['rows'],function(_0x35ea62){const _0x1c75ef=_0x4f36f5;return _0x3f65c0()['find'](_0x5f10a8['lists'],{'id':_0x35ea62[_0x1c75ef(0x18b8)]});});let _0x29875d=null;_0x5f10a8[_0x4f36f5(0x67d)]&&(_0x29875d=_0x3f65c0()[_0x4f36f5(0xc84)](_0x5f10a8[_0x4f36f5(0x1324)],{'id':Number(_0x5f10a8[_0x4f36f5(0x67d)]['ListId'])}));if(_0x29875d&&!_0x3f65c0()[_0x4f36f5(0x1360)](_0x2ca93f,['id',_0x29875d['id']])){const _0x5e3ae4=_0x3f65c0()[_0x4f36f5(0xc84)](_0x5f10a8[_0x4f36f5(0x1324)],{'id':_0x29875d['id']});_0x5e3ae4['canSelect']=![],_0x2ca93f['push'](_0x5e3ae4);}_0x5f10a8[_0x4f36f5(0x1324)]=_0x2ca93f;});}})[_0x26cd7c(0x129e)](function(_0x1bdaa1){const _0x2d7c0d=_0x26cd7c;_0x5a4397[_0x2d7c0d(0x1980)]({'title':_0x1bdaa1[_0x2d7c0d(0x107b)]?_0x2d7c0d(0x262a)+_0x1bdaa1[_0x2d7c0d(0x107b)]+_0x2d7c0d(0x1315)+_0x1bdaa1[_0x2d7c0d(0x167f)]:_0x2d7c0d(0x1a2f),'msg':_0x1bdaa1[_0x2d7c0d(0x524)]?JSON['stringify'](_0x1bdaa1['data']):_0x1bdaa1[_0x2d7c0d(0xd5f)]()});});function _0x25655d(){const _0x51a99d=_0x26cd7c;_0x5f10a8[_0x51a99d(0xcef)]=[],_0x48de07[_0x51a99d(0x67d)][_0x51a99d(0x1e3)](_0x5f10a8['mailAccount'])[_0x51a99d(0x2945)][_0x51a99d(0x146b)](function(_0x66ceba){const _0x3c5e71=_0x51a99d;_0x5f10a8[_0x3c5e71(0x1593)][_0x3c5e71(0xb3d)](_0x66ceba[_0x3c5e71(0x2488)]()),_0x5a4397[_0x3c5e71(0x1c75)]({'title':_0x3c5e71(0x1228),'msg':_0x5f10a8[_0x3c5e71(0x67d)][_0x3c5e71(0x19eb)]?_0x5f10a8['mailAccount'][_0x3c5e71(0x19eb)]+_0x3c5e71(0x1386):''}),_0x150ff8(_0x66ceba);})[_0x51a99d(0x129e)](function(_0x375ffb){const _0x431132=_0x51a99d;if(_0x375ffb[_0x431132(0x524)]&&_0x375ffb['data'][_0x431132(0xcef)]&&_0x375ffb['data'][_0x431132(0xcef)][_0x431132(0x402)]){_0x5f10a8[_0x431132(0xcef)]=_0x375ffb['data'][_0x431132(0xcef)]||[{'message':_0x375ffb[_0x431132(0xd5f)](),'type':'api.mailAccount.save'}];for(let _0x113323=0x0;_0x113323<_0x375ffb[_0x431132(0x524)][_0x431132(0xcef)][_0x431132(0x402)];_0x113323+=0x1){_0x5a4397[_0x431132(0x1980)]({'title':_0x375ffb[_0x431132(0x524)]['errors'][_0x113323][_0x431132(0x1142)],'msg':_0x375ffb[_0x431132(0x524)][_0x431132(0xcef)][_0x113323]['message']});}}else _0x5a4397['error']({'title':_0x375ffb[_0x431132(0x107b)]?_0x431132(0x262a)+_0x375ffb[_0x431132(0x107b)]+_0x431132(0x1315)+_0x375ffb['statusText']:_0x431132(0x489),'msg':_0x375ffb['data']?JSON[_0x431132(0x10bb)](_0x375ffb['data']['message']):_0x375ffb[_0x431132(0xd5f)]()});});}function _0x540aa9(){const _0x3c4c35=_0x26cd7c;_0x5f10a8[_0x3c4c35(0xcef)]=[],_0x48de07[_0x3c4c35(0x67d)][_0x3c4c35(0x18e1)]({'id':_0x5f10a8[_0x3c4c35(0x67d)]['id']},_0x5f10a8['mailAccount'])['$promise'][_0x3c4c35(0x146b)](function(_0x1a66ac){const _0x4c901d=_0x3c4c35,_0x45379e=_0x3f65c0()['find'](_0x5f10a8[_0x4c901d(0x1593)],{'id':_0x1a66ac['id']});_0x45379e&&_0x3f65c0()[_0x4c901d(0x168d)](_0x45379e,_0x3f65c0()['pick'](_0x1a66ac[_0x4c901d(0x2488)](),_0x3f65c0()[_0x4c901d(0x627)](_0x45379e))),_0x5a4397[_0x4c901d(0x1c75)]({'title':_0x4c901d(0x1900),'msg':_0x5f10a8['mailAccount'][_0x4c901d(0x19eb)]?_0x5f10a8['mailAccount'][_0x4c901d(0x19eb)]+'\x20has\x20been\x20saved!':''}),_0x150ff8(_0x1a66ac);})[_0x3c4c35(0x129e)](function(_0x28aa77){const _0x5d00fe=_0x3c4c35;if(_0x28aa77[_0x5d00fe(0x524)]&&_0x28aa77['data'][_0x5d00fe(0xcef)]&&_0x28aa77[_0x5d00fe(0x524)][_0x5d00fe(0xcef)]['length']){_0x5f10a8[_0x5d00fe(0xcef)]=_0x28aa77['data'][_0x5d00fe(0xcef)]||[{'message':_0x28aa77[_0x5d00fe(0xd5f)](),'type':_0x5d00fe(0xa6c)}];for(let _0x278d06=0x0;_0x278d06<_0x28aa77[_0x5d00fe(0x524)]['errors'][_0x5d00fe(0x402)];_0x278d06++){_0x5a4397[_0x5d00fe(0x1980)]({'title':_0x28aa77[_0x5d00fe(0x524)][_0x5d00fe(0xcef)][_0x278d06][_0x5d00fe(0x1142)],'msg':_0x28aa77[_0x5d00fe(0x524)]['errors'][_0x278d06]['message']});}}else _0x5a4397[_0x5d00fe(0x1980)]({'title':_0x28aa77[_0x5d00fe(0x107b)]?_0x5d00fe(0x262a)+_0x28aa77['status']+_0x5d00fe(0x1315)+_0x28aa77[_0x5d00fe(0x167f)]:_0x5d00fe(0xa6c),'msg':_0x28aa77[_0x5d00fe(0x524)]?JSON[_0x5d00fe(0x10bb)](_0x28aa77[_0x5d00fe(0x524)]['message']):_0x28aa77['toString']()});});}function _0x417ff0(_0x2c0885){const _0x54feb8=_0x26cd7c;if(_0x5f10a8[_0x54feb8(0x67d)][_0x54feb8(0x243a)])return _0x5a4397[_0x54feb8(0x1980)]({'title':'Mail\x20Account\x20not\x20deleted!','msg':'You\x20can\x27t\x20remove\x20service\x20account'});_0x5f10a8[_0x54feb8(0xcef)]=[];const _0x247b26=_0x39a1bc[_0x54feb8(0x1e8a)]()[_0x54feb8(0x1189)](_0x54feb8(0x1d64))[_0x54feb8(0x80f)](_0x54feb8(0x1954))['ariaLabel'](_0x54feb8(0x1a59))['ok'](_0x54feb8(0x25de))[_0x54feb8(0x6c3)](_0x54feb8(0xcf0))[_0x54feb8(0x1f27)](_0x2c0885);_0x39a1bc[_0x54feb8(0x2615)](_0x247b26)[_0x54feb8(0x146b)](function(){const _0x121f0e=_0x54feb8;_0x48de07[_0x121f0e(0x67d)]['delete']({'id':_0x5f10a8[_0x121f0e(0x67d)]['id']})['$promise'][_0x121f0e(0x146b)](function(){const _0x15c071=_0x121f0e;_0x3f65c0()[_0x15c071(0x2640)](_0x5f10a8[_0x15c071(0x1593)],{'id':_0x5f10a8[_0x15c071(0x67d)]['id']}),_0x5a4397[_0x15c071(0x1c75)]({'title':'MailAccount\x20properly\x20deleted!','msg':(_0x5f10a8['mailAccount'][_0x15c071(0x19eb)]||_0x15c071(0x67d))+'\x20has\x20been\x20deleted!'}),_0x150ff8(_0x5f10a8['mailAccount']);})[_0x121f0e(0x129e)](function(_0x1d0e93){const _0x1bcfff=_0x121f0e;if(_0x1d0e93[_0x1bcfff(0x524)]&&_0x1d0e93[_0x1bcfff(0x524)][_0x1bcfff(0xcef)]&&_0x1d0e93[_0x1bcfff(0x524)][_0x1bcfff(0xcef)]['length']){_0x5f10a8[_0x1bcfff(0xcef)]=_0x1d0e93[_0x1bcfff(0x524)][_0x1bcfff(0xcef)]||[{'message':_0x1d0e93[_0x1bcfff(0xd5f)](),'type':'api.mailAccount.delete'}];for(let _0x52ca4b=0x0;_0x52ca4b<_0x1d0e93[_0x1bcfff(0x524)]['errors'][_0x1bcfff(0x402)];_0x52ca4b++){_0x5a4397[_0x1bcfff(0x1980)]({'title':_0x1d0e93['data'][_0x1bcfff(0xcef)][_0x52ca4b][_0x1bcfff(0x1142)],'msg':_0x1d0e93[_0x1bcfff(0x524)]['errors'][_0x52ca4b][_0x1bcfff(0x7fd)]});}}else _0x5a4397[_0x1bcfff(0x1980)]({'title':_0x1d0e93[_0x1bcfff(0x107b)]?_0x1bcfff(0x262a)+_0x1d0e93[_0x1bcfff(0x107b)]+'\x20-\x20'+_0x1d0e93[_0x1bcfff(0x167f)]:'api.mailAccount.delete','msg':_0x1d0e93['data']?JSON[_0x1bcfff(0x10bb)](_0x1d0e93[_0x1bcfff(0x524)][_0x1bcfff(0x7fd)]):_0x1d0e93['message']||_0x1d0e93[_0x1bcfff(0xd5f)]()});});},function(){});}function _0x32a5c6(_0x1a46d8){return _0x1a46d8===null?undefined:new Date(_0x1a46d8);}function _0x150ff8(_0x3b0845){const _0x5508ef=_0x26cd7c;_0x39a1bc[_0x5508ef(0x2458)](_0x3b0845);}}const _0xdc63a=_0x1683ab;;const _0x1f8cc0=_0x4acfac['p']+_0x313a4d(0x1b89);;const _0x35d639=_0x4acfac['p']+_0x313a4d(0xe0d);;const _0x234fcf=_0x4acfac['p']+'src/js/modules/main/apps/mail/views/mailAccounts/edit/apps/close/dialog.html/dialog.html';;const _0x378995=_0x4acfac['p']+_0x313a4d(0x1a2d);;const _0x4d677c=_0x4acfac['p']+_0x313a4d(0x1278);;const _0x2df60d=_0x4acfac['p']+_0x313a4d(0x6e0);;const _0x330f01=_0x4acfac['p']+_0x313a4d(0x188e);;const _0x5f2d9a=_0x4acfac['p']+_0x313a4d(0x202);;const _0x5d3587=_0x4acfac['p']+_0x313a4d(0x137b);;const _0x4d74c=_0x4acfac['p']+_0x313a4d(0x1079);;_0x345f98[_0x313a4d(0x11c2)]=['api',_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1fe4),_0x313a4d(0xa87)];const _0x3edb22={'agent':_0x1f8cc0,'autoreply':_0x35d639,'close':_0x234fcf,'gotoif':_0x378995,'gotop':_0x4d677c,'interval':_0x2df60d,'noop':_0x330f01,'queue':_0x5f2d9a,'system':_0x5d3587,'tag':_0x4d74c};function _0x345f98(_0x3e3049,_0x5e32b3,_0xe13ab2,_0x492a11,_0x3f1a75){const _0x432418=_0x313a4d,_0x1ce991=this;_0x1ce991[_0x432418(0x2321)]=_0x3f1a75[_0x432418(0xb12)](),_0x1ce991[_0x432418(0x67d)]={},_0x1ce991['mailAccountApps']={'count':0x0,'rows':[]},_0x1ce991['selectedMailAccountApps']=[],_0x1ce991[_0x432418(0x2514)],_0x1ce991[_0x432418(0x1a56)]={'sort':_0x432418(0xa6a)},_0x1ce991[_0x432418(0x8b0)]=_0x3f65c0()['reject'](_0x3f65c0()[_0x432418(0x939)]([{'app':_0x432418(0x1b4e),'appType':_0x432418(0x2765),'types':[_0x432418(0xd85),_0x432418(0x1802),_0x432418(0x25f4)],'fields':[],'isApp':![]},{'app':_0x432418(0x309),'appType':_0x432418(0x309),'type':_0x432418(0x309),'icon':_0x432418(0x1205),'interval':'*,*,*,*','required':!![],'isApp':!![],'fields':[{'title':_0x432418(0x2193),'name':'value','type':_0x432418(0xa8d),'param':0x0}]},{'app':'system','appType':_0x432418(0x1d47),'type':_0x432418(0x1d47),'icon':_0x432418(0x1205),'interval':_0x432418(0x1559),'required':!![],'isApp':!![],'extraApi':[{'name':_0x432418(0x85b),'field':{'name':_0x432418(0x212),'key':_0x432418(0x19eb)},'route':_0x432418(0x212),'filters':{'fields':'id,name','sort':_0x432418(0x19eb),'nolimit':!![]},'permissions':{'section':0x3f4}}],'fields':[{'title':'Command','name':_0x432418(0x23e9),'type':_0x432418(0xa8d),'required':!![],'param':0x0},{'title':_0x432418(0x182),'name':_0x432418(0x212),'type':'apiselect','values':'variables','value':'variable.name','option':'variable.name','defaultValues':[{'value':'\x27\x27','option':_0x432418(0x13dd)}],'defaultValue':'\x27\x27','param':0x1}]},{'app':'gotop','appType':'gotop','type':_0x432418(0x151b),'icon':_0x432418(0x1205),'interval':'*,*,*,*','isApp':!![],'fields':[{'title':_0x432418(0x23d8),'name':_0x432418(0xa6a),'type':_0x432418(0x181),'required':!![],'min':0x0,'param':0x0}]},{'app':_0x432418(0x609),'appType':_0x432418(0x609),'type':_0x432418(0x609),'icon':_0x432418(0x1205),'interval':_0x432418(0x1559),'isApp':!![],'fields':[{'title':_0x432418(0x15db),'name':_0x432418(0x115f),'type':'text','required':!![],'param':0x0},{'title':_0x432418(0x1d78),'name':_0x432418(0x1d78),'type':'number','min':0x1,'required':!![],'param':0x1},{'title':'falsepriority','name':_0x432418(0x28e1),'type':'number','min':0x1,'required':!![],'param':0x2}]},{'app':'queue','appType':_0x432418(0x1c72),'foreignKey':_0x432418(0x286d),'type':_0x432418(0x1c72),'icon':_0x432418(0x1205),'interval':'*,*,*,*','isApp':!![],'extraApi':[{'name':_0x432418(0x1b32),'field':{'name':_0x432418(0x1c72),'key':'name'},'route':_0x432418(0x101c),'filters':{'fields':_0x432418(0x45e),'sort':'name','nolimit':!![]},'permissions':{'section':0x259}}],'fields':[{'title':'Queue','name':_0x432418(0x1c72),'type':_0x432418(0x28c6),'values':'queues','value':_0x432418(0x15b0),'option':_0x432418(0x15b0),'defaultValue':0x12c,'required':!![],'param':0x0},{'title':_0x432418(0x5cc),'name':_0x432418(0xa9c),'type':_0x432418(0x181),'max':0x20c49b,'min':0x0,'required':!![],'defaultValue':0x12c,'param':0x1}]},{'app':'agent','appType':_0x432418(0x1755),'type':_0x432418(0x1755),'foreignKey':'UserId','icon':'icon-apps','interval':'*,*,*,*','isApp':!![],'extraApi':[{'name':_0x432418(0x23c0),'field':{'name':_0x432418(0x1755),'key':'name'},'route':_0x432418(0xe7b),'filters':{'fields':_0x432418(0x7a7),'sort':_0x432418(0x19eb),'nolimit':!![],'role':'agent'},'permissions':{'section':0xca}}],'fields':[{'title':_0x432418(0x294a),'name':_0x432418(0x1755),'type':_0x432418(0x28c6),'values':'agents','value':_0x432418(0x264f),'option':_0x432418(0x264f),'required':!![],'param':0x0},{'title':_0x432418(0x5cc),'name':'timeout','type':_0x432418(0x181),'max':0x20c49b,'min':0x0,'required':!![],'defaultValue':0x1e,'param':0x1}]},{'app':_0x432418(0x1713),'appType':_0x432418(0x1713),'type':_0x432418(0x1713),'icon':_0x432418(0x1205),'interval':_0x432418(0x1559),'isApp':!![],'fields':[{'title':_0x432418(0x583),'name':_0x432418(0x583),'type':_0x432418(0xa5f),'defaultValue':'1','values':[{'option':_0x432418(0x1d98),'value':'1'},{'option':_0x432418(0x132f),'value':'0'}],'required':!![],'param':0x0},{'title':_0x432418(0x23d7),'name':_0x432418(0xa8d),'type':_0x432418(0x1931),'required':!![],'param':0x1}]},{'app':_0x432418(0xa7c),'appType':_0x432418(0xa7c),'type':_0x432418(0xa7c),'icon':_0x432418(0x1205),'interval':_0x432418(0x1559),'isApp':!![],'fields':[{'title':_0x432418(0x673),'name':_0x432418(0x9e1),'type':_0x432418(0xa8d),'required':!![],'param':0x0}]},{'app':_0x432418(0xa80),'appType':_0x432418(0xa80),'type':_0x432418(0xa80),'foreignKey':_0x432418(0xb0a),'icon':_0x432418(0x1205),'interval':_0x432418(0x1559),'isApp':!![],'extraApi':[{'name':_0x432418(0x1b86),'field':{'name':_0x432418(0xa80),'key':'name'},'route':_0x432418(0xa80),'filters':{'fields':_0x432418(0x7a7),'sort':_0x432418(0x19eb),'nolimit':!![]},'permissions':{'section':0x3f0}}],'fields':[{'title':_0x432418(0x23a8),'name':_0x432418(0xa80),'type':_0x432418(0x28c6),'values':_0x432418(0x1b86),'value':'tag.name','option':_0x432418(0x1a96),'required':!![],'param':0x0}]}],[_0x432418(0x1873)]),{'isApp':![]}),_0x1ce991[_0x432418(0x25f4)]={'group':{'name':_0x432418(0x156c),'pull':_0x432418(0x12cc)},'animation':0x64,'sort':![]},_0x1ce991[_0x432418(0x25fc)]={'group':{'name':_0x432418(0x916),'put':_0x432418(0x156c)},'animation':0x64,'onAdd':function(_0x5a932c){const _0x2a9f3f=_0x432418;_0x356c82(_0x5a932c,_0x5a932c[_0x2a9f3f(0x154d)]);},'onSort':function(){_0xe52109();}},_0x1ce991[_0x432418(0x5aa)]=_0x48c60d,_0x1ce991[_0x432418(0x19b4)]=_0x26ebfb,_0x1ce991[_0x432418(0xcfc)]=_0x274721,_0x1ce991[_0x432418(0xbfd)]=_0x356c82,_0x1ce991[_0x432418(0x285b)]=_0x2021f1,_0x1ce991['deleteMailAccountApp']=_0x37ab09,_0x1ce991[_0x432418(0x10ae)]=_0x7fb808,_0x1ce991['rewriteRouting']=_0xe52109,_0x1ce991[_0x432418(0x1c1c)]=_0x4161e9;function _0x48c60d(_0x4d3147,_0xb54060){const _0xd0e12=_0x432418;_0x1ce991[_0xd0e12(0x67d)]=_0x4d3147,_0x1ce991['crudPermissions']=typeof _0xb54060!==_0xd0e12(0x2274)?_0xb54060:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x1ce991['applications']['disabled']=!_0x1ce991[_0xd0e12(0x2514)][_0xd0e12(0x15f4)]?!![]:![],_0x1ce991[_0xd0e12(0x1a56)]['id']=_0x4d3147['id'],_0x1ce991[_0xd0e12(0x1a56)]['isApp']=!![],_0x1ce991[_0xd0e12(0x1a56)][_0xd0e12(0x6c5)]=!![],_0x1ce991[_0xd0e12(0xcfc)](),_0x1ce991['getIntervals']();}function _0x26ebfb(_0x17ebb8,_0x1c0d87,_0x4ecbb9){const _0x70b67d=_0x432418,_0x39c6b4=_0x5e32b3[_0x70b67d(0x1e8a)]()['title'](_0x70b67d(0x1058))[_0x70b67d(0x1cbe)](''+_0x17ebb8[_0x70b67d(0x1873)]+_0x70b67d(0x252f)+_0x70b67d(0xe01))[_0x70b67d(0x4bd)](_0x70b67d(0x847))[_0x70b67d(0x1f27)](_0x4ecbb9)['ok']('OK')['cancel'](_0x70b67d(0x39a));_0x5e32b3[_0x70b67d(0x2615)](_0x39c6b4)[_0x70b67d(0x146b)](function(){const _0x5316d1=_0x70b67d;_0x1ce991[_0x5316d1(0x4b8)]['rows'][_0x5316d1(0x1f7d)](_0x1c0d87,0x1),_0xe52109();},function(){console['log']('CANCEL');});}function _0x356c82(_0x251672,_0xbc49ce){const _0x21e660=_0x432418;if(_0x1ce991[_0x21e660(0x4b8)][_0x21e660(0x19c7)][_0x21e660(0x402)]){const _0xda7505=_0x1ce991[_0x21e660(0x4b8)][_0x21e660(0x19c7)][_0xbc49ce]?_0x1ce991[_0x21e660(0x4b8)]['rows'][_0xbc49ce]:_0x1ce991[_0x21e660(0x4b8)][_0x21e660(0x19c7)][0x0],_0x106d9e=(_0xda7505['appType']||_0xda7505[_0x21e660(0x1873)])[_0x21e660(0x256e)]();_0x5e32b3['show']({'controller':_0x21e660(0x93a)+_0x106d9e+'DialogController','controllerAs':'vm','templateUrl':_0x3edb22[_0x106d9e],'parent':angular[_0x21e660(0x1853)](_0xe13ab2[_0x21e660(0x2586)]),'targetEvent':_0x251672,'clickOutsideToClose':!![],'locals':{'mailAccountApp':_0xda7505,'mailAccount':_0x1ce991[_0x21e660(0x67d)],'crudPermissions':_0x1ce991[_0x21e660(0x2514)]}})['then'](function(_0x61b708){const _0x281ef9=_0x21e660;_0x61b708&&(_0x61b708['id']?_0x1ce991[_0x281ef9(0x4b8)][_0x281ef9(0x19c7)][_0xbc49ce]=_0x61b708:_0x1ce991[_0x281ef9(0x4b8)][_0x281ef9(0x19c7)]['splice'](_0xbc49ce,0x0,_0x61b708),_0xe52109());})[_0x21e660(0x129e)](function(_0x29cd46){const _0x3bd655=_0x21e660;_0x29cd46&&_0x492a11['error']({'title':_0x29cd46[_0x3bd655(0x107b)]?_0x3bd655(0x262a)+_0x29cd46['status']+_0x3bd655(0x1315)+_0x29cd46[_0x3bd655(0x167f)]:_0x3bd655(0x10a0),'msg':_0x29cd46[_0x3bd655(0x524)]?JSON[_0x3bd655(0x10bb)](_0x29cd46[_0x3bd655(0x524)]):_0x29cd46[_0x3bd655(0xd5f)]()});});}}function _0x2021f1(_0x44be4b,_0x10fe52){const _0x7a269f=_0x432418;if(_0x1ce991['mailAccountApps'][_0x7a269f(0x19c7)][_0x7a269f(0x402)]){const _0x2cc51f=_0x1ce991[_0x7a269f(0x4b8)][_0x7a269f(0x19c7)][_0x10fe52]?_0x1ce991[_0x7a269f(0x4b8)][_0x7a269f(0x19c7)][_0x10fe52]:_0x1ce991[_0x7a269f(0x4b8)][_0x7a269f(0x19c7)][0x0];_0x5e32b3[_0x7a269f(0x2615)]({'controller':_0x7a269f(0x177d),'controllerAs':'vm','templateUrl':_0x2df60d,'parent':angular[_0x7a269f(0x1853)](_0xe13ab2[_0x7a269f(0x2586)]),'targetEvent':_0x44be4b,'clickOutsideToClose':!![],'locals':{'interval':{'interval':_0x2cc51f[_0x7a269f(0x2765)],'IntervalId':_0x2cc51f[_0x7a269f(0x1ff2)],'application':!![]},'intervals':[],'crudPermissions':_0x1ce991['crudPermissions']}})['then'](function(_0x886e44){const _0x5744c6=_0x7a269f;_0x886e44&&(_0x2cc51f[_0x5744c6(0x2765)]=_0x886e44[_0x5744c6(0x2765)]||_0x5744c6(0x1559),_0x2cc51f[_0x5744c6(0x1ff2)]=_0x886e44[_0x5744c6(0x1ff2)]||null,_0xe52109());});}}function _0xe52109(){const _0xdba781=_0x432418;let _0x3e5117=0x1,_0xbae21e=[];for(let _0x425353=0x0;_0x425353<_0x1ce991[_0xdba781(0x4b8)][_0xdba781(0x19c7)][_0xdba781(0x402)];_0x425353++){const _0x4205fb=_0x1ce991[_0xdba781(0x4b8)]['rows'][_0x425353],_0x16a733=[],_0x1819a6=[];_0x4205fb['intervals']=_0x4205fb[_0xdba781(0x2765)]!=='*,*,*,*'?[_0x4205fb[_0xdba781(0x2765)]]:_0x4205fb[_0xdba781(0x1ff2)]?_0x3f65c0()[_0xdba781(0x205)](_0x3f65c0()['filter'](_0x1ce991[_0xdba781(0x278f)]['rows'],{'IntervalId':_0x4205fb['IntervalId']}),'interval'):[],_0x4205fb['context']=_0x1ce991['mailAccount'][_0xdba781(0x1ac1)],_0x4205fb[_0xdba781(0x19b2)]=_0x1ce991[_0xdba781(0x67d)][_0xdba781(0x19b2)],_0x4205fb[_0xdba781(0x1142)]&&(_0x4205fb[_0xdba781(0x1142)]=_0x4205fb[_0xdba781(0x1142)][_0xdba781(0x256e)]()),_0x4205fb[_0xdba781(0xa6a)]=_0x16a733['length']?_0x3f65c0()[_0xdba781(0x22f2)](_0x16a733)['priority']+0x1:_0x3e5117,_0x3e5117=(_0x1819a6[_0xdba781(0x402)]?_0x3f65c0()[_0xdba781(0x22f2)](_0x1819a6)[_0xdba781(0xa6a)]:_0x4205fb[_0xdba781(0xa6a)])+0x1,_0xbae21e=_0x3f65c0()[_0xdba781(0x163c)](_0xbae21e,_0x16a733,[_0x4205fb],_0x1819a6);}_0x3e3049['mailAccount'][_0xdba781(0x1711)]({'id':_0x1ce991[_0xdba781(0x67d)]['id']},_0x3f65c0()[_0xdba781(0x939)](_0xbae21e,_0xdba781(0xa6a)))[_0xdba781(0x2945)][_0xdba781(0x146b)](function(_0x2d1e95){const _0x498011=_0xdba781;_0x1ce991[_0x498011(0x4b8)]['rows']=_0x2d1e95[_0x498011(0x19c7)];})[_0xdba781(0x129e)](function(_0x36c64e){const _0x4635f4=_0xdba781;console[_0x4635f4(0x1980)](_0x36c64e);});}function _0x2b243b(_0x162616){const _0x46ebec=_0x432418;_0x1ce991[_0x46ebec(0x4b8)]=_0x162616||{'count':0x0,'rows':[]};}function _0x4161e9(){const _0x2923b3=_0x432418;return _0x3e3049[_0x2923b3(0x2765)][_0x2923b3(0x16b4)]({'fields':_0x2923b3(0x2749)})[_0x2923b3(0x2945)][_0x2923b3(0x146b)](function(_0x36efa0){const _0x5d1c52=_0x2923b3;_0x1ce991[_0x5d1c52(0x278f)]=_0x36efa0;})[_0x2923b3(0x129e)](function(_0x1bd1cf){const _0xd02c68=_0x2923b3;console[_0xd02c68(0x1980)](_0x1bd1cf);});}function _0x274721(){const _0x291e3e=_0x432418;_0x1ce991[_0x291e3e(0xb9c)]=_0x3e3049['mailAccount'][_0x291e3e(0x261b)](_0x1ce991['query'],_0x2b243b)[_0x291e3e(0x2945)];}function _0x37ab09(_0x5505a5){const _0x4ad800=_0x432418;_0x3f65c0()[_0x4ad800(0x2640)](_0x1ce991['mailAccountApps'][_0x4ad800(0x19c7)],{'id':_0x5505a5['id']}),_0xe52109(),_0x492a11[_0x4ad800(0x1c75)]({'title':'App\x20deleted!','msg':_0x5505a5[_0x4ad800(0x1873)]?_0x5505a5[_0x4ad800(0x1873)]+_0x4ad800(0x23e3):''});}function _0x7fb808(_0x5575cc){const _0x22c5bf=_0x432418,_0x3c35e3=_0x5e32b3['confirm']()[_0x22c5bf(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20applications?')[_0x22c5bf(0x1cbe)](_0x22c5bf(0x16d3)+_0x1ce991[_0x22c5bf(0xdf1)][_0x22c5bf(0x402)]+_0x22c5bf(0x2452)+'\x20will\x20be\x20deleted.')[_0x22c5bf(0x4bd)](_0x22c5bf(0x2674))['targetEvent'](_0x5575cc)['ok']('OK')[_0x22c5bf(0x6c3)](_0x22c5bf(0x39a));_0x5e32b3[_0x22c5bf(0x2615)](_0x3c35e3)[_0x22c5bf(0x146b)](function(){const _0x397604=_0x22c5bf;_0x1ce991[_0x397604(0xdf1)][_0x397604(0x1df5)](function(_0x4b5299){const _0x368b7a=_0x397604;_0x3f65c0()['remove'](_0x1ce991[_0x368b7a(0x4b8)][_0x368b7a(0x19c7)],{'id':_0x4b5299['id']});}),_0x1ce991[_0x397604(0xdf1)]=[],_0xe52109();});}}const _0x47c3a8=_0x345f98;;_0x217e13[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q','toasty','api',_0x313a4d(0x67d),'mailAccounts',_0x313a4d(0xfc4),'$translate','Auth','crudPermissions'];function _0x217e13(_0x52b5c8,_0x13ad81,_0x4d4ee6,_0x3958e3,_0x2abdaf,_0x210a10,_0x2fdc74,_0x5f20d7,_0x4dd3f8,_0x3d1c90){const _0x32172d=_0x313a4d,_0x362799=this;_0x362799[_0x32172d(0x2321)]=_0x4dd3f8[_0x32172d(0xb12)](),_0x362799[_0x32172d(0x67d)]=_0x2abdaf,_0x362799[_0x32172d(0x2514)]=_0x3d1c90,_0x362799['realtime']=_0x2fdc74,_0x362799[_0x32172d(0x1fd6)]=[],_0x362799[_0x32172d(0xc4e)]=[],_0x362799['selectedItems']=[],_0x362799[_0x32172d(0x2e8)]=[],_0x362799['startingSelectedItems']=[],_0x362799['pendingChanges']=![],_0x362799[_0x32172d(0x3d4)]=_0x706e7b,_0x362799['saveAgents']=_0x370410,_0x362799[_0x32172d(0x13f3)]=_0x52ef38,_0x362799[_0x32172d(0x1f8a)]={'readOnly':!_0x362799[_0x32172d(0x2514)]['canEdit'],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':'name','line1':_0x32172d(0x286a),'line2':[_0x32172d(0x19eb),_0x32172d(0x113f)],'line3':'','labelAll':_0x5f20d7[_0x32172d(0xde)](_0x32172d(0x2050)),'labelSelected':_0x5f20d7[_0x32172d(0xde)](_0x32172d(0xf73)),'transferCallback':function(){const _0x5297f0=_0x32172d,_0x1d45d1=_0x3f65c0()['xorBy'](_0x362799['startingSelectedItems'],_0x362799['selectedItems'],'id');_0x362799[_0x5297f0(0x132)]=_0x3f65c0()[_0x5297f0(0x2635)](_0x1d45d1)?![]:!![];}};function _0x706e7b(){const _0x54c9eb=_0x32172d;return _0x4dd3f8[_0x54c9eb(0x23e0)](_0x54c9eb(0x174b))?_0x2a48b7()[_0x54c9eb(0x129e)](function(_0x4f9ca5){const _0x199ac9=_0x54c9eb;_0x4d4ee6[_0x199ac9(0x1980)]({'title':_0x4f9ca5[_0x199ac9(0x107b)]?_0x199ac9(0x262a)+_0x4f9ca5[_0x199ac9(0x107b)]+_0x199ac9(0x1315)+_0x4f9ca5['statusText']:_0x199ac9(0x557),'msg':_0x4f9ca5[_0x199ac9(0x107b)]?JSON[_0x199ac9(0x10bb)](_0x4f9ca5[_0x199ac9(0x524)]):_0x4f9ca5[_0x199ac9(0xd5f)]()});}):_0x3932b4()[_0x54c9eb(0x146b)](function(_0x408e78){const _0x12bd01=_0x54c9eb;return _0x362799[_0x12bd01(0x2146)]=_0x408e78,_0x2a48b7();})[_0x54c9eb(0x129e)](function(_0x237a4c){const _0x26e08d=_0x54c9eb;_0x4d4ee6[_0x26e08d(0x1980)]({'title':_0x237a4c[_0x26e08d(0x107b)]?_0x26e08d(0x262a)+_0x237a4c[_0x26e08d(0x107b)]+_0x26e08d(0x1315)+_0x237a4c['statusText']:_0x26e08d(0x557),'msg':_0x237a4c[_0x26e08d(0x107b)]?JSON[_0x26e08d(0x10bb)](_0x237a4c[_0x26e08d(0x524)]):_0x237a4c[_0x26e08d(0xd5f)]()});});}function _0x3932b4(){return _0x13ad81(function(_0xc8eae8,_0x5be3c6){const _0xd167cf=a0_0x3bb9;_0x3958e3[_0xd167cf(0x1366)][_0xd167cf(0x16b4)]({'userProfileId':_0x362799[_0xd167cf(0x2321)][_0xd167cf(0x209a)],'name':_0xd167cf(0xca8)})['$promise'][_0xd167cf(0x146b)](function(_0xe79edd){const _0x38fa5a=_0xd167cf,_0x4de6a6=_0xe79edd&&_0xe79edd['rows']?_0xe79edd[_0x38fa5a(0x19c7)][0x0]:null;_0xc8eae8(_0x4de6a6);})[_0xd167cf(0x129e)](function(_0x51a28c){_0x5be3c6(_0x51a28c);});});}function _0x2a48b7(){return _0x13ad81(function(_0x145ea4,_0x9362dd){const _0x1c779e=a0_0x3bb9;return _0x85313f()[_0x1c779e(0x146b)](function(_0x26f368){const _0xdfe617=_0x1c779e;return _0x362799[_0xdfe617(0x1fd6)]=_0x26f368[_0xdfe617(0x19c7)]?_0x26f368[_0xdfe617(0x19c7)]:[],_0x4dd3f8[_0xdfe617(0x23e0)]('admin')?_0x26f368:_0x362799[_0xdfe617(0x2146)]?_0x362799[_0xdfe617(0x2146)]['autoAssociation']?_0x26f368:_0xa77f07():null;})['then'](function(_0x17319c){const _0x2a1c52=_0x1c779e,_0x3b74a5=_0x17319c&&_0x17319c[_0x2a1c52(0x19c7)]?_0x17319c[_0x2a1c52(0x19c7)]:[];return _0x362799['allowedItems']=_0x3f65c0()[_0x2a1c52(0x205)](_0x3b74a5,function(_0x558f07){const _0x55a0b4=_0x2a1c52;return _0x3f65c0()['find'](_0x362799[_0x55a0b4(0x1fd6)],{'id':_0x4dd3f8[_0x55a0b4(0x23e0)]('admin')||_0x362799[_0x55a0b4(0x2146)][_0x55a0b4(0x11d2)]?_0x558f07['id']:_0x558f07[_0x55a0b4(0x18b8)]});}),_0x362799[_0x2a1c52(0x2e8)]=angular['copy'](_0x362799[_0x2a1c52(0xc4e)]),_0x362799[_0x2a1c52(0x1fd6)]['forEach'](function(_0x4baa4e){const _0x546067=_0x2a1c52,_0x5e3ec0=_0x3f65c0()[_0x546067(0xc84)](_0x362799[_0x546067(0xc4e)],{'id':_0x4baa4e['id']});_0x4dd3f8[_0x546067(0x23e0)](_0x546067(0x174b))?_0x4baa4e[_0x546067(0x146f)]=!![]:_0x4baa4e[_0x546067(0x146f)]=typeof _0x5e3ec0!=='undefined'?!![]:![];}),_0x298d69();})[_0x1c779e(0x146b)](function(_0x305e14){const _0x80ada8=_0x1c779e,_0x1a5ea7=_0x305e14&&_0x305e14[_0x80ada8(0x19c7)]?_0x305e14[_0x80ada8(0x19c7)]:[];_0x362799[_0x80ada8(0x1ecf)]=_0x3f65c0()[_0x80ada8(0x205)](_0x1a5ea7,function(_0x31bce8){const _0x103e9d=_0x80ada8,_0x580c77=_0x3f65c0()[_0x103e9d(0xc84)](_0x362799[_0x103e9d(0x1fd6)],{'id':_0x31bce8['id']});return _0x580c77[_0x103e9d(0x188d)]=_0x31bce8[_0x103e9d(0x1548)]?_0x103e9d(0x2505)+_0x31bce8['UserMailAccount'][_0x103e9d(0x188d)]:'',_0x580c77[_0x103e9d(0x113f)]=typeof _0x31bce8[_0x103e9d(0x113f)]!==_0x103e9d(0x2274)?'<'+_0x31bce8['internal']+'>':'',_0x580c77;}),_0x362799['startingSelectedItems']=angular[_0x80ada8(0x235a)](_0x362799[_0x80ada8(0x1ecf)]),_0x362799[_0x80ada8(0x1f8a)][_0x80ada8(0x1ecf)]=_0x362799['selectedItems'],_0x362799[_0x80ada8(0x1f8a)]['items']=_0x3f65c0()['differenceBy'](_0x362799[_0x80ada8(0xc4e)],_0x362799[_0x80ada8(0x1f8a)][_0x80ada8(0x1ecf)],'id'),_0x145ea4();})['catch'](function(_0x34d717){_0x9362dd(_0x34d717);});});}function _0xa77f07(){return _0x13ad81(function(_0x3c694a,_0x471774){const _0x29e0cf=a0_0x3bb9;return _0x3958e3[_0x29e0cf(0xdcc)][_0x29e0cf(0x16b4)]({'sectionId':_0x362799[_0x29e0cf(0x2146)]['id'],'nolimit':!![]})['$promise'][_0x29e0cf(0x146b)](function(_0x1840f0){_0x3c694a(_0x1840f0);})['catch'](function(_0xb3ddaf){_0x471774(_0xb3ddaf);});});}function _0x298d69(){return _0x13ad81(function(_0x51edf8,_0x3aaadf){const _0x432bae=a0_0x3bb9;return _0x3958e3[_0x432bae(0x67d)][_0x432bae(0x333)]({'id':_0x362799['mailAccount']['id'],'fields':'id,name,internal,fullname','nolimit':!![],'role':_0x432bae(0x1755)})[_0x432bae(0x2945)]['then'](function(_0x3de7c5){_0x51edf8(_0x3de7c5);})['catch'](function(_0x1a196d){_0x3aaadf(_0x1a196d);});});}function _0x85313f(){return _0x13ad81(function(_0x35ad39,_0x249adb){const _0x328724=a0_0x3bb9;return _0x3958e3[_0x328724(0xe7b)]['get']({'fields':_0x328724(0x1569),'nolimit':!![],'role':_0x328724(0x1755)})[_0x328724(0x2945)]['then'](function(_0x25a499){_0x35ad39(_0x25a499);})[_0x328724(0x129e)](function(_0x11d52a){_0x249adb(_0x11d52a);});});}function _0x1f8e2e(_0x18e9ea){return _0x13ad81(function(_0x58f9a0,_0x14dc79){const _0x56fb23=a0_0x3bb9;_0x3f65c0()[_0x56fb23(0x2635)](_0x18e9ea)?_0x58f9a0():_0x3958e3[_0x56fb23(0x67d)][_0x56fb23(0x135e)]({'id':_0x362799[_0x56fb23(0x67d)]['id'],'ids':_0x3f65c0()[_0x56fb23(0x205)](_0x18e9ea,'id')})[_0x56fb23(0x2945)]['then'](function(){_0x58f9a0();})['catch'](function(_0x141c92){_0x14dc79(_0x141c92);});});}function _0x223943(_0x17516d){return _0x13ad81(function(_0x3d34e4,_0x46b70b){const _0x264e44=a0_0x3bb9;_0x3f65c0()[_0x264e44(0x2635)](_0x17516d)?_0x3d34e4():_0x3958e3[_0x264e44(0x67d)][_0x264e44(0x1f53)]({'id':_0x362799[_0x264e44(0x67d)]['id'],'ids':_0x3f65c0()[_0x264e44(0x205)](_0x17516d,'id')})['$promise']['then'](function(){_0x3d34e4();})[_0x264e44(0x129e)](function(_0xa25395){_0x46b70b(_0xa25395);});});}function _0x370410(){const _0x499804=_0x32172d,_0x313358=_0x3f65c0()['differenceBy'](_0x362799[_0x499804(0xa65)],_0x362799['selectedItems'],'id'),_0x2c86d0=_0x3f65c0()[_0x499804(0x2796)](_0x362799['selectedItems'],_0x362799[_0x499804(0xa65)],'id');return _0x223943(_0x313358)[_0x499804(0x146b)](function(){return _0x1f8e2e(_0x2c86d0);})[_0x499804(0x146b)](function(){const _0xbb06fb=_0x499804;_0x362799[_0xbb06fb(0x132)]=![],_0x362799[_0xbb06fb(0x2e8)]=angular['copy'](_0x362799[_0xbb06fb(0xc4e)]),_0x362799['startingSelectedItems']=angular['copy'](_0x362799[_0xbb06fb(0x1ecf)]),_0x4d4ee6[_0xbb06fb(0x1c75)]({'title':_0xbb06fb(0x4c0),'msg':_0xbb06fb(0x26be)});})[_0x499804(0x129e)](function(_0x3b1e99){const _0x5b1952=_0x499804;_0x4d4ee6[_0x5b1952(0x1980)]({'title':_0x3b1e99[_0x5b1952(0x107b)]?_0x5b1952(0x262a)+_0x3b1e99[_0x5b1952(0x107b)]+_0x5b1952(0x1315)+_0x3b1e99[_0x5b1952(0x167f)]:'SYSTEM:LISTS_ASSOCIATION','msg':_0x3b1e99[_0x5b1952(0x107b)]?JSON[_0x5b1952(0x10bb)](_0x3b1e99['data']):_0x3b1e99[_0x5b1952(0xd5f)]()});});}function _0x52ef38(){_0x52b5c8['hide']();}}const _0x422d1b=_0x217e13;;_0x34c11d[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x267d),_0x313a4d(0x67d),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x34c11d(_0x4eb06f,_0x1d0de4,_0x6d05e1,_0x329ff1,_0x9e5059,_0x256d4b,_0x470dbc,_0x18df1c){const _0x1d5a18=_0x313a4d,_0x5db691=this;_0x5db691[_0x1d5a18(0x2321)]=_0x470dbc[_0x1d5a18(0xb12)](),_0x5db691[_0x1d5a18(0xcef)]=[],_0x5db691[_0x1d5a18(0x1189)]=_0x1d5a18(0x1c59)+(_0x329ff1['appType']||_0x329ff1[_0x1d5a18(0x1873)])[_0x1d5a18(0x1c37)](),_0x5db691[_0x1d5a18(0x1755)]=angular[_0x1d5a18(0x235a)](_0x329ff1),_0x5db691[_0x1d5a18(0x2514)]=_0x18df1c,_0x5db691[_0x1d5a18(0x855)]={};if(_0x5db691[_0x1d5a18(0x1755)]['appdata'])switch(_0x5db691[_0x1d5a18(0x1755)][_0x1d5a18(0x8f2)]?_0x5db691['agent'][_0x1d5a18(0x8f2)][_0x1d5a18(0x256e)]():_0x5db691[_0x1d5a18(0x1755)][_0x1d5a18(0x1873)]['toLowerCase']()){case'custom':break;case'dialogflow':{const _0x148d90=_0x5db691[_0x1d5a18(0x1755)][_0x1d5a18(0x168a)]['split'](',');_0x5db691[_0x1d5a18(0x1755)][_0x1d5a18(0x2293)]=_0x148d90[0x0],_0x5db691[_0x1d5a18(0x1755)]['language']=_0x148d90[0x1],_0x5db691['agent'][_0x1d5a18(0xaf3)]=_0x148d90[_0x1d5a18(0x1298)](0x2,_0x148d90[_0x1d5a18(0x402)])['join'](',');}break;case'dialogflowv2':{const _0x181190=_0x5db691[_0x1d5a18(0x1755)]['appdata'][_0x1d5a18(0x10c8)](',');_0x5db691['agent'][_0x1d5a18(0x153a)]=_0x181190[0x0],_0x5db691['agent'][_0x1d5a18(0x1392)]=_0x181190[0x1],_0x5db691['agent'][_0x1d5a18(0x2217)]=_0x181190[0x2],_0x5db691[_0x1d5a18(0x1755)][_0x1d5a18(0x90b)]=_0x181190[0x3],_0x5db691[_0x1d5a18(0x1755)][_0x1d5a18(0xaf3)]=_0x181190[_0x1d5a18(0x1298)](0x4,_0x181190[_0x1d5a18(0x402)])[_0x1d5a18(0xb47)](',');}break;case'amazonlex':{const _0x12b3ac=_0x5db691[_0x1d5a18(0x1755)][_0x1d5a18(0x168a)]['split'](',');_0x5db691[_0x1d5a18(0x1755)][_0x1d5a18(0x1651)]=_0x12b3ac[0x0],_0x5db691[_0x1d5a18(0x1755)][_0x1d5a18(0x252)]=_0x12b3ac[0x1],_0x5db691['agent'][_0x1d5a18(0x1039)]=_0x12b3ac[0x2],_0x5db691[_0x1d5a18(0x1755)][_0x1d5a18(0x8dd)]=_0x12b3ac[0x3],_0x5db691[_0x1d5a18(0x1755)]['welcomemessage']=_0x12b3ac[_0x1d5a18(0x1298)](0x4,_0x12b3ac[_0x1d5a18(0x402)])[_0x1d5a18(0xb47)](',');}break;case _0x1d5a18(0x1713):{const _0x7630fd=_0x5db691[_0x1d5a18(0x1755)][_0x1d5a18(0x168a)][_0x1d5a18(0x10c8)](',');_0x5db691[_0x1d5a18(0x1755)][_0x1d5a18(0x583)]=isNaN(_0x7630fd[0x0])?_0x7630fd[0x0]:parseInt(_0x7630fd[0x0],0xa),_0x5db691[_0x1d5a18(0x1755)][_0x1d5a18(0xa8d)]=_0x7630fd[_0x1d5a18(0x1298)](0x1,_0x7630fd[_0x1d5a18(0x402)])[_0x1d5a18(0xb47)](',');}break;case _0x1d5a18(0x7fd):_0x5db691[_0x1d5a18(0x1755)][_0x1d5a18(0xa8d)]=_0x5db691['agent'][_0x1d5a18(0x168a)];break;case'set':_0x5db691['agent'][_0x1d5a18(0x19eb)]=_0x5db691['agent'][_0x1d5a18(0x168a)][_0x1d5a18(0x10c8)]('=')[0x0],_0x5db691['agent'][_0x1d5a18(0x175d)]=_0x5db691[_0x1d5a18(0x1755)][_0x1d5a18(0x168a)]['split']('=')[0x1];break;case _0x1d5a18(0x1ecb):_0x5db691[_0x1d5a18(0x1755)]['project']=_0x5db691[_0x1d5a18(0x1755)][_0x1d5a18(0x168a)];break;default:{const _0x2038bd=_0x5db691['agent'][_0x1d5a18(0x168a)][_0x1d5a18(0x10c8)](',');_0x5db691[_0x1d5a18(0x1755)]['agent']=_0x3f65c0()['isEmpty'](_0x2038bd[0x0])?_0x2038bd[0x0]:isNaN(_0x2038bd[0x0])?_0x2038bd[0x0]:parseInt(_0x2038bd[0x0],0xa),_0x5db691['agent'][_0x1d5a18(0xa9c)]=_0x3f65c0()[_0x1d5a18(0x2635)](_0x2038bd[0x1])?_0x2038bd[0x1]:isNaN(_0x2038bd[0x1])?_0x2038bd[0x1]:parseInt(_0x2038bd[0x1],0xa);}break;}else _0x5db691[_0x1d5a18(0x1755)][_0x1d5a18(0xa9c)]=0x1e;_0x5db691[_0x1d5a18(0x1755)][_0x1d5a18(0x1142)]&&_0x5db691[_0x1d5a18(0x1755)]['type'][_0x1d5a18(0x256e)]()==='outbound'&&_0x5db691['agent'][_0x1d5a18(0x8f2)]['toLowerCase']()===_0x1d5a18(0x3bb)&&(_0x5db691[_0x1d5a18(0x1755)]['prefix']=_0x5db691[_0x1d5a18(0x1755)][_0x1d5a18(0x1d55)]?_0x5db691[_0x1d5a18(0x1755)]['phone'][_0x1d5a18(0x10c8)]('$')[0x0]:undefined,_0x5db691[_0x1d5a18(0x1755)][_0x1d5a18(0x1d43)]=_0x5db691['agent']['callerID']?_0x1d5a18(0x1b60)+_0x5db691[_0x1d5a18(0x1755)][_0x1d5a18(0x11be)]:undefined);_0x5db691[_0x1d5a18(0x1c19)]=_0x4b41fe,_0x5db691[_0x1d5a18(0x13f3)]=_0x40b49b,_0x470dbc[_0x1d5a18(0x23e0)](_0x1d5a18(0x174b))?_0x256d4b[_0x1d5a18(0xe7b)][_0x1d5a18(0x16b4)]({'fields':_0x1d5a18(0x7a7),'sort':'name','nolimit':_0x1d5a18(0x1185),'role':_0x1d5a18(0x1755)})['$promise'][_0x1d5a18(0x146b)](function(_0x441e03){_0x5db691['agents']=_0x441e03['rows']||[];})[_0x1d5a18(0x129e)](function(_0x2a9e1e){const _0xefa53a=_0x1d5a18;_0x6d05e1['error']({'title':_0x2a9e1e[_0xefa53a(0x107b)]?_0xefa53a(0x262a)+_0x2a9e1e['status']+_0xefa53a(0x1315)+_0x2a9e1e[_0xefa53a(0x167f)]:_0xefa53a(0x557),'msg':_0x2a9e1e[_0xefa53a(0x524)]?JSON[_0xefa53a(0x10bb)](_0x2a9e1e[_0xefa53a(0x524)]):_0x2a9e1e['toString']()});}):_0x256d4b[_0x1d5a18(0xe7b)][_0x1d5a18(0x16b4)]({'fields':_0x1d5a18(0x7a7),'sort':'name','nolimit':_0x1d5a18(0x1185),'role':_0x1d5a18(0x1755)})[_0x1d5a18(0x2945)][_0x1d5a18(0x146b)](function(_0x367164){const _0x2ce9f5=_0x1d5a18;_0x5db691[_0x2ce9f5(0x23c0)]=_0x367164[_0x2ce9f5(0x19c7)]||[];})['then'](function(){const _0x2aff57=_0x1d5a18;return _0x256d4b[_0x2aff57(0x1366)][_0x2aff57(0x16b4)]({'userProfileId':_0x5db691['currentUser'][_0x2aff57(0x209a)],'sectionId':0xca})[_0x2aff57(0x2945)];})[_0x1d5a18(0x146b)](function(_0x215147){const _0x31f702=_0x1d5a18,_0x7e194=_0x215147&&_0x215147[_0x31f702(0x19c7)]?_0x215147[_0x31f702(0x19c7)][0x0]:null;if(!_0x7e194){const _0x5a8804=[];let _0xcf05c6=null;_0x5db691[_0x31f702(0x1755)]&&(_0xcf05c6=_0x3f65c0()[_0x31f702(0xc84)](_0x5db691['agents'],{'name':_0x5db691[_0x31f702(0x1755)][_0x31f702(0x1755)]}));for(let _0x2fc0ba=0x0;_0x2fc0ba<_0x5db691['agents'][_0x31f702(0x402)];_0x2fc0ba++){_0xcf05c6&&_0x5db691['agents'][_0x2fc0ba]['id']===_0xcf05c6['id']&&(_0x5db691[_0x31f702(0x23c0)][_0x2fc0ba]['canSelect']=![],_0x5a8804[_0x31f702(0x1f47)](_0x5db691[_0x31f702(0x23c0)][_0x2fc0ba]));}_0x5db691[_0x31f702(0x23c0)]=_0x5a8804;}else{if(!_0x7e194[_0x31f702(0x11d2)])return _0x256d4b[_0x31f702(0xdcc)][_0x31f702(0x16b4)]({'sectionId':_0x7e194['id']})[_0x31f702(0x2945)][_0x31f702(0x146b)](function(_0x346cfc){const _0x580b71=_0x31f702,_0xd5dff1=_0x3f65c0()[_0x580b71(0x205)](_0x346cfc[_0x580b71(0x19c7)],function(_0x2faaa3){const _0x5ec878=_0x580b71;return _0x3f65c0()[_0x5ec878(0xc84)](_0x5db691['agents'],{'id':_0x2faaa3[_0x5ec878(0x18b8)]});});let _0x35dfb5=null;_0x5db691[_0x580b71(0x1755)]&&(_0x35dfb5=_0x3f65c0()[_0x580b71(0xc84)](_0x5db691[_0x580b71(0x23c0)],{'name':_0x5db691['agent'][_0x580b71(0x1755)]}));if(_0x35dfb5&&!_0x3f65c0()[_0x580b71(0x1360)](_0xd5dff1,['id',_0x35dfb5['id']])){const _0x9ae86a=_0x3f65c0()[_0x580b71(0xc84)](_0x5db691['agents'],{'id':_0x35dfb5['id']});_0x9ae86a[_0x580b71(0x8ff)]=![],_0xd5dff1[_0x580b71(0x1f47)](_0x9ae86a);}_0x5db691[_0x580b71(0x23c0)]=_0xd5dff1;});}})[_0x1d5a18(0x129e)](function(_0x827635){const _0x4b3237=_0x1d5a18;_0x6d05e1['error']({'title':_0x827635['status']?_0x4b3237(0x262a)+_0x827635['status']+_0x4b3237(0x1315)+_0x827635[_0x4b3237(0x167f)]:_0x4b3237(0x788),'msg':_0x827635[_0x4b3237(0x524)]?JSON[_0x4b3237(0x10bb)](_0x827635[_0x4b3237(0x524)]):_0x827635[_0x4b3237(0xd5f)]()});});function _0x4b41fe(){const _0x512aa3=_0x1d5a18;_0x5db691[_0x512aa3(0xcef)]=[];const _0x5d8cd0=[];_0x5db691[_0x512aa3(0x1755)][_0x512aa3(0x1142)]&&_0x5db691[_0x512aa3(0x1755)][_0x512aa3(0x1142)]['toLowerCase']()===_0x512aa3(0xc9c)&&_0x5db691[_0x512aa3(0x1755)][_0x512aa3(0x8f2)]===_0x512aa3(0x118b)&&(_0x5db691[_0x512aa3(0x1755)][_0x512aa3(0x1d55)]=_0x9e5059[_0x512aa3(0x1274)]?(_0x5db691[_0x512aa3(0x1755)][_0x512aa3(0x1e12)]||'')+_0x512aa3(0x2147)+_0x9e5059[_0x512aa3(0x1274)]+'}':(_0x5db691[_0x512aa3(0x1755)][_0x512aa3(0x1e12)]||'')+_0x512aa3(0x20df),_0x9e5059[_0x512aa3(0x1425)]!==_0x512aa3(0x1642)?_0x5db691[_0x512aa3(0x1755)][_0x512aa3(0xa08)]['indexOf'](_0x512aa3(0x1ea4))<0x0&&(_0x5db691[_0x512aa3(0x1755)][_0x512aa3(0xa08)]+=_0x512aa3(0x1ea4)):_0x5db691[_0x512aa3(0x1755)]['options']=_0x5db691['agent'][_0x512aa3(0xa08)][_0x512aa3(0x5f4)](_0x512aa3(0x1ea4),''));const _0x5eb231=_0x3f65c0()[_0x512aa3(0xc84)](_0x5db691[_0x512aa3(0x23c0)],{'name':_0x5db691[_0x512aa3(0x1755)]['agent']});_0x5eb231&&(_0x5db691[_0x512aa3(0x1755)][_0x512aa3(0xea2)]=_0x5eb231['id']);if(_0x5db691[_0x512aa3(0x1755)]['appType']&&_0x5db691[_0x512aa3(0x1755)]['appType']===_0x512aa3(0x1802)){}else switch((_0x5db691[_0x512aa3(0x1755)]['app']||_0x5db691['agent']['appType'])[_0x512aa3(0x256e)]()){case _0x512aa3(0x26ba):_0x5db691[_0x512aa3(0x1755)][_0x512aa3(0x168a)]=_0x5db691[_0x512aa3(0x1755)][_0x512aa3(0x19eb)]+'='+_0x5db691[_0x512aa3(0x1755)][_0x512aa3(0x175d)];break;case _0x512aa3(0x1802):break;default:_0x5d8cd0[0x0]=_0x5db691[_0x512aa3(0x1755)][_0x512aa3(0x1755)],_0x5d8cd0[0x1]=_0x5db691[_0x512aa3(0x1755)][_0x512aa3(0xa9c)],_0x5db691[_0x512aa3(0x1755)][_0x512aa3(0x168a)]=_0x5d8cd0[_0x512aa3(0xb47)](',');}_0x40b49b(_0x5db691[_0x512aa3(0x1755)]);}function _0x40b49b(_0x17418d){const _0x505164=_0x1d5a18;_0x4eb06f[_0x505164(0x2458)](_0x17418d);}}const _0x50b83d=_0x34c11d;;_0x58ad97[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x267d),_0x313a4d(0x67d),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x58ad97(_0x1725c7,_0x4de1c1,_0x2a367d,_0x3ceb0e,_0x51ab7e,_0x2a55c0,_0x36d859,_0x6f3884){const _0x3372fd=_0x313a4d,_0x186998=this;_0x186998[_0x3372fd(0x2321)]=_0x36d859[_0x3372fd(0xb12)](),_0x186998[_0x3372fd(0xcef)]=[],_0x186998[_0x3372fd(0x1189)]=_0x3372fd(0x1c59)+(_0x3ceb0e[_0x3372fd(0x8f2)]||_0x3ceb0e[_0x3372fd(0x1873)])[_0x3372fd(0x1c37)](),_0x186998['autoreply']=angular[_0x3372fd(0x235a)](_0x3ceb0e),_0x186998[_0x3372fd(0x2514)]=_0x6f3884,_0x186998['hasModulePermissions']={};if(_0x186998['autoreply'][_0x3372fd(0x168a)])switch(_0x186998[_0x3372fd(0x1713)]['appType']?_0x186998[_0x3372fd(0x1713)][_0x3372fd(0x8f2)][_0x3372fd(0x256e)]():_0x186998[_0x3372fd(0x1713)]['app'][_0x3372fd(0x256e)]()){case _0x3372fd(0x1802):break;case _0x3372fd(0xeaa):{const _0x164db1=_0x186998[_0x3372fd(0x1713)][_0x3372fd(0x168a)][_0x3372fd(0x10c8)](',');_0x186998['autoreply']['key']=_0x164db1[0x0],_0x186998[_0x3372fd(0x1713)][_0x3372fd(0x90b)]=_0x164db1[0x1],_0x186998['autoreply'][_0x3372fd(0xaf3)]=_0x164db1['slice'](0x2,_0x164db1[_0x3372fd(0x402)])[_0x3372fd(0xb47)](',');}break;case _0x3372fd(0xa46):{const _0x324395=_0x186998[_0x3372fd(0x1713)][_0x3372fd(0x168a)][_0x3372fd(0x10c8)](',');_0x186998[_0x3372fd(0x1713)]['projectId']=_0x324395[0x0],_0x186998[_0x3372fd(0x1713)][_0x3372fd(0x1392)]=_0x324395[0x1],_0x186998[_0x3372fd(0x1713)][_0x3372fd(0x2217)]=_0x324395[0x2],_0x186998[_0x3372fd(0x1713)]['language']=_0x324395[0x3],_0x186998['autoreply'][_0x3372fd(0xaf3)]=_0x324395[_0x3372fd(0x1298)](0x4,_0x324395[_0x3372fd(0x402)])['join'](',');}break;case _0x3372fd(0x17d6):{const _0x105f63=_0x186998[_0x3372fd(0x1713)][_0x3372fd(0x168a)][_0x3372fd(0x10c8)](',');_0x186998[_0x3372fd(0x1713)][_0x3372fd(0x1651)]=_0x105f63[0x0],_0x186998[_0x3372fd(0x1713)][_0x3372fd(0x252)]=_0x105f63[0x1],_0x186998[_0x3372fd(0x1713)]['lexregion']=_0x105f63[0x2],_0x186998[_0x3372fd(0x1713)][_0x3372fd(0x8dd)]=_0x105f63[0x3],_0x186998[_0x3372fd(0x1713)]['welcomemessage']=_0x105f63['slice'](0x4,_0x105f63['length'])['join'](',');}break;case _0x3372fd(0x1713):{const _0x26086c=_0x186998[_0x3372fd(0x1713)][_0x3372fd(0x168a)][_0x3372fd(0x10c8)](',');_0x186998['autoreply'][_0x3372fd(0x583)]=isNaN(_0x26086c[0x0])?_0x26086c[0x0]:parseInt(_0x26086c[0x0],0xa),_0x186998[_0x3372fd(0x1713)][_0x3372fd(0xa8d)]=_0x26086c['slice'](0x1,_0x26086c[_0x3372fd(0x402)])['join'](',');}break;case _0x3372fd(0x7fd):_0x186998['autoreply'][_0x3372fd(0xa8d)]=_0x186998['autoreply'][_0x3372fd(0x168a)];break;case'set':_0x186998[_0x3372fd(0x1713)]['name']=_0x186998[_0x3372fd(0x1713)][_0x3372fd(0x168a)][_0x3372fd(0x10c8)]('=')[0x0],_0x186998[_0x3372fd(0x1713)]['value']=_0x186998[_0x3372fd(0x1713)][_0x3372fd(0x168a)][_0x3372fd(0x10c8)]('=')[0x1];break;case _0x3372fd(0x1ecb):_0x186998[_0x3372fd(0x1713)][_0x3372fd(0x9e0)]=_0x186998['autoreply'][_0x3372fd(0x168a)];break;default:{const _0x437e84=_0x186998[_0x3372fd(0x1713)][_0x3372fd(0x168a)][_0x3372fd(0x10c8)](',');_0x186998['autoreply']['times']=_0x3f65c0()[_0x3372fd(0x2635)](_0x437e84[0x0])?_0x437e84[0x0]:isNaN(_0x437e84[0x0])?_0x437e84[0x0]:parseInt(_0x437e84[0x0],0xa),_0x186998[_0x3372fd(0x1713)][_0x3372fd(0xa8d)]=_0x3f65c0()[_0x3372fd(0x2635)](_0x437e84[0x1])?_0x437e84[0x1]:isNaN(_0x437e84[0x1])?_0x437e84[0x1]:parseInt(_0x437e84[0x1],0xa);}break;}else _0x186998[_0x3372fd(0x1713)][_0x3372fd(0x583)]=0x1;_0x186998[_0x3372fd(0x1713)][_0x3372fd(0x1142)]&&_0x186998[_0x3372fd(0x1713)]['type'][_0x3372fd(0x256e)]()===_0x3372fd(0xc9c)&&_0x186998[_0x3372fd(0x1713)][_0x3372fd(0x8f2)][_0x3372fd(0x256e)]()==='outbounddial'&&(_0x186998[_0x3372fd(0x1713)]['prefix']=_0x186998[_0x3372fd(0x1713)]['phone']?_0x186998[_0x3372fd(0x1713)][_0x3372fd(0x1d55)]['split']('$')[0x0]:undefined,_0x186998[_0x3372fd(0x1713)][_0x3372fd(0x1d43)]=_0x186998[_0x3372fd(0x1713)][_0x3372fd(0x11be)]?_0x3372fd(0x1b60)+_0x186998[_0x3372fd(0x1713)][_0x3372fd(0x11be)]:undefined);_0x186998['saveMailAccountApp']=_0x5e1c94,_0x186998[_0x3372fd(0x13f3)]=_0x3c559b;function _0x5e1c94(){const _0x402245=_0x3372fd;_0x186998[_0x402245(0xcef)]=[];const _0x4738d1=[];_0x186998['autoreply'][_0x402245(0x1142)]&&_0x186998[_0x402245(0x1713)]['type'][_0x402245(0x256e)]()===_0x402245(0xc9c)&&_0x186998['autoreply'][_0x402245(0x8f2)]===_0x402245(0x118b)&&(_0x186998[_0x402245(0x1713)][_0x402245(0x1d55)]=_0x51ab7e[_0x402245(0x1274)]?(_0x186998['autoreply'][_0x402245(0x1e12)]||'')+'${EXTEN:'+_0x51ab7e['cutdigits']+'}':(_0x186998['autoreply']['prefix']||'')+_0x402245(0x20df),_0x51ab7e[_0x402245(0x1425)]!==_0x402245(0x1642)?_0x186998[_0x402245(0x1713)]['options'][_0x402245(0x172b)](_0x402245(0x1ea4))<0x0&&(_0x186998[_0x402245(0x1713)][_0x402245(0xa08)]+='U(xcally-mixmonitor-context)'):_0x186998['autoreply']['options']=_0x186998[_0x402245(0x1713)][_0x402245(0xa08)][_0x402245(0x5f4)](_0x402245(0x1ea4),''));if(_0x186998['autoreply'][_0x402245(0x8f2)]&&_0x186998[_0x402245(0x1713)][_0x402245(0x8f2)]==='custom'){}else switch((_0x186998['autoreply'][_0x402245(0x1873)]||_0x186998['autoreply'][_0x402245(0x8f2)])[_0x402245(0x256e)]()){case _0x402245(0x26ba):_0x186998['autoreply'][_0x402245(0x168a)]=_0x186998['autoreply'][_0x402245(0x19eb)]+'='+_0x186998[_0x402245(0x1713)][_0x402245(0x175d)];break;case _0x402245(0x1802):break;default:_0x4738d1[0x0]=_0x186998['autoreply'][_0x402245(0x583)],_0x4738d1[0x1]=_0x186998['autoreply'][_0x402245(0xa8d)],_0x186998[_0x402245(0x1713)][_0x402245(0x168a)]=_0x4738d1['join'](',');}_0x3c559b(_0x186998['autoreply']);}function _0x3c559b(_0x48346b){const _0x507c91=_0x3372fd;_0x1725c7[_0x507c91(0x2458)](_0x48346b);}}const _0x38d40c=_0x58ad97;;_0x3ba13b[_0x313a4d(0x11c2)]=['$mdDialog','$q','toasty',_0x313a4d(0x267d),'mailAccount','api',_0x313a4d(0xa87),'crudPermissions'];function _0x3ba13b(_0xf9bd3c,_0x976f81,_0x4b52c3,_0x5ad2d6,_0x271c9e,_0x55a8e2,_0x3ce076,_0x4f2b18){const _0x45e645=_0x313a4d,_0x40f682=this;_0x40f682[_0x45e645(0x2321)]=_0x3ce076[_0x45e645(0xb12)](),_0x40f682[_0x45e645(0xcef)]=[],_0x40f682[_0x45e645(0x1189)]=_0x45e645(0x1c59)+(_0x5ad2d6[_0x45e645(0x8f2)]||_0x5ad2d6[_0x45e645(0x1873)])[_0x45e645(0x1c37)](),_0x40f682['close']=angular[_0x45e645(0x235a)](_0x5ad2d6),_0x40f682[_0x45e645(0x2514)]=_0x4f2b18,_0x40f682[_0x45e645(0x855)]={};if(_0x40f682[_0x45e645(0xa7c)][_0x45e645(0x168a)])switch(_0x40f682[_0x45e645(0xa7c)][_0x45e645(0x8f2)]?_0x40f682[_0x45e645(0xa7c)][_0x45e645(0x8f2)][_0x45e645(0x256e)]():_0x40f682[_0x45e645(0xa7c)][_0x45e645(0x1873)][_0x45e645(0x256e)]()){case _0x45e645(0x1802):break;case _0x45e645(0xeaa):{const _0x8c1bee=_0x40f682[_0x45e645(0xa7c)][_0x45e645(0x168a)]['split'](',');_0x40f682[_0x45e645(0xa7c)][_0x45e645(0x2293)]=_0x8c1bee[0x0],_0x40f682['close'][_0x45e645(0x90b)]=_0x8c1bee[0x1],_0x40f682[_0x45e645(0xa7c)][_0x45e645(0xaf3)]=_0x8c1bee[_0x45e645(0x1298)](0x2,_0x8c1bee[_0x45e645(0x402)])[_0x45e645(0xb47)](',');}break;case'dialogflowv2':{const _0xa24407=_0x40f682[_0x45e645(0xa7c)][_0x45e645(0x168a)][_0x45e645(0x10c8)](',');_0x40f682[_0x45e645(0xa7c)][_0x45e645(0x153a)]=_0xa24407[0x0],_0x40f682[_0x45e645(0xa7c)][_0x45e645(0x1392)]=_0xa24407[0x1],_0x40f682[_0x45e645(0xa7c)][_0x45e645(0x2217)]=_0xa24407[0x2],_0x40f682[_0x45e645(0xa7c)][_0x45e645(0x90b)]=_0xa24407[0x3],_0x40f682[_0x45e645(0xa7c)][_0x45e645(0xaf3)]=_0xa24407['slice'](0x4,_0xa24407[_0x45e645(0x402)])[_0x45e645(0xb47)](',');}break;case'amazonlex':{const _0x1e7d8f=_0x40f682[_0x45e645(0xa7c)][_0x45e645(0x168a)][_0x45e645(0x10c8)](',');_0x40f682[_0x45e645(0xa7c)][_0x45e645(0x1651)]=_0x1e7d8f[0x0],_0x40f682[_0x45e645(0xa7c)]['secretaccesskey']=_0x1e7d8f[0x1],_0x40f682['close']['lexregion']=_0x1e7d8f[0x2],_0x40f682[_0x45e645(0xa7c)][_0x45e645(0x8dd)]=_0x1e7d8f[0x3],_0x40f682['close'][_0x45e645(0xaf3)]=_0x1e7d8f[_0x45e645(0x1298)](0x4,_0x1e7d8f[_0x45e645(0x402)])[_0x45e645(0xb47)](',');}break;case _0x45e645(0x1713):{const _0x785597=_0x40f682[_0x45e645(0xa7c)][_0x45e645(0x168a)]['split'](',');_0x40f682[_0x45e645(0xa7c)]['times']=isNaN(_0x785597[0x0])?_0x785597[0x0]:parseInt(_0x785597[0x0],0xa),_0x40f682[_0x45e645(0xa7c)][_0x45e645(0xa8d)]=_0x785597[_0x45e645(0x1298)](0x1,_0x785597[_0x45e645(0x402)])[_0x45e645(0xb47)](',');}break;case _0x45e645(0x7fd):_0x40f682['close'][_0x45e645(0xa8d)]=_0x40f682[_0x45e645(0xa7c)][_0x45e645(0x168a)];break;case _0x45e645(0x26ba):_0x40f682['close'][_0x45e645(0x19eb)]=_0x40f682[_0x45e645(0xa7c)][_0x45e645(0x168a)][_0x45e645(0x10c8)]('=')[0x0],_0x40f682[_0x45e645(0xa7c)][_0x45e645(0x175d)]=_0x40f682[_0x45e645(0xa7c)][_0x45e645(0x168a)][_0x45e645(0x10c8)]('=')[0x1];break;case'agi':_0x40f682[_0x45e645(0xa7c)][_0x45e645(0x9e0)]=_0x40f682[_0x45e645(0xa7c)]['appdata'];break;default:{const _0x210a2c=_0x40f682[_0x45e645(0xa7c)][_0x45e645(0x168a)]['split'](',');_0x40f682[_0x45e645(0xa7c)]['disposition']=_0x3f65c0()[_0x45e645(0x2635)](_0x210a2c[0x0])?_0x210a2c[0x0]:isNaN(_0x210a2c[0x0])?_0x210a2c[0x0]:parseInt(_0x210a2c[0x0],0xa);}break;}else{}_0x40f682[_0x45e645(0xa7c)]['type']&&_0x40f682[_0x45e645(0xa7c)][_0x45e645(0x1142)][_0x45e645(0x256e)]()===_0x45e645(0xc9c)&&_0x40f682['close'][_0x45e645(0x8f2)][_0x45e645(0x256e)]()===_0x45e645(0x3bb)&&(_0x40f682[_0x45e645(0xa7c)]['prefix']=_0x40f682[_0x45e645(0xa7c)][_0x45e645(0x1d55)]?_0x40f682[_0x45e645(0xa7c)][_0x45e645(0x1d55)][_0x45e645(0x10c8)]('$')[0x0]:undefined,_0x40f682[_0x45e645(0xa7c)][_0x45e645(0x1d43)]=_0x40f682['close'][_0x45e645(0x11be)]?'CALLERID(all)='+_0x40f682[_0x45e645(0xa7c)][_0x45e645(0x11be)]:undefined);_0x40f682[_0x45e645(0x1c19)]=_0x48d40e,_0x40f682[_0x45e645(0x13f3)]=_0x113652;function _0x48d40e(){const _0x3b52d9=_0x45e645;_0x40f682[_0x3b52d9(0xcef)]=[];const _0xf6a54d=[];_0x40f682[_0x3b52d9(0xa7c)]['type']&&_0x40f682[_0x3b52d9(0xa7c)]['type'][_0x3b52d9(0x256e)]()==='outbound'&&_0x40f682[_0x3b52d9(0xa7c)]['appType']==='outboundDial'&&(_0x40f682['close'][_0x3b52d9(0x1d55)]=_0x271c9e[_0x3b52d9(0x1274)]?(_0x40f682['close'][_0x3b52d9(0x1e12)]||'')+_0x3b52d9(0x2147)+_0x271c9e[_0x3b52d9(0x1274)]+'}':(_0x40f682[_0x3b52d9(0xa7c)][_0x3b52d9(0x1e12)]||'')+_0x3b52d9(0x20df),_0x271c9e[_0x3b52d9(0x1425)]!=='none'?_0x40f682[_0x3b52d9(0xa7c)]['options'][_0x3b52d9(0x172b)](_0x3b52d9(0x1ea4))<0x0&&(_0x40f682[_0x3b52d9(0xa7c)][_0x3b52d9(0xa08)]+='U(xcally-mixmonitor-context)'):_0x40f682[_0x3b52d9(0xa7c)]['options']=_0x40f682['close'][_0x3b52d9(0xa08)][_0x3b52d9(0x5f4)](_0x3b52d9(0x1ea4),''));if(_0x40f682[_0x3b52d9(0xa7c)]['appType']&&_0x40f682[_0x3b52d9(0xa7c)]['appType']===_0x3b52d9(0x1802)){}else switch((_0x40f682[_0x3b52d9(0xa7c)]['app']||_0x40f682[_0x3b52d9(0xa7c)][_0x3b52d9(0x8f2)])[_0x3b52d9(0x256e)]()){case _0x3b52d9(0x26ba):_0x40f682[_0x3b52d9(0xa7c)]['appdata']=_0x40f682[_0x3b52d9(0xa7c)][_0x3b52d9(0x19eb)]+'='+_0x40f682[_0x3b52d9(0xa7c)]['value'];break;case _0x3b52d9(0x1802):break;default:_0xf6a54d[0x0]=_0x40f682[_0x3b52d9(0xa7c)][_0x3b52d9(0x9e1)],_0x40f682[_0x3b52d9(0xa7c)][_0x3b52d9(0x168a)]=_0xf6a54d['join'](',');}_0x113652(_0x40f682['close']);}function _0x113652(_0x4378eb){_0xf9bd3c['hide'](_0x4378eb);}}const _0x38cdeb=_0x3ba13b;;_0x40c2a0[_0x313a4d(0x11c2)]=['$mdDialog','$q','toasty',_0x313a4d(0x267d),_0x313a4d(0x67d),_0x313a4d(0x247f),'Auth',_0x313a4d(0x2514)];function _0x40c2a0(_0x1ce219,_0x27a86f,_0x58e913,_0x333c09,_0x3917aa,_0x1e75da,_0x928064,_0x4d3eb9){const _0x845abe=_0x313a4d,_0x5ef1bb=this;_0x5ef1bb['currentUser']=_0x928064[_0x845abe(0xb12)](),_0x5ef1bb[_0x845abe(0xcef)]=[],_0x5ef1bb[_0x845abe(0x1189)]=_0x845abe(0x1c59)+(_0x333c09['appType']||_0x333c09[_0x845abe(0x1873)])['toUpperCase'](),_0x5ef1bb[_0x845abe(0x609)]=angular[_0x845abe(0x235a)](_0x333c09),_0x5ef1bb[_0x845abe(0x2514)]=_0x4d3eb9,_0x5ef1bb['hasModulePermissions']={};if(_0x5ef1bb['gotoif'][_0x845abe(0x168a)])switch(_0x5ef1bb[_0x845abe(0x609)][_0x845abe(0x8f2)]?_0x5ef1bb[_0x845abe(0x609)][_0x845abe(0x8f2)][_0x845abe(0x256e)]():_0x5ef1bb[_0x845abe(0x609)]['app'][_0x845abe(0x256e)]()){case'custom':break;case _0x845abe(0xeaa):{const _0x581c31=_0x5ef1bb[_0x845abe(0x609)][_0x845abe(0x168a)][_0x845abe(0x10c8)](',');_0x5ef1bb['gotoif'][_0x845abe(0x2293)]=_0x581c31[0x0],_0x5ef1bb[_0x845abe(0x609)][_0x845abe(0x90b)]=_0x581c31[0x1],_0x5ef1bb['gotoif'][_0x845abe(0xaf3)]=_0x581c31['slice'](0x2,_0x581c31[_0x845abe(0x402)])[_0x845abe(0xb47)](',');}break;case _0x845abe(0xa46):{const _0x24c713=_0x5ef1bb['gotoif'][_0x845abe(0x168a)]['split'](',');_0x5ef1bb[_0x845abe(0x609)][_0x845abe(0x153a)]=_0x24c713[0x0],_0x5ef1bb[_0x845abe(0x609)][_0x845abe(0x1392)]=_0x24c713[0x1],_0x5ef1bb[_0x845abe(0x609)][_0x845abe(0x2217)]=_0x24c713[0x2],_0x5ef1bb[_0x845abe(0x609)][_0x845abe(0x90b)]=_0x24c713[0x3],_0x5ef1bb[_0x845abe(0x609)][_0x845abe(0xaf3)]=_0x24c713[_0x845abe(0x1298)](0x4,_0x24c713['length'])[_0x845abe(0xb47)](',');}break;case _0x845abe(0x17d6):{const _0x789edc=_0x5ef1bb['gotoif'][_0x845abe(0x168a)][_0x845abe(0x10c8)](',');_0x5ef1bb[_0x845abe(0x609)][_0x845abe(0x1651)]=_0x789edc[0x0],_0x5ef1bb[_0x845abe(0x609)]['secretaccesskey']=_0x789edc[0x1],_0x5ef1bb[_0x845abe(0x609)][_0x845abe(0x1039)]=_0x789edc[0x2],_0x5ef1bb[_0x845abe(0x609)][_0x845abe(0x8dd)]=_0x789edc[0x3],_0x5ef1bb[_0x845abe(0x609)][_0x845abe(0xaf3)]=_0x789edc[_0x845abe(0x1298)](0x4,_0x789edc['length'])[_0x845abe(0xb47)](',');}break;case _0x845abe(0x1713):{const _0x5bc511=_0x5ef1bb[_0x845abe(0x609)][_0x845abe(0x168a)][_0x845abe(0x10c8)](',');_0x5ef1bb[_0x845abe(0x609)][_0x845abe(0x583)]=isNaN(_0x5bc511[0x0])?_0x5bc511[0x0]:parseInt(_0x5bc511[0x0],0xa),_0x5ef1bb[_0x845abe(0x609)]['text']=_0x5bc511[_0x845abe(0x1298)](0x1,_0x5bc511[_0x845abe(0x402)])[_0x845abe(0xb47)](',');}break;case _0x845abe(0x7fd):_0x5ef1bb['gotoif']['text']=_0x5ef1bb['gotoif'][_0x845abe(0x168a)];break;case'set':_0x5ef1bb[_0x845abe(0x609)][_0x845abe(0x19eb)]=_0x5ef1bb[_0x845abe(0x609)]['appdata'][_0x845abe(0x10c8)]('=')[0x0],_0x5ef1bb[_0x845abe(0x609)][_0x845abe(0x175d)]=_0x5ef1bb[_0x845abe(0x609)][_0x845abe(0x168a)]['split']('=')[0x1];break;case _0x845abe(0x1ecb):_0x5ef1bb[_0x845abe(0x609)][_0x845abe(0x9e0)]=_0x5ef1bb[_0x845abe(0x609)][_0x845abe(0x168a)];break;default:{const _0x379b31=_0x5ef1bb[_0x845abe(0x609)][_0x845abe(0x168a)]['split'](',');_0x5ef1bb[_0x845abe(0x609)][_0x845abe(0x115f)]=_0x3f65c0()[_0x845abe(0x2635)](_0x379b31[0x0])?_0x379b31[0x0]:isNaN(_0x379b31[0x0])?_0x379b31[0x0]:parseInt(_0x379b31[0x0],0xa),_0x5ef1bb[_0x845abe(0x609)][_0x845abe(0x1d78)]=_0x3f65c0()[_0x845abe(0x2635)](_0x379b31[0x1])?_0x379b31[0x1]:isNaN(_0x379b31[0x1])?_0x379b31[0x1]:parseInt(_0x379b31[0x1],0xa),_0x5ef1bb[_0x845abe(0x609)][_0x845abe(0x28e1)]=_0x3f65c0()['isEmpty'](_0x379b31[0x2])?_0x379b31[0x2]:isNaN(_0x379b31[0x2])?_0x379b31[0x2]:parseInt(_0x379b31[0x2],0xa);}break;}else{}_0x5ef1bb['gotoif'][_0x845abe(0x1142)]&&_0x5ef1bb['gotoif'][_0x845abe(0x1142)][_0x845abe(0x256e)]()===_0x845abe(0xc9c)&&_0x5ef1bb[_0x845abe(0x609)][_0x845abe(0x8f2)][_0x845abe(0x256e)]()===_0x845abe(0x3bb)&&(_0x5ef1bb[_0x845abe(0x609)]['prefix']=_0x5ef1bb[_0x845abe(0x609)][_0x845abe(0x1d55)]?_0x5ef1bb['gotoif'][_0x845abe(0x1d55)]['split']('$')[0x0]:undefined,_0x5ef1bb['gotoif'][_0x845abe(0x1d43)]=_0x5ef1bb[_0x845abe(0x609)]['callerID']?'CALLERID(all)='+_0x5ef1bb[_0x845abe(0x609)][_0x845abe(0x11be)]:undefined);_0x5ef1bb[_0x845abe(0x1c19)]=_0x5129d7,_0x5ef1bb[_0x845abe(0x13f3)]=_0x194b4a;function _0x5129d7(){const _0x4e1699=_0x845abe;_0x5ef1bb[_0x4e1699(0xcef)]=[];const _0x19ed63=[];_0x5ef1bb[_0x4e1699(0x609)][_0x4e1699(0x1142)]&&_0x5ef1bb[_0x4e1699(0x609)]['type'][_0x4e1699(0x256e)]()==='outbound'&&_0x5ef1bb[_0x4e1699(0x609)]['appType']===_0x4e1699(0x118b)&&(_0x5ef1bb[_0x4e1699(0x609)][_0x4e1699(0x1d55)]=_0x3917aa[_0x4e1699(0x1274)]?(_0x5ef1bb[_0x4e1699(0x609)][_0x4e1699(0x1e12)]||'')+_0x4e1699(0x2147)+_0x3917aa[_0x4e1699(0x1274)]+'}':(_0x5ef1bb['gotoif']['prefix']||'')+'${EXTEN}',_0x3917aa[_0x4e1699(0x1425)]!==_0x4e1699(0x1642)?_0x5ef1bb[_0x4e1699(0x609)][_0x4e1699(0xa08)][_0x4e1699(0x172b)](_0x4e1699(0x1ea4))<0x0&&(_0x5ef1bb[_0x4e1699(0x609)]['options']+=_0x4e1699(0x1ea4)):_0x5ef1bb[_0x4e1699(0x609)][_0x4e1699(0xa08)]=_0x5ef1bb[_0x4e1699(0x609)]['options'][_0x4e1699(0x5f4)](_0x4e1699(0x1ea4),''));if(_0x5ef1bb[_0x4e1699(0x609)][_0x4e1699(0x8f2)]&&_0x5ef1bb['gotoif'][_0x4e1699(0x8f2)]==='custom'){}else switch((_0x5ef1bb[_0x4e1699(0x609)][_0x4e1699(0x1873)]||_0x5ef1bb[_0x4e1699(0x609)]['appType'])[_0x4e1699(0x256e)]()){case _0x4e1699(0x26ba):_0x5ef1bb['gotoif'][_0x4e1699(0x168a)]=_0x5ef1bb[_0x4e1699(0x609)][_0x4e1699(0x19eb)]+'='+_0x5ef1bb['gotoif'][_0x4e1699(0x175d)];break;case _0x4e1699(0x1802):break;default:_0x19ed63[0x0]=_0x5ef1bb[_0x4e1699(0x609)][_0x4e1699(0x115f)],_0x19ed63[0x1]=_0x5ef1bb['gotoif'][_0x4e1699(0x1d78)],_0x19ed63[0x2]=_0x5ef1bb[_0x4e1699(0x609)][_0x4e1699(0x28e1)],_0x5ef1bb[_0x4e1699(0x609)][_0x4e1699(0x168a)]=_0x19ed63[_0x4e1699(0xb47)](',');}_0x194b4a(_0x5ef1bb['gotoif']);}function _0x194b4a(_0x54cdc7){const _0xfc18a0=_0x845abe;_0x1ce219[_0xfc18a0(0x2458)](_0x54cdc7);}}const _0x4bbee9=_0x40c2a0;;_0x1f87e4['$inject']=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),'mailAccountApp','mailAccount',_0x313a4d(0x247f),_0x313a4d(0xa87),'crudPermissions'];function _0x1f87e4(_0x42a795,_0x54277c,_0x2cf3f6,_0x14c892,_0x3d4357,_0x5516c3,_0x17d4c7,_0x242fc5){const _0x5ab82b=_0x313a4d,_0x43457e=this;_0x43457e[_0x5ab82b(0x2321)]=_0x17d4c7[_0x5ab82b(0xb12)](),_0x43457e[_0x5ab82b(0xcef)]=[],_0x43457e[_0x5ab82b(0x1189)]=_0x5ab82b(0x1c59)+(_0x14c892[_0x5ab82b(0x8f2)]||_0x14c892[_0x5ab82b(0x1873)])[_0x5ab82b(0x1c37)](),_0x43457e[_0x5ab82b(0x28f4)]=angular[_0x5ab82b(0x235a)](_0x14c892),_0x43457e[_0x5ab82b(0x2514)]=_0x242fc5,_0x43457e[_0x5ab82b(0x855)]={};if(_0x43457e['gotop'][_0x5ab82b(0x168a)])switch(_0x43457e[_0x5ab82b(0x28f4)]['appType']?_0x43457e['gotop'][_0x5ab82b(0x8f2)][_0x5ab82b(0x256e)]():_0x43457e[_0x5ab82b(0x28f4)][_0x5ab82b(0x1873)][_0x5ab82b(0x256e)]()){case'custom':break;case _0x5ab82b(0xeaa):{const _0x8f81d7=_0x43457e[_0x5ab82b(0x28f4)][_0x5ab82b(0x168a)][_0x5ab82b(0x10c8)](',');_0x43457e['gotop'][_0x5ab82b(0x2293)]=_0x8f81d7[0x0],_0x43457e['gotop'][_0x5ab82b(0x90b)]=_0x8f81d7[0x1],_0x43457e['gotop'][_0x5ab82b(0xaf3)]=_0x8f81d7[_0x5ab82b(0x1298)](0x2,_0x8f81d7['length'])[_0x5ab82b(0xb47)](',');}break;case _0x5ab82b(0xa46):{const _0x1ae459=_0x43457e[_0x5ab82b(0x28f4)]['appdata'][_0x5ab82b(0x10c8)](',');_0x43457e['gotop'][_0x5ab82b(0x153a)]=_0x1ae459[0x0],_0x43457e[_0x5ab82b(0x28f4)][_0x5ab82b(0x1392)]=_0x1ae459[0x1],_0x43457e[_0x5ab82b(0x28f4)][_0x5ab82b(0x2217)]=_0x1ae459[0x2],_0x43457e['gotop'][_0x5ab82b(0x90b)]=_0x1ae459[0x3],_0x43457e[_0x5ab82b(0x28f4)]['welcomemessage']=_0x1ae459[_0x5ab82b(0x1298)](0x4,_0x1ae459['length'])[_0x5ab82b(0xb47)](',');}break;case'amazonlex':{const _0x57b855=_0x43457e[_0x5ab82b(0x28f4)]['appdata'][_0x5ab82b(0x10c8)](',');_0x43457e['gotop'][_0x5ab82b(0x1651)]=_0x57b855[0x0],_0x43457e[_0x5ab82b(0x28f4)]['secretaccesskey']=_0x57b855[0x1],_0x43457e[_0x5ab82b(0x28f4)]['lexregion']=_0x57b855[0x2],_0x43457e[_0x5ab82b(0x28f4)][_0x5ab82b(0x8dd)]=_0x57b855[0x3],_0x43457e[_0x5ab82b(0x28f4)]['welcomemessage']=_0x57b855[_0x5ab82b(0x1298)](0x4,_0x57b855[_0x5ab82b(0x402)])['join'](',');}break;case _0x5ab82b(0x1713):{const _0x399ccb=_0x43457e[_0x5ab82b(0x28f4)][_0x5ab82b(0x168a)]['split'](',');_0x43457e[_0x5ab82b(0x28f4)][_0x5ab82b(0x583)]=isNaN(_0x399ccb[0x0])?_0x399ccb[0x0]:parseInt(_0x399ccb[0x0],0xa),_0x43457e[_0x5ab82b(0x28f4)][_0x5ab82b(0xa8d)]=_0x399ccb[_0x5ab82b(0x1298)](0x1,_0x399ccb[_0x5ab82b(0x402)])[_0x5ab82b(0xb47)](',');}break;case _0x5ab82b(0x7fd):_0x43457e[_0x5ab82b(0x28f4)][_0x5ab82b(0xa8d)]=_0x43457e['gotop'][_0x5ab82b(0x168a)];break;case _0x5ab82b(0x26ba):_0x43457e[_0x5ab82b(0x28f4)][_0x5ab82b(0x19eb)]=_0x43457e[_0x5ab82b(0x28f4)][_0x5ab82b(0x168a)][_0x5ab82b(0x10c8)]('=')[0x0],_0x43457e[_0x5ab82b(0x28f4)][_0x5ab82b(0x175d)]=_0x43457e[_0x5ab82b(0x28f4)][_0x5ab82b(0x168a)][_0x5ab82b(0x10c8)]('=')[0x1];break;case _0x5ab82b(0x1ecb):_0x43457e[_0x5ab82b(0x28f4)]['project']=_0x43457e[_0x5ab82b(0x28f4)][_0x5ab82b(0x168a)];break;default:{const _0x5d5366=_0x43457e[_0x5ab82b(0x28f4)][_0x5ab82b(0x168a)]['split'](',');_0x43457e[_0x5ab82b(0x28f4)][_0x5ab82b(0xa6a)]=_0x3f65c0()[_0x5ab82b(0x2635)](_0x5d5366[0x0])?_0x5d5366[0x0]:isNaN(_0x5d5366[0x0])?_0x5d5366[0x0]:parseInt(_0x5d5366[0x0],0xa);}break;}else{}_0x43457e[_0x5ab82b(0x28f4)]['type']&&_0x43457e[_0x5ab82b(0x28f4)][_0x5ab82b(0x1142)]['toLowerCase']()===_0x5ab82b(0xc9c)&&_0x43457e[_0x5ab82b(0x28f4)][_0x5ab82b(0x8f2)]['toLowerCase']()===_0x5ab82b(0x3bb)&&(_0x43457e['gotop'][_0x5ab82b(0x1e12)]=_0x43457e[_0x5ab82b(0x28f4)]['phone']?_0x43457e['gotop'][_0x5ab82b(0x1d55)]['split']('$')[0x0]:undefined,_0x43457e[_0x5ab82b(0x28f4)][_0x5ab82b(0x1d43)]=_0x43457e[_0x5ab82b(0x28f4)]['callerID']?_0x5ab82b(0x1b60)+_0x43457e['gotop'][_0x5ab82b(0x11be)]:undefined);_0x43457e['saveMailAccountApp']=_0x16d0a5,_0x43457e[_0x5ab82b(0x13f3)]=_0x18578b;function _0x16d0a5(){const _0x3fc1a8=_0x5ab82b;_0x43457e[_0x3fc1a8(0xcef)]=[];const _0x4ee6b0=[];_0x43457e[_0x3fc1a8(0x28f4)][_0x3fc1a8(0x1142)]&&_0x43457e[_0x3fc1a8(0x28f4)][_0x3fc1a8(0x1142)]['toLowerCase']()===_0x3fc1a8(0xc9c)&&_0x43457e[_0x3fc1a8(0x28f4)][_0x3fc1a8(0x8f2)]===_0x3fc1a8(0x118b)&&(_0x43457e['gotop'][_0x3fc1a8(0x1d55)]=_0x3d4357[_0x3fc1a8(0x1274)]?(_0x43457e[_0x3fc1a8(0x28f4)]['prefix']||'')+_0x3fc1a8(0x2147)+_0x3d4357[_0x3fc1a8(0x1274)]+'}':(_0x43457e[_0x3fc1a8(0x28f4)][_0x3fc1a8(0x1e12)]||'')+_0x3fc1a8(0x20df),_0x3d4357[_0x3fc1a8(0x1425)]!==_0x3fc1a8(0x1642)?_0x43457e['gotop'][_0x3fc1a8(0xa08)][_0x3fc1a8(0x172b)](_0x3fc1a8(0x1ea4))<0x0&&(_0x43457e[_0x3fc1a8(0x28f4)][_0x3fc1a8(0xa08)]+='U(xcally-mixmonitor-context)'):_0x43457e[_0x3fc1a8(0x28f4)][_0x3fc1a8(0xa08)]=_0x43457e[_0x3fc1a8(0x28f4)][_0x3fc1a8(0xa08)][_0x3fc1a8(0x5f4)](_0x3fc1a8(0x1ea4),''));if(_0x43457e[_0x3fc1a8(0x28f4)][_0x3fc1a8(0x8f2)]&&_0x43457e[_0x3fc1a8(0x28f4)][_0x3fc1a8(0x8f2)]===_0x3fc1a8(0x1802)){}else switch((_0x43457e[_0x3fc1a8(0x28f4)][_0x3fc1a8(0x1873)]||_0x43457e[_0x3fc1a8(0x28f4)][_0x3fc1a8(0x8f2)])[_0x3fc1a8(0x256e)]()){case _0x3fc1a8(0x26ba):_0x43457e[_0x3fc1a8(0x28f4)]['appdata']=_0x43457e[_0x3fc1a8(0x28f4)]['name']+'='+_0x43457e[_0x3fc1a8(0x28f4)][_0x3fc1a8(0x175d)];break;case _0x3fc1a8(0x1802):break;default:_0x4ee6b0[0x0]=_0x43457e['gotop'][_0x3fc1a8(0xa6a)],_0x43457e[_0x3fc1a8(0x28f4)][_0x3fc1a8(0x168a)]=_0x4ee6b0[_0x3fc1a8(0xb47)](',');}_0x18578b(_0x43457e[_0x3fc1a8(0x28f4)]);}function _0x18578b(_0x4dacca){_0x42a795['hide'](_0x4dacca);}}const _0x53bf9f=_0x1f87e4;;_0xcd2d78[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),'$mdDialog',_0x313a4d(0x1c7e),_0x313a4d(0x15f6),'interval',_0x313a4d(0x278f),_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0xcd2d78(_0x4d8b98,_0x3a2b83,_0x2a3f42,_0x378fdf,_0xa71781,_0x2d7f69,_0x4d2aa7,_0xfca9e2,_0x4a1f98,_0x2274a8){const _0x294baf=_0x313a4d,_0x4c8e6d=this;_0x4c8e6d[_0x294baf(0x2321)]=_0x4a1f98[_0x294baf(0xb12)](),_0x4c8e6d[_0x294baf(0xcef)]=[],_0x4c8e6d[_0x294baf(0x1189)]=_0x294baf(0x56d),_0x4c8e6d[_0x294baf(0x2765)]=angular['copy'](_0xa71781),_0x4c8e6d[_0x294baf(0x278f)]=_0x2d7f69,_0x4c8e6d[_0x294baf(0xa76)]=![],_0x4c8e6d[_0x294baf(0x1960)]=[_0x294baf(0xd85),_0x294baf(0x1802),'list'],_0x4c8e6d[_0x294baf(0x2514)]=_0x2274a8,_0x4c8e6d[_0x294baf(0x1e35)]=_0x378fdf['getDaysOfWeek'](),_0x4c8e6d['monthNumber']=_0x378fdf['getMonthNumber'](),_0x4c8e6d[_0x294baf(0x2156)]=_0x378fdf['getMonthName'](),_0x4c8e6d[_0x294baf(0x15f1)]=_0x378fdf[_0x294baf(0x1421)]();if(!_0x4c8e6d[_0x294baf(0x2765)])_0x4c8e6d['interval']={'interval':_0x294baf(0x1559)},_0x4c8e6d[_0x294baf(0x1142)]=_0x294baf(0xd85),_0x4c8e6d[_0x294baf(0x1189)]=_0x294baf(0x79c),_0x4c8e6d[_0x294baf(0xa76)]=!![];else{if(_0x4c8e6d[_0x294baf(0x2765)]['interval']!=='*,*,*,*'){_0x4c8e6d[_0x294baf(0x1142)]=_0x294baf(0x1802);const _0x4d9f17=_0x4c8e6d[_0x294baf(0x2765)]['interval'][_0x294baf(0x10c8)](','),_0x35bef1=_0x4d9f17[0x0],_0x46d8ce=_0x4d9f17[0x1],_0x291ead=_0x4d9f17[0x2],_0x110216=_0x4d9f17[0x3];if(_0x35bef1!=='*'){const _0xdda487=_0x35bef1[_0x294baf(0x10c8)]('-')[0x0],_0x14b88b=_0x35bef1[_0x294baf(0x10c8)]('-')[0x1];let _0xc74a3a;_0xc74a3a=new Date(),_0xc74a3a[_0x294baf(0x25cd)](Number(_0xdda487[_0x294baf(0x10c8)](':')[0x0])),_0xc74a3a[_0x294baf(0x2348)](Number(_0xdda487[_0x294baf(0x10c8)](':')[0x1])),_0x4c8e6d[_0x294baf(0x2469)]=_0xc74a3a,_0xc74a3a=new Date(),_0xc74a3a[_0x294baf(0x25cd)](Number(_0x14b88b[_0x294baf(0x10c8)](':')[0x0])),_0xc74a3a['setMinutes'](Number(_0x14b88b[_0x294baf(0x10c8)](':')[0x1])),_0x4c8e6d['timeRangeTo']=_0xc74a3a;}_0x46d8ce!=='*'&&(_0x4c8e6d[_0x294baf(0x1455)]=_0x46d8ce['split']('-')[0x0],_0x4c8e6d['dayOfWeekTo']=_0x46d8ce['split']('-')[0x1]),_0x291ead!=='*'&&(_0x4c8e6d['monthDayFrom']=_0x291ead[_0x294baf(0x10c8)]('-')[0x0],_0x4c8e6d[_0x294baf(0x896)]=_0x291ead[_0x294baf(0x10c8)]('-')[0x1]),_0x110216!=='*'&&(_0x4c8e6d[_0x294baf(0x167)]=_0x110216[_0x294baf(0x10c8)]('-')[0x0],_0x4c8e6d['monthTo']=_0x110216[_0x294baf(0x10c8)]('-')[0x1]);}else _0x4c8e6d['type']='always';}_0x4d8b98[_0x294baf(0x16a)]['id']&&!_0x4c8e6d[_0x294baf(0x2765)][_0x294baf(0xea)]&&(_0x4c8e6d[_0x294baf(0x2765)][_0x294baf(0x1ff2)]=_0x4d8b98[_0x294baf(0x16a)]['id']);_0x4c8e6d[_0x294baf(0x2765)][_0x294baf(0x1ff2)]&&_0x4c8e6d[_0x294baf(0x2765)][_0x294baf(0xea)]&&(_0x4c8e6d[_0x294baf(0x1142)]=_0x294baf(0x25f4));_0x4c8e6d['addNewInterval']=_0x434cee,_0x4c8e6d[_0x294baf(0x1242)]=_0x46f41f,_0x4c8e6d[_0x294baf(0x13f3)]=_0x2eeef3;_0x4c8e6d[_0x294baf(0x2765)][_0x294baf(0xea)]&&(_0x4a1f98[_0x294baf(0x23e0)](_0x294baf(0x174b))?_0xfca9e2[_0x294baf(0x2765)][_0x294baf(0x16b4)]({'fields':_0x294baf(0x648),'IntervalId':_0x294baf(0x203c),'nolimit':!![]})[_0x294baf(0x2945)][_0x294baf(0x146b)](function(_0x52f760){const _0x44a9cb=_0x294baf;_0x4c8e6d[_0x44a9cb(0x278f)]=_0x52f760[_0x44a9cb(0x19c7)]||[];})[_0x294baf(0x129e)](function(_0xdf5405){const _0x3596ab=_0x294baf;_0x4d2aa7[_0x3596ab(0x1980)]({'title':_0xdf5405['status']?_0x3596ab(0x262a)+_0xdf5405[_0x3596ab(0x107b)]+_0x3596ab(0x1315)+_0xdf5405[_0x3596ab(0x167f)]:'SYSTEM:GET_INTERVALS','msg':_0xdf5405[_0x3596ab(0x524)]?JSON['stringify'](_0xdf5405[_0x3596ab(0x524)]):_0xdf5405['toString']()});}):_0xfca9e2[_0x294baf(0x2765)][_0x294baf(0x16b4)]({'fields':_0x294baf(0x648),'IntervalId':'null','nolimit':!![]})['$promise'][_0x294baf(0x146b)](function(_0x414d2c){_0x4c8e6d['intervals']=_0x414d2c['rows']||[];})['then'](function(){const _0x599248=_0x294baf;return _0xfca9e2['userProfileSection'][_0x599248(0x16b4)]({'userProfileId':_0x4c8e6d[_0x599248(0x2321)]['userProfileId'],'sectionId':0x3ec})[_0x599248(0x2945)];})[_0x294baf(0x146b)](function(_0x39189f){const _0x5d958b=_0x294baf,_0x571077=_0x39189f&&_0x39189f[_0x5d958b(0x19c7)]?_0x39189f[_0x5d958b(0x19c7)][0x0]:null;if(!_0x571077)_0x4c8e6d['intervals']=[];else{if(!_0x571077[_0x5d958b(0x11d2)])return _0xfca9e2[_0x5d958b(0xdcc)][_0x5d958b(0x16b4)]({'sectionId':_0x571077['id']})[_0x5d958b(0x2945)]['then'](function(_0x31002c){const _0x156bfb=_0x5d958b,_0x26d605=_0x31002c&&_0x31002c[_0x156bfb(0x19c7)]?_0x31002c['rows']:[],_0x31673e=[];let _0x108cd8=null;_0x4c8e6d[_0x156bfb(0x2765)]&&(_0x108cd8=_0x3f65c0()[_0x156bfb(0xc84)](_0x4c8e6d['intervals'],{'name':_0x4c8e6d[_0x156bfb(0x2765)][_0x156bfb(0x1ff2)]})),_0x108cd8&&!_0x3f65c0()[_0x156bfb(0x1360)](_0x26d605,[_0x156bfb(0x18b8),_0x108cd8['id']])&&_0x4c8e6d['intervals']['forEach'](function(_0x39e78a){const _0x444bf7=_0x156bfb;_0x39e78a['id']===_0x108cd8['id']&&(_0x39e78a[_0x444bf7(0x8ff)]=![],_0x31673e[_0x444bf7(0x1f47)](_0x39e78a));}),_0x4c8e6d['intervals']=_0x31673e;});}})[_0x294baf(0x129e)](function(_0x58e8c0){const _0x5ce051=_0x294baf;_0x4d2aa7[_0x5ce051(0x1980)]({'title':_0x58e8c0[_0x5ce051(0x107b)]?_0x5ce051(0x262a)+_0x58e8c0['status']+_0x5ce051(0x1315)+_0x58e8c0['statusText']:_0x5ce051(0x603),'msg':_0x58e8c0['data']?JSON[_0x5ce051(0x10bb)](_0x58e8c0[_0x5ce051(0x524)]):_0x58e8c0[_0x5ce051(0xd5f)]()});}));function _0x345f54(){const _0x522c61=_0x294baf;switch(_0x4c8e6d[_0x522c61(0x1142)]){case'always':case _0x522c61(0x25f4):return _0x522c61(0x1559);case'custom':{const _0x358445=[];if(_0x4c8e6d[_0x522c61(0x2469)]!=='*'&&_0x4c8e6d[_0x522c61(0x2469)]&&_0x4c8e6d[_0x522c61(0x637)]){const _0xdc7358=(_0x4c8e6d[_0x522c61(0x2469)]['getHours']()<0xa?'0':'')+_0x4c8e6d[_0x522c61(0x2469)][_0x522c61(0x1d57)]()+':'+((_0x4c8e6d[_0x522c61(0x2469)][_0x522c61(0x7fc)]()<0xa?'0':'')+_0x4c8e6d[_0x522c61(0x2469)][_0x522c61(0x7fc)]()),_0xce46e=(_0x4c8e6d[_0x522c61(0x637)][_0x522c61(0x1d57)]()<0xa?'0':'')+_0x4c8e6d[_0x522c61(0x637)][_0x522c61(0x1d57)]()+':'+((_0x4c8e6d[_0x522c61(0x637)]['getMinutes']()<0xa?'0':'')+_0x4c8e6d[_0x522c61(0x637)]['getMinutes']());_0x358445[_0x522c61(0x1f47)](_0xdc7358+'-'+_0xce46e);}else _0x358445[_0x522c61(0x1f47)]('*');return _0x4c8e6d[_0x522c61(0x1455)]?_0x4c8e6d[_0x522c61(0x27a1)]?_0x358445[_0x522c61(0x1f47)](_0x4c8e6d[_0x522c61(0x1455)]+'-'+_0x4c8e6d[_0x522c61(0x27a1)]):_0x358445[_0x522c61(0x1f47)](_0x4c8e6d[_0x522c61(0x1455)]):_0x358445[_0x522c61(0x1f47)]('*'),_0x4c8e6d['monthDayFrom']?_0x4c8e6d[_0x522c61(0x896)]?_0x358445[_0x522c61(0x1f47)](_0x4c8e6d['monthDayFrom']+'-'+_0x4c8e6d[_0x522c61(0x896)]):_0x358445['push'](_0x4c8e6d['monthDayFrom']):_0x358445[_0x522c61(0x1f47)]('*'),_0x4c8e6d[_0x522c61(0x167)]?_0x4c8e6d['monthTo']?_0x358445[_0x522c61(0x1f47)](_0x4c8e6d['monthFrom']+'-'+_0x4c8e6d[_0x522c61(0x9c3)]):_0x358445['push'](_0x4c8e6d['monthFrom']):_0x358445['push']('*'),_0x358445[_0x522c61(0xb47)]();}}}function _0x434cee(){const _0x53ff11=_0x294baf;_0x4c8e6d[_0x53ff11(0xcef)]=[],_0x4c8e6d[_0x53ff11(0x2765)]['interval']=_0x345f54(),_0xfca9e2[_0x53ff11(0x2765)][_0x53ff11(0x1e3)](_0x4c8e6d['interval'])[_0x53ff11(0x2945)][_0x53ff11(0x146b)](function(_0xdda0c2){const _0xd6b45c=_0x53ff11;_0x4c8e6d[_0xd6b45c(0x278f)]['push'](_0xdda0c2),_0x4d2aa7['success']({'title':_0xd6b45c(0x17f5),'msg':_0x4c8e6d[_0xd6b45c(0x2765)][_0xd6b45c(0x19eb)]?_0x4c8e6d[_0xd6b45c(0x2765)]['name']+_0xd6b45c(0x1386):''}),_0x2eeef3();})[_0x53ff11(0x129e)](function(_0x2bbd99){const _0x489f5a=_0x53ff11;console[_0x489f5a(0x1980)](_0x2bbd99),_0x4c8e6d[_0x489f5a(0xcef)]=_0x2bbd99[_0x489f5a(0x524)][_0x489f5a(0xcef)]||[{'message':_0x2bbd99['toString'](),'type':_0x489f5a(0x7e7)}];});}function _0x46f41f(){const _0x485aaf=_0x294baf;_0x4c8e6d[_0x485aaf(0xcef)]=[],_0x4c8e6d['interval'][_0x485aaf(0x2765)]=_0x345f54(),_0x4c8e6d[_0x485aaf(0x2765)][_0x485aaf(0xea)]?(_0x4c8e6d['type']!==_0x485aaf(0x25f4)&&(_0x4c8e6d['interval'][_0x485aaf(0x1ff2)]=null),_0x2eeef3(_0x4c8e6d[_0x485aaf(0x2765)])):_0xfca9e2[_0x485aaf(0x2765)][_0x485aaf(0x18e1)]({'id':_0x4c8e6d['interval']['id']},_0x4c8e6d[_0x485aaf(0x2765)])[_0x485aaf(0x2945)][_0x485aaf(0x146b)](function(_0x1104c0){const _0x5061b=_0x485aaf,_0x209603=_0x3f65c0()[_0x5061b(0xc84)](_0x4c8e6d[_0x5061b(0x278f)],{'id':_0x1104c0['id']});_0x209603&&_0x3f65c0()[_0x5061b(0x168d)](_0x209603,_0x1104c0),_0x4d2aa7[_0x5061b(0x1c75)]({'title':'Interval\x20properly\x20saved!','msg':_0x5061b(0x97a)}),_0x2eeef3();})[_0x485aaf(0x129e)](function(_0x4b5b73){const _0xb06e90=_0x485aaf;console[_0xb06e90(0x1980)](_0x4b5b73),_0x4c8e6d[_0xb06e90(0xcef)]=_0x4b5b73['data'][_0xb06e90(0xcef)]||[{'message':_0x4b5b73[_0xb06e90(0xd5f)](),'type':'api.interval.update'}];});}function _0x2eeef3(_0x5e834e){_0x3a2b83['hide'](_0x5e834e);}}const _0x3a18d8=_0xcd2d78;;_0x394260[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q','toasty','mailAccountApp',_0x313a4d(0x67d),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x394260(_0x50da19,_0x2c6a9c,_0x361554,_0x4e2413,_0x31725d,_0x1f6fcf,_0x5b3f1a,_0x4d7292){const _0x11c1c6=_0x313a4d,_0x1f36a7=this;_0x1f36a7[_0x11c1c6(0x2321)]=_0x5b3f1a[_0x11c1c6(0xb12)](),_0x1f36a7['errors']=[],_0x1f36a7[_0x11c1c6(0x1189)]=_0x11c1c6(0x1c59)+(_0x4e2413['appType']||_0x4e2413['app'])[_0x11c1c6(0x1c37)](),_0x1f36a7[_0x11c1c6(0x309)]=angular[_0x11c1c6(0x235a)](_0x4e2413),_0x1f36a7[_0x11c1c6(0x2514)]=_0x4d7292,_0x1f36a7[_0x11c1c6(0x855)]={};if(_0x1f36a7[_0x11c1c6(0x309)][_0x11c1c6(0x168a)])switch(_0x1f36a7[_0x11c1c6(0x309)][_0x11c1c6(0x8f2)]?_0x1f36a7[_0x11c1c6(0x309)][_0x11c1c6(0x8f2)][_0x11c1c6(0x256e)]():_0x1f36a7['noop'][_0x11c1c6(0x1873)][_0x11c1c6(0x256e)]()){case _0x11c1c6(0x1802):break;case'dialogflow':{const _0x2f32a6=_0x1f36a7[_0x11c1c6(0x309)][_0x11c1c6(0x168a)][_0x11c1c6(0x10c8)](',');_0x1f36a7[_0x11c1c6(0x309)]['key']=_0x2f32a6[0x0],_0x1f36a7['noop'][_0x11c1c6(0x90b)]=_0x2f32a6[0x1],_0x1f36a7[_0x11c1c6(0x309)][_0x11c1c6(0xaf3)]=_0x2f32a6['slice'](0x2,_0x2f32a6[_0x11c1c6(0x402)])['join'](',');}break;case _0x11c1c6(0xa46):{const _0x43b870=_0x1f36a7[_0x11c1c6(0x309)][_0x11c1c6(0x168a)]['split'](',');_0x1f36a7[_0x11c1c6(0x309)][_0x11c1c6(0x153a)]=_0x43b870[0x0],_0x1f36a7[_0x11c1c6(0x309)][_0x11c1c6(0x1392)]=_0x43b870[0x1],_0x1f36a7['noop'][_0x11c1c6(0x2217)]=_0x43b870[0x2],_0x1f36a7['noop'][_0x11c1c6(0x90b)]=_0x43b870[0x3],_0x1f36a7['noop'][_0x11c1c6(0xaf3)]=_0x43b870[_0x11c1c6(0x1298)](0x4,_0x43b870[_0x11c1c6(0x402)])['join'](',');}break;case _0x11c1c6(0x17d6):{const _0xf7e0a9=_0x1f36a7[_0x11c1c6(0x309)][_0x11c1c6(0x168a)]['split'](',');_0x1f36a7[_0x11c1c6(0x309)][_0x11c1c6(0x1651)]=_0xf7e0a9[0x0],_0x1f36a7['noop'][_0x11c1c6(0x252)]=_0xf7e0a9[0x1],_0x1f36a7['noop']['lexregion']=_0xf7e0a9[0x2],_0x1f36a7[_0x11c1c6(0x309)][_0x11c1c6(0x8dd)]=_0xf7e0a9[0x3],_0x1f36a7['noop']['welcomemessage']=_0xf7e0a9[_0x11c1c6(0x1298)](0x4,_0xf7e0a9[_0x11c1c6(0x402)])['join'](',');}break;case'autoreply':{const _0x862a9f=_0x1f36a7['noop'][_0x11c1c6(0x168a)][_0x11c1c6(0x10c8)](',');_0x1f36a7['noop'][_0x11c1c6(0x583)]=isNaN(_0x862a9f[0x0])?_0x862a9f[0x0]:parseInt(_0x862a9f[0x0],0xa),_0x1f36a7[_0x11c1c6(0x309)][_0x11c1c6(0xa8d)]=_0x862a9f[_0x11c1c6(0x1298)](0x1,_0x862a9f[_0x11c1c6(0x402)])[_0x11c1c6(0xb47)](',');}break;case _0x11c1c6(0x7fd):_0x1f36a7[_0x11c1c6(0x309)][_0x11c1c6(0xa8d)]=_0x1f36a7[_0x11c1c6(0x309)]['appdata'];break;case _0x11c1c6(0x26ba):_0x1f36a7[_0x11c1c6(0x309)]['name']=_0x1f36a7[_0x11c1c6(0x309)]['appdata'][_0x11c1c6(0x10c8)]('=')[0x0],_0x1f36a7[_0x11c1c6(0x309)][_0x11c1c6(0x175d)]=_0x1f36a7[_0x11c1c6(0x309)][_0x11c1c6(0x168a)][_0x11c1c6(0x10c8)]('=')[0x1];break;case _0x11c1c6(0x1ecb):_0x1f36a7['noop']['project']=_0x1f36a7[_0x11c1c6(0x309)]['appdata'];break;default:{const _0x13735b=_0x1f36a7[_0x11c1c6(0x309)][_0x11c1c6(0x168a)][_0x11c1c6(0x10c8)](',');_0x1f36a7[_0x11c1c6(0x309)][_0x11c1c6(0x175d)]=_0x3f65c0()[_0x11c1c6(0x2635)](_0x13735b[0x0])?_0x13735b[0x0]:isNaN(_0x13735b[0x0])?_0x13735b[0x0]:parseInt(_0x13735b[0x0],0xa);}break;}else{}_0x1f36a7[_0x11c1c6(0x309)]['type']&&_0x1f36a7[_0x11c1c6(0x309)][_0x11c1c6(0x1142)][_0x11c1c6(0x256e)]()===_0x11c1c6(0xc9c)&&_0x1f36a7[_0x11c1c6(0x309)][_0x11c1c6(0x8f2)]['toLowerCase']()===_0x11c1c6(0x3bb)&&(_0x1f36a7[_0x11c1c6(0x309)][_0x11c1c6(0x1e12)]=_0x1f36a7['noop'][_0x11c1c6(0x1d55)]?_0x1f36a7['noop'][_0x11c1c6(0x1d55)][_0x11c1c6(0x10c8)]('$')[0x0]:undefined,_0x1f36a7[_0x11c1c6(0x309)][_0x11c1c6(0x1d43)]=_0x1f36a7[_0x11c1c6(0x309)]['callerID']?_0x11c1c6(0x1b60)+_0x1f36a7['noop'][_0x11c1c6(0x11be)]:undefined);_0x1f36a7[_0x11c1c6(0x1c19)]=_0x34a3ea,_0x1f36a7[_0x11c1c6(0x13f3)]=_0x512abc;function _0x34a3ea(){const _0x5347e0=_0x11c1c6;_0x1f36a7[_0x5347e0(0xcef)]=[];const _0x32b1b2=[];_0x1f36a7[_0x5347e0(0x309)][_0x5347e0(0x1142)]&&_0x1f36a7['noop'][_0x5347e0(0x1142)]['toLowerCase']()===_0x5347e0(0xc9c)&&_0x1f36a7['noop'][_0x5347e0(0x8f2)]===_0x5347e0(0x118b)&&(_0x1f36a7['noop'][_0x5347e0(0x1d55)]=_0x31725d[_0x5347e0(0x1274)]?(_0x1f36a7[_0x5347e0(0x309)][_0x5347e0(0x1e12)]||'')+_0x5347e0(0x2147)+_0x31725d[_0x5347e0(0x1274)]+'}':(_0x1f36a7[_0x5347e0(0x309)][_0x5347e0(0x1e12)]||'')+_0x5347e0(0x20df),_0x31725d[_0x5347e0(0x1425)]!==_0x5347e0(0x1642)?_0x1f36a7[_0x5347e0(0x309)]['options'][_0x5347e0(0x172b)]('U(xcally-mixmonitor-context)')<0x0&&(_0x1f36a7[_0x5347e0(0x309)][_0x5347e0(0xa08)]+=_0x5347e0(0x1ea4)):_0x1f36a7[_0x5347e0(0x309)][_0x5347e0(0xa08)]=_0x1f36a7['noop']['options'][_0x5347e0(0x5f4)](_0x5347e0(0x1ea4),''));if(_0x1f36a7[_0x5347e0(0x309)][_0x5347e0(0x8f2)]&&_0x1f36a7[_0x5347e0(0x309)][_0x5347e0(0x8f2)]===_0x5347e0(0x1802)){}else switch((_0x1f36a7[_0x5347e0(0x309)]['app']||_0x1f36a7['noop'][_0x5347e0(0x8f2)])[_0x5347e0(0x256e)]()){case _0x5347e0(0x26ba):_0x1f36a7[_0x5347e0(0x309)]['appdata']=_0x1f36a7['noop'][_0x5347e0(0x19eb)]+'='+_0x1f36a7[_0x5347e0(0x309)][_0x5347e0(0x175d)];break;case _0x5347e0(0x1802):break;default:_0x32b1b2[0x0]=_0x1f36a7[_0x5347e0(0x309)][_0x5347e0(0x175d)],_0x1f36a7[_0x5347e0(0x309)][_0x5347e0(0x168a)]=_0x32b1b2['join'](',');}_0x512abc(_0x1f36a7[_0x5347e0(0x309)]);}function _0x512abc(_0x3ccf10){const _0x46ac8a=_0x11c1c6;_0x50da19[_0x46ac8a(0x2458)](_0x3ccf10);}}const _0xb548ed=_0x394260;;_0x187682[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x267d),_0x313a4d(0x67d),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x187682(_0x464370,_0xd39ccc,_0x4263bf,_0xe945a3,_0x4443e2,_0x2b37b0,_0x23771b,_0x35c785){const _0x38542b=_0x313a4d,_0x4c4a3f=this;_0x4c4a3f['currentUser']=_0x23771b[_0x38542b(0xb12)](),_0x4c4a3f[_0x38542b(0xcef)]=[],_0x4c4a3f[_0x38542b(0x1189)]=_0x38542b(0x1c59)+(_0xe945a3[_0x38542b(0x8f2)]||_0xe945a3[_0x38542b(0x1873)])['toUpperCase'](),_0x4c4a3f[_0x38542b(0x1c72)]=angular[_0x38542b(0x235a)](_0xe945a3),_0x4c4a3f[_0x38542b(0x2514)]=_0x35c785,_0x4c4a3f[_0x38542b(0x855)]={};if(_0x4c4a3f[_0x38542b(0x1c72)]['appdata'])switch(_0x4c4a3f['queue'][_0x38542b(0x8f2)]?_0x4c4a3f['queue'][_0x38542b(0x8f2)][_0x38542b(0x256e)]():_0x4c4a3f[_0x38542b(0x1c72)]['app'][_0x38542b(0x256e)]()){case _0x38542b(0x1802):break;case _0x38542b(0xeaa):{const _0x5a7ce1=_0x4c4a3f[_0x38542b(0x1c72)][_0x38542b(0x168a)][_0x38542b(0x10c8)](',');_0x4c4a3f[_0x38542b(0x1c72)][_0x38542b(0x2293)]=_0x5a7ce1[0x0],_0x4c4a3f[_0x38542b(0x1c72)][_0x38542b(0x90b)]=_0x5a7ce1[0x1],_0x4c4a3f['queue'][_0x38542b(0xaf3)]=_0x5a7ce1[_0x38542b(0x1298)](0x2,_0x5a7ce1[_0x38542b(0x402)])[_0x38542b(0xb47)](',');}break;case'dialogflowv2':{const _0x1cc2da=_0x4c4a3f[_0x38542b(0x1c72)][_0x38542b(0x168a)][_0x38542b(0x10c8)](',');_0x4c4a3f[_0x38542b(0x1c72)]['projectId']=_0x1cc2da[0x0],_0x4c4a3f[_0x38542b(0x1c72)]['clientEmail']=_0x1cc2da[0x1],_0x4c4a3f[_0x38542b(0x1c72)][_0x38542b(0x2217)]=_0x1cc2da[0x2],_0x4c4a3f[_0x38542b(0x1c72)]['language']=_0x1cc2da[0x3],_0x4c4a3f['queue']['welcomemessage']=_0x1cc2da[_0x38542b(0x1298)](0x4,_0x1cc2da['length'])[_0x38542b(0xb47)](',');}break;case _0x38542b(0x17d6):{const _0x3984e5=_0x4c4a3f['queue'][_0x38542b(0x168a)]['split'](',');_0x4c4a3f[_0x38542b(0x1c72)][_0x38542b(0x1651)]=_0x3984e5[0x0],_0x4c4a3f[_0x38542b(0x1c72)]['secretaccesskey']=_0x3984e5[0x1],_0x4c4a3f['queue']['lexregion']=_0x3984e5[0x2],_0x4c4a3f['queue']['botname']=_0x3984e5[0x3],_0x4c4a3f[_0x38542b(0x1c72)][_0x38542b(0xaf3)]=_0x3984e5[_0x38542b(0x1298)](0x4,_0x3984e5['length'])['join'](',');}break;case _0x38542b(0x1713):{const _0x267bfb=_0x4c4a3f[_0x38542b(0x1c72)]['appdata'][_0x38542b(0x10c8)](',');_0x4c4a3f['queue'][_0x38542b(0x583)]=isNaN(_0x267bfb[0x0])?_0x267bfb[0x0]:parseInt(_0x267bfb[0x0],0xa),_0x4c4a3f[_0x38542b(0x1c72)][_0x38542b(0xa8d)]=_0x267bfb[_0x38542b(0x1298)](0x1,_0x267bfb['length'])[_0x38542b(0xb47)](',');}break;case _0x38542b(0x7fd):_0x4c4a3f[_0x38542b(0x1c72)]['text']=_0x4c4a3f[_0x38542b(0x1c72)][_0x38542b(0x168a)];break;case _0x38542b(0x26ba):_0x4c4a3f[_0x38542b(0x1c72)]['name']=_0x4c4a3f['queue'][_0x38542b(0x168a)][_0x38542b(0x10c8)]('=')[0x0],_0x4c4a3f[_0x38542b(0x1c72)][_0x38542b(0x175d)]=_0x4c4a3f[_0x38542b(0x1c72)]['appdata'][_0x38542b(0x10c8)]('=')[0x1];break;case _0x38542b(0x1ecb):_0x4c4a3f[_0x38542b(0x1c72)][_0x38542b(0x9e0)]=_0x4c4a3f[_0x38542b(0x1c72)][_0x38542b(0x168a)];break;default:{const _0x160c47=_0x4c4a3f['queue'][_0x38542b(0x168a)][_0x38542b(0x10c8)](',');_0x4c4a3f[_0x38542b(0x1c72)][_0x38542b(0x1c72)]=_0x3f65c0()[_0x38542b(0x2635)](_0x160c47[0x0])?_0x160c47[0x0]:isNaN(_0x160c47[0x0])?_0x160c47[0x0]:parseInt(_0x160c47[0x0],0xa),_0x4c4a3f[_0x38542b(0x1c72)]['timeout']=_0x3f65c0()[_0x38542b(0x2635)](_0x160c47[0x1])?_0x160c47[0x1]:isNaN(_0x160c47[0x1])?_0x160c47[0x1]:parseInt(_0x160c47[0x1],0xa);}break;}else _0x4c4a3f[_0x38542b(0x1c72)][_0x38542b(0x1c72)]=0x12c,_0x4c4a3f[_0x38542b(0x1c72)][_0x38542b(0xa9c)]=0x12c;_0x4c4a3f['queue'][_0x38542b(0x1142)]&&_0x4c4a3f[_0x38542b(0x1c72)][_0x38542b(0x1142)][_0x38542b(0x256e)]()===_0x38542b(0xc9c)&&_0x4c4a3f[_0x38542b(0x1c72)]['appType'][_0x38542b(0x256e)]()===_0x38542b(0x3bb)&&(_0x4c4a3f[_0x38542b(0x1c72)][_0x38542b(0x1e12)]=_0x4c4a3f['queue']['phone']?_0x4c4a3f[_0x38542b(0x1c72)][_0x38542b(0x1d55)][_0x38542b(0x10c8)]('$')[0x0]:undefined,_0x4c4a3f[_0x38542b(0x1c72)]['callerId']=_0x4c4a3f[_0x38542b(0x1c72)][_0x38542b(0x11be)]?_0x38542b(0x1b60)+_0x4c4a3f[_0x38542b(0x1c72)][_0x38542b(0x11be)]:undefined);_0x4c4a3f['saveMailAccountApp']=_0x8cf714,_0x4c4a3f[_0x38542b(0x13f3)]=_0xd980be,_0x23771b[_0x38542b(0x23e0)](_0x38542b(0x174b))?_0x2b37b0[_0x38542b(0x101c)][_0x38542b(0x16b4)]({'fields':_0x38542b(0x45e),'sort':_0x38542b(0x19eb),'nolimit':'true'})[_0x38542b(0x2945)]['then'](function(_0x5438f2){const _0x31e5f2=_0x38542b;_0x4c4a3f['queues']=_0x5438f2[_0x31e5f2(0x19c7)]||[];})['catch'](function(_0x357278){const _0x2db27c=_0x38542b;_0x4263bf['error']({'title':_0x357278[_0x2db27c(0x107b)]?'API:'+_0x357278['status']+'\x20-\x20'+_0x357278[_0x2db27c(0x167f)]:'SYSTEM:GET_QUEUES','msg':_0x357278[_0x2db27c(0x524)]?JSON[_0x2db27c(0x10bb)](_0x357278[_0x2db27c(0x524)]):_0x357278[_0x2db27c(0xd5f)]()});}):_0x2b37b0['mailQueue']['get']({'fields':_0x38542b(0x45e),'sort':'name','nolimit':'true'})['$promise']['then'](function(_0x3e921f){const _0x3369fd=_0x38542b;_0x4c4a3f[_0x3369fd(0x1b32)]=_0x3e921f[_0x3369fd(0x19c7)]||[];})[_0x38542b(0x146b)](function(){const _0x334789=_0x38542b;return _0x2b37b0['userProfileSection']['get']({'userProfileId':_0x4c4a3f[_0x334789(0x2321)][_0x334789(0x209a)],'sectionId':0x259})['$promise'];})[_0x38542b(0x146b)](function(_0x563d4f){const _0x145639=_0x38542b,_0x363bc4=_0x563d4f&&_0x563d4f[_0x145639(0x19c7)]?_0x563d4f[_0x145639(0x19c7)][0x0]:null;if(!_0x363bc4){const _0x343b50=[];let _0x55832c=null;_0x4c4a3f[_0x145639(0x1c72)]&&(_0x55832c=_0x3f65c0()['find'](_0x4c4a3f[_0x145639(0x1b32)],{'name':_0x4c4a3f[_0x145639(0x1c72)][_0x145639(0x1c72)]}));for(let _0x433cd2=0x0;_0x433cd2<_0x4c4a3f['queues'][_0x145639(0x402)];_0x433cd2++){_0x55832c&&_0x4c4a3f[_0x145639(0x1b32)][_0x433cd2]['id']===_0x55832c['id']&&(_0x4c4a3f[_0x145639(0x1b32)][_0x433cd2]['canSelect']=![],_0x343b50[_0x145639(0x1f47)](_0x4c4a3f['queues'][_0x433cd2]));}_0x4c4a3f['queues']=_0x343b50;}else{if(!_0x363bc4[_0x145639(0x11d2)])return _0x2b37b0[_0x145639(0xdcc)][_0x145639(0x16b4)]({'sectionId':_0x363bc4['id']})['$promise'][_0x145639(0x146b)](function(_0x38ca95){const _0x28de06=_0x145639,_0x10dad8=_0x3f65c0()['map'](_0x38ca95['rows'],function(_0x3ba28a){const _0x443b10=a0_0x3bb9;return _0x3f65c0()[_0x443b10(0xc84)](_0x4c4a3f[_0x443b10(0x1b32)],{'id':_0x3ba28a['resourceId']});});let _0x5e0ff3=null;_0x4c4a3f[_0x28de06(0x1c72)]&&(_0x5e0ff3=_0x3f65c0()['find'](_0x4c4a3f[_0x28de06(0x1b32)],{'name':_0x4c4a3f[_0x28de06(0x1c72)][_0x28de06(0x1c72)]}));if(_0x5e0ff3&&!_0x3f65c0()[_0x28de06(0x1360)](_0x10dad8,['id',_0x5e0ff3['id']])){const _0x2f658b=_0x3f65c0()[_0x28de06(0xc84)](_0x4c4a3f[_0x28de06(0x1b32)],{'id':_0x5e0ff3['id']});_0x2f658b['canSelect']=![],_0x10dad8[_0x28de06(0x1f47)](_0x2f658b);}_0x4c4a3f['queues']=_0x10dad8;});}})[_0x38542b(0x129e)](function(_0x80b683){const _0x5dfc76=_0x38542b;_0x4263bf[_0x5dfc76(0x1980)]({'title':_0x80b683[_0x5dfc76(0x107b)]?_0x5dfc76(0x262a)+_0x80b683[_0x5dfc76(0x107b)]+'\x20-\x20'+_0x80b683[_0x5dfc76(0x167f)]:'SYSTEM:GETqueues','msg':_0x80b683[_0x5dfc76(0x524)]?JSON[_0x5dfc76(0x10bb)](_0x80b683[_0x5dfc76(0x524)]):_0x80b683[_0x5dfc76(0xd5f)]()});});function _0x8cf714(){const _0x40919f=_0x38542b;_0x4c4a3f[_0x40919f(0xcef)]=[];const _0xb568da=[];_0x4c4a3f['queue']['type']&&_0x4c4a3f['queue'][_0x40919f(0x1142)][_0x40919f(0x256e)]()===_0x40919f(0xc9c)&&_0x4c4a3f[_0x40919f(0x1c72)]['appType']===_0x40919f(0x118b)&&(_0x4c4a3f[_0x40919f(0x1c72)][_0x40919f(0x1d55)]=_0x4443e2[_0x40919f(0x1274)]?(_0x4c4a3f[_0x40919f(0x1c72)][_0x40919f(0x1e12)]||'')+_0x40919f(0x2147)+_0x4443e2[_0x40919f(0x1274)]+'}':(_0x4c4a3f[_0x40919f(0x1c72)][_0x40919f(0x1e12)]||'')+_0x40919f(0x20df),_0x4443e2[_0x40919f(0x1425)]!==_0x40919f(0x1642)?_0x4c4a3f[_0x40919f(0x1c72)][_0x40919f(0xa08)][_0x40919f(0x172b)](_0x40919f(0x1ea4))<0x0&&(_0x4c4a3f[_0x40919f(0x1c72)]['options']+='U(xcally-mixmonitor-context)'):_0x4c4a3f[_0x40919f(0x1c72)][_0x40919f(0xa08)]=_0x4c4a3f['queue'][_0x40919f(0xa08)][_0x40919f(0x5f4)](_0x40919f(0x1ea4),''));const _0x584b9f=_0x3f65c0()[_0x40919f(0xc84)](_0x4c4a3f[_0x40919f(0x1b32)],{'name':_0x4c4a3f[_0x40919f(0x1c72)][_0x40919f(0x1c72)]});_0x584b9f&&(_0x4c4a3f['queue'][_0x3f65c0()[_0x40919f(0x83f)](_0x40919f(0x56b))+_0x40919f(0x23f8)]=_0x584b9f['id']);if(_0x4c4a3f['queue']['appType']&&_0x4c4a3f[_0x40919f(0x1c72)]['appType']==='custom'){}else switch((_0x4c4a3f[_0x40919f(0x1c72)][_0x40919f(0x1873)]||_0x4c4a3f[_0x40919f(0x1c72)][_0x40919f(0x8f2)])['toLowerCase']()){case _0x40919f(0x26ba):_0x4c4a3f[_0x40919f(0x1c72)][_0x40919f(0x168a)]=_0x4c4a3f[_0x40919f(0x1c72)][_0x40919f(0x19eb)]+'='+_0x4c4a3f['queue'][_0x40919f(0x175d)];break;case _0x40919f(0x1802):break;default:_0xb568da[0x0]=_0x4c4a3f[_0x40919f(0x1c72)]['queue'],_0xb568da[0x1]=_0x4c4a3f[_0x40919f(0x1c72)]['timeout'],_0x4c4a3f[_0x40919f(0x1c72)][_0x40919f(0x168a)]=_0xb568da['join'](',');}_0xd980be(_0x4c4a3f['queue']);}function _0xd980be(_0x1f579a){const _0x5055e8=_0x38542b;_0x464370[_0x5055e8(0x2458)](_0x1f579a);}}const _0x5c0603=_0x187682;;_0x18a9d1[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q','toasty',_0x313a4d(0x267d),_0x313a4d(0x67d),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x18a9d1(_0x3ac56f,_0x2befb8,_0x32a5b2,_0x4aa08f,_0x12e02b,_0x248707,_0x9eeebb,_0x185586){const _0x489fa3=_0x313a4d,_0x1ef84b=this;_0x1ef84b[_0x489fa3(0x2321)]=_0x9eeebb[_0x489fa3(0xb12)](),_0x1ef84b[_0x489fa3(0xcef)]=[],_0x1ef84b[_0x489fa3(0x1189)]='MAIL.EDIT_'+(_0x4aa08f[_0x489fa3(0x8f2)]||_0x4aa08f[_0x489fa3(0x1873)])[_0x489fa3(0x1c37)](),_0x1ef84b['system']=angular[_0x489fa3(0x235a)](_0x4aa08f),_0x1ef84b[_0x489fa3(0x2514)]=_0x185586,_0x1ef84b['hasModulePermissions']={};if(_0x1ef84b[_0x489fa3(0x1d47)][_0x489fa3(0x168a)])switch(_0x1ef84b[_0x489fa3(0x1d47)][_0x489fa3(0x8f2)]?_0x1ef84b['system'][_0x489fa3(0x8f2)][_0x489fa3(0x256e)]():_0x1ef84b[_0x489fa3(0x1d47)][_0x489fa3(0x1873)][_0x489fa3(0x256e)]()){case'custom':break;case _0x489fa3(0xeaa):{const _0x53b8ba=_0x1ef84b[_0x489fa3(0x1d47)][_0x489fa3(0x168a)][_0x489fa3(0x10c8)](',');_0x1ef84b[_0x489fa3(0x1d47)][_0x489fa3(0x2293)]=_0x53b8ba[0x0],_0x1ef84b[_0x489fa3(0x1d47)][_0x489fa3(0x90b)]=_0x53b8ba[0x1],_0x1ef84b[_0x489fa3(0x1d47)][_0x489fa3(0xaf3)]=_0x53b8ba['slice'](0x2,_0x53b8ba[_0x489fa3(0x402)])[_0x489fa3(0xb47)](',');}break;case'dialogflowv2':{const _0x381fef=_0x1ef84b['system']['appdata'][_0x489fa3(0x10c8)](',');_0x1ef84b['system'][_0x489fa3(0x153a)]=_0x381fef[0x0],_0x1ef84b[_0x489fa3(0x1d47)][_0x489fa3(0x1392)]=_0x381fef[0x1],_0x1ef84b[_0x489fa3(0x1d47)]['privateKey']=_0x381fef[0x2],_0x1ef84b[_0x489fa3(0x1d47)][_0x489fa3(0x90b)]=_0x381fef[0x3],_0x1ef84b[_0x489fa3(0x1d47)][_0x489fa3(0xaf3)]=_0x381fef[_0x489fa3(0x1298)](0x4,_0x381fef[_0x489fa3(0x402)])[_0x489fa3(0xb47)](',');}break;case _0x489fa3(0x17d6):{const _0x58de9b=_0x1ef84b[_0x489fa3(0x1d47)][_0x489fa3(0x168a)]['split'](',');_0x1ef84b['system'][_0x489fa3(0x1651)]=_0x58de9b[0x0],_0x1ef84b[_0x489fa3(0x1d47)][_0x489fa3(0x252)]=_0x58de9b[0x1],_0x1ef84b[_0x489fa3(0x1d47)]['lexregion']=_0x58de9b[0x2],_0x1ef84b[_0x489fa3(0x1d47)][_0x489fa3(0x8dd)]=_0x58de9b[0x3],_0x1ef84b[_0x489fa3(0x1d47)]['welcomemessage']=_0x58de9b['slice'](0x4,_0x58de9b[_0x489fa3(0x402)])[_0x489fa3(0xb47)](',');}break;case _0x489fa3(0x1713):{const _0x2eaba5=_0x1ef84b['system'][_0x489fa3(0x168a)][_0x489fa3(0x10c8)](',');_0x1ef84b[_0x489fa3(0x1d47)][_0x489fa3(0x583)]=isNaN(_0x2eaba5[0x0])?_0x2eaba5[0x0]:parseInt(_0x2eaba5[0x0],0xa),_0x1ef84b['system'][_0x489fa3(0xa8d)]=_0x2eaba5['slice'](0x1,_0x2eaba5[_0x489fa3(0x402)])[_0x489fa3(0xb47)](',');}break;case _0x489fa3(0x7fd):_0x1ef84b[_0x489fa3(0x1d47)][_0x489fa3(0xa8d)]=_0x1ef84b['system'][_0x489fa3(0x168a)];break;case _0x489fa3(0x26ba):_0x1ef84b[_0x489fa3(0x1d47)][_0x489fa3(0x19eb)]=_0x1ef84b['system'][_0x489fa3(0x168a)][_0x489fa3(0x10c8)]('=')[0x0],_0x1ef84b[_0x489fa3(0x1d47)]['value']=_0x1ef84b['system']['appdata'][_0x489fa3(0x10c8)]('=')[0x1];break;case _0x489fa3(0x1ecb):_0x1ef84b[_0x489fa3(0x1d47)][_0x489fa3(0x9e0)]=_0x1ef84b['system'][_0x489fa3(0x168a)];break;default:{const _0x1db5e9=_0x1ef84b['system'][_0x489fa3(0x168a)][_0x489fa3(0x10c8)](',');_0x1ef84b[_0x489fa3(0x1d47)]['command']=_0x3f65c0()[_0x489fa3(0x2635)](_0x1db5e9[0x0])?_0x1db5e9[0x0]:isNaN(_0x1db5e9[0x0])?_0x1db5e9[0x0]:parseInt(_0x1db5e9[0x0],0xa),_0x1ef84b['system'][_0x489fa3(0x212)]=_0x3f65c0()[_0x489fa3(0x2635)](_0x1db5e9[0x1])?_0x1db5e9[0x1]:isNaN(_0x1db5e9[0x1])?_0x1db5e9[0x1]:parseInt(_0x1db5e9[0x1],0xa);}break;}else _0x1ef84b[_0x489fa3(0x1d47)][_0x489fa3(0x212)]='';_0x1ef84b[_0x489fa3(0x1d47)]['type']&&_0x1ef84b[_0x489fa3(0x1d47)][_0x489fa3(0x1142)][_0x489fa3(0x256e)]()==='outbound'&&_0x1ef84b[_0x489fa3(0x1d47)]['appType'][_0x489fa3(0x256e)]()===_0x489fa3(0x3bb)&&(_0x1ef84b[_0x489fa3(0x1d47)][_0x489fa3(0x1e12)]=_0x1ef84b['system'][_0x489fa3(0x1d55)]?_0x1ef84b[_0x489fa3(0x1d47)][_0x489fa3(0x1d55)][_0x489fa3(0x10c8)]('$')[0x0]:undefined,_0x1ef84b[_0x489fa3(0x1d47)][_0x489fa3(0x1d43)]=_0x1ef84b['system'][_0x489fa3(0x11be)]?_0x489fa3(0x1b60)+_0x1ef84b[_0x489fa3(0x1d47)][_0x489fa3(0x11be)]:undefined);_0x1ef84b['saveMailAccountApp']=_0x977900,_0x1ef84b['closeDialog']=_0x5823f1,_0x9eeebb[_0x489fa3(0x23e0)](_0x489fa3(0x174b))?_0x248707[_0x489fa3(0x212)][_0x489fa3(0x16b4)]({'fields':_0x489fa3(0x7a7),'sort':'name','nolimit':'true'})[_0x489fa3(0x2945)][_0x489fa3(0x146b)](function(_0x48ea82){const _0x21360d=_0x489fa3;_0x1ef84b['variables']=_0x48ea82[_0x21360d(0x19c7)]||[];})[_0x489fa3(0x129e)](function(_0x5f23a6){const _0x192971=_0x489fa3;_0x32a5b2[_0x192971(0x1980)]({'title':_0x5f23a6[_0x192971(0x107b)]?_0x192971(0x262a)+_0x5f23a6[_0x192971(0x107b)]+_0x192971(0x1315)+_0x5f23a6[_0x192971(0x167f)]:_0x192971(0x84b),'msg':_0x5f23a6[_0x192971(0x524)]?JSON[_0x192971(0x10bb)](_0x5f23a6['data']):_0x5f23a6['toString']()});}):_0x248707[_0x489fa3(0x212)][_0x489fa3(0x16b4)]({'fields':_0x489fa3(0x7a7),'sort':_0x489fa3(0x19eb),'nolimit':_0x489fa3(0x1185)})['$promise']['then'](function(_0x376620){_0x1ef84b['variables']=_0x376620['rows']||[];})[_0x489fa3(0x146b)](function(){const _0x3326ae=_0x489fa3;return _0x248707['userProfileSection'][_0x3326ae(0x16b4)]({'userProfileId':_0x1ef84b[_0x3326ae(0x2321)][_0x3326ae(0x209a)],'sectionId':0x3f4})['$promise'];})['then'](function(_0x5c2161){const _0x429df3=_0x489fa3,_0x422ecc=_0x5c2161&&_0x5c2161['rows']?_0x5c2161[_0x429df3(0x19c7)][0x0]:null;if(!_0x422ecc){const _0x581d29=[];let _0x15f273=null;_0x1ef84b[_0x429df3(0x1d47)]&&(_0x15f273=_0x3f65c0()[_0x429df3(0xc84)](_0x1ef84b[_0x429df3(0x85b)],{'name':_0x1ef84b[_0x429df3(0x1d47)][_0x429df3(0x212)]}));for(let _0x4ab1d3=0x0;_0x4ab1d3<_0x1ef84b[_0x429df3(0x85b)][_0x429df3(0x402)];_0x4ab1d3++){_0x15f273&&_0x1ef84b[_0x429df3(0x85b)][_0x4ab1d3]['id']===_0x15f273['id']&&(_0x1ef84b[_0x429df3(0x85b)][_0x4ab1d3][_0x429df3(0x8ff)]=![],_0x581d29[_0x429df3(0x1f47)](_0x1ef84b['variables'][_0x4ab1d3]));}_0x1ef84b['variables']=_0x581d29;}else{if(!_0x422ecc[_0x429df3(0x11d2)])return _0x248707[_0x429df3(0xdcc)]['get']({'sectionId':_0x422ecc['id']})[_0x429df3(0x2945)][_0x429df3(0x146b)](function(_0x4dd5b0){const _0x3d705e=_0x429df3,_0x56a977=_0x3f65c0()['map'](_0x4dd5b0[_0x3d705e(0x19c7)],function(_0x241650){const _0x31037e=_0x3d705e;return _0x3f65c0()[_0x31037e(0xc84)](_0x1ef84b[_0x31037e(0x85b)],{'id':_0x241650[_0x31037e(0x18b8)]});});let _0x4b64fb=null;_0x1ef84b[_0x3d705e(0x1d47)]&&(_0x4b64fb=_0x3f65c0()[_0x3d705e(0xc84)](_0x1ef84b['variables'],{'name':_0x1ef84b['system'][_0x3d705e(0x212)]}));if(_0x4b64fb&&!_0x3f65c0()[_0x3d705e(0x1360)](_0x56a977,['id',_0x4b64fb['id']])){const _0x1cbb15=_0x3f65c0()['find'](_0x1ef84b[_0x3d705e(0x85b)],{'id':_0x4b64fb['id']});_0x1cbb15[_0x3d705e(0x8ff)]=![],_0x56a977[_0x3d705e(0x1f47)](_0x1cbb15);}_0x1ef84b[_0x3d705e(0x85b)]=_0x56a977;});}})[_0x489fa3(0x129e)](function(_0xc68971){const _0x2db1b1=_0x489fa3;_0x32a5b2[_0x2db1b1(0x1980)]({'title':_0xc68971['status']?_0x2db1b1(0x262a)+_0xc68971[_0x2db1b1(0x107b)]+_0x2db1b1(0x1315)+_0xc68971[_0x2db1b1(0x167f)]:'SYSTEM:GETvariables','msg':_0xc68971[_0x2db1b1(0x524)]?JSON[_0x2db1b1(0x10bb)](_0xc68971[_0x2db1b1(0x524)]):_0xc68971[_0x2db1b1(0xd5f)]()});});function _0x977900(){const _0x3ffc09=_0x489fa3;_0x1ef84b[_0x3ffc09(0xcef)]=[];const _0x2faa6e=[];_0x1ef84b['system']['type']&&_0x1ef84b['system'][_0x3ffc09(0x1142)][_0x3ffc09(0x256e)]()===_0x3ffc09(0xc9c)&&_0x1ef84b[_0x3ffc09(0x1d47)][_0x3ffc09(0x8f2)]===_0x3ffc09(0x118b)&&(_0x1ef84b['system'][_0x3ffc09(0x1d55)]=_0x12e02b['cutdigits']?(_0x1ef84b[_0x3ffc09(0x1d47)][_0x3ffc09(0x1e12)]||'')+_0x3ffc09(0x2147)+_0x12e02b['cutdigits']+'}':(_0x1ef84b[_0x3ffc09(0x1d47)][_0x3ffc09(0x1e12)]||'')+'${EXTEN}',_0x12e02b[_0x3ffc09(0x1425)]!==_0x3ffc09(0x1642)?_0x1ef84b[_0x3ffc09(0x1d47)][_0x3ffc09(0xa08)][_0x3ffc09(0x172b)](_0x3ffc09(0x1ea4))<0x0&&(_0x1ef84b[_0x3ffc09(0x1d47)][_0x3ffc09(0xa08)]+=_0x3ffc09(0x1ea4)):_0x1ef84b['system'][_0x3ffc09(0xa08)]=_0x1ef84b[_0x3ffc09(0x1d47)][_0x3ffc09(0xa08)][_0x3ffc09(0x5f4)](_0x3ffc09(0x1ea4),''));if(_0x1ef84b[_0x3ffc09(0x1d47)][_0x3ffc09(0x8f2)]&&_0x1ef84b[_0x3ffc09(0x1d47)][_0x3ffc09(0x8f2)]===_0x3ffc09(0x1802)){}else switch((_0x1ef84b[_0x3ffc09(0x1d47)]['app']||_0x1ef84b[_0x3ffc09(0x1d47)]['appType'])[_0x3ffc09(0x256e)]()){case _0x3ffc09(0x26ba):_0x1ef84b[_0x3ffc09(0x1d47)][_0x3ffc09(0x168a)]=_0x1ef84b[_0x3ffc09(0x1d47)][_0x3ffc09(0x19eb)]+'='+_0x1ef84b[_0x3ffc09(0x1d47)][_0x3ffc09(0x175d)];break;case _0x3ffc09(0x1802):break;default:_0x2faa6e[0x0]=_0x1ef84b[_0x3ffc09(0x1d47)][_0x3ffc09(0x23e9)],_0x2faa6e[0x1]=_0x1ef84b['system'][_0x3ffc09(0x212)],_0x1ef84b[_0x3ffc09(0x1d47)][_0x3ffc09(0x168a)]=_0x2faa6e['join'](',');}_0x5823f1(_0x1ef84b['system']);}function _0x5823f1(_0x2121c9){const _0x3fa661=_0x489fa3;_0x3ac56f[_0x3fa661(0x2458)](_0x2121c9);}}const _0x8c6022=_0x18a9d1;;_0x320618['$inject']=[_0x313a4d(0x10e8),'$q','toasty',_0x313a4d(0x267d),_0x313a4d(0x67d),_0x313a4d(0x247f),'Auth','crudPermissions'];function _0x320618(_0x85b085,_0x58d133,_0x54fe1f,_0xbf987f,_0x226ca6,_0x5c0fa2,_0x133a7a,_0x174d49){const _0x51a1bf=_0x313a4d,_0x343c56=this;_0x343c56[_0x51a1bf(0x2321)]=_0x133a7a[_0x51a1bf(0xb12)](),_0x343c56[_0x51a1bf(0xcef)]=[],_0x343c56[_0x51a1bf(0x1189)]='MAIL.EDIT_'+(_0xbf987f[_0x51a1bf(0x8f2)]||_0xbf987f[_0x51a1bf(0x1873)])[_0x51a1bf(0x1c37)](),_0x343c56[_0x51a1bf(0xa80)]=angular['copy'](_0xbf987f),_0x343c56[_0x51a1bf(0x2514)]=_0x174d49,_0x343c56[_0x51a1bf(0x855)]={};if(_0x343c56[_0x51a1bf(0xa80)]['appdata'])switch(_0x343c56[_0x51a1bf(0xa80)][_0x51a1bf(0x8f2)]?_0x343c56[_0x51a1bf(0xa80)]['appType'][_0x51a1bf(0x256e)]():_0x343c56[_0x51a1bf(0xa80)][_0x51a1bf(0x1873)][_0x51a1bf(0x256e)]()){case _0x51a1bf(0x1802):break;case _0x51a1bf(0xeaa):{const _0x4cb2fd=_0x343c56[_0x51a1bf(0xa80)][_0x51a1bf(0x168a)]['split'](',');_0x343c56[_0x51a1bf(0xa80)][_0x51a1bf(0x2293)]=_0x4cb2fd[0x0],_0x343c56[_0x51a1bf(0xa80)][_0x51a1bf(0x90b)]=_0x4cb2fd[0x1],_0x343c56[_0x51a1bf(0xa80)][_0x51a1bf(0xaf3)]=_0x4cb2fd[_0x51a1bf(0x1298)](0x2,_0x4cb2fd['length'])[_0x51a1bf(0xb47)](',');}break;case _0x51a1bf(0xa46):{const _0x4e8878=_0x343c56[_0x51a1bf(0xa80)][_0x51a1bf(0x168a)]['split'](',');_0x343c56[_0x51a1bf(0xa80)][_0x51a1bf(0x153a)]=_0x4e8878[0x0],_0x343c56[_0x51a1bf(0xa80)]['clientEmail']=_0x4e8878[0x1],_0x343c56['tag']['privateKey']=_0x4e8878[0x2],_0x343c56[_0x51a1bf(0xa80)][_0x51a1bf(0x90b)]=_0x4e8878[0x3],_0x343c56[_0x51a1bf(0xa80)][_0x51a1bf(0xaf3)]=_0x4e8878[_0x51a1bf(0x1298)](0x4,_0x4e8878[_0x51a1bf(0x402)])[_0x51a1bf(0xb47)](',');}break;case _0x51a1bf(0x17d6):{const _0x41390f=_0x343c56['tag'][_0x51a1bf(0x168a)][_0x51a1bf(0x10c8)](',');_0x343c56[_0x51a1bf(0xa80)][_0x51a1bf(0x1651)]=_0x41390f[0x0],_0x343c56[_0x51a1bf(0xa80)][_0x51a1bf(0x252)]=_0x41390f[0x1],_0x343c56['tag'][_0x51a1bf(0x1039)]=_0x41390f[0x2],_0x343c56['tag'][_0x51a1bf(0x8dd)]=_0x41390f[0x3],_0x343c56[_0x51a1bf(0xa80)][_0x51a1bf(0xaf3)]=_0x41390f[_0x51a1bf(0x1298)](0x4,_0x41390f[_0x51a1bf(0x402)])[_0x51a1bf(0xb47)](',');}break;case _0x51a1bf(0x1713):{const _0x3821eb=_0x343c56[_0x51a1bf(0xa80)][_0x51a1bf(0x168a)][_0x51a1bf(0x10c8)](',');_0x343c56[_0x51a1bf(0xa80)][_0x51a1bf(0x583)]=isNaN(_0x3821eb[0x0])?_0x3821eb[0x0]:parseInt(_0x3821eb[0x0],0xa),_0x343c56[_0x51a1bf(0xa80)][_0x51a1bf(0xa8d)]=_0x3821eb[_0x51a1bf(0x1298)](0x1,_0x3821eb[_0x51a1bf(0x402)])[_0x51a1bf(0xb47)](',');}break;case _0x51a1bf(0x7fd):_0x343c56[_0x51a1bf(0xa80)][_0x51a1bf(0xa8d)]=_0x343c56[_0x51a1bf(0xa80)][_0x51a1bf(0x168a)];break;case _0x51a1bf(0x26ba):_0x343c56[_0x51a1bf(0xa80)][_0x51a1bf(0x19eb)]=_0x343c56[_0x51a1bf(0xa80)][_0x51a1bf(0x168a)][_0x51a1bf(0x10c8)]('=')[0x0],_0x343c56['tag'][_0x51a1bf(0x175d)]=_0x343c56[_0x51a1bf(0xa80)][_0x51a1bf(0x168a)][_0x51a1bf(0x10c8)]('=')[0x1];break;case _0x51a1bf(0x1ecb):_0x343c56[_0x51a1bf(0xa80)]['project']=_0x343c56[_0x51a1bf(0xa80)][_0x51a1bf(0x168a)];break;default:{const _0x205a6e=_0x343c56[_0x51a1bf(0xa80)][_0x51a1bf(0x168a)]['split'](',');_0x343c56[_0x51a1bf(0xa80)]['tag']=_0x3f65c0()[_0x51a1bf(0x2635)](_0x205a6e[0x0])?_0x205a6e[0x0]:isNaN(_0x205a6e[0x0])?_0x205a6e[0x0]:parseInt(_0x205a6e[0x0],0xa);}break;}else{}_0x343c56[_0x51a1bf(0xa80)][_0x51a1bf(0x1142)]&&_0x343c56[_0x51a1bf(0xa80)]['type']['toLowerCase']()==='outbound'&&_0x343c56['tag']['appType'][_0x51a1bf(0x256e)]()===_0x51a1bf(0x3bb)&&(_0x343c56['tag'][_0x51a1bf(0x1e12)]=_0x343c56['tag'][_0x51a1bf(0x1d55)]?_0x343c56['tag']['phone'][_0x51a1bf(0x10c8)]('$')[0x0]:undefined,_0x343c56[_0x51a1bf(0xa80)][_0x51a1bf(0x1d43)]=_0x343c56[_0x51a1bf(0xa80)][_0x51a1bf(0x11be)]?_0x51a1bf(0x1b60)+_0x343c56[_0x51a1bf(0xa80)][_0x51a1bf(0x11be)]:undefined);_0x343c56[_0x51a1bf(0x1c19)]=_0x529905,_0x343c56[_0x51a1bf(0x13f3)]=_0x1871de,_0x133a7a[_0x51a1bf(0x23e0)](_0x51a1bf(0x174b))?_0x5c0fa2[_0x51a1bf(0xa80)][_0x51a1bf(0x16b4)]({'fields':'id,name','sort':_0x51a1bf(0x19eb),'nolimit':'true'})['$promise'][_0x51a1bf(0x146b)](function(_0x46c9e2){const _0x4cd856=_0x51a1bf;_0x343c56['tags']=_0x46c9e2[_0x4cd856(0x19c7)]||[];})[_0x51a1bf(0x129e)](function(_0x2dbe96){const _0xfbff28=_0x51a1bf;_0x54fe1f[_0xfbff28(0x1980)]({'title':_0x2dbe96[_0xfbff28(0x107b)]?'API:'+_0x2dbe96[_0xfbff28(0x107b)]+_0xfbff28(0x1315)+_0x2dbe96['statusText']:_0xfbff28(0x29c),'msg':_0x2dbe96[_0xfbff28(0x524)]?JSON[_0xfbff28(0x10bb)](_0x2dbe96[_0xfbff28(0x524)]):_0x2dbe96[_0xfbff28(0xd5f)]()});}):_0x5c0fa2['tag'][_0x51a1bf(0x16b4)]({'fields':_0x51a1bf(0x7a7),'sort':_0x51a1bf(0x19eb),'nolimit':_0x51a1bf(0x1185)})[_0x51a1bf(0x2945)][_0x51a1bf(0x146b)](function(_0x43f248){const _0x3d7470=_0x51a1bf;_0x343c56[_0x3d7470(0x1b86)]=_0x43f248[_0x3d7470(0x19c7)]||[];})['then'](function(){const _0xbae8fa=_0x51a1bf;return _0x5c0fa2['userProfileSection'][_0xbae8fa(0x16b4)]({'userProfileId':_0x343c56[_0xbae8fa(0x2321)]['userProfileId'],'sectionId':0x3f0})[_0xbae8fa(0x2945)];})[_0x51a1bf(0x146b)](function(_0x51b369){const _0xe1d0f=_0x51a1bf,_0xa577f8=_0x51b369&&_0x51b369[_0xe1d0f(0x19c7)]?_0x51b369[_0xe1d0f(0x19c7)][0x0]:null;if(!_0xa577f8){const _0x8cb091=[];let _0x4b253d=null;_0x343c56['tag']&&(_0x4b253d=_0x3f65c0()[_0xe1d0f(0xc84)](_0x343c56[_0xe1d0f(0x1b86)],{'name':_0x343c56[_0xe1d0f(0xa80)][_0xe1d0f(0xa80)]}));for(let _0x42c385=0x0;_0x42c385<_0x343c56[_0xe1d0f(0x1b86)][_0xe1d0f(0x402)];_0x42c385++){_0x4b253d&&_0x343c56[_0xe1d0f(0x1b86)][_0x42c385]['id']===_0x4b253d['id']&&(_0x343c56['tags'][_0x42c385][_0xe1d0f(0x8ff)]=![],_0x8cb091[_0xe1d0f(0x1f47)](_0x343c56[_0xe1d0f(0x1b86)][_0x42c385]));}_0x343c56[_0xe1d0f(0x1b86)]=_0x8cb091;}else{if(!_0xa577f8['autoAssociation'])return _0x5c0fa2[_0xe1d0f(0xdcc)]['get']({'sectionId':_0xa577f8['id']})[_0xe1d0f(0x2945)][_0xe1d0f(0x146b)](function(_0x16ef3e){const _0x2ac429=_0xe1d0f,_0x2b1aee=_0x3f65c0()[_0x2ac429(0x205)](_0x16ef3e['rows'],function(_0x248923){const _0x51b55c=_0x2ac429;return _0x3f65c0()[_0x51b55c(0xc84)](_0x343c56[_0x51b55c(0x1b86)],{'id':_0x248923[_0x51b55c(0x18b8)]});});let _0x68a4cc=null;_0x343c56[_0x2ac429(0xa80)]&&(_0x68a4cc=_0x3f65c0()[_0x2ac429(0xc84)](_0x343c56[_0x2ac429(0x1b86)],{'name':_0x343c56[_0x2ac429(0xa80)][_0x2ac429(0xa80)]}));if(_0x68a4cc&&!_0x3f65c0()['some'](_0x2b1aee,['id',_0x68a4cc['id']])){const _0x266b77=_0x3f65c0()[_0x2ac429(0xc84)](_0x343c56[_0x2ac429(0x1b86)],{'id':_0x68a4cc['id']});_0x266b77[_0x2ac429(0x8ff)]=![],_0x2b1aee[_0x2ac429(0x1f47)](_0x266b77);}_0x343c56[_0x2ac429(0x1b86)]=_0x2b1aee;});}})['catch'](function(_0x2552d0){const _0x12bc57=_0x51a1bf;_0x54fe1f[_0x12bc57(0x1980)]({'title':_0x2552d0[_0x12bc57(0x107b)]?'API:'+_0x2552d0[_0x12bc57(0x107b)]+_0x12bc57(0x1315)+_0x2552d0['statusText']:_0x12bc57(0x156),'msg':_0x2552d0[_0x12bc57(0x524)]?JSON[_0x12bc57(0x10bb)](_0x2552d0['data']):_0x2552d0[_0x12bc57(0xd5f)]()});});function _0x529905(){const _0x4ccdc8=_0x51a1bf;_0x343c56[_0x4ccdc8(0xcef)]=[];const _0x453652=[];_0x343c56[_0x4ccdc8(0xa80)][_0x4ccdc8(0x1142)]&&_0x343c56[_0x4ccdc8(0xa80)][_0x4ccdc8(0x1142)]['toLowerCase']()===_0x4ccdc8(0xc9c)&&_0x343c56[_0x4ccdc8(0xa80)][_0x4ccdc8(0x8f2)]===_0x4ccdc8(0x118b)&&(_0x343c56[_0x4ccdc8(0xa80)][_0x4ccdc8(0x1d55)]=_0x226ca6[_0x4ccdc8(0x1274)]?(_0x343c56[_0x4ccdc8(0xa80)][_0x4ccdc8(0x1e12)]||'')+_0x4ccdc8(0x2147)+_0x226ca6['cutdigits']+'}':(_0x343c56[_0x4ccdc8(0xa80)][_0x4ccdc8(0x1e12)]||'')+'${EXTEN}',_0x226ca6[_0x4ccdc8(0x1425)]!=='none'?_0x343c56['tag'][_0x4ccdc8(0xa08)]['indexOf'](_0x4ccdc8(0x1ea4))<0x0&&(_0x343c56[_0x4ccdc8(0xa80)][_0x4ccdc8(0xa08)]+=_0x4ccdc8(0x1ea4)):_0x343c56['tag']['options']=_0x343c56['tag']['options'][_0x4ccdc8(0x5f4)](_0x4ccdc8(0x1ea4),''));const _0x4881b1=_0x3f65c0()['find'](_0x343c56[_0x4ccdc8(0x1b86)],{'name':_0x343c56['tag']['tag']});_0x4881b1&&(_0x343c56['tag']['TagId']=_0x4881b1['id']);if(_0x343c56[_0x4ccdc8(0xa80)][_0x4ccdc8(0x8f2)]&&_0x343c56[_0x4ccdc8(0xa80)][_0x4ccdc8(0x8f2)]===_0x4ccdc8(0x1802)){}else switch((_0x343c56[_0x4ccdc8(0xa80)][_0x4ccdc8(0x1873)]||_0x343c56['tag'][_0x4ccdc8(0x8f2)])[_0x4ccdc8(0x256e)]()){case'set':_0x343c56[_0x4ccdc8(0xa80)][_0x4ccdc8(0x168a)]=_0x343c56['tag']['name']+'='+_0x343c56[_0x4ccdc8(0xa80)][_0x4ccdc8(0x175d)];break;case'custom':break;default:_0x453652[0x0]=_0x343c56[_0x4ccdc8(0xa80)][_0x4ccdc8(0xa80)],_0x343c56['tag'][_0x4ccdc8(0x168a)]=_0x453652[_0x4ccdc8(0xb47)](',');}_0x1871de(_0x343c56[_0x4ccdc8(0xa80)]);}function _0x1871de(_0xd1301f){const _0x4d1d55=_0x51a1bf;_0x85b085[_0x4d1d55(0x2458)](_0xd1301f);}}const _0x2ed701=_0x320618;;_0x752257['$inject']=[_0x313a4d(0x23df),'$scope','$state','$q',_0x313a4d(0x214b),_0x313a4d(0x1abe),'$mdDialog','$document',_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0xa87)];function _0x752257(_0x32e61e,_0x2f17ed,_0xdcb713,_0x24b7a0,_0x5cedfe,_0x39b37d,_0x318d9c,_0x4f70a4,_0x3da51f,_0x40b033,_0x2cb4c9){const _0x2b1042=_0x313a4d,_0x3be2c9=this;_0x3be2c9['currentUser']=_0x2cb4c9[_0x2b1042(0xb12)](),_0x3be2c9[_0x2b1042(0x67d)]={},_0x3be2c9['mailAccountInteractions']={'count':0x0,'rows':[]},_0x3be2c9['selectedMailAccountInteractions']=[],_0x3be2c9[_0x2b1042(0x2514)],_0x3be2c9[_0x2b1042(0x1a56)]={'read':_0x2b1042(0x203c),'closed':_0x2b1042(0x203c),'sort':_0x2b1042(0xd6f),'includeAll':'true','limit':0xa,'page':0x1},_0x3be2c9[_0x2b1042(0x5aa)]=_0x4b40c2,_0x3be2c9[_0x2b1042(0x19b4)]=_0x4fdbe7,_0x3be2c9['mailInteractionDownload']=_0x1642fb,_0x3be2c9[_0x2b1042(0x1c75)]=_0x468610,_0x3be2c9[_0x2b1042(0x16de)]=_0x4374ca,_0x3be2c9[_0x2b1042(0x1a1d)]=_0x1da98c,_0x3be2c9[_0x2b1042(0x21a6)]=_0x56c8da,_0x3be2c9['exportSelectedMailAccountInteractions']=_0x5bb790,_0x3be2c9[_0x2b1042(0x217c)]=_0x53957d,_0x3be2c9[_0x2b1042(0xc65)]=_0x343289;function _0x4b40c2(_0x3061da,_0x1b08bd){const _0x58dc37=_0x2b1042;_0x3be2c9[_0x58dc37(0x67d)]=_0x3061da,_0x3be2c9[_0x58dc37(0x2514)]=typeof _0x1b08bd!==_0x58dc37(0x2274)?_0x1b08bd:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x3be2c9[_0x58dc37(0x1a56)][_0x58dc37(0x658)]=_0x3be2c9[_0x58dc37(0x67d)]['id'],_0x3be2c9['advancedSearch']={'fields':_0x202b9d()},_0x319e09();}function _0x319e09(){const _0x2623d3=_0x2b1042;return _0x40b033['tag'][_0x2623d3(0x16b4)]()[_0x2623d3(0x2945)]['then'](function(_0x54830a){const _0x5ec142=_0x2623d3;_0x3be2c9[_0x5ec142(0x1b86)]=_0x54830a||{'count':0x0,'rows':[]};})[_0x2623d3(0x146b)](function(){const _0x104bd3=_0x2623d3;return _0x40b033[_0x104bd3(0x2036)][_0x104bd3(0x16b4)]({'nolimit':!![]})[_0x104bd3(0x2945)][_0x104bd3(0x146b)](function(_0x1348eb){const _0x4c0567=_0x104bd3;_0x3be2c9[_0x4c0567(0xcb3)]=_0x1348eb||{'count':0x0,'rows':[]};});})[_0x2623d3(0x146b)](function(){const _0x56998a=_0x2623d3;_0x3be2c9[_0x56998a(0x28af)]=_0x5266ba();});}function _0x5266ba(){const _0x1f9f74=_0x2b1042;return[{'name':_0x1f9f74(0x294),'key':_0x1f9f74(0xc68),'type':_0x1f9f74(0x1a10),'label':'DASHBOARDS.SELECT_DATE'},{'name':_0x1f9f74(0x1c7c),'key':_0x1f9f74(0x229e),'type':_0x1f9f74(0xa5f),'label':_0x1f9f74(0x8f5),'customOptions':[{'value':0x0,'translate':'DASHBOARDS.UNREAD'},{'value':0x1,'translate':_0x1f9f74(0x1ce6)},{'value':null,'translate':_0x1f9f74(0x175e)}]},{'name':_0x1f9f74(0x74e),'key':_0x1f9f74(0x1943),'type':_0x1f9f74(0xa5f),'label':_0x1f9f74(0x1682),'customOptions':[{'value':0x0,'translate':'DASHBOARDS.OPENED'},{'value':0x1,'translate':_0x1f9f74(0x1805)},{'value':null,'translate':_0x1f9f74(0x175e)}]},{'name':'Substatus','key':_0x1f9f74(0x100d),'type':_0x1f9f74(0xa5f),'label':'DASHBOARDS.SELECT_SUBSTATUS','options':_0x3be2c9['subStatuses'][_0x1f9f74(0x19c7)],'customOptions':[{'value':_0x1f9f74(0x203c),'translate':_0x1f9f74(0x69c)},{'value':undefined,'translate':'DASHBOARDS.ALL'}],'ngValue':_0x1f9f74(0x19eb),'ngIf':'closed\x20===\x200'},{'name':_0x1f9f74(0x294a),'key':_0x1f9f74(0xea2),'type':_0x1f9f74(0xa5f),'label':_0x1f9f74(0x127),'customOptions':[{'value':_0x1f9f74(0x203c),'translate':_0x1f9f74(0x38d)},{'value':undefined,'translate':_0x1f9f74(0x175e)}]},{'name':_0x1f9f74(0x23a8),'key':_0x1f9f74(0xa80),'type':'multiselect','label':_0x1f9f74(0x1c0e),'options':_0x3be2c9[_0x1f9f74(0x1b86)][_0x1f9f74(0x19c7)],'placeholder':_0x1f9f74(0x66f)}];}function _0x202b9d(){const _0x493b0c=_0x2b1042;return[{'name':'Id','column':'id','type':_0x493b0c(0x181)},{'name':'Contact','column':_0x493b0c(0x364),'type':_0x493b0c(0x14ee),'options':{'searchFields':[_0x493b0c(0x1ed4),_0x493b0c(0xee4),_0x493b0c(0x1a2b)],'route':{'model':'cmContact','action':'get','params':{'fields':_0x493b0c(0x992),'Contact':_0x493b0c(0x3fb),'nolimit':!![]}},'extraOperators':[_0x493b0c(0xacb)],'excludedOperators':[_0x493b0c(0x1671)]}},{'name':_0x493b0c(0x11e1),'column':_0x493b0c(0xe32),'type':_0x493b0c(0xa8d),'options':{'excludedOperators':[_0x493b0c(0x6be),_0x493b0c(0x1671)]}},{'name':_0x493b0c(0x710),'column':_0x493b0c(0xb80),'type':_0x493b0c(0xa8d),'options':{'excludedOperators':[_0x493b0c(0x6be),'$ne']}},{'name':_0x493b0c(0x74e),'column':'closed','type':_0x493b0c(0xa5f),'values':[{'id':0x0,'translate':_0x493b0c(0x143b)},{'id':0x1,'translate':_0x493b0c(0x1805)}],'options':{'excludedOperators':['$ne']}},{'name':_0x493b0c(0x2715),'column':_0x493b0c(0x100d),'type':_0x493b0c(0x175c),'options':{'field':_0x493b0c(0x19eb),'route':{'model':_0x493b0c(0x2036),'action':_0x493b0c(0x16b4),'params':{'nolimit':!![]}},'excludedOperators':[_0x493b0c(0xbe5)]}},{'name':_0x493b0c(0x294a),'column':_0x493b0c(0x2393),'type':_0x493b0c(0x14ee),'options':{'table':'i','route':{'model':_0x493b0c(0xe7b),'action':_0x493b0c(0x16b4),'params':{'role':_0x493b0c(0x1755),'fields':_0x493b0c(0x12bf),'nolimit':!![]}},'searchFields':[_0x493b0c(0x286a),_0x493b0c(0x19eb)],'extraOperators':['$substring'],'excludedOperators':[_0x493b0c(0x1671)]}},{'name':'Tags','column':_0x493b0c(0x23a8),'type':_0x493b0c(0x175c),'options':{'route':{'model':'tag','action':_0x493b0c(0x16b4),'params':{'nolimit':!![]}},'excludedOperators':['$notIn']}},{'name':_0x493b0c(0x294),'column':_0x493b0c(0xc68),'type':_0x493b0c(0x1a10),'options':{'excludedOperators':['$ne']}},{'name':_0x493b0c(0x270b),'column':'unreadMessages','type':_0x493b0c(0xa5f),'values':[{'id':0x1,'translate':_0x493b0c(0x1ce6)},{'id':0x0,'translate':_0x493b0c(0x33f)}],'options':{'excludedOperators':['$ne']}}];}function _0x56c8da(){const _0x36983f=_0x2b1042;_0x318d9c[_0x36983f(0x2615)]({'controller':_0x36983f(0x26bc),'controllerAs':'vm','templateUrl':_0x3fb128,'parent':angular[_0x36983f(0x1853)](_0x4f70a4[_0x36983f(0x2586)]),'clickOutsideToClose':![],'locals':{'fields':_0x3be2c9['advancedSearch'][_0x36983f(0x2867)],'color':undefined,'storagePath':'mail.mailAccounts'},'fullscreen':!![]})[_0x36983f(0x146b)](function(_0x495b92){const _0x60cb93=_0x36983f;_0x3be2c9[_0x60cb93(0x1a56)][_0x60cb93(0x21da)]=_0x495b92===![]?undefined:_0x495b92;if(_0x3be2c9['query'][_0x60cb93(0x21da)])_0x3be2c9['query'][_0x60cb93(0x21da)]+=_0x60cb93(0x10a6)+_0x3be2c9[_0x60cb93(0x67d)]['id']+']',_0x4374ca();else _0x495b92===![]&&_0x4374ca();})[_0x36983f(0x129e)](function(_0x327839){const _0xd1df84=_0x36983f;_0x3da51f['error']({'title':_0xd1df84(0x10f5),'msg':_0x327839[_0xd1df84(0x524)]?JSON['stringify'](_0x327839[_0xd1df84(0x524)][_0xd1df84(0x7fd)]):_0x327839[_0xd1df84(0xd5f)]()});});}function _0x1642fb(_0x4d5455,_0x1ccd45,_0x22ff41){const _0x371c13=_0x2b1042;return _0x40b033[_0x371c13(0x3c7)]['download']({'id':_0x4d5455['id'],'exists':!![],'attachments':_0x22ff41})['$promise']['then'](function(_0x263a5f){const _0x1d7375=_0x371c13,_0x480cf3=[_0x263a5f[_0x1d7375(0x2eb)]];let _0x2141cf=_0x1d7375(0xe80)+_0x4d5455['id'];const _0x493cb0=new Blob(_0x480cf3,{'type':_0x263a5f['type']});_0x2141cf=_0x1d7375(0x16ff)+_0x4d5455['id']+_0x1d7375(0xf04);const _0x54a6b9=window[_0x1d7375(0xef3)]['createElement']('a');_0x54a6b9[_0x1d7375(0x1652)](_0x1d7375(0x1b3c),URL['createObjectURL'](_0x493cb0)),_0x54a6b9[_0x1d7375(0x1652)]('download',_0x2141cf),document[_0x1d7375(0x2586)]['appendChild'](_0x54a6b9),_0x54a6b9['click']();})[_0x371c13(0x129e)](function(_0x557449){const _0x5e8d61=_0x371c13;if(_0x557449[_0x5e8d61(0x524)]&&_0x557449[_0x5e8d61(0x524)][_0x5e8d61(0xcef)]&&_0x557449['data']['errors']['length'])for(let _0x5b5abf=0x0;_0x5b5abf<_0x557449[_0x5e8d61(0x524)]['errors']['length'];_0x5b5abf+=0x1){_0x3da51f[_0x5e8d61(0x1980)]({'title':_0x557449['data']['errors'][_0x5b5abf][_0x5e8d61(0x1142)],'msg':_0x557449[_0x5e8d61(0x524)][_0x5e8d61(0xcef)][_0x5b5abf][_0x5e8d61(0x7fd)]});}else _0x3da51f[_0x5e8d61(0x1980)]({'title':_0x557449[_0x5e8d61(0x107b)]?_0x5e8d61(0x262a)+_0x557449['status']+_0x5e8d61(0x1315)+_0x557449[_0x5e8d61(0x167f)]:_0x5e8d61(0x489),'msg':_0x557449[_0x5e8d61(0x524)]?JSON[_0x5e8d61(0x10bb)](_0x557449['data'][_0x5e8d61(0x7fd)]):_0x557449[_0x5e8d61(0xd5f)]()});});}function _0x4fdbe7(_0x3e2826,_0x48ab7c){const _0x286624=_0x2b1042,_0x52eaf6=_0x318d9c[_0x286624(0x1e8a)]()[_0x286624(0x1189)](_0x286624(0x1f40))[_0x286624(0x1cbe)](_0x286624(0x16d3)+(_0x3e2826[_0x286624(0x19eb)]||_0x3e2826['id']&&_0x3f65c0()[_0x286624(0x21cf)](_0x286624(0xb37))+_0x3e2826['id']||'interaction')+_0x286624(0x252f)+_0x286624(0xe01))['ariaLabel'](_0x286624(0xcab))[_0x286624(0x1f27)](_0x48ab7c)['ok']('OK')['cancel'](_0x286624(0x39a));_0x318d9c[_0x286624(0x2615)](_0x52eaf6)[_0x286624(0x146b)](function(){_0x53957d(_0x3e2826);},function(){const _0x10b152=_0x286624;console[_0x10b152(0x1a74)]('CANCEL');});}function _0x468610(_0x21c52d){const _0x53a539=_0x2b1042;_0x3be2c9[_0x53a539(0x2580)]=_0x21c52d||{'count':0x0,'rows':[]};for(let _0x25b202=0x0;_0x25b202<_0x3be2c9['mailAccountInteractions']['rows']['length'];_0x25b202+=0x1){const _0x55dbbd=_0x3be2c9[_0x53a539(0x2580)]['rows'][_0x25b202];_0x23d6c5(_0x55dbbd),_0x55dbbd['Owner'][_0x53a539(0x19eb)]=_0xd6fdcc(_0x55dbbd);}}function _0x4374ca(){const _0xee34eb=_0x2b1042;_0x3be2c9[_0xee34eb(0x1a56)]['offset']=(_0x3be2c9[_0xee34eb(0x1a56)]['page']-0x1)*_0x3be2c9[_0xee34eb(0x1a56)][_0xee34eb(0x221e)],_0x3be2c9[_0xee34eb(0xb9c)]=_0x40b033[_0xee34eb(0x3c7)]['get'](_0x3be2c9['query'],_0x468610)[_0xee34eb(0x2945)];}function _0x1da98c(_0x5cd2cf,_0x5a267b){const _0x18dcaf=_0x2b1042;_0x318d9c[_0x18dcaf(0x2615)]({'controller':'AgentGeneralInteractionController','controllerAs':'vm','templateUrl':_0x270cc0,'parent':angular[_0x18dcaf(0x1853)](_0x4f70a4[_0x18dcaf(0x2586)]),'targetEvent':_0x5cd2cf,'clickOutsideToClose':!![],'onShowing':function(_0x4e3ba3){const _0x3426c1=_0x18dcaf;_0x4e3ba3['vm']['init']({'id':0x1,'channel':_0x3426c1(0x56b),'interaction':_0x5a267b,'spy':!![]},_0x2f17ed[_0x3426c1(0x2870)]['vm'][_0x3426c1(0x15b9)]);}});}function _0x53957d(_0x3631c7){const _0x141b98=_0x2b1042;_0x40b033[_0x141b98(0x3c7)][_0x141b98(0x1fac)]({'id':_0x3631c7['id']})[_0x141b98(0x2945)][_0x141b98(0x146b)](function(){const _0x121159=_0x141b98;_0x3f65c0()['remove'](_0x3be2c9[_0x121159(0x2580)][_0x121159(0x19c7)],{'id':_0x3631c7['id']}),_0x3be2c9[_0x121159(0x2580)]['count']-=0x1,!_0x3be2c9[_0x121159(0x2580)][_0x121159(0x19c7)][_0x121159(0x402)]&&_0x4374ca(),_0x3da51f[_0x121159(0x1c75)]({'title':_0x121159(0x739),'msg':_0x3631c7['name']?_0x3631c7[_0x121159(0x19eb)]+_0x121159(0x23e3):''});})['catch'](function(_0x22289e){const _0x3e8a9e=_0x141b98;if(_0x22289e[_0x3e8a9e(0x524)]&&_0x22289e[_0x3e8a9e(0x524)]['errors']&&_0x22289e[_0x3e8a9e(0x524)][_0x3e8a9e(0xcef)][_0x3e8a9e(0x402)]){_0x3be2c9[_0x3e8a9e(0xcef)]=_0x22289e[_0x3e8a9e(0x524)][_0x3e8a9e(0xcef)]||[{'message':_0x22289e[_0x3e8a9e(0xd5f)](),'type':_0x3e8a9e(0x12eb)}];for(let _0x52d968=0x0;_0x52d968<_0x22289e['data'][_0x3e8a9e(0xcef)]['length'];_0x52d968++){_0x3da51f['error']({'title':_0x22289e['data'][_0x3e8a9e(0xcef)][_0x52d968]['type'],'msg':_0x22289e[_0x3e8a9e(0x524)][_0x3e8a9e(0xcef)][_0x52d968][_0x3e8a9e(0x7fd)]});}}else _0x3da51f[_0x3e8a9e(0x1980)]({'title':_0x22289e[_0x3e8a9e(0x107b)]?_0x3e8a9e(0x262a)+_0x22289e['status']+_0x3e8a9e(0x1315)+_0x22289e[_0x3e8a9e(0x167f)]:_0x3e8a9e(0x12eb),'msg':_0x22289e[_0x3e8a9e(0x524)]?JSON[_0x3e8a9e(0x10bb)](_0x22289e[_0x3e8a9e(0x524)]['message']):_0x22289e['message']||_0x22289e[_0x3e8a9e(0xd5f)]()});});}function _0x5bb790(){const _0x3ea399=_0x2b1042,_0x20eddf=angular['copy'](_0x3be2c9[_0x3ea399(0x1718)]);return _0x3be2c9[_0x3ea399(0x1718)]=[],_0x20eddf;}function _0x343289(_0x404dd6){const _0x387a40=_0x2b1042,_0x21f2d8=_0x318d9c[_0x387a40(0x1e8a)]()[_0x387a40(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20interactions?')[_0x387a40(0x1cbe)](_0x387a40(0x16d3)+_0x3be2c9[_0x387a40(0x1718)]['length']+_0x387a40(0x2452)+_0x387a40(0xe01))[_0x387a40(0x4bd)](_0x387a40(0xa37))[_0x387a40(0x1f27)](_0x404dd6)['ok']('OK')[_0x387a40(0x6c3)](_0x387a40(0x39a));_0x318d9c['show'](_0x21f2d8)[_0x387a40(0x146b)](function(){const _0x3417d4=_0x387a40;_0x3be2c9['selectedMailAccountInteractions'][_0x3417d4(0x1df5)](function(_0x1ba868){_0x53957d(_0x1ba868);}),_0x3be2c9[_0x3417d4(0x1718)]=[];});}function _0x23d6c5(_0x8759fc){const _0x30180a=_0x2b1042;if(!_0x3f65c0()['isEmpty'](_0x8759fc['to'])&&!_0x3f65c0()[_0x30180a(0xa4e)](_0x8759fc['to'],_0x3be2c9['mailAccount']['email']))_0x8759fc['contactName']=_0x8759fc['to'][_0x30180a(0x10c8)]('\x20<')[0x0],_0x8759fc[_0x30180a(0x14e3)]=_0x8759fc['to'][_0x30180a(0x10c8)]('<')[_0x30180a(0x18c1)]()[_0x30180a(0x10c8)]('>')[0x0];else _0x8759fc[_0x30180a(0x364)]?(_0x8759fc['contactName']=(_0x8759fc[_0x30180a(0x364)][_0x30180a(0x1ed4)]||'')+'\x20'+(_0x8759fc[_0x30180a(0x364)]['lastName']||''),_0x8759fc[_0x30180a(0x14e3)]=_0x8759fc['Contact'][_0x30180a(0x1a2b)]||''):_0x8759fc[_0x30180a(0x792)]=_0x5cedfe['instant'](_0x30180a(0xe0b));}function _0xd6fdcc(_0x4b66ed){const _0x35e1d7=_0x2b1042;if(_0x4b66ed['UserId'])return _0x4b66ed[_0x35e1d7(0xea2)]===_0x3be2c9[_0x35e1d7(0x2321)]['id']?_0x5cedfe['instant']('DASHBOARDS.ME'):_0x4b66ed[_0x35e1d7(0xac5)][_0x35e1d7(0x286a)]+'\x20<'+_0x4b66ed[_0x35e1d7(0xac5)]['internal']+'>';return _0x5cedfe[_0x35e1d7(0xde)](_0x35e1d7(0x38d));}let _0x40abf9=!![],_0x2af4f9=0x1;_0x2f17ed['$watch'](_0x2b1042(0x117f),function(_0x5174cd,_0x1eca8c){const _0x47ff09=_0x2b1042;_0x40abf9?_0x39b37d(function(){_0x40abf9=![];}):(!_0x1eca8c&&(_0x2af4f9=_0x3be2c9[_0x47ff09(0x1a56)]['page']),_0x5174cd!==_0x1eca8c&&(_0x3be2c9[_0x47ff09(0x1a56)][_0x47ff09(0x844)]=0x1),!_0x5174cd&&(_0x3be2c9[_0x47ff09(0x1a56)]['page']=_0x2af4f9),_0x4374ca());});}const _0x17f6e4=_0x752257;;const _0x3bc54c=_0x4acfac['p']+'src/js/modules/main/apps/mail/views/mailAccounts/edit/mailCannedAnswers/dialog.html/dialog.html';;_0x3a26a0['$inject']=[_0x313a4d(0x23df),_0x313a4d(0x910),_0x313a4d(0x1862),'$q',_0x313a4d(0x214b),_0x313a4d(0x1abe),_0x313a4d(0x10e8),'$document',_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0xa87)];function _0x3a26a0(_0x55a93d,_0x4cc9c2,_0x41ec11,_0x3d549c,_0x541b90,_0x96bbb1,_0x17e800,_0x1068e0,_0x333b20,_0x5e195a,_0x5b157b){const _0x1d9ab7=_0x313a4d,_0x7e5c61=this;_0x7e5c61[_0x1d9ab7(0x2321)]=_0x5b157b[_0x1d9ab7(0xb12)](),_0x7e5c61['mailAccount']={},_0x7e5c61[_0x1d9ab7(0xbf3)]={'count':0x0,'rows':[]},_0x7e5c61[_0x1d9ab7(0x17ab)]=[],_0x7e5c61['crudPermissions'],_0x7e5c61[_0x1d9ab7(0x1a56)]={'fields':_0x1d9ab7(0x241e),'limit':0xa,'page':0x1},_0x7e5c61[_0x1d9ab7(0x5aa)]=_0x5376b1,_0x7e5c61[_0x1d9ab7(0x19b4)]=_0x2e4a14,_0x7e5c61[_0x1d9ab7(0x1c75)]=_0x5f3762,_0x7e5c61['getMailAccountMailCannedAnswers']=_0x2fc887,_0x7e5c61[_0x1d9ab7(0x6f3)]=_0x32ab6b,_0x7e5c61[_0x1d9ab7(0x3fe)]=_0x4b53ff,_0x7e5c61[_0x1d9ab7(0x28db)]=_0x3697f3,_0x7e5c61[_0x1d9ab7(0x291a)]=_0x4e14ef;function _0x5376b1(_0x1723bd,_0x343b27){const _0x34febb=_0x1d9ab7;_0x7e5c61[_0x34febb(0x67d)]=_0x1723bd,_0x7e5c61[_0x34febb(0x2514)]=typeof _0x343b27!==_0x34febb(0x2274)?_0x343b27:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x7e5c61[_0x34febb(0x1a56)][_0x34febb(0x658)]=_0x7e5c61[_0x34febb(0x67d)]['id'],_0x7e5c61[_0x34febb(0x1a56)]['id']=_0x7e5c61['mailAccount']['id'],_0x2fc887();}function _0x2e4a14(_0x4a7c7d,_0x5dd370){const _0x57f67b=_0x1d9ab7,_0x8cbc5f=_0x17e800[_0x57f67b(0x1e8a)]()[_0x57f67b(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20mailCannedAnswer?')[_0x57f67b(0x1cbe)](_0x57f67b(0x16d3)+(_0x4a7c7d['name']||_0x4a7c7d['id']&&_0x3f65c0()[_0x57f67b(0x21cf)](_0x57f67b(0xc3e))+_0x4a7c7d['id']||_0x57f67b(0x105f))+_0x57f67b(0x252f)+_0x57f67b(0xe01))[_0x57f67b(0x4bd)]('delete\x20mailCannedAnswer')[_0x57f67b(0x1f27)](_0x5dd370)['ok']('OK')[_0x57f67b(0x6c3)]('CANCEL');_0x17e800[_0x57f67b(0x2615)](_0x8cbc5f)[_0x57f67b(0x146b)](function(){_0x3697f3(_0x4a7c7d);},function(){const _0x5d1ba9=_0x57f67b;console[_0x5d1ba9(0x1a74)](_0x5d1ba9(0x39a));});}function _0x5f3762(_0x935a70){const _0xd3eeba=_0x1d9ab7;_0x7e5c61[_0xd3eeba(0xbf3)]=_0x935a70||{'count':0x0,'rows':[]};}function _0x2fc887(){const _0x2cfc25=_0x1d9ab7;_0x7e5c61[_0x2cfc25(0x1a56)][_0x2cfc25(0x145d)]=(_0x7e5c61['query'][_0x2cfc25(0x844)]-0x1)*_0x7e5c61[_0x2cfc25(0x1a56)][_0x2cfc25(0x221e)],_0x7e5c61[_0x2cfc25(0xb9c)]=_0x5e195a[_0x2cfc25(0x67d)][_0x2cfc25(0x13e1)](_0x7e5c61[_0x2cfc25(0x1a56)],_0x5f3762)[_0x2cfc25(0x2945)];}function _0x32ab6b(_0xf2ad88,_0x591349){const _0x2e755f=_0x1d9ab7;_0x17e800[_0x2e755f(0x2615)]({'controller':_0x2e755f(0xb9d),'controllerAs':'vm','templateUrl':_0x3bc54c,'parent':angular[_0x2e755f(0x1853)](_0x1068e0[_0x2e755f(0x2586)]),'targetEvent':_0xf2ad88,'clickOutsideToClose':!![],'locals':{'mailAccount':_0x7e5c61[_0x2e755f(0x67d)],'mailCannedAnswer':_0x591349,'mailCannedAnswers':_0x7e5c61[_0x2e755f(0xbf3)]['rows'],'license':null,'setting':null,'crudPermissions':_0x7e5c61[_0x2e755f(0x2514)]}});}function _0x3697f3(_0x2e43a2){const _0x4b75a1=_0x1d9ab7;_0x5e195a[_0x4b75a1(0x1ada)][_0x4b75a1(0x1fac)]({'id':_0x2e43a2['id']})[_0x4b75a1(0x2945)][_0x4b75a1(0x146b)](function(){const _0x4e75f2=_0x4b75a1;_0x3f65c0()[_0x4e75f2(0x2640)](_0x7e5c61[_0x4e75f2(0xbf3)][_0x4e75f2(0x19c7)],{'id':_0x2e43a2['id']}),_0x7e5c61[_0x4e75f2(0xbf3)]['count']-=0x1,!_0x7e5c61['mailAccountMailCannedAnswers']['rows'][_0x4e75f2(0x402)]&&_0x2fc887(),_0x333b20[_0x4e75f2(0x1c75)]({'title':_0x4e75f2(0x12c2),'msg':_0x2e43a2[_0x4e75f2(0x19eb)]?_0x2e43a2[_0x4e75f2(0x19eb)]+_0x4e75f2(0x23e3):''});})[_0x4b75a1(0x129e)](function(_0x14ab4){const _0x7d18d5=_0x4b75a1;if(_0x14ab4[_0x7d18d5(0x524)]&&_0x14ab4[_0x7d18d5(0x524)][_0x7d18d5(0xcef)]&&_0x14ab4[_0x7d18d5(0x524)][_0x7d18d5(0xcef)][_0x7d18d5(0x402)]){_0x7e5c61[_0x7d18d5(0xcef)]=_0x14ab4['data'][_0x7d18d5(0xcef)]||[{'message':_0x14ab4[_0x7d18d5(0xd5f)](),'type':'SYSTEM:GETmailAccount'}];for(let _0x248030=0x0;_0x248030<_0x14ab4[_0x7d18d5(0x524)][_0x7d18d5(0xcef)]['length'];_0x248030++){_0x333b20['error']({'title':_0x14ab4[_0x7d18d5(0x524)][_0x7d18d5(0xcef)][_0x248030][_0x7d18d5(0x1142)],'msg':_0x14ab4['data'][_0x7d18d5(0xcef)][_0x248030][_0x7d18d5(0x7fd)]});}}else _0x333b20['error']({'title':_0x14ab4[_0x7d18d5(0x107b)]?_0x7d18d5(0x262a)+_0x14ab4[_0x7d18d5(0x107b)]+'\x20-\x20'+_0x14ab4[_0x7d18d5(0x167f)]:_0x7d18d5(0x12eb),'msg':_0x14ab4[_0x7d18d5(0x524)]?JSON['stringify'](_0x14ab4[_0x7d18d5(0x524)][_0x7d18d5(0x7fd)]):_0x14ab4[_0x7d18d5(0x7fd)]||_0x14ab4['toString']()});});}function _0x4b53ff(){const _0x3d0fa2=_0x1d9ab7,_0x198d76=angular[_0x3d0fa2(0x235a)](_0x7e5c61['selectedMailAccountMailCannedAnswers']);return _0x7e5c61[_0x3d0fa2(0x17ab)]=[],_0x198d76;}function _0x4e14ef(_0x5b8d62){const _0x4f5885=_0x1d9ab7,_0x405d2d=_0x17e800[_0x4f5885(0x1e8a)]()['title'](_0x4f5885(0x1bf8))[_0x4f5885(0x1cbe)](_0x4f5885(0x16d3)+_0x7e5c61['selectedMailAccountMailCannedAnswers'][_0x4f5885(0x402)]+_0x4f5885(0x2452)+_0x4f5885(0xe01))[_0x4f5885(0x4bd)](_0x4f5885(0x1714))[_0x4f5885(0x1f27)](_0x5b8d62)['ok']('OK')[_0x4f5885(0x6c3)](_0x4f5885(0x39a));_0x17e800[_0x4f5885(0x2615)](_0x405d2d)[_0x4f5885(0x146b)](function(){const _0x253df5=_0x4f5885;_0x7e5c61['selectedMailAccountMailCannedAnswers'][_0x253df5(0x1df5)](function(_0x2828ef){_0x3697f3(_0x2828ef);}),_0x7e5c61[_0x253df5(0x17ab)]=[];});}let _0xb2f64c=!![],_0x5d9b1f=0x1;_0x4cc9c2['$watch']('vm_dc.query.filter',function(_0x47284d,_0x5d56f2){const _0x38b5d9=_0x1d9ab7;_0xb2f64c?_0x96bbb1(function(){_0xb2f64c=![];}):(!_0x5d56f2&&(_0x5d9b1f=_0x7e5c61['query'][_0x38b5d9(0x844)]),_0x47284d!==_0x5d56f2&&(_0x7e5c61[_0x38b5d9(0x1a56)][_0x38b5d9(0x844)]=0x1),!_0x47284d&&(_0x7e5c61[_0x38b5d9(0x1a56)][_0x38b5d9(0x844)]=_0x5d9b1f),_0x2fc887());});}const _0x58d222=_0x3a26a0;;_0x55c05e[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),'mailCannedAnswers',_0x313a4d(0x105f),_0x313a4d(0x247f),_0x313a4d(0xa87),'license',_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x55c05e(_0x445e0f,_0x3ca9a0,_0x4a2885,_0x47b0f9,_0x5b71ae,_0x4e59f7,_0x482ff3,_0x29f655,_0x244389,_0x3e228a,_0x406dc9,_0x66dc55,_0x4109b7,_0x13748f){const _0x1abc8b=_0x313a4d,_0x3960a1=this;_0x3960a1[_0x1abc8b(0x2321)]=_0x406dc9['getCurrentUser'](),_0x3960a1['errors']=[],_0x3960a1['setting']=_0x4109b7,_0x3960a1[_0x1abc8b(0x2690)]=_0x66dc55,_0x3960a1[_0x1abc8b(0x2514)]=_0x13748f,_0x3960a1[_0x1abc8b(0x855)]={},_0x3960a1[_0x1abc8b(0x2251)]=_0x3960a1[_0x1abc8b(0x15b9)]&&_0x3960a1[_0x1abc8b(0x15b9)][_0x1abc8b(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x3960a1[_0x1abc8b(0x1189)]=_0x1abc8b(0x682),_0x3960a1['mailCannedAnswer']=angular[_0x1abc8b(0x235a)](_0x244389),_0x3960a1[_0x1abc8b(0x1b27)]=_0x29f655,_0x3960a1['newMailCannedAnswer']=![];!_0x3960a1[_0x1abc8b(0x105f)]&&(_0x3960a1[_0x1abc8b(0x105f)]={},_0x3960a1['title']=_0x1abc8b(0x8a1),_0x3960a1[_0x1abc8b(0x16d)]=!![]);_0x3ca9a0['params']['id']&&(_0x3960a1[_0x1abc8b(0x105f)][_0x1abc8b(0x658)]=_0x3ca9a0[_0x1abc8b(0x16a)]['id']);_0x3960a1[_0x1abc8b(0xc7f)]=_0x419296,_0x3960a1['saveMailCannedAnswer']=_0x36c11d,_0x3960a1[_0x1abc8b(0xf02)]=_0x34af1c,_0x3960a1[_0x1abc8b(0xe73)]=_0xf698fa,_0x3960a1[_0x1abc8b(0x13f3)]=_0x596f85;function _0x419296(){const _0x198bc6=_0x1abc8b;_0x3960a1[_0x198bc6(0xcef)]=[],_0x3e228a[_0x198bc6(0x1ada)][_0x198bc6(0x1e3)](_0x3960a1['mailCannedAnswer'])[_0x198bc6(0x2945)]['then'](function(_0x136969){const _0x1549de=_0x198bc6;_0x3960a1[_0x1549de(0x1b27)][_0x1549de(0xb3d)](_0x136969[_0x1549de(0x2488)]()),_0x482ff3[_0x1549de(0x1c75)]({'title':_0x1549de(0x20b6),'msg':_0x3960a1[_0x1549de(0x105f)]['name']?_0x3960a1[_0x1549de(0x105f)][_0x1549de(0x19eb)]+_0x1549de(0x1386):''}),_0x596f85(_0x136969);})[_0x198bc6(0x129e)](function(_0x4ed622){const _0x355d7b=_0x198bc6;if(_0x4ed622[_0x355d7b(0x524)]&&_0x4ed622[_0x355d7b(0x524)][_0x355d7b(0xcef)]&&_0x4ed622[_0x355d7b(0x524)][_0x355d7b(0xcef)]['length']){_0x3960a1[_0x355d7b(0xcef)]=_0x4ed622['data'][_0x355d7b(0xcef)]||[{'message':_0x4ed622[_0x355d7b(0xd5f)](),'type':_0x355d7b(0x13f)}];for(let _0x360ce5=0x0;_0x360ce5<_0x4ed622[_0x355d7b(0x524)]['errors'][_0x355d7b(0x402)];_0x360ce5+=0x1){_0x482ff3[_0x355d7b(0x1980)]({'title':_0x4ed622[_0x355d7b(0x524)][_0x355d7b(0xcef)][_0x360ce5][_0x355d7b(0x1142)],'msg':_0x4ed622[_0x355d7b(0x524)][_0x355d7b(0xcef)][_0x360ce5][_0x355d7b(0x7fd)]});}}else _0x482ff3[_0x355d7b(0x1980)]({'title':_0x4ed622['status']?'API:'+_0x4ed622[_0x355d7b(0x107b)]+'\x20-\x20'+_0x4ed622[_0x355d7b(0x167f)]:'api.cannedAnswer.save','msg':_0x4ed622[_0x355d7b(0x524)]?JSON[_0x355d7b(0x10bb)](_0x4ed622[_0x355d7b(0x524)][_0x355d7b(0x7fd)]):_0x4ed622[_0x355d7b(0xd5f)]()});});}function _0x36c11d(){const _0x32d81f=_0x1abc8b;_0x3960a1['errors']=[],_0x3e228a[_0x32d81f(0x1ada)]['update']({'id':_0x3960a1[_0x32d81f(0x105f)]['id']},_0x3960a1[_0x32d81f(0x105f)])[_0x32d81f(0x2945)][_0x32d81f(0x146b)](function(_0x508897){const _0x4d8948=_0x32d81f,_0x555761=_0x3f65c0()[_0x4d8948(0xc84)](_0x3960a1[_0x4d8948(0x1b27)],{'id':_0x508897['id']});_0x555761&&_0x3f65c0()['merge'](_0x555761,_0x3f65c0()[_0x4d8948(0x40e)](_0x508897[_0x4d8948(0x2488)](),_0x3f65c0()[_0x4d8948(0x627)](_0x555761))),_0x482ff3[_0x4d8948(0x1c75)]({'title':_0x4d8948(0x1279),'msg':_0x3960a1[_0x4d8948(0x105f)][_0x4d8948(0x19eb)]?_0x3960a1[_0x4d8948(0x105f)][_0x4d8948(0x19eb)]+'\x20has\x20been\x20saved!':''}),_0x596f85(_0x508897);})[_0x32d81f(0x129e)](function(_0x55ccf8){const _0x18c37a=_0x32d81f;if(_0x55ccf8[_0x18c37a(0x524)]&&_0x55ccf8[_0x18c37a(0x524)][_0x18c37a(0xcef)]&&_0x55ccf8['data'][_0x18c37a(0xcef)][_0x18c37a(0x402)]){_0x3960a1[_0x18c37a(0xcef)]=_0x55ccf8[_0x18c37a(0x524)][_0x18c37a(0xcef)]||[{'message':_0x55ccf8[_0x18c37a(0xd5f)](),'type':_0x18c37a(0x11ab)}];for(let _0x2825c1=0x0;_0x2825c1<_0x55ccf8[_0x18c37a(0x524)][_0x18c37a(0xcef)][_0x18c37a(0x402)];_0x2825c1++){_0x482ff3[_0x18c37a(0x1980)]({'title':_0x55ccf8[_0x18c37a(0x524)]['errors'][_0x2825c1][_0x18c37a(0x1142)],'msg':_0x55ccf8['data'][_0x18c37a(0xcef)][_0x2825c1]['message']});}}else _0x482ff3['error']({'title':_0x55ccf8['status']?_0x18c37a(0x262a)+_0x55ccf8[_0x18c37a(0x107b)]+_0x18c37a(0x1315)+_0x55ccf8['statusText']:_0x18c37a(0x11ab),'msg':_0x55ccf8[_0x18c37a(0x524)]?JSON['stringify'](_0x55ccf8['data'][_0x18c37a(0x7fd)]):_0x55ccf8[_0x18c37a(0xd5f)]()});});}function _0x34af1c(_0x26c9c1){const _0x4e085f=_0x1abc8b;_0x3960a1[_0x4e085f(0xcef)]=[];const _0x1a0753=_0x47b0f9['confirm']()[_0x4e085f(0x1189)](_0x4e085f(0x1d64))[_0x4e085f(0x80f)]('The\x20mailCannedAnswer\x20will\x20be\x20deleted.')[_0x4e085f(0x4bd)](_0x4e085f(0xd58))['ok'](_0x4e085f(0x25de))['cancel'](_0x4e085f(0xcf0))[_0x4e085f(0x1f27)](_0x26c9c1);_0x47b0f9[_0x4e085f(0x2615)](_0x1a0753)[_0x4e085f(0x146b)](function(){const _0x315473=_0x4e085f;_0x3e228a[_0x315473(0x1ada)][_0x315473(0x1fac)]({'id':_0x3960a1[_0x315473(0x105f)]['id']})[_0x315473(0x2945)][_0x315473(0x146b)](function(){const _0x4500cc=_0x315473;_0x3f65c0()[_0x4500cc(0x2640)](_0x3960a1[_0x4500cc(0x1b27)],{'id':_0x3960a1[_0x4500cc(0x105f)]['id']}),_0x482ff3[_0x4500cc(0x1c75)]({'title':'MailCannedAnswer\x20properly\x20deleted!','msg':(_0x3960a1[_0x4500cc(0x105f)][_0x4500cc(0x19eb)]||_0x4500cc(0x105f))+'\x20has\x20been\x20deleted!'}),_0x596f85(_0x3960a1[_0x4500cc(0x105f)]);})['catch'](function(_0x2bab5e){const _0x39f5a9=_0x315473;if(_0x2bab5e['data']&&_0x2bab5e[_0x39f5a9(0x524)][_0x39f5a9(0xcef)]&&_0x2bab5e[_0x39f5a9(0x524)][_0x39f5a9(0xcef)][_0x39f5a9(0x402)]){_0x3960a1['errors']=_0x2bab5e[_0x39f5a9(0x524)][_0x39f5a9(0xcef)]||[{'message':_0x2bab5e[_0x39f5a9(0xd5f)](),'type':_0x39f5a9(0xe6a)}];for(let _0x442625=0x0;_0x442625<_0x2bab5e[_0x39f5a9(0x524)][_0x39f5a9(0xcef)][_0x39f5a9(0x402)];_0x442625++){_0x482ff3[_0x39f5a9(0x1980)]({'title':_0x2bab5e[_0x39f5a9(0x524)][_0x39f5a9(0xcef)][_0x442625][_0x39f5a9(0x1142)],'msg':_0x2bab5e['data'][_0x39f5a9(0xcef)][_0x442625]['message']});}}else _0x482ff3[_0x39f5a9(0x1980)]({'title':_0x2bab5e[_0x39f5a9(0x107b)]?'API:'+_0x2bab5e[_0x39f5a9(0x107b)]+'\x20-\x20'+_0x2bab5e[_0x39f5a9(0x167f)]:'api.cannedAnswer.delete','msg':_0x2bab5e[_0x39f5a9(0x524)]?JSON[_0x39f5a9(0x10bb)](_0x2bab5e['data'][_0x39f5a9(0x7fd)]):_0x2bab5e['message']||_0x2bab5e[_0x39f5a9(0xd5f)]()});});},function(){});}function _0xf698fa(_0x3713fd){return _0x3713fd===null?undefined:new Date(_0x3713fd);}function _0x596f85(_0x4ae8a2){_0x47b0f9['hide'](_0x4ae8a2);}}const _0x31c0e6=_0x55c05e;;_0x5312fd[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),'$mdDialog',_0x313a4d(0x4d8),'$timeout',_0x313a4d(0x214b),_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87)];function _0x5312fd(_0x2750e8,_0x15ac9c,_0x4c9481,_0x5775eb,_0x1db09d,_0x3356f3,_0x343f29,_0x5d9c8d,_0xf9f8a3,_0xdbcdfb,_0x31d8e0){const _0x101d3d=_0x313a4d,_0x58ef2d=this;_0x58ef2d[_0x101d3d(0x2321)]=_0x31d8e0[_0x101d3d(0xb12)](),_0x58ef2d[_0x101d3d(0x2647)]={'count':0x0,'rows':[]},_0x58ef2d[_0x101d3d(0x2ad)]=[],_0x58ef2d[_0x101d3d(0x2514)],_0x58ef2d['levels']={'first':_0x101d3d(0x20c1),'second':'2nd','third':_0x101d3d(0x1195)},_0x58ef2d[_0x101d3d(0x1a56)]={'fields':_0x101d3d(0x2430),'sort':_0x101d3d(0x12f2),'limit':0xa,'page':0x1},_0x58ef2d[_0x101d3d(0x5aa)]=_0x52d197,_0x58ef2d['deleteConfirm']=_0x4cc705,_0x58ef2d['success']=_0x5c1371,_0x58ef2d['getDispositions']=_0x24cbbb,_0x58ef2d[_0x101d3d(0x24dc)]=_0x18b199,_0x58ef2d[_0x101d3d(0x1220)]=_0x5bfbd0,_0x58ef2d[_0x101d3d(0x25f0)]=_0xff4722,_0x58ef2d[_0x101d3d(0x11e4)]=_0x2e6b16,_0x58ef2d['deselectDispositions']=_0x41df1c,_0x58ef2d[_0x101d3d(0x481)]=_0x3b8abf;function _0x52d197(_0x2a1aa6,_0x562463){const _0x408730=_0x101d3d;_0x58ef2d['mailAccount']=_0x2a1aa6||{},_0x58ef2d[_0x408730(0x2514)]=typeof _0x562463!=='undefined'?_0x562463:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x58ef2d[_0x408730(0x1a56)][_0x408730(0x658)]=_0x58ef2d['mailAccount']['id'],_0x58ef2d[_0x408730(0x1a56)]['id']=_0x58ef2d[_0x408730(0x67d)]['id'],_0x24cbbb();}function _0x18b199(_0x28ad2a,_0x1fa788){const _0x4637ff=_0x101d3d;_0x1db09d[_0x4637ff(0x2615)]({'controller':_0x4637ff(0x1a84),'controllerAs':'vm','templateUrl':_0x4f093a,'parent':angular[_0x4637ff(0x1853)](_0x3356f3[_0x4637ff(0x2586)]),'targetEvent':_0x28ad2a,'clickOutsideToClose':!![],'locals':{'disposition':_0x1fa788,'model':{'id':_0x58ef2d[_0x4637ff(0x67d)]['id'],'field':_0x4637ff(0x658),'route':_0x4637ff(0x67d)},'license':null,'setting':null,'crudPermissions':_0x58ef2d[_0x4637ff(0x2514)]}})['then'](function(_0x535921){if(_0x535921)_0x24cbbb();});}function _0x4cc705(_0x31a37d,_0x19dc9f){const _0x54fce8=_0x101d3d,_0x8fa6b3=_0x3f65c0()[_0x54fce8(0x1360)](_0x58ef2d['dispositions'][_0x54fce8(0x19c7)],[_0x54fce8(0x11b5),_0x31a37d['id']]),_0x572507=_0x1db09d[_0x54fce8(0x1e8a)]()['title'](_0x5d9c8d['instant'](_0x54fce8(0x19e6)))['content'](_0x5d9c8d[_0x54fce8(0xde)](_0x54fce8(0xe8d)+(_0x8fa6b3?_0x54fce8(0xda9):_0x54fce8(0xd49)),{'name':_0x31a37d[_0x54fce8(0x19eb)]}))[_0x54fce8(0x4bd)]('Delete\x20disposition')[_0x54fce8(0x1f27)](_0x19dc9f)['ok']('OK')[_0x54fce8(0x6c3)](_0x5d9c8d[_0x54fce8(0xde)]('APP.CANCEL'));_0x1db09d[_0x54fce8(0x2615)](_0x572507)[_0x54fce8(0x146b)](function(){_0x5bfbd0(_0x31a37d);});}function _0x5c1371(_0x2a1077){const _0x29b5ef=_0x101d3d;_0x58ef2d[_0x29b5ef(0x2647)]=_0x2a1077||{'count':0x0,'rows':[]};}function _0x24cbbb(){const _0x271b97=_0x101d3d;_0x58ef2d[_0x271b97(0x1a56)][_0x271b97(0x145d)]=(_0x58ef2d['query'][_0x271b97(0x844)]-0x1)*_0x58ef2d['query'][_0x271b97(0x221e)],_0x58ef2d[_0x271b97(0xb9c)]=_0xf9f8a3[_0x271b97(0x67d)][_0x271b97(0x2451)](_0x58ef2d[_0x271b97(0x1a56)],_0x5c1371)[_0x271b97(0x2945)];}function _0x5bfbd0(_0x51b644){const _0x5ba36a=_0x101d3d;_0xf9f8a3['disposition']['delete']({'id':_0x51b644['id']})[_0x5ba36a(0x2945)][_0x5ba36a(0x146b)](function(){const _0x472b18=_0x5ba36a;_0x24cbbb(),_0xdbcdfb[_0x472b18(0x1c75)]({'title':_0x5d9c8d[_0x472b18(0xde)]('TOOLS.NOTIFICATIONS.DISPOSITION_DELETED_SUCCESS_TITLE')});})[_0x5ba36a(0x129e)](function(_0x351835){const _0x448e84=_0x5ba36a;if(_0x351835[_0x448e84(0x524)]&&_0x351835[_0x448e84(0x524)]['errors']&&_0x351835['data'][_0x448e84(0xcef)][_0x448e84(0x402)]){_0x58ef2d[_0x448e84(0xcef)]=_0x351835[_0x448e84(0x524)][_0x448e84(0xcef)]||[{'message':_0x351835[_0x448e84(0xd5f)](),'type':_0x448e84(0x24a7)}];for(let _0x308ced=0x0;_0x308ced<_0x351835[_0x448e84(0x524)][_0x448e84(0xcef)]['length'];_0x308ced++){_0xdbcdfb[_0x448e84(0x1980)]({'title':_0x351835[_0x448e84(0x524)]['errors'][_0x308ced][_0x448e84(0x1142)],'msg':_0x351835['data'][_0x448e84(0xcef)][_0x308ced][_0x448e84(0x7fd)]});}}else _0xdbcdfb[_0x448e84(0x1980)]({'title':_0x351835[_0x448e84(0x107b)]?_0x448e84(0x262a)+_0x351835[_0x448e84(0x107b)]+'\x20-\x20'+_0x351835['statusText']:_0x448e84(0x24a7),'msg':_0x351835['data']?JSON['stringify'](_0x351835[_0x448e84(0x524)]['message']):_0x351835['message']||_0x351835['toString']()});});}function _0xff4722(){const _0x1046e8=_0x101d3d,_0x2f1bff=angular[_0x1046e8(0x235a)](_0x58ef2d[_0x1046e8(0x2ad)]);return _0x58ef2d[_0x1046e8(0x2ad)]=[],_0x2f1bff;}function _0x2e6b16(_0xbb124e){const _0x4d19b4=_0x101d3d,_0x1cd48c=_0x1db09d['confirm']()[_0x4d19b4(0x1189)](_0x5d9c8d[_0x4d19b4(0xde)](_0x4d19b4(0x1a5b)))[_0x4d19b4(0x80f)](_0x5d9c8d[_0x4d19b4(0xde)](_0x4d19b4(0x23ce),{'total':_0x58ef2d[_0x4d19b4(0x2ad)][_0x4d19b4(0x402)]}))[_0x4d19b4(0x4bd)](_0x4d19b4(0x1eb0))['targetEvent'](_0xbb124e)['ok']('OK')[_0x4d19b4(0x6c3)](_0x5d9c8d[_0x4d19b4(0xde)]('APP.CANCEL'));_0x1db09d[_0x4d19b4(0x2615)](_0x1cd48c)[_0x4d19b4(0x146b)](function(){const _0x1b90f0=_0x4d19b4;_0x58ef2d[_0x1b90f0(0x2ad)][_0x1b90f0(0x1df5)](function(_0x2b14a3){_0x5bfbd0(_0x2b14a3);}),_0x58ef2d['selectedDispositions']=[];});}function _0x41df1c(){const _0x3b8380=_0x101d3d;_0x58ef2d[_0x3b8380(0x2ad)]=[];}function _0x3b8abf(){const _0x280037=_0x101d3d;_0x58ef2d['selectedDispositions']=_0x58ef2d['dispositions'][_0x280037(0x19c7)];}let _0x310029=!![],_0x3f6eda=0x1;_0x2750e8['$watch'](_0x101d3d(0x117f),function(_0x3b5726,_0x159155){const _0x30cf85=_0x101d3d;_0x310029?_0x343f29(function(){_0x310029=![];}):(!_0x159155&&(_0x3f6eda=_0x58ef2d['query']['page']),_0x3b5726!==_0x159155&&(_0x58ef2d[_0x30cf85(0x1a56)]['page']=0x1),!_0x3b5726&&(_0x58ef2d[_0x30cf85(0x1a56)][_0x30cf85(0x844)]=_0x3f6eda),_0x24cbbb());});}const _0x1fbdee=_0x5312fd;;const _0x118033=_0x4acfac['p']+'src/js/modules/main/apps/mail/views/mailAccounts/edit/agentadd/agentadd.html/agentadd.html';;_0x5b5f48['$inject']=[_0x313a4d(0x1862),_0x313a4d(0xd08),'$mdDialog','$document',_0x313a4d(0x214b),'license',_0x313a4d(0x15b9),_0x313a4d(0x247f),'toasty','Auth','mailAccount',_0x313a4d(0x1366)];function _0x5b5f48(_0x44c891,_0x29d95a,_0x1b0694,_0x350d67,_0x7428e2,_0x1b3456,_0x551924,_0x567ce6,_0x3cee04,_0x347edb,_0x3d113c,_0x59f808){const _0x2eb2c3=_0x313a4d,_0x8aa5fa=this;_0x8aa5fa[_0x2eb2c3(0x2321)]=_0x347edb[_0x2eb2c3(0xb12)](),_0x8aa5fa[_0x2eb2c3(0x2690)]=_0x1b3456,_0x8aa5fa[_0x2eb2c3(0x15b9)]=_0x551924,_0x8aa5fa[_0x2eb2c3(0x2251)]=_0x8aa5fa[_0x2eb2c3(0x15b9)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x8aa5fa['location']=_0x29d95a[_0x2eb2c3(0x2414)]()+_0x2eb2c3(0xb0e)+_0x29d95a[_0x2eb2c3(0x148e)](),_0x8aa5fa[_0x2eb2c3(0x67d)]=_0x3d113c||_0x44c891[_0x2eb2c3(0x16a)][_0x2eb2c3(0x67d)]||{},_0x8aa5fa[_0x2eb2c3(0x1366)]=_0x59f808&&_0x59f808[_0x2eb2c3(0x51c)]==0x1?_0x59f808['rows'][0x0]:null,_0x8aa5fa[_0x2eb2c3(0x2514)]=_0x347edb[_0x2eb2c3(0xe60)](_0x8aa5fa[_0x2eb2c3(0x1366)]?_0x8aa5fa[_0x2eb2c3(0x1366)][_0x2eb2c3(0x2514)]:null),_0x8aa5fa[_0x2eb2c3(0x855)]={},_0x8aa5fa[_0x2eb2c3(0x1b09)]=_0x44c891[_0x2eb2c3(0x16a)]['tab']||0x0,_0x8aa5fa[_0x2eb2c3(0x3a9)]=_0x31bb8f,_0x8aa5fa[_0x2eb2c3(0x1027)]=_0x9dfd1c,_0x8aa5fa[_0x2eb2c3(0x861)]=_0x3cee04['info'],_0x8aa5fa[_0x2eb2c3(0x17eb)]=_0x180df9,_0x8aa5fa['saveMailAccount']=_0x38e932,_0x347edb[_0x2eb2c3(0x23e0)](_0x2eb2c3(0x174b))?_0x567ce6[_0x2eb2c3(0xf03)][_0x2eb2c3(0x16b4)]({'fields':_0x2eb2c3(0x7a7),'sort':_0x2eb2c3(0x19eb)})[_0x2eb2c3(0x2945)][_0x2eb2c3(0x146b)](function(_0x2e1fd1){const _0xa7a47a=_0x2eb2c3;_0x8aa5fa[_0xa7a47a(0x1324)]=_0x2e1fd1[_0xa7a47a(0x19c7)]||[];})['catch'](function(_0x5005bd){const _0x198088=_0x2eb2c3;_0x3cee04[_0x198088(0x1980)]({'title':_0x5005bd[_0x198088(0x107b)]?_0x198088(0x262a)+_0x5005bd[_0x198088(0x107b)]+_0x198088(0x1315)+_0x5005bd[_0x198088(0x167f)]:_0x198088(0x11b3),'msg':_0x5005bd[_0x198088(0x524)]?JSON[_0x198088(0x10bb)](_0x5005bd[_0x198088(0x524)]):_0x5005bd[_0x198088(0xd5f)]()});}):_0x567ce6['cmList'][_0x2eb2c3(0x16b4)]({'fields':_0x2eb2c3(0x7a7),'sort':_0x2eb2c3(0x19eb)})[_0x2eb2c3(0x2945)][_0x2eb2c3(0x146b)](function(_0xeb625a){const _0x16a3a8=_0x2eb2c3;_0x8aa5fa[_0x16a3a8(0x1324)]=_0xeb625a[_0x16a3a8(0x19c7)]||[];})[_0x2eb2c3(0x146b)](function(){const _0x26eba9=_0x2eb2c3;return _0x567ce6[_0x26eba9(0x1366)][_0x26eba9(0x16b4)]({'userProfileId':_0x8aa5fa[_0x26eba9(0x2321)][_0x26eba9(0x209a)],'sectionId':0x12d})[_0x26eba9(0x2945)];})[_0x2eb2c3(0x146b)](function(_0x2df677){const _0x226775=_0x2eb2c3,_0x1b9dea=_0x2df677&&_0x2df677[_0x226775(0x19c7)]?_0x2df677['rows'][0x0]:null;if(!_0x1b9dea){const _0x2bfc58=[];let _0x126bba=null;_0x8aa5fa[_0x226775(0x67d)]&&(_0x126bba=_0x3f65c0()[_0x226775(0xc84)](_0x8aa5fa[_0x226775(0x1324)],{'id':Number(_0x8aa5fa[_0x226775(0x67d)][_0x226775(0xb7c)])}));for(let _0x3fd928=0x0;_0x3fd928<_0x8aa5fa[_0x226775(0x1324)]['length'];_0x3fd928++){_0x126bba&&_0x8aa5fa[_0x226775(0x1324)][_0x3fd928]['id']===_0x126bba['id']&&(_0x8aa5fa['lists'][_0x3fd928][_0x226775(0x8ff)]=![],_0x2bfc58[_0x226775(0x1f47)](_0x8aa5fa[_0x226775(0x1324)][_0x3fd928]));}_0x8aa5fa[_0x226775(0x1324)]=_0x2bfc58;}else{if(!_0x1b9dea[_0x226775(0x11d2)])return _0x567ce6[_0x226775(0xdcc)]['get']({'sectionId':_0x1b9dea['id']})[_0x226775(0x2945)][_0x226775(0x146b)](function(_0x4a6123){const _0xbed5af=_0x226775,_0x22cd10=_0x3f65c0()['map'](_0x4a6123[_0xbed5af(0x19c7)],function(_0x27dbf8){const _0x513d6c=_0xbed5af;return _0x3f65c0()[_0x513d6c(0xc84)](_0x8aa5fa[_0x513d6c(0x1324)],{'id':_0x27dbf8[_0x513d6c(0x18b8)]});});let _0x2e02d1=null;_0x8aa5fa['mailAccount']&&(_0x2e02d1=_0x3f65c0()['find'](_0x8aa5fa[_0xbed5af(0x1324)],{'id':Number(_0x8aa5fa['mailAccount']['ListId'])}));if(_0x2e02d1&&!_0x3f65c0()['some'](_0x22cd10,['id',_0x2e02d1['id']])){const _0x4ade5e=_0x3f65c0()[_0xbed5af(0xc84)](_0x8aa5fa['lists'],{'id':_0x2e02d1['id']});_0x4ade5e[_0xbed5af(0x8ff)]=![],_0x22cd10[_0xbed5af(0x1f47)](_0x4ade5e);}_0x8aa5fa[_0xbed5af(0x1324)]=_0x22cd10;});}})['catch'](function(_0x3dcd63){const _0xbea533=_0x2eb2c3;_0x3cee04[_0xbea533(0x1980)]({'title':_0x3dcd63[_0xbea533(0x107b)]?_0xbea533(0x262a)+_0x3dcd63[_0xbea533(0x107b)]+_0xbea533(0x1315)+_0x3dcd63[_0xbea533(0x167f)]:_0xbea533(0x1a2f),'msg':_0x3dcd63[_0xbea533(0x524)]?JSON[_0xbea533(0x10bb)](_0x3dcd63['data']):_0x3dcd63[_0xbea533(0xd5f)]()});}),_0x347edb[_0x2eb2c3(0x23e0)](_0x2eb2c3(0x174b))?_0x567ce6['pause'][_0x2eb2c3(0x16b4)]({'fields':_0x2eb2c3(0x69a),'sort':_0x2eb2c3(0x19eb),'nolimit':'true'})[_0x2eb2c3(0x2945)]['then'](function(_0x3dde5){const _0x438ced=_0x2eb2c3;_0x8aa5fa[_0x438ced(0x23bb)]=_0x3dde5[_0x438ced(0x19c7)]||[];})['catch'](function(_0x320127){const _0x1da9fb=_0x2eb2c3;_0x3cee04[_0x1da9fb(0x1980)]({'title':_0x320127[_0x1da9fb(0x107b)]?_0x1da9fb(0x262a)+_0x320127[_0x1da9fb(0x107b)]+'\x20-\x20'+_0x320127['statusText']:'SYSTEM:GET_PAUSES','msg':_0x320127[_0x1da9fb(0x524)]?JSON[_0x1da9fb(0x10bb)](_0x320127[_0x1da9fb(0x524)]):_0x320127[_0x1da9fb(0xd5f)]()});}):_0x567ce6[_0x2eb2c3(0x1e3e)][_0x2eb2c3(0x16b4)]({'fields':'name,id','sort':'name','nolimit':_0x2eb2c3(0x1185)})[_0x2eb2c3(0x2945)][_0x2eb2c3(0x146b)](function(_0x1f09f2){const _0x3312c8=_0x2eb2c3;_0x8aa5fa[_0x3312c8(0x23bb)]=_0x1f09f2[_0x3312c8(0x19c7)]||[];})['then'](function(){const _0xd991cc=_0x2eb2c3;return _0x567ce6[_0xd991cc(0x1366)][_0xd991cc(0x16b4)]({'userProfileId':_0x8aa5fa[_0xd991cc(0x2321)][_0xd991cc(0x209a)],'sectionId':0x3ed})[_0xd991cc(0x2945)];})[_0x2eb2c3(0x146b)](function(_0x201b66){const _0x3d3f02=_0x2eb2c3,_0x5b21c2=_0x201b66&&_0x201b66[_0x3d3f02(0x19c7)]?_0x201b66[_0x3d3f02(0x19c7)][0x0]:null;if(!_0x5b21c2)_0x8aa5fa[_0x3d3f02(0x23bb)]=[];else{if(!_0x5b21c2[_0x3d3f02(0x11d2)])return _0x567ce6[_0x3d3f02(0xdcc)][_0x3d3f02(0x16b4)]({'sectionId':_0x5b21c2['id']})['$promise'][_0x3d3f02(0x146b)](function(_0x4dddc9){const _0x37145e=_0x3d3f02,_0x10ed9c=_0x3f65c0()['map'](_0x4dddc9[_0x37145e(0x19c7)],function(_0x26cff5){const _0x8baaca=_0x37145e;return _0x3f65c0()[_0x8baaca(0xc84)](_0x8aa5fa[_0x8baaca(0x23bb)],{'id':_0x26cff5['resourceId']});});_0x8aa5fa[_0x37145e(0x23bb)][_0x37145e(0x1df5)](function(_0x4b52b9){const _0x2558dd=_0x37145e;!_0x3f65c0()['some'](_0x10ed9c,['id',_0x4b52b9['id']])&&(_0x4b52b9[_0x2558dd(0x8ff)]=![]),_0x10ed9c['push'](_0x4b52b9);}),_0x8aa5fa[_0x37145e(0x23bb)]=_0x10ed9c;});}})['catch'](function(_0x54abd0){const _0x82f918=_0x2eb2c3;_0x3cee04['error']({'title':_0x54abd0[_0x82f918(0x107b)]?_0x82f918(0x262a)+_0x54abd0[_0x82f918(0x107b)]+_0x82f918(0x1315)+_0x54abd0[_0x82f918(0x167f)]:_0x82f918(0x176),'msg':_0x54abd0['data']?JSON['stringify'](_0x54abd0[_0x82f918(0x524)]):_0x54abd0[_0x82f918(0xd5f)]()});});function _0x31bb8f(){const _0x28b390=_0x2eb2c3;if(_0x347edb[_0x28b390(0x23e0)](_0x28b390(0x174b)))_0x44c891['go'](_0x28b390(0xfb7),{});else return _0x567ce6[_0x28b390(0x1366)][_0x28b390(0x16b4)]({'userProfileId':_0x347edb[_0x28b390(0xb12)]()[_0x28b390(0x209a)],'sectionId':0x262})['$promise'][_0x28b390(0x146b)](function(_0x53d10e){const _0x14a615=_0x28b390,_0x53513b=_0x53d10e&&_0x53d10e[_0x14a615(0x19c7)]?_0x53d10e[_0x14a615(0x19c7)][0x0]:null;_0x53513b&&_0x53513b[_0x14a615(0x193e)]?_0x44c891['go'](_0x14a615(0xfb7),{}):_0x3cee04[_0x14a615(0x271e)]({'title':_0x7428e2[_0x14a615(0xde)](_0x14a615(0xb27)),'msg':_0x7428e2[_0x14a615(0xde)](_0x14a615(0x174a))});})['catch'](function(_0x18247e){const _0x4b65c6=_0x28b390;_0x3cee04[_0x4b65c6(0x1980)]({'title':_0x18247e[_0x4b65c6(0x107b)]?'API:'+_0x18247e[_0x4b65c6(0x107b)]+'\x20-\x20'+_0x18247e[_0x4b65c6(0x167f)]:_0x4b65c6(0x698),'msg':_0x18247e[_0x4b65c6(0x107b)]?JSON['stringify'](_0x18247e[_0x4b65c6(0x524)]):_0x18247e[_0x4b65c6(0xd5f)]()});});}function _0x9dfd1c(_0x527760,_0x312ab2){const _0xc15879=_0x2eb2c3;_0x1b0694[_0xc15879(0x2615)]({'controller':'MailAccountagentaddController','controllerAs':'vm','templateUrl':_0x118033,'parent':angular[_0xc15879(0x1853)](_0x350d67[_0xc15879(0x2586)]),'targetEvent':_0x312ab2,'clickOutsideToClose':!![],'locals':{'mailAccount':_0x527760,'mailAccounts':_0x8aa5fa[_0xc15879(0x1593)]?_0x8aa5fa[_0xc15879(0x1593)]['rows']:[],'crudPermissions':_0x8aa5fa[_0xc15879(0x2514)],'realtime':![]}});}function _0x180df9(){const _0x32f3dc=_0x2eb2c3;_0x44c891['go']('app.mail.mailAccounts',{},{'reload':_0x32f3dc(0x1de6)});}function _0x38e932(){const _0x175945=_0x2eb2c3;_0x567ce6['mailAccount']['update']({'id':_0x8aa5fa['mailAccount']['id']},_0x8aa5fa[_0x175945(0x67d)])[_0x175945(0x2945)][_0x175945(0x146b)](function(){const _0xfd9b3b=_0x175945;_0x3cee04[_0xfd9b3b(0x1c75)]({'title':_0xfd9b3b(0x11e7),'msg':_0x8aa5fa[_0xfd9b3b(0x67d)]['name']?_0x8aa5fa['mailAccount'][_0xfd9b3b(0x19eb)]+_0xfd9b3b(0x6b0):''});})[_0x175945(0x129e)](function(_0x486cea){const _0x499549=_0x175945;_0x3cee04[_0x499549(0x1980)]({'title':_0x486cea[_0x499549(0x107b)]?_0x499549(0x262a)+_0x486cea['status']+_0x499549(0x1315)+_0x486cea[_0x499549(0x167f)]:_0x499549(0x12eb),'msg':_0x486cea[_0x499549(0x524)]?JSON[_0x499549(0x10bb)](_0x486cea[_0x499549(0x524)]):_0x486cea[_0x499549(0xd5f)]()});});}}const _0xa6c3ae=_0x5b5f48;;const _0x1a344f=_0x4acfac['p']+_0x313a4d(0x1970);;_0x16dc5b['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),'$translate',_0x313a4d(0x1593),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x16dc5b(_0x33c4fe,_0x56a041,_0x72ddf9,_0x3d938e,_0x3384e5,_0x2dfb16,_0x4822bb,_0x27ab57,_0x1040b0,_0x5a50b5,_0x1e81c3,_0x1848cb,_0x5b2967,_0x52c241,_0x481b69,_0x2ee4fb,_0x383927){const _0x23f336=_0x313a4d,_0x53fa6e=this;_0x53fa6e[_0x23f336(0x2690)]=_0x2ee4fb,_0x53fa6e[_0x23f336(0x15b9)]=_0x383927,_0x53fa6e[_0x23f336(0x2321)]=_0x481b69[_0x23f336(0xb12)](),_0x53fa6e[_0x23f336(0x1593)]=_0x1040b0||{'count':0x0,'rows':[]},_0x53fa6e['userProfile']=_0x5a50b5,_0x53fa6e[_0x23f336(0x1366)]=_0x1e81c3&&_0x1e81c3[_0x23f336(0x51c)]==0x1?_0x1e81c3[_0x23f336(0x19c7)][0x0]:null,_0x53fa6e['crudPermissions']=_0x481b69[_0x23f336(0xe60)](_0x53fa6e[_0x23f336(0x1366)]?_0x53fa6e[_0x23f336(0x1366)]['crudPermissions']:null),_0x53fa6e[_0x23f336(0x768)]=_0x23f336(0x1593),_0x53fa6e['listOrder']='',_0x53fa6e[_0x23f336(0x214f)]=null,_0x53fa6e[_0x23f336(0x290d)]=[],_0x53fa6e[_0x23f336(0x1a56)]={'fields':_0x23f336(0x11b8),'sort':'-updatedAt','limit':0xa,'page':0x1},_0x53fa6e[_0x23f336(0x1043)]=_0x3f65c0()[_0x23f336(0x194)]([{'option':_0x23f336(0xf21),'value':_0x23f336(0x203c)},{'option':_0x23f336(0x2704),'value':_0x23f336(0x7f1)},{'option':_0x23f336(0x19dc),'value':_0x23f336(0x1939)},{'option':_0x23f336(0x126b),'value':'\x27yahoo\x27'},{'option':'Outlook365','value':_0x23f336(0x2543)}],function(_0x1d0cf2){const _0x1c5eb4=_0x23f336;return _0x3f65c0()[_0x1c5eb4(0x5f4)](_0x1d0cf2['value'],new RegExp('\x27','g'),'');}),_0x53fa6e[_0x23f336(0xe70)]=_0x3f65c0()['keyBy']([{'option':_0x23f336(0xf21),'value':_0x23f336(0x203c)},{'option':_0x23f336(0x2704),'value':_0x23f336(0x7f1)},{'option':_0x23f336(0x19dc),'value':_0x23f336(0x1939)},{'option':_0x23f336(0x126b),'value':_0x23f336(0x145f)},{'option':'Outlook365','value':'\x27outlook365\x27'}],function(_0xe5499d){const _0x205c0f=_0x23f336;return _0x3f65c0()['replace'](_0xe5499d[_0x205c0f(0x175d)],new RegExp('\x27','g'),'');}),_0x53fa6e['arraySmtp.service']=_0x3f65c0()[_0x23f336(0x194)]([{'option':'Custom','value':'null'},{'option':_0x23f336(0x2704),'value':_0x23f336(0x7f1)},{'option':_0x23f336(0x19dc),'value':_0x23f336(0x1939)},{'option':'Yahoo','value':_0x23f336(0x145f)},{'option':_0x23f336(0x1fa8),'value':_0x23f336(0x2543)}],function(_0x11ca2e){const _0x268422=_0x23f336;return _0x3f65c0()[_0x268422(0x5f4)](_0x11ca2e[_0x268422(0x175d)],new RegExp('\x27','g'),'');}),_0x53fa6e['editstate']=_0x352260,_0x53fa6e['verifysmtpverifysmtp']=_0x324d94,_0x53fa6e[_0x23f336(0xbce)]=_0x111bff,_0x53fa6e['gotorealtimegoto']=_0x4d00c7,_0x53fa6e[_0x23f336(0x1027)]=_0x3746fd,_0x53fa6e['deleteconfirm']=_0x1444a7,_0x53fa6e['success']=_0x4ec4b7,_0x53fa6e[_0x23f336(0x44b)]=_0x260c11,_0x53fa6e['createOrEditMailAccount']=_0x255c27,_0x53fa6e[_0x23f336(0x1d7e)]=_0x2448c9,_0x53fa6e[_0x23f336(0xfd6)]=_0x43a12b,_0x53fa6e[_0x23f336(0x732)]=_0x4c053c,_0x53fa6e[_0x23f336(0x21a8)]=_0x1acf5c,_0x53fa6e[_0x23f336(0x889)]=_0x564ee8,_0x481b69[_0x23f336(0x23e0)](_0x23f336(0x174b))?_0x1848cb[_0x23f336(0xf03)][_0x23f336(0x16b4)]({'fields':_0x23f336(0x7a7),'sort':'name'})['$promise'][_0x23f336(0x146b)](function(_0x1ee93b){const _0x59f5c2=_0x23f336;_0x53fa6e[_0x59f5c2(0x1324)]=_0x1ee93b[_0x59f5c2(0x19c7)]||[];})['catch'](function(_0x3fae65){const _0x4547e0=_0x23f336;_0x52c241[_0x4547e0(0x1980)]({'title':_0x3fae65[_0x4547e0(0x107b)]?_0x4547e0(0x262a)+_0x3fae65[_0x4547e0(0x107b)]+_0x4547e0(0x1315)+_0x3fae65[_0x4547e0(0x167f)]:'SYSTEM:GET_LISTS','msg':_0x3fae65[_0x4547e0(0x524)]?JSON[_0x4547e0(0x10bb)](_0x3fae65[_0x4547e0(0x524)]):_0x3fae65[_0x4547e0(0xd5f)]()});}):_0x1848cb['cmList'][_0x23f336(0x16b4)]({'fields':'id,name','sort':_0x23f336(0x19eb)})[_0x23f336(0x2945)][_0x23f336(0x146b)](function(_0x2ca9fc){const _0x230c38=_0x23f336;_0x53fa6e[_0x230c38(0x1324)]=_0x2ca9fc[_0x230c38(0x19c7)]||[];})[_0x23f336(0x146b)](function(){const _0x3744cb=_0x23f336;return _0x1848cb['userProfileSection'][_0x3744cb(0x16b4)]({'userProfileId':_0x53fa6e[_0x3744cb(0x2321)]['userProfileId'],'sectionId':0x12d})['$promise'];})[_0x23f336(0x146b)](function(_0x560696){const _0x1bca26=_0x23f336,_0x5550c4=_0x560696&&_0x560696[_0x1bca26(0x19c7)]?_0x560696['rows'][0x0]:null;if(!_0x5550c4){const _0x4cc382=[];let _0x62c75=null;_0x53fa6e['mailAccount']&&(_0x62c75=_0x3f65c0()[_0x1bca26(0xc84)](_0x53fa6e[_0x1bca26(0x1324)],{'id':Number(_0x53fa6e['mailAccount']['ListId'])}));for(let _0x1850d1=0x0;_0x1850d1<_0x53fa6e[_0x1bca26(0x1324)]['length'];_0x1850d1++){_0x62c75&&_0x53fa6e[_0x1bca26(0x1324)][_0x1850d1]['id']===_0x62c75['id']&&(_0x53fa6e[_0x1bca26(0x1324)][_0x1850d1][_0x1bca26(0x8ff)]=![],_0x4cc382[_0x1bca26(0x1f47)](_0x53fa6e[_0x1bca26(0x1324)][_0x1850d1]));}_0x53fa6e['lists']=_0x4cc382;}else{if(!_0x5550c4[_0x1bca26(0x11d2)])return _0x1848cb[_0x1bca26(0xdcc)]['get']({'sectionId':_0x5550c4['id']})['$promise'][_0x1bca26(0x146b)](function(_0xb41321){const _0x3cc261=_0x1bca26,_0x250910=_0x3f65c0()['map'](_0xb41321['rows'],function(_0x294b9d){const _0x2d4b1=a0_0x3bb9;return _0x3f65c0()[_0x2d4b1(0xc84)](_0x53fa6e['lists'],{'id':_0x294b9d[_0x2d4b1(0x18b8)]});});let _0x236db1=null;_0x53fa6e[_0x3cc261(0x67d)]&&(_0x236db1=_0x3f65c0()[_0x3cc261(0xc84)](_0x53fa6e[_0x3cc261(0x1324)],{'id':Number(_0x53fa6e['mailAccount']['ListId'])}));if(_0x236db1&&!_0x3f65c0()['some'](_0x250910,['id',_0x236db1['id']])){const _0xa72ac=_0x3f65c0()[_0x3cc261(0xc84)](_0x53fa6e[_0x3cc261(0x1324)],{'id':_0x236db1['id']});_0xa72ac[_0x3cc261(0x8ff)]=![],_0x250910[_0x3cc261(0x1f47)](_0xa72ac);}_0x53fa6e[_0x3cc261(0x1324)]=_0x250910;});}})['catch'](function(_0x1ee16c){const _0x1ef878=_0x23f336;_0x52c241[_0x1ef878(0x1980)]({'title':_0x1ee16c[_0x1ef878(0x107b)]?_0x1ef878(0x262a)+_0x1ee16c[_0x1ef878(0x107b)]+_0x1ef878(0x1315)+_0x1ee16c[_0x1ef878(0x167f)]:_0x1ef878(0x1a2f),'msg':_0x1ee16c[_0x1ef878(0x524)]?JSON[_0x1ef878(0x10bb)](_0x1ee16c[_0x1ef878(0x524)]):_0x1ee16c[_0x1ef878(0xd5f)]()});});function _0x352260(_0x4898b6){const _0x2aed82=_0x23f336;_0x72ddf9['go'](_0x2aed82(0x2375),{'id':_0x4898b6['id'],'mailAccount':_0x4898b6,'crudPermissions':_0x53fa6e[_0x2aed82(0x2514)]});}function _0x324d94(_0x5447ec){const _0x5aaa24=_0x23f336;if(_0x5447ec&&_0x5447ec['id'])return _0x1848cb[_0x5aaa24(0x67d)][_0x5aaa24(0x218e)]({'id':_0x5447ec['id']})[_0x5aaa24(0x2945)][_0x5aaa24(0x146b)](function(){const _0x3ebc03=_0x5aaa24;_0x52c241[_0x3ebc03(0x1c75)]({'title':_0x3ebc03(0x13a1),'msg':_0x3ebc03(0x1893)});})['catch'](function(_0x30299a){const _0x3e499c=_0x5aaa24;_0x52c241[_0x3e499c(0x1980)]({'title':_0x3e499c(0x13a1),'msg':_0x30299a[_0x3e499c(0x524)]?JSON[_0x3e499c(0x10bb)](_0x30299a[_0x3e499c(0x524)]):_0x30299a['toString']()});});else _0x52c241[_0x5aaa24(0x1980)]({'title':_0x5aaa24(0x13a1),'msg':_0x5aaa24(0x1077)});}function _0x111bff(_0x16af09){const _0x39e061=_0x23f336;_0x72ddf9['go'](_0x39e061(0x2375),{'id':_0x16af09['id'],'tab':0x7});}function _0x4d00c7(){const _0xc46a74=_0x23f336;if(_0x481b69[_0xc46a74(0x23e0)](_0xc46a74(0x174b)))_0x72ddf9['go'](_0xc46a74(0xfb7),{});else return _0x1848cb[_0xc46a74(0x1366)]['get']({'userProfileId':_0x481b69[_0xc46a74(0xb12)]()['userProfileId'],'sectionId':0x262})[_0xc46a74(0x2945)][_0xc46a74(0x146b)](function(_0x5a3985){const _0x206c56=_0xc46a74,_0x3ab5cf=_0x5a3985&&_0x5a3985[_0x206c56(0x19c7)]?_0x5a3985['rows'][0x0]:null;_0x3ab5cf&&_0x3ab5cf[_0x206c56(0x193e)]?_0x72ddf9['go'](_0x206c56(0xfb7),{}):_0x52c241[_0x206c56(0x271e)]({'title':_0x27ab57[_0x206c56(0xde)](_0x206c56(0xb27)),'msg':_0x27ab57[_0x206c56(0xde)](_0x206c56(0x174a))});})[_0xc46a74(0x129e)](function(_0xb23e89){const _0x86e8f5=_0xc46a74;_0x52c241[_0x86e8f5(0x1980)]({'title':_0xb23e89[_0x86e8f5(0x107b)]?_0x86e8f5(0x262a)+_0xb23e89['status']+_0x86e8f5(0x1315)+_0xb23e89[_0x86e8f5(0x167f)]:_0x86e8f5(0x698),'msg':_0xb23e89[_0x86e8f5(0x107b)]?JSON[_0x86e8f5(0x10bb)](_0xb23e89[_0x86e8f5(0x524)]):_0xb23e89[_0x86e8f5(0xd5f)]()});});}function _0x3746fd(_0x4aa0e4,_0x4ad51a){const _0x6f364f=_0x23f336;_0x3384e5[_0x6f364f(0x2615)]({'controller':_0x6f364f(0xcd4),'controllerAs':'vm','templateUrl':_0x118033,'parent':angular[_0x6f364f(0x1853)](_0x2dfb16['body']),'targetEvent':_0x4ad51a,'clickOutsideToClose':!![],'locals':{'mailAccount':_0x4aa0e4,'mailAccounts':_0x53fa6e[_0x6f364f(0x1593)]?_0x53fa6e['mailAccounts'][_0x6f364f(0x19c7)]:[],'crudPermissions':_0x53fa6e[_0x6f364f(0x2514)],'realtime':![]}});}function _0x1444a7(_0x4a2e96,_0x374fd7){const _0x388455=_0x23f336,_0x2cc084=_0x3384e5[_0x388455(0x1e8a)]()[_0x388455(0x1189)](_0x388455(0xdb2)+_0x3f65c0()['startCase'](_0x388455(0x67d))+'?')[_0x388455(0x1cbe)](_0x388455(0x16d3)+(_0x4a2e96['name']||_0x388455(0x67d))+_0x388455(0x252f)+'\x20will\x20be\x20deleted.')['ariaLabel'](_0x388455(0x24d0))[_0x388455(0x1f27)](_0x374fd7)['ok']('OK')['cancel']('CANCEL');_0x3384e5['show'](_0x2cc084)['then'](function(){_0x2448c9(_0x4a2e96);},function(){const _0x1c8213=_0x388455;console[_0x1c8213(0x1a74)](_0x1c8213(0x39a));});}let _0x244919=!![],_0x5b980d=0x1;_0x33c4fe[_0x23f336(0x21e8)](_0x23f336(0x2669),function(_0x41b9f3,_0x3bbb79){const _0x267bd8=_0x23f336;_0x244919?_0x4822bb(function(){_0x244919=![];}):(!_0x3bbb79&&(_0x5b980d=_0x53fa6e[_0x267bd8(0x1a56)][_0x267bd8(0x844)]),_0x41b9f3!==_0x3bbb79&&(_0x53fa6e[_0x267bd8(0x1a56)][_0x267bd8(0x844)]=0x1),!_0x41b9f3&&(_0x53fa6e[_0x267bd8(0x1a56)][_0x267bd8(0x844)]=_0x5b980d),_0x53fa6e[_0x267bd8(0x44b)]());});function _0x4ec4b7(_0x55fbfe){_0x53fa6e['mailAccounts']=_0x55fbfe||{'count':0x0,'rows':[]};}function _0x260c11(){const _0x3ebeb7=_0x23f336;_0x53fa6e[_0x3ebeb7(0x1a56)]['offset']=(_0x53fa6e['query'][_0x3ebeb7(0x844)]-0x1)*_0x53fa6e[_0x3ebeb7(0x1a56)][_0x3ebeb7(0x221e)],_0x481b69[_0x3ebeb7(0x23e0)](_0x3ebeb7(0x174b))?_0x53fa6e['promise']=_0x1848cb[_0x3ebeb7(0x67d)][_0x3ebeb7(0x16b4)](_0x53fa6e[_0x3ebeb7(0x1a56)],_0x4ec4b7)[_0x3ebeb7(0x2945)]:(_0x53fa6e[_0x3ebeb7(0x1a56)]['id']=_0x53fa6e[_0x3ebeb7(0x26b6)]['id'],_0x53fa6e[_0x3ebeb7(0x1a56)][_0x3ebeb7(0x2146)]='MailAccounts',_0x53fa6e[_0x3ebeb7(0xb9c)]=_0x1848cb[_0x3ebeb7(0x26b6)][_0x3ebeb7(0x158f)](_0x53fa6e[_0x3ebeb7(0x1a56)],_0x4ec4b7)[_0x3ebeb7(0x2945)]);}function _0x255c27(_0x28ac3b,_0x52f514){const _0xc749b3=_0x23f336;_0x3384e5[_0xc749b3(0x2615)]({'controller':_0xc749b3(0x39e),'controllerAs':'vm','templateUrl':_0x1a344f,'parent':angular['element'](_0x2dfb16[_0xc749b3(0x2586)]),'targetEvent':_0x28ac3b,'clickOutsideToClose':!![],'locals':{'mailAccount':_0x52f514,'mailAccounts':_0x53fa6e[_0xc749b3(0x1593)][_0xc749b3(0x19c7)],'license':_0x53fa6e['license'],'setting':_0x53fa6e[_0xc749b3(0x15b9)],'crudPermissions':_0x53fa6e[_0xc749b3(0x2514)]}});}function _0x2448c9(_0xd8a557){const _0x4950ab=_0x23f336;if(_0xd8a557[_0x4950ab(0x243a)])return _0x52c241[_0x4950ab(0x1980)]({'title':_0x4950ab(0x1084),'msg':_0x4950ab(0x37b)});_0x1848cb[_0x4950ab(0x67d)][_0x4950ab(0x1fac)]({'id':_0xd8a557['id']})[_0x4950ab(0x2945)][_0x4950ab(0x146b)](function(){const _0x8e507b=_0x4950ab;_0x3f65c0()[_0x8e507b(0x2640)](_0x53fa6e['mailAccounts']['rows'],{'id':_0xd8a557['id']}),_0x53fa6e[_0x8e507b(0x1593)][_0x8e507b(0x51c)]-=0x1,!_0x53fa6e[_0x8e507b(0x1593)][_0x8e507b(0x19c7)][_0x8e507b(0x402)]&&_0x53fa6e[_0x8e507b(0x44b)](),_0x52c241[_0x8e507b(0x1c75)]({'title':_0x3f65c0()['startCase']('MailAccount')+'\x20deleted!','msg':_0xd8a557[_0x8e507b(0x19eb)]?_0xd8a557[_0x8e507b(0x19eb)]+'\x20has\x20been\x20deleted!':''});})[_0x4950ab(0x129e)](function(_0x292e4e){const _0x1a373f=_0x4950ab;if(_0x292e4e['data']&&_0x292e4e[_0x1a373f(0x524)][_0x1a373f(0xcef)]&&_0x292e4e[_0x1a373f(0x524)][_0x1a373f(0xcef)][_0x1a373f(0x402)]){_0x53fa6e['errors']=_0x292e4e[_0x1a373f(0x524)][_0x1a373f(0xcef)]||[{'message':_0x292e4e[_0x1a373f(0xd5f)](),'type':_0x1a373f(0x1342)}];for(let _0xa03d3d=0x0;_0xa03d3d<_0x292e4e[_0x1a373f(0x524)]['errors'][_0x1a373f(0x402)];_0xa03d3d++){_0x52c241[_0x1a373f(0x1980)]({'title':_0x292e4e['data'][_0x1a373f(0xcef)][_0xa03d3d][_0x1a373f(0x1142)],'msg':_0x292e4e['data']['errors'][_0xa03d3d]['message']});}}else _0x52c241[_0x1a373f(0x1980)]({'title':_0x292e4e[_0x1a373f(0x107b)]?_0x1a373f(0x262a)+_0x292e4e[_0x1a373f(0x107b)]+_0x1a373f(0x1315)+_0x292e4e[_0x1a373f(0x167f)]:_0x1a373f(0x1342),'msg':_0x292e4e[_0x1a373f(0x524)]?JSON['stringify'](_0x292e4e[_0x1a373f(0x524)][_0x1a373f(0x7fd)]):_0x292e4e[_0x1a373f(0x7fd)]||_0x292e4e[_0x1a373f(0xd5f)]()});});}function _0x43a12b(){const _0x44f2b5=_0x23f336,_0x3a07b3=angular['copy'](_0x53fa6e['selectedMailAccounts']);return _0x53fa6e[_0x44f2b5(0x290d)]=[],_0x3a07b3;}function _0x4c053c(_0x4c8b64){const _0x3fac64=_0x23f336,_0x538203=_0x3384e5[_0x3fac64(0x1e8a)]()[_0x3fac64(0x1189)](_0x3fac64(0x1498))[_0x3fac64(0x1cbe)](_0x3fac64(0x16d3)+_0x53fa6e['selectedMailAccounts'][_0x3fac64(0x402)]+'\x20selected'+_0x3fac64(0xe01))[_0x3fac64(0x4bd)](_0x3fac64(0x205c))['targetEvent'](_0x4c8b64)['ok']('OK')['cancel'](_0x3fac64(0x39a));_0x3384e5['show'](_0x538203)[_0x3fac64(0x146b)](function(){const _0x5c0dbc=_0x3fac64;_0x53fa6e[_0x5c0dbc(0x290d)][_0x5c0dbc(0x1df5)](function(_0x272529){_0x2448c9(_0x272529);}),_0x53fa6e[_0x5c0dbc(0x290d)]=[];});}function _0x1acf5c(){const _0x236c42=_0x23f336;_0x53fa6e[_0x236c42(0x290d)]=[];}function _0x564ee8(){const _0x1743f6=_0x23f336;_0x53fa6e[_0x1743f6(0x290d)]=_0x53fa6e[_0x1743f6(0x1593)][_0x1743f6(0x19c7)];}}const _0x1d303d=_0x16dc5b;;_0x10928a[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x2318),_0x313a4d(0x101c),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),'setting',_0x313a4d(0x2514)];function _0x10928a(_0x4a225b,_0x5520a9,_0x36a67f,_0x29bd9b,_0x3bc414,_0x219e55,_0x33525c,_0x5d246a,_0x5781fa,_0x4ad358,_0x5a641f,_0x260f7d,_0x5d2d8e,_0xa5ab29){const _0x1f0622=_0x313a4d,_0x2ebcfe=this;_0x2ebcfe['currentUser']=_0x5a641f[_0x1f0622(0xb12)](),_0x2ebcfe[_0x1f0622(0xcef)]=[],_0x2ebcfe['setting']=_0x5d2d8e,_0x2ebcfe[_0x1f0622(0x2690)]=_0x260f7d,_0x2ebcfe[_0x1f0622(0x2514)]=_0xa5ab29,_0x2ebcfe[_0x1f0622(0x855)]={},_0x2ebcfe[_0x1f0622(0x2251)]=_0x2ebcfe[_0x1f0622(0x15b9)]&&_0x2ebcfe[_0x1f0622(0x15b9)][_0x1f0622(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x2ebcfe['title']='MAIL.EDIT_MAILQUEUE',_0x2ebcfe[_0x1f0622(0x101c)]=angular[_0x1f0622(0x235a)](_0x5781fa),_0x2ebcfe[_0x1f0622(0x2318)]=_0x5d246a,_0x2ebcfe['newMailQueue']=![];!_0x2ebcfe[_0x1f0622(0x101c)]&&(_0x2ebcfe[_0x1f0622(0x101c)]={'strategy':_0x1f0622(0x1984),'timeout':0xa},_0x2ebcfe[_0x1f0622(0x1189)]=_0x1f0622(0x4eb),_0x2ebcfe[_0x1f0622(0x128e)]=!![]);_0x2ebcfe[_0x1f0622(0x1981)]=_0x4b19a3,_0x2ebcfe[_0x1f0622(0x160c)]=_0x344350,_0x2ebcfe[_0x1f0622(0x6fb)]=_0x1d4da4,_0x2ebcfe['getDateFromString']=_0x240792,_0x2ebcfe[_0x1f0622(0x13f3)]=_0xd2eceb;function _0x4b19a3(){const _0x1e3321=_0x1f0622;_0x2ebcfe[_0x1e3321(0xcef)]=[],_0x4ad358[_0x1e3321(0x101c)][_0x1e3321(0x1e3)](_0x2ebcfe[_0x1e3321(0x101c)])[_0x1e3321(0x2945)][_0x1e3321(0x146b)](function(_0x3f3ad8){const _0x53b582=_0x1e3321;_0x2ebcfe[_0x53b582(0x2318)][_0x53b582(0xb3d)](_0x3f3ad8['toJSON']()),_0x33525c[_0x53b582(0x1c75)]({'title':_0x53b582(0x1b3d),'msg':_0x2ebcfe[_0x53b582(0x101c)][_0x53b582(0x19eb)]?_0x2ebcfe[_0x53b582(0x101c)][_0x53b582(0x19eb)]+'\x20has\x20been\x20created!':''}),_0xd2eceb(_0x3f3ad8);})[_0x1e3321(0x129e)](function(_0x61ed38){const _0x2df383=_0x1e3321;if(_0x61ed38[_0x2df383(0x524)]&&_0x61ed38[_0x2df383(0x524)]['errors']&&_0x61ed38[_0x2df383(0x524)][_0x2df383(0xcef)][_0x2df383(0x402)]){_0x2ebcfe['errors']=_0x61ed38[_0x2df383(0x524)]['errors']||[{'message':_0x61ed38[_0x2df383(0xd5f)](),'type':_0x2df383(0x1409)}];for(let _0x4a4acd=0x0;_0x4a4acd<_0x61ed38[_0x2df383(0x524)]['errors'][_0x2df383(0x402)];_0x4a4acd+=0x1){_0x33525c[_0x2df383(0x1980)]({'title':_0x61ed38[_0x2df383(0x524)][_0x2df383(0xcef)][_0x4a4acd][_0x2df383(0x1142)],'msg':_0x61ed38[_0x2df383(0x524)][_0x2df383(0xcef)][_0x4a4acd][_0x2df383(0x7fd)]});}}else _0x33525c['error']({'title':_0x61ed38[_0x2df383(0x107b)]?'API:'+_0x61ed38[_0x2df383(0x107b)]+_0x2df383(0x1315)+_0x61ed38[_0x2df383(0x167f)]:_0x2df383(0x1409),'msg':_0x61ed38[_0x2df383(0x524)]?JSON[_0x2df383(0x10bb)](_0x61ed38['data'][_0x2df383(0x7fd)]):_0x61ed38[_0x2df383(0xd5f)]()});});}function _0x344350(){const _0x2b2eff=_0x1f0622;_0x2ebcfe['errors']=[],_0x4ad358['mailQueue']['update']({'id':_0x2ebcfe[_0x2b2eff(0x101c)]['id']},_0x2ebcfe[_0x2b2eff(0x101c)])[_0x2b2eff(0x2945)][_0x2b2eff(0x146b)](function(_0x3cba17){const _0x1835b9=_0x2b2eff,_0xd51bb4=_0x3f65c0()[_0x1835b9(0xc84)](_0x2ebcfe[_0x1835b9(0x2318)],{'id':_0x3cba17['id']});_0xd51bb4&&_0x3f65c0()[_0x1835b9(0x168d)](_0xd51bb4,_0x3f65c0()[_0x1835b9(0x40e)](_0x3cba17['toJSON'](),_0x3f65c0()[_0x1835b9(0x627)](_0xd51bb4))),_0x33525c[_0x1835b9(0x1c75)]({'title':_0x1835b9(0x1417),'msg':_0x2ebcfe['mailQueue'][_0x1835b9(0x19eb)]?_0x2ebcfe['mailQueue'][_0x1835b9(0x19eb)]+_0x1835b9(0x24db):''}),_0xd2eceb(_0x3cba17);})[_0x2b2eff(0x129e)](function(_0x1d4333){const _0x49046f=_0x2b2eff;if(_0x1d4333['data']&&_0x1d4333[_0x49046f(0x524)][_0x49046f(0xcef)]&&_0x1d4333[_0x49046f(0x524)][_0x49046f(0xcef)][_0x49046f(0x402)]){_0x2ebcfe[_0x49046f(0xcef)]=_0x1d4333[_0x49046f(0x524)][_0x49046f(0xcef)]||[{'message':_0x1d4333[_0x49046f(0xd5f)](),'type':_0x49046f(0x14a5)}];for(let _0x39daeb=0x0;_0x39daeb<_0x1d4333['data']['errors'][_0x49046f(0x402)];_0x39daeb++){_0x33525c[_0x49046f(0x1980)]({'title':_0x1d4333['data'][_0x49046f(0xcef)][_0x39daeb][_0x49046f(0x1142)],'msg':_0x1d4333[_0x49046f(0x524)][_0x49046f(0xcef)][_0x39daeb][_0x49046f(0x7fd)]});}}else _0x33525c[_0x49046f(0x1980)]({'title':_0x1d4333['status']?_0x49046f(0x262a)+_0x1d4333[_0x49046f(0x107b)]+_0x49046f(0x1315)+_0x1d4333[_0x49046f(0x167f)]:_0x49046f(0x14a5),'msg':_0x1d4333['data']?JSON[_0x49046f(0x10bb)](_0x1d4333['data'][_0x49046f(0x7fd)]):_0x1d4333[_0x49046f(0xd5f)]()});});}function _0x1d4da4(_0x2c4f71){const _0x55ee74=_0x1f0622;_0x2ebcfe['errors']=[];const _0x2f0e2d=_0x29bd9b[_0x55ee74(0x1e8a)]()[_0x55ee74(0x1189)](_0x55ee74(0x1d64))[_0x55ee74(0x80f)](_0x55ee74(0xc42))[_0x55ee74(0x4bd)](_0x55ee74(0x1e33))['ok'](_0x55ee74(0x25de))[_0x55ee74(0x6c3)](_0x55ee74(0xcf0))[_0x55ee74(0x1f27)](_0x2c4f71);_0x29bd9b['show'](_0x2f0e2d)[_0x55ee74(0x146b)](function(){const _0x1f82c0=_0x55ee74;_0x4ad358['mailQueue']['delete']({'id':_0x2ebcfe[_0x1f82c0(0x101c)]['id']})[_0x1f82c0(0x2945)][_0x1f82c0(0x146b)](function(){const _0x216f16=_0x1f82c0;_0x3f65c0()[_0x216f16(0x2640)](_0x2ebcfe[_0x216f16(0x2318)],{'id':_0x2ebcfe[_0x216f16(0x101c)]['id']}),_0x33525c[_0x216f16(0x1c75)]({'title':_0x216f16(0x2727),'msg':(_0x2ebcfe[_0x216f16(0x101c)][_0x216f16(0x19eb)]||_0x216f16(0x101c))+_0x216f16(0x23e3)}),_0xd2eceb(_0x2ebcfe[_0x216f16(0x101c)]);})[_0x1f82c0(0x129e)](function(_0x2a3927){const _0x54cbc7=_0x1f82c0;if(_0x2a3927[_0x54cbc7(0x524)]&&_0x2a3927[_0x54cbc7(0x524)][_0x54cbc7(0xcef)]&&_0x2a3927[_0x54cbc7(0x524)][_0x54cbc7(0xcef)][_0x54cbc7(0x402)]){_0x2ebcfe[_0x54cbc7(0xcef)]=_0x2a3927[_0x54cbc7(0x524)][_0x54cbc7(0xcef)]||[{'message':_0x2a3927[_0x54cbc7(0xd5f)](),'type':'api.mailQueue.delete'}];for(let _0x201eb6=0x0;_0x201eb6<_0x2a3927['data'][_0x54cbc7(0xcef)]['length'];_0x201eb6++){_0x33525c[_0x54cbc7(0x1980)]({'title':_0x2a3927[_0x54cbc7(0x524)]['errors'][_0x201eb6][_0x54cbc7(0x1142)],'msg':_0x2a3927[_0x54cbc7(0x524)][_0x54cbc7(0xcef)][_0x201eb6]['message']});}}else _0x33525c[_0x54cbc7(0x1980)]({'title':_0x2a3927[_0x54cbc7(0x107b)]?'API:'+_0x2a3927['status']+_0x54cbc7(0x1315)+_0x2a3927['statusText']:_0x54cbc7(0x82c),'msg':_0x2a3927[_0x54cbc7(0x524)]?JSON['stringify'](_0x2a3927[_0x54cbc7(0x524)]['message']):_0x2a3927[_0x54cbc7(0x7fd)]||_0x2a3927['toString']()});});},function(){});}function _0x240792(_0xed0e2f){return _0xed0e2f===null?undefined:new Date(_0xed0e2f);}function _0xd2eceb(_0x5abf93){const _0x3579ca=_0x1f0622;_0x29bd9b[_0x3579ca(0x2458)](_0x5abf93);}}const _0x1b272c=_0x10928a;;_0x3069fe[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0x101c),_0x313a4d(0x2318),'realtime',_0x313a4d(0x214b),_0x313a4d(0xa87),'crudPermissions'];function _0x3069fe(_0x1af1d7,_0x49f115,_0x2a7a68,_0x3a92c9,_0x15bb6e,_0x17e850,_0x33c787,_0x297fbb,_0x5e7c80,_0xab1f1b){const _0xa7e53e=_0x313a4d,_0x21a4b5=this;_0x21a4b5['currentUser']=_0x5e7c80[_0xa7e53e(0xb12)](),_0x21a4b5[_0xa7e53e(0x101c)]=_0x15bb6e,_0x21a4b5[_0xa7e53e(0x2514)]=_0xab1f1b,_0x21a4b5[_0xa7e53e(0xfc4)]=_0x33c787,_0x21a4b5[_0xa7e53e(0x1fd6)]=[],_0x21a4b5[_0xa7e53e(0xc4e)]=[],_0x21a4b5[_0xa7e53e(0x1ecf)]=[],_0x21a4b5[_0xa7e53e(0x2e8)]=[],_0x21a4b5[_0xa7e53e(0xa65)]=[],_0x21a4b5['pendingChanges']=![],_0x21a4b5['onInit']=_0x32e24f,_0x21a4b5[_0xa7e53e(0x14c2)]=_0x17bc93,_0x21a4b5[_0xa7e53e(0x13f3)]=_0x19cc41,_0x21a4b5['dualMultiselectOptions']={'readOnly':!_0x21a4b5[_0xa7e53e(0x2514)][_0xa7e53e(0x15f4)],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0xa7e53e(0x19eb),'line1':_0xa7e53e(0x286a),'line2':[_0xa7e53e(0x19eb),'internal'],'line3':'','labelAll':_0x297fbb[_0xa7e53e(0xde)](_0xa7e53e(0x2050)),'labelSelected':_0x297fbb['instant'](_0xa7e53e(0xf73)),'transferCallback':function(){const _0x5a40f1=_0xa7e53e,_0x426f5a=_0x3f65c0()[_0x5a40f1(0x1883)](_0x21a4b5['startingSelectedItems'],_0x21a4b5[_0x5a40f1(0x1ecf)],'id');_0x21a4b5[_0x5a40f1(0x132)]=_0x3f65c0()['isEmpty'](_0x426f5a)?![]:!![];}};function _0x32e24f(){const _0x5e9013=_0xa7e53e;return _0x5e7c80[_0x5e9013(0x23e0)]('admin')?_0x1752e8()[_0x5e9013(0x129e)](function(_0xd32ccf){const _0x22c165=_0x5e9013;_0x2a7a68['error']({'title':_0xd32ccf[_0x22c165(0x107b)]?'API:'+_0xd32ccf[_0x22c165(0x107b)]+_0x22c165(0x1315)+_0xd32ccf['statusText']:_0x22c165(0x557),'msg':_0xd32ccf[_0x22c165(0x107b)]?JSON[_0x22c165(0x10bb)](_0xd32ccf[_0x22c165(0x524)]):_0xd32ccf['toString']()});}):_0x404b5d()[_0x5e9013(0x146b)](function(_0x2f2b8a){return _0x21a4b5['section']=_0x2f2b8a,_0x1752e8();})[_0x5e9013(0x129e)](function(_0xab8a45){const _0x4a7ea9=_0x5e9013;_0x2a7a68[_0x4a7ea9(0x1980)]({'title':_0xab8a45[_0x4a7ea9(0x107b)]?_0x4a7ea9(0x262a)+_0xab8a45[_0x4a7ea9(0x107b)]+'\x20-\x20'+_0xab8a45['statusText']:_0x4a7ea9(0x557),'msg':_0xab8a45[_0x4a7ea9(0x107b)]?JSON[_0x4a7ea9(0x10bb)](_0xab8a45[_0x4a7ea9(0x524)]):_0xab8a45[_0x4a7ea9(0xd5f)]()});});}function _0x404b5d(){return _0x49f115(function(_0x326e99,_0x1141a4){const _0x43e195=a0_0x3bb9;_0x3a92c9[_0x43e195(0x1366)][_0x43e195(0x16b4)]({'userProfileId':_0x21a4b5[_0x43e195(0x2321)][_0x43e195(0x209a)],'name':_0x43e195(0xca8)})['$promise'][_0x43e195(0x146b)](function(_0x323b95){const _0x1914e7=_0x43e195,_0x3425b2=_0x323b95&&_0x323b95[_0x1914e7(0x19c7)]?_0x323b95[_0x1914e7(0x19c7)][0x0]:null;_0x326e99(_0x3425b2);})[_0x43e195(0x129e)](function(_0x37d871){_0x1141a4(_0x37d871);});});}function _0x1752e8(){return _0x49f115(function(_0x382783,_0x13bc5d){const _0x619119=a0_0x3bb9;return _0x58e085()[_0x619119(0x146b)](function(_0x155de8){const _0x355c43=_0x619119;return _0x21a4b5[_0x355c43(0x1fd6)]=_0x155de8['rows']?_0x155de8[_0x355c43(0x19c7)]:[],_0x5e7c80[_0x355c43(0x23e0)](_0x355c43(0x174b))?_0x155de8:_0x21a4b5[_0x355c43(0x2146)]?_0x21a4b5[_0x355c43(0x2146)]['autoAssociation']?_0x155de8:_0x11b946():null;})['then'](function(_0x4fbfbb){const _0xfff2a7=_0x619119,_0x17e956=_0x4fbfbb&&_0x4fbfbb[_0xfff2a7(0x19c7)]?_0x4fbfbb[_0xfff2a7(0x19c7)]:[];return _0x21a4b5[_0xfff2a7(0xc4e)]=_0x3f65c0()[_0xfff2a7(0x205)](_0x17e956,function(_0x4bbd5a){const _0x2aab4c=_0xfff2a7;return _0x3f65c0()[_0x2aab4c(0xc84)](_0x21a4b5[_0x2aab4c(0x1fd6)],{'id':_0x5e7c80[_0x2aab4c(0x23e0)](_0x2aab4c(0x174b))||_0x21a4b5[_0x2aab4c(0x2146)][_0x2aab4c(0x11d2)]?_0x4bbd5a['id']:_0x4bbd5a['resourceId']});}),_0x21a4b5['startingAllowedItems']=angular[_0xfff2a7(0x235a)](_0x21a4b5[_0xfff2a7(0xc4e)]),_0x21a4b5[_0xfff2a7(0x1fd6)][_0xfff2a7(0x1df5)](function(_0x1475ac){const _0x212074=_0xfff2a7,_0x2b782c=_0x3f65c0()[_0x212074(0xc84)](_0x21a4b5['allowedItems'],{'id':_0x1475ac['id']});_0x5e7c80['hasRole'](_0x212074(0x174b))?_0x1475ac[_0x212074(0x146f)]=!![]:_0x1475ac[_0x212074(0x146f)]=typeof _0x2b782c!==_0x212074(0x2274)?!![]:![];}),_0x2db89a();})[_0x619119(0x146b)](function(_0x655fae){const _0x26a735=_0x619119,_0x1e1629=_0x655fae&&_0x655fae[_0x26a735(0x19c7)]?_0x655fae[_0x26a735(0x19c7)]:[];_0x21a4b5[_0x26a735(0x1ecf)]=_0x3f65c0()[_0x26a735(0x205)](_0x1e1629,function(_0x53a72b){const _0x23ac0e=_0x26a735,_0x342d24=_0x3f65c0()['find'](_0x21a4b5[_0x23ac0e(0x1fd6)],{'id':_0x53a72b['id']});return _0x342d24[_0x23ac0e(0x188d)]=_0x53a72b[_0x23ac0e(0xb8f)]?'penalty\x20'+_0x53a72b[_0x23ac0e(0xb8f)]['penalty']:'',_0x342d24['internal']=typeof _0x53a72b[_0x23ac0e(0x113f)]!==_0x23ac0e(0x2274)?'<'+_0x53a72b[_0x23ac0e(0x113f)]+'>':'',_0x342d24;}),_0x21a4b5[_0x26a735(0xa65)]=angular[_0x26a735(0x235a)](_0x21a4b5[_0x26a735(0x1ecf)]),_0x21a4b5['dualMultiselectOptions'][_0x26a735(0x1ecf)]=_0x21a4b5[_0x26a735(0x1ecf)],_0x21a4b5[_0x26a735(0x1f8a)][_0x26a735(0x1fd6)]=_0x3f65c0()[_0x26a735(0x2796)](_0x21a4b5[_0x26a735(0xc4e)],_0x21a4b5[_0x26a735(0x1f8a)][_0x26a735(0x1ecf)],'id'),_0x382783();})[_0x619119(0x129e)](function(_0x23de5a){_0x13bc5d(_0x23de5a);});});}function _0x11b946(){return _0x49f115(function(_0x3ae4de,_0x33b9d4){const _0x40a1c4=a0_0x3bb9;return _0x3a92c9['userProfileResource'][_0x40a1c4(0x16b4)]({'sectionId':_0x21a4b5[_0x40a1c4(0x2146)]['id'],'nolimit':!![]})['$promise'][_0x40a1c4(0x146b)](function(_0x5a8e35){_0x3ae4de(_0x5a8e35);})[_0x40a1c4(0x129e)](function(_0x33fae7){_0x33b9d4(_0x33fae7);});});}function _0x2db89a(){return _0x49f115(function(_0x40441a,_0x5d8a64){const _0x3dd7e5=a0_0x3bb9;return _0x3a92c9[_0x3dd7e5(0x101c)][_0x3dd7e5(0x333)]({'id':_0x21a4b5[_0x3dd7e5(0x101c)]['id'],'fields':_0x3dd7e5(0x1569),'nolimit':!![],'role':_0x3dd7e5(0x1755)})['$promise'][_0x3dd7e5(0x146b)](function(_0x1b2887){_0x40441a(_0x1b2887);})['catch'](function(_0x143d98){_0x5d8a64(_0x143d98);});});}function _0x58e085(){return _0x49f115(function(_0xb51ec8,_0x3ee77a){const _0x21f191=a0_0x3bb9;return _0x3a92c9['user'][_0x21f191(0x16b4)]({'fields':'id,name,internal,fullname','nolimit':!![],'role':_0x21f191(0x1755)})['$promise'][_0x21f191(0x146b)](function(_0xbdb142){_0xb51ec8(_0xbdb142);})[_0x21f191(0x129e)](function(_0x23ed04){_0x3ee77a(_0x23ed04);});});}function _0x176a34(_0x222f1f){return _0x49f115(function(_0x1e749f,_0x4b54ad){const _0x21725f=a0_0x3bb9;_0x3f65c0()[_0x21725f(0x2635)](_0x222f1f)?_0x1e749f():_0x3a92c9[_0x21725f(0x101c)][_0x21725f(0x135e)]({'id':_0x21a4b5['mailQueue']['id'],'ids':_0x3f65c0()[_0x21725f(0x205)](_0x222f1f,'id')})[_0x21725f(0x2945)]['then'](function(){_0x1e749f();})[_0x21725f(0x129e)](function(_0x497653){_0x4b54ad(_0x497653);});});}function _0x52a70d(_0x219f9d){return _0x49f115(function(_0x2dd6d4,_0x4331cf){const _0x781f92=a0_0x3bb9;_0x3f65c0()['isEmpty'](_0x219f9d)?_0x2dd6d4():_0x3a92c9[_0x781f92(0x101c)][_0x781f92(0x1f53)]({'id':_0x21a4b5[_0x781f92(0x101c)]['id'],'ids':_0x3f65c0()[_0x781f92(0x205)](_0x219f9d,'id')})['$promise'][_0x781f92(0x146b)](function(){_0x2dd6d4();})[_0x781f92(0x129e)](function(_0x2c487e){_0x4331cf(_0x2c487e);});});}function _0x17bc93(){const _0x29795b=_0xa7e53e,_0x548bee=_0x3f65c0()[_0x29795b(0x2796)](_0x21a4b5['startingSelectedItems'],_0x21a4b5[_0x29795b(0x1ecf)],'id'),_0x3dfaa8=_0x3f65c0()[_0x29795b(0x2796)](_0x21a4b5[_0x29795b(0x1ecf)],_0x21a4b5[_0x29795b(0xa65)],'id');return _0x52a70d(_0x548bee)[_0x29795b(0x146b)](function(){return _0x176a34(_0x3dfaa8);})[_0x29795b(0x146b)](function(){const _0x40a7ff=_0x29795b;_0x21a4b5['pendingChanges']=![],_0x21a4b5[_0x40a7ff(0x2e8)]=angular[_0x40a7ff(0x235a)](_0x21a4b5['allowedItems']),_0x21a4b5[_0x40a7ff(0xa65)]=angular[_0x40a7ff(0x235a)](_0x21a4b5['selectedItems']),_0x2a7a68['success']({'title':_0x40a7ff(0x4c0),'msg':_0x40a7ff(0x26be)});})[_0x29795b(0x129e)](function(_0x56d8f3){const _0x5862b7=_0x29795b;_0x2a7a68['error']({'title':_0x56d8f3[_0x5862b7(0x107b)]?_0x5862b7(0x262a)+_0x56d8f3[_0x5862b7(0x107b)]+_0x5862b7(0x1315)+_0x56d8f3['statusText']:_0x5862b7(0xa56),'msg':_0x56d8f3[_0x5862b7(0x107b)]?JSON['stringify'](_0x56d8f3[_0x5862b7(0x524)]):_0x56d8f3[_0x5862b7(0xd5f)]()});});}function _0x19cc41(){const _0x3dc0e5=_0xa7e53e;_0x1af1d7[_0x3dc0e5(0x2458)]();}}const _0x52d7d5=_0x3069fe;;_0x2b895c[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q','toasty',_0x313a4d(0x247f),_0x313a4d(0x101c),'$translate','Auth','crudPermissions'];function _0x2b895c(_0xfb44c6,_0x14fb28,_0x237eb7,_0x32a0b9,_0x475590,_0x54e1d4,_0x4c5933,_0x35ff13){const _0x2621ef=_0x313a4d,_0xc504e=this;_0xc504e[_0x2621ef(0x2321)]=_0x4c5933[_0x2621ef(0xb12)](),_0xc504e[_0x2621ef(0x101c)]=_0x475590,_0xc504e['crudPermissions']=_0x35ff13,_0xc504e[_0x2621ef(0x1fd6)]=[],_0xc504e[_0x2621ef(0xc4e)]=[],_0xc504e[_0x2621ef(0x1ecf)]=[],_0xc504e[_0x2621ef(0x2e8)]=[],_0xc504e['startingSelectedItems']=[],_0xc504e[_0x2621ef(0x132)]=![],_0xc504e[_0x2621ef(0x1f8a)]={'readOnly':!_0xc504e['crudPermissions'][_0x2621ef(0x15f4)],'allowedItems':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x2621ef(0x19eb),'line1':_0x2621ef(0x19eb),'line2':'','line3':'','labelAll':_0x54e1d4[_0x2621ef(0xde)]('APP.ALL_TEAMS'),'labelSelected':_0x54e1d4['instant']('APP.SELECTED_TEAMS'),'transferCallback':function(){const _0x3f2fe7=_0x2621ef,_0x7af8d5=_0x3f65c0()['xorBy'](_0xc504e[_0x3f2fe7(0xa65)],_0xc504e[_0x3f2fe7(0x1ecf)],'id');_0xc504e[_0x3f2fe7(0x132)]=_0x3f65c0()['isEmpty'](_0x7af8d5)?![]:!![];}},_0xc504e[_0x2621ef(0x3d4)]=_0x532bf2,_0xc504e[_0x2621ef(0x215f)]=_0x4238fc,_0xc504e[_0x2621ef(0x13f3)]=_0x98725e;function _0x532bf2(){const _0x53d098=_0x2621ef;return _0x4c5933['hasRole'](_0x53d098(0x174b))?_0x50b0a6()['catch'](function(_0xb401d){const _0x3f8e02=_0x53d098;_0x237eb7[_0x3f8e02(0x1980)]({'title':_0xb401d[_0x3f8e02(0x107b)]?_0x3f8e02(0x262a)+_0xb401d[_0x3f8e02(0x107b)]+_0x3f8e02(0x1315)+_0xb401d[_0x3f8e02(0x167f)]:_0x3f8e02(0x5a5),'msg':_0xb401d[_0x3f8e02(0x107b)]?JSON[_0x3f8e02(0x10bb)](_0xb401d[_0x3f8e02(0x524)]):_0xb401d['toString']()});}):_0x1f4816()[_0x53d098(0x146b)](function(_0xbe65ef){return _0xc504e['section']=_0xbe65ef,_0x50b0a6();})['catch'](function(_0x1bd87a){const _0x55ecc4=_0x53d098;_0x237eb7['error']({'title':_0x1bd87a['status']?_0x55ecc4(0x262a)+_0x1bd87a[_0x55ecc4(0x107b)]+_0x55ecc4(0x1315)+_0x1bd87a[_0x55ecc4(0x167f)]:_0x55ecc4(0x5a5),'msg':_0x1bd87a[_0x55ecc4(0x107b)]?JSON[_0x55ecc4(0x10bb)](_0x1bd87a[_0x55ecc4(0x524)]):_0x1bd87a['toString']()});});}function _0x1f4816(){return _0x14fb28(function(_0x20e6c6,_0x458723){const _0x432a6e=a0_0x3bb9;_0x32a0b9['userProfileSection'][_0x432a6e(0x16b4)]({'userProfileId':_0xc504e['currentUser'][_0x432a6e(0x209a)],'name':_0x432a6e(0x21ae)})[_0x432a6e(0x2945)][_0x432a6e(0x146b)](function(_0x2992f7){const _0x390bc1=_0x2992f7&&_0x2992f7['rows']?_0x2992f7['rows'][0x0]:null;_0x20e6c6(_0x390bc1);})[_0x432a6e(0x129e)](function(_0xac737f){_0x458723(_0xac737f);});});}function _0x50b0a6(){return _0x14fb28(function(_0xe697b1,_0x1f8fc6){const _0x127aab=a0_0x3bb9;return _0xa002d8()[_0x127aab(0x146b)](function(_0x2d93a2){const _0x16d115=_0x127aab;return _0xc504e['items']=_0x2d93a2[_0x16d115(0x19c7)]?_0x2d93a2[_0x16d115(0x19c7)]:[],_0x4c5933['hasRole'](_0x16d115(0x174b))?_0x2d93a2:_0xc504e[_0x16d115(0x2146)]?_0xc504e[_0x16d115(0x2146)]['autoAssociation']?_0x2d93a2:_0x3fc504():null;})[_0x127aab(0x146b)](function(_0x5313e1){const _0x3cee49=_0x127aab,_0x1e6756=_0x5313e1&&_0x5313e1[_0x3cee49(0x19c7)]?_0x5313e1[_0x3cee49(0x19c7)]:[];return _0xc504e[_0x3cee49(0xc4e)]=_0x3f65c0()['map'](_0x1e6756,function(_0x3d2ee5){const _0x48d72b=_0x3cee49;return _0x3f65c0()['find'](_0xc504e[_0x48d72b(0x1fd6)],{'id':_0x4c5933[_0x48d72b(0x23e0)](_0x48d72b(0x174b))||_0xc504e['section'][_0x48d72b(0x11d2)]?_0x3d2ee5['id']:_0x3d2ee5[_0x48d72b(0x18b8)]});}),_0xc504e['items']['forEach'](function(_0x13d964){const _0x32fe80=_0x3cee49,_0xdaf6ec=_0x3f65c0()[_0x32fe80(0xc84)](_0xc504e[_0x32fe80(0xc4e)],{'id':_0x13d964['id']});_0x4c5933[_0x32fe80(0x23e0)](_0x32fe80(0x174b))?_0x13d964[_0x32fe80(0x146f)]=!![]:_0x13d964['isValid']=typeof _0xdaf6ec!=='undefined'?!![]:![];}),_0x1bca71();})[_0x127aab(0x146b)](function(_0x46143c){const _0x5b66ba=_0x127aab,_0x403f40=_0x46143c&&_0x46143c['rows']?_0x46143c['rows']:[];_0xc504e[_0x5b66ba(0x1ecf)]=_0x3f65c0()[_0x5b66ba(0x205)](_0x403f40,function(_0x567cac){const _0x3261c7=_0x5b66ba;return _0x3f65c0()[_0x3261c7(0xc84)](_0xc504e['items'],{'id':_0x567cac['id']});}),_0xc504e[_0x5b66ba(0xa65)]=angular[_0x5b66ba(0x235a)](_0xc504e[_0x5b66ba(0x1ecf)]),_0xc504e['dualMultiselectOptions'][_0x5b66ba(0x1ecf)]=_0xc504e[_0x5b66ba(0x1ecf)],_0xc504e[_0x5b66ba(0x1f8a)][_0x5b66ba(0x1fd6)]=_0x3f65c0()[_0x5b66ba(0x2796)](_0xc504e['allowedItems'],_0xc504e[_0x5b66ba(0x1f8a)][_0x5b66ba(0x1ecf)],'id'),_0xe697b1();})[_0x127aab(0x129e)](function(_0x359543){_0x1f8fc6(_0x359543);});});}function _0x3fc504(){return _0x14fb28(function(_0x2ab58a,_0x2b57ef){const _0xe8aa7e=a0_0x3bb9;return _0x32a0b9[_0xe8aa7e(0xdcc)][_0xe8aa7e(0x16b4)]({'sectionId':_0xc504e[_0xe8aa7e(0x2146)]['id'],'nolimit':!![]})[_0xe8aa7e(0x2945)]['then'](function(_0x450e89){_0x2ab58a(_0x450e89);})[_0xe8aa7e(0x129e)](function(_0x1cc1ce){_0x2b57ef(_0x1cc1ce);});});}function _0x1bca71(){return _0x14fb28(function(_0x1c563a,_0x4d0ade){const _0x353755=a0_0x3bb9;return _0x32a0b9['mailQueue'][_0x353755(0x11d1)]({'id':_0xc504e[_0x353755(0x101c)]['id'],'fields':_0x353755(0x7a7),'nolimit':!![]})['$promise'][_0x353755(0x146b)](function(_0x21af1d){_0x1c563a(_0x21af1d);})['catch'](function(_0x45cd0a){_0x4d0ade(_0x45cd0a);});});}function _0xa002d8(){return _0x14fb28(function(_0xd31541,_0x589e18){const _0x158187=a0_0x3bb9;return _0x32a0b9[_0x158187(0x23f1)][_0x158187(0x16b4)]({'fields':_0x158187(0x7a7),'nolimit':!![]})['$promise'][_0x158187(0x146b)](function(_0x564879){_0xd31541(_0x564879);})['catch'](function(_0x4dc975){_0x589e18(_0x4dc975);});});}function _0x58750a(_0x3ce1cc){return _0x14fb28(function(_0x34fdd1,_0x27d00e){const _0x167086=a0_0x3bb9;_0x3f65c0()['isEmpty'](_0x3ce1cc)?_0x34fdd1():_0x32a0b9['mailQueue'][_0x167086(0x7a0)]({'id':_0xc504e[_0x167086(0x101c)]['id'],'ids':_0x3f65c0()['map'](_0x3ce1cc,'id')})['$promise'][_0x167086(0x146b)](function(){_0x34fdd1();})[_0x167086(0x129e)](function(_0x2582db){_0x27d00e(_0x2582db);});});}function _0x2e5ec2(_0x3ed6d4){return _0x14fb28(function(_0x3e5e1f,_0x4c11c4){const _0x3ed1ea=a0_0x3bb9;_0x3f65c0()[_0x3ed1ea(0x2635)](_0x3ed6d4)?_0x3e5e1f():_0x32a0b9[_0x3ed1ea(0x101c)][_0x3ed1ea(0xfa4)]({'id':_0xc504e[_0x3ed1ea(0x101c)]['id'],'ids':_0x3f65c0()[_0x3ed1ea(0x205)](_0x3ed6d4,'id')})[_0x3ed1ea(0x2945)][_0x3ed1ea(0x146b)](function(){_0x3e5e1f();})[_0x3ed1ea(0x129e)](function(_0x39c136){_0x4c11c4(_0x39c136);});});}function _0x4238fc(){const _0x2b96dd=_0x2621ef,_0x15ad81=_0x3f65c0()[_0x2b96dd(0x2796)](_0xc504e[_0x2b96dd(0xa65)],_0xc504e[_0x2b96dd(0x1ecf)],'id'),_0x58b4b0=_0x3f65c0()['differenceBy'](_0xc504e[_0x2b96dd(0x1ecf)],_0xc504e[_0x2b96dd(0xa65)],'id');return _0x2e5ec2(_0x15ad81)['then'](function(){return _0x58750a(_0x58b4b0);})[_0x2b96dd(0x146b)](function(){const _0x2cd793=_0x2b96dd;_0xc504e[_0x2cd793(0x132)]=![],_0xc504e['startingAllowedItems']=angular['copy'](_0xc504e[_0x2cd793(0xc4e)]),_0xc504e['startingSelectedItems']=angular[_0x2cd793(0x235a)](_0xc504e[_0x2cd793(0x1ecf)]),_0x237eb7['success']({'title':_0x2cd793(0x4c0),'msg':_0x2cd793(0xf08)});})[_0x2b96dd(0x129e)](function(_0x3989cf){const _0x3fea5d=_0x2b96dd;_0x237eb7[_0x3fea5d(0x1980)]({'title':_0x3989cf[_0x3fea5d(0x107b)]?_0x3fea5d(0x262a)+_0x3989cf[_0x3fea5d(0x107b)]+_0x3fea5d(0x1315)+_0x3989cf['statusText']:_0x3fea5d(0xa56),'msg':_0x3989cf['status']?JSON[_0x3fea5d(0x10bb)](_0x3989cf[_0x3fea5d(0x524)]):_0x3989cf[_0x3fea5d(0xd5f)]()});});}function _0x98725e(){const _0x15c9b5=_0x2621ef;_0xfb44c6[_0x15c9b5(0x2458)]();}}const _0x2443fb=_0x2b895c;;const _0x9b9e22=_0x4acfac['p']+'src/js/modules/main/apps/mail/views/mailQueues/edit/teamadd/teamadd.html/teamadd.html';;_0x3523ef[_0x313a4d(0x11c2)]=['$state',_0x313a4d(0xd08),'$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x214b),_0x313a4d(0x2690),'setting',_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x101c),'userProfileSection'];function _0x3523ef(_0x3e53bd,_0x51b749,_0x316f2f,_0x536a64,_0x2bd1ae,_0x5cab7b,_0x4d0104,_0x51168b,_0x3e31d4,_0x32de67,_0x444db0,_0x38c14f){const _0x50d76f=_0x313a4d,_0x1ed9a4=this;_0x1ed9a4[_0x50d76f(0x2321)]=_0x32de67[_0x50d76f(0xb12)](),_0x1ed9a4['license']=_0x5cab7b,_0x1ed9a4[_0x50d76f(0x15b9)]=_0x4d0104,_0x1ed9a4['passwordPattern']=_0x1ed9a4['setting'][_0x50d76f(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x1ed9a4[_0x50d76f(0x1002)]=_0x51b749[_0x50d76f(0x2414)]()+_0x50d76f(0xb0e)+_0x51b749['host'](),_0x1ed9a4['mailQueue']=_0x444db0||_0x3e53bd[_0x50d76f(0x16a)][_0x50d76f(0x101c)]||{},_0x1ed9a4[_0x50d76f(0x1366)]=_0x38c14f&&_0x38c14f[_0x50d76f(0x51c)]==0x1?_0x38c14f[_0x50d76f(0x19c7)][0x0]:null,_0x1ed9a4[_0x50d76f(0x2514)]=_0x32de67[_0x50d76f(0xe60)](_0x1ed9a4[_0x50d76f(0x1366)]?_0x1ed9a4['userProfileSection']['crudPermissions']:null),_0x1ed9a4[_0x50d76f(0x855)]={},_0x1ed9a4[_0x50d76f(0x1b09)]=_0x3e53bd['params']['tab']||0x0,_0x1ed9a4[_0x50d76f(0x244)]=_0x4afade,_0x1ed9a4['agentadddialog']=_0x1cfda9,_0x1ed9a4[_0x50d76f(0x861)]=_0x3e31d4[_0x50d76f(0x271e)],_0x1ed9a4['gotoMailQueues']=_0x38d040,_0x1ed9a4[_0x50d76f(0x160c)]=_0xdcbf3b;function _0x4afade(_0x486208,_0x39ddf6){const _0x16c472=_0x50d76f;_0x316f2f[_0x16c472(0x2615)]({'controller':_0x16c472(0xbc4),'controllerAs':'vm','templateUrl':_0x9b9e22,'parent':angular[_0x16c472(0x1853)](_0x536a64[_0x16c472(0x2586)]),'targetEvent':_0x39ddf6,'clickOutsideToClose':!![],'locals':{'mailQueue':_0x486208,'mailQueues':_0x1ed9a4['mailQueues']?_0x1ed9a4[_0x16c472(0x2318)][_0x16c472(0x19c7)]:[],'crudPermissions':_0x1ed9a4['crudPermissions']}});}function _0x1cfda9(_0x40ee82,_0xab29aa){const _0x345f97=_0x50d76f;_0x316f2f[_0x345f97(0x2615)]({'controller':_0x345f97(0x964),'controllerAs':'vm','templateUrl':_0xa9f5e7,'parent':angular[_0x345f97(0x1853)](_0x536a64['body']),'targetEvent':_0xab29aa,'clickOutsideToClose':!![],'locals':{'mailQueue':_0x40ee82,'mailQueues':_0x1ed9a4[_0x345f97(0x2318)]?_0x1ed9a4[_0x345f97(0x2318)]['rows']:[],'crudPermissions':_0x1ed9a4[_0x345f97(0x2514)],'realtime':![]}});}function _0x38d040(){const _0x1bb6d8=_0x50d76f;_0x3e53bd['go'](_0x1bb6d8(0x1263),{},{'reload':_0x1bb6d8(0x1263)});}function _0xdcbf3b(){const _0x490158=_0x50d76f;_0x51168b[_0x490158(0x101c)]['update']({'id':_0x1ed9a4[_0x490158(0x101c)]['id']},_0x1ed9a4[_0x490158(0x101c)])['$promise']['then'](function(){const _0x51cc67=_0x490158;_0x3e31d4[_0x51cc67(0x1c75)]({'title':_0x51cc67(0x2585),'msg':_0x1ed9a4[_0x51cc67(0x101c)][_0x51cc67(0x19eb)]?_0x1ed9a4[_0x51cc67(0x101c)][_0x51cc67(0x19eb)]+_0x51cc67(0x6b0):''});})[_0x490158(0x129e)](function(_0x5bba01){const _0x309c43=_0x490158;_0x3e31d4[_0x309c43(0x1980)]({'title':_0x5bba01['status']?_0x309c43(0x262a)+_0x5bba01['status']+'\x20-\x20'+_0x5bba01['statusText']:_0x309c43(0x1c14),'msg':_0x5bba01[_0x309c43(0x524)]?JSON[_0x309c43(0x10bb)](_0x5bba01[_0x309c43(0x524)]):_0x5bba01[_0x309c43(0xd5f)]()});});}}const _0x869119=_0x3523ef;;_0x2cd16f[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),'$state',_0x313a4d(0x15fe),'$mdDialog',_0x313a4d(0x4d8),'$timeout',_0x313a4d(0x214b),_0x313a4d(0x2318),_0x313a4d(0x26b6),'userProfileSection',_0x313a4d(0x247f),'msUtils',_0x313a4d(0x1fe4),'Auth',_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x2cd16f(_0x3323e4,_0x4904cb,_0x50d746,_0x3705e7,_0x2bbf1b,_0xe57f4,_0x1c9d34,_0xc2694,_0x8f596c,_0x53b14a,_0x5abc17,_0xf331a8,_0x36c21b,_0x507815,_0x4e547b,_0x29c179,_0x1df701){const _0x2a2832=_0x313a4d,_0x5467ac=this;_0x5467ac[_0x2a2832(0x2690)]=_0x29c179,_0x5467ac[_0x2a2832(0x15b9)]=_0x1df701,_0x5467ac['currentUser']=_0x4e547b[_0x2a2832(0xb12)](),_0x5467ac[_0x2a2832(0x2318)]=_0x8f596c||{'count':0x0,'rows':[]},_0x5467ac[_0x2a2832(0x26b6)]=_0x53b14a,_0x5467ac['userProfileSection']=_0x5abc17&&_0x5abc17[_0x2a2832(0x51c)]==0x1?_0x5abc17['rows'][0x0]:null,_0x5467ac[_0x2a2832(0x2514)]=_0x4e547b['parseCrudPermissions'](_0x5467ac[_0x2a2832(0x1366)]?_0x5467ac[_0x2a2832(0x1366)][_0x2a2832(0x2514)]:null),_0x5467ac[_0x2a2832(0x768)]=_0x2a2832(0x2318),_0x5467ac['listOrder']='',_0x5467ac['listOrderAsc']=null,_0x5467ac[_0x2a2832(0x50d)]=[],_0x5467ac['query']={'fields':_0x2a2832(0xa22),'sort':_0x2a2832(0x12f2),'channel':_0x2a2832(0x56b),'limit':0xa,'page':0x1},_0x5467ac[_0x2a2832(0x280a)]=_0x3f65c0()['keyBy']([{'option':_0x2a2832(0x6a7),'value':'\x27beepall\x27'},{'option':_0x2a2832(0xa12),'value':'\x27rrmemory\x27'}],function(_0x3b24c3){const _0x521693=_0x2a2832;return _0x3f65c0()[_0x521693(0x5f4)](_0x3b24c3[_0x521693(0x175d)],new RegExp('\x27','g'),'');}),_0x5467ac[_0x2a2832(0x23f9)]=_0x5ec38a,_0x5467ac[_0x2a2832(0x244)]=_0x4a7be5,_0x5467ac[_0x2a2832(0x1027)]=_0x53b898,_0x5467ac[_0x2a2832(0xf0a)]=_0x3091ce,_0x5467ac[_0x2a2832(0x138d)]=_0x3ec63d,_0x5467ac[_0x2a2832(0x1c75)]=_0x3492aa,_0x5467ac[_0x2a2832(0xaea)]=_0x5fbae5,_0x5467ac['createOrEditMailQueue']=_0x3577ba,_0x5467ac[_0x2a2832(0x6fb)]=_0x4f07b6,_0x5467ac[_0x2a2832(0x19e9)]=_0x361090,_0x5467ac[_0x2a2832(0x2163)]=_0x11a0b8,_0x5467ac[_0x2a2832(0x272)]=_0x85ba8c,_0x5467ac[_0x2a2832(0x1f6b)]=_0x5d7ad0;function _0x5ec38a(_0x205465){const _0xae5124=_0x2a2832;_0x50d746['go'](_0xae5124(0x15e9),{'id':_0x205465['id'],'mailQueue':_0x205465,'crudPermissions':_0x5467ac['crudPermissions']});}function _0x4a7be5(_0x4107b8,_0x2109ea){const _0x42fa49=_0x2a2832;_0x2bbf1b[_0x42fa49(0x2615)]({'controller':_0x42fa49(0xbc4),'controllerAs':'vm','templateUrl':_0x9b9e22,'parent':angular[_0x42fa49(0x1853)](_0xe57f4[_0x42fa49(0x2586)]),'targetEvent':_0x2109ea,'clickOutsideToClose':!![],'locals':{'mailQueue':_0x4107b8,'mailQueues':_0x5467ac['mailQueues']?_0x5467ac[_0x42fa49(0x2318)][_0x42fa49(0x19c7)]:[],'crudPermissions':_0x5467ac[_0x42fa49(0x2514)]}});}function _0x53b898(_0x3a8b6f,_0x513012){const _0x274b88=_0x2a2832;_0x2bbf1b[_0x274b88(0x2615)]({'controller':'MailQueueagentaddController','controllerAs':'vm','templateUrl':_0xa9f5e7,'parent':angular['element'](_0xe57f4[_0x274b88(0x2586)]),'targetEvent':_0x513012,'clickOutsideToClose':!![],'locals':{'mailQueue':_0x3a8b6f,'mailQueues':_0x5467ac[_0x274b88(0x2318)]?_0x5467ac['mailQueues'][_0x274b88(0x19c7)]:[],'crudPermissions':_0x5467ac[_0x274b88(0x2514)],'realtime':![]}});}function _0x3091ce(_0x467265,_0x24c0f5){const _0x14c222=_0x2a2832,_0x255309=_0x2bbf1b[_0x14c222(0x1e8a)]()['title'](_0x14c222(0xdb2)+_0x3f65c0()[_0x14c222(0x20d1)](_0x14c222(0x101c))+'?')[_0x14c222(0x1cbe)](_0x14c222(0x16d3)+(_0x467265[_0x14c222(0x19eb)]||_0x14c222(0x101c))+''+_0x14c222(0xe01))[_0x14c222(0x4bd)](_0x14c222(0x1ec1))[_0x14c222(0x1f27)](_0x24c0f5)['ok']('OK')[_0x14c222(0x6c3)]('CANCEL');_0x2bbf1b[_0x14c222(0x2615)](_0x255309)[_0x14c222(0x146b)](function(){_0x4f07b6(_0x467265);},function(){const _0x1636ee=_0x14c222;console[_0x1636ee(0x1a74)]('CANCEL');});}function _0x3ec63d(){const _0x19aac4=_0x2a2832;if(_0x4e547b['hasRole']('admin'))_0x50d746['go'](_0x19aac4(0x104),{});else return _0xf331a8['userProfileSection']['get']({'userProfileId':_0x4e547b[_0x19aac4(0xb12)]()[_0x19aac4(0x209a)],'sectionId':0x262})[_0x19aac4(0x2945)]['then'](function(_0x18c8b4){const _0x3a7d12=_0x19aac4,_0x488897=_0x18c8b4&&_0x18c8b4[_0x3a7d12(0x19c7)]?_0x18c8b4[_0x3a7d12(0x19c7)][0x0]:null;_0x488897&&_0x488897[_0x3a7d12(0x193e)]?_0x50d746['go']('app.mail.realtime.queues',{}):_0x507815[_0x3a7d12(0x271e)]({'title':_0xc2694[_0x3a7d12(0xde)](_0x3a7d12(0xb27)),'msg':_0xc2694[_0x3a7d12(0xde)](_0x3a7d12(0x174a))});})['catch'](function(_0x33d49a){const _0x90f3c4=_0x19aac4;_0x507815['error']({'title':_0x33d49a[_0x90f3c4(0x107b)]?'API:'+_0x33d49a[_0x90f3c4(0x107b)]+'\x20-\x20'+_0x33d49a['statusText']:_0x90f3c4(0x698),'msg':_0x33d49a['status']?JSON[_0x90f3c4(0x10bb)](_0x33d49a[_0x90f3c4(0x524)]):_0x33d49a[_0x90f3c4(0xd5f)]()});});}let _0x2f58c9=!![],_0x411877=0x1;_0x3323e4[_0x2a2832(0x21e8)](_0x2a2832(0x2669),function(_0x36ea6a,_0x3b558c){const _0x37eebb=_0x2a2832;_0x2f58c9?_0x1c9d34(function(){_0x2f58c9=![];}):(!_0x3b558c&&(_0x411877=_0x5467ac[_0x37eebb(0x1a56)][_0x37eebb(0x844)]),_0x36ea6a!==_0x3b558c&&(_0x5467ac[_0x37eebb(0x1a56)][_0x37eebb(0x844)]=0x1),!_0x36ea6a&&(_0x5467ac[_0x37eebb(0x1a56)][_0x37eebb(0x844)]=_0x411877),_0x5467ac[_0x37eebb(0xaea)]());});function _0x3492aa(_0x535803){const _0x40b6db=_0x2a2832;_0x5467ac[_0x40b6db(0x2318)]=_0x535803||{'count':0x0,'rows':[]};}function _0x5fbae5(){const _0x1213b8=_0x2a2832;_0x5467ac[_0x1213b8(0x1a56)][_0x1213b8(0x145d)]=(_0x5467ac[_0x1213b8(0x1a56)][_0x1213b8(0x844)]-0x1)*_0x5467ac[_0x1213b8(0x1a56)][_0x1213b8(0x221e)],_0x4e547b[_0x1213b8(0x23e0)](_0x1213b8(0x174b))?_0x5467ac[_0x1213b8(0xb9c)]=_0xf331a8['mailQueue']['get'](_0x5467ac[_0x1213b8(0x1a56)],_0x3492aa)['$promise']:(_0x5467ac[_0x1213b8(0x1a56)]['id']=_0x5467ac[_0x1213b8(0x26b6)]['id'],_0x5467ac[_0x1213b8(0x1a56)][_0x1213b8(0x2146)]=_0x1213b8(0xf4d),_0x5467ac['promise']=_0xf331a8[_0x1213b8(0x26b6)]['getResources'](_0x5467ac[_0x1213b8(0x1a56)],_0x3492aa)[_0x1213b8(0x2945)]);}function _0x3577ba(_0x522e35,_0x5973f0){const _0x34065e=_0x2a2832;_0x2bbf1b[_0x34065e(0x2615)]({'controller':'CreateOrEditMailQueueDialogController','controllerAs':'vm','templateUrl':_0x2e6ac7,'parent':angular[_0x34065e(0x1853)](_0xe57f4['body']),'targetEvent':_0x522e35,'clickOutsideToClose':!![],'locals':{'mailQueue':_0x5973f0,'mailQueues':_0x5467ac['mailQueues'][_0x34065e(0x19c7)],'license':_0x5467ac[_0x34065e(0x2690)],'setting':_0x5467ac[_0x34065e(0x15b9)],'crudPermissions':_0x5467ac[_0x34065e(0x2514)]}});}function _0x4f07b6(_0x12f231){const _0x4ed983=_0x2a2832;_0xf331a8[_0x4ed983(0x101c)][_0x4ed983(0x1fac)]({'id':_0x12f231['id']})[_0x4ed983(0x2945)][_0x4ed983(0x146b)](function(){const _0x5d3a7c=_0x4ed983;_0x3f65c0()['remove'](_0x5467ac[_0x5d3a7c(0x2318)]['rows'],{'id':_0x12f231['id']}),_0x5467ac[_0x5d3a7c(0x2318)][_0x5d3a7c(0x51c)]-=0x1,!_0x5467ac[_0x5d3a7c(0x2318)][_0x5d3a7c(0x19c7)][_0x5d3a7c(0x402)]&&_0x5467ac[_0x5d3a7c(0xaea)](),_0x507815[_0x5d3a7c(0x1c75)]({'title':_0x3f65c0()[_0x5d3a7c(0x20d1)](_0x5d3a7c(0xda0))+'\x20deleted!','msg':_0x12f231[_0x5d3a7c(0x19eb)]?_0x12f231[_0x5d3a7c(0x19eb)]+_0x5d3a7c(0x23e3):''});})[_0x4ed983(0x129e)](function(_0x4f7932){const _0xdc4af4=_0x4ed983;if(_0x4f7932['data']&&_0x4f7932[_0xdc4af4(0x524)][_0xdc4af4(0xcef)]&&_0x4f7932[_0xdc4af4(0x524)]['errors'][_0xdc4af4(0x402)]){_0x5467ac[_0xdc4af4(0xcef)]=_0x4f7932['data'][_0xdc4af4(0xcef)]||[{'message':_0x4f7932[_0xdc4af4(0xd5f)](),'type':_0xdc4af4(0x435)}];for(let _0x2f814e=0x0;_0x2f814e<_0x4f7932[_0xdc4af4(0x524)][_0xdc4af4(0xcef)][_0xdc4af4(0x402)];_0x2f814e++){_0x507815['error']({'title':_0x4f7932[_0xdc4af4(0x524)]['errors'][_0x2f814e]['type'],'msg':_0x4f7932['data'][_0xdc4af4(0xcef)][_0x2f814e][_0xdc4af4(0x7fd)]});}}else _0x507815[_0xdc4af4(0x1980)]({'title':_0x4f7932['status']?_0xdc4af4(0x262a)+_0x4f7932[_0xdc4af4(0x107b)]+'\x20-\x20'+_0x4f7932[_0xdc4af4(0x167f)]:_0xdc4af4(0x435),'msg':_0x4f7932[_0xdc4af4(0x524)]?JSON[_0xdc4af4(0x10bb)](_0x4f7932[_0xdc4af4(0x524)][_0xdc4af4(0x7fd)]):_0x4f7932[_0xdc4af4(0x7fd)]||_0x4f7932[_0xdc4af4(0xd5f)]()});});}function _0x361090(){const _0x27d888=_0x2a2832,_0x3c68c1=angular[_0x27d888(0x235a)](_0x5467ac[_0x27d888(0x50d)]);return _0x5467ac[_0x27d888(0x50d)]=[],_0x3c68c1;}function _0x11a0b8(_0x40a876){const _0x479025=_0x2a2832,_0x5614d1=_0x2bbf1b[_0x479025(0x1e8a)]()[_0x479025(0x1189)](_0x479025(0x269a))['htmlContent'](_0x479025(0x16d3)+_0x5467ac[_0x479025(0x50d)][_0x479025(0x402)]+_0x479025(0x2452)+_0x479025(0xe01))[_0x479025(0x4bd)](_0x479025(0x6c0))['targetEvent'](_0x40a876)['ok']('OK')[_0x479025(0x6c3)](_0x479025(0x39a));_0x2bbf1b[_0x479025(0x2615)](_0x5614d1)['then'](function(){const _0xc8bcb1=_0x479025;_0x5467ac[_0xc8bcb1(0x50d)][_0xc8bcb1(0x1df5)](function(_0x4b3544){_0x4f07b6(_0x4b3544);}),_0x5467ac[_0xc8bcb1(0x50d)]=[];});}function _0x85ba8c(){_0x5467ac['selectedMailQueues']=[];}function _0x5d7ad0(){const _0x13897d=_0x2a2832;_0x5467ac[_0x13897d(0x50d)]=_0x5467ac['mailQueues']['rows'];}}const _0x539bc8=_0x2cd16f;;_0x570548['$inject']=['$scope','$state',_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0xd48),_0x313a4d(0x2036),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x570548(_0x22ec73,_0x283ea5,_0x491bc1,_0x1b0d94,_0x488a2f,_0x55eb26,_0xcc584c,_0x580405,_0x53400e,_0x900418,_0x18c90e,_0x487f4b,_0x5be48b,_0x5d69a6){const _0x2be60b=_0x313a4d,_0x5e5512=this;_0x5e5512[_0x2be60b(0x2321)]=_0x18c90e[_0x2be60b(0xb12)](),_0x5e5512['errors']=[],_0x5e5512['setting']=_0x5be48b,_0x5e5512[_0x2be60b(0x2690)]=_0x487f4b,_0x5e5512[_0x2be60b(0x2514)]=_0x5d69a6,_0x5e5512[_0x2be60b(0x855)]={},_0x5e5512[_0x2be60b(0x2251)]=_0x5e5512['setting']&&_0x5e5512[_0x2be60b(0x15b9)][_0x2be60b(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x5e5512[_0x2be60b(0x1189)]=_0x2be60b(0x2254),_0x5e5512[_0x2be60b(0x2036)]=angular[_0x2be60b(0x235a)](_0x53400e),_0x5e5512[_0x2be60b(0xd48)]=_0x580405,_0x5e5512[_0x2be60b(0xee7)]=![];!_0x5e5512[_0x2be60b(0x2036)]&&(_0x5e5512[_0x2be60b(0x2036)]={},_0x5e5512[_0x2be60b(0x1189)]=_0x2be60b(0x1f3e),_0x5e5512[_0x2be60b(0xee7)]=!![]);_0x5e5512[_0x2be60b(0x442)]=_0x642e5,_0x5e5512[_0x2be60b(0x1a39)]=_0x19ad38,_0x5e5512['deleteMailSubstatus']=_0xc01ac8,_0x5e5512[_0x2be60b(0xe73)]=_0x2eba68,_0x5e5512[_0x2be60b(0x13f3)]=_0x1bc112;function _0x642e5(){const _0x5b7097=_0x2be60b;_0x5e5512[_0x5b7097(0xcef)]=[],_0x900418[_0x5b7097(0x2036)][_0x5b7097(0x1e3)](_0x5e5512[_0x5b7097(0x2036)])[_0x5b7097(0x2945)][_0x5b7097(0x146b)](function(_0x5357ae){const _0x22a3be=_0x5b7097;_0x5e5512[_0x22a3be(0xd48)]['unshift'](_0x5357ae[_0x22a3be(0x2488)]()),_0xcc584c[_0x22a3be(0x1c75)]({'title':'MailSubstatus\x20properly\x20created','msg':_0x5e5512[_0x22a3be(0x2036)][_0x22a3be(0x19eb)]?_0x5e5512['mailSubstatus'][_0x22a3be(0x19eb)]+'\x20has\x20been\x20created!':''}),_0x1bc112(_0x5357ae);})[_0x5b7097(0x129e)](function(_0x525eef){const _0x58bb15=_0x5b7097;if(_0x525eef[_0x58bb15(0x524)]&&_0x525eef[_0x58bb15(0x524)][_0x58bb15(0xcef)]&&_0x525eef[_0x58bb15(0x524)][_0x58bb15(0xcef)][_0x58bb15(0x402)]){_0x5e5512[_0x58bb15(0xcef)]=_0x525eef[_0x58bb15(0x524)][_0x58bb15(0xcef)]||[{'message':_0x525eef[_0x58bb15(0xd5f)](),'type':'api.mailSubstatus.save'}];for(let _0x474b29=0x0;_0x474b29<_0x525eef[_0x58bb15(0x524)][_0x58bb15(0xcef)][_0x58bb15(0x402)];_0x474b29+=0x1){_0xcc584c['error']({'title':_0x525eef[_0x58bb15(0x524)][_0x58bb15(0xcef)][_0x474b29][_0x58bb15(0x1142)],'msg':_0x525eef[_0x58bb15(0x524)]['errors'][_0x474b29]['message']});}}else _0xcc584c['error']({'title':_0x525eef[_0x58bb15(0x107b)]?_0x58bb15(0x262a)+_0x525eef['status']+_0x58bb15(0x1315)+_0x525eef[_0x58bb15(0x167f)]:'api.mailSubstatus.save','msg':_0x525eef[_0x58bb15(0x524)]?JSON[_0x58bb15(0x10bb)](_0x525eef['data']['message']):_0x525eef['toString']()});});}function _0x19ad38(){const _0x29c86c=_0x2be60b;_0x5e5512[_0x29c86c(0xcef)]=[],_0x900418[_0x29c86c(0x2036)]['update']({'id':_0x5e5512[_0x29c86c(0x2036)]['id']},_0x5e5512[_0x29c86c(0x2036)])['$promise'][_0x29c86c(0x146b)](function(_0x1bd2c0){const _0x3b1392=_0x29c86c,_0x470735=_0x3f65c0()[_0x3b1392(0xc84)](_0x5e5512[_0x3b1392(0xd48)],{'id':_0x1bd2c0['id']});_0x470735&&_0x3f65c0()[_0x3b1392(0x168d)](_0x470735,_0x3f65c0()[_0x3b1392(0x40e)](_0x1bd2c0[_0x3b1392(0x2488)](),_0x3f65c0()[_0x3b1392(0x627)](_0x470735))),_0xcc584c[_0x3b1392(0x1c75)]({'title':'MailSubstatus\x20properly\x20saved!','msg':_0x5e5512['mailSubstatus'][_0x3b1392(0x19eb)]?_0x5e5512[_0x3b1392(0x2036)][_0x3b1392(0x19eb)]+'\x20has\x20been\x20saved!':''}),_0x1bc112(_0x1bd2c0);})['catch'](function(_0x4b2407){const _0x51bc7d=_0x29c86c;if(_0x4b2407[_0x51bc7d(0x524)]&&_0x4b2407[_0x51bc7d(0x524)][_0x51bc7d(0xcef)]&&_0x4b2407[_0x51bc7d(0x524)][_0x51bc7d(0xcef)][_0x51bc7d(0x402)]){_0x5e5512['errors']=_0x4b2407[_0x51bc7d(0x524)][_0x51bc7d(0xcef)]||[{'message':_0x4b2407[_0x51bc7d(0xd5f)](),'type':_0x51bc7d(0x158c)}];for(let _0x222693=0x0;_0x222693<_0x4b2407[_0x51bc7d(0x524)][_0x51bc7d(0xcef)][_0x51bc7d(0x402)];_0x222693++){_0xcc584c[_0x51bc7d(0x1980)]({'title':_0x4b2407[_0x51bc7d(0x524)][_0x51bc7d(0xcef)][_0x222693][_0x51bc7d(0x1142)],'msg':_0x4b2407[_0x51bc7d(0x524)][_0x51bc7d(0xcef)][_0x222693][_0x51bc7d(0x7fd)]});}}else _0xcc584c['error']({'title':_0x4b2407[_0x51bc7d(0x107b)]?_0x51bc7d(0x262a)+_0x4b2407[_0x51bc7d(0x107b)]+'\x20-\x20'+_0x4b2407['statusText']:_0x51bc7d(0x158c),'msg':_0x4b2407['data']?JSON[_0x51bc7d(0x10bb)](_0x4b2407[_0x51bc7d(0x524)][_0x51bc7d(0x7fd)]):_0x4b2407['toString']()});});}function _0xc01ac8(_0x572c88){const _0x380f20=_0x2be60b;_0x5e5512['errors']=[];const _0x5dd655=_0x1b0d94[_0x380f20(0x1e8a)]()[_0x380f20(0x1189)](_0x380f20(0x1d64))[_0x380f20(0x80f)](_0x380f20(0x128d))['ariaLabel']('Delete\x20MailSubstatus')['ok'](_0x380f20(0x25de))[_0x380f20(0x6c3)](_0x380f20(0xcf0))['targetEvent'](_0x572c88);_0x1b0d94[_0x380f20(0x2615)](_0x5dd655)[_0x380f20(0x146b)](function(){const _0xd98671=_0x380f20;_0x900418[_0xd98671(0x2036)][_0xd98671(0x1fac)]({'id':_0x5e5512[_0xd98671(0x2036)]['id']})['$promise'][_0xd98671(0x146b)](function(){const _0x19f3a7=_0xd98671;_0x3f65c0()[_0x19f3a7(0x2640)](_0x5e5512['mailSubtatuses'],{'id':_0x5e5512['mailSubstatus']['id']}),_0xcc584c['success']({'title':_0x19f3a7(0x2195),'msg':(_0x5e5512[_0x19f3a7(0x2036)]['name']||_0x19f3a7(0x2036))+_0x19f3a7(0x23e3)}),_0x1bc112(_0x5e5512[_0x19f3a7(0x2036)]);})['catch'](function(_0x293a8d){const _0x286c01=_0xd98671;if(_0x293a8d['data']&&_0x293a8d[_0x286c01(0x524)][_0x286c01(0xcef)]&&_0x293a8d[_0x286c01(0x524)][_0x286c01(0xcef)][_0x286c01(0x402)]){_0x5e5512[_0x286c01(0xcef)]=_0x293a8d[_0x286c01(0x524)]['errors']||[{'message':_0x293a8d['toString'](),'type':_0x286c01(0x27b8)}];for(let _0x57f3d0=0x0;_0x57f3d0<_0x293a8d[_0x286c01(0x524)]['errors'][_0x286c01(0x402)];_0x57f3d0++){_0xcc584c[_0x286c01(0x1980)]({'title':_0x293a8d[_0x286c01(0x524)][_0x286c01(0xcef)][_0x57f3d0]['type'],'msg':_0x293a8d[_0x286c01(0x524)][_0x286c01(0xcef)][_0x57f3d0]['message']});}}else _0xcc584c[_0x286c01(0x1980)]({'title':_0x293a8d[_0x286c01(0x107b)]?_0x286c01(0x262a)+_0x293a8d[_0x286c01(0x107b)]+'\x20-\x20'+_0x293a8d[_0x286c01(0x167f)]:_0x286c01(0x27b8),'msg':_0x293a8d[_0x286c01(0x524)]?JSON[_0x286c01(0x10bb)](_0x293a8d['data'][_0x286c01(0x7fd)]):_0x293a8d[_0x286c01(0x7fd)]||_0x293a8d[_0x286c01(0xd5f)]()});});},function(){});}function _0x2eba68(_0x1be08c){return _0x1be08c===null?undefined:new Date(_0x1be08c);}function _0x1bc112(_0x4bafde){const _0x4733d3=_0x2be60b;_0x1b0d94[_0x4733d3(0x2458)](_0x4bafde);}}const _0x17a9ab=_0x570548;;const _0xec43b1=_0x4acfac['p']+'src/js/modules/main/apps/mail/views/mailSubtatuses/create/dialog.html/dialog.html';;_0x3592dd[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$window','$state',_0x313a4d(0x15fe),_0x313a4d(0x10e8),'$document',_0x313a4d(0x1abe),_0x313a4d(0x214b),'mailSubtatuses',_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x3592dd(_0x31a18f,_0x2e92b1,_0x567e64,_0x52ba5f,_0x92f8c7,_0x588c21,_0x1cf81d,_0x3e65bf,_0x10df7d,_0x2413f6,_0x42eb50,_0x1a47bb,_0x2775ff,_0x1117dd,_0x13e80d,_0x21a32d,_0x1fb145){const _0x17e96e=_0x313a4d,_0x13dac6=this;_0x13dac6[_0x17e96e(0x2690)]=_0x21a32d,_0x13dac6['setting']=_0x1fb145,_0x13dac6[_0x17e96e(0x2321)]=_0x13e80d[_0x17e96e(0xb12)](),_0x13dac6[_0x17e96e(0xd48)]=_0x10df7d||{'count':0x0,'rows':[]},_0x13dac6[_0x17e96e(0x26b6)]=_0x2413f6,_0x13dac6[_0x17e96e(0x1366)]=_0x42eb50&&_0x42eb50[_0x17e96e(0x51c)]==0x1?_0x42eb50[_0x17e96e(0x19c7)][0x0]:null,_0x13dac6[_0x17e96e(0x2514)]=_0x13e80d[_0x17e96e(0xe60)](_0x13dac6[_0x17e96e(0x1366)]?_0x13dac6['userProfileSection'][_0x17e96e(0x2514)]:null),_0x13dac6[_0x17e96e(0x768)]='mailSubtatuses',_0x13dac6[_0x17e96e(0x216a)]='',_0x13dac6[_0x17e96e(0x214f)]=null,_0x13dac6[_0x17e96e(0x83e)]=[],_0x13dac6[_0x17e96e(0x1a56)]={'fields':_0x17e96e(0x608),'sort':_0x17e96e(0x12f2),'limit':0xa,'page':0x1},_0x13dac6['editdialog']=_0x248c81,_0x13dac6[_0x17e96e(0xf0a)]=_0x2b58b8,_0x13dac6[_0x17e96e(0x1c75)]=_0x44c853,_0x13dac6['getMailSubstatuses']=_0x31e96b,_0x13dac6[_0x17e96e(0x16f7)]=_0x333225,_0x13dac6[_0x17e96e(0x1032)]=_0x91a5bb,_0x13dac6['exportSelectedMailSubstatuses']=_0x1fb566,_0x13dac6[_0x17e96e(0x75e)]=_0x44f40a,_0x13dac6[_0x17e96e(0x5c9)]=_0x18c374,_0x13dac6[_0x17e96e(0x11c4)]=_0x37f190;function _0x248c81(_0x491e3b,_0x1067d6){const _0x1bedc7=_0x17e96e;_0x92f8c7[_0x1bedc7(0x2615)]({'controller':_0x1bedc7(0xdaa),'controllerAs':'vm','templateUrl':_0xec43b1,'parent':angular[_0x1bedc7(0x1853)](_0x588c21[_0x1bedc7(0x2586)]),'targetEvent':_0x1067d6,'clickOutsideToClose':!![],'locals':{'mailSubstatus':_0x491e3b,'mailSubtatuses':_0x13dac6[_0x1bedc7(0xd48)][_0x1bedc7(0x19c7)],'license':_0x13dac6[_0x1bedc7(0x2690)],'setting':null,'crudPermissions':_0x13dac6[_0x1bedc7(0x2514)]}});}function _0x2b58b8(_0x189795,_0x817bb4){const _0x2d31e8=_0x17e96e,_0x1430d5=_0x92f8c7['confirm']()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20'+_0x3f65c0()[_0x2d31e8(0x20d1)](_0x2d31e8(0x2036))+'?')['htmlContent'](''+(_0x189795[_0x2d31e8(0x19eb)]||'mailSubstatus')+''+_0x2d31e8(0xe01))['ariaLabel'](_0x2d31e8(0x13e6))[_0x2d31e8(0x1f27)](_0x817bb4)['ok']('OK')[_0x2d31e8(0x6c3)](_0x2d31e8(0x39a));_0x92f8c7[_0x2d31e8(0x2615)](_0x1430d5)[_0x2d31e8(0x146b)](function(){_0x91a5bb(_0x189795);},function(){const _0x1d53e8=_0x2d31e8;console['log'](_0x1d53e8(0x39a));});}let _0x53b90f=!![],_0x44d078=0x1;_0x31a18f['$watch']('vm.query.filter',function(_0x5c349f,_0x27c662){const _0x167628=_0x17e96e;_0x53b90f?_0x1cf81d(function(){_0x53b90f=![];}):(!_0x27c662&&(_0x44d078=_0x13dac6[_0x167628(0x1a56)]['page']),_0x5c349f!==_0x27c662&&(_0x13dac6[_0x167628(0x1a56)]['page']=0x1),!_0x5c349f&&(_0x13dac6[_0x167628(0x1a56)][_0x167628(0x844)]=_0x44d078),_0x13dac6['getMailSubstatuses']());});function _0x44c853(_0x106e2d){const _0xd67be1=_0x17e96e;_0x13dac6[_0xd67be1(0xd48)]=_0x106e2d||{'count':0x0,'rows':[]};}function _0x31e96b(){const _0x616b25=_0x17e96e;_0x13dac6[_0x616b25(0x1a56)][_0x616b25(0x145d)]=(_0x13dac6[_0x616b25(0x1a56)][_0x616b25(0x844)]-0x1)*_0x13dac6[_0x616b25(0x1a56)][_0x616b25(0x221e)],_0x13e80d[_0x616b25(0x23e0)](_0x616b25(0x174b))?_0x13dac6['promise']=_0x1a47bb['mailSubstatus']['get'](_0x13dac6[_0x616b25(0x1a56)],_0x44c853)['$promise']:(_0x13dac6['query']['id']=_0x13dac6['userProfile']['id'],_0x13dac6[_0x616b25(0x1a56)][_0x616b25(0x2146)]=_0x616b25(0x2857),_0x13dac6[_0x616b25(0xb9c)]=_0x1a47bb['userProfile'][_0x616b25(0x158f)](_0x13dac6[_0x616b25(0x1a56)],_0x44c853)[_0x616b25(0x2945)]);}function _0x333225(_0x39a767,_0x4bb075){const _0x3f52d9=_0x17e96e;_0x92f8c7[_0x3f52d9(0x2615)]({'controller':_0x3f52d9(0xdaa),'controllerAs':'vm','templateUrl':_0xec43b1,'parent':angular['element'](_0x588c21['body']),'targetEvent':_0x39a767,'clickOutsideToClose':!![],'locals':{'mailSubstatus':_0x4bb075,'mailSubtatuses':_0x13dac6[_0x3f52d9(0xd48)]['rows'],'license':_0x13dac6[_0x3f52d9(0x2690)],'setting':_0x13dac6['setting'],'crudPermissions':_0x13dac6['crudPermissions']}});}function _0x91a5bb(_0x3cff45){const _0x20a4ab=_0x17e96e;_0x1a47bb[_0x20a4ab(0x2036)][_0x20a4ab(0x1fac)]({'id':_0x3cff45['id']})[_0x20a4ab(0x2945)][_0x20a4ab(0x146b)](function(){const _0x995785=_0x20a4ab;_0x3f65c0()[_0x995785(0x2640)](_0x13dac6[_0x995785(0xd48)][_0x995785(0x19c7)],{'id':_0x3cff45['id']}),_0x13dac6[_0x995785(0xd48)]['count']-=0x1,!_0x13dac6[_0x995785(0xd48)][_0x995785(0x19c7)][_0x995785(0x402)]&&_0x13dac6['getMailSubstatuses'](),_0x1117dd['success']({'title':_0x3f65c0()['startCase'](_0x995785(0xe4))+_0x995785(0x201c),'msg':_0x3cff45[_0x995785(0x19eb)]?_0x3cff45[_0x995785(0x19eb)]+_0x995785(0x23e3):''});})[_0x20a4ab(0x129e)](function(_0x3419d3){const _0x5da1b3=_0x20a4ab;if(_0x3419d3[_0x5da1b3(0x524)]&&_0x3419d3[_0x5da1b3(0x524)]['errors']&&_0x3419d3['data'][_0x5da1b3(0xcef)][_0x5da1b3(0x402)]){_0x13dac6[_0x5da1b3(0xcef)]=_0x3419d3[_0x5da1b3(0x524)][_0x5da1b3(0xcef)]||[{'message':_0x3419d3[_0x5da1b3(0xd5f)](),'type':'SYSTEM:DELETEmailSubstatus'}];for(let _0x1170c0=0x0;_0x1170c0<_0x3419d3[_0x5da1b3(0x524)][_0x5da1b3(0xcef)]['length'];_0x1170c0++){_0x1117dd[_0x5da1b3(0x1980)]({'title':_0x3419d3['data'][_0x5da1b3(0xcef)][_0x1170c0]['type'],'msg':_0x3419d3[_0x5da1b3(0x524)][_0x5da1b3(0xcef)][_0x1170c0]['message']});}}else _0x1117dd[_0x5da1b3(0x1980)]({'title':_0x3419d3[_0x5da1b3(0x107b)]?_0x5da1b3(0x262a)+_0x3419d3[_0x5da1b3(0x107b)]+_0x5da1b3(0x1315)+_0x3419d3[_0x5da1b3(0x167f)]:'SYSTEM:DELETEmailSubstatus','msg':_0x3419d3[_0x5da1b3(0x524)]?JSON[_0x5da1b3(0x10bb)](_0x3419d3[_0x5da1b3(0x524)][_0x5da1b3(0x7fd)]):_0x3419d3[_0x5da1b3(0x7fd)]||_0x3419d3[_0x5da1b3(0xd5f)]()});});}function _0x1fb566(){const _0xe268b7=_0x17e96e,_0x4f14bd=angular[_0xe268b7(0x235a)](_0x13dac6[_0xe268b7(0x83e)]);return _0x13dac6[_0xe268b7(0x83e)]=[],_0x4f14bd;}function _0x44f40a(_0x21bfc2){const _0x332ece=_0x17e96e,_0x253bb6=_0x92f8c7[_0x332ece(0x1e8a)]()[_0x332ece(0x1189)](_0x332ece(0x2125))[_0x332ece(0x1cbe)](_0x332ece(0x16d3)+_0x13dac6['selectedMailSubstatuses'][_0x332ece(0x402)]+_0x332ece(0x2452)+'\x20will\x20be\x20deleted.')[_0x332ece(0x4bd)](_0x332ece(0x410))[_0x332ece(0x1f27)](_0x21bfc2)['ok']('OK')['cancel'](_0x332ece(0x39a));_0x92f8c7[_0x332ece(0x2615)](_0x253bb6)[_0x332ece(0x146b)](function(){const _0x1b1952=_0x332ece;_0x13dac6[_0x1b1952(0x83e)][_0x1b1952(0x1df5)](function(_0x411c1f){_0x91a5bb(_0x411c1f);}),_0x13dac6[_0x1b1952(0x83e)]=[];});}function _0x18c374(){const _0xb39174=_0x17e96e;_0x13dac6[_0xb39174(0x83e)]=[];}function _0x37f190(){const _0x355325=_0x17e96e;_0x13dac6['selectedMailSubstatuses']=_0x13dac6[_0x355325(0xd48)][_0x355325(0x19c7)];}}const _0x46b741=_0x3592dd;;_0x3ad96a[_0x313a4d(0x11c2)]=['$stateProvider',_0x313a4d(0x695)];function _0x3ad96a(_0x3907ca,_0x5c7731){const _0x176588=_0x313a4d;_0x3907ca[_0x176588(0x13d6)](_0x176588(0x19d5),{'abstract':!![],'url':_0x176588(0x112f)})[_0x176588(0x13d6)](_0x176588(0x1263),{'url':_0x176588(0x1e29),'views':{'content@app':{'templateUrl':_0x22d496,'controller':'MailQueuesController\x20as\x20vm'}},'resolve':{'mailQueues':['apiResolver','Auth',function(_0x8339fa,_0x16619f){const _0x109d51=_0x176588;return _0x16619f[_0x109d51(0x23e0)](_0x109d51(0x174b))?_0x8339fa['resolve'](_0x109d51(0x1e9c),{'fields':'createdAt,updatedAt,id,name,strategy,timeout,description','sort':_0x109d51(0x12f2),'channel':_0x109d51(0x56b),'limit':0xa,'offset':0x0}):_0x8339fa['resolve'](_0x109d51(0x938),{'id':_0x16619f[_0x109d51(0xb12)]()['userProfileId'],'section':'MailQueues','fields':_0x109d51(0xa22),'sort':_0x109d51(0x12f2),'channel':_0x109d51(0x56b),'limit':0xa,'offset':0x0});}],'userProfile':[_0x176588(0x362),'Auth',function(_0x455398,_0xcf91bd){const _0x88638b=_0x176588;return _0xcf91bd[_0x88638b(0x23e0)](_0x88638b(0x174b))?null:_0x455398['resolve'](_0x88638b(0x119a),{'fields':'id,name,crudPermissions','id':_0xcf91bd[_0x88638b(0xb12)]()[_0x88638b(0x209a)]});}],'userProfileSection':['apiResolver',_0x176588(0xa87),function(_0x6cd470,_0x13b418){const _0x18dc37=_0x176588;return _0x13b418[_0x18dc37(0x23e0)](_0x18dc37(0x174b))?null:_0x6cd470['resolve'](_0x18dc37(0x27be),{'fields':_0x18dc37(0x1e64),'userProfileId':_0x13b418['getCurrentUser']()[_0x18dc37(0x209a)],'sectionId':0x259});}]},'authenticate':!![],'permissionId':0x259,'bodyClass':_0x176588(0x56b)})['state'](_0x176588(0x15e9),{'url':_0x176588(0x2028),'params':{'mailQueue':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x2fdd7e,'controller':_0x176588(0x25e8)}},'resolve':{'mailQueue':[_0x176588(0x362),_0x176588(0x28c8),function(_0x50f421,_0x3bcc31){const _0x41cd3e=_0x176588;return _0x50f421[_0x41cd3e(0x2922)](_0x41cd3e(0x1e9c),{'fields':_0x41cd3e(0xa22),'id':_0x3bcc31['id']});}],'userProfileSection':[_0x176588(0x362),_0x176588(0xa87),function(_0x39f285,_0x490f98){const _0xd55f53=_0x176588;return _0x39f285[_0xd55f53(0x2922)]('userProfileSection@get',{'fields':_0xd55f53(0x1e64),'userProfileId':_0x490f98['getCurrentUser']()[_0xd55f53(0x209a)],'sectionId':0x259});}]},'authenticate':!![],'permissionId':0x259,'bodyClass':_0x176588(0x56b)})['state'](_0x176588(0x1de6),{'url':_0x176588(0x1243),'views':{'content@app':{'templateUrl':_0x51d93e,'controller':'MailAccountsController\x20as\x20vm'}},'resolve':{'mailAccounts':[_0x176588(0x362),_0x176588(0xa87),function(_0x61347f,_0x52d920){const _0x4f34b6=_0x176588;return _0x52d920['hasRole']('admin')?_0x61347f[_0x4f34b6(0x2922)]('mailAccount@get',{'fields':_0x4f34b6(0x11b8),'sort':'-updatedAt','limit':0xa,'offset':0x0}):_0x61347f['resolve'](_0x4f34b6(0x938),{'id':_0x52d920[_0x4f34b6(0xb12)]()[_0x4f34b6(0x209a)],'section':_0x4f34b6(0xbf0),'fields':'createdAt,updatedAt,id,name,key,email,ListId,active,cservice,Imap.service,Imap.host,Imap.port,Imap.tls,Imap.authentication,Imap.user,Imap.password,Imap.mailbox,Imap.connTimeout,Imap.authTimeout,Smtp.service,Smtp.host,Smtp.port,Smtp.secure,Smtp.authentication,Smtp.user,Smtp.pass,cauthentication,cuser,cpassword,fontFamily,fontSize,template,markAsUnread,waitForTheAssignedAgent,mandatoryDisposition,mandatoryDispositionPauseId,description,notificationSound,notificationShake,notificationTemplate,queueTransfer,queueTransferTimeout,agentTransfer,agentTransferTimeout','sort':'-updatedAt','limit':0xa,'offset':0x0});}],'userProfile':[_0x176588(0x362),_0x176588(0xa87),function(_0x25945f,_0x2a0c59){const _0x17c73a=_0x176588;return _0x2a0c59[_0x17c73a(0x23e0)](_0x17c73a(0x174b))?null:_0x25945f[_0x17c73a(0x2922)](_0x17c73a(0x119a),{'fields':'id,name,crudPermissions','id':_0x2a0c59[_0x17c73a(0xb12)]()['userProfileId']});}],'userProfileSection':[_0x176588(0x362),_0x176588(0xa87),function(_0x2597de,_0x2e7651){const _0x1aad7a=_0x176588;return _0x2e7651[_0x1aad7a(0x23e0)](_0x1aad7a(0x174b))?null:_0x2597de[_0x1aad7a(0x2922)]('userProfileSection@get',{'fields':_0x1aad7a(0x1e64),'userProfileId':_0x2e7651[_0x1aad7a(0xb12)]()['userProfileId'],'sectionId':0x25a});}]},'authenticate':!![],'permissionId':0x25a,'bodyClass':_0x176588(0x56b)})[_0x176588(0x13d6)](_0x176588(0x2375),{'url':_0x176588(0x2028),'params':{'mailAccount':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0xd9b0b,'controller':_0x176588(0x278d)}},'resolve':{'mailAccount':[_0x176588(0x362),_0x176588(0x28c8),function(_0x15b9f5,_0x20d9b6){const _0x47305d=_0x176588;return _0x15b9f5[_0x47305d(0x2922)](_0x47305d(0x541),{'fields':_0x47305d(0x11b8),'id':_0x20d9b6['id']});}],'userProfileSection':[_0x176588(0x362),_0x176588(0xa87),function(_0x56142c,_0x2c6c78){const _0xec8728=_0x176588;return _0x56142c[_0xec8728(0x2922)]('userProfileSection@get',{'fields':_0xec8728(0x1e64),'userProfileId':_0x2c6c78[_0xec8728(0xb12)]()['userProfileId'],'sectionId':0x25a});}]},'authenticate':!![],'permissionId':0x25a,'bodyClass':'mail'})[_0x176588(0x13d6)](_0x176588(0x1379),{'url':_0x176588(0x836),'views':{'content@app':{'templateUrl':_0x562770,'controller':_0x176588(0x1b0e)}},'resolve':{'mailSubtatuses':[_0x176588(0x362),function(_0x18e84a){const _0x48a8e1=_0x176588;return _0x18e84a['resolve'](_0x48a8e1(0x2722),{'fields':'createdAt,updatedAt,id,name,description','sort':_0x48a8e1(0x12f2),'limit':0xa,'offset':0x0});}],'userProfile':[_0x176588(0x362),_0x176588(0xa87),function(_0x67e131,_0x45bc65){const _0x2e0114=_0x176588;return _0x45bc65[_0x2e0114(0x23e0)](_0x2e0114(0x174b))?null:_0x67e131[_0x2e0114(0x2922)](_0x2e0114(0x119a),{'fields':'id,name,crudPermissions','id':_0x45bc65['getCurrentUser']()[_0x2e0114(0x209a)]});}],'userProfileSection':[_0x176588(0x362),_0x176588(0xa87),function(_0x2e28ea,_0x2312ab){const _0x5ac811=_0x176588;return _0x2312ab['hasRole'](_0x5ac811(0x174b))?null:_0x2e28ea['resolve'](_0x5ac811(0x27be),{'fields':_0x5ac811(0x1e64),'userProfileId':_0x2312ab[_0x5ac811(0xb12)]()[_0x5ac811(0x209a)],'sectionId':0x25b});}]},'authenticate':!![],'permissionId':0x25b,'bodyClass':'mail'}),_0x5c7731['addPart'](_0x176588(0xa11));}angular['module'](_0x313a4d(0x19d5),['ngCsv',_0x313a4d(0x962),_0x313a4d(0x23c7),_0x313a4d(0x963),_0x313a4d(0x19e2),_0x313a4d(0x27c1),_0x313a4d(0x195b),'ngAria','ngAnimate',_0x313a4d(0x1f5f),'mwFormBuilder',_0x313a4d(0xfb2),_0x313a4d(0x1e57),'ngclipboard',_0x313a4d(0x1bd2),_0x313a4d(0x1792),_0x313a4d(0x1b65),'material.components.expansionPanels','chart.js',_0x313a4d(0x11df),_0x313a4d(0x2795)])['config'](_0x3ad96a)['controller'](_0x313a4d(0x39e),_0xdc63a)['controller']('MailAccountActionsController',_0x47c3a8)['controller'](_0x313a4d(0xcd4),_0x422d1b)[_0x313a4d(0x28f0)]('EditMailAccountAppagentDialogController',_0x50b83d)[_0x313a4d(0x28f0)](_0x313a4d(0x1a31),_0x38d40c)[_0x313a4d(0x28f0)]('EditMailAccountAppcloseDialogController',_0x38cdeb)['controller'](_0x313a4d(0x2905),_0x4bbee9)['controller'](_0x313a4d(0x113e),_0x53bf9f)[_0x313a4d(0x28f0)]('EditMailAccountAppintervalDialogController',_0x3a18d8)[_0x313a4d(0x28f0)](_0x313a4d(0x433),_0xb548ed)[_0x313a4d(0x28f0)](_0x313a4d(0x2467),_0x5c0603)[_0x313a4d(0x28f0)](_0x313a4d(0x692),_0x8c6022)[_0x313a4d(0x28f0)](_0x313a4d(0x60d),_0x2ed701)[_0x313a4d(0x28f0)](_0x313a4d(0x11b),_0x17f6e4)['controller'](_0x313a4d(0x20c6),_0x58d222)['controller'](_0x313a4d(0xb9d),_0x31c0e6)[_0x313a4d(0x28f0)]('MailAccountDispositionsController',_0x1fbdee)[_0x313a4d(0x28f0)](_0x313a4d(0x1d6f),_0xa6c3ae)[_0x313a4d(0x28f0)]('MailAccountsController',_0x1d303d)[_0x313a4d(0x28f0)](_0x313a4d(0x1788),_0x1b272c)['controller'](_0x313a4d(0x964),_0x52d7d5)[_0x313a4d(0x28f0)](_0x313a4d(0xbc4),_0x2443fb)[_0x313a4d(0x28f0)](_0x313a4d(0x21c3),_0x869119)[_0x313a4d(0x28f0)](_0x313a4d(0x3c0),_0x539bc8)['controller'](_0x313a4d(0xdaa),_0x17a9ab)['controller']('MailSubstatusesController',_0x46b741);;const _0x591e25=_0x4acfac['p']+'src/js/modules/main/apps/sms/views/realtime/realtime.html/realtime.html';;const _0x49ed9a=_0x4acfac['p']+'src/js/modules/main/apps/sms/views/realtime/agents/view.html/view.html';;const _0x3b1ca9=_0x4acfac['p']+_0x313a4d(0x97e);;_0x38fadf['$inject']=[_0x313a4d(0x910),'$q',_0x313a4d(0x1abe),_0x313a4d(0x10e8),'$document','$filter','pauses',_0x313a4d(0x23c0),_0x313a4d(0x2445),_0x313a4d(0x247f),'socket','toasty',_0x313a4d(0x1ac0),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0xa0a)];function _0x38fadf(_0x80482c,_0x5e3990,_0x3d9e63,_0x2aebe9,_0x32a259,_0x20ae38,_0x44cdee,_0x364af4,_0x44ad02,_0x2e0b3f,_0x5f5285,_0x50615f,_0x3562bf,_0x142ef3,_0x646d1f,_0x31e17a,_0x1dfeae,_0x32c442){const _0x12f115=_0x313a4d,_0x301382=this,_0x561ba8=[_0x12f115(0x1fce),_0x12f115(0x538),_0x12f115(0x1d01),_0x12f115(0x192a),_0x12f115(0x1125),_0x12f115(0x192a),'internal',_0x12f115(0x2bb),_0x12f115(0x5f7),_0x12f115(0xcc5),_0x12f115(0x1e9e),'smsPause',_0x12f115(0x1f9d),'faxPause','voicePause',_0x12f115(0x6e4),'openchannelCapacity',_0x12f115(0xf85),_0x12f115(0x1820),_0x12f115(0x237c),_0x12f115(0x1cf6),_0x12f115(0xaac),'openchannelCurrentCapacity','mailCurrentCapacity','smsCurrentCapacity',_0x12f115(0xfc1),_0x12f115(0x1815),_0x12f115(0xfc3),_0x12f115(0xfae),_0x12f115(0x1fc6),'smsStatus',_0x12f115(0xfdf),_0x12f115(0x15ea),_0x12f115(0x685),'chatStatusTime','openchannelStatusTime',_0x12f115(0x10cd),_0x12f115(0x1f5c),_0x12f115(0xadd),_0x12f115(0x47a),_0x12f115(0x1dda),'queue',_0x12f115(0x1e8d),_0x12f115(0x1ca2),_0x12f115(0x4e3),_0x12f115(0x22c3)],_0x4eda03=[_0x12f115(0x5f7),_0x12f115(0xcc5),_0x12f115(0x1e9e),_0x12f115(0x17c),_0x12f115(0x1f9d),_0x12f115(0x25c4),_0x12f115(0x211c),_0x12f115(0x538)];_0x301382[_0x12f115(0x2321)]=_0x31e17a['getCurrentUser'](),_0x301382[_0x12f115(0xe3e)]=[_0x12f115(0xad4),_0x12f115(0xfe4),_0x12f115(0x26a7),_0x12f115(0x776),'not_inuse'],_0x301382['pauses']=_0x44cdee||{'count':0x0,'rows':[]},_0x301382[_0x12f115(0x2690)]=_0x1dfeae,_0x301382[_0x12f115(0x26b6)]=_0x142ef3,_0x301382['userProfileSection']=_0x646d1f&&_0x646d1f[_0x12f115(0x51c)]==0x1?_0x646d1f['rows'][0x0]:null,_0x301382[_0x12f115(0x2514)]=_0x31e17a[_0x12f115(0xe60)](_0x301382[_0x12f115(0x1366)]?_0x301382[_0x12f115(0x1366)]['crudPermissions']:null),_0x301382['agents']=_0x364af4?_0x3f65c0()[_0x12f115(0x194)](_0x364af4['rows']?_0x364af4['rows']:[],'id'):{},_0x301382['rpcAgents']=_0x44ad02?_0x3f65c0()['keyBy'](_0x44ad02[_0x12f115(0x19c7)]?_0x44ad02[_0x12f115(0x19c7)]:[],'id'):{},_0x301382['paginatedAgents']={},_0x301382[_0x12f115(0x2412)]=![],_0x301382[_0x12f115(0x206e)]=[],_0x301382[_0x12f115(0x626)]={};for(const _0xed422c in _0x301382[_0x12f115(0x23c0)]){typeof _0x301382[_0x12f115(0x23c0)][_0xed422c]!==_0x12f115(0x2274)&&(_0x301382['agents'][_0xed422c][_0x12f115(0x5aa)]=!![]);}_0x301382['query']={'limit':0xa,'page':0x1,'order':_0x12f115(0x286a),'globalStatusFilter':'','pauseTypeFilter':''},_0x301382[_0x12f115(0x2044)]=_0x46b735,_0x301382[_0x12f115(0x2677)]=_0x1010fe,_0x301382[_0x12f115(0x1e3e)]=_0x439b88,_0x301382['unPause']=_0x3fc2ec,_0x301382['showInfo']=_0x376cc3,_0x301382[_0x12f115(0x1eaa)]=_0x520081,_0x301382[_0x12f115(0x1775)]=_0x21da98,_0x301382[_0x12f115(0x2075)]=_0x1d3a56,_0x301382[_0x12f115(0x169)]=_0x17e3d9,_0x301382[_0x12f115(0x1d53)]=_0x45021e,_0x301382[_0x12f115(0x1db0)]=_0x19e611,_0x301382[_0x12f115(0x1a76)]=_0xa4b23,_0x301382[_0x12f115(0x3d4)]=_0x43b80c,_0x301382['onConnect']=_0x54109a,_0x301382['onComplete']=_0x580f1f,_0x301382[_0x12f115(0x1b80)]=_0x3594d1,_0x5f5285['on'](_0x12f115(0x17de),_0x301382[_0x12f115(0x1db0)]),_0x5f5285['on'](_0x12f115(0x324),_0x301382[_0x12f115(0x1a76)]),_0x5f5285['on'](_0x12f115(0x118c),_0x301382[_0x12f115(0x201a)]),_0x5f5285['on'](_0x12f115(0x198f),_0x301382[_0x12f115(0x483)]),_0x43b80c();let _0x4ea046=_0x32c442(function(){const _0x1edc5d=_0x12f115;_0x301382[_0x1edc5d(0x2412)]&&(_0x301382['load']=![],_0x43b80c());},0x3e7);function _0x43b80c(){const _0x311cd7=_0x12f115;_0x301382[_0x311cd7(0x2412)]=![];const _0x9b1605=_0x5e3990[_0x311cd7(0xce3)]();_0x301382['promise']=_0x9b1605[_0x311cd7(0xb9c)],_0x301382['filteredAgents']=[],_0x301382[_0x311cd7(0x206e)]=[],_0x3f65c0()[_0x311cd7(0x1a04)](_0x301382['agents'],function(_0x594b99,_0x2e6b0f){const _0x5c6a0d=_0x311cd7;_0x301382[_0x5c6a0d(0x2445)][_0x2e6b0f]&&_0x3f65c0()[_0x5c6a0d(0x168d)](_0x594b99,_0x3f65c0()[_0x5c6a0d(0x40e)](_0x3f65c0()[_0x5c6a0d(0x2432)](_0x301382[_0x5c6a0d(0x2445)][_0x2e6b0f],_0x4eda03),_0x561ba8)),_0x21da98(_0x594b99),_0x594b99[_0x5c6a0d(0x538)]?(_0x301382[_0x5c6a0d(0x202e)][_0x5c6a0d(0x1f47)](_0x594b99),_0x301382['agentInternal'][_0x594b99[_0x5c6a0d(0x113f)]]=_0x2e6b0f):_0x594b99['globalStatusTime']=_0x3f65c0()[_0x5c6a0d(0x123)](_0x2deec6()()[_0x5c6a0d(0x22b0)]('x'));});_0x301382[_0x311cd7(0x1a56)][_0x311cd7(0x1500)]&&_0x3f65c0()['remove'](_0x301382['filteredAgents'],function(_0x1fac10){const _0x4decc7=_0x311cd7;return _0x1fac10['globalStatus']!==_0x301382[_0x4decc7(0x1a56)]['globalStatusFilter'];});_0x301382['query']['pauseTypeFilter']&&_0x3f65c0()['remove'](_0x301382[_0x311cd7(0x202e)],function(_0x4a04f1){const _0x4f6a89=_0x311cd7;return _0x4a04f1[_0x4f6a89(0x1125)]!==_0x301382[_0x4f6a89(0x1a56)][_0x4f6a89(0x301)];});_0x301382[_0x311cd7(0x1a56)][_0x311cd7(0x1dd6)]&&_0x3f65c0()[_0x311cd7(0x2640)](_0x301382[_0x311cd7(0x202e)],function(_0x11a996){const _0x1e75b8=_0x311cd7;return _0x11a996[_0x1e75b8(0x286a)][_0x1e75b8(0x256e)]()[_0x1e75b8(0x172b)](_0x301382[_0x1e75b8(0x1a56)][_0x1e75b8(0x1dd6)][_0x1e75b8(0x256e)]())<0x0;});_0x301382[_0x311cd7(0x202e)]=_0x3be651(_0x301382['filteredAgents']);const _0x4f844c=(_0x301382[_0x311cd7(0x1a56)][_0x311cd7(0x844)]-0x1)*_0x301382[_0x311cd7(0x1a56)][_0x311cd7(0x221e)];_0x301382[_0x311cd7(0x285)]=_0x3f65c0()['drop'](_0x301382[_0x311cd7(0x202e)],_0x4f844c)[_0x311cd7(0x1298)](0x0,_0x301382[_0x311cd7(0x1a56)][_0x311cd7(0x221e)]);for(let _0x3fda2f=0x0;_0x3fda2f<_0x301382['paginatedAgents']['length'];_0x3fda2f+=0x1){_0x301382[_0x311cd7(0x206e)]['push'](_0x301382[_0x311cd7(0x285)][_0x3fda2f]['id']);}_0x9b1605[_0x311cd7(0x2922)](),_0x301382['load']=!![];}function _0x19e611(_0x3ebb89){const _0x366d9f=_0x12f115;_0x301382[_0x366d9f(0x23c0)][_0x3ebb89['id']]&&(_0x3f65c0()['merge'](_0x301382[_0x366d9f(0x23c0)][_0x3ebb89['id']],_0x3f65c0()[_0x366d9f(0x40e)](_0x3f65c0()[_0x366d9f(0x2432)](_0x3ebb89,_0x4eda03),_0x561ba8)),_0x3f65c0()[_0x366d9f(0x168d)](_0x301382[_0x366d9f(0x2445)][_0x3ebb89['id']],_0x3f65c0()['pick'](_0x3f65c0()['omit'](_0x3ebb89,_0x4eda03),_0x561ba8)),_0x21da98(_0x301382['agents'][_0x3ebb89['id']]));}function _0xa4b23(_0x58e4c6){const _0x2bd6aa=_0x12f115;_0x301382[_0x2bd6aa(0x23c0)][_0x58e4c6['id']]&&(_0x3f65c0()[_0x2bd6aa(0x168d)](_0x301382['agents'][_0x58e4c6['id']],_0x3f65c0()[_0x2bd6aa(0x40e)](_0x58e4c6,_0x561ba8)),_0x3f65c0()[_0x2bd6aa(0x168d)](_0x301382[_0x2bd6aa(0x2445)][_0x58e4c6['id']],_0x3f65c0()[_0x2bd6aa(0x40e)](_0x58e4c6,_0x561ba8)),_0x58e4c6['lastPauseAt']&&(_0x301382[_0x2bd6aa(0x23c0)][_0x58e4c6['id']]['globalStatusTime']=_0x58e4c6[_0x2bd6aa(0x192a)],_0x301382['rpcAgents'][_0x58e4c6['id']][_0x2bd6aa(0xed1)]=_0x58e4c6['lastPauseAt']),_0x21da98(_0x301382[_0x2bd6aa(0x23c0)][_0x58e4c6['id']]));}function _0x54109a(_0x397b9a){const _0x5de89c=_0x12f115;_0x301382[_0x5de89c(0x23c0)][_0x301382[_0x5de89c(0x626)][_0x397b9a['destaccountcode']]]&&(_0x3f65c0()[_0x5de89c(0x168d)](_0x301382[_0x5de89c(0x23c0)][_0x301382[_0x5de89c(0x626)][_0x397b9a[_0x5de89c(0x1fb9)]]],_0x3f65c0()['pick'](_0x397b9a,[_0x5de89c(0x1c72),_0x5de89c(0x1e8d)])),_0x3f65c0()[_0x5de89c(0x168d)](_0x301382[_0x5de89c(0x2445)][_0x301382[_0x5de89c(0x626)][_0x397b9a['destaccountcode']]],_0x3f65c0()[_0x5de89c(0x40e)](_0x397b9a,['queue','destconnectedlinenum'])));}function _0x580f1f(_0x59d197){const _0x3d0cfe=_0x12f115;_0x301382[_0x3d0cfe(0x23c0)][_0x301382['agentInternal'][_0x59d197['destaccountcode']]]&&(_0x301382[_0x3d0cfe(0x23c0)][_0x301382[_0x3d0cfe(0x626)][_0x59d197[_0x3d0cfe(0x1fb9)]]]=_0x3f65c0()[_0x3d0cfe(0x2432)](_0x301382[_0x3d0cfe(0x23c0)][_0x301382[_0x3d0cfe(0x626)][_0x59d197[_0x3d0cfe(0x1fb9)]]],[_0x3d0cfe(0x1c72),'destconnectedlinenum']),_0x301382[_0x3d0cfe(0x2445)][_0x301382[_0x3d0cfe(0x626)][_0x59d197[_0x3d0cfe(0x1fb9)]]]=_0x3f65c0()[_0x3d0cfe(0x2432)](_0x301382['rpcAgents'][_0x301382[_0x3d0cfe(0x626)][_0x59d197[_0x3d0cfe(0x1fb9)]]],['queue',_0x3d0cfe(0x1e8d)]));}function _0x46b735(_0x3ab0ca){const _0x5a8777=_0x12f115;return _0x2e0b3f[_0x5a8777(0xe7b)][_0x5a8777(0x2044)]({'id':_0x3ab0ca['id'],'device':_0x5a8777(0xfc4),'agent_id':_0x3ab0ca['id'],'agent_name':_0x3ab0ca[_0x5a8777(0x19eb)]})[_0x5a8777(0x2945)][_0x5a8777(0x146b)](function(){const _0x2bddfc=_0x5a8777;_0x50615f[_0x2bddfc(0x1c75)]({'title':_0x2bddfc(0x1c70),'msg':_0x3ab0ca['fullname']+_0x2bddfc(0x166c)}),_0x301382[_0x2bddfc(0x23c0)][_0x3ab0ca['id']]&&(_0x301382['agents'][_0x3ab0ca['id']][_0x2bddfc(0x538)]=![]),_0x301382[_0x2bddfc(0x2445)][_0x3ab0ca['id']]&&(_0x301382[_0x2bddfc(0x2445)][_0x3ab0ca['id']]['online']=![]),_0x43b80c();})['catch'](function(_0x32c3a6){const _0x13381e=_0x5a8777;_0x50615f[_0x13381e(0x1980)]({'title':_0x32c3a6[_0x13381e(0x107b)]?'API:'+_0x32c3a6[_0x13381e(0x107b)]+_0x13381e(0x1315)+_0x32c3a6['statusText']:'api.staff.save','msg':_0x32c3a6['data']?JSON['stringify'](_0x32c3a6[_0x13381e(0x524)][_0x13381e(0x7fd)]):_0x32c3a6[_0x13381e(0xd5f)]()});});}function _0x439b88(_0x268e4a,_0x25ce56){const _0x3de74=_0x12f115;return _0x2e0b3f[_0x3de74(0xe7b)][_0x3de74(0x1e3e)]({'id':_0x268e4a['id'],'type':_0x25ce56})[_0x3de74(0x2945)][_0x3de74(0x146b)](function(_0x3a2205){const _0xb571bd=_0x3de74;_0x301382[_0xb571bd(0x23c0)][_0x268e4a['id']]&&_0x3f65c0()[_0xb571bd(0x168d)](_0x301382[_0xb571bd(0x23c0)][_0x268e4a['id']],_0x3f65c0()[_0xb571bd(0x40e)](_0x3a2205,_0x561ba8)),_0x301382[_0xb571bd(0x2445)][_0x268e4a['id']]&&_0x3f65c0()[_0xb571bd(0x168d)](_0x301382[_0xb571bd(0x2445)][_0x268e4a['id']],_0x3f65c0()[_0xb571bd(0x40e)](_0x3a2205,_0x561ba8)),_0x21da98(_0x301382['agents'][_0x268e4a['id']]);})['catch'](function(_0x54bff8){const _0x2eacaa=_0x3de74;_0x50615f[_0x2eacaa(0x1980)]({'title':_0x54bff8[_0x2eacaa(0x107b)]?'API:'+_0x54bff8[_0x2eacaa(0x107b)]+_0x2eacaa(0x1315)+_0x54bff8[_0x2eacaa(0x167f)]:'api.staff.save','msg':_0x54bff8[_0x2eacaa(0x524)]?JSON['stringify'](_0x54bff8[_0x2eacaa(0x524)][_0x2eacaa(0x7fd)]):_0x54bff8[_0x2eacaa(0xd5f)]()});});}function _0x3fc2ec(_0x55ba50){const _0x2c50d4=_0x12f115;return _0x2e0b3f['user'][_0x2c50d4(0x974)]({'id':_0x55ba50['id']})['$promise']['then'](function(_0x3ab463){const _0x370535=_0x2c50d4;_0x301382[_0x370535(0x23c0)][_0x55ba50['id']]&&_0x3f65c0()[_0x370535(0x168d)](_0x301382[_0x370535(0x23c0)][_0x55ba50['id']],_0x3f65c0()[_0x370535(0x40e)](_0x3ab463,_0x561ba8)),_0x301382[_0x370535(0x2445)][_0x55ba50['id']]&&_0x3f65c0()[_0x370535(0x168d)](_0x301382['rpcAgents'][_0x55ba50['id']],_0x3f65c0()[_0x370535(0x40e)](_0x3ab463,_0x561ba8)),_0x21da98(_0x301382[_0x370535(0x23c0)][_0x55ba50['id']]);})['catch'](function(_0x4ab46b){const _0x4c6016=_0x2c50d4;_0x50615f[_0x4c6016(0x1980)]({'title':_0x4ab46b[_0x4c6016(0x107b)]?_0x4c6016(0x262a)+_0x4ab46b[_0x4c6016(0x107b)]+_0x4c6016(0x1315)+_0x4ab46b[_0x4c6016(0x167f)]:_0x4c6016(0x23c6),'msg':_0x4ab46b['data']?JSON['stringify'](_0x4ab46b['data'][_0x4c6016(0x7fd)]):_0x4ab46b['toString']()});});}function _0x376cc3(_0x1723cd){const _0x1e06a3=_0x12f115;_0x2aebe9[_0x1e06a3(0x2615)](_0x2aebe9['alert']()[_0x1e06a3(0xa70)](!![])[_0x1e06a3(0x1189)]('Legend')[_0x1e06a3(0x1cbe)]('
TODO\x20legend\x20status\x20+\x20state
')['ok']('Ok')[_0x1e06a3(0x1f27)](_0x1723cd));}function _0x1010fe(_0x152895,_0x4ce249){const _0x2c2253=_0x12f115;_0x2aebe9[_0x2c2253(0x2615)]({'controller':_0x2c2253(0x2610),'controllerAs':'vm','templateUrl':_0xbb22f1,'parent':angular[_0x2c2253(0x1853)](_0x32a259['body']),'targetEvent':_0x4ce249,'clickOutsideToClose':!![],'locals':{'agent':_0x152895,'agents':[],'channel':'sms','direction':'inbound','crudPermissions':_0x301382[_0x2c2253(0x2514)]}});}function _0x1d3a56(_0x10886b){const _0x4574ea=_0x12f115;return _0x10886b===_0x4574ea(0x1e3e)||_0x10886b===_0x4574ea(0x1127);}function _0x17e3d9(_0x1af723){const _0x445018=_0x12f115;return _0x1af723===_0x445018(0x1e3e);}function _0x45021e(_0x537681){const _0x3861c3=_0x12f115;return _0x537681===_0x3861c3(0x1127);}function _0x54e9f1(_0xf29fde){const _0x4ad742=_0x12f115;return _0xf29fde===_0x4ad742(0xb09)||_0xf29fde==='unknown'||_0xf29fde===_0x4ad742(0x85d)||_0xf29fde===_0x4ad742(0xad4)||_0x3f65c0()[_0x4ad742(0x1b36)](_0xf29fde);}function _0x520081(_0x3125d2,_0xf007f7){const _0x1839f7=_0x12f115;if(_0xf007f7===_0x1839f7(0xe6)){if(_0x3125d2[_0xf007f7+_0x1839f7(0x74e)]!=='idle')return _0x3125d2[_0xf007f7+_0x1839f7(0x74e)];if(_0x3125d2[_0xf007f7+_0x1839f7(0xde0)])return _0x3125d2[_0xf007f7+_0x1839f7(0x74e)]=_0x1839f7(0x1e3e),_0x1839f7(0x1e3e);return'idle';}else{if(_0x3125d2[_0xf007f7+_0x1839f7(0xde0)])return _0x3125d2[_0xf007f7+_0x1839f7(0x74e)]=_0x1839f7(0x1e3e),_0x1839f7(0x1e3e);return _0x3125d2[_0xf007f7+_0x1839f7(0x74e)];}}function _0x21da98(_0x586c84){const _0x541558=_0x12f115;let _0x1e6b4b=_0x541558(0x207d);if(_0x3f65c0()['every']([_0x520081(_0x586c84,_0x541558(0xe6)),_0x520081(_0x586c84,'chat'),_0x520081(_0x586c84,_0x541558(0x56b)),_0x520081(_0x586c84,_0x541558(0x7d9)),_0x520081(_0x586c84,_0x541558(0x929)),_0x520081(_0x586c84,'whatsapp'),_0x520081(_0x586c84,_0x541558(0x22d9))],_0x1d3a56))_0x1e6b4b=_0x541558(0x1e3e);else{if(_0x3f65c0()[_0x541558(0x1360)]([_0x520081(_0x586c84,_0x541558(0xe6)),_0x520081(_0x586c84,_0x541558(0xa7f)),_0x520081(_0x586c84,_0x541558(0x56b)),_0x520081(_0x586c84,_0x541558(0x7d9)),_0x520081(_0x586c84,'sms'),_0x520081(_0x586c84,'whatsapp'),_0x520081(_0x586c84,_0x541558(0x22d9))],_0x1d3a56))_0x1e6b4b='*pause';else _0x3f65c0()['every']([_0x520081(_0x586c84,_0x541558(0xe6)),_0x520081(_0x586c84,_0x541558(0xa7f)),_0x520081(_0x586c84,_0x541558(0x56b)),_0x520081(_0x586c84,_0x541558(0x7d9)),_0x520081(_0x586c84,'sms'),_0x520081(_0x586c84,_0x541558(0xff9)),_0x520081(_0x586c84,'fax')],_0x54e9f1)?_0x1e6b4b='idle':_0x1e6b4b=_0x541558(0x26a7);}_0x586c84[_0x541558(0x72b)]!==_0x1e6b4b&&(_0x586c84[_0x541558(0x72b)]=_0x1e6b4b,_0x586c84[_0x541558(0x5aa)]?(_0x586c84['init']=![],_0x586c84[_0x541558(0xed1)]=_0x1d3a56(_0x1e6b4b)?_0x3f65c0()[_0x541558(0x123)](_0x2deec6()(_0x586c84['lastPauseAt'])[_0x541558(0x22b0)]('x')):_0x3f65c0()[_0x541558(0x727)]([_0x586c84[_0x541558(0x1dda)],_0x586c84[_0x541558(0x1a22)],_0x586c84[_0x541558(0x10cd)],_0x586c84[_0x541558(0x1f5c)],_0x586c84[_0x541558(0xadd)],_0x586c84[_0x541558(0x6cb)],_0x586c84[_0x541558(0x47a)]])):_0x586c84['globalStatusTime']=_0x3f65c0()[_0x541558(0x123)](_0x2deec6()()['format']('x')));}function _0x3be651(_0x195cfa){const _0x25c23f=_0x12f115,_0x2bcd79=_0x3f65c0()['startsWith'](_0x301382['query'][_0x25c23f(0x206e)],'-')?_0x25c23f(0x2517):_0x25c23f(0x195);return _0x3f65c0()[_0x25c23f(0x979)](_0x195cfa,[_0x301382['query'][_0x25c23f(0x206e)][_0x25c23f(0x5f4)]('-','')],[_0x2bcd79]);}function _0x42d836(){const _0x5c712=_0x12f115;_0x4ea046&&(_0x32c442[_0x5c712(0x6c3)](_0x4ea046),_0x4ea046=null);}function _0x3594d1(_0x59365f,_0xce1449){const _0x3b7352=_0x12f115;return _0x2e0b3f[_0x3b7352(0xe7b)][_0x3b7352(0x18e1)]({'id':_0x59365f['id']},{'screenrecording':_0xce1449})[_0x3b7352(0x2945)][_0x3b7352(0x129e)](function(_0xbd137e){const _0x4fb30e=_0x3b7352;_0x50615f[_0x4fb30e(0x1980)]({'title':_0xbd137e[_0x4fb30e(0x107b)]?_0x4fb30e(0x262a)+_0xbd137e[_0x4fb30e(0x107b)]+'\x20-\x20'+_0xbd137e[_0x4fb30e(0x167f)]:_0x4fb30e(0x254f),'msg':_0xbd137e[_0x4fb30e(0x524)]?JSON[_0x4fb30e(0x10bb)](_0xbd137e[_0x4fb30e(0x524)][_0x4fb30e(0x7fd)]):_0xbd137e['toString']()});});}_0x80482c[_0x12f115(0x16ad)](_0x12f115(0x116f),function(){const _0x161032=_0x12f115;_0x5f5285[_0x161032(0x1c5f)](_0x161032(0x17de)),_0x5f5285['removeAllListeners'](_0x161032(0x324)),_0x5f5285['removeAllListeners'](_0x161032(0x118c)),_0x5f5285[_0x161032(0x1c5f)]('user:agentcomplete'),_0x42d836();});}const _0x3d0320=_0x38fadf;;const _0x4f6864=_0x4acfac['p']+_0x313a4d(0x28d7);;const _0x1183e8=_0x4acfac['p']+'src/js/modules/main/apps/sms/views/smsQueues/edit/agentadd/agentadd.html/agentadd.html';;_0x334ee0[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1abe),'$mdDialog','$q',_0x313a4d(0x4d8),_0x313a4d(0xa0a),_0x313a4d(0x1b32),'rpcQueues','api',_0x313a4d(0x279d),'toasty',_0x313a4d(0x26b6),'userProfileSection',_0x313a4d(0xa87)];function _0x334ee0(_0x55a829,_0x145473,_0xe3c666,_0x485e79,_0x3e1447,_0x4b47b9,_0x36d88c,_0x181c74,_0x3a118,_0x576dcb,_0x49f93f,_0x169c8f,_0x543902,_0x1994d4){const _0x25e0ec=_0x313a4d,_0x445d36=this,_0x2d92d8=[_0x25e0ec(0x2398),_0x25e0ec(0xbdb),_0x25e0ec(0x12a3),_0x25e0ec(0x10ed),_0x25e0ec(0x166f),_0x25e0ec(0x1e38),_0x25e0ec(0x7fd),_0x25e0ec(0x1095),'dialMethod',_0x25e0ec(0x13c4),_0x25e0ec(0x1e3d)];_0x445d36[_0x25e0ec(0x2321)]=_0x1994d4['getCurrentUser'](),_0x445d36[_0x25e0ec(0x51c)]=_0x36d88c[_0x25e0ec(0x51c)]?_0x36d88c[_0x25e0ec(0x51c)]:0x0,_0x445d36[_0x25e0ec(0x1b32)]=_0x36d88c?_0x3f65c0()['keyBy'](_0x36d88c[_0x25e0ec(0x19c7)]?_0x36d88c['rows']:[],'id'):{},_0x445d36[_0x25e0ec(0x21af)]=_0x181c74?_0x3f65c0()[_0x25e0ec(0x194)](_0x181c74['rows']?_0x181c74['rows']:[],'id'):{},_0x445d36[_0x25e0ec(0x26b6)]=_0x169c8f,_0x445d36[_0x25e0ec(0x1366)]=_0x543902&&_0x543902['count']==0x1?_0x543902['rows'][0x0]:null,_0x445d36[_0x25e0ec(0x2514)]=_0x1994d4[_0x25e0ec(0xe60)](_0x445d36['userProfileSection']?_0x445d36[_0x25e0ec(0x1366)]['crudPermissions']:null);_0x1994d4[_0x25e0ec(0x23e0)]('admin')?_0x445d36[_0x25e0ec(0x1a56)]={'type':_0x25e0ec(0x7b0),'sort':'-updatedAt','limit':0xa,'page':0x1}:_0x445d36['query']={'id':_0x445d36[_0x25e0ec(0x2321)]['id'],'channel':'sms','type':_0x25e0ec(0x7b0),'sort':_0x25e0ec(0x12f2),'limit':0xa,'page':0x1};_0x445d36[_0x25e0ec(0x1c75)]=_0x5f2690,_0x445d36['getQueues']=_0x1dbd76,_0x445d36[_0x25e0ec(0x157e)]=_0x407d80,_0x445d36[_0x25e0ec(0x13c)]=_0x5c0ad0,_0x445d36['updateQueue']=_0x393fbb,_0x445d36[_0x25e0ec(0x1db0)]=_0x52f35c,_0x445d36['onSaveMember']=_0x39f12a,_0x445d36[_0x25e0ec(0x2240)]=_0x2f3535,_0x445d36[_0x25e0ec(0x1028)]=_0x28a588,_0x445d36['onLogin']=_0x424fd1,_0x445d36[_0x25e0ec(0x600)]=_0x295f14,_0x445d36[_0x25e0ec(0x20de)]=_0x2976f6,_0x445d36[_0x25e0ec(0x206e)]=[],_0x3f65c0()[_0x25e0ec(0x1a04)](_0x445d36[_0x25e0ec(0x1b32)],function(_0x3d46ee){const _0x4d130c=_0x25e0ec;_0x445d36[_0x4d130c(0x206e)][_0x4d130c(0x1f47)](_0x3d46ee['id']);}),_0x576dcb['on'](_0x25e0ec(0x2066),_0x445d36[_0x25e0ec(0x1db0)]),_0x576dcb['on']('userSmsQueue:save',_0x445d36[_0x25e0ec(0x285f)]),_0x576dcb['on'](_0x25e0ec(0xa5b),_0x445d36[_0x25e0ec(0x2240)]),_0x576dcb['on'](_0x25e0ec(0x324),_0x445d36[_0x25e0ec(0x1028)]),_0x576dcb['on'](_0x25e0ec(0x1d3e),_0x445d36[_0x25e0ec(0x600)]),_0x576dcb['on'](_0x25e0ec(0x109d),_0x445d36[_0x25e0ec(0x1c6c)]);function _0x2976f6(){const _0x4b57cb=_0x25e0ec,_0xad78e=[];return _0x3f65c0()['forIn'](_0x445d36[_0x4b57cb(0x1b32)],function(_0x13c9cf,_0x1e67f0){const _0x55eaba=_0x4b57cb;_0x13c9cf[_0x55eaba(0x1e3d)]=0x0,_0x13c9cf[_0x55eaba(0x1899)]=0x0,_0x445d36[_0x55eaba(0x1b32)][_0x1e67f0][_0x55eaba(0x23c0)]={},_0xad78e[_0x55eaba(0x1f47)](_0x3a118[_0x55eaba(0xf80)][_0x55eaba(0x24c3)]({'id':_0x1e67f0})[_0x55eaba(0x2945)]),_0x445d36[_0x55eaba(0x21af)][_0x1e67f0]&&_0x3f65c0()[_0x55eaba(0x168d)](_0x13c9cf,_0x3f65c0()[_0x55eaba(0x40e)](_0x445d36['rpcQueues'][_0x1e67f0],_0x2d92d8));}),_0x485e79[_0x4b57cb(0x1be2)](_0xad78e)[_0x4b57cb(0x146b)](function(_0x36b314){const _0x52299e=_0x4b57cb;for(let _0x5714d7=0x0;_0x5714d7<_0x36b314['length'];_0x5714d7+=0x1){for(let _0x161a5e=0x0;_0x161a5e<_0x36b314[_0x5714d7][_0x52299e(0x19c7)][_0x52299e(0x402)];_0x161a5e++){_0x36b314[_0x5714d7]['rows'][_0x161a5e][_0x52299e(0x1e3d)]&&_0x445d36[_0x52299e(0x1b32)][_0x36b314[_0x5714d7][_0x52299e(0x19c7)][_0x161a5e]['SmsQueueId']][_0x52299e(0x1e3d)]++,_0x445d36[_0x52299e(0x1b32)][_0x36b314[_0x5714d7][_0x52299e(0x19c7)][_0x161a5e][_0x52299e(0x251d)]][_0x52299e(0x1899)]++,_0x445d36[_0x52299e(0x1b32)][_0x36b314[_0x5714d7][_0x52299e(0x19c7)][_0x161a5e][_0x52299e(0x251d)]]['agents'][_0x36b314[_0x5714d7][_0x52299e(0x19c7)][_0x161a5e][_0x52299e(0xea2)]]=_0x36b314[_0x5714d7][_0x52299e(0x19c7)][_0x161a5e][_0x52299e(0x251d)];}}})['catch'](function(_0x591fc3){const _0x50cc94=_0x4b57cb;console[_0x50cc94(0x1980)](_0x591fc3);});}function _0x52f35c(_0x28cbda){const _0x20b529=_0x25e0ec;_0x445d36['queues'][_0x28cbda['id']]&&_0x3f65c0()[_0x20b529(0x168d)](_0x445d36[_0x20b529(0x1b32)][_0x28cbda['id']],_0x3f65c0()[_0x20b529(0x40e)](_0x28cbda,_0x2d92d8));}function _0x39f12a(_0x46ffd7){const _0x1aeeba=_0x25e0ec;_0x445d36[_0x1aeeba(0x1b32)][_0x46ffd7[_0x1aeeba(0x251d)]]&&_0x445d36[_0x1aeeba(0x1b32)][_0x46ffd7[_0x1aeeba(0x251d)]][_0x1aeeba(0x1899)]++;}function _0x2f3535(_0x321707){const _0x162407=_0x25e0ec;_0x445d36[_0x162407(0x1b32)][_0x321707[_0x162407(0x251d)]]&&_0x445d36[_0x162407(0x1b32)][_0x321707[_0x162407(0x251d)]][_0x162407(0x1899)]--;}function _0x28a588(_0x18993a){const _0x154fb3=_0x25e0ec;console[_0x154fb3(0x1a74)](_0x154fb3(0x1028),_0x18993a);if(_0x18993a[_0x154fb3(0x211c)])_0x3f65c0()['forIn'](_0x445d36['queues'],function(_0x189d60){const _0x203303=_0x154fb3;_0x189d60[_0x203303(0x23c0)][_0x18993a['id']]&&(_0x189d60['paused']+=0x1,console[_0x203303(0x1a74)](_0x203303(0x1e3e)));});else!_0x18993a[_0x154fb3(0x211c)]&&_0x3f65c0()['forIn'](_0x445d36[_0x154fb3(0x1b32)],function(_0x8c9b4c){const _0x49aac5=_0x154fb3;_0x8c9b4c[_0x49aac5(0x23c0)][_0x18993a['id']]&&(_0x8c9b4c['paused']>0x0&&(_0x8c9b4c[_0x49aac5(0x1e3d)]-=0x1,console[_0x49aac5(0x1a74)]('unpause')));});}function _0x424fd1(_0x4e2670){const _0x487ebf=_0x25e0ec;console['log']('onLogged',_0x4e2670),_0x445d36[_0x487ebf(0x1b32)][_0x4e2670[_0x487ebf(0x251d)]]&&(_0x445d36[_0x487ebf(0x1b32)][_0x4e2670[_0x487ebf(0x251d)]][_0x487ebf(0x1899)]+=0x1,_0x445d36['queues'][_0x4e2670['SmsQueueId']][_0x487ebf(0x23c0)][_0x4e2670[_0x487ebf(0xea2)]]=_0x4e2670['SmsQueueId']);}function _0x295f14(_0x2a9eb5){const _0xd001b3=_0x25e0ec;console[_0xd001b3(0x1a74)](_0xd001b3(0x600),_0x2a9eb5),_0x445d36[_0xd001b3(0x1b32)][_0x2a9eb5[_0xd001b3(0x251d)]]&&_0x445d36['queues'][_0x2a9eb5['SmsQueueId']][_0xd001b3(0x1899)]>0x0&&(_0x445d36[_0xd001b3(0x1b32)][_0x2a9eb5[_0xd001b3(0x251d)]][_0xd001b3(0x1899)]-=0x1,delete _0x445d36[_0xd001b3(0x1b32)][_0x2a9eb5[_0xd001b3(0x251d)]][_0xd001b3(0x23c0)][_0x2a9eb5[_0xd001b3(0xea2)]]);}let _0x440270=!![],_0x59fbba=0x1;_0x55a829[_0x25e0ec(0x21e8)]('vm.query.filter',function(_0x23c3ab,_0x2ed160){const _0x5472df=_0x25e0ec;_0x440270?_0x145473(function(){_0x440270=![];}):(!_0x2ed160&&(_0x59fbba=_0x445d36['query'][_0x5472df(0x844)]),_0x23c3ab!==_0x2ed160&&(_0x445d36[_0x5472df(0x1a56)][_0x5472df(0x844)]=0x1),!_0x23c3ab&&(_0x445d36[_0x5472df(0x1a56)][_0x5472df(0x844)]=_0x59fbba),_0x445d36[_0x5472df(0xc53)]());});function _0x5f2690(_0x3ae224){const _0x7d05f3=_0x25e0ec;_0x445d36[_0x7d05f3(0x51c)]=_0x3ae224['count'],_0x445d36[_0x7d05f3(0x1b32)]=_0x3ae224?_0x3f65c0()['keyBy'](_0x3ae224[_0x7d05f3(0x19c7)]?_0x3ae224['rows']:[],'id'):{};if(_0x3ae224[_0x7d05f3(0x19c7)]){_0x445d36[_0x7d05f3(0x206e)]=[];for(let _0x30b5f7=0x0;_0x30b5f7<_0x3ae224[_0x7d05f3(0x19c7)][_0x7d05f3(0x402)];_0x30b5f7++){_0x445d36[_0x7d05f3(0x206e)][_0x7d05f3(0x1f47)](_0x3ae224[_0x7d05f3(0x19c7)][_0x30b5f7]['id']);}}_0x2976f6();}function _0x1dbd76(){const _0x105750=_0x25e0ec;_0x445d36['query'][_0x105750(0x145d)]=(_0x445d36[_0x105750(0x1a56)][_0x105750(0x844)]-0x1)*_0x445d36['query'][_0x105750(0x221e)],_0x1994d4[_0x105750(0x23e0)]('admin')?_0x445d36['promise']=_0x3a118[_0x105750(0xf80)][_0x105750(0x16b4)](_0x445d36[_0x105750(0x1a56)],_0x5f2690)[_0x105750(0x2945)]:(_0x445d36[_0x105750(0x1a56)]['id']=_0x445d36[_0x105750(0x26b6)]['id'],_0x445d36[_0x105750(0x1a56)][_0x105750(0x2146)]='SmsQueues',_0x445d36['promise']=_0x3a118[_0x105750(0x26b6)][_0x105750(0x158f)](_0x445d36['query'],_0x5f2690)[_0x105750(0x2945)]);}function _0x407d80(_0x428634,_0x1c6866){const _0x5b6ba9=_0x25e0ec;_0xe3c666[_0x5b6ba9(0x2615)]({'controller':_0x5b6ba9(0x1c6b),'controllerAs':'vm','templateUrl':_0x4f6864,'parent':angular[_0x5b6ba9(0x1853)](_0x3e1447[_0x5b6ba9(0x2586)]),'targetEvent':_0x428634,'clickOutsideToClose':!![],'locals':{'smsQueue':_0x1c6866,'smsQueues':_0x3f65c0()[_0x5b6ba9(0x81b)](_0x445d36[_0x5b6ba9(0x1b32)]),'license':null,'setting':null,'crudPermissions':_0x445d36[_0x5b6ba9(0x2514)]}});}function _0x5c0ad0(_0x5d3530,_0x1fac0b){const _0x1fb004=_0x25e0ec;_0xe3c666['show']({'controller':_0x1fb004(0x20d4),'controllerAs':'vm','templateUrl':_0x1183e8,'parent':angular[_0x1fb004(0x1853)](_0x3e1447[_0x1fb004(0x2586)]),'targetEvent':_0x5d3530,'clickOutsideToClose':!![],'locals':{'smsQueue':_0x1fac0b,'smsQueues':_0x445d36[_0x1fb004(0x1b32)]?_0x445d36['queues'][_0x1fb004(0x19c7)]:[],'realtime':![],'crudPermissions':_0x445d36[_0x1fb004(0x2514)]}});}function _0x393fbb(_0x2fbff3){const _0x3aebe2=_0x25e0ec;return _0x3a118[_0x3aebe2(0xf80)][_0x3aebe2(0x18e1)](_0x2fbff3)[_0x3aebe2(0x2945)]['then'](function(){const _0x1a8e59=_0x3aebe2;_0x49f93f['success']({'title':'Queue\x20properly\x20updated!','msg':_0x2fbff3[_0x1a8e59(0x19eb)]?_0x2fbff3[_0x1a8e59(0x19eb)]+'\x20has\x20been\x20updated!':''});})[_0x3aebe2(0x129e)](function(_0x2d1b1c){const _0x24d101=_0x3aebe2;_0x49f93f[_0x24d101(0x1980)]({'title':_0x24d101(0x2174),'msg':_0x2d1b1c[_0x24d101(0x7fd)]});});}_0x55a829[_0x25e0ec(0x16ad)](_0x25e0ec(0x116f),function(){const _0x392af1=_0x25e0ec;_0x576dcb[_0x392af1(0x1c5f)](_0x392af1(0x2066)),_0x576dcb[_0x392af1(0x1c5f)](_0x392af1(0xa5b)),_0x576dcb[_0x392af1(0x1c5f)](_0x392af1(0x1ba4)),_0x576dcb[_0x392af1(0x1c5f)](_0x392af1(0x324)),_0x576dcb[_0x392af1(0x1c5f)](_0x392af1(0x1d3e)),_0x576dcb[_0x392af1(0x1c5f)](_0x392af1(0x109d));});}const _0x5e38e8=_0x334ee0;;function _0x4770c5(){const _0x51e85a=_0x313a4d;return{'status':{'registered':_0x51e85a(0xdf4),'unregistered':_0x51e85a(0x4c7),'lagged':_0x51e85a(0x4c7),'reachable':_0x51e85a(0xdf4),'unreachable':'red-300-fg\x20icon-close-circle','unknown':_0x51e85a(0xc4b)},'state':{'unknown':_0x51e85a(0x1fd7),'not_inuse':_0x51e85a(0x1756),'inuse':_0x51e85a(0x255c),'busy':'red-300-fg\x20icon-phone-locked','invalid':_0x51e85a(0x1fd7),'unavailable':'grey-fg\x20icon-phone-hangup','ringing':_0x51e85a(0x1172),'ringinuse':'blue-300-fg\x20icon-phone-incoming','onhold':'blue-300-fg\x20icon-phone-paused'},'channelStatus':{'ring':_0x51e85a(0x1172),'up':'green-300-fg\x20icon-phone-in-talk','hangup':_0x51e85a(0x135d)},'channelStatusOut':{'ring':_0x51e85a(0x1a13),'up':_0x51e85a(0x1668),'hangup':'red-300-fg\x20icon-phone-hangup'}};}const _0x419e8e=_0x4770c5;;_0x4b86df[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0x279d)];function _0x4b86df(_0x46b526,_0x2e5141,_0x2baf0c){const _0x1bf3dd=_0x313a4d,_0x480213=this;_0x2baf0c[_0x1bf3dd(0x194a)](),_0x2baf0c[_0x1bf3dd(0x2e3)](),_0x480213['selectedTab']=0x0;switch(_0x2e5141[_0x1bf3dd(0x2d7)]['name']){case'app.sms.realtime.agents':_0x480213['selectedTab']=0x0;break;case _0x1bf3dd(0x1ec8):_0x480213[_0x1bf3dd(0x1b09)]=0x1;break;default:_0x480213[_0x1bf3dd(0x1b09)]=0x0,_0x2e5141['go'](_0x1bf3dd(0x16a6));}_0x46b526[_0x1bf3dd(0x21e8)](_0x1bf3dd(0x241b),function(_0x176c20,_0xee9972){const _0x52a741=_0x1bf3dd;if(_0x176c20!==_0xee9972)switch(_0x176c20){case 0x0:_0x2e5141['go'](_0x52a741(0x16a6));break;case 0x1:_0x2e5141['go'](_0x52a741(0x1ec8));break;default:_0x2e5141['go'](_0x52a741(0x16a6));}});}const _0x58ff66=_0x4b86df;;_0x1080c8['$inject']=[_0x313a4d(0x921)];function _0x1080c8(_0x43515b){const _0x55aaad=_0x313a4d;_0x43515b[_0x55aaad(0x13d6)](_0x55aaad(0xe81),{'url':_0x55aaad(0x178b),'views':{'content@app':{'templateUrl':_0x591e25,'controller':_0x55aaad(0x249d)}},'resolve':{'userProfile':[_0x55aaad(0x362),_0x55aaad(0xa87),function(_0x5ab72d,_0x252400){const _0x363e73=_0x55aaad;return _0x252400[_0x363e73(0x23e0)](_0x363e73(0x174b))?null:_0x5ab72d['resolve'](_0x363e73(0x119a),{'fields':_0x363e73(0x227),'id':_0x252400[_0x363e73(0xb12)]()[_0x363e73(0x209a)]});}],'userProfileSection':['apiResolver','Auth',function(_0x224463,_0x414c2a){const _0x16beaf=_0x55aaad;return _0x414c2a[_0x16beaf(0x23e0)](_0x16beaf(0x174b))?null:_0x224463[_0x16beaf(0x2922)](_0x16beaf(0x27be),{'fields':_0x16beaf(0x1e64),'userProfileId':_0x414c2a[_0x16beaf(0xb12)]()[_0x16beaf(0x209a)],'sectionId':0x2c6});}]},'authenticate':!![],'permissionId':0x2c6})[_0x55aaad(0x13d6)](_0x55aaad(0x16a6),{'url':_0x55aaad(0x1507),'controller':_0x55aaad(0x27cd),'templateUrl':_0x49ed9a,'resolve':{'pauses':[_0x55aaad(0x362),function(_0x434720){const _0x131faf=_0x55aaad;return _0x434720[_0x131faf(0x2922)](_0x131faf(0x3f6),{'nolimit':!![]});}],'agents':['apiResolver',_0x55aaad(0xa87),function(_0x1b0d8d,_0x5840cd){const _0x6a9ece=_0x55aaad;return _0x5840cd['hasRole'](_0x6a9ece(0x174b))?_0x1b0d8d[_0x6a9ece(0x2922)](_0x6a9ece(0x2484),{'fields':_0x6a9ece(0x2869),'role':'agent','sort':_0x6a9ece(0x286a),'nolimit':!![]}):_0x1b0d8d['resolve'](_0x6a9ece(0x938),{'id':_0x5840cd[_0x6a9ece(0xb12)]()['userProfileId'],'section':_0x6a9ece(0xca8),'fields':_0x6a9ece(0x2869),'role':_0x6a9ece(0x1755),'sort':_0x6a9ece(0x286a),'nolimit':!![]});}],'userProfile':[_0x55aaad(0x362),_0x55aaad(0xa87),function(_0x5b5bb0,_0x6c9442){const _0x394055=_0x55aaad;return _0x6c9442[_0x394055(0x23e0)](_0x394055(0x174b))?null:_0x5b5bb0[_0x394055(0x2922)](_0x394055(0x119a),{'fields':'id,name,crudPermissions','id':_0x6c9442[_0x394055(0xb12)]()[_0x394055(0x209a)]});}],'userProfileSection':[_0x55aaad(0x362),_0x55aaad(0xa87),function(_0x19df40,_0xb5c256){const _0x234496=_0x55aaad;return _0xb5c256[_0x234496(0x23e0)](_0x234496(0x174b))?null:_0x19df40['resolve'](_0x234496(0x27be),{'fields':_0x234496(0x1e64),'userProfileId':_0xb5c256[_0x234496(0xb12)]()['userProfileId'],'sectionId':0xca});}],'rpcAgents':[_0x55aaad(0x362),function(_0x1b7b7a){const _0x406743=_0x55aaad;return _0x1b7b7a['resolve'](_0x406743(0x19ab));}]},'authenticate':!![],'permissionId':0x2c6})[_0x55aaad(0x13d6)](_0x55aaad(0x1ec8),{'url':_0x55aaad(0xf15),'controller':_0x55aaad(0x14b4),'templateUrl':_0x3b1ca9,'resolve':{'queues':['apiResolver',_0x55aaad(0xa87),function(_0x55cd56,_0x35e8b5){const _0x238501=_0x55aaad;return _0x35e8b5[_0x238501(0x23e0)]('admin')?_0x55cd56[_0x238501(0x2922)](_0x238501(0x20fc),{'type':_0x238501(0x7b0),'sort':_0x238501(0x12f2),'limit':0xa,'offset':0x0}):_0x55cd56[_0x238501(0x2922)](_0x238501(0x938),{'id':_0x35e8b5[_0x238501(0xb12)]()[_0x238501(0x209a)],'section':_0x238501(0xe3),'channel':_0x238501(0x929),'type':_0x238501(0x7b0),'sort':_0x238501(0x12f2),'limit':0xa,'page':0x1});}],'userProfile':[_0x55aaad(0x362),_0x55aaad(0xa87),function(_0x274585,_0x52109c){const _0x26317c=_0x55aaad;return _0x52109c['hasRole'](_0x26317c(0x174b))?null:_0x274585[_0x26317c(0x2922)](_0x26317c(0x119a),{'fields':_0x26317c(0x227),'id':_0x52109c[_0x26317c(0xb12)]()['userProfileId']});}],'userProfileSection':[_0x55aaad(0x362),_0x55aaad(0xa87),function(_0x58f83f,_0x45c77e){const _0x141a16=_0x55aaad;return _0x45c77e[_0x141a16(0x23e0)](_0x141a16(0x174b))?null:_0x58f83f[_0x141a16(0x2922)](_0x141a16(0x27be),{'fields':_0x141a16(0x1e64),'userProfileId':_0x45c77e[_0x141a16(0xb12)]()[_0x141a16(0x209a)],'sectionId':0x2bd});}],'rpcQueues':[_0x55aaad(0x362),function(_0x5932cd){const _0x1ca3ef=_0x55aaad;return _0x5932cd[_0x1ca3ef(0x2922)](_0x1ca3ef(0x1c1a));}]},'authenticate':!![],'permissionId':0x2c6});}angular['module'](_0x313a4d(0xe81),[])[_0x313a4d(0x989)](_0x1080c8)[_0x313a4d(0x28f0)]('AgentsSmsRealtimeController',_0x3d0320)[_0x313a4d(0x28f0)]('QueuesSmsRealtimeController',_0x5e38e8)[_0x313a4d(0x1750)](_0x313a4d(0x1ac0),_0x419e8e)[_0x313a4d(0x28f0)](_0x313a4d(0xa04),_0x58ff66);;const _0x331b28=_0x4acfac['p']+_0x313a4d(0xdc2);;const _0x2bf063=_0x4acfac['p']+_0x313a4d(0x7ab);;const _0x2c8a8a=_0x4acfac['p']+'src/js/modules/main/apps/sms/views/smsAccounts/smsAccounts.html/smsAccounts.html';;const _0x14173b=_0x4acfac['p']+'src/js/modules/main/apps/sms/views/smsAccounts/edit/view.html/view.html';;_0x31c293[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$state',_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x1c34),_0x313a4d(0x2209),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),'crudPermissions'];function _0x31c293(_0x44d80f,_0x455b20,_0x43ad0c,_0x4a3c8d,_0x3c4d1c,_0x205257,_0x483957,_0x4307bd,_0x5b0767,_0x463ea2,_0x1b23a3,_0x823450,_0x141da2,_0x5e04f5){const _0x1e4148=_0x313a4d,_0x4f9dc3=this;_0x4f9dc3['currentUser']=_0x1b23a3[_0x1e4148(0xb12)](),_0x4f9dc3[_0x1e4148(0xcef)]=[],_0x4f9dc3[_0x1e4148(0x15b9)]=_0x141da2,_0x4f9dc3[_0x1e4148(0x2690)]=_0x823450,_0x4f9dc3[_0x1e4148(0x2514)]=_0x5e04f5,_0x4f9dc3[_0x1e4148(0x855)]={},_0x4f9dc3[_0x1e4148(0x2251)]=_0x4f9dc3[_0x1e4148(0x15b9)]&&_0x4f9dc3['setting'][_0x1e4148(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x4f9dc3[_0x1e4148(0x1189)]=_0x1e4148(0xf7e),_0x4f9dc3[_0x1e4148(0x2209)]=angular['copy'](_0x5b0767),_0x4f9dc3[_0x1e4148(0x1c34)]=_0x4307bd,_0x4f9dc3[_0x1e4148(0x17f1)]=![];!_0x4f9dc3['smsAccount']&&(_0x4f9dc3[_0x1e4148(0x2209)]={'remote':_0x43ad0c[_0x1e4148(0x2414)]()+_0x1e4148(0xb0e)+_0x43ad0c['host']()+(_0x43ad0c['port']()?':'+_0x43ad0c[_0x1e4148(0x1553)]():''),'type':_0x1e4148(0xc08),'waitForTheAssignedAgent':0xa,'notificationSound':!![],'queueTransferTimeout':0x12c,'agentTransferTimeout':0x12c},_0x4f9dc3[_0x1e4148(0x1189)]=_0x1e4148(0x2313),_0x4f9dc3[_0x1e4148(0x17f1)]=!![]);_0x455b20[_0x1e4148(0x16a)]['id']&&(_0x4f9dc3[_0x1e4148(0x2209)][_0x1e4148(0x1481)]=_0x455b20[_0x1e4148(0x16a)]['id']);_0x4f9dc3['addNewSmsAccount']=_0x53205d,_0x4f9dc3[_0x1e4148(0x1ff3)]=_0x4f066f,_0x4f9dc3[_0x1e4148(0x1577)]=_0x49fa81,_0x4f9dc3[_0x1e4148(0xe73)]=_0x5b7e45,_0x4f9dc3[_0x1e4148(0x13f3)]=_0x1d76f1,_0x1b23a3[_0x1e4148(0x23e0)](_0x1e4148(0x174b))?_0x463ea2['cmList'][_0x1e4148(0x16b4)]({'fields':'id,name','sort':'name'})['$promise'][_0x1e4148(0x146b)](function(_0x26524c){const _0x347181=_0x1e4148;_0x4f9dc3['lists']=_0x26524c[_0x347181(0x19c7)]||[];})[_0x1e4148(0x129e)](function(_0x1509ad){const _0x2f5e80=_0x1e4148;_0x483957[_0x2f5e80(0x1980)]({'title':_0x1509ad[_0x2f5e80(0x107b)]?'API:'+_0x1509ad[_0x2f5e80(0x107b)]+_0x2f5e80(0x1315)+_0x1509ad['statusText']:_0x2f5e80(0x11b3),'msg':_0x1509ad[_0x2f5e80(0x524)]?JSON['stringify'](_0x1509ad[_0x2f5e80(0x524)]):_0x1509ad[_0x2f5e80(0xd5f)]()});}):_0x463ea2[_0x1e4148(0xf03)][_0x1e4148(0x16b4)]({'fields':_0x1e4148(0x7a7),'sort':_0x1e4148(0x19eb)})['$promise'][_0x1e4148(0x146b)](function(_0x32c96d){const _0x534eb6=_0x1e4148;_0x4f9dc3['lists']=_0x32c96d[_0x534eb6(0x19c7)]||[];})[_0x1e4148(0x146b)](function(){const _0x55e67a=_0x1e4148;return _0x463ea2[_0x55e67a(0x1366)][_0x55e67a(0x16b4)]({'userProfileId':_0x4f9dc3[_0x55e67a(0x2321)][_0x55e67a(0x209a)],'sectionId':0x12d})[_0x55e67a(0x2945)];})[_0x1e4148(0x146b)](function(_0x16f655){const _0x2d237d=_0x1e4148,_0x44f424=_0x16f655&&_0x16f655[_0x2d237d(0x19c7)]?_0x16f655[_0x2d237d(0x19c7)][0x0]:null;if(!_0x44f424){const _0xbd1953=[];let _0x2c1092=null;_0x4f9dc3[_0x2d237d(0x2209)]&&(_0x2c1092=_0x3f65c0()[_0x2d237d(0xc84)](_0x4f9dc3['lists'],{'id':Number(_0x4f9dc3[_0x2d237d(0x2209)][_0x2d237d(0xb7c)])}));for(let _0x47f3b7=0x0;_0x47f3b7<_0x4f9dc3['lists'][_0x2d237d(0x402)];_0x47f3b7++){_0x2c1092&&_0x4f9dc3[_0x2d237d(0x1324)][_0x47f3b7]['id']===_0x2c1092['id']&&(_0x4f9dc3[_0x2d237d(0x1324)][_0x47f3b7]['canSelect']=![],_0xbd1953[_0x2d237d(0x1f47)](_0x4f9dc3['lists'][_0x47f3b7]));}_0x4f9dc3['lists']=_0xbd1953;}else{if(!_0x44f424[_0x2d237d(0x11d2)])return _0x463ea2['userProfileResource'][_0x2d237d(0x16b4)]({'sectionId':_0x44f424['id']})[_0x2d237d(0x2945)][_0x2d237d(0x146b)](function(_0x44bfd8){const _0x536753=_0x2d237d,_0x1348c6=_0x3f65c0()['map'](_0x44bfd8[_0x536753(0x19c7)],function(_0x548b2e){const _0x48edfb=_0x536753;return _0x3f65c0()[_0x48edfb(0xc84)](_0x4f9dc3[_0x48edfb(0x1324)],{'id':_0x548b2e[_0x48edfb(0x18b8)]});});let _0x1f0e76=null;_0x4f9dc3[_0x536753(0x2209)]&&(_0x1f0e76=_0x3f65c0()[_0x536753(0xc84)](_0x4f9dc3['lists'],{'id':Number(_0x4f9dc3[_0x536753(0x2209)]['ListId'])}));if(_0x1f0e76&&!_0x3f65c0()[_0x536753(0x1360)](_0x1348c6,['id',_0x1f0e76['id']])){const _0x1ad206=_0x3f65c0()[_0x536753(0xc84)](_0x4f9dc3['lists'],{'id':_0x1f0e76['id']});_0x1ad206[_0x536753(0x8ff)]=![],_0x1348c6[_0x536753(0x1f47)](_0x1ad206);}_0x4f9dc3[_0x536753(0x1324)]=_0x1348c6;});}})['catch'](function(_0x42a3c2){const _0x2349a7=_0x1e4148;_0x483957[_0x2349a7(0x1980)]({'title':_0x42a3c2['status']?'API:'+_0x42a3c2[_0x2349a7(0x107b)]+_0x2349a7(0x1315)+_0x42a3c2[_0x2349a7(0x167f)]:_0x2349a7(0x1a2f),'msg':_0x42a3c2[_0x2349a7(0x524)]?JSON[_0x2349a7(0x10bb)](_0x42a3c2[_0x2349a7(0x524)]):_0x42a3c2['toString']()});});function _0x53205d(){const _0x469c9d=_0x1e4148;_0x4f9dc3[_0x469c9d(0xcef)]=[],_0x463ea2[_0x469c9d(0x2209)][_0x469c9d(0x1e3)](_0x4f9dc3[_0x469c9d(0x2209)])[_0x469c9d(0x2945)][_0x469c9d(0x146b)](function(_0x23d56d){const _0x4da362=_0x469c9d;_0x4f9dc3[_0x4da362(0x1c34)]['unshift'](_0x23d56d['toJSON']()),_0x483957[_0x4da362(0x1c75)]({'title':_0x4da362(0x901),'msg':_0x4f9dc3[_0x4da362(0x2209)][_0x4da362(0x19eb)]?_0x4f9dc3[_0x4da362(0x2209)][_0x4da362(0x19eb)]+'\x20has\x20been\x20created!':''}),_0x1d76f1(_0x23d56d);})['catch'](function(_0x3ea14c){const _0x18b7cf=_0x469c9d;if(_0x3ea14c[_0x18b7cf(0x524)]&&_0x3ea14c[_0x18b7cf(0x524)][_0x18b7cf(0xcef)]&&_0x3ea14c[_0x18b7cf(0x524)][_0x18b7cf(0xcef)][_0x18b7cf(0x402)]){_0x4f9dc3['errors']=_0x3ea14c['data'][_0x18b7cf(0xcef)]||[{'message':_0x3ea14c[_0x18b7cf(0xd5f)](),'type':'api.smsAccount.save'}];for(let _0x1ce044=0x0;_0x1ce044<_0x3ea14c[_0x18b7cf(0x524)][_0x18b7cf(0xcef)][_0x18b7cf(0x402)];_0x1ce044+=0x1){_0x483957[_0x18b7cf(0x1980)]({'title':_0x3ea14c['data']['errors'][_0x1ce044][_0x18b7cf(0x1142)],'msg':_0x3ea14c[_0x18b7cf(0x524)][_0x18b7cf(0xcef)][_0x1ce044][_0x18b7cf(0x7fd)]});}}else _0x483957['error']({'title':_0x3ea14c[_0x18b7cf(0x107b)]?_0x18b7cf(0x262a)+_0x3ea14c['status']+'\x20-\x20'+_0x3ea14c[_0x18b7cf(0x167f)]:'api.smsAccount.save','msg':_0x3ea14c['data']?JSON[_0x18b7cf(0x10bb)](_0x3ea14c[_0x18b7cf(0x524)][_0x18b7cf(0x7fd)]):_0x3ea14c[_0x18b7cf(0xd5f)]()});});}function _0x4f066f(){const _0x8e892f=_0x1e4148;_0x4f9dc3[_0x8e892f(0xcef)]=[],_0x463ea2['smsAccount'][_0x8e892f(0x18e1)]({'id':_0x4f9dc3[_0x8e892f(0x2209)]['id']},_0x4f9dc3[_0x8e892f(0x2209)])[_0x8e892f(0x2945)][_0x8e892f(0x146b)](function(_0x29d071){const _0x4413a4=_0x8e892f,_0x1dbd73=_0x3f65c0()[_0x4413a4(0xc84)](_0x4f9dc3[_0x4413a4(0x1c34)],{'id':_0x29d071['id']});_0x1dbd73&&_0x3f65c0()[_0x4413a4(0x168d)](_0x1dbd73,_0x3f65c0()[_0x4413a4(0x40e)](_0x29d071[_0x4413a4(0x2488)](),_0x3f65c0()[_0x4413a4(0x627)](_0x1dbd73))),_0x483957[_0x4413a4(0x1c75)]({'title':_0x4413a4(0x13ab),'msg':_0x4f9dc3[_0x4413a4(0x2209)][_0x4413a4(0x19eb)]?_0x4f9dc3[_0x4413a4(0x2209)][_0x4413a4(0x19eb)]+_0x4413a4(0x24db):''}),_0x1d76f1(_0x29d071);})['catch'](function(_0x3d07e5){const _0xa6fa49=_0x8e892f;if(_0x3d07e5[_0xa6fa49(0x524)]&&_0x3d07e5[_0xa6fa49(0x524)][_0xa6fa49(0xcef)]&&_0x3d07e5[_0xa6fa49(0x524)][_0xa6fa49(0xcef)]['length']){_0x4f9dc3[_0xa6fa49(0xcef)]=_0x3d07e5[_0xa6fa49(0x524)][_0xa6fa49(0xcef)]||[{'message':_0x3d07e5[_0xa6fa49(0xd5f)](),'type':_0xa6fa49(0x152d)}];for(let _0x2848b6=0x0;_0x2848b6<_0x3d07e5[_0xa6fa49(0x524)][_0xa6fa49(0xcef)]['length'];_0x2848b6++){_0x483957[_0xa6fa49(0x1980)]({'title':_0x3d07e5['data'][_0xa6fa49(0xcef)][_0x2848b6]['type'],'msg':_0x3d07e5[_0xa6fa49(0x524)][_0xa6fa49(0xcef)][_0x2848b6][_0xa6fa49(0x7fd)]});}}else _0x483957[_0xa6fa49(0x1980)]({'title':_0x3d07e5[_0xa6fa49(0x107b)]?_0xa6fa49(0x262a)+_0x3d07e5[_0xa6fa49(0x107b)]+'\x20-\x20'+_0x3d07e5[_0xa6fa49(0x167f)]:'api.smsAccount.update','msg':_0x3d07e5[_0xa6fa49(0x524)]?JSON[_0xa6fa49(0x10bb)](_0x3d07e5[_0xa6fa49(0x524)][_0xa6fa49(0x7fd)]):_0x3d07e5[_0xa6fa49(0xd5f)]()});});}function _0x49fa81(_0x586405){const _0x2cb766=_0x1e4148;_0x4f9dc3[_0x2cb766(0xcef)]=[];const _0x1d026f=_0x4a3c8d[_0x2cb766(0x1e8a)]()[_0x2cb766(0x1189)](_0x2cb766(0x1d64))['content'](_0x2cb766(0x13d))['ariaLabel'](_0x2cb766(0x124c))['ok'](_0x2cb766(0x25de))[_0x2cb766(0x6c3)]('Cancel')[_0x2cb766(0x1f27)](_0x586405);_0x4a3c8d[_0x2cb766(0x2615)](_0x1d026f)[_0x2cb766(0x146b)](function(){const _0x264bc7=_0x2cb766;_0x463ea2['smsAccount'][_0x264bc7(0x1fac)]({'id':_0x4f9dc3[_0x264bc7(0x2209)]['id']})['$promise'][_0x264bc7(0x146b)](function(){const _0x1bde22=_0x264bc7;_0x3f65c0()[_0x1bde22(0x2640)](_0x4f9dc3[_0x1bde22(0x1c34)],{'id':_0x4f9dc3[_0x1bde22(0x2209)]['id']}),_0x483957[_0x1bde22(0x1c75)]({'title':_0x1bde22(0x1cd0),'msg':(_0x4f9dc3[_0x1bde22(0x2209)][_0x1bde22(0x19eb)]||_0x1bde22(0x2209))+_0x1bde22(0x23e3)}),_0x1d76f1(_0x4f9dc3['smsAccount']);})[_0x264bc7(0x129e)](function(_0x170f30){const _0x5a4494=_0x264bc7;if(_0x170f30[_0x5a4494(0x524)]&&_0x170f30[_0x5a4494(0x524)][_0x5a4494(0xcef)]&&_0x170f30[_0x5a4494(0x524)][_0x5a4494(0xcef)][_0x5a4494(0x402)]){_0x4f9dc3['errors']=_0x170f30[_0x5a4494(0x524)][_0x5a4494(0xcef)]||[{'message':_0x170f30['toString'](),'type':_0x5a4494(0x14c)}];for(let _0x12ad22=0x0;_0x12ad22<_0x170f30['data'][_0x5a4494(0xcef)][_0x5a4494(0x402)];_0x12ad22++){_0x483957[_0x5a4494(0x1980)]({'title':_0x170f30[_0x5a4494(0x524)][_0x5a4494(0xcef)][_0x12ad22][_0x5a4494(0x1142)],'msg':_0x170f30[_0x5a4494(0x524)][_0x5a4494(0xcef)][_0x12ad22][_0x5a4494(0x7fd)]});}}else _0x483957['error']({'title':_0x170f30[_0x5a4494(0x107b)]?_0x5a4494(0x262a)+_0x170f30[_0x5a4494(0x107b)]+_0x5a4494(0x1315)+_0x170f30[_0x5a4494(0x167f)]:'api.smsAccount.delete','msg':_0x170f30[_0x5a4494(0x524)]?JSON[_0x5a4494(0x10bb)](_0x170f30[_0x5a4494(0x524)][_0x5a4494(0x7fd)]):_0x170f30[_0x5a4494(0x7fd)]||_0x170f30[_0x5a4494(0xd5f)]()});});},function(){});}function _0x5b7e45(_0x143433){return _0x143433===null?undefined:new Date(_0x143433);}function _0x1d76f1(_0x411d38){const _0x1beba1=_0x1e4148;_0x4a3c8d[_0x1beba1(0x2458)](_0x411d38);}}const _0x2c9d0b=_0x31c293;;const _0x2474c7=_0x4acfac['p']+'src/js/modules/main/apps/sms/views/smsAccounts/edit/apps/agent/dialog.html/dialog.html';;const _0x5c0026=_0x4acfac['p']+_0x313a4d(0x2678);;const _0x18f2f8=_0x4acfac['p']+'src/js/modules/main/apps/sms/views/smsAccounts/edit/apps/autoreply/dialog.html/dialog.html';;const _0x58f5a4=_0x4acfac['p']+_0x313a4d(0xcfb);;const _0x2bcf59=_0x4acfac['p']+_0x313a4d(0x1a16);;const _0x1c9a30=_0x4acfac['p']+_0x313a4d(0x202b);;const _0x580ba4=_0x4acfac['p']+'src/js/modules/main/apps/sms/views/smsAccounts/edit/apps/gotop/dialog.html/dialog.html';;const _0x15fe03=_0x4acfac['p']+'src/js/modules/main/apps/sms/views/smsAccounts/edit/apps/interval/dialog.html/dialog.html';;const _0x580a08=_0x4acfac['p']+'src/js/modules/main/apps/sms/views/smsAccounts/edit/apps/noop/dialog.html/dialog.html';;const _0x20c2d2=_0x4acfac['p']+'src/js/modules/main/apps/sms/views/smsAccounts/edit/apps/queue/dialog.html/dialog.html';;const _0x571dd9=_0x4acfac['p']+_0x313a4d(0x895);;const _0x42c7a6=_0x4acfac['p']+_0x313a4d(0x1715);;_0x4f5a5e[_0x313a4d(0x11c2)]=[_0x313a4d(0x247f),'$mdDialog',_0x313a4d(0x4d8),'toasty',_0x313a4d(0xa87)];const _0x563bcd={'agent':_0x2474c7,'amazonlex':_0x5c0026,'autoreply':_0x18f2f8,'close':_0x58f5a4,'dialogflow':_0x2bcf59,'gotoif':_0x1c9a30,'gotop':_0x580ba4,'interval':_0x15fe03,'noop':_0x580a08,'queue':_0x20c2d2,'system':_0x571dd9,'tag':_0x42c7a6};function _0x4f5a5e(_0x5f1463,_0x4e2c0f,_0x326e75,_0x48b507,_0x822b27){const _0x2e18bd=_0x313a4d,_0x479de7=this;_0x479de7[_0x2e18bd(0x2321)]=_0x822b27['getCurrentUser'](),_0x479de7['smsAccount']={},_0x479de7[_0x2e18bd(0x102f)]={'count':0x0,'rows':[]},_0x479de7[_0x2e18bd(0xb3a)]=[],_0x479de7[_0x2e18bd(0x2514)],_0x479de7[_0x2e18bd(0x1a56)]={'sort':_0x2e18bd(0xa6a)},_0x479de7[_0x2e18bd(0x8b0)]=_0x3f65c0()[_0x2e18bd(0xa6f)](_0x3f65c0()[_0x2e18bd(0x939)]([{'app':_0x2e18bd(0x1b4e),'appType':_0x2e18bd(0x2765),'types':[_0x2e18bd(0xd85),_0x2e18bd(0x1802),'list'],'fields':[],'isApp':![]},{'app':_0x2e18bd(0x309),'appType':_0x2e18bd(0x309),'type':'noop','icon':_0x2e18bd(0x1205),'interval':'*,*,*,*','required':!![],'isApp':!![],'fields':[{'title':_0x2e18bd(0x2193),'name':_0x2e18bd(0x175d),'type':'text','param':0x0}]},{'app':_0x2e18bd(0x1d47),'appType':_0x2e18bd(0x1d47),'type':_0x2e18bd(0x1d47),'icon':_0x2e18bd(0x1205),'interval':_0x2e18bd(0x1559),'required':!![],'isApp':!![],'extraApi':[{'name':'variables','field':{'name':_0x2e18bd(0x212),'key':_0x2e18bd(0x19eb)},'route':_0x2e18bd(0x212),'filters':{'fields':'id,name','sort':_0x2e18bd(0x19eb),'nolimit':!![]},'permissions':{'section':0x3f4}}],'fields':[{'title':_0x2e18bd(0x1d8b),'name':_0x2e18bd(0x23e9),'type':_0x2e18bd(0xa8d),'required':!![],'param':0x0},{'title':'Variable','name':_0x2e18bd(0x212),'type':_0x2e18bd(0x28c6),'values':'variables','value':_0x2e18bd(0x1566),'option':_0x2e18bd(0x1566),'defaultValues':[{'value':'\x27\x27','option':_0x2e18bd(0x13dd)}],'defaultValue':'\x27\x27','param':0x1}]},{'app':_0x2e18bd(0x28f4),'appType':_0x2e18bd(0x28f4),'type':'goto','icon':_0x2e18bd(0x1205),'interval':_0x2e18bd(0x1559),'isApp':!![],'fields':[{'title':_0x2e18bd(0x23d8),'name':_0x2e18bd(0xa6a),'type':_0x2e18bd(0x181),'required':!![],'min':0x0,'param':0x0}]},{'app':_0x2e18bd(0x609),'appType':_0x2e18bd(0x609),'type':_0x2e18bd(0x609),'icon':'icon-apps','interval':_0x2e18bd(0x1559),'isApp':!![],'fields':[{'title':_0x2e18bd(0x15db),'name':'condition','type':_0x2e18bd(0xa8d),'required':!![],'param':0x0},{'title':_0x2e18bd(0x1d78),'name':_0x2e18bd(0x1d78),'type':_0x2e18bd(0x181),'min':0x1,'required':!![],'param':0x1},{'title':_0x2e18bd(0x28e1),'name':_0x2e18bd(0x28e1),'type':_0x2e18bd(0x181),'min':0x1,'required':!![],'param':0x2}]},{'app':_0x2e18bd(0x1c72),'appType':'queue','foreignKey':_0x2e18bd(0x251d),'type':_0x2e18bd(0x1c72),'icon':_0x2e18bd(0x1205),'interval':_0x2e18bd(0x1559),'isApp':!![],'extraApi':[{'name':'queues','field':{'name':_0x2e18bd(0x1c72),'key':_0x2e18bd(0x19eb)},'route':_0x2e18bd(0xf80),'filters':{'fields':_0x2e18bd(0x45e),'sort':'name','nolimit':!![]},'permissions':{'section':0x2bd}}],'fields':[{'title':'Queue','name':'queue','type':_0x2e18bd(0x28c6),'values':'queues','value':_0x2e18bd(0x15b0),'option':_0x2e18bd(0x15b0),'defaultValue':0x12c,'required':!![],'param':0x0},{'title':_0x2e18bd(0x5cc),'name':_0x2e18bd(0xa9c),'type':'number','max':0x20c49b,'min':0x0,'required':!![],'defaultValue':0x12c,'param':0x1}]},{'app':'agent','appType':_0x2e18bd(0x1755),'type':_0x2e18bd(0x1755),'foreignKey':_0x2e18bd(0xea2),'icon':_0x2e18bd(0x1205),'interval':_0x2e18bd(0x1559),'isApp':!![],'extraApi':[{'name':'agents','field':{'name':_0x2e18bd(0x1755),'key':_0x2e18bd(0x19eb)},'route':_0x2e18bd(0xe7b),'filters':{'fields':'id,name','sort':_0x2e18bd(0x19eb),'nolimit':!![],'role':_0x2e18bd(0x1755)},'permissions':{'section':0xca}}],'fields':[{'title':_0x2e18bd(0x294a),'name':_0x2e18bd(0x1755),'type':_0x2e18bd(0x28c6),'values':_0x2e18bd(0x23c0),'value':_0x2e18bd(0x264f),'option':'agent.name','required':!![],'param':0x0},{'title':_0x2e18bd(0x5cc),'name':'timeout','type':_0x2e18bd(0x181),'max':0x20c49b,'min':0x0,'required':!![],'defaultValue':0x1e,'param':0x1}]},{'app':'autoreply','appType':_0x2e18bd(0x1713),'type':_0x2e18bd(0x1713),'icon':_0x2e18bd(0x1205),'interval':_0x2e18bd(0x1559),'isApp':!![],'fields':[{'title':_0x2e18bd(0x583),'name':_0x2e18bd(0x583),'type':_0x2e18bd(0xa5f),'defaultValue':'1','values':[{'option':'One\x20Time','value':'1'},{'option':_0x2e18bd(0x132f),'value':'0'}],'required':!![],'param':0x0},{'title':_0x2e18bd(0x23d7),'name':_0x2e18bd(0xa8d),'type':_0x2e18bd(0x2350),'required':!![],'param':0x1}]},{'app':_0x2e18bd(0xa7c),'appType':_0x2e18bd(0xa7c),'type':_0x2e18bd(0xa7c),'icon':'icon-apps','interval':'*,*,*,*','isApp':!![],'fields':[{'title':_0x2e18bd(0x673),'name':_0x2e18bd(0x9e1),'type':_0x2e18bd(0xa8d),'required':!![],'param':0x0}]},{'app':_0x2e18bd(0xa80),'appType':_0x2e18bd(0xa80),'type':_0x2e18bd(0xa80),'foreignKey':_0x2e18bd(0xb0a),'icon':_0x2e18bd(0x1205),'interval':_0x2e18bd(0x1559),'isApp':!![],'extraApi':[{'name':_0x2e18bd(0x1b86),'field':{'name':_0x2e18bd(0xa80),'key':'name'},'route':'tag','filters':{'fields':_0x2e18bd(0x7a7),'sort':_0x2e18bd(0x19eb),'nolimit':!![]},'permissions':{'section':0x3f0}}],'fields':[{'title':'Tag','name':'tag','type':_0x2e18bd(0x28c6),'values':_0x2e18bd(0x1b86),'value':_0x2e18bd(0x1a96),'option':_0x2e18bd(0x1a96),'required':!![],'param':0x0}]},{'app':_0x2e18bd(0xeaa),'appType':_0x2e18bd(0xeaa),'type':_0x2e18bd(0xeaa),'icon':_0x2e18bd(0x1205),'interval':_0x2e18bd(0x1559),'isApp':!![],'fields':[{'title':'Key','name':'key','type':_0x2e18bd(0xa8d),'required':!![],'param':0x0},{'title':_0x2e18bd(0x90b),'name':_0x2e18bd(0x90b),'type':'select','defaultValue':'\x27en\x27','values':[{'option':_0x2e18bd(0x3d5),'value':_0x2e18bd(0x17c9)},{'option':_0x2e18bd(0x1ce8),'value':_0x2e18bd(0x2383)},{'option':_0x2e18bd(0x592),'value':_0x2e18bd(0x1491)},{'option':_0x2e18bd(0x98f),'value':_0x2e18bd(0x9f9)},{'option':_0x2e18bd(0xae7),'value':_0x2e18bd(0x2374)},{'option':_0x2e18bd(0x12ea),'value':_0x2e18bd(0xbe9)},{'option':_0x2e18bd(0x12f),'value':_0x2e18bd(0x27ee)},{'option':_0x2e18bd(0xf90),'value':'\x27ja\x27'},{'option':_0x2e18bd(0x11cd),'value':_0x2e18bd(0x1df9)},{'option':_0x2e18bd(0x1d32),'value':_0x2e18bd(0x7ae)},{'option':_0x2e18bd(0xcb4),'value':_0x2e18bd(0x74f)},{'option':_0x2e18bd(0x119b),'value':_0x2e18bd(0x2550)},{'option':_0x2e18bd(0x1e34),'value':_0x2e18bd(0x1505)},{'option':'Russian','value':'\x27ru\x27'},{'option':'Swedish','value':_0x2e18bd(0x1717)},{'option':_0x2e18bd(0x1449),'value':_0x2e18bd(0x136c)},{'option':_0x2e18bd(0x13bf),'value':_0x2e18bd(0x289e)},{'option':'Chinese\x20(Simplified)','value':_0x2e18bd(0x16cc)},{'option':_0x2e18bd(0x1f35),'value':_0x2e18bd(0x1a86)},{'option':'Chinese\x20(Traditional)','value':_0x2e18bd(0x1ca7)}],'required':!![],'param':0x1},{'title':'welcomemessage','name':_0x2e18bd(0xaf3),'type':'textarea','maxlength':0xff,'required':![],'param':0x2,'help':!![]}]},{'app':_0x2e18bd(0x9bb),'appType':_0x2e18bd(0xa46),'type':_0x2e18bd(0xa46),'icon':_0x2e18bd(0x1205),'interval':'*,*,*,*','isApp':!![],'fields':[{'title':_0x2e18bd(0x1bdb),'name':_0x2e18bd(0x153a),'type':_0x2e18bd(0xa8d),'required':!![],'param':0x0},{'title':_0x2e18bd(0x4ff),'name':_0x2e18bd(0x1392),'type':'text','required':!![],'param':0x1},{'title':_0x2e18bd(0x1590),'name':_0x2e18bd(0x2217),'type':'textarea','required':!![],'param':0x2},{'title':'language','name':_0x2e18bd(0x90b),'type':_0x2e18bd(0xa5f),'defaultValue':'\x27en\x27','values':[{'value':_0x2e18bd(0x1a86),'option':'Chinese\x20(Cantonese)'},{'value':_0x2e18bd(0x16cc),'option':_0x2e18bd(0x8d3)},{'value':_0x2e18bd(0x1ca7),'option':_0x2e18bd(0x1cde)},{'value':_0x2e18bd(0x17c9),'option':_0x2e18bd(0x3d5)},{'value':_0x2e18bd(0x7ae),'option':_0x2e18bd(0x1d32)},{'value':'\x27en\x27','option':_0x2e18bd(0x1ce8)},{'value':_0x2e18bd(0x17ee),'option':_0x2e18bd(0x24ea)},{'value':'\x27en-CA\x27','option':'English\x20(Canada)'},{'value':_0x2e18bd(0x21ce),'option':_0x2e18bd(0x3c9)},{'value':'\x27en-IN\x27','option':_0x2e18bd(0x688)},{'value':_0x2e18bd(0x1d0f),'option':_0x2e18bd(0x4e8)},{'value':_0x2e18bd(0xbe9),'option':_0x2e18bd(0x12ea)},{'value':_0x2e18bd(0x135b),'option':_0x2e18bd(0x3e1)},{'value':_0x2e18bd(0x1906),'option':_0x2e18bd(0x1c51)},{'value':'\x27de\x27','option':_0x2e18bd(0x592)},{'value':'\x27hi\x27','option':_0x2e18bd(0x28c1)},{'value':_0x2e18bd(0x27ee),'option':_0x2e18bd(0x12f)},{'value':'\x27it\x27','option':'Italian'},{'value':_0x2e18bd(0x27d7),'option':'Japanese'},{'value':_0x2e18bd(0x1df9),'option':_0x2e18bd(0x1dc)},{'value':_0x2e18bd(0x74f),'option':_0x2e18bd(0xcb4)},{'value':_0x2e18bd(0x3f1),'option':_0x2e18bd(0xe06)},{'value':_0x2e18bd(0x211e),'option':_0x2e18bd(0x1aaf)},{'value':_0x2e18bd(0xe6d),'option':_0x2e18bd(0x1d1)},{'value':_0x2e18bd(0x18d0),'option':_0x2e18bd(0x25d4)},{'value':_0x2e18bd(0x2374),'option':'Spanish'},{'value':'\x27es-419\x27','option':_0x2e18bd(0x12c9)},{'value':_0x2e18bd(0x1370),'option':_0x2e18bd(0x13ad)},{'value':_0x2e18bd(0x752),'option':'Swedish'},{'value':_0x2e18bd(0x136c),'option':_0x2e18bd(0x1449)},{'value':'\x27tr\x27','option':_0x2e18bd(0x15a8)},{'value':_0x2e18bd(0x289e),'option':_0x2e18bd(0x13bf)}],'required':!![],'param':0x3},{'title':_0x2e18bd(0xaf3),'name':_0x2e18bd(0xaf3),'type':_0x2e18bd(0x2350),'maxlength':0xff,'param':0x4,'help':!![]}]},{'app':_0x2e18bd(0x17d6),'appType':_0x2e18bd(0x17d6),'type':'amazonlex','icon':_0x2e18bd(0x1205),'interval':'*,*,*,*','isApp':!![],'fields':[{'title':_0x2e18bd(0x1651),'name':_0x2e18bd(0x1651),'type':_0x2e18bd(0xa8d),'required':!![],'param':0x0},{'title':_0x2e18bd(0x252),'name':'secretaccesskey','type':'text','required':!![],'param':0x1},{'title':_0x2e18bd(0x1039),'name':'lexregion','type':'select','defaultValue':_0x2e18bd(0x2947),'values':[{'option':'US\x20East\x20(N.\x20Virginia)','value':_0x2e18bd(0x2947)},{'option':'US\x20West\x20(Oregon)','value':_0x2e18bd(0x22fd)},{'option':_0x2e18bd(0x6a8),'value':_0x2e18bd(0x2940)},{'option':'Asia\x20Pacific\x20(Sydney)','value':_0x2e18bd(0x304)}],'required':!![],'param':0x2},{'title':'botname','name':_0x2e18bd(0x8dd),'type':_0x2e18bd(0xa8d),'required':!![],'param':0x3},{'title':_0x2e18bd(0xaf3),'name':'welcomemessage','type':_0x2e18bd(0x2350),'maxlength':0xff,'required':![],'param':0x4,'help':!![]}]}],[_0x2e18bd(0x1873)]),{'isApp':![]}),_0x479de7['list']={'group':{'name':_0x2e18bd(0x156c),'pull':_0x2e18bd(0x12cc)},'animation':0x64,'sort':![]},_0x479de7[_0x2e18bd(0x25fc)]={'group':{'name':_0x2e18bd(0x916),'put':_0x2e18bd(0x156c)},'animation':0x64,'onAdd':function(_0x29fb32){_0x15040f(_0x29fb32,_0x29fb32['newIndex']);},'onSort':function(){_0x19d9dc();}},_0x479de7['init']=_0x533874,_0x479de7[_0x2e18bd(0x19b4)]=_0x3594e6,_0x479de7[_0x2e18bd(0x477)]=_0xaea1c,_0x479de7[_0x2e18bd(0x12e6)]=_0x15040f,_0x479de7['editInterval']=_0x2843c6,_0x479de7[_0x2e18bd(0x560)]=_0xa4fbe3,_0x479de7[_0x2e18bd(0xf5b)]=_0x127146,_0x479de7[_0x2e18bd(0x1450)]=_0x19d9dc,_0x479de7[_0x2e18bd(0x1c1c)]=_0xdcdd43;function _0x533874(_0x384cc3,_0x134f20){const _0x183cac=_0x2e18bd;_0x479de7[_0x183cac(0x2209)]=_0x384cc3,_0x479de7[_0x183cac(0x2514)]=typeof _0x134f20!==_0x183cac(0x2274)?_0x134f20:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x479de7[_0x183cac(0x25fc)]['disabled']=!_0x479de7[_0x183cac(0x2514)]['canEdit']?!![]:![],_0x479de7[_0x183cac(0x1a56)]['id']=_0x384cc3['id'],_0x479de7[_0x183cac(0x1a56)][_0x183cac(0x16f6)]=!![],_0x479de7[_0x183cac(0x1a56)][_0x183cac(0x6c5)]=!![],_0x479de7[_0x183cac(0x477)](),_0x479de7['getIntervals']();}function _0x3594e6(_0x5524dd,_0x1c7574,_0x40ae6e){const _0x5a0b79=_0x2e18bd,_0x2b81c6=_0x4e2c0f[_0x5a0b79(0x1e8a)]()[_0x5a0b79(0x1189)](_0x5a0b79(0x1058))['htmlContent'](_0x5a0b79(0x16d3)+_0x5524dd[_0x5a0b79(0x1873)]+_0x5a0b79(0x252f)+_0x5a0b79(0xe01))[_0x5a0b79(0x4bd)](_0x5a0b79(0x847))[_0x5a0b79(0x1f27)](_0x40ae6e)['ok']('OK')[_0x5a0b79(0x6c3)]('CANCEL');_0x4e2c0f[_0x5a0b79(0x2615)](_0x2b81c6)[_0x5a0b79(0x146b)](function(){const _0x17ef09=_0x5a0b79;_0x479de7[_0x17ef09(0x102f)]['rows'][_0x17ef09(0x1f7d)](_0x1c7574,0x1),_0x19d9dc();},function(){const _0x2cd7af=_0x5a0b79;console[_0x2cd7af(0x1a74)]('CANCEL');});}function _0x15040f(_0x36ddd2,_0x383e9c){const _0x396ce2=_0x2e18bd;if(_0x479de7['smsAccountApps'][_0x396ce2(0x19c7)][_0x396ce2(0x402)]){const _0x5d1133=_0x479de7[_0x396ce2(0x102f)]['rows'][_0x383e9c]?_0x479de7[_0x396ce2(0x102f)][_0x396ce2(0x19c7)][_0x383e9c]:_0x479de7[_0x396ce2(0x102f)][_0x396ce2(0x19c7)][0x0],_0x54bd79=(_0x5d1133[_0x396ce2(0x8f2)]||_0x5d1133['app'])[_0x396ce2(0x256e)]();_0x4e2c0f[_0x396ce2(0x2615)]({'controller':_0x396ce2(0xe18)+_0x54bd79+'DialogController','controllerAs':'vm','templateUrl':_0x563bcd[_0x54bd79],'parent':angular[_0x396ce2(0x1853)](_0x326e75['body']),'targetEvent':_0x36ddd2,'clickOutsideToClose':!![],'locals':{'smsAccountApp':_0x5d1133,'smsAccount':_0x479de7[_0x396ce2(0x2209)],'crudPermissions':_0x479de7[_0x396ce2(0x2514)]}})[_0x396ce2(0x146b)](function(_0x479b06){const _0x11cbee=_0x396ce2;_0x479b06&&(_0x479b06['id']?_0x479de7[_0x11cbee(0x102f)]['rows'][_0x383e9c]=_0x479b06:_0x479de7[_0x11cbee(0x102f)]['rows']['splice'](_0x383e9c,0x0,_0x479b06),_0x19d9dc());})['catch'](function(_0x3ee11a){const _0x170990=_0x396ce2;_0x3ee11a&&_0x48b507[_0x170990(0x1980)]({'title':_0x3ee11a[_0x170990(0x107b)]?'API:'+_0x3ee11a[_0x170990(0x107b)]+'\x20-\x20'+_0x3ee11a[_0x170990(0x167f)]:_0x170990(0x10a0),'msg':_0x3ee11a[_0x170990(0x524)]?JSON[_0x170990(0x10bb)](_0x3ee11a[_0x170990(0x524)]):_0x3ee11a[_0x170990(0xd5f)]()});});}}function _0x2843c6(_0x2f2e30,_0x1a1f01){const _0x43c675=_0x2e18bd;if(_0x479de7[_0x43c675(0x102f)][_0x43c675(0x19c7)][_0x43c675(0x402)]){const _0x1b3690=_0x479de7['smsAccountApps'][_0x43c675(0x19c7)][_0x1a1f01]?_0x479de7['smsAccountApps'][_0x43c675(0x19c7)][_0x1a1f01]:_0x479de7[_0x43c675(0x102f)][_0x43c675(0x19c7)][0x0];_0x4e2c0f['show']({'controller':_0x43c675(0x1b2b),'controllerAs':'vm','templateUrl':_0x15fe03,'parent':angular['element'](_0x326e75['body']),'targetEvent':_0x2f2e30,'clickOutsideToClose':!![],'locals':{'interval':{'interval':_0x1b3690[_0x43c675(0x2765)],'IntervalId':_0x1b3690['IntervalId'],'application':!![]},'intervals':[],'crudPermissions':_0x479de7[_0x43c675(0x2514)]}})[_0x43c675(0x146b)](function(_0x2040da){const _0xec84f0=_0x43c675;_0x2040da&&(_0x1b3690[_0xec84f0(0x2765)]=_0x2040da['interval']||_0xec84f0(0x1559),_0x1b3690['IntervalId']=_0x2040da['IntervalId']||null,_0x19d9dc());});}}function _0x19d9dc(){const _0xcd4f93=_0x2e18bd;let _0x3e7fb9=0x1,_0x375968=[];for(let _0x2b4026=0x0;_0x2b4026<_0x479de7[_0xcd4f93(0x102f)][_0xcd4f93(0x19c7)]['length'];_0x2b4026++){const _0x4fa677=_0x479de7[_0xcd4f93(0x102f)]['rows'][_0x2b4026],_0x165034=[],_0xeaa716=[];_0x4fa677[_0xcd4f93(0x278f)]=_0x4fa677[_0xcd4f93(0x2765)]!=='*,*,*,*'?[_0x4fa677[_0xcd4f93(0x2765)]]:_0x4fa677[_0xcd4f93(0x1ff2)]?_0x3f65c0()[_0xcd4f93(0x205)](_0x3f65c0()[_0xcd4f93(0x1dd6)](_0x479de7[_0xcd4f93(0x278f)][_0xcd4f93(0x19c7)],{'IntervalId':_0x4fa677['IntervalId']}),_0xcd4f93(0x2765)):[],_0x4fa677[_0xcd4f93(0x1ac1)]=_0x479de7['smsAccount'][_0xcd4f93(0x1ac1)],_0x4fa677['exten']=_0x479de7[_0xcd4f93(0x2209)][_0xcd4f93(0x19b2)],_0x4fa677[_0xcd4f93(0x1142)]&&(_0x4fa677[_0xcd4f93(0x1142)]=_0x4fa677[_0xcd4f93(0x1142)][_0xcd4f93(0x256e)]()),_0x4fa677['priority']=_0x165034[_0xcd4f93(0x402)]?_0x3f65c0()['last'](_0x165034)[_0xcd4f93(0xa6a)]+0x1:_0x3e7fb9,_0x3e7fb9=(_0xeaa716[_0xcd4f93(0x402)]?_0x3f65c0()['last'](_0xeaa716)[_0xcd4f93(0xa6a)]:_0x4fa677[_0xcd4f93(0xa6a)])+0x1,_0x375968=_0x3f65c0()['concat'](_0x375968,_0x165034,[_0x4fa677],_0xeaa716);}_0x5f1463[_0xcd4f93(0x2209)][_0xcd4f93(0x1711)]({'id':_0x479de7[_0xcd4f93(0x2209)]['id']},_0x3f65c0()[_0xcd4f93(0x939)](_0x375968,_0xcd4f93(0xa6a)))[_0xcd4f93(0x2945)][_0xcd4f93(0x146b)](function(_0x361d57){const _0xea162e=_0xcd4f93;_0x479de7[_0xea162e(0x102f)][_0xea162e(0x19c7)]=_0x361d57[_0xea162e(0x19c7)];})['catch'](function(_0x2c5d60){console['error'](_0x2c5d60);});}function _0x16b6eb(_0x4a4acc){_0x479de7['smsAccountApps']=_0x4a4acc||{'count':0x0,'rows':[]};}function _0xdcdd43(){const _0x22f701=_0x2e18bd;return _0x5f1463[_0x22f701(0x2765)][_0x22f701(0x16b4)]({'fields':'id,interval,IntervalId'})[_0x22f701(0x2945)]['then'](function(_0x195c0f){const _0x108c57=_0x22f701;_0x479de7[_0x108c57(0x278f)]=_0x195c0f;})[_0x22f701(0x129e)](function(_0xeb3dae){const _0x12c93f=_0x22f701;console[_0x12c93f(0x1980)](_0xeb3dae);});}function _0xaea1c(){const _0x9adbe8=_0x2e18bd;_0x479de7[_0x9adbe8(0xb9c)]=_0x5f1463['smsAccount'][_0x9adbe8(0x261b)](_0x479de7[_0x9adbe8(0x1a56)],_0x16b6eb)[_0x9adbe8(0x2945)];}function _0xa4fbe3(_0x4e562f){const _0x3a2142=_0x2e18bd;_0x3f65c0()[_0x3a2142(0x2640)](_0x479de7[_0x3a2142(0x102f)][_0x3a2142(0x19c7)],{'id':_0x4e562f['id']}),_0x19d9dc(),_0x48b507[_0x3a2142(0x1c75)]({'title':_0x3a2142(0x1c3a),'msg':_0x4e562f[_0x3a2142(0x1873)]?_0x4e562f[_0x3a2142(0x1873)]+_0x3a2142(0x23e3):''});}function _0x127146(_0x205b74){const _0x6639ce=_0x2e18bd,_0x384fda=_0x4e2c0f[_0x6639ce(0x1e8a)]()['title'](_0x6639ce(0x1d9f))[_0x6639ce(0x1cbe)](_0x6639ce(0x16d3)+_0x479de7['selectedSmsAccountApps'][_0x6639ce(0x402)]+'\x20selected
'+_0x6639ce(0xe01))[_0x6639ce(0x4bd)](_0x6639ce(0x2674))['targetEvent'](_0x205b74)['ok']('OK')[_0x6639ce(0x6c3)]('CANCEL');_0x4e2c0f[_0x6639ce(0x2615)](_0x384fda)[_0x6639ce(0x146b)](function(){const _0x4d3f45=_0x6639ce;_0x479de7['selectedSmsAccountApps'][_0x4d3f45(0x1df5)](function(_0x2d8cc6){const _0x4fa0ba=_0x4d3f45;_0x3f65c0()['remove'](_0x479de7['smsAccountApps'][_0x4fa0ba(0x19c7)],{'id':_0x2d8cc6['id']});}),_0x479de7[_0x4d3f45(0xb3a)]=[],_0x19d9dc();});}}const _0x47a2bf=_0x4f5a5e;;_0x1a1536[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0x2209),_0x313a4d(0x1c34),_0x313a4d(0xfc4),_0x313a4d(0x214b),'Auth',_0x313a4d(0x2514)];function _0x1a1536(_0x70d13b,_0x211103,_0x47395e,_0x502b07,_0x107680,_0x1301de,_0xefcb79,_0x997df4,_0x1d7cb5,_0xdcd657){const _0x4a1bed=_0x313a4d,_0x155a96=this;_0x155a96[_0x4a1bed(0x2321)]=_0x1d7cb5['getCurrentUser'](),_0x155a96['smsAccount']=_0x107680,_0x155a96[_0x4a1bed(0x2514)]=_0xdcd657,_0x155a96[_0x4a1bed(0xfc4)]=_0xefcb79,_0x155a96[_0x4a1bed(0x1fd6)]=[],_0x155a96[_0x4a1bed(0xc4e)]=[],_0x155a96[_0x4a1bed(0x1ecf)]=[],_0x155a96[_0x4a1bed(0x2e8)]=[],_0x155a96[_0x4a1bed(0xa65)]=[],_0x155a96[_0x4a1bed(0x132)]=![],_0x155a96['onInit']=_0x1f5ad2,_0x155a96[_0x4a1bed(0x14c2)]=_0x23c7a0,_0x155a96[_0x4a1bed(0x13f3)]=_0x1c00b4,_0x155a96[_0x4a1bed(0x1f8a)]={'readOnly':!_0x155a96[_0x4a1bed(0x2514)][_0x4a1bed(0x15f4)],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':'name','line1':'fullname','line2':['name',_0x4a1bed(0x113f)],'line3':'','labelAll':_0x997df4[_0x4a1bed(0xde)](_0x4a1bed(0x2050)),'labelSelected':_0x997df4[_0x4a1bed(0xde)](_0x4a1bed(0xf73)),'transferCallback':function(){const _0x45f334=_0x4a1bed,_0x274a60=_0x3f65c0()[_0x45f334(0x1883)](_0x155a96[_0x45f334(0xa65)],_0x155a96[_0x45f334(0x1ecf)],'id');_0x155a96['pendingChanges']=_0x3f65c0()[_0x45f334(0x2635)](_0x274a60)?![]:!![];}};function _0x1f5ad2(){const _0x26024a=_0x4a1bed;return _0x1d7cb5[_0x26024a(0x23e0)]('admin')?_0x1fcc33()[_0x26024a(0x129e)](function(_0x17ca41){const _0x1ea178=_0x26024a;_0x47395e[_0x1ea178(0x1980)]({'title':_0x17ca41['status']?'API:'+_0x17ca41[_0x1ea178(0x107b)]+_0x1ea178(0x1315)+_0x17ca41[_0x1ea178(0x167f)]:_0x1ea178(0x557),'msg':_0x17ca41[_0x1ea178(0x107b)]?JSON[_0x1ea178(0x10bb)](_0x17ca41[_0x1ea178(0x524)]):_0x17ca41[_0x1ea178(0xd5f)]()});}):_0x558bdc()[_0x26024a(0x146b)](function(_0x183e00){const _0x34c9aa=_0x26024a;return _0x155a96[_0x34c9aa(0x2146)]=_0x183e00,_0x1fcc33();})[_0x26024a(0x129e)](function(_0x1a4130){const _0x2993d2=_0x26024a;_0x47395e[_0x2993d2(0x1980)]({'title':_0x1a4130[_0x2993d2(0x107b)]?'API:'+_0x1a4130[_0x2993d2(0x107b)]+_0x2993d2(0x1315)+_0x1a4130[_0x2993d2(0x167f)]:_0x2993d2(0x557),'msg':_0x1a4130[_0x2993d2(0x107b)]?JSON['stringify'](_0x1a4130[_0x2993d2(0x524)]):_0x1a4130[_0x2993d2(0xd5f)]()});});}function _0x558bdc(){return _0x211103(function(_0x4b08df,_0x288cdc){const _0x7a27a4=a0_0x3bb9;_0x502b07[_0x7a27a4(0x1366)][_0x7a27a4(0x16b4)]({'userProfileId':_0x155a96[_0x7a27a4(0x2321)]['userProfileId'],'name':'Agents'})[_0x7a27a4(0x2945)][_0x7a27a4(0x146b)](function(_0x24c7b0){const _0xdcf765=_0x7a27a4,_0x1722db=_0x24c7b0&&_0x24c7b0['rows']?_0x24c7b0[_0xdcf765(0x19c7)][0x0]:null;_0x4b08df(_0x1722db);})[_0x7a27a4(0x129e)](function(_0x47cc99){_0x288cdc(_0x47cc99);});});}function _0x1fcc33(){return _0x211103(function(_0xbf1c31,_0x2fdf61){const _0x397b38=a0_0x3bb9;return _0x42c6ac()[_0x397b38(0x146b)](function(_0x3ee882){const _0x569d82=_0x397b38;return _0x155a96[_0x569d82(0x1fd6)]=_0x3ee882[_0x569d82(0x19c7)]?_0x3ee882[_0x569d82(0x19c7)]:[],_0x1d7cb5['hasRole'](_0x569d82(0x174b))?_0x3ee882:_0x155a96[_0x569d82(0x2146)]?_0x155a96[_0x569d82(0x2146)][_0x569d82(0x11d2)]?_0x3ee882:_0xfcb84c():null;})[_0x397b38(0x146b)](function(_0x304cc6){const _0xbb941d=_0x397b38,_0x3cea9e=_0x304cc6&&_0x304cc6['rows']?_0x304cc6[_0xbb941d(0x19c7)]:[];return _0x155a96[_0xbb941d(0xc4e)]=_0x3f65c0()[_0xbb941d(0x205)](_0x3cea9e,function(_0x1ad3db){const _0x28e211=_0xbb941d;return _0x3f65c0()[_0x28e211(0xc84)](_0x155a96[_0x28e211(0x1fd6)],{'id':_0x1d7cb5[_0x28e211(0x23e0)](_0x28e211(0x174b))||_0x155a96[_0x28e211(0x2146)]['autoAssociation']?_0x1ad3db['id']:_0x1ad3db[_0x28e211(0x18b8)]});}),_0x155a96[_0xbb941d(0x2e8)]=angular[_0xbb941d(0x235a)](_0x155a96['allowedItems']),_0x155a96[_0xbb941d(0x1fd6)][_0xbb941d(0x1df5)](function(_0x4bc6c9){const _0x5b4ae9=_0xbb941d,_0x39d480=_0x3f65c0()[_0x5b4ae9(0xc84)](_0x155a96['allowedItems'],{'id':_0x4bc6c9['id']});_0x1d7cb5[_0x5b4ae9(0x23e0)](_0x5b4ae9(0x174b))?_0x4bc6c9['isValid']=!![]:_0x4bc6c9[_0x5b4ae9(0x146f)]=typeof _0x39d480!==_0x5b4ae9(0x2274)?!![]:![];}),_0x2e006f();})[_0x397b38(0x146b)](function(_0x2d0cd0){const _0xc3af53=_0x397b38,_0x29747=_0x2d0cd0&&_0x2d0cd0['rows']?_0x2d0cd0[_0xc3af53(0x19c7)]:[];_0x155a96[_0xc3af53(0x1ecf)]=_0x3f65c0()[_0xc3af53(0x205)](_0x29747,function(_0x2ecc67){const _0x1160bd=_0xc3af53,_0x1da5af=_0x3f65c0()[_0x1160bd(0xc84)](_0x155a96[_0x1160bd(0x1fd6)],{'id':_0x2ecc67['id']});return _0x1da5af['penalty']=_0x2ecc67[_0x1160bd(0x96d)]?'penalty\x20'+_0x2ecc67[_0x1160bd(0x96d)]['penalty']:'',_0x1da5af[_0x1160bd(0x113f)]=typeof _0x2ecc67['internal']!==_0x1160bd(0x2274)?'<'+_0x2ecc67[_0x1160bd(0x113f)]+'>':'',_0x1da5af;}),_0x155a96[_0xc3af53(0xa65)]=angular[_0xc3af53(0x235a)](_0x155a96['selectedItems']),_0x155a96[_0xc3af53(0x1f8a)]['selectedItems']=_0x155a96[_0xc3af53(0x1ecf)],_0x155a96[_0xc3af53(0x1f8a)]['items']=_0x3f65c0()[_0xc3af53(0x2796)](_0x155a96[_0xc3af53(0xc4e)],_0x155a96[_0xc3af53(0x1f8a)][_0xc3af53(0x1ecf)],'id'),_0xbf1c31();})[_0x397b38(0x129e)](function(_0x561191){_0x2fdf61(_0x561191);});});}function _0xfcb84c(){return _0x211103(function(_0x1a861d,_0x1d3496){const _0x38cb9a=a0_0x3bb9;return _0x502b07[_0x38cb9a(0xdcc)]['get']({'sectionId':_0x155a96['section']['id'],'nolimit':!![]})[_0x38cb9a(0x2945)][_0x38cb9a(0x146b)](function(_0x1c6185){_0x1a861d(_0x1c6185);})[_0x38cb9a(0x129e)](function(_0x17dd7b){_0x1d3496(_0x17dd7b);});});}function _0x2e006f(){return _0x211103(function(_0x468e99,_0x4792dc){const _0x100a28=a0_0x3bb9;return _0x502b07[_0x100a28(0x2209)][_0x100a28(0x333)]({'id':_0x155a96[_0x100a28(0x2209)]['id'],'fields':'id,name,internal,fullname','nolimit':!![],'role':_0x100a28(0x1755)})[_0x100a28(0x2945)][_0x100a28(0x146b)](function(_0x8b2e04){_0x468e99(_0x8b2e04);})[_0x100a28(0x129e)](function(_0x316a73){_0x4792dc(_0x316a73);});});}function _0x42c6ac(){return _0x211103(function(_0x51dd65,_0x4a8d4e){const _0x2798e0=a0_0x3bb9;return _0x502b07['user'][_0x2798e0(0x16b4)]({'fields':'id,name,internal,fullname','nolimit':!![],'role':_0x2798e0(0x1755)})['$promise'][_0x2798e0(0x146b)](function(_0x4a139b){_0x51dd65(_0x4a139b);})['catch'](function(_0x5e38c4){_0x4a8d4e(_0x5e38c4);});});}function _0x378683(_0x145844){return _0x211103(function(_0x1ab269,_0x47195f){const _0x3b34b3=a0_0x3bb9;_0x3f65c0()[_0x3b34b3(0x2635)](_0x145844)?_0x1ab269():_0x502b07[_0x3b34b3(0x2209)]['addAgents']({'id':_0x155a96[_0x3b34b3(0x2209)]['id'],'ids':_0x3f65c0()['map'](_0x145844,'id')})['$promise'][_0x3b34b3(0x146b)](function(){_0x1ab269();})[_0x3b34b3(0x129e)](function(_0x45b810){_0x47195f(_0x45b810);});});}function _0xee3ec1(_0xb9efa5){return _0x211103(function(_0x20c260,_0x31f191){const _0x4915fa=a0_0x3bb9;_0x3f65c0()[_0x4915fa(0x2635)](_0xb9efa5)?_0x20c260():_0x502b07[_0x4915fa(0x2209)]['removeAgents']({'id':_0x155a96['smsAccount']['id'],'ids':_0x3f65c0()[_0x4915fa(0x205)](_0xb9efa5,'id')})[_0x4915fa(0x2945)][_0x4915fa(0x146b)](function(){_0x20c260();})[_0x4915fa(0x129e)](function(_0x417676){_0x31f191(_0x417676);});});}function _0x23c7a0(){const _0x27f87b=_0x4a1bed,_0x2e4812=_0x3f65c0()[_0x27f87b(0x2796)](_0x155a96[_0x27f87b(0xa65)],_0x155a96[_0x27f87b(0x1ecf)],'id'),_0x49f29b=_0x3f65c0()['differenceBy'](_0x155a96['selectedItems'],_0x155a96[_0x27f87b(0xa65)],'id');return _0xee3ec1(_0x2e4812)[_0x27f87b(0x146b)](function(){return _0x378683(_0x49f29b);})[_0x27f87b(0x146b)](function(){const _0x99966e=_0x27f87b;_0x155a96['pendingChanges']=![],_0x155a96[_0x99966e(0x2e8)]=angular[_0x99966e(0x235a)](_0x155a96[_0x99966e(0xc4e)]),_0x155a96[_0x99966e(0xa65)]=angular[_0x99966e(0x235a)](_0x155a96[_0x99966e(0x1ecf)]),_0x47395e['success']({'title':_0x99966e(0x4c0),'msg':'Agents\x20association\x20has\x20been\x20updated!'});})['catch'](function(_0x57ce48){const _0x5d19e2=_0x27f87b;_0x47395e[_0x5d19e2(0x1980)]({'title':_0x57ce48['status']?'API:'+_0x57ce48[_0x5d19e2(0x107b)]+_0x5d19e2(0x1315)+_0x57ce48['statusText']:_0x5d19e2(0xa56),'msg':_0x57ce48[_0x5d19e2(0x107b)]?JSON[_0x5d19e2(0x10bb)](_0x57ce48[_0x5d19e2(0x524)]):_0x57ce48[_0x5d19e2(0xd5f)]()});});}function _0x1c00b4(){const _0x3211cd=_0x4a1bed;_0x70d13b[_0x3211cd(0x2458)]();}}const _0x4b63a8=_0x1a1536;;_0x57739e[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x80b),_0x313a4d(0x2209),_0x313a4d(0x247f),_0x313a4d(0xa87),'crudPermissions'];function _0x57739e(_0x43aa6b,_0x2210db,_0x5832a2,_0x105009,_0x2dc422,_0x3c7a76,_0x1d5b3e,_0x64f616){const _0x5581e3=_0x313a4d,_0x552f23=this;_0x552f23[_0x5581e3(0x2321)]=_0x1d5b3e['getCurrentUser'](),_0x552f23[_0x5581e3(0xcef)]=[],_0x552f23[_0x5581e3(0x1189)]=_0x5581e3(0x2525)+(_0x105009[_0x5581e3(0x8f2)]||_0x105009[_0x5581e3(0x1873)])['toUpperCase'](),_0x552f23['agent']=angular['copy'](_0x105009),_0x552f23[_0x5581e3(0x2514)]=_0x64f616,_0x552f23[_0x5581e3(0x855)]={};if(_0x552f23[_0x5581e3(0x1755)][_0x5581e3(0x168a)])switch(_0x552f23[_0x5581e3(0x1755)][_0x5581e3(0x8f2)]?_0x552f23[_0x5581e3(0x1755)]['appType'][_0x5581e3(0x256e)]():_0x552f23[_0x5581e3(0x1755)][_0x5581e3(0x1873)][_0x5581e3(0x256e)]()){case _0x5581e3(0x1802):break;case'dialogflow':{const _0x32ab56=_0x552f23['agent'][_0x5581e3(0x168a)]['split'](',');_0x552f23[_0x5581e3(0x1755)][_0x5581e3(0x2293)]=_0x32ab56[0x0],_0x552f23[_0x5581e3(0x1755)][_0x5581e3(0x90b)]=_0x32ab56[0x1],_0x552f23[_0x5581e3(0x1755)][_0x5581e3(0xaf3)]=_0x32ab56['slice'](0x2,_0x32ab56[_0x5581e3(0x402)])[_0x5581e3(0xb47)](',');}break;case _0x5581e3(0xa46):{const _0x212aff=_0x552f23['agent'][_0x5581e3(0x168a)][_0x5581e3(0x10c8)](',');_0x552f23['agent'][_0x5581e3(0x153a)]=_0x212aff[0x0],_0x552f23[_0x5581e3(0x1755)][_0x5581e3(0x1392)]=_0x212aff[0x1],_0x552f23[_0x5581e3(0x1755)]['privateKey']=_0x212aff[0x2],_0x552f23['agent']['language']=_0x212aff[0x3],_0x552f23[_0x5581e3(0x1755)]['welcomemessage']=_0x212aff[_0x5581e3(0x1298)](0x4,_0x212aff['length'])['join'](',');}break;case'amazonlex':{const _0x2552d8=_0x552f23['agent'][_0x5581e3(0x168a)][_0x5581e3(0x10c8)](',');_0x552f23[_0x5581e3(0x1755)][_0x5581e3(0x1651)]=_0x2552d8[0x0],_0x552f23[_0x5581e3(0x1755)][_0x5581e3(0x252)]=_0x2552d8[0x1],_0x552f23['agent'][_0x5581e3(0x1039)]=_0x2552d8[0x2],_0x552f23[_0x5581e3(0x1755)]['botname']=_0x2552d8[0x3],_0x552f23[_0x5581e3(0x1755)]['welcomemessage']=_0x2552d8['slice'](0x4,_0x2552d8[_0x5581e3(0x402)])[_0x5581e3(0xb47)](',');}break;case _0x5581e3(0x1713):{const _0x47990e=_0x552f23[_0x5581e3(0x1755)]['appdata'][_0x5581e3(0x10c8)](',');_0x552f23['agent'][_0x5581e3(0x583)]=isNaN(_0x47990e[0x0])?_0x47990e[0x0]:parseInt(_0x47990e[0x0],0xa),_0x552f23['agent'][_0x5581e3(0xa8d)]=_0x47990e['slice'](0x1,_0x47990e[_0x5581e3(0x402)])[_0x5581e3(0xb47)](',');}break;case _0x5581e3(0x7fd):_0x552f23[_0x5581e3(0x1755)][_0x5581e3(0xa8d)]=_0x552f23[_0x5581e3(0x1755)][_0x5581e3(0x168a)];break;case'set':_0x552f23[_0x5581e3(0x1755)][_0x5581e3(0x19eb)]=_0x552f23['agent'][_0x5581e3(0x168a)][_0x5581e3(0x10c8)]('=')[0x0],_0x552f23[_0x5581e3(0x1755)][_0x5581e3(0x175d)]=_0x552f23[_0x5581e3(0x1755)][_0x5581e3(0x168a)][_0x5581e3(0x10c8)]('=')[0x1];break;case'agi':_0x552f23['agent'][_0x5581e3(0x9e0)]=_0x552f23[_0x5581e3(0x1755)][_0x5581e3(0x168a)];break;default:{const _0x2c7b89=_0x552f23[_0x5581e3(0x1755)][_0x5581e3(0x168a)][_0x5581e3(0x10c8)](',');_0x552f23[_0x5581e3(0x1755)][_0x5581e3(0x1755)]=_0x3f65c0()[_0x5581e3(0x2635)](_0x2c7b89[0x0])?_0x2c7b89[0x0]:isNaN(_0x2c7b89[0x0])?_0x2c7b89[0x0]:parseInt(_0x2c7b89[0x0],0xa),_0x552f23[_0x5581e3(0x1755)][_0x5581e3(0xa9c)]=_0x3f65c0()[_0x5581e3(0x2635)](_0x2c7b89[0x1])?_0x2c7b89[0x1]:isNaN(_0x2c7b89[0x1])?_0x2c7b89[0x1]:parseInt(_0x2c7b89[0x1],0xa);}break;}else _0x552f23[_0x5581e3(0x1755)][_0x5581e3(0xa9c)]=0x1e;_0x552f23[_0x5581e3(0x1755)][_0x5581e3(0x1142)]&&_0x552f23[_0x5581e3(0x1755)]['type'][_0x5581e3(0x256e)]()===_0x5581e3(0xc9c)&&_0x552f23[_0x5581e3(0x1755)][_0x5581e3(0x8f2)][_0x5581e3(0x256e)]()===_0x5581e3(0x3bb)&&(_0x552f23[_0x5581e3(0x1755)][_0x5581e3(0x1e12)]=_0x552f23[_0x5581e3(0x1755)][_0x5581e3(0x1d55)]?_0x552f23[_0x5581e3(0x1755)]['phone'][_0x5581e3(0x10c8)]('$')[0x0]:undefined,_0x552f23['agent'][_0x5581e3(0x1d43)]=_0x552f23[_0x5581e3(0x1755)][_0x5581e3(0x11be)]?_0x5581e3(0x1b60)+_0x552f23[_0x5581e3(0x1755)][_0x5581e3(0x11be)]:undefined);_0x552f23['saveSmsAccountApp']=_0x29ae73,_0x552f23[_0x5581e3(0x13f3)]=_0x41b216,_0x1d5b3e[_0x5581e3(0x23e0)]('admin')?_0x3c7a76[_0x5581e3(0xe7b)]['get']({'fields':_0x5581e3(0x7a7),'sort':_0x5581e3(0x19eb),'nolimit':'true','role':'agent'})[_0x5581e3(0x2945)]['then'](function(_0x42ac2b){_0x552f23['agents']=_0x42ac2b['rows']||[];})[_0x5581e3(0x129e)](function(_0x39adbc){const _0x3dc5b7=_0x5581e3;_0x5832a2[_0x3dc5b7(0x1980)]({'title':_0x39adbc['status']?'API:'+_0x39adbc[_0x3dc5b7(0x107b)]+_0x3dc5b7(0x1315)+_0x39adbc[_0x3dc5b7(0x167f)]:_0x3dc5b7(0x557),'msg':_0x39adbc[_0x3dc5b7(0x524)]?JSON[_0x3dc5b7(0x10bb)](_0x39adbc[_0x3dc5b7(0x524)]):_0x39adbc[_0x3dc5b7(0xd5f)]()});}):_0x3c7a76[_0x5581e3(0xe7b)][_0x5581e3(0x16b4)]({'fields':_0x5581e3(0x7a7),'sort':'name','nolimit':_0x5581e3(0x1185),'role':_0x5581e3(0x1755)})[_0x5581e3(0x2945)]['then'](function(_0x32908d){const _0x1b16c6=_0x5581e3;_0x552f23[_0x1b16c6(0x23c0)]=_0x32908d[_0x1b16c6(0x19c7)]||[];})[_0x5581e3(0x146b)](function(){const _0x4b4694=_0x5581e3;return _0x3c7a76['userProfileSection'][_0x4b4694(0x16b4)]({'userProfileId':_0x552f23[_0x4b4694(0x2321)][_0x4b4694(0x209a)],'sectionId':0xca})[_0x4b4694(0x2945)];})[_0x5581e3(0x146b)](function(_0x1b9c16){const _0x3a7772=_0x5581e3,_0x7a6cc1=_0x1b9c16&&_0x1b9c16[_0x3a7772(0x19c7)]?_0x1b9c16[_0x3a7772(0x19c7)][0x0]:null;if(!_0x7a6cc1){const _0x21c976=[];let _0x4c2ecd=null;_0x552f23[_0x3a7772(0x1755)]&&(_0x4c2ecd=_0x3f65c0()[_0x3a7772(0xc84)](_0x552f23[_0x3a7772(0x23c0)],{'name':_0x552f23[_0x3a7772(0x1755)][_0x3a7772(0x1755)]}));for(let _0x322ac3=0x0;_0x322ac3<_0x552f23['agents'][_0x3a7772(0x402)];_0x322ac3++){_0x4c2ecd&&_0x552f23[_0x3a7772(0x23c0)][_0x322ac3]['id']===_0x4c2ecd['id']&&(_0x552f23[_0x3a7772(0x23c0)][_0x322ac3][_0x3a7772(0x8ff)]=![],_0x21c976[_0x3a7772(0x1f47)](_0x552f23[_0x3a7772(0x23c0)][_0x322ac3]));}_0x552f23[_0x3a7772(0x23c0)]=_0x21c976;}else{if(!_0x7a6cc1['autoAssociation'])return _0x3c7a76[_0x3a7772(0xdcc)][_0x3a7772(0x16b4)]({'sectionId':_0x7a6cc1['id']})[_0x3a7772(0x2945)]['then'](function(_0x23eda5){const _0x1d290a=_0x3a7772,_0x65f856=_0x3f65c0()[_0x1d290a(0x205)](_0x23eda5[_0x1d290a(0x19c7)],function(_0x591ed3){const _0x1a61a0=_0x1d290a;return _0x3f65c0()['find'](_0x552f23['agents'],{'id':_0x591ed3[_0x1a61a0(0x18b8)]});});let _0x4b3a0c=null;_0x552f23['agent']&&(_0x4b3a0c=_0x3f65c0()[_0x1d290a(0xc84)](_0x552f23[_0x1d290a(0x23c0)],{'name':_0x552f23['agent'][_0x1d290a(0x1755)]}));if(_0x4b3a0c&&!_0x3f65c0()[_0x1d290a(0x1360)](_0x65f856,['id',_0x4b3a0c['id']])){const _0x3859b3=_0x3f65c0()['find'](_0x552f23[_0x1d290a(0x23c0)],{'id':_0x4b3a0c['id']});_0x3859b3['canSelect']=![],_0x65f856['push'](_0x3859b3);}_0x552f23[_0x1d290a(0x23c0)]=_0x65f856;});}})[_0x5581e3(0x129e)](function(_0x3feb18){const _0x252650=_0x5581e3;_0x5832a2[_0x252650(0x1980)]({'title':_0x3feb18['status']?_0x252650(0x262a)+_0x3feb18[_0x252650(0x107b)]+_0x252650(0x1315)+_0x3feb18[_0x252650(0x167f)]:_0x252650(0x788),'msg':_0x3feb18[_0x252650(0x524)]?JSON[_0x252650(0x10bb)](_0x3feb18['data']):_0x3feb18[_0x252650(0xd5f)]()});});function _0x29ae73(){const _0x556379=_0x5581e3;_0x552f23[_0x556379(0xcef)]=[];const _0xe36034=[];_0x552f23[_0x556379(0x1755)][_0x556379(0x1142)]&&_0x552f23[_0x556379(0x1755)][_0x556379(0x1142)]['toLowerCase']()===_0x556379(0xc9c)&&_0x552f23[_0x556379(0x1755)][_0x556379(0x8f2)]==='outboundDial'&&(_0x552f23[_0x556379(0x1755)]['phone']=_0x2dc422[_0x556379(0x1274)]?(_0x552f23[_0x556379(0x1755)][_0x556379(0x1e12)]||'')+_0x556379(0x2147)+_0x2dc422[_0x556379(0x1274)]+'}':(_0x552f23['agent'][_0x556379(0x1e12)]||'')+'${EXTEN}',_0x2dc422[_0x556379(0x1425)]!==_0x556379(0x1642)?_0x552f23[_0x556379(0x1755)]['options']['indexOf'](_0x556379(0x1ea4))<0x0&&(_0x552f23[_0x556379(0x1755)][_0x556379(0xa08)]+='U(xcally-mixmonitor-context)'):_0x552f23[_0x556379(0x1755)][_0x556379(0xa08)]=_0x552f23[_0x556379(0x1755)][_0x556379(0xa08)][_0x556379(0x5f4)](_0x556379(0x1ea4),''));const _0x92214f=_0x3f65c0()[_0x556379(0xc84)](_0x552f23[_0x556379(0x23c0)],{'name':_0x552f23['agent'][_0x556379(0x1755)]});_0x92214f&&(_0x552f23[_0x556379(0x1755)][_0x556379(0xea2)]=_0x92214f['id']);if(_0x552f23[_0x556379(0x1755)][_0x556379(0x8f2)]&&_0x552f23[_0x556379(0x1755)][_0x556379(0x8f2)]===_0x556379(0x1802)){}else switch((_0x552f23[_0x556379(0x1755)][_0x556379(0x1873)]||_0x552f23[_0x556379(0x1755)][_0x556379(0x8f2)])[_0x556379(0x256e)]()){case'set':_0x552f23['agent'][_0x556379(0x168a)]=_0x552f23[_0x556379(0x1755)][_0x556379(0x19eb)]+'='+_0x552f23[_0x556379(0x1755)][_0x556379(0x175d)];break;case'custom':break;default:_0xe36034[0x0]=_0x552f23[_0x556379(0x1755)]['agent'],_0xe36034[0x1]=_0x552f23[_0x556379(0x1755)][_0x556379(0xa9c)],_0x552f23[_0x556379(0x1755)]['appdata']=_0xe36034[_0x556379(0xb47)](',');}_0x41b216(_0x552f23[_0x556379(0x1755)]);}function _0x41b216(_0x1fad4d){const _0x46cfa3=_0x5581e3;_0x43aa6b[_0x46cfa3(0x2458)](_0x1fad4d);}}const _0x53a3e7=_0x57739e;;_0xbaa747[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q','toasty','smsAccountApp',_0x313a4d(0x2209),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0xbaa747(_0x1c7b68,_0x1201cf,_0x57eed1,_0x52ba4c,_0xf5535a,_0x59e759,_0x44f21b,_0x4326b6){const _0x24f1be=_0x313a4d,_0xe8d687=this;_0xe8d687['currentUser']=_0x44f21b[_0x24f1be(0xb12)](),_0xe8d687[_0x24f1be(0xcef)]=[],_0xe8d687[_0x24f1be(0x1189)]=_0x24f1be(0x2525)+(_0x52ba4c[_0x24f1be(0x8f2)]||_0x52ba4c['app'])[_0x24f1be(0x1c37)](),_0xe8d687[_0x24f1be(0x17d6)]=angular['copy'](_0x52ba4c),_0xe8d687[_0x24f1be(0x2514)]=_0x4326b6,_0xe8d687[_0x24f1be(0x855)]={};if(_0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0x168a)])switch(_0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0x8f2)]?_0xe8d687[_0x24f1be(0x17d6)]['appType'][_0x24f1be(0x256e)]():_0xe8d687['amazonlex'][_0x24f1be(0x1873)][_0x24f1be(0x256e)]()){case'custom':break;case _0x24f1be(0xeaa):{const _0x578dba=_0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0x168a)][_0x24f1be(0x10c8)](',');_0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0x2293)]=_0x578dba[0x0],_0xe8d687['amazonlex'][_0x24f1be(0x90b)]=_0x578dba[0x1],_0xe8d687[_0x24f1be(0x17d6)]['welcomemessage']=_0x578dba['slice'](0x2,_0x578dba['length'])['join'](',');}break;case'dialogflowv2':{const _0x4d96ad=_0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0x168a)][_0x24f1be(0x10c8)](',');_0xe8d687['amazonlex'][_0x24f1be(0x153a)]=_0x4d96ad[0x0],_0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0x1392)]=_0x4d96ad[0x1],_0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0x2217)]=_0x4d96ad[0x2],_0xe8d687[_0x24f1be(0x17d6)]['language']=_0x4d96ad[0x3],_0xe8d687[_0x24f1be(0x17d6)]['welcomemessage']=_0x4d96ad[_0x24f1be(0x1298)](0x4,_0x4d96ad[_0x24f1be(0x402)])[_0x24f1be(0xb47)](',');}break;case'amazonlex':{const _0x5f0bbe=_0xe8d687['amazonlex'][_0x24f1be(0x168a)]['split'](',');_0xe8d687['amazonlex'][_0x24f1be(0x1651)]=_0x5f0bbe[0x0],_0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0x252)]=_0x5f0bbe[0x1],_0xe8d687['amazonlex'][_0x24f1be(0x1039)]=_0x5f0bbe[0x2],_0xe8d687['amazonlex'][_0x24f1be(0x8dd)]=_0x5f0bbe[0x3],_0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0xaf3)]=_0x5f0bbe[_0x24f1be(0x1298)](0x4,_0x5f0bbe[_0x24f1be(0x402)])['join'](',');}break;case _0x24f1be(0x1713):{const _0x356f81=_0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0x168a)][_0x24f1be(0x10c8)](',');_0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0x583)]=isNaN(_0x356f81[0x0])?_0x356f81[0x0]:parseInt(_0x356f81[0x0],0xa),_0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0xa8d)]=_0x356f81[_0x24f1be(0x1298)](0x1,_0x356f81[_0x24f1be(0x402)])[_0x24f1be(0xb47)](',');}break;case'message':_0xe8d687['amazonlex']['text']=_0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0x168a)];break;case _0x24f1be(0x26ba):_0xe8d687[_0x24f1be(0x17d6)]['name']=_0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0x168a)][_0x24f1be(0x10c8)]('=')[0x0],_0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0x175d)]=_0xe8d687[_0x24f1be(0x17d6)]['appdata'][_0x24f1be(0x10c8)]('=')[0x1];break;case'agi':_0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0x9e0)]=_0xe8d687[_0x24f1be(0x17d6)]['appdata'];break;default:{const _0x4ffb72=_0xe8d687['amazonlex']['appdata']['split'](',');_0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0x1651)]=_0x3f65c0()[_0x24f1be(0x2635)](_0x4ffb72[0x0])?_0x4ffb72[0x0]:isNaN(_0x4ffb72[0x0])?_0x4ffb72[0x0]:parseInt(_0x4ffb72[0x0],0xa),_0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0x252)]=_0x3f65c0()['isEmpty'](_0x4ffb72[0x1])?_0x4ffb72[0x1]:isNaN(_0x4ffb72[0x1])?_0x4ffb72[0x1]:parseInt(_0x4ffb72[0x1],0xa),_0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0x1039)]=_0x3f65c0()[_0x24f1be(0x2635)](_0x4ffb72[0x2])?_0x4ffb72[0x2]:isNaN(_0x4ffb72[0x2])?_0x4ffb72[0x2]:parseInt(_0x4ffb72[0x2],0xa),_0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0x8dd)]=_0x3f65c0()[_0x24f1be(0x2635)](_0x4ffb72[0x3])?_0x4ffb72[0x3]:isNaN(_0x4ffb72[0x3])?_0x4ffb72[0x3]:parseInt(_0x4ffb72[0x3],0xa),_0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0xaf3)]=_0x3f65c0()['isEmpty'](_0x4ffb72[0x4])?_0x4ffb72[0x4]:isNaN(_0x4ffb72[0x4])?_0x4ffb72[0x4]:parseInt(_0x4ffb72[0x4],0xa);}break;}else _0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0x1039)]='us-east-1';_0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0x1142)]&&_0xe8d687['amazonlex'][_0x24f1be(0x1142)]['toLowerCase']()===_0x24f1be(0xc9c)&&_0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0x8f2)][_0x24f1be(0x256e)]()===_0x24f1be(0x3bb)&&(_0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0x1e12)]=_0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0x1d55)]?_0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0x1d55)][_0x24f1be(0x10c8)]('$')[0x0]:undefined,_0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0x1d43)]=_0xe8d687[_0x24f1be(0x17d6)][_0x24f1be(0x11be)]?_0x24f1be(0x1b60)+_0xe8d687['amazonlex'][_0x24f1be(0x11be)]:undefined);_0xe8d687[_0x24f1be(0x15de)]=_0x42e4f7,_0xe8d687[_0x24f1be(0x13f3)]=_0x27f5b2;function _0x42e4f7(){const _0x239475=_0x24f1be;_0xe8d687[_0x239475(0xcef)]=[];const _0x222e62=[];_0xe8d687[_0x239475(0x17d6)][_0x239475(0x1142)]&&_0xe8d687[_0x239475(0x17d6)][_0x239475(0x1142)][_0x239475(0x256e)]()===_0x239475(0xc9c)&&_0xe8d687['amazonlex'][_0x239475(0x8f2)]===_0x239475(0x118b)&&(_0xe8d687[_0x239475(0x17d6)][_0x239475(0x1d55)]=_0xf5535a[_0x239475(0x1274)]?(_0xe8d687['amazonlex']['prefix']||'')+_0x239475(0x2147)+_0xf5535a[_0x239475(0x1274)]+'}':(_0xe8d687['amazonlex'][_0x239475(0x1e12)]||'')+_0x239475(0x20df),_0xf5535a[_0x239475(0x1425)]!==_0x239475(0x1642)?_0xe8d687[_0x239475(0x17d6)]['options'][_0x239475(0x172b)](_0x239475(0x1ea4))<0x0&&(_0xe8d687[_0x239475(0x17d6)][_0x239475(0xa08)]+='U(xcally-mixmonitor-context)'):_0xe8d687['amazonlex'][_0x239475(0xa08)]=_0xe8d687['amazonlex']['options']['replace']('U(xcally-mixmonitor-context)',''));if(_0xe8d687['amazonlex'][_0x239475(0x8f2)]&&_0xe8d687[_0x239475(0x17d6)]['appType']===_0x239475(0x1802)){}else switch((_0xe8d687[_0x239475(0x17d6)]['app']||_0xe8d687[_0x239475(0x17d6)]['appType'])[_0x239475(0x256e)]()){case'set':_0xe8d687[_0x239475(0x17d6)][_0x239475(0x168a)]=_0xe8d687['amazonlex'][_0x239475(0x19eb)]+'='+_0xe8d687[_0x239475(0x17d6)][_0x239475(0x175d)];break;case _0x239475(0x1802):break;default:_0x222e62[0x0]=_0xe8d687['amazonlex'][_0x239475(0x1651)],_0x222e62[0x1]=_0xe8d687['amazonlex'][_0x239475(0x252)],_0x222e62[0x2]=_0xe8d687[_0x239475(0x17d6)][_0x239475(0x1039)],_0x222e62[0x3]=_0xe8d687[_0x239475(0x17d6)][_0x239475(0x8dd)],_0x222e62[0x4]=_0xe8d687[_0x239475(0x17d6)][_0x239475(0xaf3)],_0xe8d687[_0x239475(0x17d6)][_0x239475(0x168a)]=_0x222e62[_0x239475(0xb47)](',');}_0x27f5b2(_0xe8d687[_0x239475(0x17d6)]);}function _0x27f5b2(_0x5963c8){const _0x47ddd1=_0x24f1be;_0x1c7b68[_0x47ddd1(0x2458)](_0x5963c8);}}const _0x156ed4=_0xbaa747;;_0x14b63f[_0x313a4d(0x11c2)]=['$mdDialog','$q','toasty',_0x313a4d(0x80b),_0x313a4d(0x2209),'api',_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x14b63f(_0x19760c,_0x366a71,_0x2d8f09,_0x891001,_0x54cd95,_0x111c3a,_0x23195e,_0x16e056){const _0x227adc=_0x313a4d,_0x11f375=this;_0x11f375['currentUser']=_0x23195e['getCurrentUser'](),_0x11f375[_0x227adc(0xcef)]=[],_0x11f375[_0x227adc(0x1189)]=_0x227adc(0x2525)+(_0x891001['appType']||_0x891001['app'])['toUpperCase'](),_0x11f375[_0x227adc(0x1713)]=angular['copy'](_0x891001),_0x11f375[_0x227adc(0x2514)]=_0x16e056,_0x11f375[_0x227adc(0x855)]={};if(_0x11f375[_0x227adc(0x1713)][_0x227adc(0x168a)])switch(_0x11f375[_0x227adc(0x1713)]['appType']?_0x11f375[_0x227adc(0x1713)][_0x227adc(0x8f2)][_0x227adc(0x256e)]():_0x11f375['autoreply']['app'][_0x227adc(0x256e)]()){case _0x227adc(0x1802):break;case _0x227adc(0xeaa):{const _0x5385ea=_0x11f375[_0x227adc(0x1713)][_0x227adc(0x168a)]['split'](',');_0x11f375[_0x227adc(0x1713)]['key']=_0x5385ea[0x0],_0x11f375[_0x227adc(0x1713)]['language']=_0x5385ea[0x1],_0x11f375[_0x227adc(0x1713)][_0x227adc(0xaf3)]=_0x5385ea[_0x227adc(0x1298)](0x2,_0x5385ea['length'])[_0x227adc(0xb47)](',');}break;case'dialogflowv2':{const _0x5e24d3=_0x11f375['autoreply'][_0x227adc(0x168a)]['split'](',');_0x11f375['autoreply'][_0x227adc(0x153a)]=_0x5e24d3[0x0],_0x11f375['autoreply'][_0x227adc(0x1392)]=_0x5e24d3[0x1],_0x11f375[_0x227adc(0x1713)][_0x227adc(0x2217)]=_0x5e24d3[0x2],_0x11f375[_0x227adc(0x1713)]['language']=_0x5e24d3[0x3],_0x11f375[_0x227adc(0x1713)][_0x227adc(0xaf3)]=_0x5e24d3[_0x227adc(0x1298)](0x4,_0x5e24d3[_0x227adc(0x402)])['join'](',');}break;case _0x227adc(0x17d6):{const _0x2a5dc5=_0x11f375[_0x227adc(0x1713)]['appdata']['split'](',');_0x11f375[_0x227adc(0x1713)][_0x227adc(0x1651)]=_0x2a5dc5[0x0],_0x11f375[_0x227adc(0x1713)]['secretaccesskey']=_0x2a5dc5[0x1],_0x11f375['autoreply'][_0x227adc(0x1039)]=_0x2a5dc5[0x2],_0x11f375[_0x227adc(0x1713)][_0x227adc(0x8dd)]=_0x2a5dc5[0x3],_0x11f375[_0x227adc(0x1713)][_0x227adc(0xaf3)]=_0x2a5dc5[_0x227adc(0x1298)](0x4,_0x2a5dc5[_0x227adc(0x402)])[_0x227adc(0xb47)](',');}break;case _0x227adc(0x1713):{const _0x23d641=_0x11f375[_0x227adc(0x1713)][_0x227adc(0x168a)]['split'](',');_0x11f375['autoreply'][_0x227adc(0x583)]=isNaN(_0x23d641[0x0])?_0x23d641[0x0]:parseInt(_0x23d641[0x0],0xa),_0x11f375['autoreply'][_0x227adc(0xa8d)]=_0x23d641[_0x227adc(0x1298)](0x1,_0x23d641['length'])[_0x227adc(0xb47)](',');}break;case'message':_0x11f375[_0x227adc(0x1713)]['text']=_0x11f375[_0x227adc(0x1713)][_0x227adc(0x168a)];break;case'set':_0x11f375[_0x227adc(0x1713)][_0x227adc(0x19eb)]=_0x11f375['autoreply'][_0x227adc(0x168a)][_0x227adc(0x10c8)]('=')[0x0],_0x11f375[_0x227adc(0x1713)]['value']=_0x11f375[_0x227adc(0x1713)][_0x227adc(0x168a)]['split']('=')[0x1];break;case'agi':_0x11f375['autoreply']['project']=_0x11f375[_0x227adc(0x1713)][_0x227adc(0x168a)];break;default:{const _0x94f989=_0x11f375['autoreply'][_0x227adc(0x168a)][_0x227adc(0x10c8)](',');_0x11f375[_0x227adc(0x1713)][_0x227adc(0x583)]=_0x3f65c0()[_0x227adc(0x2635)](_0x94f989[0x0])?_0x94f989[0x0]:isNaN(_0x94f989[0x0])?_0x94f989[0x0]:parseInt(_0x94f989[0x0],0xa),_0x11f375['autoreply'][_0x227adc(0xa8d)]=_0x3f65c0()[_0x227adc(0x2635)](_0x94f989[0x1])?_0x94f989[0x1]:isNaN(_0x94f989[0x1])?_0x94f989[0x1]:parseInt(_0x94f989[0x1],0xa);}}else _0x11f375[_0x227adc(0x1713)][_0x227adc(0x583)]=0x1;_0x11f375[_0x227adc(0x1713)]['type']&&_0x11f375[_0x227adc(0x1713)][_0x227adc(0x1142)][_0x227adc(0x256e)]()==='outbound'&&_0x11f375[_0x227adc(0x1713)][_0x227adc(0x8f2)][_0x227adc(0x256e)]()===_0x227adc(0x3bb)&&(_0x11f375[_0x227adc(0x1713)][_0x227adc(0x1e12)]=_0x11f375[_0x227adc(0x1713)][_0x227adc(0x1d55)]?_0x11f375['autoreply']['phone'][_0x227adc(0x10c8)]('$')[0x0]:undefined,_0x11f375['autoreply'][_0x227adc(0x1d43)]=_0x11f375[_0x227adc(0x1713)][_0x227adc(0x11be)]?'CALLERID(all)='+_0x11f375[_0x227adc(0x1713)][_0x227adc(0x11be)]:undefined);_0x11f375[_0x227adc(0x15de)]=_0xbb16ad,_0x11f375[_0x227adc(0x13f3)]=_0x34a564;function _0xbb16ad(){const _0x4cef78=_0x227adc;_0x11f375['errors']=[];const _0x2cff8f=[];_0x11f375['autoreply'][_0x4cef78(0x1142)]&&_0x11f375['autoreply'][_0x4cef78(0x1142)][_0x4cef78(0x256e)]()===_0x4cef78(0xc9c)&&_0x11f375[_0x4cef78(0x1713)][_0x4cef78(0x8f2)]===_0x4cef78(0x118b)&&(_0x11f375[_0x4cef78(0x1713)][_0x4cef78(0x1d55)]=_0x54cd95['cutdigits']?(_0x11f375[_0x4cef78(0x1713)]['prefix']||'')+_0x4cef78(0x2147)+_0x54cd95[_0x4cef78(0x1274)]+'}':(_0x11f375[_0x4cef78(0x1713)][_0x4cef78(0x1e12)]||'')+_0x4cef78(0x20df),_0x54cd95[_0x4cef78(0x1425)]!==_0x4cef78(0x1642)?_0x11f375[_0x4cef78(0x1713)][_0x4cef78(0xa08)][_0x4cef78(0x172b)](_0x4cef78(0x1ea4))<0x0&&(_0x11f375['autoreply'][_0x4cef78(0xa08)]+=_0x4cef78(0x1ea4)):_0x11f375[_0x4cef78(0x1713)][_0x4cef78(0xa08)]=_0x11f375['autoreply'][_0x4cef78(0xa08)][_0x4cef78(0x5f4)](_0x4cef78(0x1ea4),''));if(_0x11f375[_0x4cef78(0x1713)]['appType']&&_0x11f375[_0x4cef78(0x1713)][_0x4cef78(0x8f2)]===_0x4cef78(0x1802)){}else switch((_0x11f375[_0x4cef78(0x1713)][_0x4cef78(0x1873)]||_0x11f375[_0x4cef78(0x1713)]['appType'])[_0x4cef78(0x256e)]()){case'set':_0x11f375[_0x4cef78(0x1713)][_0x4cef78(0x168a)]=_0x11f375[_0x4cef78(0x1713)][_0x4cef78(0x19eb)]+'='+_0x11f375['autoreply'][_0x4cef78(0x175d)];break;case'custom':break;default:_0x2cff8f[0x0]=_0x11f375['autoreply'][_0x4cef78(0x583)],_0x2cff8f[0x1]=_0x11f375[_0x4cef78(0x1713)][_0x4cef78(0xa8d)],_0x11f375[_0x4cef78(0x1713)][_0x4cef78(0x168a)]=_0x2cff8f[_0x4cef78(0xb47)](',');}_0x34a564(_0x11f375[_0x4cef78(0x1713)]);}function _0x34a564(_0x1f6b06){const _0x5a8f1e=_0x227adc;_0x19760c[_0x5a8f1e(0x2458)](_0x1f6b06);}}const _0x55aebb=_0x14b63f;;_0x13feed[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q','toasty',_0x313a4d(0x80b),_0x313a4d(0x2209),'api',_0x313a4d(0xa87),'crudPermissions'];function _0x13feed(_0x41ea96,_0x5f0765,_0x5615d0,_0x3a4de8,_0x32863f,_0xd7d0d9,_0x360cda,_0x31abde){const _0x3afb0d=_0x313a4d,_0x421eb5=this;_0x421eb5['currentUser']=_0x360cda['getCurrentUser'](),_0x421eb5[_0x3afb0d(0xcef)]=[],_0x421eb5['title']=_0x3afb0d(0x2525)+(_0x3a4de8[_0x3afb0d(0x8f2)]||_0x3a4de8[_0x3afb0d(0x1873)])[_0x3afb0d(0x1c37)](),_0x421eb5[_0x3afb0d(0xa7c)]=angular[_0x3afb0d(0x235a)](_0x3a4de8),_0x421eb5[_0x3afb0d(0x2514)]=_0x31abde,_0x421eb5[_0x3afb0d(0x855)]={};if(_0x421eb5[_0x3afb0d(0xa7c)][_0x3afb0d(0x168a)])switch(_0x421eb5[_0x3afb0d(0xa7c)][_0x3afb0d(0x8f2)]?_0x421eb5[_0x3afb0d(0xa7c)][_0x3afb0d(0x8f2)][_0x3afb0d(0x256e)]():_0x421eb5[_0x3afb0d(0xa7c)][_0x3afb0d(0x1873)][_0x3afb0d(0x256e)]()){case _0x3afb0d(0x1802):break;case _0x3afb0d(0xeaa):{const _0x39f177=_0x421eb5[_0x3afb0d(0xa7c)]['appdata'][_0x3afb0d(0x10c8)](',');_0x421eb5[_0x3afb0d(0xa7c)][_0x3afb0d(0x2293)]=_0x39f177[0x0],_0x421eb5['close'][_0x3afb0d(0x90b)]=_0x39f177[0x1],_0x421eb5['close']['welcomemessage']=_0x39f177['slice'](0x2,_0x39f177[_0x3afb0d(0x402)])['join'](',');}break;case _0x3afb0d(0xa46):{const _0x18e620=_0x421eb5[_0x3afb0d(0xa7c)]['appdata'][_0x3afb0d(0x10c8)](',');_0x421eb5[_0x3afb0d(0xa7c)][_0x3afb0d(0x153a)]=_0x18e620[0x0],_0x421eb5['close']['clientEmail']=_0x18e620[0x1],_0x421eb5[_0x3afb0d(0xa7c)][_0x3afb0d(0x2217)]=_0x18e620[0x2],_0x421eb5['close'][_0x3afb0d(0x90b)]=_0x18e620[0x3],_0x421eb5[_0x3afb0d(0xa7c)][_0x3afb0d(0xaf3)]=_0x18e620['slice'](0x4,_0x18e620[_0x3afb0d(0x402)])[_0x3afb0d(0xb47)](',');}break;case _0x3afb0d(0x17d6):{const _0x11463b=_0x421eb5['close'][_0x3afb0d(0x168a)][_0x3afb0d(0x10c8)](',');_0x421eb5[_0x3afb0d(0xa7c)][_0x3afb0d(0x1651)]=_0x11463b[0x0],_0x421eb5[_0x3afb0d(0xa7c)][_0x3afb0d(0x252)]=_0x11463b[0x1],_0x421eb5['close'][_0x3afb0d(0x1039)]=_0x11463b[0x2],_0x421eb5['close'][_0x3afb0d(0x8dd)]=_0x11463b[0x3],_0x421eb5[_0x3afb0d(0xa7c)][_0x3afb0d(0xaf3)]=_0x11463b[_0x3afb0d(0x1298)](0x4,_0x11463b[_0x3afb0d(0x402)])[_0x3afb0d(0xb47)](',');}break;case _0x3afb0d(0x1713):{const _0x3e4c2c=_0x421eb5[_0x3afb0d(0xa7c)][_0x3afb0d(0x168a)][_0x3afb0d(0x10c8)](',');_0x421eb5['close'][_0x3afb0d(0x583)]=isNaN(_0x3e4c2c[0x0])?_0x3e4c2c[0x0]:parseInt(_0x3e4c2c[0x0],0xa),_0x421eb5['close'][_0x3afb0d(0xa8d)]=_0x3e4c2c['slice'](0x1,_0x3e4c2c['length'])['join'](',');}break;case _0x3afb0d(0x7fd):_0x421eb5[_0x3afb0d(0xa7c)][_0x3afb0d(0xa8d)]=_0x421eb5[_0x3afb0d(0xa7c)][_0x3afb0d(0x168a)];break;case _0x3afb0d(0x26ba):_0x421eb5[_0x3afb0d(0xa7c)][_0x3afb0d(0x19eb)]=_0x421eb5['close'][_0x3afb0d(0x168a)][_0x3afb0d(0x10c8)]('=')[0x0],_0x421eb5['close'][_0x3afb0d(0x175d)]=_0x421eb5['close'][_0x3afb0d(0x168a)][_0x3afb0d(0x10c8)]('=')[0x1];break;case _0x3afb0d(0x1ecb):_0x421eb5[_0x3afb0d(0xa7c)]['project']=_0x421eb5[_0x3afb0d(0xa7c)][_0x3afb0d(0x168a)];break;default:{const _0x394bd8=_0x421eb5['close'][_0x3afb0d(0x168a)][_0x3afb0d(0x10c8)](',');_0x421eb5[_0x3afb0d(0xa7c)][_0x3afb0d(0x9e1)]=_0x3f65c0()[_0x3afb0d(0x2635)](_0x394bd8[0x0])?_0x394bd8[0x0]:isNaN(_0x394bd8[0x0])?_0x394bd8[0x0]:parseInt(_0x394bd8[0x0],0xa);}break;}else{}_0x421eb5[_0x3afb0d(0xa7c)][_0x3afb0d(0x1142)]&&_0x421eb5[_0x3afb0d(0xa7c)][_0x3afb0d(0x1142)]['toLowerCase']()===_0x3afb0d(0xc9c)&&_0x421eb5[_0x3afb0d(0xa7c)][_0x3afb0d(0x8f2)]['toLowerCase']()===_0x3afb0d(0x3bb)&&(_0x421eb5[_0x3afb0d(0xa7c)][_0x3afb0d(0x1e12)]=_0x421eb5[_0x3afb0d(0xa7c)][_0x3afb0d(0x1d55)]?_0x421eb5['close']['phone'][_0x3afb0d(0x10c8)]('$')[0x0]:undefined,_0x421eb5[_0x3afb0d(0xa7c)][_0x3afb0d(0x1d43)]=_0x421eb5['close'][_0x3afb0d(0x11be)]?_0x3afb0d(0x1b60)+_0x421eb5['close'][_0x3afb0d(0x11be)]:undefined);_0x421eb5[_0x3afb0d(0x15de)]=_0x5ea119,_0x421eb5['closeDialog']=_0x4ea5ee;function _0x5ea119(){const _0xb4b2d4=_0x3afb0d;_0x421eb5[_0xb4b2d4(0xcef)]=[];const _0x805655=[];_0x421eb5[_0xb4b2d4(0xa7c)][_0xb4b2d4(0x1142)]&&_0x421eb5[_0xb4b2d4(0xa7c)][_0xb4b2d4(0x1142)][_0xb4b2d4(0x256e)]()==='outbound'&&_0x421eb5[_0xb4b2d4(0xa7c)][_0xb4b2d4(0x8f2)]===_0xb4b2d4(0x118b)&&(_0x421eb5['close']['phone']=_0x32863f[_0xb4b2d4(0x1274)]?(_0x421eb5[_0xb4b2d4(0xa7c)]['prefix']||'')+_0xb4b2d4(0x2147)+_0x32863f[_0xb4b2d4(0x1274)]+'}':(_0x421eb5['close'][_0xb4b2d4(0x1e12)]||'')+_0xb4b2d4(0x20df),_0x32863f[_0xb4b2d4(0x1425)]!==_0xb4b2d4(0x1642)?_0x421eb5[_0xb4b2d4(0xa7c)][_0xb4b2d4(0xa08)][_0xb4b2d4(0x172b)](_0xb4b2d4(0x1ea4))<0x0&&(_0x421eb5[_0xb4b2d4(0xa7c)][_0xb4b2d4(0xa08)]+=_0xb4b2d4(0x1ea4)):_0x421eb5[_0xb4b2d4(0xa7c)][_0xb4b2d4(0xa08)]=_0x421eb5[_0xb4b2d4(0xa7c)]['options'][_0xb4b2d4(0x5f4)](_0xb4b2d4(0x1ea4),''));if(_0x421eb5[_0xb4b2d4(0xa7c)][_0xb4b2d4(0x8f2)]&&_0x421eb5[_0xb4b2d4(0xa7c)][_0xb4b2d4(0x8f2)]==='custom'){}else switch((_0x421eb5[_0xb4b2d4(0xa7c)]['app']||_0x421eb5[_0xb4b2d4(0xa7c)][_0xb4b2d4(0x8f2)])[_0xb4b2d4(0x256e)]()){case _0xb4b2d4(0x26ba):_0x421eb5[_0xb4b2d4(0xa7c)][_0xb4b2d4(0x168a)]=_0x421eb5[_0xb4b2d4(0xa7c)][_0xb4b2d4(0x19eb)]+'='+_0x421eb5[_0xb4b2d4(0xa7c)][_0xb4b2d4(0x175d)];break;case _0xb4b2d4(0x1802):break;default:_0x805655[0x0]=_0x421eb5[_0xb4b2d4(0xa7c)][_0xb4b2d4(0x9e1)],_0x421eb5[_0xb4b2d4(0xa7c)][_0xb4b2d4(0x168a)]=_0x805655['join'](',');}_0x4ea5ee(_0x421eb5[_0xb4b2d4(0xa7c)]);}function _0x4ea5ee(_0x42f3c6){const _0x3dace1=_0x3afb0d;_0x41ea96[_0x3dace1(0x2458)](_0x42f3c6);}}const _0x569418=_0x13feed;;_0x3c5496[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q','toasty','smsAccountApp',_0x313a4d(0x2209),_0x313a4d(0x247f),'Auth',_0x313a4d(0x2514)];function _0x3c5496(_0x42a42e,_0x5f243b,_0x2b6a91,_0x2d076b,_0x1bbd84,_0x7228a5,_0x3ea22e,_0x1fa270){const _0x346cf2=_0x313a4d,_0x49afaf=this;_0x49afaf[_0x346cf2(0x2321)]=_0x3ea22e[_0x346cf2(0xb12)](),_0x49afaf[_0x346cf2(0xcef)]=[],_0x49afaf[_0x346cf2(0x1189)]=_0x346cf2(0x2525)+(_0x2d076b[_0x346cf2(0x8f2)]||_0x2d076b[_0x346cf2(0x1873)])['toUpperCase'](),_0x49afaf['dialogflow']=angular[_0x346cf2(0x235a)](_0x2d076b),_0x49afaf[_0x346cf2(0x2514)]=_0x1fa270,_0x49afaf[_0x346cf2(0x855)]={};if(_0x49afaf[_0x346cf2(0xeaa)][_0x346cf2(0x168a)])switch(_0x49afaf['dialogflow'][_0x346cf2(0x8f2)]?_0x49afaf[_0x346cf2(0xeaa)]['appType'][_0x346cf2(0x256e)]():_0x49afaf[_0x346cf2(0xeaa)][_0x346cf2(0x1873)][_0x346cf2(0x256e)]()){case _0x346cf2(0x1802):break;case _0x346cf2(0xeaa):{const _0x4db2b7=_0x49afaf[_0x346cf2(0xeaa)][_0x346cf2(0x168a)][_0x346cf2(0x10c8)](',');_0x49afaf[_0x346cf2(0xeaa)]['key']=_0x4db2b7[0x0],_0x49afaf['dialogflow'][_0x346cf2(0x90b)]=_0x4db2b7[0x1],_0x49afaf[_0x346cf2(0xeaa)][_0x346cf2(0xaf3)]=_0x4db2b7[_0x346cf2(0x1298)](0x2,_0x4db2b7[_0x346cf2(0x402)])[_0x346cf2(0xb47)](',');}break;case _0x346cf2(0xa46):{const _0x555b3d=_0x49afaf[_0x346cf2(0xeaa)]['appdata'][_0x346cf2(0x10c8)](',');_0x49afaf[_0x346cf2(0xeaa)][_0x346cf2(0x153a)]=_0x555b3d[0x0],_0x49afaf[_0x346cf2(0xeaa)][_0x346cf2(0x1392)]=_0x555b3d[0x1],_0x49afaf[_0x346cf2(0xeaa)][_0x346cf2(0x2217)]=_0x555b3d[0x2],_0x49afaf[_0x346cf2(0xeaa)][_0x346cf2(0x90b)]=_0x555b3d[0x3],_0x49afaf[_0x346cf2(0xeaa)]['welcomemessage']=_0x555b3d[_0x346cf2(0x1298)](0x4,_0x555b3d[_0x346cf2(0x402)])[_0x346cf2(0xb47)](',');}break;case'amazonlex':{const _0x24b11=_0x49afaf[_0x346cf2(0xeaa)]['appdata'][_0x346cf2(0x10c8)](',');_0x49afaf[_0x346cf2(0xeaa)]['accesskeyid']=_0x24b11[0x0],_0x49afaf[_0x346cf2(0xeaa)][_0x346cf2(0x252)]=_0x24b11[0x1],_0x49afaf['dialogflow']['lexregion']=_0x24b11[0x2],_0x49afaf[_0x346cf2(0xeaa)][_0x346cf2(0x8dd)]=_0x24b11[0x3],_0x49afaf[_0x346cf2(0xeaa)][_0x346cf2(0xaf3)]=_0x24b11[_0x346cf2(0x1298)](0x4,_0x24b11[_0x346cf2(0x402)])[_0x346cf2(0xb47)](',');}break;case'autoreply':{const _0x4e8484=_0x49afaf[_0x346cf2(0xeaa)]['appdata']['split'](',');_0x49afaf[_0x346cf2(0xeaa)]['times']=isNaN(_0x4e8484[0x0])?_0x4e8484[0x0]:parseInt(_0x4e8484[0x0],0xa),_0x49afaf[_0x346cf2(0xeaa)]['text']=_0x4e8484['slice'](0x1,_0x4e8484['length'])['join'](',');}break;case'message':_0x49afaf[_0x346cf2(0xeaa)][_0x346cf2(0xa8d)]=_0x49afaf[_0x346cf2(0xeaa)][_0x346cf2(0x168a)];break;case'set':_0x49afaf[_0x346cf2(0xeaa)]['name']=_0x49afaf['dialogflow'][_0x346cf2(0x168a)]['split']('=')[0x0],_0x49afaf[_0x346cf2(0xeaa)][_0x346cf2(0x175d)]=_0x49afaf[_0x346cf2(0xeaa)][_0x346cf2(0x168a)]['split']('=')[0x1];break;case'agi':_0x49afaf[_0x346cf2(0xeaa)][_0x346cf2(0x9e0)]=_0x49afaf[_0x346cf2(0xeaa)][_0x346cf2(0x168a)];break;default:{const _0x37f61f=_0x49afaf[_0x346cf2(0xeaa)][_0x346cf2(0x168a)][_0x346cf2(0x10c8)](',');_0x49afaf['dialogflow'][_0x346cf2(0x2293)]=_0x3f65c0()[_0x346cf2(0x2635)](_0x37f61f[0x0])?_0x37f61f[0x0]:isNaN(_0x37f61f[0x0])?_0x37f61f[0x0]:parseInt(_0x37f61f[0x0],0xa),_0x49afaf[_0x346cf2(0xeaa)][_0x346cf2(0x90b)]=_0x3f65c0()[_0x346cf2(0x2635)](_0x37f61f[0x1])?_0x37f61f[0x1]:isNaN(_0x37f61f[0x1])?_0x37f61f[0x1]:parseInt(_0x37f61f[0x1],0xa),_0x49afaf[_0x346cf2(0xeaa)][_0x346cf2(0xaf3)]=_0x3f65c0()[_0x346cf2(0x2635)](_0x37f61f[0x2])?_0x37f61f[0x2]:isNaN(_0x37f61f[0x2])?_0x37f61f[0x2]:parseInt(_0x37f61f[0x2],0xa);}break;}else _0x49afaf['dialogflow'][_0x346cf2(0x90b)]='en';_0x49afaf[_0x346cf2(0xeaa)][_0x346cf2(0x1142)]&&_0x49afaf['dialogflow'][_0x346cf2(0x1142)][_0x346cf2(0x256e)]()===_0x346cf2(0xc9c)&&_0x49afaf[_0x346cf2(0xeaa)][_0x346cf2(0x8f2)][_0x346cf2(0x256e)]()===_0x346cf2(0x3bb)&&(_0x49afaf['dialogflow'][_0x346cf2(0x1e12)]=_0x49afaf[_0x346cf2(0xeaa)][_0x346cf2(0x1d55)]?_0x49afaf['dialogflow'][_0x346cf2(0x1d55)][_0x346cf2(0x10c8)]('$')[0x0]:undefined,_0x49afaf[_0x346cf2(0xeaa)][_0x346cf2(0x1d43)]=_0x49afaf['dialogflow']['callerID']?_0x346cf2(0x1b60)+_0x49afaf[_0x346cf2(0xeaa)]['callerID']:undefined);_0x49afaf['saveSmsAccountApp']=_0x2b829a,_0x49afaf[_0x346cf2(0x13f3)]=_0x42876b;function _0x2b829a(){const _0x3640dc=_0x346cf2;_0x49afaf['errors']=[];const _0x3f7433=[];_0x49afaf[_0x3640dc(0xeaa)][_0x3640dc(0x1142)]&&_0x49afaf['dialogflow'][_0x3640dc(0x1142)][_0x3640dc(0x256e)]()===_0x3640dc(0xc9c)&&_0x49afaf[_0x3640dc(0xeaa)][_0x3640dc(0x8f2)]===_0x3640dc(0x118b)&&(_0x49afaf['dialogflow'][_0x3640dc(0x1d55)]=_0x1bbd84[_0x3640dc(0x1274)]?(_0x49afaf[_0x3640dc(0xeaa)][_0x3640dc(0x1e12)]||'')+'${EXTEN:'+_0x1bbd84[_0x3640dc(0x1274)]+'}':(_0x49afaf['dialogflow'][_0x3640dc(0x1e12)]||'')+_0x3640dc(0x20df),_0x1bbd84[_0x3640dc(0x1425)]!=='none'?_0x49afaf['dialogflow'][_0x3640dc(0xa08)][_0x3640dc(0x172b)]('U(xcally-mixmonitor-context)')<0x0&&(_0x49afaf['dialogflow'][_0x3640dc(0xa08)]+=_0x3640dc(0x1ea4)):_0x49afaf['dialogflow'][_0x3640dc(0xa08)]=_0x49afaf['dialogflow'][_0x3640dc(0xa08)]['replace'](_0x3640dc(0x1ea4),''));if(_0x49afaf['dialogflow'][_0x3640dc(0x8f2)]&&_0x49afaf[_0x3640dc(0xeaa)][_0x3640dc(0x8f2)]===_0x3640dc(0x1802)){}else switch((_0x49afaf['dialogflow']['app']||_0x49afaf[_0x3640dc(0xeaa)][_0x3640dc(0x8f2)])[_0x3640dc(0x256e)]()){case _0x3640dc(0x26ba):_0x49afaf[_0x3640dc(0xeaa)][_0x3640dc(0x168a)]=_0x49afaf[_0x3640dc(0xeaa)][_0x3640dc(0x19eb)]+'='+_0x49afaf['dialogflow'][_0x3640dc(0x175d)];break;case _0x3640dc(0x1802):break;default:_0x3f7433[0x0]=_0x49afaf[_0x3640dc(0xeaa)][_0x3640dc(0x2293)],_0x3f7433[0x1]=_0x49afaf['dialogflow'][_0x3640dc(0x90b)],_0x3f7433[0x2]=_0x49afaf['dialogflow'][_0x3640dc(0xaf3)],_0x49afaf[_0x3640dc(0xeaa)]['appdata']=_0x3f7433[_0x3640dc(0xb47)](',');}_0x42876b(_0x49afaf[_0x3640dc(0xeaa)]);}function _0x42876b(_0x29cbd0){_0x42a42e['hide'](_0x29cbd0);}}const _0x180367=_0x3c5496;;_0x439ec9[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x80b),_0x313a4d(0x2209),_0x313a4d(0x247f),_0x313a4d(0xa87),'crudPermissions'];function _0x439ec9(_0x19fc3d,_0x5a700b,_0x4c1969,_0x1d5c52,_0x3adda2,_0xb77347,_0x3061e8,_0x3110a7){const _0x4cbb43=_0x313a4d,_0x349c9=this;_0x349c9[_0x4cbb43(0x2321)]=_0x3061e8[_0x4cbb43(0xb12)](),_0x349c9[_0x4cbb43(0xcef)]=[],_0x349c9[_0x4cbb43(0x1189)]=_0x4cbb43(0x2525)+(_0x1d5c52[_0x4cbb43(0x8f2)]||_0x1d5c52[_0x4cbb43(0x1873)])[_0x4cbb43(0x1c37)](),_0x349c9[_0x4cbb43(0x609)]=angular['copy'](_0x1d5c52),_0x349c9['crudPermissions']=_0x3110a7,_0x349c9[_0x4cbb43(0x855)]={};if(_0x349c9[_0x4cbb43(0x609)][_0x4cbb43(0x168a)])switch(_0x349c9['gotoif'][_0x4cbb43(0x8f2)]?_0x349c9[_0x4cbb43(0x609)][_0x4cbb43(0x8f2)][_0x4cbb43(0x256e)]():_0x349c9[_0x4cbb43(0x609)][_0x4cbb43(0x1873)]['toLowerCase']()){case _0x4cbb43(0x1802):break;case _0x4cbb43(0xeaa):{const _0x19e844=_0x349c9[_0x4cbb43(0x609)][_0x4cbb43(0x168a)]['split'](',');_0x349c9[_0x4cbb43(0x609)]['key']=_0x19e844[0x0],_0x349c9[_0x4cbb43(0x609)]['language']=_0x19e844[0x1],_0x349c9[_0x4cbb43(0x609)][_0x4cbb43(0xaf3)]=_0x19e844[_0x4cbb43(0x1298)](0x2,_0x19e844[_0x4cbb43(0x402)])[_0x4cbb43(0xb47)](',');}break;case _0x4cbb43(0xa46):{const _0x451cf7=_0x349c9['gotoif'][_0x4cbb43(0x168a)][_0x4cbb43(0x10c8)](',');_0x349c9[_0x4cbb43(0x609)][_0x4cbb43(0x153a)]=_0x451cf7[0x0],_0x349c9[_0x4cbb43(0x609)]['clientEmail']=_0x451cf7[0x1],_0x349c9[_0x4cbb43(0x609)][_0x4cbb43(0x2217)]=_0x451cf7[0x2],_0x349c9[_0x4cbb43(0x609)][_0x4cbb43(0x90b)]=_0x451cf7[0x3],_0x349c9['gotoif'][_0x4cbb43(0xaf3)]=_0x451cf7[_0x4cbb43(0x1298)](0x4,_0x451cf7['length'])[_0x4cbb43(0xb47)](',');}break;case _0x4cbb43(0x17d6):{const _0x17a85b=_0x349c9['gotoif'][_0x4cbb43(0x168a)][_0x4cbb43(0x10c8)](',');_0x349c9['gotoif'][_0x4cbb43(0x1651)]=_0x17a85b[0x0],_0x349c9['gotoif']['secretaccesskey']=_0x17a85b[0x1],_0x349c9[_0x4cbb43(0x609)][_0x4cbb43(0x1039)]=_0x17a85b[0x2],_0x349c9[_0x4cbb43(0x609)][_0x4cbb43(0x8dd)]=_0x17a85b[0x3],_0x349c9[_0x4cbb43(0x609)]['welcomemessage']=_0x17a85b[_0x4cbb43(0x1298)](0x4,_0x17a85b['length'])['join'](',');}break;case _0x4cbb43(0x1713):{const _0x9f6c35=_0x349c9[_0x4cbb43(0x609)][_0x4cbb43(0x168a)][_0x4cbb43(0x10c8)](',');_0x349c9[_0x4cbb43(0x609)][_0x4cbb43(0x583)]=isNaN(_0x9f6c35[0x0])?_0x9f6c35[0x0]:parseInt(_0x9f6c35[0x0],0xa),_0x349c9[_0x4cbb43(0x609)][_0x4cbb43(0xa8d)]=_0x9f6c35['slice'](0x1,_0x9f6c35[_0x4cbb43(0x402)])['join'](',');}break;case _0x4cbb43(0x7fd):_0x349c9['gotoif'][_0x4cbb43(0xa8d)]=_0x349c9[_0x4cbb43(0x609)][_0x4cbb43(0x168a)];break;case _0x4cbb43(0x26ba):_0x349c9[_0x4cbb43(0x609)][_0x4cbb43(0x19eb)]=_0x349c9[_0x4cbb43(0x609)]['appdata'][_0x4cbb43(0x10c8)]('=')[0x0],_0x349c9[_0x4cbb43(0x609)]['value']=_0x349c9[_0x4cbb43(0x609)]['appdata'][_0x4cbb43(0x10c8)]('=')[0x1];break;case _0x4cbb43(0x1ecb):_0x349c9[_0x4cbb43(0x609)][_0x4cbb43(0x9e0)]=_0x349c9[_0x4cbb43(0x609)][_0x4cbb43(0x168a)];break;default:{const _0x5310cd=_0x349c9[_0x4cbb43(0x609)][_0x4cbb43(0x168a)][_0x4cbb43(0x10c8)](',');_0x349c9[_0x4cbb43(0x609)][_0x4cbb43(0x115f)]=_0x3f65c0()[_0x4cbb43(0x2635)](_0x5310cd[0x0])?_0x5310cd[0x0]:isNaN(_0x5310cd[0x0])?_0x5310cd[0x0]:parseInt(_0x5310cd[0x0],0xa),_0x349c9['gotoif'][_0x4cbb43(0x1d78)]=_0x3f65c0()['isEmpty'](_0x5310cd[0x1])?_0x5310cd[0x1]:isNaN(_0x5310cd[0x1])?_0x5310cd[0x1]:parseInt(_0x5310cd[0x1],0xa),_0x349c9[_0x4cbb43(0x609)][_0x4cbb43(0x28e1)]=_0x3f65c0()[_0x4cbb43(0x2635)](_0x5310cd[0x2])?_0x5310cd[0x2]:isNaN(_0x5310cd[0x2])?_0x5310cd[0x2]:parseInt(_0x5310cd[0x2],0xa);}break;}else{}_0x349c9[_0x4cbb43(0x609)][_0x4cbb43(0x1142)]&&_0x349c9[_0x4cbb43(0x609)]['type'][_0x4cbb43(0x256e)]()===_0x4cbb43(0xc9c)&&_0x349c9[_0x4cbb43(0x609)][_0x4cbb43(0x8f2)][_0x4cbb43(0x256e)]()===_0x4cbb43(0x3bb)&&(_0x349c9[_0x4cbb43(0x609)][_0x4cbb43(0x1e12)]=_0x349c9['gotoif']['phone']?_0x349c9[_0x4cbb43(0x609)]['phone'][_0x4cbb43(0x10c8)]('$')[0x0]:undefined,_0x349c9[_0x4cbb43(0x609)][_0x4cbb43(0x1d43)]=_0x349c9[_0x4cbb43(0x609)][_0x4cbb43(0x11be)]?_0x4cbb43(0x1b60)+_0x349c9[_0x4cbb43(0x609)][_0x4cbb43(0x11be)]:undefined);_0x349c9['saveSmsAccountApp']=_0x5a48af,_0x349c9[_0x4cbb43(0x13f3)]=_0x413823;function _0x5a48af(){const _0x3cf0cd=_0x4cbb43;_0x349c9[_0x3cf0cd(0xcef)]=[];const _0x421a8e=[];_0x349c9['gotoif'][_0x3cf0cd(0x1142)]&&_0x349c9[_0x3cf0cd(0x609)]['type'][_0x3cf0cd(0x256e)]()===_0x3cf0cd(0xc9c)&&_0x349c9[_0x3cf0cd(0x609)][_0x3cf0cd(0x8f2)]==='outboundDial'&&(_0x349c9['gotoif'][_0x3cf0cd(0x1d55)]=_0x3adda2[_0x3cf0cd(0x1274)]?(_0x349c9[_0x3cf0cd(0x609)]['prefix']||'')+'${EXTEN:'+_0x3adda2[_0x3cf0cd(0x1274)]+'}':(_0x349c9[_0x3cf0cd(0x609)][_0x3cf0cd(0x1e12)]||'')+_0x3cf0cd(0x20df),_0x3adda2[_0x3cf0cd(0x1425)]!==_0x3cf0cd(0x1642)?_0x349c9[_0x3cf0cd(0x609)][_0x3cf0cd(0xa08)][_0x3cf0cd(0x172b)](_0x3cf0cd(0x1ea4))<0x0&&(_0x349c9[_0x3cf0cd(0x609)][_0x3cf0cd(0xa08)]+='U(xcally-mixmonitor-context)'):_0x349c9[_0x3cf0cd(0x609)][_0x3cf0cd(0xa08)]=_0x349c9['gotoif'][_0x3cf0cd(0xa08)][_0x3cf0cd(0x5f4)](_0x3cf0cd(0x1ea4),''));if(_0x349c9[_0x3cf0cd(0x609)][_0x3cf0cd(0x8f2)]&&_0x349c9[_0x3cf0cd(0x609)][_0x3cf0cd(0x8f2)]==='custom'){}else switch((_0x349c9['gotoif']['app']||_0x349c9[_0x3cf0cd(0x609)][_0x3cf0cd(0x8f2)])['toLowerCase']()){case _0x3cf0cd(0x26ba):_0x349c9[_0x3cf0cd(0x609)][_0x3cf0cd(0x168a)]=_0x349c9['gotoif'][_0x3cf0cd(0x19eb)]+'='+_0x349c9[_0x3cf0cd(0x609)][_0x3cf0cd(0x175d)];break;case _0x3cf0cd(0x1802):break;default:_0x421a8e[0x0]=_0x349c9[_0x3cf0cd(0x609)][_0x3cf0cd(0x115f)],_0x421a8e[0x1]=_0x349c9[_0x3cf0cd(0x609)][_0x3cf0cd(0x1d78)],_0x421a8e[0x2]=_0x349c9[_0x3cf0cd(0x609)][_0x3cf0cd(0x28e1)],_0x349c9['gotoif'][_0x3cf0cd(0x168a)]=_0x421a8e['join'](',');}_0x413823(_0x349c9['gotoif']);}function _0x413823(_0x534469){_0x19fc3d['hide'](_0x534469);}}const _0x4ec7ff=_0x439ec9;;_0x256d1d[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q','toasty',_0x313a4d(0x80b),_0x313a4d(0x2209),_0x313a4d(0x247f),_0x313a4d(0xa87),'crudPermissions'];function _0x256d1d(_0x2f9fad,_0x2fe023,_0x8fe89d,_0x16c319,_0x53fb1c,_0x5159a7,_0x33debd,_0x3e75bf){const _0x5c7044=_0x313a4d,_0x23300a=this;_0x23300a['currentUser']=_0x33debd[_0x5c7044(0xb12)](),_0x23300a[_0x5c7044(0xcef)]=[],_0x23300a[_0x5c7044(0x1189)]=_0x5c7044(0x2525)+(_0x16c319[_0x5c7044(0x8f2)]||_0x16c319[_0x5c7044(0x1873)])[_0x5c7044(0x1c37)](),_0x23300a[_0x5c7044(0x28f4)]=angular['copy'](_0x16c319),_0x23300a[_0x5c7044(0x2514)]=_0x3e75bf,_0x23300a[_0x5c7044(0x855)]={};if(_0x23300a[_0x5c7044(0x28f4)]['appdata'])switch(_0x23300a['gotop'][_0x5c7044(0x8f2)]?_0x23300a[_0x5c7044(0x28f4)][_0x5c7044(0x8f2)][_0x5c7044(0x256e)]():_0x23300a[_0x5c7044(0x28f4)]['app'][_0x5c7044(0x256e)]()){case _0x5c7044(0x1802):break;case _0x5c7044(0xeaa):{const _0x440f03=_0x23300a[_0x5c7044(0x28f4)]['appdata'][_0x5c7044(0x10c8)](',');_0x23300a[_0x5c7044(0x28f4)]['key']=_0x440f03[0x0],_0x23300a['gotop'][_0x5c7044(0x90b)]=_0x440f03[0x1],_0x23300a[_0x5c7044(0x28f4)][_0x5c7044(0xaf3)]=_0x440f03['slice'](0x2,_0x440f03[_0x5c7044(0x402)])[_0x5c7044(0xb47)](',');}break;case _0x5c7044(0xa46):{const _0x4daca6=_0x23300a[_0x5c7044(0x28f4)][_0x5c7044(0x168a)][_0x5c7044(0x10c8)](',');_0x23300a[_0x5c7044(0x28f4)]['projectId']=_0x4daca6[0x0],_0x23300a[_0x5c7044(0x28f4)][_0x5c7044(0x1392)]=_0x4daca6[0x1],_0x23300a[_0x5c7044(0x28f4)][_0x5c7044(0x2217)]=_0x4daca6[0x2],_0x23300a[_0x5c7044(0x28f4)][_0x5c7044(0x90b)]=_0x4daca6[0x3],_0x23300a[_0x5c7044(0x28f4)]['welcomemessage']=_0x4daca6[_0x5c7044(0x1298)](0x4,_0x4daca6[_0x5c7044(0x402)])[_0x5c7044(0xb47)](',');}break;case'amazonlex':{const _0x4691e8=_0x23300a[_0x5c7044(0x28f4)][_0x5c7044(0x168a)][_0x5c7044(0x10c8)](',');_0x23300a['gotop'][_0x5c7044(0x1651)]=_0x4691e8[0x0],_0x23300a['gotop'][_0x5c7044(0x252)]=_0x4691e8[0x1],_0x23300a[_0x5c7044(0x28f4)][_0x5c7044(0x1039)]=_0x4691e8[0x2],_0x23300a[_0x5c7044(0x28f4)]['botname']=_0x4691e8[0x3],_0x23300a[_0x5c7044(0x28f4)][_0x5c7044(0xaf3)]=_0x4691e8['slice'](0x4,_0x4691e8['length'])[_0x5c7044(0xb47)](',');}break;case _0x5c7044(0x1713):{const _0x527de9=_0x23300a[_0x5c7044(0x28f4)]['appdata'][_0x5c7044(0x10c8)](',');_0x23300a['gotop'][_0x5c7044(0x583)]=isNaN(_0x527de9[0x0])?_0x527de9[0x0]:parseInt(_0x527de9[0x0],0xa),_0x23300a['gotop']['text']=_0x527de9[_0x5c7044(0x1298)](0x1,_0x527de9[_0x5c7044(0x402)])[_0x5c7044(0xb47)](',');}break;case _0x5c7044(0x7fd):_0x23300a[_0x5c7044(0x28f4)][_0x5c7044(0xa8d)]=_0x23300a['gotop'][_0x5c7044(0x168a)];break;case _0x5c7044(0x26ba):_0x23300a['gotop'][_0x5c7044(0x19eb)]=_0x23300a['gotop'][_0x5c7044(0x168a)][_0x5c7044(0x10c8)]('=')[0x0],_0x23300a['gotop'][_0x5c7044(0x175d)]=_0x23300a['gotop'][_0x5c7044(0x168a)][_0x5c7044(0x10c8)]('=')[0x1];break;case'agi':_0x23300a['gotop'][_0x5c7044(0x9e0)]=_0x23300a[_0x5c7044(0x28f4)][_0x5c7044(0x168a)];break;default:{const _0x38e92c=_0x23300a[_0x5c7044(0x28f4)]['appdata'][_0x5c7044(0x10c8)](',');_0x23300a[_0x5c7044(0x28f4)]['priority']=_0x3f65c0()[_0x5c7044(0x2635)](_0x38e92c[0x0])?_0x38e92c[0x0]:isNaN(_0x38e92c[0x0])?_0x38e92c[0x0]:parseInt(_0x38e92c[0x0],0xa);}break;}else{}_0x23300a['gotop'][_0x5c7044(0x1142)]&&_0x23300a['gotop'][_0x5c7044(0x1142)][_0x5c7044(0x256e)]()===_0x5c7044(0xc9c)&&_0x23300a['gotop'][_0x5c7044(0x8f2)]['toLowerCase']()==='outbounddial'&&(_0x23300a[_0x5c7044(0x28f4)]['prefix']=_0x23300a['gotop']['phone']?_0x23300a[_0x5c7044(0x28f4)][_0x5c7044(0x1d55)][_0x5c7044(0x10c8)]('$')[0x0]:undefined,_0x23300a[_0x5c7044(0x28f4)][_0x5c7044(0x1d43)]=_0x23300a[_0x5c7044(0x28f4)]['callerID']?_0x5c7044(0x1b60)+_0x23300a[_0x5c7044(0x28f4)]['callerID']:undefined);_0x23300a[_0x5c7044(0x15de)]=_0x7bc7a3,_0x23300a['closeDialog']=_0x867ccb;function _0x7bc7a3(){const _0x3ceb3e=_0x5c7044;_0x23300a[_0x3ceb3e(0xcef)]=[];const _0x537dd6=[];_0x23300a[_0x3ceb3e(0x28f4)][_0x3ceb3e(0x1142)]&&_0x23300a['gotop'][_0x3ceb3e(0x1142)][_0x3ceb3e(0x256e)]()===_0x3ceb3e(0xc9c)&&_0x23300a['gotop'][_0x3ceb3e(0x8f2)]===_0x3ceb3e(0x118b)&&(_0x23300a[_0x3ceb3e(0x28f4)][_0x3ceb3e(0x1d55)]=_0x53fb1c[_0x3ceb3e(0x1274)]?(_0x23300a[_0x3ceb3e(0x28f4)][_0x3ceb3e(0x1e12)]||'')+_0x3ceb3e(0x2147)+_0x53fb1c[_0x3ceb3e(0x1274)]+'}':(_0x23300a[_0x3ceb3e(0x28f4)][_0x3ceb3e(0x1e12)]||'')+_0x3ceb3e(0x20df),_0x53fb1c[_0x3ceb3e(0x1425)]!==_0x3ceb3e(0x1642)?_0x23300a[_0x3ceb3e(0x28f4)][_0x3ceb3e(0xa08)]['indexOf']('U(xcally-mixmonitor-context)')<0x0&&(_0x23300a['gotop'][_0x3ceb3e(0xa08)]+=_0x3ceb3e(0x1ea4)):_0x23300a[_0x3ceb3e(0x28f4)][_0x3ceb3e(0xa08)]=_0x23300a[_0x3ceb3e(0x28f4)][_0x3ceb3e(0xa08)]['replace'](_0x3ceb3e(0x1ea4),''));if(_0x23300a[_0x3ceb3e(0x28f4)][_0x3ceb3e(0x8f2)]&&_0x23300a[_0x3ceb3e(0x28f4)][_0x3ceb3e(0x8f2)]===_0x3ceb3e(0x1802)){}else switch((_0x23300a[_0x3ceb3e(0x28f4)][_0x3ceb3e(0x1873)]||_0x23300a[_0x3ceb3e(0x28f4)][_0x3ceb3e(0x8f2)])[_0x3ceb3e(0x256e)]()){case'set':_0x23300a[_0x3ceb3e(0x28f4)][_0x3ceb3e(0x168a)]=_0x23300a[_0x3ceb3e(0x28f4)][_0x3ceb3e(0x19eb)]+'='+_0x23300a['gotop'][_0x3ceb3e(0x175d)];break;case _0x3ceb3e(0x1802):break;default:_0x537dd6[0x0]=_0x23300a[_0x3ceb3e(0x28f4)][_0x3ceb3e(0xa6a)],_0x23300a[_0x3ceb3e(0x28f4)][_0x3ceb3e(0x168a)]=_0x537dd6[_0x3ceb3e(0xb47)](',');}_0x867ccb(_0x23300a[_0x3ceb3e(0x28f4)]);}function _0x867ccb(_0x3ba6b9){const _0x37d135=_0x5c7044;_0x2f9fad[_0x37d135(0x2458)](_0x3ba6b9);}}const _0x5b07fa=_0x256d1d;;_0x3f7767['$inject']=[_0x313a4d(0x1862),_0x313a4d(0x10e8),_0x313a4d(0x1c7e),_0x313a4d(0x15f6),_0x313a4d(0x2765),'intervals',_0x313a4d(0x1fe4),'api',_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x3f7767(_0x4248d3,_0x471b4b,_0x4f3670,_0x9a0228,_0x33af6,_0x50baa3,_0x1ebc82,_0x25d3e4,_0x270886,_0x5a2dd4){const _0x274113=_0x313a4d,_0x5a35c1=this;_0x5a35c1[_0x274113(0x2321)]=_0x270886[_0x274113(0xb12)](),_0x5a35c1[_0x274113(0xcef)]=[],_0x5a35c1[_0x274113(0x1189)]=_0x274113(0x56d),_0x5a35c1[_0x274113(0x2765)]=angular['copy'](_0x33af6),_0x5a35c1[_0x274113(0x278f)]=_0x50baa3,_0x5a35c1[_0x274113(0xa76)]=![],_0x5a35c1[_0x274113(0x1960)]=[_0x274113(0xd85),_0x274113(0x1802),'list'],_0x5a35c1[_0x274113(0x2514)]=_0x5a2dd4,_0x5a35c1[_0x274113(0x1e35)]=_0x9a0228[_0x274113(0x2045)](),_0x5a35c1['monthNumber']=_0x9a0228['getMonthNumber'](),_0x5a35c1['monthName']=_0x9a0228[_0x274113(0x18b6)](),_0x5a35c1[_0x274113(0x15f1)]=_0x9a0228[_0x274113(0x1421)]();if(!_0x5a35c1[_0x274113(0x2765)])_0x5a35c1['interval']={'interval':_0x274113(0x1559)},_0x5a35c1[_0x274113(0x1142)]='always',_0x5a35c1['title']=_0x274113(0x79c),_0x5a35c1['newInterval']=!![];else{if(_0x5a35c1[_0x274113(0x2765)][_0x274113(0x2765)]!==_0x274113(0x1559)){_0x5a35c1[_0x274113(0x1142)]='custom';const _0x80b682=_0x5a35c1['interval'][_0x274113(0x2765)]['split'](','),_0x5b5453=_0x80b682[0x0],_0x52665e=_0x80b682[0x1],_0x417141=_0x80b682[0x2],_0x18931e=_0x80b682[0x3];if(_0x5b5453!=='*'){const _0x2179dd=_0x5b5453[_0x274113(0x10c8)]('-')[0x0],_0x1c71f1=_0x5b5453[_0x274113(0x10c8)]('-')[0x1];let _0x3ad620;_0x3ad620=new Date(),_0x3ad620[_0x274113(0x25cd)](Number(_0x2179dd[_0x274113(0x10c8)](':')[0x0])),_0x3ad620[_0x274113(0x2348)](Number(_0x2179dd[_0x274113(0x10c8)](':')[0x1])),_0x5a35c1[_0x274113(0x2469)]=_0x3ad620,_0x3ad620=new Date(),_0x3ad620[_0x274113(0x25cd)](Number(_0x1c71f1[_0x274113(0x10c8)](':')[0x0])),_0x3ad620[_0x274113(0x2348)](Number(_0x1c71f1['split'](':')[0x1])),_0x5a35c1[_0x274113(0x637)]=_0x3ad620;}_0x52665e!=='*'&&(_0x5a35c1['dayOfWeekFrom']=_0x52665e[_0x274113(0x10c8)]('-')[0x0],_0x5a35c1[_0x274113(0x27a1)]=_0x52665e[_0x274113(0x10c8)]('-')[0x1]),_0x417141!=='*'&&(_0x5a35c1['monthDayFrom']=_0x417141[_0x274113(0x10c8)]('-')[0x0],_0x5a35c1['monthDayTo']=_0x417141['split']('-')[0x1]),_0x18931e!=='*'&&(_0x5a35c1[_0x274113(0x167)]=_0x18931e[_0x274113(0x10c8)]('-')[0x0],_0x5a35c1[_0x274113(0x9c3)]=_0x18931e['split']('-')[0x1]);}else _0x5a35c1[_0x274113(0x1142)]=_0x274113(0xd85);}_0x4248d3[_0x274113(0x16a)]['id']&&!_0x5a35c1['interval'][_0x274113(0xea)]&&(_0x5a35c1[_0x274113(0x2765)][_0x274113(0x1ff2)]=_0x4248d3[_0x274113(0x16a)]['id']);_0x5a35c1[_0x274113(0x2765)][_0x274113(0x1ff2)]&&_0x5a35c1['interval']['application']&&(_0x5a35c1[_0x274113(0x1142)]=_0x274113(0x25f4));_0x5a35c1[_0x274113(0x2f0)]=_0x5262c0,_0x5a35c1[_0x274113(0x1242)]=_0x4873ba,_0x5a35c1[_0x274113(0x13f3)]=_0x3919c3;_0x5a35c1['interval'][_0x274113(0xea)]&&(_0x270886['hasRole'](_0x274113(0x174b))?_0x25d3e4[_0x274113(0x2765)][_0x274113(0x16b4)]({'fields':'id,name,interval,IntervalId','IntervalId':_0x274113(0x203c),'nolimit':!![]})[_0x274113(0x2945)][_0x274113(0x146b)](function(_0x4e9b3c){const _0x46a8e7=_0x274113;_0x5a35c1[_0x46a8e7(0x278f)]=_0x4e9b3c[_0x46a8e7(0x19c7)]||[];})['catch'](function(_0x566c80){const _0x5c6c3b=_0x274113;_0x1ebc82[_0x5c6c3b(0x1980)]({'title':_0x566c80[_0x5c6c3b(0x107b)]?_0x5c6c3b(0x262a)+_0x566c80['status']+_0x5c6c3b(0x1315)+_0x566c80[_0x5c6c3b(0x167f)]:'SYSTEM:GET_INTERVALS','msg':_0x566c80[_0x5c6c3b(0x524)]?JSON[_0x5c6c3b(0x10bb)](_0x566c80[_0x5c6c3b(0x524)]):_0x566c80[_0x5c6c3b(0xd5f)]()});}):_0x25d3e4[_0x274113(0x2765)][_0x274113(0x16b4)]({'fields':_0x274113(0x648),'IntervalId':_0x274113(0x203c),'nolimit':!![]})[_0x274113(0x2945)][_0x274113(0x146b)](function(_0x150495){const _0x3f0ce2=_0x274113;_0x5a35c1[_0x3f0ce2(0x278f)]=_0x150495[_0x3f0ce2(0x19c7)]||[];})[_0x274113(0x146b)](function(){const _0x1dd0ca=_0x274113;return _0x25d3e4['userProfileSection']['get']({'userProfileId':_0x5a35c1['currentUser'][_0x1dd0ca(0x209a)],'sectionId':0x3ec})[_0x1dd0ca(0x2945)];})['then'](function(_0x11e485){const _0x1893d4=_0x274113,_0x4d1c70=_0x11e485&&_0x11e485[_0x1893d4(0x19c7)]?_0x11e485[_0x1893d4(0x19c7)][0x0]:null;if(!_0x4d1c70)_0x5a35c1[_0x1893d4(0x278f)]=[];else{if(!_0x4d1c70[_0x1893d4(0x11d2)])return _0x25d3e4[_0x1893d4(0xdcc)]['get']({'sectionId':_0x4d1c70['id']})[_0x1893d4(0x2945)][_0x1893d4(0x146b)](function(_0x581b8e){const _0x456573=_0x1893d4,_0x9059e2=_0x581b8e&&_0x581b8e[_0x456573(0x19c7)]?_0x581b8e[_0x456573(0x19c7)]:[],_0x3b3fcc=[];let _0x298ce3=null;_0x5a35c1[_0x456573(0x2765)]&&(_0x298ce3=_0x3f65c0()[_0x456573(0xc84)](_0x5a35c1[_0x456573(0x278f)],{'name':_0x5a35c1[_0x456573(0x2765)]['IntervalId']})),_0x298ce3&&!_0x3f65c0()[_0x456573(0x1360)](_0x9059e2,[_0x456573(0x18b8),_0x298ce3['id']])&&_0x5a35c1['intervals'][_0x456573(0x1df5)](function(_0x385e89){const _0x585db1=_0x456573;_0x385e89['id']===_0x298ce3['id']&&(_0x385e89[_0x585db1(0x8ff)]=![],_0x3b3fcc['push'](_0x385e89));}),_0x5a35c1[_0x456573(0x278f)]=_0x3b3fcc;});}})[_0x274113(0x129e)](function(_0x5534ed){const _0x2911b9=_0x274113;_0x1ebc82['error']({'title':_0x5534ed[_0x2911b9(0x107b)]?_0x2911b9(0x262a)+_0x5534ed[_0x2911b9(0x107b)]+'\x20-\x20'+_0x5534ed[_0x2911b9(0x167f)]:_0x2911b9(0x603),'msg':_0x5534ed[_0x2911b9(0x524)]?JSON['stringify'](_0x5534ed[_0x2911b9(0x524)]):_0x5534ed[_0x2911b9(0xd5f)]()});}));function _0x964cf3(){const _0x3944fa=_0x274113;switch(_0x5a35c1[_0x3944fa(0x1142)]){case _0x3944fa(0xd85):case'list':return'*,*,*,*';case _0x3944fa(0x1802):{const _0x36be6f=[];if(_0x5a35c1['timeRangeFrom']!=='*'&&_0x5a35c1['timeRangeFrom']&&_0x5a35c1[_0x3944fa(0x637)]){const _0x47083c=(_0x5a35c1[_0x3944fa(0x2469)][_0x3944fa(0x1d57)]()<0xa?'0':'')+_0x5a35c1['timeRangeFrom'][_0x3944fa(0x1d57)]()+':'+((_0x5a35c1[_0x3944fa(0x2469)][_0x3944fa(0x7fc)]()<0xa?'0':'')+_0x5a35c1[_0x3944fa(0x2469)][_0x3944fa(0x7fc)]()),_0x2a33e6=(_0x5a35c1[_0x3944fa(0x637)][_0x3944fa(0x1d57)]()<0xa?'0':'')+_0x5a35c1[_0x3944fa(0x637)][_0x3944fa(0x1d57)]()+':'+((_0x5a35c1[_0x3944fa(0x637)][_0x3944fa(0x7fc)]()<0xa?'0':'')+_0x5a35c1[_0x3944fa(0x637)][_0x3944fa(0x7fc)]());_0x36be6f[_0x3944fa(0x1f47)](_0x47083c+'-'+_0x2a33e6);}else _0x36be6f[_0x3944fa(0x1f47)]('*');return _0x5a35c1[_0x3944fa(0x1455)]?_0x5a35c1['dayOfWeekTo']?_0x36be6f[_0x3944fa(0x1f47)](_0x5a35c1['dayOfWeekFrom']+'-'+_0x5a35c1[_0x3944fa(0x27a1)]):_0x36be6f[_0x3944fa(0x1f47)](_0x5a35c1[_0x3944fa(0x1455)]):_0x36be6f[_0x3944fa(0x1f47)]('*'),_0x5a35c1[_0x3944fa(0xf0b)]?_0x5a35c1[_0x3944fa(0x896)]?_0x36be6f[_0x3944fa(0x1f47)](_0x5a35c1[_0x3944fa(0xf0b)]+'-'+_0x5a35c1[_0x3944fa(0x896)]):_0x36be6f[_0x3944fa(0x1f47)](_0x5a35c1['monthDayFrom']):_0x36be6f[_0x3944fa(0x1f47)]('*'),_0x5a35c1[_0x3944fa(0x167)]?_0x5a35c1['monthTo']?_0x36be6f[_0x3944fa(0x1f47)](_0x5a35c1[_0x3944fa(0x167)]+'-'+_0x5a35c1[_0x3944fa(0x9c3)]):_0x36be6f[_0x3944fa(0x1f47)](_0x5a35c1[_0x3944fa(0x167)]):_0x36be6f['push']('*'),_0x36be6f['join']();}}}function _0x5262c0(){const _0x36b175=_0x274113;_0x5a35c1[_0x36b175(0xcef)]=[],_0x5a35c1[_0x36b175(0x2765)][_0x36b175(0x2765)]=_0x964cf3(),_0x25d3e4[_0x36b175(0x2765)]['save'](_0x5a35c1[_0x36b175(0x2765)])[_0x36b175(0x2945)][_0x36b175(0x146b)](function(_0x675f07){const _0x3ac424=_0x36b175;_0x5a35c1[_0x3ac424(0x278f)][_0x3ac424(0x1f47)](_0x675f07),_0x1ebc82[_0x3ac424(0x1c75)]({'title':_0x3ac424(0x17f5),'msg':_0x5a35c1['interval'][_0x3ac424(0x19eb)]?_0x5a35c1[_0x3ac424(0x2765)][_0x3ac424(0x19eb)]+_0x3ac424(0x1386):''}),_0x3919c3();})['catch'](function(_0x2fa538){const _0x441c84=_0x36b175;console[_0x441c84(0x1980)](_0x2fa538),_0x5a35c1[_0x441c84(0xcef)]=_0x2fa538[_0x441c84(0x524)]['errors']||[{'message':_0x2fa538[_0x441c84(0xd5f)](),'type':_0x441c84(0x7e7)}];});}function _0x4873ba(){const _0x45491a=_0x274113;_0x5a35c1[_0x45491a(0xcef)]=[],_0x5a35c1[_0x45491a(0x2765)][_0x45491a(0x2765)]=_0x964cf3(),_0x5a35c1[_0x45491a(0x2765)][_0x45491a(0xea)]?(_0x5a35c1[_0x45491a(0x1142)]!==_0x45491a(0x25f4)&&(_0x5a35c1[_0x45491a(0x2765)]['IntervalId']=null),_0x3919c3(_0x5a35c1[_0x45491a(0x2765)])):_0x25d3e4[_0x45491a(0x2765)][_0x45491a(0x18e1)]({'id':_0x5a35c1['interval']['id']},_0x5a35c1[_0x45491a(0x2765)])['$promise'][_0x45491a(0x146b)](function(_0x56f310){const _0x2bfd1d=_0x45491a,_0x1ec1b7=_0x3f65c0()['find'](_0x5a35c1[_0x2bfd1d(0x278f)],{'id':_0x56f310['id']});_0x1ec1b7&&_0x3f65c0()['merge'](_0x1ec1b7,_0x56f310),_0x1ebc82['success']({'title':_0x2bfd1d(0x1d51),'msg':_0x2bfd1d(0x97a)}),_0x3919c3();})['catch'](function(_0x39b0f3){const _0x15fb2e=_0x45491a;console[_0x15fb2e(0x1980)](_0x39b0f3),_0x5a35c1[_0x15fb2e(0xcef)]=_0x39b0f3[_0x15fb2e(0x524)][_0x15fb2e(0xcef)]||[{'message':_0x39b0f3['toString'](),'type':_0x15fb2e(0x1fbe)}];});}function _0x3919c3(_0x217b0a){const _0x1bdb2e=_0x274113;_0x471b4b[_0x1bdb2e(0x2458)](_0x217b0a);}}const _0x1fa463=_0x3f7767;;_0x1ffb07[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),'smsAccountApp',_0x313a4d(0x2209),_0x313a4d(0x247f),'Auth','crudPermissions'];function _0x1ffb07(_0x5cc7ed,_0x3dfa6c,_0xc236f3,_0xd1b89,_0xf46b5e,_0x39a7d6,_0x26dd9e,_0x127c59){const _0x4ea6f5=_0x313a4d,_0x4c85de=this;_0x4c85de[_0x4ea6f5(0x2321)]=_0x26dd9e['getCurrentUser'](),_0x4c85de['errors']=[],_0x4c85de[_0x4ea6f5(0x1189)]=_0x4ea6f5(0x2525)+(_0xd1b89[_0x4ea6f5(0x8f2)]||_0xd1b89[_0x4ea6f5(0x1873)])['toUpperCase'](),_0x4c85de[_0x4ea6f5(0x309)]=angular[_0x4ea6f5(0x235a)](_0xd1b89),_0x4c85de[_0x4ea6f5(0x2514)]=_0x127c59,_0x4c85de[_0x4ea6f5(0x855)]={};if(_0x4c85de[_0x4ea6f5(0x309)]['appdata'])switch(_0x4c85de[_0x4ea6f5(0x309)][_0x4ea6f5(0x8f2)]?_0x4c85de[_0x4ea6f5(0x309)][_0x4ea6f5(0x8f2)][_0x4ea6f5(0x256e)]():_0x4c85de[_0x4ea6f5(0x309)][_0x4ea6f5(0x1873)][_0x4ea6f5(0x256e)]()){case _0x4ea6f5(0x1802):break;case'dialogflow':{const _0x1c64c6=_0x4c85de[_0x4ea6f5(0x309)][_0x4ea6f5(0x168a)][_0x4ea6f5(0x10c8)](',');_0x4c85de[_0x4ea6f5(0x309)][_0x4ea6f5(0x2293)]=_0x1c64c6[0x0],_0x4c85de['noop'][_0x4ea6f5(0x90b)]=_0x1c64c6[0x1],_0x4c85de[_0x4ea6f5(0x309)][_0x4ea6f5(0xaf3)]=_0x1c64c6[_0x4ea6f5(0x1298)](0x2,_0x1c64c6[_0x4ea6f5(0x402)])['join'](',');}break;case'dialogflowv2':{const _0x23ecfb=_0x4c85de[_0x4ea6f5(0x309)][_0x4ea6f5(0x168a)][_0x4ea6f5(0x10c8)](',');_0x4c85de[_0x4ea6f5(0x309)]['projectId']=_0x23ecfb[0x0],_0x4c85de[_0x4ea6f5(0x309)][_0x4ea6f5(0x1392)]=_0x23ecfb[0x1],_0x4c85de[_0x4ea6f5(0x309)]['privateKey']=_0x23ecfb[0x2],_0x4c85de['noop'][_0x4ea6f5(0x90b)]=_0x23ecfb[0x3],_0x4c85de[_0x4ea6f5(0x309)][_0x4ea6f5(0xaf3)]=_0x23ecfb[_0x4ea6f5(0x1298)](0x4,_0x23ecfb['length'])['join'](',');}break;case _0x4ea6f5(0x17d6):{const _0x1dfc2b=_0x4c85de[_0x4ea6f5(0x309)][_0x4ea6f5(0x168a)][_0x4ea6f5(0x10c8)](',');_0x4c85de['noop'][_0x4ea6f5(0x1651)]=_0x1dfc2b[0x0],_0x4c85de[_0x4ea6f5(0x309)]['secretaccesskey']=_0x1dfc2b[0x1],_0x4c85de[_0x4ea6f5(0x309)][_0x4ea6f5(0x1039)]=_0x1dfc2b[0x2],_0x4c85de[_0x4ea6f5(0x309)][_0x4ea6f5(0x8dd)]=_0x1dfc2b[0x3],_0x4c85de[_0x4ea6f5(0x309)]['welcomemessage']=_0x1dfc2b[_0x4ea6f5(0x1298)](0x4,_0x1dfc2b[_0x4ea6f5(0x402)])[_0x4ea6f5(0xb47)](',');}break;case'autoreply':{const _0xa69079=_0x4c85de[_0x4ea6f5(0x309)][_0x4ea6f5(0x168a)]['split'](',');_0x4c85de[_0x4ea6f5(0x309)]['times']=isNaN(_0xa69079[0x0])?_0xa69079[0x0]:parseInt(_0xa69079[0x0],0xa),_0x4c85de['noop'][_0x4ea6f5(0xa8d)]=_0xa69079[_0x4ea6f5(0x1298)](0x1,_0xa69079['length'])[_0x4ea6f5(0xb47)](',');}break;case _0x4ea6f5(0x7fd):_0x4c85de[_0x4ea6f5(0x309)][_0x4ea6f5(0xa8d)]=_0x4c85de[_0x4ea6f5(0x309)]['appdata'];break;case'set':_0x4c85de[_0x4ea6f5(0x309)]['name']=_0x4c85de[_0x4ea6f5(0x309)][_0x4ea6f5(0x168a)][_0x4ea6f5(0x10c8)]('=')[0x0],_0x4c85de[_0x4ea6f5(0x309)][_0x4ea6f5(0x175d)]=_0x4c85de[_0x4ea6f5(0x309)][_0x4ea6f5(0x168a)][_0x4ea6f5(0x10c8)]('=')[0x1];break;case _0x4ea6f5(0x1ecb):_0x4c85de[_0x4ea6f5(0x309)][_0x4ea6f5(0x9e0)]=_0x4c85de[_0x4ea6f5(0x309)][_0x4ea6f5(0x168a)];break;default:{const _0x1f960c=_0x4c85de[_0x4ea6f5(0x309)][_0x4ea6f5(0x168a)][_0x4ea6f5(0x10c8)](',');_0x4c85de[_0x4ea6f5(0x309)][_0x4ea6f5(0x175d)]=_0x3f65c0()[_0x4ea6f5(0x2635)](_0x1f960c[0x0])?_0x1f960c[0x0]:isNaN(_0x1f960c[0x0])?_0x1f960c[0x0]:parseInt(_0x1f960c[0x0],0xa);}break;}else{}_0x4c85de['noop'][_0x4ea6f5(0x1142)]&&_0x4c85de[_0x4ea6f5(0x309)]['type']['toLowerCase']()===_0x4ea6f5(0xc9c)&&_0x4c85de[_0x4ea6f5(0x309)][_0x4ea6f5(0x8f2)][_0x4ea6f5(0x256e)]()===_0x4ea6f5(0x3bb)&&(_0x4c85de[_0x4ea6f5(0x309)][_0x4ea6f5(0x1e12)]=_0x4c85de['noop'][_0x4ea6f5(0x1d55)]?_0x4c85de[_0x4ea6f5(0x309)][_0x4ea6f5(0x1d55)][_0x4ea6f5(0x10c8)]('$')[0x0]:undefined,_0x4c85de[_0x4ea6f5(0x309)][_0x4ea6f5(0x1d43)]=_0x4c85de[_0x4ea6f5(0x309)][_0x4ea6f5(0x11be)]?_0x4ea6f5(0x1b60)+_0x4c85de[_0x4ea6f5(0x309)][_0x4ea6f5(0x11be)]:undefined);_0x4c85de[_0x4ea6f5(0x15de)]=_0xc71483,_0x4c85de['closeDialog']=_0x6eb29e;function _0xc71483(){const _0xd0c0b4=_0x4ea6f5;_0x4c85de[_0xd0c0b4(0xcef)]=[];const _0x5bddb6=[];_0x4c85de['noop'][_0xd0c0b4(0x1142)]&&_0x4c85de[_0xd0c0b4(0x309)][_0xd0c0b4(0x1142)]['toLowerCase']()===_0xd0c0b4(0xc9c)&&_0x4c85de['noop'][_0xd0c0b4(0x8f2)]==='outboundDial'&&(_0x4c85de['noop'][_0xd0c0b4(0x1d55)]=_0xf46b5e[_0xd0c0b4(0x1274)]?(_0x4c85de[_0xd0c0b4(0x309)][_0xd0c0b4(0x1e12)]||'')+'${EXTEN:'+_0xf46b5e[_0xd0c0b4(0x1274)]+'}':(_0x4c85de['noop'][_0xd0c0b4(0x1e12)]||'')+'${EXTEN}',_0xf46b5e[_0xd0c0b4(0x1425)]!=='none'?_0x4c85de[_0xd0c0b4(0x309)]['options'][_0xd0c0b4(0x172b)](_0xd0c0b4(0x1ea4))<0x0&&(_0x4c85de[_0xd0c0b4(0x309)]['options']+=_0xd0c0b4(0x1ea4)):_0x4c85de[_0xd0c0b4(0x309)][_0xd0c0b4(0xa08)]=_0x4c85de[_0xd0c0b4(0x309)]['options'][_0xd0c0b4(0x5f4)]('U(xcally-mixmonitor-context)',''));if(_0x4c85de[_0xd0c0b4(0x309)][_0xd0c0b4(0x8f2)]&&_0x4c85de[_0xd0c0b4(0x309)][_0xd0c0b4(0x8f2)]===_0xd0c0b4(0x1802)){}else switch((_0x4c85de['noop'][_0xd0c0b4(0x1873)]||_0x4c85de[_0xd0c0b4(0x309)]['appType'])[_0xd0c0b4(0x256e)]()){case _0xd0c0b4(0x26ba):_0x4c85de[_0xd0c0b4(0x309)][_0xd0c0b4(0x168a)]=_0x4c85de[_0xd0c0b4(0x309)]['name']+'='+_0x4c85de[_0xd0c0b4(0x309)]['value'];break;case'custom':break;default:_0x5bddb6[0x0]=_0x4c85de['noop'][_0xd0c0b4(0x175d)],_0x4c85de['noop'][_0xd0c0b4(0x168a)]=_0x5bddb6[_0xd0c0b4(0xb47)](',');}_0x6eb29e(_0x4c85de[_0xd0c0b4(0x309)]);}function _0x6eb29e(_0x5577bc){_0x5cc7ed['hide'](_0x5577bc);}}const _0x56c747=_0x1ffb07;;_0x803c72[_0x313a4d(0x11c2)]=['$mdDialog','$q',_0x313a4d(0x1fe4),_0x313a4d(0x80b),_0x313a4d(0x2209),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x803c72(_0x3db7c3,_0x1c8f7e,_0x5f1bd8,_0x5f54ef,_0x4f798b,_0x1d0191,_0x580e6d,_0x2e5875){const _0x2cbe24=_0x313a4d,_0x2f992a=this;_0x2f992a[_0x2cbe24(0x2321)]=_0x580e6d[_0x2cbe24(0xb12)](),_0x2f992a[_0x2cbe24(0xcef)]=[],_0x2f992a['title']=_0x2cbe24(0x2525)+(_0x5f54ef[_0x2cbe24(0x8f2)]||_0x5f54ef['app'])[_0x2cbe24(0x1c37)](),_0x2f992a[_0x2cbe24(0x1c72)]=angular[_0x2cbe24(0x235a)](_0x5f54ef),_0x2f992a[_0x2cbe24(0x2514)]=_0x2e5875,_0x2f992a['hasModulePermissions']={};if(_0x2f992a[_0x2cbe24(0x1c72)]['appdata'])switch(_0x2f992a[_0x2cbe24(0x1c72)][_0x2cbe24(0x8f2)]?_0x2f992a[_0x2cbe24(0x1c72)][_0x2cbe24(0x8f2)]['toLowerCase']():_0x2f992a[_0x2cbe24(0x1c72)][_0x2cbe24(0x1873)][_0x2cbe24(0x256e)]()){case _0x2cbe24(0x1802):break;case'dialogflow':{const _0x163ea6=_0x2f992a[_0x2cbe24(0x1c72)][_0x2cbe24(0x168a)][_0x2cbe24(0x10c8)](',');_0x2f992a[_0x2cbe24(0x1c72)][_0x2cbe24(0x2293)]=_0x163ea6[0x0],_0x2f992a[_0x2cbe24(0x1c72)][_0x2cbe24(0x90b)]=_0x163ea6[0x1],_0x2f992a[_0x2cbe24(0x1c72)][_0x2cbe24(0xaf3)]=_0x163ea6[_0x2cbe24(0x1298)](0x2,_0x163ea6[_0x2cbe24(0x402)])['join'](',');}break;case _0x2cbe24(0xa46):{const _0x3589ee=_0x2f992a['queue'][_0x2cbe24(0x168a)][_0x2cbe24(0x10c8)](',');_0x2f992a['queue']['projectId']=_0x3589ee[0x0],_0x2f992a['queue']['clientEmail']=_0x3589ee[0x1],_0x2f992a['queue']['privateKey']=_0x3589ee[0x2],_0x2f992a[_0x2cbe24(0x1c72)][_0x2cbe24(0x90b)]=_0x3589ee[0x3],_0x2f992a[_0x2cbe24(0x1c72)][_0x2cbe24(0xaf3)]=_0x3589ee[_0x2cbe24(0x1298)](0x4,_0x3589ee[_0x2cbe24(0x402)])[_0x2cbe24(0xb47)](',');}break;case _0x2cbe24(0x17d6):{const _0x3027d5=_0x2f992a[_0x2cbe24(0x1c72)]['appdata'][_0x2cbe24(0x10c8)](',');_0x2f992a[_0x2cbe24(0x1c72)][_0x2cbe24(0x1651)]=_0x3027d5[0x0],_0x2f992a[_0x2cbe24(0x1c72)][_0x2cbe24(0x252)]=_0x3027d5[0x1],_0x2f992a[_0x2cbe24(0x1c72)][_0x2cbe24(0x1039)]=_0x3027d5[0x2],_0x2f992a['queue'][_0x2cbe24(0x8dd)]=_0x3027d5[0x3],_0x2f992a[_0x2cbe24(0x1c72)][_0x2cbe24(0xaf3)]=_0x3027d5['slice'](0x4,_0x3027d5['length'])['join'](',');}break;case'autoreply':{const _0x128bc1=_0x2f992a[_0x2cbe24(0x1c72)][_0x2cbe24(0x168a)][_0x2cbe24(0x10c8)](',');_0x2f992a[_0x2cbe24(0x1c72)]['times']=isNaN(_0x128bc1[0x0])?_0x128bc1[0x0]:parseInt(_0x128bc1[0x0],0xa),_0x2f992a[_0x2cbe24(0x1c72)]['text']=_0x128bc1[_0x2cbe24(0x1298)](0x1,_0x128bc1[_0x2cbe24(0x402)])[_0x2cbe24(0xb47)](',');}break;case _0x2cbe24(0x7fd):_0x2f992a[_0x2cbe24(0x1c72)][_0x2cbe24(0xa8d)]=_0x2f992a[_0x2cbe24(0x1c72)][_0x2cbe24(0x168a)];break;case _0x2cbe24(0x26ba):_0x2f992a[_0x2cbe24(0x1c72)][_0x2cbe24(0x19eb)]=_0x2f992a[_0x2cbe24(0x1c72)]['appdata']['split']('=')[0x0],_0x2f992a[_0x2cbe24(0x1c72)][_0x2cbe24(0x175d)]=_0x2f992a['queue'][_0x2cbe24(0x168a)][_0x2cbe24(0x10c8)]('=')[0x1];break;case _0x2cbe24(0x1ecb):_0x2f992a['queue']['project']=_0x2f992a[_0x2cbe24(0x1c72)][_0x2cbe24(0x168a)];break;default:{const _0x3988ec=_0x2f992a[_0x2cbe24(0x1c72)]['appdata'][_0x2cbe24(0x10c8)](',');_0x2f992a[_0x2cbe24(0x1c72)][_0x2cbe24(0x1c72)]=_0x3f65c0()[_0x2cbe24(0x2635)](_0x3988ec[0x0])?_0x3988ec[0x0]:isNaN(_0x3988ec[0x0])?_0x3988ec[0x0]:parseInt(_0x3988ec[0x0],0xa),_0x2f992a[_0x2cbe24(0x1c72)][_0x2cbe24(0xa9c)]=_0x3f65c0()[_0x2cbe24(0x2635)](_0x3988ec[0x1])?_0x3988ec[0x1]:isNaN(_0x3988ec[0x1])?_0x3988ec[0x1]:parseInt(_0x3988ec[0x1],0xa);}break;}else _0x2f992a['queue']['queue']=0x12c,_0x2f992a[_0x2cbe24(0x1c72)][_0x2cbe24(0xa9c)]=0x12c;_0x2f992a[_0x2cbe24(0x1c72)][_0x2cbe24(0x1142)]&&_0x2f992a['queue']['type'][_0x2cbe24(0x256e)]()===_0x2cbe24(0xc9c)&&_0x2f992a[_0x2cbe24(0x1c72)][_0x2cbe24(0x8f2)]['toLowerCase']()===_0x2cbe24(0x3bb)&&(_0x2f992a[_0x2cbe24(0x1c72)]['prefix']=_0x2f992a[_0x2cbe24(0x1c72)]['phone']?_0x2f992a[_0x2cbe24(0x1c72)][_0x2cbe24(0x1d55)][_0x2cbe24(0x10c8)]('$')[0x0]:undefined,_0x2f992a[_0x2cbe24(0x1c72)][_0x2cbe24(0x1d43)]=_0x2f992a[_0x2cbe24(0x1c72)][_0x2cbe24(0x11be)]?'CALLERID(all)='+_0x2f992a['queue'][_0x2cbe24(0x11be)]:undefined);_0x2f992a[_0x2cbe24(0x15de)]=_0x1f6f00,_0x2f992a[_0x2cbe24(0x13f3)]=_0x18c28f,_0x580e6d['hasRole']('admin')?_0x1d0191[_0x2cbe24(0xf80)][_0x2cbe24(0x16b4)]({'fields':_0x2cbe24(0x45e),'sort':_0x2cbe24(0x19eb),'nolimit':'true'})[_0x2cbe24(0x2945)][_0x2cbe24(0x146b)](function(_0x33e533){const _0x4be603=_0x2cbe24;_0x2f992a[_0x4be603(0x1b32)]=_0x33e533[_0x4be603(0x19c7)]||[];})['catch'](function(_0xfe30c0){const _0x408033=_0x2cbe24;_0x5f1bd8[_0x408033(0x1980)]({'title':_0xfe30c0[_0x408033(0x107b)]?_0x408033(0x262a)+_0xfe30c0[_0x408033(0x107b)]+_0x408033(0x1315)+_0xfe30c0[_0x408033(0x167f)]:_0x408033(0x22d8),'msg':_0xfe30c0[_0x408033(0x524)]?JSON['stringify'](_0xfe30c0[_0x408033(0x524)]):_0xfe30c0[_0x408033(0xd5f)]()});}):_0x1d0191['smsQueue'][_0x2cbe24(0x16b4)]({'fields':_0x2cbe24(0x45e),'sort':_0x2cbe24(0x19eb),'nolimit':_0x2cbe24(0x1185)})[_0x2cbe24(0x2945)]['then'](function(_0x2a50a6){const _0x351d21=_0x2cbe24;_0x2f992a[_0x351d21(0x1b32)]=_0x2a50a6[_0x351d21(0x19c7)]||[];})[_0x2cbe24(0x146b)](function(){const _0x147113=_0x2cbe24;return _0x1d0191[_0x147113(0x1366)]['get']({'userProfileId':_0x2f992a[_0x147113(0x2321)][_0x147113(0x209a)],'sectionId':0x2bd})['$promise'];})[_0x2cbe24(0x146b)](function(_0x53946d){const _0x147bb3=_0x2cbe24,_0x2f5e4f=_0x53946d&&_0x53946d['rows']?_0x53946d[_0x147bb3(0x19c7)][0x0]:null;if(!_0x2f5e4f){const _0x58e3a2=[];let _0x178aaf=null;_0x2f992a[_0x147bb3(0x1c72)]&&(_0x178aaf=_0x3f65c0()[_0x147bb3(0xc84)](_0x2f992a['queues'],{'name':_0x2f992a[_0x147bb3(0x1c72)][_0x147bb3(0x1c72)]}));for(let _0x38747c=0x0;_0x38747c<_0x2f992a[_0x147bb3(0x1b32)][_0x147bb3(0x402)];_0x38747c++){_0x178aaf&&_0x2f992a['queues'][_0x38747c]['id']===_0x178aaf['id']&&(_0x2f992a[_0x147bb3(0x1b32)][_0x38747c][_0x147bb3(0x8ff)]=![],_0x58e3a2[_0x147bb3(0x1f47)](_0x2f992a[_0x147bb3(0x1b32)][_0x38747c]));}_0x2f992a['queues']=_0x58e3a2;}else{if(!_0x2f5e4f['autoAssociation'])return _0x1d0191[_0x147bb3(0xdcc)][_0x147bb3(0x16b4)]({'sectionId':_0x2f5e4f['id']})['$promise']['then'](function(_0x4337f3){const _0x4b19a9=_0x147bb3,_0xe09803=_0x3f65c0()[_0x4b19a9(0x205)](_0x4337f3[_0x4b19a9(0x19c7)],function(_0x4856f1){const _0x3e1799=_0x4b19a9;return _0x3f65c0()[_0x3e1799(0xc84)](_0x2f992a['queues'],{'id':_0x4856f1[_0x3e1799(0x18b8)]});});let _0x3a93d2=null;_0x2f992a[_0x4b19a9(0x1c72)]&&(_0x3a93d2=_0x3f65c0()[_0x4b19a9(0xc84)](_0x2f992a[_0x4b19a9(0x1b32)],{'name':_0x2f992a[_0x4b19a9(0x1c72)][_0x4b19a9(0x1c72)]}));if(_0x3a93d2&&!_0x3f65c0()[_0x4b19a9(0x1360)](_0xe09803,['id',_0x3a93d2['id']])){const _0x336b91=_0x3f65c0()[_0x4b19a9(0xc84)](_0x2f992a[_0x4b19a9(0x1b32)],{'id':_0x3a93d2['id']});_0x336b91[_0x4b19a9(0x8ff)]=![],_0xe09803[_0x4b19a9(0x1f47)](_0x336b91);}_0x2f992a[_0x4b19a9(0x1b32)]=_0xe09803;});}})['catch'](function(_0x5ec55b){const _0x313c0d=_0x2cbe24;_0x5f1bd8[_0x313c0d(0x1980)]({'title':_0x5ec55b['status']?_0x313c0d(0x262a)+_0x5ec55b[_0x313c0d(0x107b)]+_0x313c0d(0x1315)+_0x5ec55b['statusText']:_0x313c0d(0x561),'msg':_0x5ec55b[_0x313c0d(0x524)]?JSON['stringify'](_0x5ec55b[_0x313c0d(0x524)]):_0x5ec55b[_0x313c0d(0xd5f)]()});});function _0x1f6f00(){const _0x1a4913=_0x2cbe24;_0x2f992a[_0x1a4913(0xcef)]=[];const _0x53a877=[];_0x2f992a[_0x1a4913(0x1c72)][_0x1a4913(0x1142)]&&_0x2f992a['queue'][_0x1a4913(0x1142)]['toLowerCase']()===_0x1a4913(0xc9c)&&_0x2f992a[_0x1a4913(0x1c72)][_0x1a4913(0x8f2)]===_0x1a4913(0x118b)&&(_0x2f992a[_0x1a4913(0x1c72)][_0x1a4913(0x1d55)]=_0x4f798b[_0x1a4913(0x1274)]?(_0x2f992a[_0x1a4913(0x1c72)][_0x1a4913(0x1e12)]||'')+_0x1a4913(0x2147)+_0x4f798b[_0x1a4913(0x1274)]+'}':(_0x2f992a['queue']['prefix']||'')+_0x1a4913(0x20df),_0x4f798b[_0x1a4913(0x1425)]!==_0x1a4913(0x1642)?_0x2f992a['queue'][_0x1a4913(0xa08)][_0x1a4913(0x172b)](_0x1a4913(0x1ea4))<0x0&&(_0x2f992a[_0x1a4913(0x1c72)][_0x1a4913(0xa08)]+=_0x1a4913(0x1ea4)):_0x2f992a[_0x1a4913(0x1c72)][_0x1a4913(0xa08)]=_0x2f992a[_0x1a4913(0x1c72)][_0x1a4913(0xa08)][_0x1a4913(0x5f4)](_0x1a4913(0x1ea4),''));const _0x24d75c=_0x3f65c0()['find'](_0x2f992a[_0x1a4913(0x1b32)],{'name':_0x2f992a[_0x1a4913(0x1c72)][_0x1a4913(0x1c72)]});_0x24d75c&&(_0x2f992a[_0x1a4913(0x1c72)][_0x3f65c0()[_0x1a4913(0x83f)](_0x1a4913(0x929))+'QueueId']=_0x24d75c['id']);if(_0x2f992a[_0x1a4913(0x1c72)][_0x1a4913(0x8f2)]&&_0x2f992a['queue'][_0x1a4913(0x8f2)]==='custom'){}else switch((_0x2f992a[_0x1a4913(0x1c72)]['app']||_0x2f992a[_0x1a4913(0x1c72)][_0x1a4913(0x8f2)])[_0x1a4913(0x256e)]()){case _0x1a4913(0x26ba):_0x2f992a[_0x1a4913(0x1c72)]['appdata']=_0x2f992a['queue'][_0x1a4913(0x19eb)]+'='+_0x2f992a[_0x1a4913(0x1c72)]['value'];break;case _0x1a4913(0x1802):break;default:_0x53a877[0x0]=_0x2f992a[_0x1a4913(0x1c72)]['queue'],_0x53a877[0x1]=_0x2f992a[_0x1a4913(0x1c72)][_0x1a4913(0xa9c)],_0x2f992a[_0x1a4913(0x1c72)][_0x1a4913(0x168a)]=_0x53a877[_0x1a4913(0xb47)](',');}_0x18c28f(_0x2f992a[_0x1a4913(0x1c72)]);}function _0x18c28f(_0x5c2a1e){const _0x4aefc=_0x2cbe24;_0x3db7c3[_0x4aefc(0x2458)](_0x5c2a1e);}}const _0x4f8b24=_0x803c72;;_0x5321ec['$inject']=['$mdDialog','$q',_0x313a4d(0x1fe4),'smsAccountApp','smsAccount',_0x313a4d(0x247f),'Auth','crudPermissions'];function _0x5321ec(_0x362f41,_0x498b56,_0x2f113f,_0x190d02,_0x4f15b4,_0x5ab21b,_0x3af938,_0x59f6f7){const _0xd60eba=_0x313a4d,_0x1498f3=this;_0x1498f3[_0xd60eba(0x2321)]=_0x3af938[_0xd60eba(0xb12)](),_0x1498f3[_0xd60eba(0xcef)]=[],_0x1498f3[_0xd60eba(0x1189)]=_0xd60eba(0x2525)+(_0x190d02[_0xd60eba(0x8f2)]||_0x190d02[_0xd60eba(0x1873)])[_0xd60eba(0x1c37)](),_0x1498f3['system']=angular['copy'](_0x190d02),_0x1498f3['crudPermissions']=_0x59f6f7,_0x1498f3['hasModulePermissions']={};if(_0x1498f3[_0xd60eba(0x1d47)]['appdata'])switch(_0x1498f3[_0xd60eba(0x1d47)][_0xd60eba(0x8f2)]?_0x1498f3[_0xd60eba(0x1d47)][_0xd60eba(0x8f2)][_0xd60eba(0x256e)]():_0x1498f3[_0xd60eba(0x1d47)][_0xd60eba(0x1873)][_0xd60eba(0x256e)]()){case'custom':break;case _0xd60eba(0xeaa):{const _0x31ee77=_0x1498f3[_0xd60eba(0x1d47)][_0xd60eba(0x168a)][_0xd60eba(0x10c8)](',');_0x1498f3[_0xd60eba(0x1d47)][_0xd60eba(0x2293)]=_0x31ee77[0x0],_0x1498f3[_0xd60eba(0x1d47)][_0xd60eba(0x90b)]=_0x31ee77[0x1],_0x1498f3[_0xd60eba(0x1d47)][_0xd60eba(0xaf3)]=_0x31ee77[_0xd60eba(0x1298)](0x2,_0x31ee77[_0xd60eba(0x402)])['join'](',');}break;case'dialogflowv2':{const _0x102a0f=_0x1498f3[_0xd60eba(0x1d47)]['appdata'][_0xd60eba(0x10c8)](',');_0x1498f3['system'][_0xd60eba(0x153a)]=_0x102a0f[0x0],_0x1498f3[_0xd60eba(0x1d47)][_0xd60eba(0x1392)]=_0x102a0f[0x1],_0x1498f3[_0xd60eba(0x1d47)]['privateKey']=_0x102a0f[0x2],_0x1498f3['system']['language']=_0x102a0f[0x3],_0x1498f3[_0xd60eba(0x1d47)][_0xd60eba(0xaf3)]=_0x102a0f['slice'](0x4,_0x102a0f[_0xd60eba(0x402)])['join'](',');}break;case _0xd60eba(0x17d6):{const _0x1775f3=_0x1498f3['system']['appdata'][_0xd60eba(0x10c8)](',');_0x1498f3['system'][_0xd60eba(0x1651)]=_0x1775f3[0x0],_0x1498f3['system'][_0xd60eba(0x252)]=_0x1775f3[0x1],_0x1498f3[_0xd60eba(0x1d47)][_0xd60eba(0x1039)]=_0x1775f3[0x2],_0x1498f3[_0xd60eba(0x1d47)][_0xd60eba(0x8dd)]=_0x1775f3[0x3],_0x1498f3[_0xd60eba(0x1d47)][_0xd60eba(0xaf3)]=_0x1775f3[_0xd60eba(0x1298)](0x4,_0x1775f3[_0xd60eba(0x402)])[_0xd60eba(0xb47)](',');}break;case _0xd60eba(0x1713):{const _0x344fef=_0x1498f3[_0xd60eba(0x1d47)]['appdata']['split'](',');_0x1498f3[_0xd60eba(0x1d47)][_0xd60eba(0x583)]=isNaN(_0x344fef[0x0])?_0x344fef[0x0]:parseInt(_0x344fef[0x0],0xa),_0x1498f3[_0xd60eba(0x1d47)][_0xd60eba(0xa8d)]=_0x344fef[_0xd60eba(0x1298)](0x1,_0x344fef[_0xd60eba(0x402)])[_0xd60eba(0xb47)](',');}break;case _0xd60eba(0x7fd):_0x1498f3[_0xd60eba(0x1d47)][_0xd60eba(0xa8d)]=_0x1498f3[_0xd60eba(0x1d47)][_0xd60eba(0x168a)];break;case _0xd60eba(0x26ba):_0x1498f3[_0xd60eba(0x1d47)][_0xd60eba(0x19eb)]=_0x1498f3[_0xd60eba(0x1d47)][_0xd60eba(0x168a)][_0xd60eba(0x10c8)]('=')[0x0],_0x1498f3['system']['value']=_0x1498f3[_0xd60eba(0x1d47)]['appdata']['split']('=')[0x1];break;case _0xd60eba(0x1ecb):_0x1498f3['system'][_0xd60eba(0x9e0)]=_0x1498f3['system'][_0xd60eba(0x168a)];break;default:{const _0x2f15c8=_0x1498f3['system'][_0xd60eba(0x168a)]['split'](',');_0x1498f3[_0xd60eba(0x1d47)]['command']=_0x3f65c0()[_0xd60eba(0x2635)](_0x2f15c8[0x0])?_0x2f15c8[0x0]:isNaN(_0x2f15c8[0x0])?_0x2f15c8[0x0]:parseInt(_0x2f15c8[0x0],0xa),_0x1498f3[_0xd60eba(0x1d47)]['variable']=_0x3f65c0()['isEmpty'](_0x2f15c8[0x1])?_0x2f15c8[0x1]:isNaN(_0x2f15c8[0x1])?_0x2f15c8[0x1]:parseInt(_0x2f15c8[0x1],0xa);}break;}else _0x1498f3[_0xd60eba(0x1d47)][_0xd60eba(0x212)]='';_0x1498f3['system']['type']&&_0x1498f3[_0xd60eba(0x1d47)]['type'][_0xd60eba(0x256e)]()===_0xd60eba(0xc9c)&&_0x1498f3['system'][_0xd60eba(0x8f2)][_0xd60eba(0x256e)]()===_0xd60eba(0x3bb)&&(_0x1498f3[_0xd60eba(0x1d47)][_0xd60eba(0x1e12)]=_0x1498f3[_0xd60eba(0x1d47)][_0xd60eba(0x1d55)]?_0x1498f3[_0xd60eba(0x1d47)][_0xd60eba(0x1d55)]['split']('$')[0x0]:undefined,_0x1498f3[_0xd60eba(0x1d47)][_0xd60eba(0x1d43)]=_0x1498f3[_0xd60eba(0x1d47)][_0xd60eba(0x11be)]?_0xd60eba(0x1b60)+_0x1498f3[_0xd60eba(0x1d47)][_0xd60eba(0x11be)]:undefined);_0x1498f3[_0xd60eba(0x15de)]=_0x1ab4c6,_0x1498f3[_0xd60eba(0x13f3)]=_0x115cfb,_0x3af938[_0xd60eba(0x23e0)](_0xd60eba(0x174b))?_0x5ab21b[_0xd60eba(0x212)][_0xd60eba(0x16b4)]({'fields':_0xd60eba(0x7a7),'sort':_0xd60eba(0x19eb),'nolimit':_0xd60eba(0x1185)})['$promise'][_0xd60eba(0x146b)](function(_0x9a3b1e){const _0x578b4e=_0xd60eba;_0x1498f3[_0x578b4e(0x85b)]=_0x9a3b1e[_0x578b4e(0x19c7)]||[];})['catch'](function(_0x3de282){const _0xfbdccf=_0xd60eba;_0x2f113f['error']({'title':_0x3de282['status']?'API:'+_0x3de282[_0xfbdccf(0x107b)]+_0xfbdccf(0x1315)+_0x3de282[_0xfbdccf(0x167f)]:_0xfbdccf(0x84b),'msg':_0x3de282[_0xfbdccf(0x524)]?JSON['stringify'](_0x3de282[_0xfbdccf(0x524)]):_0x3de282['toString']()});}):_0x5ab21b[_0xd60eba(0x212)][_0xd60eba(0x16b4)]({'fields':_0xd60eba(0x7a7),'sort':'name','nolimit':_0xd60eba(0x1185)})[_0xd60eba(0x2945)][_0xd60eba(0x146b)](function(_0xb6b7d8){const _0x2509a3=_0xd60eba;_0x1498f3[_0x2509a3(0x85b)]=_0xb6b7d8['rows']||[];})[_0xd60eba(0x146b)](function(){const _0x3470f4=_0xd60eba;return _0x5ab21b[_0x3470f4(0x1366)][_0x3470f4(0x16b4)]({'userProfileId':_0x1498f3['currentUser'][_0x3470f4(0x209a)],'sectionId':0x3f4})[_0x3470f4(0x2945)];})['then'](function(_0x3bb447){const _0x10b48a=_0xd60eba,_0x4ee182=_0x3bb447&&_0x3bb447[_0x10b48a(0x19c7)]?_0x3bb447[_0x10b48a(0x19c7)][0x0]:null;if(!_0x4ee182){const _0xc2423f=[];let _0x257dad=null;_0x1498f3[_0x10b48a(0x1d47)]&&(_0x257dad=_0x3f65c0()[_0x10b48a(0xc84)](_0x1498f3['variables'],{'name':_0x1498f3[_0x10b48a(0x1d47)][_0x10b48a(0x212)]}));for(let _0x281b0d=0x0;_0x281b0d<_0x1498f3[_0x10b48a(0x85b)][_0x10b48a(0x402)];_0x281b0d++){_0x257dad&&_0x1498f3[_0x10b48a(0x85b)][_0x281b0d]['id']===_0x257dad['id']&&(_0x1498f3[_0x10b48a(0x85b)][_0x281b0d][_0x10b48a(0x8ff)]=![],_0xc2423f['push'](_0x1498f3[_0x10b48a(0x85b)][_0x281b0d]));}_0x1498f3['variables']=_0xc2423f;}else{if(!_0x4ee182['autoAssociation'])return _0x5ab21b[_0x10b48a(0xdcc)][_0x10b48a(0x16b4)]({'sectionId':_0x4ee182['id']})[_0x10b48a(0x2945)][_0x10b48a(0x146b)](function(_0x16fbbb){const _0x4bf57d=_0x10b48a,_0x32ce38=_0x3f65c0()[_0x4bf57d(0x205)](_0x16fbbb[_0x4bf57d(0x19c7)],function(_0x4488f3){const _0x1d6694=_0x4bf57d;return _0x3f65c0()[_0x1d6694(0xc84)](_0x1498f3[_0x1d6694(0x85b)],{'id':_0x4488f3['resourceId']});});let _0xd5ab62=null;_0x1498f3[_0x4bf57d(0x1d47)]&&(_0xd5ab62=_0x3f65c0()['find'](_0x1498f3[_0x4bf57d(0x85b)],{'name':_0x1498f3[_0x4bf57d(0x1d47)][_0x4bf57d(0x212)]}));if(_0xd5ab62&&!_0x3f65c0()['some'](_0x32ce38,['id',_0xd5ab62['id']])){const _0x4555e3=_0x3f65c0()['find'](_0x1498f3[_0x4bf57d(0x85b)],{'id':_0xd5ab62['id']});_0x4555e3[_0x4bf57d(0x8ff)]=![],_0x32ce38[_0x4bf57d(0x1f47)](_0x4555e3);}_0x1498f3[_0x4bf57d(0x85b)]=_0x32ce38;});}})[_0xd60eba(0x129e)](function(_0x376a5b){const _0x2268bb=_0xd60eba;_0x2f113f[_0x2268bb(0x1980)]({'title':_0x376a5b[_0x2268bb(0x107b)]?'API:'+_0x376a5b[_0x2268bb(0x107b)]+_0x2268bb(0x1315)+_0x376a5b['statusText']:_0x2268bb(0x493),'msg':_0x376a5b[_0x2268bb(0x524)]?JSON[_0x2268bb(0x10bb)](_0x376a5b[_0x2268bb(0x524)]):_0x376a5b[_0x2268bb(0xd5f)]()});});function _0x1ab4c6(){const _0x43101f=_0xd60eba;_0x1498f3[_0x43101f(0xcef)]=[];const _0x1e23ce=[];_0x1498f3['system']['type']&&_0x1498f3[_0x43101f(0x1d47)][_0x43101f(0x1142)]['toLowerCase']()==='outbound'&&_0x1498f3['system'][_0x43101f(0x8f2)]===_0x43101f(0x118b)&&(_0x1498f3[_0x43101f(0x1d47)][_0x43101f(0x1d55)]=_0x4f15b4[_0x43101f(0x1274)]?(_0x1498f3[_0x43101f(0x1d47)][_0x43101f(0x1e12)]||'')+'${EXTEN:'+_0x4f15b4['cutdigits']+'}':(_0x1498f3['system'][_0x43101f(0x1e12)]||'')+_0x43101f(0x20df),_0x4f15b4[_0x43101f(0x1425)]!=='none'?_0x1498f3[_0x43101f(0x1d47)][_0x43101f(0xa08)]['indexOf'](_0x43101f(0x1ea4))<0x0&&(_0x1498f3[_0x43101f(0x1d47)]['options']+=_0x43101f(0x1ea4)):_0x1498f3[_0x43101f(0x1d47)][_0x43101f(0xa08)]=_0x1498f3['system'][_0x43101f(0xa08)][_0x43101f(0x5f4)](_0x43101f(0x1ea4),''));if(_0x1498f3['system'][_0x43101f(0x8f2)]&&_0x1498f3[_0x43101f(0x1d47)]['appType']===_0x43101f(0x1802)){}else switch((_0x1498f3[_0x43101f(0x1d47)][_0x43101f(0x1873)]||_0x1498f3[_0x43101f(0x1d47)][_0x43101f(0x8f2)])[_0x43101f(0x256e)]()){case _0x43101f(0x26ba):_0x1498f3[_0x43101f(0x1d47)][_0x43101f(0x168a)]=_0x1498f3[_0x43101f(0x1d47)]['name']+'='+_0x1498f3[_0x43101f(0x1d47)][_0x43101f(0x175d)];break;case'custom':break;default:_0x1e23ce[0x0]=_0x1498f3[_0x43101f(0x1d47)][_0x43101f(0x23e9)],_0x1e23ce[0x1]=_0x1498f3[_0x43101f(0x1d47)][_0x43101f(0x212)],_0x1498f3['system'][_0x43101f(0x168a)]=_0x1e23ce[_0x43101f(0xb47)](',');}_0x115cfb(_0x1498f3[_0x43101f(0x1d47)]);}function _0x115cfb(_0x5368fe){_0x362f41['hide'](_0x5368fe);}}const _0x529f6b=_0x5321ec;;_0x4dc966[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x80b),'smsAccount',_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x4dc966(_0x4eff04,_0x72f469,_0x435101,_0x119e04,_0x301b90,_0x25b59c,_0x3cc054,_0x5873dd){const _0x336de3=_0x313a4d,_0x8865ec=this;_0x8865ec[_0x336de3(0x2321)]=_0x3cc054[_0x336de3(0xb12)](),_0x8865ec[_0x336de3(0xcef)]=[],_0x8865ec[_0x336de3(0x1189)]=_0x336de3(0x2525)+(_0x119e04[_0x336de3(0x8f2)]||_0x119e04[_0x336de3(0x1873)])[_0x336de3(0x1c37)](),_0x8865ec['tag']=angular[_0x336de3(0x235a)](_0x119e04),_0x8865ec[_0x336de3(0x2514)]=_0x5873dd,_0x8865ec[_0x336de3(0x855)]={};if(_0x8865ec[_0x336de3(0xa80)][_0x336de3(0x168a)])switch(_0x8865ec[_0x336de3(0xa80)]['appType']?_0x8865ec[_0x336de3(0xa80)][_0x336de3(0x8f2)][_0x336de3(0x256e)]():_0x8865ec['tag'][_0x336de3(0x1873)]['toLowerCase']()){case _0x336de3(0x1802):break;case _0x336de3(0xeaa):{const _0x1c74f9=_0x8865ec[_0x336de3(0xa80)]['appdata'][_0x336de3(0x10c8)](',');_0x8865ec[_0x336de3(0xa80)]['key']=_0x1c74f9[0x0],_0x8865ec['tag'][_0x336de3(0x90b)]=_0x1c74f9[0x1],_0x8865ec['tag']['welcomemessage']=_0x1c74f9[_0x336de3(0x1298)](0x2,_0x1c74f9[_0x336de3(0x402)])['join'](',');}break;case'dialogflowv2':{const _0x406256=_0x8865ec[_0x336de3(0xa80)][_0x336de3(0x168a)]['split'](',');_0x8865ec[_0x336de3(0xa80)][_0x336de3(0x153a)]=_0x406256[0x0],_0x8865ec[_0x336de3(0xa80)][_0x336de3(0x1392)]=_0x406256[0x1],_0x8865ec['tag'][_0x336de3(0x2217)]=_0x406256[0x2],_0x8865ec['tag'][_0x336de3(0x90b)]=_0x406256[0x3],_0x8865ec['tag'][_0x336de3(0xaf3)]=_0x406256[_0x336de3(0x1298)](0x4,_0x406256['length'])[_0x336de3(0xb47)](',');}break;case'amazonlex':{const _0x482d1b=_0x8865ec[_0x336de3(0xa80)][_0x336de3(0x168a)]['split'](',');_0x8865ec[_0x336de3(0xa80)]['accesskeyid']=_0x482d1b[0x0],_0x8865ec['tag'][_0x336de3(0x252)]=_0x482d1b[0x1],_0x8865ec[_0x336de3(0xa80)][_0x336de3(0x1039)]=_0x482d1b[0x2],_0x8865ec[_0x336de3(0xa80)][_0x336de3(0x8dd)]=_0x482d1b[0x3],_0x8865ec[_0x336de3(0xa80)]['welcomemessage']=_0x482d1b['slice'](0x4,_0x482d1b[_0x336de3(0x402)])[_0x336de3(0xb47)](',');}break;case _0x336de3(0x1713):{const _0x5badab=_0x8865ec[_0x336de3(0xa80)]['appdata']['split'](',');_0x8865ec[_0x336de3(0xa80)][_0x336de3(0x583)]=isNaN(_0x5badab[0x0])?_0x5badab[0x0]:parseInt(_0x5badab[0x0],0xa),_0x8865ec[_0x336de3(0xa80)][_0x336de3(0xa8d)]=_0x5badab['slice'](0x1,_0x5badab[_0x336de3(0x402)])[_0x336de3(0xb47)](',');}break;case _0x336de3(0x7fd):_0x8865ec[_0x336de3(0xa80)]['text']=_0x8865ec['tag'][_0x336de3(0x168a)];break;case _0x336de3(0x26ba):_0x8865ec[_0x336de3(0xa80)]['name']=_0x8865ec['tag'][_0x336de3(0x168a)][_0x336de3(0x10c8)]('=')[0x0],_0x8865ec[_0x336de3(0xa80)][_0x336de3(0x175d)]=_0x8865ec[_0x336de3(0xa80)]['appdata']['split']('=')[0x1];break;case _0x336de3(0x1ecb):_0x8865ec[_0x336de3(0xa80)][_0x336de3(0x9e0)]=_0x8865ec[_0x336de3(0xa80)][_0x336de3(0x168a)];break;default:{const _0x33b7c8=_0x8865ec['tag'][_0x336de3(0x168a)][_0x336de3(0x10c8)](',');_0x8865ec[_0x336de3(0xa80)][_0x336de3(0xa80)]=_0x3f65c0()[_0x336de3(0x2635)](_0x33b7c8[0x0])?_0x33b7c8[0x0]:isNaN(_0x33b7c8[0x0])?_0x33b7c8[0x0]:parseInt(_0x33b7c8[0x0],0xa);}break;}else{}_0x8865ec[_0x336de3(0xa80)][_0x336de3(0x1142)]&&_0x8865ec[_0x336de3(0xa80)][_0x336de3(0x1142)]['toLowerCase']()===_0x336de3(0xc9c)&&_0x8865ec[_0x336de3(0xa80)][_0x336de3(0x8f2)]['toLowerCase']()===_0x336de3(0x3bb)&&(_0x8865ec[_0x336de3(0xa80)][_0x336de3(0x1e12)]=_0x8865ec[_0x336de3(0xa80)][_0x336de3(0x1d55)]?_0x8865ec[_0x336de3(0xa80)][_0x336de3(0x1d55)][_0x336de3(0x10c8)]('$')[0x0]:undefined,_0x8865ec[_0x336de3(0xa80)]['callerId']=_0x8865ec[_0x336de3(0xa80)][_0x336de3(0x11be)]?_0x336de3(0x1b60)+_0x8865ec[_0x336de3(0xa80)]['callerID']:undefined);_0x8865ec[_0x336de3(0x15de)]=_0x1e1268,_0x8865ec[_0x336de3(0x13f3)]=_0x484a1b,_0x3cc054['hasRole'](_0x336de3(0x174b))?_0x25b59c[_0x336de3(0xa80)][_0x336de3(0x16b4)]({'fields':'id,name','sort':_0x336de3(0x19eb),'nolimit':_0x336de3(0x1185)})[_0x336de3(0x2945)]['then'](function(_0x3096f){const _0x33761f=_0x336de3;_0x8865ec[_0x33761f(0x1b86)]=_0x3096f['rows']||[];})[_0x336de3(0x129e)](function(_0x3ff183){const _0x267b57=_0x336de3;_0x435101['error']({'title':_0x3ff183[_0x267b57(0x107b)]?_0x267b57(0x262a)+_0x3ff183['status']+_0x267b57(0x1315)+_0x3ff183[_0x267b57(0x167f)]:_0x267b57(0x29c),'msg':_0x3ff183[_0x267b57(0x524)]?JSON[_0x267b57(0x10bb)](_0x3ff183['data']):_0x3ff183[_0x267b57(0xd5f)]()});}):_0x25b59c['tag'][_0x336de3(0x16b4)]({'fields':_0x336de3(0x7a7),'sort':_0x336de3(0x19eb),'nolimit':_0x336de3(0x1185)})['$promise'][_0x336de3(0x146b)](function(_0x14a1a5){const _0x46679=_0x336de3;_0x8865ec['tags']=_0x14a1a5[_0x46679(0x19c7)]||[];})[_0x336de3(0x146b)](function(){const _0xf1e31d=_0x336de3;return _0x25b59c[_0xf1e31d(0x1366)][_0xf1e31d(0x16b4)]({'userProfileId':_0x8865ec[_0xf1e31d(0x2321)][_0xf1e31d(0x209a)],'sectionId':0x3f0})[_0xf1e31d(0x2945)];})[_0x336de3(0x146b)](function(_0x208fc6){const _0x37db6e=_0x336de3,_0x3064f9=_0x208fc6&&_0x208fc6[_0x37db6e(0x19c7)]?_0x208fc6['rows'][0x0]:null;if(!_0x3064f9){const _0x53362b=[];let _0x45e032=null;_0x8865ec[_0x37db6e(0xa80)]&&(_0x45e032=_0x3f65c0()[_0x37db6e(0xc84)](_0x8865ec[_0x37db6e(0x1b86)],{'name':_0x8865ec[_0x37db6e(0xa80)][_0x37db6e(0xa80)]}));for(let _0x7436ce=0x0;_0x7436ce<_0x8865ec[_0x37db6e(0x1b86)]['length'];_0x7436ce++){_0x45e032&&_0x8865ec[_0x37db6e(0x1b86)][_0x7436ce]['id']===_0x45e032['id']&&(_0x8865ec[_0x37db6e(0x1b86)][_0x7436ce][_0x37db6e(0x8ff)]=![],_0x53362b[_0x37db6e(0x1f47)](_0x8865ec[_0x37db6e(0x1b86)][_0x7436ce]));}_0x8865ec[_0x37db6e(0x1b86)]=_0x53362b;}else{if(!_0x3064f9['autoAssociation'])return _0x25b59c['userProfileResource'][_0x37db6e(0x16b4)]({'sectionId':_0x3064f9['id']})[_0x37db6e(0x2945)][_0x37db6e(0x146b)](function(_0x14e359){const _0x252045=_0x37db6e,_0x241ceb=_0x3f65c0()[_0x252045(0x205)](_0x14e359[_0x252045(0x19c7)],function(_0x81d3b2){const _0x1317c8=_0x252045;return _0x3f65c0()[_0x1317c8(0xc84)](_0x8865ec['tags'],{'id':_0x81d3b2['resourceId']});});let _0x34ae41=null;_0x8865ec[_0x252045(0xa80)]&&(_0x34ae41=_0x3f65c0()[_0x252045(0xc84)](_0x8865ec['tags'],{'name':_0x8865ec[_0x252045(0xa80)][_0x252045(0xa80)]}));if(_0x34ae41&&!_0x3f65c0()[_0x252045(0x1360)](_0x241ceb,['id',_0x34ae41['id']])){const _0x35ca3a=_0x3f65c0()[_0x252045(0xc84)](_0x8865ec[_0x252045(0x1b86)],{'id':_0x34ae41['id']});_0x35ca3a['canSelect']=![],_0x241ceb[_0x252045(0x1f47)](_0x35ca3a);}_0x8865ec[_0x252045(0x1b86)]=_0x241ceb;});}})[_0x336de3(0x129e)](function(_0x56d2e4){const _0x3c4734=_0x336de3;_0x435101[_0x3c4734(0x1980)]({'title':_0x56d2e4[_0x3c4734(0x107b)]?_0x3c4734(0x262a)+_0x56d2e4[_0x3c4734(0x107b)]+_0x3c4734(0x1315)+_0x56d2e4[_0x3c4734(0x167f)]:_0x3c4734(0x156),'msg':_0x56d2e4['data']?JSON[_0x3c4734(0x10bb)](_0x56d2e4[_0x3c4734(0x524)]):_0x56d2e4['toString']()});});function _0x1e1268(){const _0x42b6f3=_0x336de3;_0x8865ec[_0x42b6f3(0xcef)]=[];const _0x491e2b=[];_0x8865ec[_0x42b6f3(0xa80)]['type']&&_0x8865ec[_0x42b6f3(0xa80)][_0x42b6f3(0x1142)][_0x42b6f3(0x256e)]()===_0x42b6f3(0xc9c)&&_0x8865ec['tag'][_0x42b6f3(0x8f2)]===_0x42b6f3(0x118b)&&(_0x8865ec['tag'][_0x42b6f3(0x1d55)]=_0x301b90['cutdigits']?(_0x8865ec[_0x42b6f3(0xa80)][_0x42b6f3(0x1e12)]||'')+_0x42b6f3(0x2147)+_0x301b90[_0x42b6f3(0x1274)]+'}':(_0x8865ec[_0x42b6f3(0xa80)]['prefix']||'')+_0x42b6f3(0x20df),_0x301b90[_0x42b6f3(0x1425)]!=='none'?_0x8865ec[_0x42b6f3(0xa80)][_0x42b6f3(0xa08)][_0x42b6f3(0x172b)](_0x42b6f3(0x1ea4))<0x0&&(_0x8865ec[_0x42b6f3(0xa80)]['options']+=_0x42b6f3(0x1ea4)):_0x8865ec[_0x42b6f3(0xa80)][_0x42b6f3(0xa08)]=_0x8865ec[_0x42b6f3(0xa80)][_0x42b6f3(0xa08)][_0x42b6f3(0x5f4)](_0x42b6f3(0x1ea4),''));const _0x53248a=_0x3f65c0()[_0x42b6f3(0xc84)](_0x8865ec['tags'],{'name':_0x8865ec[_0x42b6f3(0xa80)][_0x42b6f3(0xa80)]});_0x53248a&&(_0x8865ec[_0x42b6f3(0xa80)][_0x42b6f3(0xb0a)]=_0x53248a['id']);if(_0x8865ec['tag'][_0x42b6f3(0x8f2)]&&_0x8865ec['tag'][_0x42b6f3(0x8f2)]===_0x42b6f3(0x1802)){}else switch((_0x8865ec[_0x42b6f3(0xa80)][_0x42b6f3(0x1873)]||_0x8865ec[_0x42b6f3(0xa80)][_0x42b6f3(0x8f2)])[_0x42b6f3(0x256e)]()){case _0x42b6f3(0x26ba):_0x8865ec['tag']['appdata']=_0x8865ec['tag']['name']+'='+_0x8865ec[_0x42b6f3(0xa80)][_0x42b6f3(0x175d)];break;case _0x42b6f3(0x1802):break;default:_0x491e2b[0x0]=_0x8865ec[_0x42b6f3(0xa80)][_0x42b6f3(0xa80)],_0x8865ec[_0x42b6f3(0xa80)][_0x42b6f3(0x168a)]=_0x491e2b[_0x42b6f3(0xb47)](',');}_0x484a1b(_0x8865ec[_0x42b6f3(0xa80)]);}function _0x484a1b(_0x3d4d92){const _0x4bca76=_0x336de3;_0x4eff04[_0x4bca76(0x2458)](_0x3d4d92);}}const _0x54d50e=_0x4dc966;;_0x574940[_0x313a4d(0x11c2)]=['$cookies',_0x313a4d(0x910),_0x313a4d(0x1862),'$q',_0x313a4d(0x214b),_0x313a4d(0x1abe),'$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x1fe4),_0x313a4d(0x247f),'Auth'];function _0x574940(_0x18d9dc,_0x3814d1,_0x78b169,_0x26bce8,_0x1562d1,_0x5deefe,_0x18e67d,_0x35d0f1,_0xf12769,_0x10680e,_0x53fbfb){const _0x40f1c1=_0x313a4d,_0x159832=this;_0x159832[_0x40f1c1(0x2321)]=_0x53fbfb[_0x40f1c1(0xb12)](),_0x159832[_0x40f1c1(0x2209)]={},_0x159832[_0x40f1c1(0x951)]={'count':0x0,'rows':[]},_0x159832[_0x40f1c1(0x2840)]=[],_0x159832[_0x40f1c1(0x2514)],_0x159832[_0x40f1c1(0x1a56)]={'read':'null','closed':_0x40f1c1(0x203c),'sort':_0x40f1c1(0xd6f),'includeAll':_0x40f1c1(0x1185),'limit':0xa,'page':0x1},_0x159832['init']=_0x39668d,_0x159832[_0x40f1c1(0x19b4)]=_0x3e07c7,_0x159832[_0x40f1c1(0x1d28)]=_0x3b702e,_0x159832[_0x40f1c1(0x1c75)]=_0x402e82,_0x159832['getSmsAccountInteractions']=_0x5974f4,_0x159832[_0x40f1c1(0xc5e)]=_0x42e21b,_0x159832['openAdvancedSearch']=_0x17c98c,_0x159832['exportSelectedSmsAccountInteractions']=_0x5aa505,_0x159832[_0x40f1c1(0xfa9)]=_0x571fba,_0x159832['deleteSelectedSmsAccountInteractions']=_0x260413;function _0x39668d(_0x342d2b,_0x2ba904){const _0x5786bc=_0x40f1c1;_0x159832[_0x5786bc(0x2209)]=_0x342d2b,_0x159832[_0x5786bc(0x2514)]=typeof _0x2ba904!==_0x5786bc(0x2274)?_0x2ba904:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x159832[_0x5786bc(0x1a56)][_0x5786bc(0x1481)]=_0x159832[_0x5786bc(0x2209)]['id'],_0x159832[_0x5786bc(0xe93)]={'fields':_0xf79bab()},_0x2e7ef9();}function _0x2e7ef9(){const _0x5cd28c=_0x40f1c1;return _0x10680e[_0x5cd28c(0xa80)][_0x5cd28c(0x16b4)]()[_0x5cd28c(0x2945)]['then'](function(_0x591a4b){const _0x532c32=_0x5cd28c;_0x159832[_0x532c32(0x1b86)]=_0x591a4b||{'count':0x0,'rows':[]};})[_0x5cd28c(0x146b)](function(){const _0x41bb62=_0x5cd28c;_0x159832[_0x41bb62(0x28af)]=_0x207e53();});}function _0x207e53(){const _0xa4b60a=_0x40f1c1;return[{'name':'Start\x20Date','key':'createdAt','type':_0xa4b60a(0x1a10),'label':'DASHBOARDS.SELECT_DATE'},{'name':_0xa4b60a(0x1c7c),'key':_0xa4b60a(0x229e),'type':_0xa4b60a(0xa5f),'label':_0xa4b60a(0x8f5),'customOptions':[{'value':0x0,'translate':_0xa4b60a(0x33f)},{'value':0x1,'translate':_0xa4b60a(0x1ce6)},{'value':null,'translate':_0xa4b60a(0x175e)}]},{'name':'Status','key':_0xa4b60a(0x1943),'type':_0xa4b60a(0xa5f),'label':_0xa4b60a(0x1682),'customOptions':[{'value':0x0,'translate':_0xa4b60a(0x143b)},{'value':0x1,'translate':_0xa4b60a(0x1805)},{'value':null,'translate':_0xa4b60a(0x175e)}]},{'name':_0xa4b60a(0x294a),'key':_0xa4b60a(0xea2),'type':_0xa4b60a(0xa5f),'label':_0xa4b60a(0x127),'customOptions':[{'value':'null','translate':'DASHBOARDS.NOT_ASSIGNED'},{'value':undefined,'translate':'DASHBOARDS.ALL'}]},{'name':_0xa4b60a(0x23a8),'key':_0xa4b60a(0xa80),'type':_0xa4b60a(0x175c),'label':_0xa4b60a(0x1c0e),'options':_0x159832['tags'][_0xa4b60a(0x19c7)],'placeholder':_0xa4b60a(0x66f)}];}function _0xf79bab(){const _0x453091=_0x40f1c1;return[{'name':'Id','column':'id','type':_0x453091(0x181)},{'name':_0x453091(0x364),'column':_0x453091(0x364),'type':_0x453091(0x14ee),'options':{'searchFields':[_0x453091(0x1ed4),'lastName',_0x453091(0x1a2b)],'route':{'model':_0x453091(0x18c3),'action':_0x453091(0x16b4),'params':{'fields':'id,firstName,lastName,email','Contact':_0x453091(0x3fb),'nolimit':!![]}},'extraOperators':['$substring'],'excludedOperators':[_0x453091(0x1671)]}},{'name':'Subject','column':_0x453091(0xe32),'type':_0x453091(0xa8d),'options':{'excludedOperators':[_0x453091(0x6be),_0x453091(0x1671)]}},{'name':_0x453091(0x710),'column':_0x453091(0xb80),'type':_0x453091(0xa8d),'options':{'excludedOperators':[_0x453091(0x6be),_0x453091(0x1671)]}},{'name':'Status','column':_0x453091(0x1943),'type':_0x453091(0xa5f),'values':[{'id':0x0,'translate':'DASHBOARDS.OPENED'},{'id':0x1,'translate':_0x453091(0x1805)}],'options':{'excludedOperators':[_0x453091(0x1671)]}},{'name':'Agent','column':_0x453091(0x2393),'type':'autocomplete','options':{'table':'i','route':{'model':_0x453091(0xe7b),'action':_0x453091(0x16b4),'params':{'role':_0x453091(0x1755),'fields':_0x453091(0x12bf),'nolimit':!![]}},'searchFields':[_0x453091(0x286a),'name'],'extraOperators':[_0x453091(0xacb)],'excludedOperators':[_0x453091(0x1671)]}},{'name':'Tags','column':_0x453091(0x23a8),'type':_0x453091(0x175c),'options':{'route':{'model':'tag','action':_0x453091(0x16b4),'params':{'nolimit':!![]}},'excludedOperators':[_0x453091(0xbe5)]}},{'name':_0x453091(0x294),'column':_0x453091(0xc68),'type':_0x453091(0x1a10),'options':{'excludedOperators':[_0x453091(0x1671)]}},{'name':_0x453091(0x270b),'column':_0x453091(0x26a6),'type':'select','values':[{'id':0x1,'translate':_0x453091(0x1ce6)},{'id':0x0,'translate':'DASHBOARDS.UNREAD'}],'options':{'excludedOperators':[_0x453091(0x1671)]}}];}function _0x17c98c(){const _0x42ab2f=_0x40f1c1;_0x18e67d[_0x42ab2f(0x2615)]({'controller':_0x42ab2f(0x26bc),'controllerAs':'vm','templateUrl':_0x3fb128,'parent':angular['element'](_0x35d0f1['body']),'clickOutsideToClose':![],'locals':{'fields':_0x159832['advancedSearch'][_0x42ab2f(0x2867)],'color':undefined,'storagePath':_0x42ab2f(0x25cc)},'fullscreen':!![]})[_0x42ab2f(0x146b)](function(_0x242083){const _0x164695=_0x42ab2f;_0x159832[_0x164695(0x1a56)][_0x164695(0x21da)]=_0x242083===![]?undefined:_0x242083;if(_0x159832['query'][_0x164695(0x21da)])_0x5974f4();else _0x242083===![]&&_0x5974f4();})[_0x42ab2f(0x129e)](function(_0x3c28de){const _0xc9a1cf=_0x42ab2f;_0xf12769[_0xc9a1cf(0x1980)]({'title':_0xc9a1cf(0x10f5),'msg':_0x3c28de[_0xc9a1cf(0x524)]?JSON['stringify'](_0x3c28de[_0xc9a1cf(0x524)][_0xc9a1cf(0x7fd)]):_0x3c28de[_0xc9a1cf(0xd5f)]()});});}function _0x3b702e(_0x44a0ea,_0x305c09,_0x371251){const _0x358747=_0x40f1c1;return _0x10680e[_0x358747(0xb18)][_0x358747(0x15b1)]({'id':_0x44a0ea['id'],'exists':!![],'attachments':_0x371251})['$promise'][_0x358747(0x146b)](function(_0x259a2a){const _0x28ed92=_0x358747,_0xa5605f=[_0x259a2a[_0x28ed92(0x2eb)]];let _0x2738b2=_0x28ed92(0xe80)+_0x44a0ea['id'];const _0x2ff821=new Blob(_0xa5605f,{'type':_0x259a2a['type']});_0x2738b2='sms-interaction'+_0x44a0ea['id']+'.zip';const _0x1ded18=window['document'][_0x28ed92(0x24ec)]('a');_0x1ded18[_0x28ed92(0x1652)]('href',URL[_0x28ed92(0x1c58)](_0x2ff821)),_0x1ded18[_0x28ed92(0x1652)](_0x28ed92(0x15b1),_0x2738b2),document[_0x28ed92(0x2586)][_0x28ed92(0x23e)](_0x1ded18),_0x1ded18[_0x28ed92(0x1fa5)]();})[_0x358747(0x129e)](function(_0x4ebe25){const _0x2af46f=_0x358747;if(_0x4ebe25['data']&&_0x4ebe25[_0x2af46f(0x524)][_0x2af46f(0xcef)]&&_0x4ebe25[_0x2af46f(0x524)]['errors'][_0x2af46f(0x402)])for(let _0x57a387=0x0;_0x57a387<_0x4ebe25[_0x2af46f(0x524)][_0x2af46f(0xcef)]['length'];_0x57a387+=0x1){_0xf12769[_0x2af46f(0x1980)]({'title':_0x4ebe25[_0x2af46f(0x524)][_0x2af46f(0xcef)][_0x57a387]['type'],'msg':_0x4ebe25[_0x2af46f(0x524)]['errors'][_0x57a387][_0x2af46f(0x7fd)]});}else _0xf12769[_0x2af46f(0x1980)]({'title':_0x4ebe25[_0x2af46f(0x107b)]?_0x2af46f(0x262a)+_0x4ebe25[_0x2af46f(0x107b)]+_0x2af46f(0x1315)+_0x4ebe25['statusText']:'api.smsAccount.save','msg':_0x4ebe25[_0x2af46f(0x524)]?JSON[_0x2af46f(0x10bb)](_0x4ebe25[_0x2af46f(0x524)][_0x2af46f(0x7fd)]):_0x4ebe25[_0x2af46f(0xd5f)]()});});}function _0x3e07c7(_0x20df9a,_0x49dd34){const _0x5b9498=_0x40f1c1,_0x3e82ed=_0x18e67d['confirm']()[_0x5b9498(0x1189)](_0x5b9498(0x1f40))['htmlContent'](_0x5b9498(0x16d3)+(_0x20df9a['name']||_0x20df9a['id']&&_0x3f65c0()[_0x5b9498(0x21cf)]('interaction\x20#')+_0x20df9a['id']||'interaction')+_0x5b9498(0x252f)+'\x20will\x20be\x20deleted.')[_0x5b9498(0x4bd)](_0x5b9498(0xcab))[_0x5b9498(0x1f27)](_0x49dd34)['ok']('OK')['cancel'](_0x5b9498(0x39a));_0x18e67d[_0x5b9498(0x2615)](_0x3e82ed)[_0x5b9498(0x146b)](function(){_0x571fba(_0x20df9a);},function(){const _0x5cd1c4=_0x5b9498;console[_0x5cd1c4(0x1a74)](_0x5cd1c4(0x39a));});}function _0x402e82(_0x55d473){const _0x3a4c56=_0x40f1c1;_0x159832[_0x3a4c56(0x951)]=_0x55d473||{'count':0x0,'rows':[]};for(let _0x5daa29=0x0;_0x5daa29<_0x159832[_0x3a4c56(0x951)][_0x3a4c56(0x19c7)][_0x3a4c56(0x402)];_0x5daa29+=0x1){const _0xc758f6=_0x159832['smsAccountInteractions'][_0x3a4c56(0x19c7)][_0x5daa29];_0x1be207(_0xc758f6),_0xc758f6['Owner'][_0x3a4c56(0x19eb)]=_0x5b2708(_0xc758f6);}}function _0x5974f4(){const _0x3c9ebe=_0x40f1c1;_0x159832['query']['offset']=(_0x159832[_0x3c9ebe(0x1a56)][_0x3c9ebe(0x844)]-0x1)*_0x159832['query'][_0x3c9ebe(0x221e)],_0x159832[_0x3c9ebe(0xb9c)]=_0x10680e[_0x3c9ebe(0xb18)][_0x3c9ebe(0x16b4)](_0x159832[_0x3c9ebe(0x1a56)],_0x402e82)[_0x3c9ebe(0x2945)];}function _0x42e21b(_0x6e9475,_0x230cd0){const _0x2946dc=_0x40f1c1;_0x18e67d[_0x2946dc(0x2615)]({'controller':_0x2946dc(0xd2c),'controllerAs':'vm','templateUrl':_0x270cc0,'parent':angular[_0x2946dc(0x1853)](_0x35d0f1['body']),'targetEvent':_0x6e9475,'clickOutsideToClose':!![],'onShowing':function(_0x440cea){const _0x44274c=_0x2946dc;_0x440cea['vm']['init']({'id':0x1,'channel':'sms','interaction':_0x230cd0,'spy':!![]},_0x3814d1[_0x44274c(0x2870)]['vm'][_0x44274c(0x15b9)]);}});}function _0x571fba(_0x23f019){const _0x555ac2=_0x40f1c1;_0x10680e[_0x555ac2(0xb18)][_0x555ac2(0x1fac)]({'id':_0x23f019['id']})[_0x555ac2(0x2945)][_0x555ac2(0x146b)](function(){const _0xe39884=_0x555ac2;_0x3f65c0()['remove'](_0x159832['smsAccountInteractions'][_0xe39884(0x19c7)],{'id':_0x23f019['id']}),_0x159832[_0xe39884(0x951)][_0xe39884(0x51c)]-=0x1,!_0x159832[_0xe39884(0x951)][_0xe39884(0x19c7)][_0xe39884(0x402)]&&_0x5974f4(),_0xf12769['success']({'title':_0xe39884(0x739),'msg':_0x23f019['name']?_0x23f019[_0xe39884(0x19eb)]+_0xe39884(0x23e3):''});})[_0x555ac2(0x129e)](function(_0x25d97d){const _0x34af4c=_0x555ac2;if(_0x25d97d[_0x34af4c(0x524)]&&_0x25d97d[_0x34af4c(0x524)][_0x34af4c(0xcef)]&&_0x25d97d[_0x34af4c(0x524)][_0x34af4c(0xcef)][_0x34af4c(0x402)]){_0x159832['errors']=_0x25d97d[_0x34af4c(0x524)][_0x34af4c(0xcef)]||[{'message':_0x25d97d[_0x34af4c(0xd5f)](),'type':_0x34af4c(0x2117)}];for(let _0x15a55c=0x0;_0x15a55c<_0x25d97d[_0x34af4c(0x524)][_0x34af4c(0xcef)][_0x34af4c(0x402)];_0x15a55c++){_0xf12769[_0x34af4c(0x1980)]({'title':_0x25d97d[_0x34af4c(0x524)][_0x34af4c(0xcef)][_0x15a55c]['type'],'msg':_0x25d97d['data'][_0x34af4c(0xcef)][_0x15a55c]['message']});}}else _0xf12769[_0x34af4c(0x1980)]({'title':_0x25d97d['status']?_0x34af4c(0x262a)+_0x25d97d[_0x34af4c(0x107b)]+_0x34af4c(0x1315)+_0x25d97d[_0x34af4c(0x167f)]:_0x34af4c(0x2117),'msg':_0x25d97d[_0x34af4c(0x524)]?JSON[_0x34af4c(0x10bb)](_0x25d97d['data']['message']):_0x25d97d['message']||_0x25d97d['toString']()});});}function _0x5aa505(){const _0xdffd0=_0x40f1c1,_0x24596a=angular[_0xdffd0(0x235a)](_0x159832[_0xdffd0(0x2840)]);return _0x159832[_0xdffd0(0x2840)]=[],_0x24596a;}function _0x260413(_0x3be35c){const _0x5cb062=_0x40f1c1,_0x5d400e=_0x18e67d['confirm']()[_0x5cb062(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20interactions?')[_0x5cb062(0x1cbe)](''+_0x159832[_0x5cb062(0x2840)][_0x5cb062(0x402)]+'\x20selected'+_0x5cb062(0xe01))[_0x5cb062(0x4bd)](_0x5cb062(0xa37))[_0x5cb062(0x1f27)](_0x3be35c)['ok']('OK')[_0x5cb062(0x6c3)]('CANCEL');_0x18e67d[_0x5cb062(0x2615)](_0x5d400e)['then'](function(){const _0x545c4e=_0x5cb062;_0x159832['selectedSmsAccountInteractions'][_0x545c4e(0x1df5)](function(_0x415a3e){_0x571fba(_0x415a3e);}),_0x159832[_0x545c4e(0x2840)]=[];});}function _0x1be207(_0x4b5b9e){const _0x4e74b0=_0x40f1c1;_0x4b5b9e[_0x4e74b0(0x364)]?(_0x4b5b9e[_0x4e74b0(0x792)]=(_0x4b5b9e[_0x4e74b0(0x364)][_0x4e74b0(0x1ed4)]||'')+'\x20'+(_0x4b5b9e[_0x4e74b0(0x364)]['lastName']||''),_0x4b5b9e[_0x4e74b0(0x14e3)]=_0x4b5b9e[_0x4e74b0(0x364)][_0x4e74b0(0xa4b)]):_0x4b5b9e[_0x4e74b0(0x792)]=_0x1562d1['instant'](_0x4e74b0(0xe0b));}function _0x5b2708(_0x43d171){const _0x3a3881=_0x40f1c1;if(_0x43d171[_0x3a3881(0xea2)])return _0x43d171[_0x3a3881(0xea2)]===_0x159832[_0x3a3881(0x2321)]['id']?_0x1562d1[_0x3a3881(0xde)]('DASHBOARDS.ME'):_0x43d171[_0x3a3881(0xac5)][_0x3a3881(0x286a)]+'\x20<'+_0x43d171[_0x3a3881(0xac5)][_0x3a3881(0x113f)]+'>';return _0x1562d1[_0x3a3881(0xde)](_0x3a3881(0x38d));}let _0x49344e=!![],_0xf4c44d=0x1;_0x3814d1[_0x40f1c1(0x21e8)](_0x40f1c1(0x117f),function(_0x2dc445,_0x128e94){const _0x14a42d=_0x40f1c1;_0x49344e?_0x5deefe(function(){_0x49344e=![];}):(!_0x128e94&&(_0xf4c44d=_0x159832[_0x14a42d(0x1a56)][_0x14a42d(0x844)]),_0x2dc445!==_0x128e94&&(_0x159832[_0x14a42d(0x1a56)]['page']=0x1),!_0x2dc445&&(_0x159832[_0x14a42d(0x1a56)][_0x14a42d(0x844)]=_0xf4c44d),_0x5974f4());});}const _0x4a6d16=_0x574940;;const _0x4b60c7=_0x4acfac['p']+_0x313a4d(0x1696);;_0x3ab594[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),_0x313a4d(0x910),_0x313a4d(0x1862),'$q',_0x313a4d(0x214b),'$timeout','$mdDialog',_0x313a4d(0x4d8),'toasty',_0x313a4d(0x247f),_0x313a4d(0xa87)];function _0x3ab594(_0x3d8428,_0x534ba9,_0x191585,_0x5a3215,_0x18b6d7,_0x665aca,_0x338d18,_0x9921cd,_0x45932b,_0x15cbdc,_0xf5a802){const _0x21b380=_0x313a4d,_0x1ac5d8=this;_0x1ac5d8[_0x21b380(0x2321)]=_0xf5a802[_0x21b380(0xb12)](),_0x1ac5d8[_0x21b380(0x2209)]={},_0x1ac5d8['smsAccountSmsCannedAnswers']={'count':0x0,'rows':[]},_0x1ac5d8[_0x21b380(0x1ee5)]=[],_0x1ac5d8[_0x21b380(0x2514)],_0x1ac5d8['query']={'fields':_0x21b380(0x241e),'limit':0xa,'page':0x1},_0x1ac5d8[_0x21b380(0x5aa)]=_0x19456c,_0x1ac5d8[_0x21b380(0x19b4)]=_0x4ccb8f,_0x1ac5d8['success']=_0xee3cb9,_0x1ac5d8[_0x21b380(0x1202)]=_0xeee2e5,_0x1ac5d8['createOrEditSmsAccountSmsCannedAnswer']=_0x1a7a57,_0x1ac5d8[_0x21b380(0x298)]=_0x16dcf4,_0x1ac5d8[_0x21b380(0x5c3)]=_0x2edf22,_0x1ac5d8[_0x21b380(0x224b)]=_0x4eba26;function _0x19456c(_0x1c0656,_0x1dee2b){const _0xf0410=_0x21b380;_0x1ac5d8[_0xf0410(0x2209)]=_0x1c0656,_0x1ac5d8[_0xf0410(0x2514)]=typeof _0x1dee2b!==_0xf0410(0x2274)?_0x1dee2b:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x1ac5d8['query'][_0xf0410(0x1481)]=_0x1ac5d8[_0xf0410(0x2209)]['id'],_0x1ac5d8[_0xf0410(0x1a56)]['id']=_0x1ac5d8['smsAccount']['id'],_0xeee2e5();}function _0x4ccb8f(_0x3cbac2,_0x1bc808){const _0x3fc3a5=_0x21b380,_0x327639=_0x338d18[_0x3fc3a5(0x1e8a)]()[_0x3fc3a5(0x1189)](_0x3fc3a5(0xafd))[_0x3fc3a5(0x1cbe)](_0x3fc3a5(0x16d3)+(_0x3cbac2[_0x3fc3a5(0x19eb)]||_0x3cbac2['id']&&_0x3f65c0()[_0x3fc3a5(0x21cf)]('smsCannedAnswer\x20#')+_0x3cbac2['id']||_0x3fc3a5(0x110d))+_0x3fc3a5(0x252f)+_0x3fc3a5(0xe01))[_0x3fc3a5(0x4bd)](_0x3fc3a5(0x1744))[_0x3fc3a5(0x1f27)](_0x1bc808)['ok']('OK')[_0x3fc3a5(0x6c3)](_0x3fc3a5(0x39a));_0x338d18['show'](_0x327639)[_0x3fc3a5(0x146b)](function(){_0x2edf22(_0x3cbac2);},function(){const _0x1fa401=_0x3fc3a5;console[_0x1fa401(0x1a74)](_0x1fa401(0x39a));});}function _0xee3cb9(_0x2febb7){const _0x49b461=_0x21b380;_0x1ac5d8[_0x49b461(0x263)]=_0x2febb7||{'count':0x0,'rows':[]};}function _0xeee2e5(){const _0x51f407=_0x21b380;_0x1ac5d8[_0x51f407(0x1a56)]['offset']=(_0x1ac5d8[_0x51f407(0x1a56)][_0x51f407(0x844)]-0x1)*_0x1ac5d8['query'][_0x51f407(0x221e)],_0x1ac5d8[_0x51f407(0xb9c)]=_0x15cbdc[_0x51f407(0x2209)][_0x51f407(0x13e1)](_0x1ac5d8[_0x51f407(0x1a56)],_0xee3cb9)['$promise'];}function _0x1a7a57(_0x2b319e,_0x4b89cd){const _0x495a64=_0x21b380;_0x338d18[_0x495a64(0x2615)]({'controller':_0x495a64(0x10d8),'controllerAs':'vm','templateUrl':_0x4b60c7,'parent':angular[_0x495a64(0x1853)](_0x9921cd[_0x495a64(0x2586)]),'targetEvent':_0x2b319e,'clickOutsideToClose':!![],'locals':{'smsAccount':_0x1ac5d8[_0x495a64(0x2209)],'smsCannedAnswer':_0x4b89cd,'smsCannedAnswers':_0x1ac5d8[_0x495a64(0x263)][_0x495a64(0x19c7)],'license':null,'setting':null,'crudPermissions':_0x1ac5d8[_0x495a64(0x2514)]}});}function _0x2edf22(_0x5f0cd4){const _0x49fc90=_0x21b380;_0x15cbdc[_0x49fc90(0x1ada)]['delete']({'id':_0x5f0cd4['id']})[_0x49fc90(0x2945)][_0x49fc90(0x146b)](function(){const _0x370f17=_0x49fc90;_0x3f65c0()[_0x370f17(0x2640)](_0x1ac5d8[_0x370f17(0x263)]['rows'],{'id':_0x5f0cd4['id']}),_0x1ac5d8[_0x370f17(0x263)]['count']-=0x1,!_0x1ac5d8[_0x370f17(0x263)][_0x370f17(0x19c7)][_0x370f17(0x402)]&&_0xeee2e5(),_0x45932b['success']({'title':_0x370f17(0xec4),'msg':_0x5f0cd4[_0x370f17(0x19eb)]?_0x5f0cd4[_0x370f17(0x19eb)]+_0x370f17(0x23e3):''});})[_0x49fc90(0x129e)](function(_0x36f08e){const _0x175d4f=_0x49fc90;if(_0x36f08e[_0x175d4f(0x524)]&&_0x36f08e[_0x175d4f(0x524)]['errors']&&_0x36f08e[_0x175d4f(0x524)][_0x175d4f(0xcef)][_0x175d4f(0x402)]){_0x1ac5d8['errors']=_0x36f08e['data'][_0x175d4f(0xcef)]||[{'message':_0x36f08e[_0x175d4f(0xd5f)](),'type':_0x175d4f(0x2117)}];for(let _0x1b813d=0x0;_0x1b813d<_0x36f08e['data'][_0x175d4f(0xcef)][_0x175d4f(0x402)];_0x1b813d++){_0x45932b[_0x175d4f(0x1980)]({'title':_0x36f08e[_0x175d4f(0x524)]['errors'][_0x1b813d][_0x175d4f(0x1142)],'msg':_0x36f08e[_0x175d4f(0x524)][_0x175d4f(0xcef)][_0x1b813d][_0x175d4f(0x7fd)]});}}else _0x45932b[_0x175d4f(0x1980)]({'title':_0x36f08e['status']?'API:'+_0x36f08e[_0x175d4f(0x107b)]+_0x175d4f(0x1315)+_0x36f08e['statusText']:'SYSTEM:GETsmsAccount','msg':_0x36f08e[_0x175d4f(0x524)]?JSON[_0x175d4f(0x10bb)](_0x36f08e[_0x175d4f(0x524)][_0x175d4f(0x7fd)]):_0x36f08e[_0x175d4f(0x7fd)]||_0x36f08e[_0x175d4f(0xd5f)]()});});}function _0x16dcf4(){const _0x5f03e8=_0x21b380,_0x1c5701=angular[_0x5f03e8(0x235a)](_0x1ac5d8[_0x5f03e8(0x1ee5)]);return _0x1ac5d8[_0x5f03e8(0x1ee5)]=[],_0x1c5701;}function _0x4eba26(_0x2159ca){const _0x5511c7=_0x21b380,_0x572c49=_0x338d18['confirm']()[_0x5511c7(0x1189)](_0x5511c7(0x1602))['htmlContent'](_0x5511c7(0x16d3)+_0x1ac5d8['selectedSmsAccountSmsCannedAnswers'][_0x5511c7(0x402)]+_0x5511c7(0x2452)+'\x20will\x20be\x20deleted.')[_0x5511c7(0x4bd)](_0x5511c7(0x1c79))[_0x5511c7(0x1f27)](_0x2159ca)['ok']('OK')[_0x5511c7(0x6c3)](_0x5511c7(0x39a));_0x338d18[_0x5511c7(0x2615)](_0x572c49)[_0x5511c7(0x146b)](function(){const _0x2f888c=_0x5511c7;_0x1ac5d8[_0x2f888c(0x1ee5)][_0x2f888c(0x1df5)](function(_0x1c8b08){_0x2edf22(_0x1c8b08);}),_0x1ac5d8[_0x2f888c(0x1ee5)]=[];});}let _0x221584=!![],_0x1996df=0x1;_0x534ba9['$watch'](_0x21b380(0x117f),function(_0x198c37,_0x2bdc7d){const _0x33153e=_0x21b380;_0x221584?_0x665aca(function(){_0x221584=![];}):(!_0x2bdc7d&&(_0x1996df=_0x1ac5d8[_0x33153e(0x1a56)]['page']),_0x198c37!==_0x2bdc7d&&(_0x1ac5d8[_0x33153e(0x1a56)][_0x33153e(0x844)]=0x1),!_0x198c37&&(_0x1ac5d8['query']['page']=_0x1996df),_0xeee2e5());});}const _0x252d55=_0x3ab594;;_0x3953b1[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),'$location','$mdDialog','$q',_0x313a4d(0x214b),'toasty',_0x313a4d(0xcb0),'smsCannedAnswer','api',_0x313a4d(0xa87),'license',_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x3953b1(_0x441d1d,_0x3ee28d,_0x195eac,_0x5da023,_0x2d9897,_0x16e3f7,_0x8ff33e,_0xb5cf93,_0x51a8f0,_0x3f58c4,_0x33c42b,_0x1d3fa0,_0x5db593,_0x319028){const _0x5acf90=_0x313a4d,_0x312872=this;_0x312872['currentUser']=_0x33c42b[_0x5acf90(0xb12)](),_0x312872['errors']=[],_0x312872[_0x5acf90(0x15b9)]=_0x5db593,_0x312872[_0x5acf90(0x2690)]=_0x1d3fa0,_0x312872['crudPermissions']=_0x319028,_0x312872[_0x5acf90(0x855)]={},_0x312872[_0x5acf90(0x2251)]=_0x312872[_0x5acf90(0x15b9)]&&_0x312872[_0x5acf90(0x15b9)][_0x5acf90(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x312872[_0x5acf90(0x1189)]=_0x5acf90(0x8c8),_0x312872[_0x5acf90(0x110d)]=angular[_0x5acf90(0x235a)](_0x51a8f0),_0x312872[_0x5acf90(0xcb0)]=_0xb5cf93,_0x312872[_0x5acf90(0xdd0)]=![];!_0x312872[_0x5acf90(0x110d)]&&(_0x312872[_0x5acf90(0x110d)]={},_0x312872[_0x5acf90(0x1189)]=_0x5acf90(0x1fb5),_0x312872['newSmsCannedAnswer']=!![]);_0x3ee28d['params']['id']&&(_0x312872[_0x5acf90(0x110d)][_0x5acf90(0x1481)]=_0x3ee28d[_0x5acf90(0x16a)]['id']);_0x312872[_0x5acf90(0x28a4)]=_0x521ccf,_0x312872['saveSmsCannedAnswer']=_0x9fc4d1,_0x312872[_0x5acf90(0x1f3b)]=_0x178017,_0x312872['getDateFromString']=_0x5f5ca3,_0x312872[_0x5acf90(0x13f3)]=_0x101792;function _0x521ccf(){const _0x511b18=_0x5acf90;_0x312872['errors']=[],_0x3f58c4[_0x511b18(0x1ada)][_0x511b18(0x1e3)](_0x312872[_0x511b18(0x110d)])[_0x511b18(0x2945)][_0x511b18(0x146b)](function(_0x1c4144){const _0x43835c=_0x511b18;_0x312872[_0x43835c(0xcb0)][_0x43835c(0xb3d)](_0x1c4144[_0x43835c(0x2488)]()),_0x8ff33e[_0x43835c(0x1c75)]({'title':_0x43835c(0x4c2),'msg':_0x312872[_0x43835c(0x110d)][_0x43835c(0x19eb)]?_0x312872[_0x43835c(0x110d)][_0x43835c(0x19eb)]+_0x43835c(0x1386):''}),_0x101792(_0x1c4144);})[_0x511b18(0x129e)](function(_0x34f83a){const _0x1dfb2e=_0x511b18;if(_0x34f83a[_0x1dfb2e(0x524)]&&_0x34f83a[_0x1dfb2e(0x524)][_0x1dfb2e(0xcef)]&&_0x34f83a[_0x1dfb2e(0x524)][_0x1dfb2e(0xcef)]['length']){_0x312872[_0x1dfb2e(0xcef)]=_0x34f83a[_0x1dfb2e(0x524)]['errors']||[{'message':_0x34f83a[_0x1dfb2e(0xd5f)](),'type':_0x1dfb2e(0x13f)}];for(let _0x346c60=0x0;_0x346c60<_0x34f83a[_0x1dfb2e(0x524)][_0x1dfb2e(0xcef)][_0x1dfb2e(0x402)];_0x346c60+=0x1){_0x8ff33e[_0x1dfb2e(0x1980)]({'title':_0x34f83a[_0x1dfb2e(0x524)]['errors'][_0x346c60][_0x1dfb2e(0x1142)],'msg':_0x34f83a[_0x1dfb2e(0x524)]['errors'][_0x346c60]['message']});}}else _0x8ff33e['error']({'title':_0x34f83a[_0x1dfb2e(0x107b)]?_0x1dfb2e(0x262a)+_0x34f83a[_0x1dfb2e(0x107b)]+_0x1dfb2e(0x1315)+_0x34f83a[_0x1dfb2e(0x167f)]:_0x1dfb2e(0x13f),'msg':_0x34f83a[_0x1dfb2e(0x524)]?JSON['stringify'](_0x34f83a[_0x1dfb2e(0x524)][_0x1dfb2e(0x7fd)]):_0x34f83a[_0x1dfb2e(0xd5f)]()});});}function _0x9fc4d1(){const _0x370b36=_0x5acf90;_0x312872[_0x370b36(0xcef)]=[],_0x3f58c4[_0x370b36(0x1ada)][_0x370b36(0x18e1)]({'id':_0x312872[_0x370b36(0x110d)]['id']},_0x312872['smsCannedAnswer'])[_0x370b36(0x2945)][_0x370b36(0x146b)](function(_0xe88f1c){const _0x3ec766=_0x370b36,_0xe0d7f8=_0x3f65c0()[_0x3ec766(0xc84)](_0x312872[_0x3ec766(0xcb0)],{'id':_0xe88f1c['id']});_0xe0d7f8&&_0x3f65c0()[_0x3ec766(0x168d)](_0xe0d7f8,_0x3f65c0()[_0x3ec766(0x40e)](_0xe88f1c[_0x3ec766(0x2488)](),_0x3f65c0()[_0x3ec766(0x627)](_0xe0d7f8))),_0x8ff33e[_0x3ec766(0x1c75)]({'title':'SmsCannedAnswer\x20properly\x20saved!','msg':_0x312872[_0x3ec766(0x110d)][_0x3ec766(0x19eb)]?_0x312872[_0x3ec766(0x110d)][_0x3ec766(0x19eb)]+_0x3ec766(0x24db):''}),_0x101792(_0xe88f1c);})[_0x370b36(0x129e)](function(_0x25aa7b){const _0x1b8fe3=_0x370b36;if(_0x25aa7b[_0x1b8fe3(0x524)]&&_0x25aa7b[_0x1b8fe3(0x524)][_0x1b8fe3(0xcef)]&&_0x25aa7b[_0x1b8fe3(0x524)][_0x1b8fe3(0xcef)][_0x1b8fe3(0x402)]){_0x312872[_0x1b8fe3(0xcef)]=_0x25aa7b[_0x1b8fe3(0x524)][_0x1b8fe3(0xcef)]||[{'message':_0x25aa7b[_0x1b8fe3(0xd5f)](),'type':_0x1b8fe3(0x11ab)}];for(let _0x244947=0x0;_0x244947<_0x25aa7b[_0x1b8fe3(0x524)][_0x1b8fe3(0xcef)]['length'];_0x244947++){_0x8ff33e[_0x1b8fe3(0x1980)]({'title':_0x25aa7b[_0x1b8fe3(0x524)][_0x1b8fe3(0xcef)][_0x244947]['type'],'msg':_0x25aa7b[_0x1b8fe3(0x524)]['errors'][_0x244947][_0x1b8fe3(0x7fd)]});}}else _0x8ff33e['error']({'title':_0x25aa7b[_0x1b8fe3(0x107b)]?_0x1b8fe3(0x262a)+_0x25aa7b['status']+_0x1b8fe3(0x1315)+_0x25aa7b[_0x1b8fe3(0x167f)]:'api.cannedAnswer.update','msg':_0x25aa7b[_0x1b8fe3(0x524)]?JSON[_0x1b8fe3(0x10bb)](_0x25aa7b[_0x1b8fe3(0x524)]['message']):_0x25aa7b['toString']()});});}function _0x178017(_0x58847e){const _0x7af456=_0x5acf90;_0x312872[_0x7af456(0xcef)]=[];const _0x37245c=_0x5da023['confirm']()['title'](_0x7af456(0x1d64))[_0x7af456(0x80f)](_0x7af456(0x441))['ariaLabel'](_0x7af456(0x897))['ok'](_0x7af456(0x25de))[_0x7af456(0x6c3)](_0x7af456(0xcf0))[_0x7af456(0x1f27)](_0x58847e);_0x5da023[_0x7af456(0x2615)](_0x37245c)[_0x7af456(0x146b)](function(){const _0x566993=_0x7af456;_0x3f58c4[_0x566993(0x1ada)][_0x566993(0x1fac)]({'id':_0x312872[_0x566993(0x110d)]['id']})[_0x566993(0x2945)][_0x566993(0x146b)](function(){const _0x56230e=_0x566993;_0x3f65c0()[_0x56230e(0x2640)](_0x312872[_0x56230e(0xcb0)],{'id':_0x312872['smsCannedAnswer']['id']}),_0x8ff33e['success']({'title':_0x56230e(0x17b4),'msg':(_0x312872[_0x56230e(0x110d)][_0x56230e(0x19eb)]||_0x56230e(0x110d))+_0x56230e(0x23e3)}),_0x101792(_0x312872[_0x56230e(0x110d)]);})[_0x566993(0x129e)](function(_0x10c1c8){const _0x3f076e=_0x566993;if(_0x10c1c8['data']&&_0x10c1c8[_0x3f076e(0x524)]['errors']&&_0x10c1c8[_0x3f076e(0x524)][_0x3f076e(0xcef)][_0x3f076e(0x402)]){_0x312872[_0x3f076e(0xcef)]=_0x10c1c8[_0x3f076e(0x524)]['errors']||[{'message':_0x10c1c8['toString'](),'type':_0x3f076e(0xe6a)}];for(let _0x218d73=0x0;_0x218d73<_0x10c1c8[_0x3f076e(0x524)][_0x3f076e(0xcef)][_0x3f076e(0x402)];_0x218d73++){_0x8ff33e[_0x3f076e(0x1980)]({'title':_0x10c1c8['data']['errors'][_0x218d73][_0x3f076e(0x1142)],'msg':_0x10c1c8[_0x3f076e(0x524)]['errors'][_0x218d73][_0x3f076e(0x7fd)]});}}else _0x8ff33e[_0x3f076e(0x1980)]({'title':_0x10c1c8[_0x3f076e(0x107b)]?_0x3f076e(0x262a)+_0x10c1c8[_0x3f076e(0x107b)]+'\x20-\x20'+_0x10c1c8[_0x3f076e(0x167f)]:_0x3f076e(0xe6a),'msg':_0x10c1c8[_0x3f076e(0x524)]?JSON[_0x3f076e(0x10bb)](_0x10c1c8[_0x3f076e(0x524)][_0x3f076e(0x7fd)]):_0x10c1c8[_0x3f076e(0x7fd)]||_0x10c1c8[_0x3f076e(0xd5f)]()});});},function(){});}function _0x5f5ca3(_0x17c102){return _0x17c102===null?undefined:new Date(_0x17c102);}function _0x101792(_0x49c009){const _0x42dff4=_0x5acf90;_0x5da023[_0x42dff4(0x2458)](_0x49c009);}}const _0x4d2442=_0x3953b1;;_0x1d2d7f[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),'$document',_0x313a4d(0x1abe),_0x313a4d(0x214b),_0x313a4d(0x247f),'toasty',_0x313a4d(0xa87)];function _0x1d2d7f(_0x21f4ac,_0x4373e5,_0x4e129c,_0x444717,_0x981759,_0xd30f69,_0x45290b,_0x1408fb,_0x56df44,_0x12d6ce,_0x3f2af7){const _0x3bc7e5=_0x313a4d,_0x10dc52=this;_0x10dc52[_0x3bc7e5(0x2321)]=_0x3f2af7[_0x3bc7e5(0xb12)](),_0x10dc52[_0x3bc7e5(0x2647)]={'count':0x0,'rows':[]},_0x10dc52['selectedDispositions']=[],_0x10dc52[_0x3bc7e5(0x2514)],_0x10dc52[_0x3bc7e5(0xd92)]={'first':_0x3bc7e5(0x20c1),'second':_0x3bc7e5(0x227b),'third':_0x3bc7e5(0x1195)},_0x10dc52[_0x3bc7e5(0x1a56)]={'fields':'id,level,name,description,ParentId,createdAt,updatedAt','sort':'-updatedAt','limit':0xa,'page':0x1},_0x10dc52['init']=_0x244f8c,_0x10dc52[_0x3bc7e5(0x19b4)]=_0x1d89e5,_0x10dc52[_0x3bc7e5(0x1c75)]=_0x15f825,_0x10dc52[_0x3bc7e5(0x2451)]=_0x3ed722,_0x10dc52[_0x3bc7e5(0x24dc)]=_0x388e7b,_0x10dc52[_0x3bc7e5(0x1220)]=_0x4f83fb,_0x10dc52[_0x3bc7e5(0x25f0)]=_0x4ebdb6,_0x10dc52[_0x3bc7e5(0x11e4)]=_0x594a0c,_0x10dc52[_0x3bc7e5(0x4f0)]=_0x4e067e,_0x10dc52[_0x3bc7e5(0x481)]=_0x4b7ebd;function _0x244f8c(_0x2f4e54,_0x518fde){const _0x24c5f8=_0x3bc7e5;_0x10dc52[_0x24c5f8(0x2209)]=_0x2f4e54||{},_0x10dc52[_0x24c5f8(0x2514)]=typeof _0x518fde!==_0x24c5f8(0x2274)?_0x518fde:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x10dc52[_0x24c5f8(0x1a56)]['SmsAccountId']=_0x10dc52[_0x24c5f8(0x2209)]['id'],_0x10dc52[_0x24c5f8(0x1a56)]['id']=_0x10dc52[_0x24c5f8(0x2209)]['id'],_0x3ed722();}function _0x388e7b(_0x45e629,_0x553554){const _0x1fdbeb=_0x3bc7e5;_0x981759[_0x1fdbeb(0x2615)]({'controller':_0x1fdbeb(0x1a84),'controllerAs':'vm','templateUrl':_0x4f093a,'parent':angular[_0x1fdbeb(0x1853)](_0xd30f69[_0x1fdbeb(0x2586)]),'targetEvent':_0x45e629,'clickOutsideToClose':!![],'locals':{'disposition':_0x553554,'model':{'id':_0x10dc52[_0x1fdbeb(0x2209)]['id'],'field':'SmsAccountId','route':'smsAccount'},'license':null,'setting':null,'crudPermissions':_0x10dc52[_0x1fdbeb(0x2514)]}})[_0x1fdbeb(0x146b)](function(_0x27e1f1){if(_0x27e1f1)_0x3ed722();});}function _0x1d89e5(_0x1ec398,_0xdadb4f){const _0x2c3750=_0x3bc7e5,_0x587f60=_0x3f65c0()[_0x2c3750(0x1360)](_0x10dc52[_0x2c3750(0x2647)]['rows'],[_0x2c3750(0x11b5),_0x1ec398['id']]),_0x581c0b=_0x981759[_0x2c3750(0x1e8a)]()[_0x2c3750(0x1189)](_0x1408fb[_0x2c3750(0xde)](_0x2c3750(0x19e6)))[_0x2c3750(0x80f)](_0x1408fb[_0x2c3750(0xde)](_0x2c3750(0xe8d)+(_0x587f60?_0x2c3750(0xda9):'DISPOSITION_DELETE_MESSAGE'),{'name':_0x1ec398['name']}))[_0x2c3750(0x4bd)](_0x2c3750(0x27d1))['targetEvent'](_0xdadb4f)['ok']('OK')[_0x2c3750(0x6c3)](_0x1408fb[_0x2c3750(0xde)]('APP.CANCEL'));_0x981759[_0x2c3750(0x2615)](_0x581c0b)[_0x2c3750(0x146b)](function(){_0x4f83fb(_0x1ec398);});}function _0x15f825(_0x483518){const _0x4c13c1=_0x3bc7e5;_0x10dc52[_0x4c13c1(0x2647)]=_0x483518||{'count':0x0,'rows':[]};}function _0x3ed722(){const _0x2884d2=_0x3bc7e5;_0x10dc52[_0x2884d2(0x1a56)][_0x2884d2(0x145d)]=(_0x10dc52['query'][_0x2884d2(0x844)]-0x1)*_0x10dc52[_0x2884d2(0x1a56)][_0x2884d2(0x221e)],_0x10dc52['promise']=_0x56df44['smsAccount'][_0x2884d2(0x2451)](_0x10dc52[_0x2884d2(0x1a56)],_0x15f825)[_0x2884d2(0x2945)];}function _0x4f83fb(_0x4bd3ee){const _0x189545=_0x3bc7e5;_0x56df44[_0x189545(0x9e1)][_0x189545(0x1fac)]({'id':_0x4bd3ee['id']})['$promise'][_0x189545(0x146b)](function(){const _0x1fb409=_0x189545;_0x3ed722(),_0x12d6ce[_0x1fb409(0x1c75)]({'title':_0x1408fb[_0x1fb409(0xde)](_0x1fb409(0xa2f))});})[_0x189545(0x129e)](function(_0x3df33c){const _0x4daf70=_0x189545;if(_0x3df33c[_0x4daf70(0x524)]&&_0x3df33c[_0x4daf70(0x524)]['errors']&&_0x3df33c[_0x4daf70(0x524)][_0x4daf70(0xcef)][_0x4daf70(0x402)]){_0x10dc52[_0x4daf70(0xcef)]=_0x3df33c[_0x4daf70(0x524)]['errors']||[{'message':_0x3df33c[_0x4daf70(0xd5f)](),'type':'SYSTEM:DELETEdisposition'}];for(let _0x507b84=0x0;_0x507b84<_0x3df33c[_0x4daf70(0x524)]['errors'][_0x4daf70(0x402)];_0x507b84++){_0x12d6ce[_0x4daf70(0x1980)]({'title':_0x3df33c[_0x4daf70(0x524)][_0x4daf70(0xcef)][_0x507b84][_0x4daf70(0x1142)],'msg':_0x3df33c['data'][_0x4daf70(0xcef)][_0x507b84][_0x4daf70(0x7fd)]});}}else _0x12d6ce[_0x4daf70(0x1980)]({'title':_0x3df33c[_0x4daf70(0x107b)]?_0x4daf70(0x262a)+_0x3df33c[_0x4daf70(0x107b)]+_0x4daf70(0x1315)+_0x3df33c[_0x4daf70(0x167f)]:_0x4daf70(0x24a7),'msg':_0x3df33c['data']?JSON[_0x4daf70(0x10bb)](_0x3df33c['data']['message']):_0x3df33c[_0x4daf70(0x7fd)]||_0x3df33c[_0x4daf70(0xd5f)]()});});}function _0x4ebdb6(){const _0xb6f638=_0x3bc7e5,_0x3f1235=angular[_0xb6f638(0x235a)](_0x10dc52['selectedDispositions']);return _0x10dc52[_0xb6f638(0x2ad)]=[],_0x3f1235;}function _0x594a0c(_0x56ee65){const _0x3df61c=_0x3bc7e5,_0x5e3475=_0x981759[_0x3df61c(0x1e8a)]()[_0x3df61c(0x1189)](_0x1408fb[_0x3df61c(0xde)](_0x3df61c(0x1a5b)))[_0x3df61c(0x80f)](_0x1408fb['instant']('TOOLS.NOTIFICATIONS.DISPOSITIONS_DELETE_MESSAGE',{'total':_0x10dc52[_0x3df61c(0x2ad)][_0x3df61c(0x402)]}))[_0x3df61c(0x4bd)]('Delete\x20dispositions')[_0x3df61c(0x1f27)](_0x56ee65)['ok']('OK')[_0x3df61c(0x6c3)](_0x1408fb[_0x3df61c(0xde)](_0x3df61c(0x1161)));_0x981759[_0x3df61c(0x2615)](_0x5e3475)[_0x3df61c(0x146b)](function(){const _0x3a599c=_0x3df61c;_0x10dc52['selectedDispositions'][_0x3a599c(0x1df5)](function(_0x1da972){_0x4f83fb(_0x1da972);}),_0x10dc52[_0x3a599c(0x2ad)]=[];});}function _0x4e067e(){const _0x448cfa=_0x3bc7e5;_0x10dc52[_0x448cfa(0x2ad)]=[];}function _0x4b7ebd(){const _0x24ff3e=_0x3bc7e5;_0x10dc52['selectedDispositions']=_0x10dc52['dispositions'][_0x24ff3e(0x19c7)];}let _0x5750b7=!![],_0x52080b=0x1;_0x21f4ac[_0x3bc7e5(0x21e8)](_0x3bc7e5(0x117f),function(_0x19ff58,_0x56d854){const _0xeec319=_0x3bc7e5;_0x5750b7?_0x45290b(function(){_0x5750b7=![];}):(!_0x56d854&&(_0x52080b=_0x10dc52[_0xeec319(0x1a56)][_0xeec319(0x844)]),_0x19ff58!==_0x56d854&&(_0x10dc52[_0xeec319(0x1a56)][_0xeec319(0x844)]=0x1),!_0x19ff58&&(_0x10dc52[_0xeec319(0x1a56)][_0xeec319(0x844)]=_0x52080b),_0x3ed722());});}const _0x33b959=_0x1d2d7f;;const _0x90fe93=_0x4acfac['p']+_0x313a4d(0x103d);;_0x4919f5[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x214b),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x247f),'toasty',_0x313a4d(0xa87),_0x313a4d(0x2209),_0x313a4d(0x1366)];function _0x4919f5(_0x5b4988,_0x30168c,_0x492ed5,_0x40a2f9,_0x38cd26,_0x5cf062,_0x4aabca,_0x2c7580,_0x42fc68,_0xfb0dd9,_0x11245b,_0x324db4){const _0x176c62=_0x313a4d,_0x14c5ab=this;_0x14c5ab[_0x176c62(0x2321)]=_0xfb0dd9[_0x176c62(0xb12)](),_0x14c5ab['license']=_0x5cf062,_0x14c5ab[_0x176c62(0x15b9)]=_0x4aabca,_0x14c5ab[_0x176c62(0x2251)]=_0x14c5ab[_0x176c62(0x15b9)][_0x176c62(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x14c5ab[_0x176c62(0x1002)]=_0x30168c['protocol']()+'://'+_0x30168c[_0x176c62(0x148e)](),_0x14c5ab[_0x176c62(0x2209)]=_0x11245b||_0x5b4988[_0x176c62(0x16a)][_0x176c62(0x2209)]||{},_0x14c5ab[_0x176c62(0x1366)]=_0x324db4&&_0x324db4[_0x176c62(0x51c)]==0x1?_0x324db4[_0x176c62(0x19c7)][0x0]:null,_0x14c5ab[_0x176c62(0x2514)]=_0xfb0dd9[_0x176c62(0xe60)](_0x14c5ab['userProfileSection']?_0x14c5ab[_0x176c62(0x1366)][_0x176c62(0x2514)]:null),_0x14c5ab[_0x176c62(0x855)]={},_0x14c5ab[_0x176c62(0x1b09)]=_0x5b4988['params'][_0x176c62(0x13a3)]||0x0,_0x14c5ab['agentadddialog']=_0x5a34f5,_0x14c5ab[_0x176c62(0x861)]=_0x42fc68[_0x176c62(0x271e)],_0x14c5ab[_0x176c62(0xe5c)]=_0x289fc1,_0x14c5ab['saveSmsAccount']=_0x5bbdc7,_0xfb0dd9[_0x176c62(0x23e0)](_0x176c62(0x174b))?_0x2c7580[_0x176c62(0xf03)][_0x176c62(0x16b4)]({'fields':_0x176c62(0x7a7),'sort':_0x176c62(0x19eb)})['$promise']['then'](function(_0x3899fd){const _0x436ef0=_0x176c62;_0x14c5ab[_0x436ef0(0x1324)]=_0x3899fd['rows']||[];})[_0x176c62(0x129e)](function(_0x5470fc){const _0x3fc770=_0x176c62;_0x42fc68[_0x3fc770(0x1980)]({'title':_0x5470fc[_0x3fc770(0x107b)]?_0x3fc770(0x262a)+_0x5470fc[_0x3fc770(0x107b)]+_0x3fc770(0x1315)+_0x5470fc[_0x3fc770(0x167f)]:_0x3fc770(0x11b3),'msg':_0x5470fc[_0x3fc770(0x524)]?JSON[_0x3fc770(0x10bb)](_0x5470fc[_0x3fc770(0x524)]):_0x5470fc[_0x3fc770(0xd5f)]()});}):_0x2c7580[_0x176c62(0xf03)][_0x176c62(0x16b4)]({'fields':'id,name','sort':'name'})[_0x176c62(0x2945)]['then'](function(_0x132dbb){const _0x509c76=_0x176c62;_0x14c5ab[_0x509c76(0x1324)]=_0x132dbb[_0x509c76(0x19c7)]||[];})['then'](function(){const _0x40155b=_0x176c62;return _0x2c7580[_0x40155b(0x1366)][_0x40155b(0x16b4)]({'userProfileId':_0x14c5ab[_0x40155b(0x2321)]['userProfileId'],'sectionId':0x12d})[_0x40155b(0x2945)];})['then'](function(_0x551d17){const _0x553a95=_0x176c62,_0x9ced25=_0x551d17&&_0x551d17[_0x553a95(0x19c7)]?_0x551d17[_0x553a95(0x19c7)][0x0]:null;if(!_0x9ced25){const _0x237eab=[];let _0x33c3ae=null;_0x14c5ab[_0x553a95(0x2209)]&&(_0x33c3ae=_0x3f65c0()[_0x553a95(0xc84)](_0x14c5ab[_0x553a95(0x1324)],{'id':Number(_0x14c5ab[_0x553a95(0x2209)][_0x553a95(0xb7c)])}));for(let _0x2c6b91=0x0;_0x2c6b91<_0x14c5ab[_0x553a95(0x1324)][_0x553a95(0x402)];_0x2c6b91++){_0x33c3ae&&_0x14c5ab[_0x553a95(0x1324)][_0x2c6b91]['id']===_0x33c3ae['id']&&(_0x14c5ab[_0x553a95(0x1324)][_0x2c6b91][_0x553a95(0x8ff)]=![],_0x237eab[_0x553a95(0x1f47)](_0x14c5ab[_0x553a95(0x1324)][_0x2c6b91]));}_0x14c5ab[_0x553a95(0x1324)]=_0x237eab;}else{if(!_0x9ced25[_0x553a95(0x11d2)])return _0x2c7580[_0x553a95(0xdcc)][_0x553a95(0x16b4)]({'sectionId':_0x9ced25['id']})[_0x553a95(0x2945)][_0x553a95(0x146b)](function(_0x299707){const _0x49cbcd=_0x553a95,_0x55221d=_0x3f65c0()[_0x49cbcd(0x205)](_0x299707[_0x49cbcd(0x19c7)],function(_0x7377da){const _0x26f8f9=_0x49cbcd;return _0x3f65c0()[_0x26f8f9(0xc84)](_0x14c5ab[_0x26f8f9(0x1324)],{'id':_0x7377da['resourceId']});});let _0x4098e8=null;_0x14c5ab[_0x49cbcd(0x2209)]&&(_0x4098e8=_0x3f65c0()[_0x49cbcd(0xc84)](_0x14c5ab[_0x49cbcd(0x1324)],{'id':Number(_0x14c5ab['smsAccount'][_0x49cbcd(0xb7c)])}));if(_0x4098e8&&!_0x3f65c0()[_0x49cbcd(0x1360)](_0x55221d,['id',_0x4098e8['id']])){const _0x234ba4=_0x3f65c0()[_0x49cbcd(0xc84)](_0x14c5ab[_0x49cbcd(0x1324)],{'id':_0x4098e8['id']});_0x234ba4[_0x49cbcd(0x8ff)]=![],_0x55221d['push'](_0x234ba4);}_0x14c5ab[_0x49cbcd(0x1324)]=_0x55221d;});}})['catch'](function(_0xdd4b6d){const _0x1213b7=_0x176c62;_0x42fc68[_0x1213b7(0x1980)]({'title':_0xdd4b6d[_0x1213b7(0x107b)]?_0x1213b7(0x262a)+_0xdd4b6d[_0x1213b7(0x107b)]+_0x1213b7(0x1315)+_0xdd4b6d[_0x1213b7(0x167f)]:_0x1213b7(0x1a2f),'msg':_0xdd4b6d[_0x1213b7(0x524)]?JSON[_0x1213b7(0x10bb)](_0xdd4b6d[_0x1213b7(0x524)]):_0xdd4b6d[_0x1213b7(0xd5f)]()});}),_0xfb0dd9['hasRole']('admin')?_0x2c7580['pause'][_0x176c62(0x16b4)]({'fields':'name,id','sort':_0x176c62(0x19eb),'nolimit':'true'})[_0x176c62(0x2945)][_0x176c62(0x146b)](function(_0xd615f3){_0x14c5ab['pauses']=_0xd615f3['rows']||[];})['catch'](function(_0x3505cb){const _0xc3c2a4=_0x176c62;_0x42fc68[_0xc3c2a4(0x1980)]({'title':_0x3505cb[_0xc3c2a4(0x107b)]?_0xc3c2a4(0x262a)+_0x3505cb['status']+_0xc3c2a4(0x1315)+_0x3505cb['statusText']:_0xc3c2a4(0x1e82),'msg':_0x3505cb[_0xc3c2a4(0x524)]?JSON[_0xc3c2a4(0x10bb)](_0x3505cb['data']):_0x3505cb['toString']()});}):_0x2c7580[_0x176c62(0x1e3e)][_0x176c62(0x16b4)]({'fields':_0x176c62(0x69a),'sort':_0x176c62(0x19eb),'nolimit':_0x176c62(0x1185)})[_0x176c62(0x2945)][_0x176c62(0x146b)](function(_0x5e4b63){const _0x18917a=_0x176c62;_0x14c5ab[_0x18917a(0x23bb)]=_0x5e4b63['rows']||[];})[_0x176c62(0x146b)](function(){const _0x38718a=_0x176c62;return _0x2c7580[_0x38718a(0x1366)][_0x38718a(0x16b4)]({'userProfileId':_0x14c5ab[_0x38718a(0x2321)][_0x38718a(0x209a)],'sectionId':0x3ed})['$promise'];})[_0x176c62(0x146b)](function(_0x3439ae){const _0x447b4f=_0x176c62,_0x19601e=_0x3439ae&&_0x3439ae[_0x447b4f(0x19c7)]?_0x3439ae['rows'][0x0]:null;if(!_0x19601e)_0x14c5ab[_0x447b4f(0x23bb)]=[];else{if(!_0x19601e[_0x447b4f(0x11d2)])return _0x2c7580['userProfileResource'][_0x447b4f(0x16b4)]({'sectionId':_0x19601e['id']})['$promise'][_0x447b4f(0x146b)](function(_0x552fa6){const _0x2931cd=_0x447b4f,_0x4f7212=_0x3f65c0()['map'](_0x552fa6[_0x2931cd(0x19c7)],function(_0x123ecb){const _0x48e259=_0x2931cd;return _0x3f65c0()['find'](_0x14c5ab[_0x48e259(0x23bb)],{'id':_0x123ecb['resourceId']});});_0x14c5ab[_0x2931cd(0x23bb)][_0x2931cd(0x1df5)](function(_0x4f5087){const _0x1f8853=_0x2931cd;!_0x3f65c0()['some'](_0x4f7212,['id',_0x4f5087['id']])&&(_0x4f5087[_0x1f8853(0x8ff)]=![]),_0x4f7212[_0x1f8853(0x1f47)](_0x4f5087);}),_0x14c5ab[_0x2931cd(0x23bb)]=_0x4f7212;});}})[_0x176c62(0x129e)](function(_0xf210b7){const _0x14c93f=_0x176c62;_0x42fc68['error']({'title':_0xf210b7[_0x14c93f(0x107b)]?_0x14c93f(0x262a)+_0xf210b7['status']+_0x14c93f(0x1315)+_0xf210b7['statusText']:'SYSTEM:GETpauses','msg':_0xf210b7[_0x14c93f(0x524)]?JSON[_0x14c93f(0x10bb)](_0xf210b7['data']):_0xf210b7['toString']()});});function _0x5a34f5(_0x52cac0,_0x556b00){const _0x3b1155=_0x176c62;_0x492ed5[_0x3b1155(0x2615)]({'controller':_0x3b1155(0x1d1e),'controllerAs':'vm','templateUrl':_0x90fe93,'parent':angular[_0x3b1155(0x1853)](_0x40a2f9[_0x3b1155(0x2586)]),'targetEvent':_0x556b00,'clickOutsideToClose':!![],'locals':{'smsAccount':_0x52cac0,'smsAccounts':_0x14c5ab['smsAccounts']?_0x14c5ab[_0x3b1155(0x1c34)][_0x3b1155(0x19c7)]:[],'crudPermissions':_0x14c5ab[_0x3b1155(0x2514)],'realtime':![]}});}function _0x289fc1(){const _0x115082=_0x176c62;_0x5b4988['go'](_0x115082(0x51a),{},{'reload':_0x115082(0x51a)});}function _0x5bbdc7(){const _0x3df328=_0x176c62;_0x2c7580[_0x3df328(0x2209)][_0x3df328(0x18e1)]({'id':_0x14c5ab[_0x3df328(0x2209)]['id']},_0x14c5ab[_0x3df328(0x2209)])[_0x3df328(0x2945)]['then'](function(){const _0x4d3e0e=_0x3df328;_0x42fc68['success']({'title':_0x4d3e0e(0x28ae),'msg':_0x14c5ab[_0x4d3e0e(0x2209)][_0x4d3e0e(0x19eb)]?_0x14c5ab[_0x4d3e0e(0x2209)][_0x4d3e0e(0x19eb)]+'\x20has\x20been\x20updated!':''});})['catch'](function(_0x53def4){const _0xbf5b9d=_0x3df328;_0x42fc68[_0xbf5b9d(0x1980)]({'title':_0x53def4[_0xbf5b9d(0x107b)]?_0xbf5b9d(0x262a)+_0x53def4[_0xbf5b9d(0x107b)]+_0xbf5b9d(0x1315)+_0x53def4[_0xbf5b9d(0x167f)]:_0xbf5b9d(0x2117),'msg':_0x53def4[_0xbf5b9d(0x524)]?JSON['stringify'](_0x53def4[_0xbf5b9d(0x524)]):_0x53def4[_0xbf5b9d(0xd5f)]()});});}}const _0x269ea6=_0x4919f5;;const _0x7e5b5a=_0x4acfac['p']+_0x313a4d(0x7e9);;_0x38a01c[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),'$mdDialog',_0x313a4d(0x4d8),'$timeout',_0x313a4d(0x214b),_0x313a4d(0x1c34),'userProfile',_0x313a4d(0x1366),'api',_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),'license',_0x313a4d(0x15b9)];function _0x38a01c(_0x4cad2b,_0x10d341,_0x464424,_0x28b4ee,_0x31b060,_0x1318b0,_0x160c72,_0x4b96e9,_0x4c9f56,_0x4a53b7,_0x9ab92c,_0x4d17ba,_0x1c595c,_0x47507c,_0x2c5f65,_0x429023,_0x8bd4e1){const _0x10b537=_0x313a4d,_0x2cdee7=this;_0x2cdee7[_0x10b537(0x2690)]=_0x429023,_0x2cdee7[_0x10b537(0x15b9)]=_0x8bd4e1,_0x2cdee7[_0x10b537(0x2321)]=_0x2c5f65[_0x10b537(0xb12)](),_0x2cdee7[_0x10b537(0x1c34)]=_0x4c9f56||{'count':0x0,'rows':[]},_0x2cdee7[_0x10b537(0x26b6)]=_0x4a53b7,_0x2cdee7[_0x10b537(0x1366)]=_0x9ab92c&&_0x9ab92c['count']==0x1?_0x9ab92c[_0x10b537(0x19c7)][0x0]:null,_0x2cdee7[_0x10b537(0x2514)]=_0x2c5f65['parseCrudPermissions'](_0x2cdee7['userProfileSection']?_0x2cdee7[_0x10b537(0x1366)]['crudPermissions']:null),_0x2cdee7[_0x10b537(0x768)]='smsAccounts',_0x2cdee7[_0x10b537(0x216a)]='',_0x2cdee7[_0x10b537(0x214f)]=null,_0x2cdee7[_0x10b537(0x237f)]=[],_0x2cdee7[_0x10b537(0x1a56)]={'fields':_0x10b537(0x276e),'sort':_0x10b537(0x12f2),'limit':0xa,'page':0x1},_0x2cdee7[_0x10b537(0x11da)]=_0x3f65c0()['keyBy']([{'option':_0x10b537(0x1eba),'value':_0x10b537(0x169c)},{'option':_0x10b537(0xa47),'value':'\x27skebby\x27'},{'option':_0x10b537(0x162b),'value':_0x10b537(0x1b18)},{'option':_0x10b537(0x21d9),'value':'\x27clicksend\x27'},{'option':_0x10b537(0x283f),'value':'\x27plivo\x27'},{'option':_0x10b537(0x18b2),'value':_0x10b537(0x1778)},{'option':_0x10b537(0x1818),'value':_0x10b537(0x13d0)},{'option':'CSCTelecom','value':_0x10b537(0x1de7)},{'option':'Intelepeer','value':_0x10b537(0x258d)}],function(_0x3df8e3){const _0x417198=_0x10b537;return _0x3f65c0()[_0x417198(0x5f4)](_0x3df8e3[_0x417198(0x175d)],new RegExp('\x27','g'),'');}),_0x2cdee7[_0x10b537(0xa7d)]=_0x3f65c0()[_0x10b537(0x194)]([{'option':_0x10b537(0x267f),'value':_0x10b537(0x60e)},{'option':'Classic','value':_0x10b537(0x26a5)},{'option':_0x10b537(0x241a),'value':_0x10b537(0x624)}],function(_0xc75f98){const _0x814242=_0x10b537;return _0x3f65c0()['replace'](_0xc75f98[_0x814242(0x175d)],new RegExp('\x27','g'),'');}),_0x2cdee7[_0x10b537(0x23f9)]=_0x5136a8,_0x2cdee7[_0x10b537(0xbce)]=_0x1ec358,_0x2cdee7['agentadddialog']=_0x2b5733,_0x2cdee7['deleteconfirm']=_0x479664,_0x2cdee7[_0x10b537(0x1c75)]=_0x553990,_0x2cdee7['getSmsAccounts']=_0x484829,_0x2cdee7['createOrEditSmsAccount']=_0x334f1a,_0x2cdee7['deleteSmsAccount']=_0x3d49f4,_0x2cdee7[_0x10b537(0x20ef)]=_0x3aeb01,_0x2cdee7[_0x10b537(0x1825)]=_0x3f966c,_0x2cdee7[_0x10b537(0xc05)]=_0x24324e,_0x2cdee7['selectAllSmsAccounts']=_0xd224f,_0x2c5f65[_0x10b537(0x23e0)](_0x10b537(0x174b))?_0x4d17ba[_0x10b537(0xf03)]['get']({'fields':_0x10b537(0x7a7),'sort':_0x10b537(0x19eb)})[_0x10b537(0x2945)][_0x10b537(0x146b)](function(_0x2765fb){const _0x3da58f=_0x10b537;_0x2cdee7['lists']=_0x2765fb[_0x3da58f(0x19c7)]||[];})[_0x10b537(0x129e)](function(_0xce102e){const _0x1a4931=_0x10b537;_0x47507c[_0x1a4931(0x1980)]({'title':_0xce102e[_0x1a4931(0x107b)]?_0x1a4931(0x262a)+_0xce102e[_0x1a4931(0x107b)]+_0x1a4931(0x1315)+_0xce102e[_0x1a4931(0x167f)]:_0x1a4931(0x11b3),'msg':_0xce102e['data']?JSON[_0x1a4931(0x10bb)](_0xce102e[_0x1a4931(0x524)]):_0xce102e[_0x1a4931(0xd5f)]()});}):_0x4d17ba[_0x10b537(0xf03)][_0x10b537(0x16b4)]({'fields':_0x10b537(0x7a7),'sort':_0x10b537(0x19eb)})[_0x10b537(0x2945)][_0x10b537(0x146b)](function(_0x4b830c){const _0x4195f3=_0x10b537;_0x2cdee7[_0x4195f3(0x1324)]=_0x4b830c[_0x4195f3(0x19c7)]||[];})[_0x10b537(0x146b)](function(){const _0x498ec6=_0x10b537;return _0x4d17ba[_0x498ec6(0x1366)]['get']({'userProfileId':_0x2cdee7['currentUser'][_0x498ec6(0x209a)],'sectionId':0x12d})[_0x498ec6(0x2945)];})['then'](function(_0x19c06d){const _0x4b28e2=_0x10b537,_0x18d311=_0x19c06d&&_0x19c06d[_0x4b28e2(0x19c7)]?_0x19c06d['rows'][0x0]:null;if(!_0x18d311){const _0x256edb=[];let _0x3c8a4b=null;_0x2cdee7['smsAccount']&&(_0x3c8a4b=_0x3f65c0()[_0x4b28e2(0xc84)](_0x2cdee7[_0x4b28e2(0x1324)],{'id':Number(_0x2cdee7[_0x4b28e2(0x2209)][_0x4b28e2(0xb7c)])}));for(let _0x2dcd83=0x0;_0x2dcd83<_0x2cdee7[_0x4b28e2(0x1324)][_0x4b28e2(0x402)];_0x2dcd83++){_0x3c8a4b&&_0x2cdee7['lists'][_0x2dcd83]['id']===_0x3c8a4b['id']&&(_0x2cdee7[_0x4b28e2(0x1324)][_0x2dcd83][_0x4b28e2(0x8ff)]=![],_0x256edb[_0x4b28e2(0x1f47)](_0x2cdee7['lists'][_0x2dcd83]));}_0x2cdee7[_0x4b28e2(0x1324)]=_0x256edb;}else{if(!_0x18d311[_0x4b28e2(0x11d2)])return _0x4d17ba[_0x4b28e2(0xdcc)][_0x4b28e2(0x16b4)]({'sectionId':_0x18d311['id']})[_0x4b28e2(0x2945)][_0x4b28e2(0x146b)](function(_0x31ed79){const _0x99393e=_0x4b28e2,_0x2e745b=_0x3f65c0()[_0x99393e(0x205)](_0x31ed79['rows'],function(_0x9e2619){const _0x198837=_0x99393e;return _0x3f65c0()[_0x198837(0xc84)](_0x2cdee7[_0x198837(0x1324)],{'id':_0x9e2619[_0x198837(0x18b8)]});});let _0x1ea2e2=null;_0x2cdee7[_0x99393e(0x2209)]&&(_0x1ea2e2=_0x3f65c0()['find'](_0x2cdee7[_0x99393e(0x1324)],{'id':Number(_0x2cdee7[_0x99393e(0x2209)]['ListId'])}));if(_0x1ea2e2&&!_0x3f65c0()[_0x99393e(0x1360)](_0x2e745b,['id',_0x1ea2e2['id']])){const _0x1b0702=_0x3f65c0()[_0x99393e(0xc84)](_0x2cdee7[_0x99393e(0x1324)],{'id':_0x1ea2e2['id']});_0x1b0702['canSelect']=![],_0x2e745b[_0x99393e(0x1f47)](_0x1b0702);}_0x2cdee7[_0x99393e(0x1324)]=_0x2e745b;});}})[_0x10b537(0x129e)](function(_0x294a4f){const _0x4117ee=_0x10b537;_0x47507c[_0x4117ee(0x1980)]({'title':_0x294a4f[_0x4117ee(0x107b)]?'API:'+_0x294a4f['status']+_0x4117ee(0x1315)+_0x294a4f[_0x4117ee(0x167f)]:_0x4117ee(0x1a2f),'msg':_0x294a4f[_0x4117ee(0x524)]?JSON['stringify'](_0x294a4f['data']):_0x294a4f['toString']()});});function _0x5136a8(_0x12be52){const _0x4310e7=_0x10b537;_0x464424['go'](_0x4310e7(0x2d1),{'id':_0x12be52['id'],'smsAccount':_0x12be52,'crudPermissions':_0x2cdee7[_0x4310e7(0x2514)]});}function _0x1ec358(_0x1b69fc){const _0x1ba228=_0x10b537;_0x464424['go'](_0x1ba228(0x2d1),{'id':_0x1b69fc['id'],'tab':0x5});}function _0x2b5733(_0x5edc2b,_0x36c79b){const _0x17ac4d=_0x10b537;_0x31b060['show']({'controller':'SmsAccountagentaddController','controllerAs':'vm','templateUrl':_0x90fe93,'parent':angular[_0x17ac4d(0x1853)](_0x1318b0[_0x17ac4d(0x2586)]),'targetEvent':_0x36c79b,'clickOutsideToClose':!![],'locals':{'smsAccount':_0x5edc2b,'smsAccounts':_0x2cdee7[_0x17ac4d(0x1c34)]?_0x2cdee7[_0x17ac4d(0x1c34)][_0x17ac4d(0x19c7)]:[],'crudPermissions':_0x2cdee7[_0x17ac4d(0x2514)],'realtime':![]}});}function _0x479664(_0x199b7b,_0x5c0f52){const _0x2890e4=_0x10b537,_0x5a799e=_0x31b060[_0x2890e4(0x1e8a)]()['title'](_0x2890e4(0xdb2)+_0x3f65c0()['startCase'](_0x2890e4(0x2209))+'?')['htmlContent'](''+(_0x199b7b[_0x2890e4(0x19eb)]||_0x2890e4(0x2209))+_0x2890e4(0x252f)+_0x2890e4(0xe01))['ariaLabel']('delete\x20smsAccount')[_0x2890e4(0x1f27)](_0x5c0f52)['ok']('OK')[_0x2890e4(0x6c3)](_0x2890e4(0x39a));_0x31b060[_0x2890e4(0x2615)](_0x5a799e)['then'](function(){_0x3d49f4(_0x199b7b);},function(){const _0x10b0f5=_0x2890e4;console['log'](_0x10b0f5(0x39a));});}let _0x328e68=!![],_0x4dca0a=0x1;_0x4cad2b[_0x10b537(0x21e8)](_0x10b537(0x2669),function(_0x3f9d8b,_0xfdfe54){const _0x357b70=_0x10b537;_0x328e68?_0x160c72(function(){_0x328e68=![];}):(!_0xfdfe54&&(_0x4dca0a=_0x2cdee7[_0x357b70(0x1a56)]['page']),_0x3f9d8b!==_0xfdfe54&&(_0x2cdee7[_0x357b70(0x1a56)][_0x357b70(0x844)]=0x1),!_0x3f9d8b&&(_0x2cdee7[_0x357b70(0x1a56)][_0x357b70(0x844)]=_0x4dca0a),_0x2cdee7['getSmsAccounts']());});function _0x553990(_0x36e1ba){const _0x1b1480=_0x10b537;_0x2cdee7[_0x1b1480(0x1c34)]=_0x36e1ba||{'count':0x0,'rows':[]};}function _0x484829(){const _0x335c79=_0x10b537;_0x2cdee7[_0x335c79(0x1a56)][_0x335c79(0x145d)]=(_0x2cdee7[_0x335c79(0x1a56)][_0x335c79(0x844)]-0x1)*_0x2cdee7[_0x335c79(0x1a56)][_0x335c79(0x221e)],_0x2c5f65['hasRole']('admin')?_0x2cdee7[_0x335c79(0xb9c)]=_0x4d17ba['smsAccount'][_0x335c79(0x16b4)](_0x2cdee7[_0x335c79(0x1a56)],_0x553990)['$promise']:(_0x2cdee7[_0x335c79(0x1a56)]['id']=_0x2cdee7['userProfile']['id'],_0x2cdee7[_0x335c79(0x1a56)][_0x335c79(0x2146)]=_0x335c79(0xda3),_0x2cdee7[_0x335c79(0xb9c)]=_0x4d17ba[_0x335c79(0x26b6)][_0x335c79(0x158f)](_0x2cdee7[_0x335c79(0x1a56)],_0x553990)[_0x335c79(0x2945)]);}function _0x334f1a(_0x4a0900,_0x216666){const _0x409736=_0x10b537;_0x31b060[_0x409736(0x2615)]({'controller':_0x409736(0x16e9),'controllerAs':'vm','templateUrl':_0x7e5b5a,'parent':angular[_0x409736(0x1853)](_0x1318b0[_0x409736(0x2586)]),'targetEvent':_0x4a0900,'clickOutsideToClose':!![],'locals':{'smsAccount':_0x216666,'smsAccounts':_0x2cdee7['smsAccounts'][_0x409736(0x19c7)],'license':_0x2cdee7['license'],'setting':_0x2cdee7[_0x409736(0x15b9)],'crudPermissions':_0x2cdee7[_0x409736(0x2514)]}});}function _0x3d49f4(_0xa78c2c){const _0x100643=_0x10b537;_0x4d17ba['smsAccount'][_0x100643(0x1fac)]({'id':_0xa78c2c['id']})[_0x100643(0x2945)][_0x100643(0x146b)](function(){const _0x511a61=_0x100643;_0x3f65c0()[_0x511a61(0x2640)](_0x2cdee7[_0x511a61(0x1c34)][_0x511a61(0x19c7)],{'id':_0xa78c2c['id']}),_0x2cdee7[_0x511a61(0x1c34)][_0x511a61(0x51c)]-=0x1,!_0x2cdee7[_0x511a61(0x1c34)][_0x511a61(0x19c7)][_0x511a61(0x402)]&&_0x2cdee7['getSmsAccounts'](),_0x47507c[_0x511a61(0x1c75)]({'title':_0x3f65c0()[_0x511a61(0x20d1)](_0x511a61(0x2197))+_0x511a61(0x201c),'msg':_0xa78c2c[_0x511a61(0x19eb)]?_0xa78c2c[_0x511a61(0x19eb)]+_0x511a61(0x23e3):''});})[_0x100643(0x129e)](function(_0x622745){const _0x2b764e=_0x100643;if(_0x622745[_0x2b764e(0x524)]&&_0x622745['data']['errors']&&_0x622745[_0x2b764e(0x524)][_0x2b764e(0xcef)]['length']){_0x2cdee7[_0x2b764e(0xcef)]=_0x622745['data'][_0x2b764e(0xcef)]||[{'message':_0x622745[_0x2b764e(0xd5f)](),'type':_0x2b764e(0x24ab)}];for(let _0x7dc693=0x0;_0x7dc693<_0x622745['data'][_0x2b764e(0xcef)][_0x2b764e(0x402)];_0x7dc693++){_0x47507c[_0x2b764e(0x1980)]({'title':_0x622745[_0x2b764e(0x524)][_0x2b764e(0xcef)][_0x7dc693]['type'],'msg':_0x622745['data']['errors'][_0x7dc693][_0x2b764e(0x7fd)]});}}else _0x47507c['error']({'title':_0x622745[_0x2b764e(0x107b)]?_0x2b764e(0x262a)+_0x622745[_0x2b764e(0x107b)]+'\x20-\x20'+_0x622745[_0x2b764e(0x167f)]:_0x2b764e(0x24ab),'msg':_0x622745[_0x2b764e(0x524)]?JSON[_0x2b764e(0x10bb)](_0x622745[_0x2b764e(0x524)]['message']):_0x622745[_0x2b764e(0x7fd)]||_0x622745[_0x2b764e(0xd5f)]()});});}function _0x3aeb01(){const _0x1f38a1=_0x10b537,_0x5dfbd3=angular['copy'](_0x2cdee7['selectedSmsAccounts']);return _0x2cdee7[_0x1f38a1(0x237f)]=[],_0x5dfbd3;}function _0x3f966c(_0x281ca7){const _0x2188b0=_0x10b537,_0x15add1=_0x31b060[_0x2188b0(0x1e8a)]()[_0x2188b0(0x1189)](_0x2188b0(0x2752))[_0x2188b0(0x1cbe)](''+_0x2cdee7[_0x2188b0(0x237f)]['length']+'\x20selected'+_0x2188b0(0xe01))['ariaLabel']('delete\x20SmsAccounts')[_0x2188b0(0x1f27)](_0x281ca7)['ok']('OK')[_0x2188b0(0x6c3)]('CANCEL');_0x31b060[_0x2188b0(0x2615)](_0x15add1)[_0x2188b0(0x146b)](function(){const _0xd6fb20=_0x2188b0;_0x2cdee7[_0xd6fb20(0x237f)][_0xd6fb20(0x1df5)](function(_0x5c58a9){_0x3d49f4(_0x5c58a9);}),_0x2cdee7[_0xd6fb20(0x237f)]=[];});}function _0x24324e(){_0x2cdee7['selectedSmsAccounts']=[];}function _0xd224f(){const _0xc7f30d=_0x10b537;_0x2cdee7['selectedSmsAccounts']=_0x2cdee7['smsAccounts'][_0xc7f30d(0x19c7)];}}const _0x3b38b4=_0x38a01c;;_0x5208ee[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),'$location','$mdDialog','$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),'smsQueues','smsQueue','api',_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x5208ee(_0x4fbafd,_0x4741d6,_0x24877e,_0x227e1b,_0x23282f,_0x2ba5ff,_0x2b2ea5,_0x4a3493,_0x165c67,_0x3e2d87,_0x6f675a,_0x5cb946,_0x12dfe6,_0x400b28){const _0x363c6d=_0x313a4d,_0x149651=this;_0x149651[_0x363c6d(0x2321)]=_0x6f675a[_0x363c6d(0xb12)](),_0x149651[_0x363c6d(0xcef)]=[],_0x149651[_0x363c6d(0x15b9)]=_0x12dfe6,_0x149651['license']=_0x5cb946,_0x149651[_0x363c6d(0x2514)]=_0x400b28,_0x149651[_0x363c6d(0x855)]={},_0x149651['passwordPattern']=_0x149651['setting']&&_0x149651[_0x363c6d(0x15b9)][_0x363c6d(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x149651[_0x363c6d(0x1189)]=_0x363c6d(0x1df6),_0x149651[_0x363c6d(0xf80)]=angular[_0x363c6d(0x235a)](_0x165c67),_0x149651[_0x363c6d(0x1bf4)]=_0x4a3493,_0x149651[_0x363c6d(0x1173)]=![];!_0x149651['smsQueue']&&(_0x149651['smsQueue']={'strategy':_0x363c6d(0x1984),'timeout':0xa},_0x149651[_0x363c6d(0x1189)]='SMS.NEW_SMSQUEUE',_0x149651[_0x363c6d(0x1173)]=!![]);_0x149651[_0x363c6d(0x2557)]=_0x2c6fb1,_0x149651[_0x363c6d(0x1d91)]=_0x597d89,_0x149651[_0x363c6d(0xa69)]=_0x33ffb9,_0x149651[_0x363c6d(0xe73)]=_0x2e28a7,_0x149651[_0x363c6d(0x13f3)]=_0x437b9d;function _0x2c6fb1(){const _0xac81a2=_0x363c6d;_0x149651[_0xac81a2(0xcef)]=[],_0x3e2d87[_0xac81a2(0xf80)][_0xac81a2(0x1e3)](_0x149651[_0xac81a2(0xf80)])['$promise'][_0xac81a2(0x146b)](function(_0x344eec){const _0x3033b9=_0xac81a2;_0x149651[_0x3033b9(0x1bf4)][_0x3033b9(0xb3d)](_0x344eec[_0x3033b9(0x2488)]()),_0x2b2ea5[_0x3033b9(0x1c75)]({'title':'SmsQueue\x20properly\x20created','msg':_0x149651[_0x3033b9(0xf80)][_0x3033b9(0x19eb)]?_0x149651[_0x3033b9(0xf80)][_0x3033b9(0x19eb)]+_0x3033b9(0x1386):''}),_0x437b9d(_0x344eec);})[_0xac81a2(0x129e)](function(_0x29bf24){const _0x545a8e=_0xac81a2;if(_0x29bf24[_0x545a8e(0x524)]&&_0x29bf24['data']['errors']&&_0x29bf24[_0x545a8e(0x524)][_0x545a8e(0xcef)][_0x545a8e(0x402)]){_0x149651[_0x545a8e(0xcef)]=_0x29bf24[_0x545a8e(0x524)][_0x545a8e(0xcef)]||[{'message':_0x29bf24['toString'](),'type':_0x545a8e(0x1255)}];for(let _0x3a6495=0x0;_0x3a6495<_0x29bf24['data'][_0x545a8e(0xcef)][_0x545a8e(0x402)];_0x3a6495+=0x1){_0x2b2ea5[_0x545a8e(0x1980)]({'title':_0x29bf24[_0x545a8e(0x524)]['errors'][_0x3a6495][_0x545a8e(0x1142)],'msg':_0x29bf24['data'][_0x545a8e(0xcef)][_0x3a6495][_0x545a8e(0x7fd)]});}}else _0x2b2ea5[_0x545a8e(0x1980)]({'title':_0x29bf24[_0x545a8e(0x107b)]?_0x545a8e(0x262a)+_0x29bf24[_0x545a8e(0x107b)]+_0x545a8e(0x1315)+_0x29bf24[_0x545a8e(0x167f)]:_0x545a8e(0x1255),'msg':_0x29bf24[_0x545a8e(0x524)]?JSON[_0x545a8e(0x10bb)](_0x29bf24['data']['message']):_0x29bf24[_0x545a8e(0xd5f)]()});});}function _0x597d89(){const _0x295935=_0x363c6d;_0x149651[_0x295935(0xcef)]=[],_0x3e2d87[_0x295935(0xf80)][_0x295935(0x18e1)]({'id':_0x149651[_0x295935(0xf80)]['id']},_0x149651['smsQueue'])[_0x295935(0x2945)][_0x295935(0x146b)](function(_0x15c6d2){const _0xc2a998=_0x295935,_0x2bef72=_0x3f65c0()['find'](_0x149651[_0xc2a998(0x1bf4)],{'id':_0x15c6d2['id']});_0x2bef72&&_0x3f65c0()[_0xc2a998(0x168d)](_0x2bef72,_0x3f65c0()['pick'](_0x15c6d2[_0xc2a998(0x2488)](),_0x3f65c0()[_0xc2a998(0x627)](_0x2bef72))),_0x2b2ea5[_0xc2a998(0x1c75)]({'title':_0xc2a998(0x292d),'msg':_0x149651[_0xc2a998(0xf80)][_0xc2a998(0x19eb)]?_0x149651[_0xc2a998(0xf80)][_0xc2a998(0x19eb)]+'\x20has\x20been\x20saved!':''}),_0x437b9d(_0x15c6d2);})[_0x295935(0x129e)](function(_0x36468c){const _0x54ca24=_0x295935;if(_0x36468c[_0x54ca24(0x524)]&&_0x36468c['data'][_0x54ca24(0xcef)]&&_0x36468c['data'][_0x54ca24(0xcef)][_0x54ca24(0x402)]){_0x149651[_0x54ca24(0xcef)]=_0x36468c[_0x54ca24(0x524)][_0x54ca24(0xcef)]||[{'message':_0x36468c[_0x54ca24(0xd5f)](),'type':_0x54ca24(0xc74)}];for(let _0x9d54b2=0x0;_0x9d54b2<_0x36468c[_0x54ca24(0x524)][_0x54ca24(0xcef)]['length'];_0x9d54b2++){_0x2b2ea5['error']({'title':_0x36468c['data'][_0x54ca24(0xcef)][_0x9d54b2][_0x54ca24(0x1142)],'msg':_0x36468c[_0x54ca24(0x524)][_0x54ca24(0xcef)][_0x9d54b2][_0x54ca24(0x7fd)]});}}else _0x2b2ea5['error']({'title':_0x36468c[_0x54ca24(0x107b)]?_0x54ca24(0x262a)+_0x36468c[_0x54ca24(0x107b)]+'\x20-\x20'+_0x36468c[_0x54ca24(0x167f)]:_0x54ca24(0xc74),'msg':_0x36468c['data']?JSON[_0x54ca24(0x10bb)](_0x36468c[_0x54ca24(0x524)][_0x54ca24(0x7fd)]):_0x36468c[_0x54ca24(0xd5f)]()});});}function _0x33ffb9(_0x3777ba){const _0xacad29=_0x363c6d;_0x149651[_0xacad29(0xcef)]=[];const _0x4a3716=_0x227e1b[_0xacad29(0x1e8a)]()['title'](_0xacad29(0x1d64))[_0xacad29(0x80f)](_0xacad29(0x1e01))[_0xacad29(0x4bd)]('Delete\x20SmsQueue')['ok']('Delete')[_0xacad29(0x6c3)](_0xacad29(0xcf0))[_0xacad29(0x1f27)](_0x3777ba);_0x227e1b[_0xacad29(0x2615)](_0x4a3716)[_0xacad29(0x146b)](function(){const _0x583ef0=_0xacad29;_0x3e2d87[_0x583ef0(0xf80)]['delete']({'id':_0x149651['smsQueue']['id']})[_0x583ef0(0x2945)]['then'](function(){const _0x586acc=_0x583ef0;_0x3f65c0()[_0x586acc(0x2640)](_0x149651[_0x586acc(0x1bf4)],{'id':_0x149651[_0x586acc(0xf80)]['id']}),_0x2b2ea5[_0x586acc(0x1c75)]({'title':_0x586acc(0x7d8),'msg':(_0x149651[_0x586acc(0xf80)][_0x586acc(0x19eb)]||_0x586acc(0xf80))+_0x586acc(0x23e3)}),_0x437b9d(_0x149651[_0x586acc(0xf80)]);})[_0x583ef0(0x129e)](function(_0x5dfae5){const _0x372448=_0x583ef0;if(_0x5dfae5['data']&&_0x5dfae5[_0x372448(0x524)][_0x372448(0xcef)]&&_0x5dfae5[_0x372448(0x524)][_0x372448(0xcef)][_0x372448(0x402)]){_0x149651['errors']=_0x5dfae5[_0x372448(0x524)][_0x372448(0xcef)]||[{'message':_0x5dfae5['toString'](),'type':'api.smsQueue.delete'}];for(let _0x4e6445=0x0;_0x4e6445<_0x5dfae5[_0x372448(0x524)]['errors']['length'];_0x4e6445++){_0x2b2ea5[_0x372448(0x1980)]({'title':_0x5dfae5['data'][_0x372448(0xcef)][_0x4e6445][_0x372448(0x1142)],'msg':_0x5dfae5['data'][_0x372448(0xcef)][_0x4e6445][_0x372448(0x7fd)]});}}else _0x2b2ea5[_0x372448(0x1980)]({'title':_0x5dfae5['status']?_0x372448(0x262a)+_0x5dfae5[_0x372448(0x107b)]+_0x372448(0x1315)+_0x5dfae5[_0x372448(0x167f)]:'api.smsQueue.delete','msg':_0x5dfae5['data']?JSON['stringify'](_0x5dfae5[_0x372448(0x524)]['message']):_0x5dfae5[_0x372448(0x7fd)]||_0x5dfae5['toString']()});});},function(){});}function _0x2e28a7(_0x558c1c){return _0x558c1c===null?undefined:new Date(_0x558c1c);}function _0x437b9d(_0x1bca07){const _0x1e9cfb=_0x363c6d;_0x227e1b[_0x1e9cfb(0x2458)](_0x1bca07);}}const _0x4ec5e9=_0x5208ee;;_0x62d2b3[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0xf80),_0x313a4d(0x1bf4),'realtime',_0x313a4d(0x214b),'Auth','crudPermissions'];function _0x62d2b3(_0x1ae4aa,_0x1e4940,_0x5abbf3,_0x1d9cec,_0x162d80,_0x13bf47,_0x5bc097,_0x543c5d,_0x5323e2,_0x137db5){const _0x5d1945=_0x313a4d,_0xd92246=this;_0xd92246[_0x5d1945(0x2321)]=_0x5323e2[_0x5d1945(0xb12)](),_0xd92246['smsQueue']=_0x162d80,_0xd92246['crudPermissions']=_0x137db5,_0xd92246[_0x5d1945(0xfc4)]=_0x5bc097,_0xd92246[_0x5d1945(0x1fd6)]=[],_0xd92246[_0x5d1945(0xc4e)]=[],_0xd92246['selectedItems']=[],_0xd92246[_0x5d1945(0x2e8)]=[],_0xd92246['startingSelectedItems']=[],_0xd92246[_0x5d1945(0x132)]=![],_0xd92246[_0x5d1945(0x3d4)]=_0x173ef6,_0xd92246[_0x5d1945(0x14c2)]=_0x12b1cc,_0xd92246['closeDialog']=_0x57cce6,_0xd92246[_0x5d1945(0x1f8a)]={'readOnly':!_0xd92246['crudPermissions'][_0x5d1945(0x15f4)],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x5d1945(0x19eb),'line1':_0x5d1945(0x286a),'line2':['name',_0x5d1945(0x113f)],'line3':'','labelAll':_0x543c5d[_0x5d1945(0xde)]('APP.ALL_AGENTS'),'labelSelected':_0x543c5d[_0x5d1945(0xde)](_0x5d1945(0xf73)),'transferCallback':function(){const _0x5a01f0=_0x5d1945,_0x52228b=_0x3f65c0()['xorBy'](_0xd92246[_0x5a01f0(0xa65)],_0xd92246[_0x5a01f0(0x1ecf)],'id');_0xd92246[_0x5a01f0(0x132)]=_0x3f65c0()['isEmpty'](_0x52228b)?![]:!![];}};function _0x173ef6(){const _0x2a5644=_0x5d1945;return _0x5323e2[_0x2a5644(0x23e0)]('admin')?_0x4061ac()[_0x2a5644(0x129e)](function(_0xdd71b2){const _0x13019e=_0x2a5644;_0x5abbf3[_0x13019e(0x1980)]({'title':_0xdd71b2[_0x13019e(0x107b)]?_0x13019e(0x262a)+_0xdd71b2[_0x13019e(0x107b)]+'\x20-\x20'+_0xdd71b2[_0x13019e(0x167f)]:_0x13019e(0x557),'msg':_0xdd71b2[_0x13019e(0x107b)]?JSON['stringify'](_0xdd71b2[_0x13019e(0x524)]):_0xdd71b2[_0x13019e(0xd5f)]()});}):_0x100b6d()[_0x2a5644(0x146b)](function(_0x80210){return _0xd92246['section']=_0x80210,_0x4061ac();})[_0x2a5644(0x129e)](function(_0x38689e){const _0x41c7ed=_0x2a5644;_0x5abbf3[_0x41c7ed(0x1980)]({'title':_0x38689e[_0x41c7ed(0x107b)]?_0x41c7ed(0x262a)+_0x38689e['status']+_0x41c7ed(0x1315)+_0x38689e[_0x41c7ed(0x167f)]:_0x41c7ed(0x557),'msg':_0x38689e[_0x41c7ed(0x107b)]?JSON[_0x41c7ed(0x10bb)](_0x38689e[_0x41c7ed(0x524)]):_0x38689e[_0x41c7ed(0xd5f)]()});});}function _0x100b6d(){return _0x1e4940(function(_0x4a2efe,_0x28058e){const _0x5f04d7=a0_0x3bb9;_0x1d9cec[_0x5f04d7(0x1366)][_0x5f04d7(0x16b4)]({'userProfileId':_0xd92246[_0x5f04d7(0x2321)][_0x5f04d7(0x209a)],'name':_0x5f04d7(0xca8)})['$promise'][_0x5f04d7(0x146b)](function(_0x53d4a7){const _0x136cd0=_0x5f04d7,_0xe0b9de=_0x53d4a7&&_0x53d4a7[_0x136cd0(0x19c7)]?_0x53d4a7[_0x136cd0(0x19c7)][0x0]:null;_0x4a2efe(_0xe0b9de);})[_0x5f04d7(0x129e)](function(_0x45c366){_0x28058e(_0x45c366);});});}function _0x4061ac(){return _0x1e4940(function(_0x389fc9,_0x510791){const _0x103fcf=a0_0x3bb9;return _0x405aab()[_0x103fcf(0x146b)](function(_0x4c443c){const _0x336353=_0x103fcf;return _0xd92246[_0x336353(0x1fd6)]=_0x4c443c[_0x336353(0x19c7)]?_0x4c443c[_0x336353(0x19c7)]:[],_0x5323e2[_0x336353(0x23e0)](_0x336353(0x174b))?_0x4c443c:_0xd92246[_0x336353(0x2146)]?_0xd92246[_0x336353(0x2146)][_0x336353(0x11d2)]?_0x4c443c:_0x53ae37():null;})[_0x103fcf(0x146b)](function(_0xe2c269){const _0x4cf8d8=_0x103fcf,_0x20db23=_0xe2c269&&_0xe2c269[_0x4cf8d8(0x19c7)]?_0xe2c269['rows']:[];return _0xd92246[_0x4cf8d8(0xc4e)]=_0x3f65c0()[_0x4cf8d8(0x205)](_0x20db23,function(_0x21bb82){const _0x3182ca=_0x4cf8d8;return _0x3f65c0()[_0x3182ca(0xc84)](_0xd92246[_0x3182ca(0x1fd6)],{'id':_0x5323e2[_0x3182ca(0x23e0)]('admin')||_0xd92246[_0x3182ca(0x2146)]['autoAssociation']?_0x21bb82['id']:_0x21bb82[_0x3182ca(0x18b8)]});}),_0xd92246['startingAllowedItems']=angular[_0x4cf8d8(0x235a)](_0xd92246[_0x4cf8d8(0xc4e)]),_0xd92246[_0x4cf8d8(0x1fd6)][_0x4cf8d8(0x1df5)](function(_0x3ef34e){const _0x5b725a=_0x4cf8d8,_0x801905=_0x3f65c0()[_0x5b725a(0xc84)](_0xd92246[_0x5b725a(0xc4e)],{'id':_0x3ef34e['id']});_0x5323e2['hasRole'](_0x5b725a(0x174b))?_0x3ef34e[_0x5b725a(0x146f)]=!![]:_0x3ef34e[_0x5b725a(0x146f)]=typeof _0x801905!==_0x5b725a(0x2274)?!![]:![];}),_0x3fe5a1();})[_0x103fcf(0x146b)](function(_0x249c80){const _0x16e187=_0x103fcf,_0x225282=_0x249c80&&_0x249c80[_0x16e187(0x19c7)]?_0x249c80[_0x16e187(0x19c7)]:[];_0xd92246[_0x16e187(0x1ecf)]=_0x3f65c0()[_0x16e187(0x205)](_0x225282,function(_0x1da80a){const _0x8af151=_0x16e187,_0x75a308=_0x3f65c0()[_0x8af151(0xc84)](_0xd92246[_0x8af151(0x1fd6)],{'id':_0x1da80a['id']});return _0x75a308[_0x8af151(0x188d)]=_0x1da80a[_0x8af151(0x14b6)]?_0x8af151(0x2505)+_0x1da80a[_0x8af151(0x14b6)][_0x8af151(0x188d)]:'',_0x75a308['internal']=typeof _0x1da80a['internal']!=='undefined'?'<'+_0x1da80a[_0x8af151(0x113f)]+'>':'',_0x75a308;}),_0xd92246['startingSelectedItems']=angular[_0x16e187(0x235a)](_0xd92246[_0x16e187(0x1ecf)]),_0xd92246[_0x16e187(0x1f8a)][_0x16e187(0x1ecf)]=_0xd92246[_0x16e187(0x1ecf)],_0xd92246[_0x16e187(0x1f8a)][_0x16e187(0x1fd6)]=_0x3f65c0()[_0x16e187(0x2796)](_0xd92246[_0x16e187(0xc4e)],_0xd92246[_0x16e187(0x1f8a)][_0x16e187(0x1ecf)],'id'),_0x389fc9();})['catch'](function(_0x271f87){_0x510791(_0x271f87);});});}function _0x53ae37(){return _0x1e4940(function(_0x40542b,_0xbfbf7){const _0x3df40c=a0_0x3bb9;return _0x1d9cec[_0x3df40c(0xdcc)][_0x3df40c(0x16b4)]({'sectionId':_0xd92246[_0x3df40c(0x2146)]['id'],'nolimit':!![]})['$promise'][_0x3df40c(0x146b)](function(_0x57494d){_0x40542b(_0x57494d);})[_0x3df40c(0x129e)](function(_0x44e43c){_0xbfbf7(_0x44e43c);});});}function _0x3fe5a1(){return _0x1e4940(function(_0x5145ab,_0x5b9f77){const _0x2a3e2a=a0_0x3bb9;return _0x1d9cec['smsQueue']['getAgents']({'id':_0xd92246[_0x2a3e2a(0xf80)]['id'],'fields':_0x2a3e2a(0x1569),'nolimit':!![],'role':_0x2a3e2a(0x1755)})['$promise'][_0x2a3e2a(0x146b)](function(_0x5a0896){_0x5145ab(_0x5a0896);})[_0x2a3e2a(0x129e)](function(_0x585c7c){_0x5b9f77(_0x585c7c);});});}function _0x405aab(){return _0x1e4940(function(_0xa1fa53,_0xecb9a7){const _0x1840f5=a0_0x3bb9;return _0x1d9cec[_0x1840f5(0xe7b)][_0x1840f5(0x16b4)]({'fields':'id,name,internal,fullname','nolimit':!![],'role':'agent'})['$promise'][_0x1840f5(0x146b)](function(_0x3e87fb){_0xa1fa53(_0x3e87fb);})[_0x1840f5(0x129e)](function(_0x34bf0f){_0xecb9a7(_0x34bf0f);});});}function _0x5cf668(_0x863465){return _0x1e4940(function(_0x202b4d,_0x5eb22c){const _0x545fbe=a0_0x3bb9;_0x3f65c0()[_0x545fbe(0x2635)](_0x863465)?_0x202b4d():_0x1d9cec['smsQueue'][_0x545fbe(0x135e)]({'id':_0xd92246[_0x545fbe(0xf80)]['id'],'ids':_0x3f65c0()['map'](_0x863465,'id')})[_0x545fbe(0x2945)][_0x545fbe(0x146b)](function(){_0x202b4d();})['catch'](function(_0x390732){_0x5eb22c(_0x390732);});});}function _0x1ff37b(_0x24a8be){return _0x1e4940(function(_0x2551d7,_0x1ddce6){const _0x515514=a0_0x3bb9;_0x3f65c0()['isEmpty'](_0x24a8be)?_0x2551d7():_0x1d9cec[_0x515514(0xf80)][_0x515514(0x1f53)]({'id':_0xd92246[_0x515514(0xf80)]['id'],'ids':_0x3f65c0()[_0x515514(0x205)](_0x24a8be,'id')})['$promise'][_0x515514(0x146b)](function(){_0x2551d7();})['catch'](function(_0x55c997){_0x1ddce6(_0x55c997);});});}function _0x12b1cc(){const _0x1068c5=_0x5d1945,_0x251d3a=_0x3f65c0()[_0x1068c5(0x2796)](_0xd92246['startingSelectedItems'],_0xd92246[_0x1068c5(0x1ecf)],'id'),_0x4ec6e3=_0x3f65c0()[_0x1068c5(0x2796)](_0xd92246[_0x1068c5(0x1ecf)],_0xd92246['startingSelectedItems'],'id');return _0x1ff37b(_0x251d3a)[_0x1068c5(0x146b)](function(){return _0x5cf668(_0x4ec6e3);})['then'](function(){const _0x37feac=_0x1068c5;_0xd92246[_0x37feac(0x132)]=![],_0xd92246['startingAllowedItems']=angular[_0x37feac(0x235a)](_0xd92246['allowedItems']),_0xd92246[_0x37feac(0xa65)]=angular['copy'](_0xd92246[_0x37feac(0x1ecf)]),_0x5abbf3['success']({'title':_0x37feac(0x4c0),'msg':_0x37feac(0x26be)});})[_0x1068c5(0x129e)](function(_0x17380a){const _0x54183d=_0x1068c5;_0x5abbf3[_0x54183d(0x1980)]({'title':_0x17380a['status']?_0x54183d(0x262a)+_0x17380a[_0x54183d(0x107b)]+_0x54183d(0x1315)+_0x17380a[_0x54183d(0x167f)]:_0x54183d(0xa56),'msg':_0x17380a[_0x54183d(0x107b)]?JSON[_0x54183d(0x10bb)](_0x17380a[_0x54183d(0x524)]):_0x17380a[_0x54183d(0xd5f)]()});});}function _0x57cce6(){_0x1ae4aa['hide']();}}const _0x485463=_0x62d2b3;;_0x19deee['$inject']=[_0x313a4d(0x10e8),'$q','toasty',_0x313a4d(0x247f),_0x313a4d(0xf80),'$translate',_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x19deee(_0xe9f1e1,_0x12746f,_0x4eac86,_0x284499,_0x8140ad,_0x2f2034,_0x1644d7,_0x4a66c0){const _0x365d02=_0x313a4d,_0x1b9dd7=this;_0x1b9dd7[_0x365d02(0x2321)]=_0x1644d7['getCurrentUser'](),_0x1b9dd7['smsQueue']=_0x8140ad,_0x1b9dd7[_0x365d02(0x2514)]=_0x4a66c0,_0x1b9dd7[_0x365d02(0x1fd6)]=[],_0x1b9dd7[_0x365d02(0xc4e)]=[],_0x1b9dd7['selectedItems']=[],_0x1b9dd7[_0x365d02(0x2e8)]=[],_0x1b9dd7['startingSelectedItems']=[],_0x1b9dd7[_0x365d02(0x132)]=![],_0x1b9dd7[_0x365d02(0x1f8a)]={'readOnly':!_0x1b9dd7['crudPermissions'][_0x365d02(0x15f4)],'allowedItems':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x365d02(0x19eb),'line1':_0x365d02(0x19eb),'line2':'','line3':'','labelAll':_0x2f2034[_0x365d02(0xde)](_0x365d02(0x218d)),'labelSelected':_0x2f2034[_0x365d02(0xde)]('APP.SELECTED_TEAMS'),'transferCallback':function(){const _0x39d809=_0x365d02,_0x324e0f=_0x3f65c0()[_0x39d809(0x1883)](_0x1b9dd7[_0x39d809(0xa65)],_0x1b9dd7[_0x39d809(0x1ecf)],'id');_0x1b9dd7[_0x39d809(0x132)]=_0x3f65c0()[_0x39d809(0x2635)](_0x324e0f)?![]:!![];}},_0x1b9dd7[_0x365d02(0x3d4)]=_0x2aa956,_0x1b9dd7[_0x365d02(0x215f)]=_0x18db4c,_0x1b9dd7['closeDialog']=_0x2ae809;function _0x2aa956(){const _0x9e7ab1=_0x365d02;return _0x1644d7[_0x9e7ab1(0x23e0)]('admin')?_0x113931()[_0x9e7ab1(0x129e)](function(_0x3a7210){const _0x244c4d=_0x9e7ab1;_0x4eac86['error']({'title':_0x3a7210[_0x244c4d(0x107b)]?_0x244c4d(0x262a)+_0x3a7210[_0x244c4d(0x107b)]+'\x20-\x20'+_0x3a7210['statusText']:_0x244c4d(0x5a5),'msg':_0x3a7210[_0x244c4d(0x107b)]?JSON[_0x244c4d(0x10bb)](_0x3a7210[_0x244c4d(0x524)]):_0x3a7210[_0x244c4d(0xd5f)]()});}):_0x1b0ea2()[_0x9e7ab1(0x146b)](function(_0x591ce8){const _0xbebb70=_0x9e7ab1;return _0x1b9dd7[_0xbebb70(0x2146)]=_0x591ce8,_0x113931();})['catch'](function(_0x37f647){const _0x46eea5=_0x9e7ab1;_0x4eac86[_0x46eea5(0x1980)]({'title':_0x37f647['status']?_0x46eea5(0x262a)+_0x37f647[_0x46eea5(0x107b)]+_0x46eea5(0x1315)+_0x37f647[_0x46eea5(0x167f)]:'SYSTEM:GET_TEAMS','msg':_0x37f647['status']?JSON[_0x46eea5(0x10bb)](_0x37f647[_0x46eea5(0x524)]):_0x37f647['toString']()});});}function _0x1b0ea2(){return _0x12746f(function(_0x2ca51d,_0x3c4522){const _0x16b491=a0_0x3bb9;_0x284499[_0x16b491(0x1366)][_0x16b491(0x16b4)]({'userProfileId':_0x1b9dd7[_0x16b491(0x2321)]['userProfileId'],'name':'Teams'})[_0x16b491(0x2945)][_0x16b491(0x146b)](function(_0x44762b){const _0x20d4a9=_0x16b491,_0x3d23b1=_0x44762b&&_0x44762b[_0x20d4a9(0x19c7)]?_0x44762b['rows'][0x0]:null;_0x2ca51d(_0x3d23b1);})['catch'](function(_0x593db9){_0x3c4522(_0x593db9);});});}function _0x113931(){return _0x12746f(function(_0x4a37e6,_0x3ddd08){const _0x26bd29=a0_0x3bb9;return _0x20127f()[_0x26bd29(0x146b)](function(_0x375b36){const _0x3538f4=_0x26bd29;return _0x1b9dd7[_0x3538f4(0x1fd6)]=_0x375b36[_0x3538f4(0x19c7)]?_0x375b36[_0x3538f4(0x19c7)]:[],_0x1644d7[_0x3538f4(0x23e0)](_0x3538f4(0x174b))?_0x375b36:_0x1b9dd7[_0x3538f4(0x2146)]?_0x1b9dd7[_0x3538f4(0x2146)][_0x3538f4(0x11d2)]?_0x375b36:_0x19b250():null;})[_0x26bd29(0x146b)](function(_0x4b8476){const _0x4e81d4=_0x26bd29,_0x19c6d2=_0x4b8476&&_0x4b8476[_0x4e81d4(0x19c7)]?_0x4b8476['rows']:[];return _0x1b9dd7['allowedItems']=_0x3f65c0()[_0x4e81d4(0x205)](_0x19c6d2,function(_0x4d1674){const _0x2d52e3=_0x4e81d4;return _0x3f65c0()[_0x2d52e3(0xc84)](_0x1b9dd7[_0x2d52e3(0x1fd6)],{'id':_0x1644d7['hasRole']('admin')||_0x1b9dd7[_0x2d52e3(0x2146)][_0x2d52e3(0x11d2)]?_0x4d1674['id']:_0x4d1674['resourceId']});}),_0x1b9dd7['items'][_0x4e81d4(0x1df5)](function(_0x522efc){const _0x15d52c=_0x4e81d4,_0x49fba2=_0x3f65c0()['find'](_0x1b9dd7[_0x15d52c(0xc4e)],{'id':_0x522efc['id']});_0x1644d7[_0x15d52c(0x23e0)](_0x15d52c(0x174b))?_0x522efc['isValid']=!![]:_0x522efc[_0x15d52c(0x146f)]=typeof _0x49fba2!==_0x15d52c(0x2274)?!![]:![];}),_0x133378();})[_0x26bd29(0x146b)](function(_0x25b7f7){const _0x21bb53=_0x26bd29,_0x4bde50=_0x25b7f7&&_0x25b7f7[_0x21bb53(0x19c7)]?_0x25b7f7[_0x21bb53(0x19c7)]:[];_0x1b9dd7[_0x21bb53(0x1ecf)]=_0x3f65c0()[_0x21bb53(0x205)](_0x4bde50,function(_0x391bc8){const _0x16dd7a=_0x21bb53;return _0x3f65c0()[_0x16dd7a(0xc84)](_0x1b9dd7[_0x16dd7a(0x1fd6)],{'id':_0x391bc8['id']});}),_0x1b9dd7[_0x21bb53(0xa65)]=angular['copy'](_0x1b9dd7[_0x21bb53(0x1ecf)]),_0x1b9dd7['dualMultiselectOptions']['selectedItems']=_0x1b9dd7[_0x21bb53(0x1ecf)],_0x1b9dd7[_0x21bb53(0x1f8a)][_0x21bb53(0x1fd6)]=_0x3f65c0()['differenceBy'](_0x1b9dd7['allowedItems'],_0x1b9dd7[_0x21bb53(0x1f8a)][_0x21bb53(0x1ecf)],'id'),_0x4a37e6();})[_0x26bd29(0x129e)](function(_0x386973){_0x3ddd08(_0x386973);});});}function _0x19b250(){return _0x12746f(function(_0x35a6e0,_0x58350){const _0x4ed207=a0_0x3bb9;return _0x284499[_0x4ed207(0xdcc)][_0x4ed207(0x16b4)]({'sectionId':_0x1b9dd7[_0x4ed207(0x2146)]['id'],'nolimit':!![]})[_0x4ed207(0x2945)][_0x4ed207(0x146b)](function(_0x5ddc3d){_0x35a6e0(_0x5ddc3d);})[_0x4ed207(0x129e)](function(_0x59d80d){_0x58350(_0x59d80d);});});}function _0x133378(){return _0x12746f(function(_0x39ee60,_0x168e97){const _0x558c45=a0_0x3bb9;return _0x284499[_0x558c45(0xf80)][_0x558c45(0x11d1)]({'id':_0x1b9dd7[_0x558c45(0xf80)]['id'],'fields':_0x558c45(0x7a7),'nolimit':!![]})[_0x558c45(0x2945)][_0x558c45(0x146b)](function(_0x175581){_0x39ee60(_0x175581);})[_0x558c45(0x129e)](function(_0x469939){_0x168e97(_0x469939);});});}function _0x20127f(){return _0x12746f(function(_0x40d38a,_0x24d1a8){const _0xdbf57f=a0_0x3bb9;return _0x284499['team'][_0xdbf57f(0x16b4)]({'fields':_0xdbf57f(0x7a7),'nolimit':!![]})['$promise'][_0xdbf57f(0x146b)](function(_0x27df51){_0x40d38a(_0x27df51);})['catch'](function(_0x287e37){_0x24d1a8(_0x287e37);});});}function _0xc3175a(_0x14a2e0){return _0x12746f(function(_0xda3ad0,_0x405947){const _0x10cc58=a0_0x3bb9;_0x3f65c0()[_0x10cc58(0x2635)](_0x14a2e0)?_0xda3ad0():_0x284499[_0x10cc58(0xf80)]['addTeams']({'id':_0x1b9dd7[_0x10cc58(0xf80)]['id'],'ids':_0x3f65c0()['map'](_0x14a2e0,'id')})[_0x10cc58(0x2945)][_0x10cc58(0x146b)](function(){_0xda3ad0();})[_0x10cc58(0x129e)](function(_0x51cee2){_0x405947(_0x51cee2);});});}function _0x4a7d8e(_0x1525e2){return _0x12746f(function(_0x5a0c7a,_0x47aadd){const _0x327738=a0_0x3bb9;_0x3f65c0()[_0x327738(0x2635)](_0x1525e2)?_0x5a0c7a():_0x284499[_0x327738(0xf80)][_0x327738(0xfa4)]({'id':_0x1b9dd7[_0x327738(0xf80)]['id'],'ids':_0x3f65c0()[_0x327738(0x205)](_0x1525e2,'id')})[_0x327738(0x2945)][_0x327738(0x146b)](function(){_0x5a0c7a();})[_0x327738(0x129e)](function(_0x268ba4){_0x47aadd(_0x268ba4);});});}function _0x18db4c(){const _0x463808=_0x365d02,_0x3a4a55=_0x3f65c0()['differenceBy'](_0x1b9dd7[_0x463808(0xa65)],_0x1b9dd7[_0x463808(0x1ecf)],'id'),_0x5adebb=_0x3f65c0()[_0x463808(0x2796)](_0x1b9dd7[_0x463808(0x1ecf)],_0x1b9dd7[_0x463808(0xa65)],'id');return _0x4a7d8e(_0x3a4a55)['then'](function(){return _0xc3175a(_0x5adebb);})[_0x463808(0x146b)](function(){const _0x5d0f22=_0x463808;_0x1b9dd7[_0x5d0f22(0x132)]=![],_0x1b9dd7[_0x5d0f22(0x2e8)]=angular['copy'](_0x1b9dd7['allowedItems']),_0x1b9dd7['startingSelectedItems']=angular['copy'](_0x1b9dd7[_0x5d0f22(0x1ecf)]),_0x4eac86[_0x5d0f22(0x1c75)]({'title':'SUCCESS','msg':_0x5d0f22(0xf08)});})['catch'](function(_0x1f41ce){const _0x30775f=_0x463808;_0x4eac86['error']({'title':_0x1f41ce[_0x30775f(0x107b)]?_0x30775f(0x262a)+_0x1f41ce[_0x30775f(0x107b)]+_0x30775f(0x1315)+_0x1f41ce['statusText']:_0x30775f(0xa56),'msg':_0x1f41ce[_0x30775f(0x107b)]?JSON[_0x30775f(0x10bb)](_0x1f41ce[_0x30775f(0x524)]):_0x1f41ce[_0x30775f(0xd5f)]()});});}function _0x2ae809(){const _0x295fc1=_0x365d02;_0xe9f1e1[_0x295fc1(0x2458)]();}}const _0x38fbf9=_0x19deee;;const _0x338d2d=_0x4acfac['p']+_0x313a4d(0xc9a);;_0x521b6a[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),'$location',_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x214b),_0x313a4d(0x2690),'setting','api','toasty',_0x313a4d(0xa87),_0x313a4d(0xf80),_0x313a4d(0x1366)];function _0x521b6a(_0xe8b7bf,_0x45e4d1,_0x3c2e13,_0x4d5cda,_0x2d8660,_0x3ec684,_0x46ab0a,_0x3158b4,_0x52d845,_0x1a7fac,_0x3c6fcb,_0x4575d5){const _0x4dd36c=_0x313a4d,_0x7082c5=this;_0x7082c5['currentUser']=_0x1a7fac[_0x4dd36c(0xb12)](),_0x7082c5['license']=_0x3ec684,_0x7082c5[_0x4dd36c(0x15b9)]=_0x46ab0a,_0x7082c5[_0x4dd36c(0x2251)]=_0x7082c5['setting'][_0x4dd36c(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x7082c5['location']=_0x45e4d1[_0x4dd36c(0x2414)]()+'://'+_0x45e4d1[_0x4dd36c(0x148e)](),_0x7082c5[_0x4dd36c(0xf80)]=_0x3c6fcb||_0xe8b7bf[_0x4dd36c(0x16a)][_0x4dd36c(0xf80)]||{},_0x7082c5[_0x4dd36c(0x1366)]=_0x4575d5&&_0x4575d5[_0x4dd36c(0x51c)]==0x1?_0x4575d5['rows'][0x0]:null,_0x7082c5[_0x4dd36c(0x2514)]=_0x1a7fac[_0x4dd36c(0xe60)](_0x7082c5[_0x4dd36c(0x1366)]?_0x7082c5[_0x4dd36c(0x1366)][_0x4dd36c(0x2514)]:null),_0x7082c5['hasModulePermissions']={},_0x7082c5[_0x4dd36c(0x1b09)]=_0xe8b7bf['params'][_0x4dd36c(0x13a3)]||0x0,_0x7082c5[_0x4dd36c(0x244)]=_0x34d115,_0x7082c5[_0x4dd36c(0x1027)]=_0x145d11,_0x7082c5['alert']=_0x52d845[_0x4dd36c(0x271e)],_0x7082c5[_0x4dd36c(0x104c)]=_0x4c4e34,_0x7082c5[_0x4dd36c(0x1d91)]=_0x2fe2d8;function _0x34d115(_0x12ea74,_0x8aeae8){const _0x22be02=_0x4dd36c;_0x3c2e13['show']({'controller':_0x22be02(0x1d4d),'controllerAs':'vm','templateUrl':_0x338d2d,'parent':angular[_0x22be02(0x1853)](_0x4d5cda[_0x22be02(0x2586)]),'targetEvent':_0x8aeae8,'clickOutsideToClose':!![],'locals':{'smsQueue':_0x12ea74,'smsQueues':_0x7082c5[_0x22be02(0x1bf4)]?_0x7082c5[_0x22be02(0x1bf4)][_0x22be02(0x19c7)]:[],'crudPermissions':_0x7082c5[_0x22be02(0x2514)]}});}function _0x145d11(_0xe42080,_0x298772){const _0x5a987b=_0x4dd36c;_0x3c2e13[_0x5a987b(0x2615)]({'controller':_0x5a987b(0x20d4),'controllerAs':'vm','templateUrl':_0x1183e8,'parent':angular['element'](_0x4d5cda['body']),'targetEvent':_0x298772,'clickOutsideToClose':!![],'locals':{'smsQueue':_0xe42080,'smsQueues':_0x7082c5[_0x5a987b(0x1bf4)]?_0x7082c5[_0x5a987b(0x1bf4)]['rows']:[],'crudPermissions':_0x7082c5['crudPermissions'],'realtime':![]}});}function _0x4c4e34(){const _0x372d4a=_0x4dd36c;_0xe8b7bf['go'](_0x372d4a(0x68f),{},{'reload':_0x372d4a(0x68f)});}function _0x2fe2d8(){const _0xc4e5d=_0x4dd36c;_0x3158b4[_0xc4e5d(0xf80)]['update']({'id':_0x7082c5['smsQueue']['id']},_0x7082c5[_0xc4e5d(0xf80)])[_0xc4e5d(0x2945)][_0xc4e5d(0x146b)](function(){const _0x5a1251=_0xc4e5d;_0x52d845[_0x5a1251(0x1c75)]({'title':'SmsQueue\x20updated!','msg':_0x7082c5[_0x5a1251(0xf80)][_0x5a1251(0x19eb)]?_0x7082c5['smsQueue']['name']+_0x5a1251(0x6b0):''});})[_0xc4e5d(0x129e)](function(_0x3f5044){const _0x480308=_0xc4e5d;_0x52d845[_0x480308(0x1980)]({'title':_0x3f5044[_0x480308(0x107b)]?_0x480308(0x262a)+_0x3f5044[_0x480308(0x107b)]+_0x480308(0x1315)+_0x3f5044[_0x480308(0x167f)]:_0x480308(0x28cd),'msg':_0x3f5044[_0x480308(0x524)]?JSON[_0x480308(0x10bb)](_0x3f5044[_0x480308(0x524)]):_0x3f5044[_0x480308(0xd5f)]()});});}}const _0x17994a=_0x521b6a;;_0x4b4862[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0x214b),_0x313a4d(0x1bf4),_0x313a4d(0x26b6),_0x313a4d(0x1366),'api',_0x313a4d(0x216c),'toasty',_0x313a4d(0xa87),_0x313a4d(0x2690),'setting'];function _0x4b4862(_0x40ff16,_0x30b843,_0x36fddd,_0x50d8ce,_0x5694fd,_0x57f2fd,_0x3b218b,_0x5cbb63,_0x549947,_0x27fc44,_0x5315f4,_0x9594a2,_0x928332,_0x24c878,_0x232161,_0xc917f0,_0x22bb35){const _0x268cfd=_0x313a4d,_0x5d74dd=this;_0x5d74dd[_0x268cfd(0x2690)]=_0xc917f0,_0x5d74dd[_0x268cfd(0x15b9)]=_0x22bb35,_0x5d74dd[_0x268cfd(0x2321)]=_0x232161[_0x268cfd(0xb12)](),_0x5d74dd[_0x268cfd(0x1bf4)]=_0x549947||{'count':0x0,'rows':[]},_0x5d74dd['userProfile']=_0x27fc44,_0x5d74dd[_0x268cfd(0x1366)]=_0x5315f4&&_0x5315f4[_0x268cfd(0x51c)]==0x1?_0x5315f4[_0x268cfd(0x19c7)][0x0]:null,_0x5d74dd[_0x268cfd(0x2514)]=_0x232161[_0x268cfd(0xe60)](_0x5d74dd[_0x268cfd(0x1366)]?_0x5d74dd[_0x268cfd(0x1366)][_0x268cfd(0x2514)]:null),_0x5d74dd[_0x268cfd(0x768)]=_0x268cfd(0x1bf4),_0x5d74dd['listOrder']='',_0x5d74dd[_0x268cfd(0x214f)]=null,_0x5d74dd[_0x268cfd(0x864)]=[],_0x5d74dd[_0x268cfd(0x1a56)]={'fields':_0x268cfd(0xa22),'sort':'-updatedAt','channel':_0x268cfd(0x929),'limit':0xa,'page':0x1},_0x5d74dd[_0x268cfd(0x280a)]=_0x3f65c0()[_0x268cfd(0x194)]([{'option':_0x268cfd(0x6a7),'value':_0x268cfd(0xb5a)},{'option':_0x268cfd(0xa12),'value':_0x268cfd(0x2472)}],function(_0x370c40){const _0x5113cb=_0x268cfd;return _0x3f65c0()['replace'](_0x370c40[_0x5113cb(0x175d)],new RegExp('\x27','g'),'');}),_0x5d74dd[_0x268cfd(0x23f9)]=_0x2fb041,_0x5d74dd['teamadddialog']=_0x483bde,_0x5d74dd[_0x268cfd(0x1027)]=_0x459cce,_0x5d74dd[_0x268cfd(0xf0a)]=_0x259bec,_0x5d74dd['gotorealtimegoto']=_0x35d0b2,_0x5d74dd[_0x268cfd(0x1c75)]=_0x3e9b7a,_0x5d74dd[_0x268cfd(0x20c3)]=_0x242d71,_0x5d74dd[_0x268cfd(0x157e)]=_0x3280ae,_0x5d74dd['deleteSmsQueue']=_0x4e6175,_0x5d74dd[_0x268cfd(0xc3d)]=_0x19e37f,_0x5d74dd[_0x268cfd(0xfaf)]=_0x14b1ff,_0x5d74dd[_0x268cfd(0x10b3)]=_0x15a399,_0x5d74dd['selectAllSmsQueues']=_0x2b164e;function _0x2fb041(_0x549499){const _0x5be807=_0x268cfd;_0x36fddd['go'](_0x5be807(0x172c),{'id':_0x549499['id'],'smsQueue':_0x549499,'crudPermissions':_0x5d74dd['crudPermissions']});}function _0x483bde(_0x57f4d4,_0x1db658){const _0xa97a7b=_0x268cfd;_0x5694fd[_0xa97a7b(0x2615)]({'controller':_0xa97a7b(0x1d4d),'controllerAs':'vm','templateUrl':_0x338d2d,'parent':angular[_0xa97a7b(0x1853)](_0x57f2fd[_0xa97a7b(0x2586)]),'targetEvent':_0x1db658,'clickOutsideToClose':!![],'locals':{'smsQueue':_0x57f4d4,'smsQueues':_0x5d74dd[_0xa97a7b(0x1bf4)]?_0x5d74dd['smsQueues'][_0xa97a7b(0x19c7)]:[],'crudPermissions':_0x5d74dd[_0xa97a7b(0x2514)]}});}function _0x459cce(_0x4ffc28,_0x492506){const _0x566608=_0x268cfd;_0x5694fd['show']({'controller':_0x566608(0x20d4),'controllerAs':'vm','templateUrl':_0x1183e8,'parent':angular['element'](_0x57f2fd[_0x566608(0x2586)]),'targetEvent':_0x492506,'clickOutsideToClose':!![],'locals':{'smsQueue':_0x4ffc28,'smsQueues':_0x5d74dd[_0x566608(0x1bf4)]?_0x5d74dd[_0x566608(0x1bf4)]['rows']:[],'crudPermissions':_0x5d74dd['crudPermissions'],'realtime':![]}});}function _0x259bec(_0x3f9380,_0x1e9f0e){const _0x3bd15b=_0x268cfd,_0x31c7b3=_0x5694fd['confirm']()[_0x3bd15b(0x1189)](_0x3bd15b(0xdb2)+_0x3f65c0()['startCase'](_0x3bd15b(0xf80))+'?')[_0x3bd15b(0x1cbe)](''+(_0x3f9380['name']||_0x3bd15b(0xf80))+_0x3bd15b(0x252f)+_0x3bd15b(0xe01))[_0x3bd15b(0x4bd)](_0x3bd15b(0x5df))['targetEvent'](_0x1e9f0e)['ok']('OK')[_0x3bd15b(0x6c3)](_0x3bd15b(0x39a));_0x5694fd[_0x3bd15b(0x2615)](_0x31c7b3)[_0x3bd15b(0x146b)](function(){_0x4e6175(_0x3f9380);},function(){const _0x5f2120=_0x3bd15b;console['log'](_0x5f2120(0x39a));});}function _0x35d0b2(){const _0x5eff60=_0x268cfd;if(_0x232161['hasRole']('admin'))_0x36fddd['go']('app.sms.realtime.queues',{});else return _0x9594a2['userProfileSection'][_0x5eff60(0x16b4)]({'userProfileId':_0x232161[_0x5eff60(0xb12)]()['userProfileId'],'sectionId':0x2c6})['$promise']['then'](function(_0x35d6ef){const _0x4ba186=_0x5eff60,_0x58c75e=_0x35d6ef&&_0x35d6ef[_0x4ba186(0x19c7)]?_0x35d6ef[_0x4ba186(0x19c7)][0x0]:null;_0x58c75e&&_0x58c75e[_0x4ba186(0x193e)]?_0x36fddd['go']('app.sms.realtime.queues',{}):_0x24c878[_0x4ba186(0x271e)]({'title':_0x5cbb63[_0x4ba186(0xde)]('STAFF.PERMISSIONS_UNAUTHORIZED_REDIRECT_TITLE'),'msg':_0x5cbb63[_0x4ba186(0xde)](_0x4ba186(0x174a))});})[_0x5eff60(0x129e)](function(_0x3007ce){const _0xff4cd8=_0x5eff60;_0x24c878[_0xff4cd8(0x1980)]({'title':_0x3007ce['status']?_0xff4cd8(0x262a)+_0x3007ce[_0xff4cd8(0x107b)]+_0xff4cd8(0x1315)+_0x3007ce[_0xff4cd8(0x167f)]:'USERPROFILE:GET_SECTION','msg':_0x3007ce[_0xff4cd8(0x107b)]?JSON['stringify'](_0x3007ce[_0xff4cd8(0x524)]):_0x3007ce[_0xff4cd8(0xd5f)]()});});}let _0x5e2ce8=!![],_0x283dd8=0x1;_0x40ff16['$watch'](_0x268cfd(0x2669),function(_0x37bce9,_0x1c5c33){const _0x2446ae=_0x268cfd;_0x5e2ce8?_0x3b218b(function(){_0x5e2ce8=![];}):(!_0x1c5c33&&(_0x283dd8=_0x5d74dd[_0x2446ae(0x1a56)][_0x2446ae(0x844)]),_0x37bce9!==_0x1c5c33&&(_0x5d74dd[_0x2446ae(0x1a56)][_0x2446ae(0x844)]=0x1),!_0x37bce9&&(_0x5d74dd[_0x2446ae(0x1a56)][_0x2446ae(0x844)]=_0x283dd8),_0x5d74dd[_0x2446ae(0x20c3)]());});function _0x3e9b7a(_0x3536ee){const _0x2bb574=_0x268cfd;_0x5d74dd[_0x2bb574(0x1bf4)]=_0x3536ee||{'count':0x0,'rows':[]};}function _0x242d71(){const _0x417001=_0x268cfd;_0x5d74dd[_0x417001(0x1a56)][_0x417001(0x145d)]=(_0x5d74dd[_0x417001(0x1a56)][_0x417001(0x844)]-0x1)*_0x5d74dd[_0x417001(0x1a56)][_0x417001(0x221e)],_0x232161[_0x417001(0x23e0)](_0x417001(0x174b))?_0x5d74dd[_0x417001(0xb9c)]=_0x9594a2['smsQueue'][_0x417001(0x16b4)](_0x5d74dd[_0x417001(0x1a56)],_0x3e9b7a)[_0x417001(0x2945)]:(_0x5d74dd[_0x417001(0x1a56)]['id']=_0x5d74dd[_0x417001(0x26b6)]['id'],_0x5d74dd[_0x417001(0x1a56)][_0x417001(0x2146)]=_0x417001(0xe3),_0x5d74dd[_0x417001(0xb9c)]=_0x9594a2[_0x417001(0x26b6)][_0x417001(0x158f)](_0x5d74dd['query'],_0x3e9b7a)['$promise']);}function _0x3280ae(_0x5d0608,_0x9ed3ce){const _0x429081=_0x268cfd;_0x5694fd[_0x429081(0x2615)]({'controller':_0x429081(0x1c6b),'controllerAs':'vm','templateUrl':_0x4f6864,'parent':angular[_0x429081(0x1853)](_0x57f2fd[_0x429081(0x2586)]),'targetEvent':_0x5d0608,'clickOutsideToClose':!![],'locals':{'smsQueue':_0x9ed3ce,'smsQueues':_0x5d74dd[_0x429081(0x1bf4)][_0x429081(0x19c7)],'license':_0x5d74dd[_0x429081(0x2690)],'setting':_0x5d74dd[_0x429081(0x15b9)],'crudPermissions':_0x5d74dd[_0x429081(0x2514)]}});}function _0x4e6175(_0x47bb51){const _0x3f317e=_0x268cfd;_0x9594a2[_0x3f317e(0xf80)][_0x3f317e(0x1fac)]({'id':_0x47bb51['id']})['$promise'][_0x3f317e(0x146b)](function(){const _0x108a32=_0x3f317e;_0x3f65c0()['remove'](_0x5d74dd['smsQueues'][_0x108a32(0x19c7)],{'id':_0x47bb51['id']}),_0x5d74dd[_0x108a32(0x1bf4)][_0x108a32(0x51c)]-=0x1,!_0x5d74dd[_0x108a32(0x1bf4)][_0x108a32(0x19c7)][_0x108a32(0x402)]&&_0x5d74dd[_0x108a32(0x20c3)](),_0x24c878[_0x108a32(0x1c75)]({'title':_0x3f65c0()[_0x108a32(0x20d1)](_0x108a32(0x743))+'\x20deleted!','msg':_0x47bb51[_0x108a32(0x19eb)]?_0x47bb51['name']+_0x108a32(0x23e3):''});})[_0x3f317e(0x129e)](function(_0x4b027b){const _0x5a9a1e=_0x3f317e;if(_0x4b027b[_0x5a9a1e(0x524)]&&_0x4b027b['data'][_0x5a9a1e(0xcef)]&&_0x4b027b[_0x5a9a1e(0x524)][_0x5a9a1e(0xcef)][_0x5a9a1e(0x402)]){_0x5d74dd[_0x5a9a1e(0xcef)]=_0x4b027b['data'][_0x5a9a1e(0xcef)]||[{'message':_0x4b027b[_0x5a9a1e(0xd5f)](),'type':_0x5a9a1e(0x152b)}];for(let _0x1570af=0x0;_0x1570af<_0x4b027b[_0x5a9a1e(0x524)][_0x5a9a1e(0xcef)]['length'];_0x1570af++){_0x24c878[_0x5a9a1e(0x1980)]({'title':_0x4b027b[_0x5a9a1e(0x524)][_0x5a9a1e(0xcef)][_0x1570af][_0x5a9a1e(0x1142)],'msg':_0x4b027b[_0x5a9a1e(0x524)]['errors'][_0x1570af][_0x5a9a1e(0x7fd)]});}}else _0x24c878['error']({'title':_0x4b027b[_0x5a9a1e(0x107b)]?_0x5a9a1e(0x262a)+_0x4b027b[_0x5a9a1e(0x107b)]+_0x5a9a1e(0x1315)+_0x4b027b['statusText']:_0x5a9a1e(0x152b),'msg':_0x4b027b[_0x5a9a1e(0x524)]?JSON['stringify'](_0x4b027b[_0x5a9a1e(0x524)]['message']):_0x4b027b[_0x5a9a1e(0x7fd)]||_0x4b027b[_0x5a9a1e(0xd5f)]()});});}function _0x19e37f(){const _0x572fec=_0x268cfd,_0x3f10a5=angular[_0x572fec(0x235a)](_0x5d74dd[_0x572fec(0x864)]);return _0x5d74dd[_0x572fec(0x864)]=[],_0x3f10a5;}function _0x14b1ff(_0x502b3b){const _0xe9182e=_0x268cfd,_0x59897f=_0x5694fd[_0xe9182e(0x1e8a)]()[_0xe9182e(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20smsQueues?')[_0xe9182e(0x1cbe)](_0xe9182e(0x16d3)+_0x5d74dd[_0xe9182e(0x864)]['length']+_0xe9182e(0x2452)+_0xe9182e(0xe01))[_0xe9182e(0x4bd)]('delete\x20SmsQueues')['targetEvent'](_0x502b3b)['ok']('OK')[_0xe9182e(0x6c3)](_0xe9182e(0x39a));_0x5694fd['show'](_0x59897f)[_0xe9182e(0x146b)](function(){const _0x522b4d=_0xe9182e;_0x5d74dd['selectedSmsQueues']['forEach'](function(_0xcede60){_0x4e6175(_0xcede60);}),_0x5d74dd[_0x522b4d(0x864)]=[];});}function _0x15a399(){_0x5d74dd['selectedSmsQueues']=[];}function _0x2b164e(){const _0xb3fc83=_0x268cfd;_0x5d74dd[_0xb3fc83(0x864)]=_0x5d74dd[_0xb3fc83(0x1bf4)][_0xb3fc83(0x19c7)];}}const _0x529fa6=_0x4b4862;;_0x6819ee['$inject']=[_0x313a4d(0x921),'$translatePartialLoaderProvider'];function _0x6819ee(_0x3fac62,_0x3be2bc){const _0x50e80c=_0x313a4d;_0x3fac62[_0x50e80c(0x13d6)](_0x50e80c(0x6c1),{'abstract':!![],'url':_0x50e80c(0x1087)})['state'](_0x50e80c(0x68f),{'url':'/smsQueues','views':{'content@app':{'templateUrl':_0x331b28,'controller':'SmsQueuesController\x20as\x20vm'}},'resolve':{'smsQueues':[_0x50e80c(0x362),_0x50e80c(0xa87),function(_0x27abe3,_0x5726c5){const _0x173e38=_0x50e80c;return _0x5726c5[_0x173e38(0x23e0)](_0x173e38(0x174b))?_0x27abe3[_0x173e38(0x2922)](_0x173e38(0x20fc),{'fields':_0x173e38(0xa22),'sort':_0x173e38(0x12f2),'channel':'sms','limit':0xa,'offset':0x0}):_0x27abe3[_0x173e38(0x2922)](_0x173e38(0x938),{'id':_0x5726c5['getCurrentUser']()[_0x173e38(0x209a)],'section':_0x173e38(0xe3),'fields':_0x173e38(0xa22),'sort':_0x173e38(0x12f2),'channel':'sms','limit':0xa,'offset':0x0});}],'userProfile':[_0x50e80c(0x362),_0x50e80c(0xa87),function(_0x24284b,_0x3e7b09){const _0x28309d=_0x50e80c;return _0x3e7b09[_0x28309d(0x23e0)]('admin')?null:_0x24284b[_0x28309d(0x2922)](_0x28309d(0x119a),{'fields':'id,name,crudPermissions','id':_0x3e7b09['getCurrentUser']()[_0x28309d(0x209a)]});}],'userProfileSection':[_0x50e80c(0x362),'Auth',function(_0x4a02e2,_0x3f4901){const _0x36f22c=_0x50e80c;return _0x3f4901[_0x36f22c(0x23e0)](_0x36f22c(0x174b))?null:_0x4a02e2[_0x36f22c(0x2922)](_0x36f22c(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x3f4901[_0x36f22c(0xb12)]()[_0x36f22c(0x209a)],'sectionId':0x2bd});}]},'authenticate':!![],'permissionId':0x2bd,'bodyClass':_0x50e80c(0x929)})[_0x50e80c(0x13d6)](_0x50e80c(0x172c),{'url':_0x50e80c(0x2028),'params':{'smsQueue':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x2bf063,'controller':'SmsQueueController\x20as\x20vm'}},'resolve':{'smsQueue':[_0x50e80c(0x362),'$stateParams',function(_0x32a21f,_0xc914f5){const _0x5c8737=_0x50e80c;return _0x32a21f[_0x5c8737(0x2922)](_0x5c8737(0x20fc),{'fields':_0x5c8737(0xa22),'id':_0xc914f5['id']});}],'userProfileSection':[_0x50e80c(0x362),'Auth',function(_0x4e088d,_0x1ac344){const _0x321425=_0x50e80c;return _0x4e088d[_0x321425(0x2922)](_0x321425(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x1ac344[_0x321425(0xb12)]()[_0x321425(0x209a)],'sectionId':0x2bd});}]},'authenticate':!![],'permissionId':0x2bd,'bodyClass':_0x50e80c(0x929)})[_0x50e80c(0x13d6)](_0x50e80c(0x51a),{'url':_0x50e80c(0x161f),'views':{'content@app':{'templateUrl':_0x2c8a8a,'controller':_0x50e80c(0x25a1)}},'resolve':{'smsAccounts':[_0x50e80c(0x362),_0x50e80c(0xa87),function(_0x2143d0,_0x4e8955){const _0xcc67e7=_0x50e80c;return _0x4e8955[_0xcc67e7(0x23e0)](_0xcc67e7(0x174b))?_0x2143d0[_0xcc67e7(0x2922)](_0xcc67e7(0xe51),{'fields':_0xcc67e7(0x276e),'sort':_0xcc67e7(0x12f2),'limit':0xa,'offset':0x0}):_0x2143d0[_0xcc67e7(0x2922)](_0xcc67e7(0x938),{'id':_0x4e8955['getCurrentUser']()[_0xcc67e7(0x209a)],'section':_0xcc67e7(0xda3),'fields':'createdAt,updatedAt,id,name,key,token,remote,ListId,type,phone,accountSid,authId,authToken,smsMethod,username,password,baseUrl,apiKey,senderString,deliveryReport,waitForTheAssignedAgent,mandatoryDisposition,mandatoryDispositionPauseId,description,receiveUrl,deliveryReportUrl,notificationSound,notificationShake,notificationTemplate,queueTransfer,queueTransferTimeout,agentTransfer,agentTransferTimeout','sort':_0xcc67e7(0x12f2),'limit':0xa,'offset':0x0});}],'userProfile':[_0x50e80c(0x362),_0x50e80c(0xa87),function(_0x109eb2,_0x59e47d){const _0xb895b1=_0x50e80c;return _0x59e47d['hasRole'](_0xb895b1(0x174b))?null:_0x109eb2[_0xb895b1(0x2922)]('userProfile@get',{'fields':'id,name,crudPermissions','id':_0x59e47d[_0xb895b1(0xb12)]()[_0xb895b1(0x209a)]});}],'userProfileSection':[_0x50e80c(0x362),_0x50e80c(0xa87),function(_0x3408c3,_0x266797){const _0x42e045=_0x50e80c;return _0x266797[_0x42e045(0x23e0)](_0x42e045(0x174b))?null:_0x3408c3[_0x42e045(0x2922)]('userProfileSection@get',{'fields':_0x42e045(0x1e64),'userProfileId':_0x266797[_0x42e045(0xb12)]()[_0x42e045(0x209a)],'sectionId':0x2be});}]},'authenticate':!![],'permissionId':0x2be,'bodyClass':_0x50e80c(0x929)})[_0x50e80c(0x13d6)](_0x50e80c(0x2d1),{'url':_0x50e80c(0x2028),'params':{'smsAccount':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x14173b,'controller':_0x50e80c(0x1c99)}},'resolve':{'smsAccount':[_0x50e80c(0x362),_0x50e80c(0x28c8),function(_0x3be188,_0x2d4047){const _0x44e5de=_0x50e80c;return _0x3be188['resolve'](_0x44e5de(0xe51),{'fields':_0x44e5de(0x276e),'id':_0x2d4047['id']});}],'userProfileSection':['apiResolver','Auth',function(_0x5263cc,_0x5579c5){const _0x46bc2e=_0x50e80c;return _0x5263cc[_0x46bc2e(0x2922)](_0x46bc2e(0x27be),{'fields':_0x46bc2e(0x1e64),'userProfileId':_0x5579c5['getCurrentUser']()[_0x46bc2e(0x209a)],'sectionId':0x2be});}]},'authenticate':!![],'permissionId':0x2be,'bodyClass':_0x50e80c(0x929)}),_0x3be2bc[_0x50e80c(0x15bf)](_0x50e80c(0x1ee1));}angular[_0x313a4d(0x2528)](_0x313a4d(0x6c1),['ngCsv','ngPassword','md.data.table',_0x313a4d(0x963),_0x313a4d(0x19e2),_0x313a4d(0x27c1),_0x313a4d(0x195b),'ngAria',_0x313a4d(0xc86),_0x313a4d(0x1f5f),_0x313a4d(0x28a8),_0x313a4d(0xfb2),_0x313a4d(0x1e57),_0x313a4d(0x581),_0x313a4d(0x1bd2),_0x313a4d(0x1792),_0x313a4d(0x1b65),_0x313a4d(0x1626),_0x313a4d(0x2713),_0x313a4d(0x11df),_0x313a4d(0xe81)])[_0x313a4d(0x989)](_0x6819ee)[_0x313a4d(0x28f0)](_0x313a4d(0x16e9),_0x2c9d0b)[_0x313a4d(0x28f0)](_0x313a4d(0x268f),_0x47a2bf)[_0x313a4d(0x28f0)](_0x313a4d(0x1d1e),_0x4b63a8)['controller']('EditSmsAccountAppagentDialogController',_0x53a3e7)[_0x313a4d(0x28f0)]('EditSmsAccountAppamazonlexDialogController',_0x156ed4)[_0x313a4d(0x28f0)](_0x313a4d(0x23b2),_0x55aebb)['controller'](_0x313a4d(0x877),_0x569418)['controller'](_0x313a4d(0x214c),_0x180367)['controller'](_0x313a4d(0x1f68),_0x4ec7ff)[_0x313a4d(0x28f0)](_0x313a4d(0x1a67),_0x5b07fa)[_0x313a4d(0x28f0)](_0x313a4d(0x1b2b),_0x1fa463)['controller']('EditSmsAccountAppnoopDialogController',_0x56c747)['controller'](_0x313a4d(0x12ba),_0x4f8b24)[_0x313a4d(0x28f0)](_0x313a4d(0x1b50),_0x529f6b)[_0x313a4d(0x28f0)](_0x313a4d(0x2456),_0x54d50e)[_0x313a4d(0x28f0)](_0x313a4d(0x22cd),_0x4a6d16)['controller'](_0x313a4d(0x23b9),_0x252d55)[_0x313a4d(0x28f0)](_0x313a4d(0x10d8),_0x4d2442)['controller'](_0x313a4d(0xe3f),_0x33b959)[_0x313a4d(0x28f0)](_0x313a4d(0x2140),_0x269ea6)[_0x313a4d(0x28f0)](_0x313a4d(0x14d3),_0x3b38b4)[_0x313a4d(0x28f0)](_0x313a4d(0x1c6b),_0x4ec5e9)['controller'](_0x313a4d(0x20d4),_0x485463)[_0x313a4d(0x28f0)](_0x313a4d(0x1d4d),_0x38fbf9)[_0x313a4d(0x28f0)](_0x313a4d(0x373),_0x17994a)['controller'](_0x313a4d(0x3e4),_0x529fa6);;const _0x42fe12=_0x4acfac['p']+_0x313a4d(0xf89);;const _0x4c4b41=_0x4acfac['p']+_0x313a4d(0x9dd);;const _0x19d720=_0x4acfac['p']+'src/js/modules/main/apps/openchannel/views/realtime/queues/view.html/view.html';;_0x575ccf[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$q',_0x313a4d(0x1abe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1ee0),'pauses',_0x313a4d(0x23c0),'rpcAgents','api','socket',_0x313a4d(0x1fe4),_0x313a4d(0x1ac0),'userProfile','userProfileSection','Auth',_0x313a4d(0x2690),'$interval'];function _0x575ccf(_0x5c22f4,_0x2faf8c,_0x1b21d9,_0x877312,_0x152170,_0xc82a85,_0x1c45b8,_0x10bc6b,_0x2eb659,_0x20e7ef,_0x38ce43,_0x1f21bb,_0x5dae80,_0x175906,_0xb3819e,_0x40842f,_0x4ce66a,_0x97524a){const _0x243a59=_0x313a4d,_0x5ab071=this,_0x369cf5=[_0x243a59(0x1fce),_0x243a59(0x538),_0x243a59(0x1d01),_0x243a59(0x192a),_0x243a59(0x1125),_0x243a59(0x192a),_0x243a59(0x113f),_0x243a59(0x2bb),'chatPause',_0x243a59(0xcc5),_0x243a59(0x1e9e),_0x243a59(0x17c),'whatsappPause','faxPause','voicePause','chatCapacity','openchannelCapacity',_0x243a59(0xf85),_0x243a59(0x1820),_0x243a59(0x237c),_0x243a59(0x1cf6),_0x243a59(0xaac),_0x243a59(0x247),_0x243a59(0x1025),_0x243a59(0x2e2),_0x243a59(0xfc1),_0x243a59(0x1815),_0x243a59(0xfc3),'openchannelStatus',_0x243a59(0x1fc6),_0x243a59(0x22f6),_0x243a59(0xfdf),_0x243a59(0x15ea),_0x243a59(0x685),'chatStatusTime','openchannelStatusTime',_0x243a59(0x10cd),_0x243a59(0x1f5c),'whatsappStatusTime',_0x243a59(0x47a),_0x243a59(0x1dda),_0x243a59(0x1c72),_0x243a59(0x1e8d),_0x243a59(0x1ca2),_0x243a59(0x4e3),_0x243a59(0x22c3)],_0x1ae418=[_0x243a59(0x5f7),_0x243a59(0xcc5),_0x243a59(0x1e9e),_0x243a59(0x17c),_0x243a59(0x1f9d),_0x243a59(0x25c4),_0x243a59(0x211c),'online'];_0x5ab071[_0x243a59(0x2321)]=_0x40842f['getCurrentUser'](),_0x5ab071[_0x243a59(0xe3e)]=['ringing','inuse',_0x243a59(0x26a7),_0x243a59(0x776),_0x243a59(0x254d)],_0x5ab071['pauses']=_0x1c45b8||{'count':0x0,'rows':[]},_0x5ab071['license']=_0x4ce66a,_0x5ab071['userProfile']=_0x175906,_0x5ab071[_0x243a59(0x1366)]=_0xb3819e&&_0xb3819e[_0x243a59(0x51c)]==0x1?_0xb3819e[_0x243a59(0x19c7)][0x0]:null,_0x5ab071[_0x243a59(0x2514)]=_0x40842f[_0x243a59(0xe60)](_0x5ab071['userProfileSection']?_0x5ab071[_0x243a59(0x1366)][_0x243a59(0x2514)]:null),_0x5ab071[_0x243a59(0x23c0)]=_0x10bc6b?_0x3f65c0()[_0x243a59(0x194)](_0x10bc6b[_0x243a59(0x19c7)]?_0x10bc6b[_0x243a59(0x19c7)]:[],'id'):{},_0x5ab071[_0x243a59(0x2445)]=_0x2eb659?_0x3f65c0()['keyBy'](_0x2eb659[_0x243a59(0x19c7)]?_0x2eb659[_0x243a59(0x19c7)]:[],'id'):{},_0x5ab071[_0x243a59(0x285)]={},_0x5ab071['load']=![],_0x5ab071['order']=[],_0x5ab071[_0x243a59(0x626)]={};for(const _0x3055f4 in _0x5ab071[_0x243a59(0x23c0)]){typeof _0x5ab071[_0x243a59(0x23c0)][_0x3055f4]!==_0x243a59(0x2274)&&(_0x5ab071[_0x243a59(0x23c0)][_0x3055f4][_0x243a59(0x5aa)]=!![]);}_0x5ab071[_0x243a59(0x1a56)]={'limit':0xa,'page':0x1,'order':_0x243a59(0x286a),'globalStatusFilter':'','pauseTypeFilter':''},_0x5ab071[_0x243a59(0x2044)]=_0x5dd782,_0x5ab071['queueAdd']=_0x208093,_0x5ab071['pause']=_0x51b0df,_0x5ab071[_0x243a59(0x693)]=_0x3b3eda,_0x5ab071[_0x243a59(0x232c)]=_0x5e8e9d,_0x5ab071[_0x243a59(0x1eaa)]=_0x37c87f,_0x5ab071['getAgentGlobalStatus']=_0x2745f4,_0x5ab071[_0x243a59(0x2075)]=_0x565565,_0x5ab071[_0x243a59(0x169)]=_0x598315,_0x5ab071[_0x243a59(0x1d53)]=_0x1356d5,_0x5ab071[_0x243a59(0x1db0)]=_0x2e944a,_0x5ab071[_0x243a59(0x1a76)]=_0x14594f,_0x5ab071['onInit']=_0x783172,_0x5ab071[_0x243a59(0x201a)]=_0x305d14,_0x5ab071[_0x243a59(0x483)]=_0x27d5ef,_0x5ab071[_0x243a59(0x1b80)]=_0x5d3133,_0x38ce43['on'](_0x243a59(0x17de),_0x5ab071[_0x243a59(0x1db0)]),_0x38ce43['on'](_0x243a59(0x324),_0x5ab071[_0x243a59(0x1a76)]),_0x38ce43['on'](_0x243a59(0x118c),_0x5ab071[_0x243a59(0x201a)]),_0x38ce43['on']('user:agentcomplete',_0x5ab071['onComplete']),_0x783172();let _0x53cfd2=_0x97524a(function(){const _0x40ab6e=_0x243a59;_0x5ab071['load']&&(_0x5ab071[_0x40ab6e(0x2412)]=![],_0x783172());},0x3e7);function _0x783172(){const _0x319bc0=_0x243a59;_0x5ab071[_0x319bc0(0x2412)]=![];const _0x236b4f=_0x2faf8c['defer']();_0x5ab071[_0x319bc0(0xb9c)]=_0x236b4f[_0x319bc0(0xb9c)],_0x5ab071['filteredAgents']=[],_0x5ab071['order']=[],_0x3f65c0()[_0x319bc0(0x1a04)](_0x5ab071[_0x319bc0(0x23c0)],function(_0xbd2b6b,_0x4b1c07){const _0x4b927f=_0x319bc0;_0x5ab071[_0x4b927f(0x2445)][_0x4b1c07]&&_0x3f65c0()[_0x4b927f(0x168d)](_0xbd2b6b,_0x3f65c0()[_0x4b927f(0x40e)](_0x3f65c0()[_0x4b927f(0x2432)](_0x5ab071['rpcAgents'][_0x4b1c07],_0x1ae418),_0x369cf5)),_0x2745f4(_0xbd2b6b),_0xbd2b6b[_0x4b927f(0x538)]?(_0x5ab071[_0x4b927f(0x202e)][_0x4b927f(0x1f47)](_0xbd2b6b),_0x5ab071[_0x4b927f(0x626)][_0xbd2b6b['internal']]=_0x4b1c07):_0xbd2b6b[_0x4b927f(0xed1)]=_0x3f65c0()[_0x4b927f(0x123)](_0x2deec6()()['format']('x'));});_0x5ab071['query']['globalStatusFilter']&&_0x3f65c0()[_0x319bc0(0x2640)](_0x5ab071[_0x319bc0(0x202e)],function(_0xa96e){const _0x14ace5=_0x319bc0;return _0xa96e[_0x14ace5(0x72b)]!==_0x5ab071[_0x14ace5(0x1a56)]['globalStatusFilter'];});_0x5ab071[_0x319bc0(0x1a56)][_0x319bc0(0x301)]&&_0x3f65c0()[_0x319bc0(0x2640)](_0x5ab071[_0x319bc0(0x202e)],function(_0x41d32b){const _0x392094=_0x319bc0;return _0x41d32b[_0x392094(0x1125)]!==_0x5ab071[_0x392094(0x1a56)]['pauseTypeFilter'];});_0x5ab071[_0x319bc0(0x1a56)][_0x319bc0(0x1dd6)]&&_0x3f65c0()[_0x319bc0(0x2640)](_0x5ab071[_0x319bc0(0x202e)],function(_0x54870f){const _0x24ebd2=_0x319bc0;return _0x54870f['fullname']['toLowerCase']()['indexOf'](_0x5ab071[_0x24ebd2(0x1a56)][_0x24ebd2(0x1dd6)][_0x24ebd2(0x256e)]())<0x0;});_0x5ab071['filteredAgents']=_0x10a586(_0x5ab071[_0x319bc0(0x202e)]);const _0x5cfb02=(_0x5ab071[_0x319bc0(0x1a56)][_0x319bc0(0x844)]-0x1)*_0x5ab071['query'][_0x319bc0(0x221e)];_0x5ab071['paginatedAgents']=_0x3f65c0()['drop'](_0x5ab071['filteredAgents'],_0x5cfb02)[_0x319bc0(0x1298)](0x0,_0x5ab071[_0x319bc0(0x1a56)]['limit']);for(let _0x4e355f=0x0;_0x4e355f<_0x5ab071[_0x319bc0(0x285)][_0x319bc0(0x402)];_0x4e355f+=0x1){_0x5ab071[_0x319bc0(0x206e)][_0x319bc0(0x1f47)](_0x5ab071[_0x319bc0(0x285)][_0x4e355f]['id']);}_0x236b4f['resolve'](),_0x5ab071[_0x319bc0(0x2412)]=!![];}function _0x2e944a(_0x4c43b4){const _0x46f213=_0x243a59;_0x5ab071[_0x46f213(0x23c0)][_0x4c43b4['id']]&&(_0x3f65c0()[_0x46f213(0x168d)](_0x5ab071[_0x46f213(0x23c0)][_0x4c43b4['id']],_0x3f65c0()['pick'](_0x3f65c0()[_0x46f213(0x2432)](_0x4c43b4,_0x1ae418),_0x369cf5)),_0x3f65c0()[_0x46f213(0x168d)](_0x5ab071[_0x46f213(0x2445)][_0x4c43b4['id']],_0x3f65c0()['pick'](_0x3f65c0()[_0x46f213(0x2432)](_0x4c43b4,_0x1ae418),_0x369cf5)),_0x2745f4(_0x5ab071['agents'][_0x4c43b4['id']]));}function _0x14594f(_0x125d64){const _0x3c8f2b=_0x243a59;_0x5ab071[_0x3c8f2b(0x23c0)][_0x125d64['id']]&&(_0x3f65c0()['merge'](_0x5ab071[_0x3c8f2b(0x23c0)][_0x125d64['id']],_0x3f65c0()['pick'](_0x125d64,_0x369cf5)),_0x3f65c0()[_0x3c8f2b(0x168d)](_0x5ab071[_0x3c8f2b(0x2445)][_0x125d64['id']],_0x3f65c0()['pick'](_0x125d64,_0x369cf5)),_0x125d64[_0x3c8f2b(0x192a)]&&(_0x5ab071[_0x3c8f2b(0x23c0)][_0x125d64['id']][_0x3c8f2b(0xed1)]=_0x125d64[_0x3c8f2b(0x192a)],_0x5ab071[_0x3c8f2b(0x2445)][_0x125d64['id']]['globalStatusTime']=_0x125d64[_0x3c8f2b(0x192a)]),_0x2745f4(_0x5ab071[_0x3c8f2b(0x23c0)][_0x125d64['id']]));}function _0x305d14(_0x1d8fc3){const _0x33b890=_0x243a59;_0x5ab071['agents'][_0x5ab071[_0x33b890(0x626)][_0x1d8fc3[_0x33b890(0x1fb9)]]]&&(_0x3f65c0()['merge'](_0x5ab071[_0x33b890(0x23c0)][_0x5ab071[_0x33b890(0x626)][_0x1d8fc3['destaccountcode']]],_0x3f65c0()[_0x33b890(0x40e)](_0x1d8fc3,[_0x33b890(0x1c72),_0x33b890(0x1e8d)])),_0x3f65c0()['merge'](_0x5ab071[_0x33b890(0x2445)][_0x5ab071[_0x33b890(0x626)][_0x1d8fc3[_0x33b890(0x1fb9)]]],_0x3f65c0()['pick'](_0x1d8fc3,[_0x33b890(0x1c72),'destconnectedlinenum'])));}function _0x27d5ef(_0xf8b43b){const _0x476db5=_0x243a59;_0x5ab071[_0x476db5(0x23c0)][_0x5ab071[_0x476db5(0x626)][_0xf8b43b[_0x476db5(0x1fb9)]]]&&(_0x5ab071[_0x476db5(0x23c0)][_0x5ab071[_0x476db5(0x626)][_0xf8b43b[_0x476db5(0x1fb9)]]]=_0x3f65c0()['omit'](_0x5ab071[_0x476db5(0x23c0)][_0x5ab071['agentInternal'][_0xf8b43b[_0x476db5(0x1fb9)]]],[_0x476db5(0x1c72),_0x476db5(0x1e8d)]),_0x5ab071[_0x476db5(0x2445)][_0x5ab071[_0x476db5(0x626)][_0xf8b43b[_0x476db5(0x1fb9)]]]=_0x3f65c0()['omit'](_0x5ab071['rpcAgents'][_0x5ab071['agentInternal'][_0xf8b43b[_0x476db5(0x1fb9)]]],[_0x476db5(0x1c72),'destconnectedlinenum']));}function _0x5dd782(_0x338e38){const _0x478feb=_0x243a59;return _0x20e7ef[_0x478feb(0xe7b)][_0x478feb(0x2044)]({'id':_0x338e38['id'],'device':'realtime','agent_id':_0x338e38['id'],'agent_name':_0x338e38[_0x478feb(0x19eb)]})[_0x478feb(0x2945)][_0x478feb(0x146b)](function(){const _0x4503c7=_0x478feb;_0x1f21bb[_0x4503c7(0x1c75)]({'title':'Agent\x20logout','msg':_0x338e38[_0x4503c7(0x286a)]+_0x4503c7(0x166c)}),_0x5ab071['agents'][_0x338e38['id']]&&(_0x5ab071[_0x4503c7(0x23c0)][_0x338e38['id']]['online']=![]),_0x5ab071[_0x4503c7(0x2445)][_0x338e38['id']]&&(_0x5ab071[_0x4503c7(0x2445)][_0x338e38['id']][_0x4503c7(0x538)]=![]),_0x783172();})[_0x478feb(0x129e)](function(_0x3f84a3){const _0x56d610=_0x478feb;_0x1f21bb[_0x56d610(0x1980)]({'title':_0x3f84a3['status']?_0x56d610(0x262a)+_0x3f84a3[_0x56d610(0x107b)]+_0x56d610(0x1315)+_0x3f84a3[_0x56d610(0x167f)]:_0x56d610(0x23c6),'msg':_0x3f84a3[_0x56d610(0x524)]?JSON[_0x56d610(0x10bb)](_0x3f84a3[_0x56d610(0x524)][_0x56d610(0x7fd)]):_0x3f84a3[_0x56d610(0xd5f)]()});});}function _0x51b0df(_0x5a026e,_0x2e5b42){const _0x5ba061=_0x243a59;return _0x20e7ef['user']['pause']({'id':_0x5a026e['id'],'type':_0x2e5b42})[_0x5ba061(0x2945)][_0x5ba061(0x146b)](function(_0x5156df){const _0x70d52d=_0x5ba061;_0x5ab071[_0x70d52d(0x23c0)][_0x5a026e['id']]&&_0x3f65c0()['merge'](_0x5ab071[_0x70d52d(0x23c0)][_0x5a026e['id']],_0x3f65c0()['pick'](_0x5156df,_0x369cf5)),_0x5ab071[_0x70d52d(0x2445)][_0x5a026e['id']]&&_0x3f65c0()[_0x70d52d(0x168d)](_0x5ab071[_0x70d52d(0x2445)][_0x5a026e['id']],_0x3f65c0()[_0x70d52d(0x40e)](_0x5156df,_0x369cf5)),_0x2745f4(_0x5ab071[_0x70d52d(0x23c0)][_0x5a026e['id']]);})[_0x5ba061(0x129e)](function(_0x363a27){const _0x397126=_0x5ba061;_0x1f21bb[_0x397126(0x1980)]({'title':_0x363a27[_0x397126(0x107b)]?'API:'+_0x363a27[_0x397126(0x107b)]+_0x397126(0x1315)+_0x363a27[_0x397126(0x167f)]:_0x397126(0x23c6),'msg':_0x363a27[_0x397126(0x524)]?JSON['stringify'](_0x363a27['data'][_0x397126(0x7fd)]):_0x363a27[_0x397126(0xd5f)]()});});}function _0x3b3eda(_0x1fe077){const _0x44b3ab=_0x243a59;return _0x20e7ef[_0x44b3ab(0xe7b)][_0x44b3ab(0x974)]({'id':_0x1fe077['id']})[_0x44b3ab(0x2945)][_0x44b3ab(0x146b)](function(_0x6cc668){const _0x4f0097=_0x44b3ab;_0x5ab071['agents'][_0x1fe077['id']]&&_0x3f65c0()[_0x4f0097(0x168d)](_0x5ab071[_0x4f0097(0x23c0)][_0x1fe077['id']],_0x3f65c0()[_0x4f0097(0x40e)](_0x6cc668,_0x369cf5)),_0x5ab071[_0x4f0097(0x2445)][_0x1fe077['id']]&&_0x3f65c0()[_0x4f0097(0x168d)](_0x5ab071['rpcAgents'][_0x1fe077['id']],_0x3f65c0()['pick'](_0x6cc668,_0x369cf5)),_0x2745f4(_0x5ab071[_0x4f0097(0x23c0)][_0x1fe077['id']]);})[_0x44b3ab(0x129e)](function(_0x425723){const _0x2551fe=_0x44b3ab;_0x1f21bb[_0x2551fe(0x1980)]({'title':_0x425723[_0x2551fe(0x107b)]?_0x2551fe(0x262a)+_0x425723[_0x2551fe(0x107b)]+_0x2551fe(0x1315)+_0x425723['statusText']:_0x2551fe(0x23c6),'msg':_0x425723[_0x2551fe(0x524)]?JSON[_0x2551fe(0x10bb)](_0x425723[_0x2551fe(0x524)][_0x2551fe(0x7fd)]):_0x425723['toString']()});});}function _0x5e8e9d(_0x103859){const _0x4db8d9=_0x243a59;_0x877312['show'](_0x877312[_0x4db8d9(0x861)]()[_0x4db8d9(0xa70)](!![])[_0x4db8d9(0x1189)](_0x4db8d9(0x2783))[_0x4db8d9(0x1cbe)](_0x4db8d9(0x1f77))['ok']('Ok')[_0x4db8d9(0x1f27)](_0x103859));}function _0x208093(_0x1d31a8,_0x3252d9){const _0x277cad=_0x243a59;_0x877312[_0x277cad(0x2615)]({'controller':_0x277cad(0x2610),'controllerAs':'vm','templateUrl':_0xbb22f1,'parent':angular[_0x277cad(0x1853)](_0x152170['body']),'targetEvent':_0x3252d9,'clickOutsideToClose':!![],'locals':{'agent':_0x1d31a8,'agents':[],'channel':_0x277cad(0x7d9),'direction':_0x277cad(0x7b0),'crudPermissions':_0x5ab071[_0x277cad(0x2514)]}});}function _0x565565(_0x1afba0){const _0xf74f43=_0x243a59;return _0x1afba0===_0xf74f43(0x1e3e)||_0x1afba0===_0xf74f43(0x1127);}function _0x598315(_0x1f1d10){const _0x23036e=_0x243a59;return _0x1f1d10===_0x23036e(0x1e3e);}function _0x1356d5(_0x4e82f9){const _0xca6762=_0x243a59;return _0x4e82f9===_0xca6762(0x1127);}function _0x5eadc3(_0x2a016b){const _0x5fbb7e=_0x243a59;return _0x2a016b==='idle'||_0x2a016b===_0x5fbb7e(0x207d)||_0x2a016b===_0x5fbb7e(0x85d)||_0x2a016b===_0x5fbb7e(0xad4)||_0x3f65c0()[_0x5fbb7e(0x1b36)](_0x2a016b);}function _0x37c87f(_0x47c115,_0x1b101f){const _0xb567f7=_0x243a59;if(_0x1b101f===_0xb567f7(0xe6)){if(_0x47c115[_0x1b101f+_0xb567f7(0x74e)]!==_0xb567f7(0xb09))return _0x47c115[_0x1b101f+_0xb567f7(0x74e)];if(_0x47c115[_0x1b101f+_0xb567f7(0xde0)])return _0x47c115[_0x1b101f+_0xb567f7(0x74e)]='pause',_0xb567f7(0x1e3e);return _0xb567f7(0xb09);}else{if(_0x47c115[_0x1b101f+'Pause'])return _0x47c115[_0x1b101f+_0xb567f7(0x74e)]=_0xb567f7(0x1e3e),_0xb567f7(0x1e3e);return _0x47c115[_0x1b101f+_0xb567f7(0x74e)];}}function _0x2745f4(_0x2d5cbd){const _0x47e196=_0x243a59;let _0x51a963=_0x47e196(0x207d);if(_0x3f65c0()['every']([_0x37c87f(_0x2d5cbd,_0x47e196(0xe6)),_0x37c87f(_0x2d5cbd,_0x47e196(0xa7f)),_0x37c87f(_0x2d5cbd,_0x47e196(0x56b)),_0x37c87f(_0x2d5cbd,_0x47e196(0x7d9)),_0x37c87f(_0x2d5cbd,'sms'),_0x37c87f(_0x2d5cbd,_0x47e196(0xff9)),_0x37c87f(_0x2d5cbd,'fax')],_0x565565))_0x51a963=_0x47e196(0x1e3e);else{if(_0x3f65c0()['some']([_0x37c87f(_0x2d5cbd,_0x47e196(0xe6)),_0x37c87f(_0x2d5cbd,_0x47e196(0xa7f)),_0x37c87f(_0x2d5cbd,_0x47e196(0x56b)),_0x37c87f(_0x2d5cbd,_0x47e196(0x7d9)),_0x37c87f(_0x2d5cbd,'sms'),_0x37c87f(_0x2d5cbd,_0x47e196(0xff9)),_0x37c87f(_0x2d5cbd,_0x47e196(0x22d9))],_0x565565))_0x51a963=_0x47e196(0x1127);else _0x3f65c0()[_0x47e196(0xb14)]([_0x37c87f(_0x2d5cbd,_0x47e196(0xe6)),_0x37c87f(_0x2d5cbd,_0x47e196(0xa7f)),_0x37c87f(_0x2d5cbd,_0x47e196(0x56b)),_0x37c87f(_0x2d5cbd,'openchannel'),_0x37c87f(_0x2d5cbd,_0x47e196(0x929)),_0x37c87f(_0x2d5cbd,'whatsapp'),_0x37c87f(_0x2d5cbd,_0x47e196(0x22d9))],_0x5eadc3)?_0x51a963=_0x47e196(0xb09):_0x51a963='busy';}_0x2d5cbd[_0x47e196(0x72b)]!==_0x51a963&&(_0x2d5cbd[_0x47e196(0x72b)]=_0x51a963,_0x2d5cbd[_0x47e196(0x5aa)]?(_0x2d5cbd[_0x47e196(0x5aa)]=![],_0x2d5cbd['globalStatusTime']=_0x565565(_0x51a963)?_0x3f65c0()[_0x47e196(0x123)](_0x2deec6()(_0x2d5cbd[_0x47e196(0x192a)])['format']('x')):_0x3f65c0()['max']([_0x2d5cbd['voiceStatusTime'],_0x2d5cbd[_0x47e196(0x1a22)],_0x2d5cbd[_0x47e196(0x10cd)],_0x2d5cbd[_0x47e196(0x1f5c)],_0x2d5cbd['whatsappStatusTime'],_0x2d5cbd[_0x47e196(0x6cb)],_0x2d5cbd['faxStatusTime']])):_0x2d5cbd[_0x47e196(0xed1)]=_0x3f65c0()['toNumber'](_0x2deec6()()[_0x47e196(0x22b0)]('x')));}function _0x10a586(_0x37f49a){const _0x4221cc=_0x243a59,_0x1aa47d=_0x3f65c0()[_0x4221cc(0xd4d)](_0x5ab071[_0x4221cc(0x1a56)][_0x4221cc(0x206e)],'-')?'desc':_0x4221cc(0x195);return _0x3f65c0()[_0x4221cc(0x979)](_0x37f49a,[_0x5ab071[_0x4221cc(0x1a56)][_0x4221cc(0x206e)][_0x4221cc(0x5f4)]('-','')],[_0x1aa47d]);}function _0x49a4bd(){const _0x5435f2=_0x243a59;_0x53cfd2&&(_0x97524a[_0x5435f2(0x6c3)](_0x53cfd2),_0x53cfd2=null);}function _0x5d3133(_0x30c012,_0x58276e){const _0x52cea4=_0x243a59;return _0x20e7ef[_0x52cea4(0xe7b)][_0x52cea4(0x18e1)]({'id':_0x30c012['id']},{'screenrecording':_0x58276e})['$promise'][_0x52cea4(0x129e)](function(_0x2de889){const _0x481c2d=_0x52cea4;_0x1f21bb['error']({'title':_0x2de889[_0x481c2d(0x107b)]?_0x481c2d(0x262a)+_0x2de889[_0x481c2d(0x107b)]+_0x481c2d(0x1315)+_0x2de889[_0x481c2d(0x167f)]:'api.user.update','msg':_0x2de889[_0x481c2d(0x524)]?JSON[_0x481c2d(0x10bb)](_0x2de889['data']['message']):_0x2de889[_0x481c2d(0xd5f)]()});});}_0x5c22f4[_0x243a59(0x16ad)](_0x243a59(0x116f),function(){const _0x518af0=_0x243a59;_0x38ce43[_0x518af0(0x1c5f)](_0x518af0(0x17de)),_0x38ce43['removeAllListeners'](_0x518af0(0x324)),_0x38ce43[_0x518af0(0x1c5f)](_0x518af0(0x118c)),_0x38ce43[_0x518af0(0x1c5f)](_0x518af0(0x198f)),_0x49a4bd();});}const _0x345284=_0x575ccf;;const _0x25f87f=_0x4acfac['p']+_0x313a4d(0x8aa);;const _0x5531ae=_0x4acfac['p']+'src/js/modules/main/apps/openchannel/views/openchannelQueues/edit/agentadd/agentadd.html/agentadd.html';;_0x133999[_0x313a4d(0x11c2)]=['$scope','$timeout','$mdDialog','$q',_0x313a4d(0x4d8),_0x313a4d(0xa0a),'queues',_0x313a4d(0x21af),_0x313a4d(0x247f),_0x313a4d(0x279d),_0x313a4d(0x1fe4),_0x313a4d(0x26b6),_0x313a4d(0x1366),'Auth'];function _0x133999(_0x512f69,_0x46360a,_0x561483,_0x47dd94,_0xd19ce7,_0x5b2311,_0x249d32,_0x261b1a,_0xc7b8f3,_0x709e4e,_0x3ee798,_0x508a3f,_0x24b959,_0x5db4eb){const _0x4dc908=_0x313a4d,_0x4e90ca=this,_0x573d5a=[_0x4dc908(0x2398),_0x4dc908(0xbdb),'waiting','talking',_0x4dc908(0x166f),_0x4dc908(0x1e38),_0x4dc908(0x7fd),_0x4dc908(0x1095),_0x4dc908(0x1a69),_0x4dc908(0x13c4),'paused'];_0x4e90ca['currentUser']=_0x5db4eb[_0x4dc908(0xb12)](),_0x4e90ca[_0x4dc908(0x51c)]=_0x249d32[_0x4dc908(0x51c)]?_0x249d32[_0x4dc908(0x51c)]:0x0,_0x4e90ca[_0x4dc908(0x1b32)]=_0x249d32?_0x3f65c0()[_0x4dc908(0x194)](_0x249d32[_0x4dc908(0x19c7)]?_0x249d32['rows']:[],'id'):{},_0x4e90ca[_0x4dc908(0x21af)]=_0x261b1a?_0x3f65c0()[_0x4dc908(0x194)](_0x261b1a[_0x4dc908(0x19c7)]?_0x261b1a[_0x4dc908(0x19c7)]:[],'id'):{},_0x4e90ca['userProfile']=_0x508a3f,_0x4e90ca[_0x4dc908(0x1366)]=_0x24b959&&_0x24b959['count']==0x1?_0x24b959[_0x4dc908(0x19c7)][0x0]:null,_0x4e90ca[_0x4dc908(0x2514)]=_0x5db4eb[_0x4dc908(0xe60)](_0x4e90ca['userProfileSection']?_0x4e90ca['userProfileSection']['crudPermissions']:null);_0x5db4eb[_0x4dc908(0x23e0)](_0x4dc908(0x174b))?_0x4e90ca[_0x4dc908(0x1a56)]={'type':_0x4dc908(0x7b0),'sort':_0x4dc908(0x12f2),'limit':0xa,'page':0x1}:_0x4e90ca[_0x4dc908(0x1a56)]={'id':_0x4e90ca[_0x4dc908(0x2321)]['id'],'channel':'openchannel','type':'inbound','sort':'-updatedAt','limit':0xa,'page':0x1};_0x4e90ca[_0x4dc908(0x1c75)]=_0x33b009,_0x4e90ca[_0x4dc908(0xc53)]=_0x37c3ac,_0x4e90ca['createOrEditOpenchannelQueue']=_0x2fbad3,_0x4e90ca[_0x4dc908(0x13c)]=_0x3954b6,_0x4e90ca[_0x4dc908(0x2801)]=_0x19c4fd,_0x4e90ca[_0x4dc908(0x1db0)]=_0x4949ae,_0x4e90ca[_0x4dc908(0x285f)]=_0x4314f5,_0x4e90ca[_0x4dc908(0x2240)]=_0x549620,_0x4e90ca['onPause']=_0x4c911b,_0x4e90ca[_0x4dc908(0x1c6c)]=_0x25540c,_0x4e90ca[_0x4dc908(0x600)]=_0x168447,_0x4e90ca[_0x4dc908(0x20de)]=_0xcfd130,_0x4e90ca[_0x4dc908(0x206e)]=[],_0x3f65c0()[_0x4dc908(0x1a04)](_0x4e90ca[_0x4dc908(0x1b32)],function(_0x46ed05){const _0x412a02=_0x4dc908;_0x4e90ca['order'][_0x412a02(0x1f47)](_0x46ed05['id']);}),_0x709e4e['on'](_0x4dc908(0x1d9d),_0x4e90ca[_0x4dc908(0x1db0)]),_0x709e4e['on'](_0x4dc908(0x27e),_0x4e90ca['onSaveMember']),_0x709e4e['on'](_0x4dc908(0x594),_0x4e90ca[_0x4dc908(0x2240)]),_0x709e4e['on'](_0x4dc908(0x324),_0x4e90ca[_0x4dc908(0x1028)]),_0x709e4e['on'](_0x4dc908(0x1d3e),_0x4e90ca[_0x4dc908(0x600)]),_0x709e4e['on'](_0x4dc908(0x109d),_0x4e90ca['onLogin']);function _0xcfd130(){const _0x19c555=_0x4dc908,_0x22f324=[];return _0x3f65c0()['forIn'](_0x4e90ca[_0x19c555(0x1b32)],function(_0x340f1b,_0x13d985){const _0x3bfccf=_0x19c555;_0x340f1b['paused']=0x0,_0x340f1b['loggedInDb']=0x0,_0x4e90ca['queues'][_0x13d985][_0x3bfccf(0x23c0)]={},_0x22f324[_0x3bfccf(0x1f47)](_0xc7b8f3[_0x3bfccf(0x2755)]['getMembers']({'id':_0x13d985})['$promise']),_0x4e90ca['rpcQueues'][_0x13d985]&&_0x3f65c0()[_0x3bfccf(0x168d)](_0x340f1b,_0x3f65c0()['pick'](_0x4e90ca[_0x3bfccf(0x21af)][_0x13d985],_0x573d5a));}),_0x47dd94['all'](_0x22f324)[_0x19c555(0x146b)](function(_0x3ee4da){const _0x526ab9=_0x19c555;for(let _0x907522=0x0;_0x907522<_0x3ee4da[_0x526ab9(0x402)];_0x907522+=0x1){for(let _0x4d636c=0x0;_0x4d636c<_0x3ee4da[_0x907522][_0x526ab9(0x19c7)][_0x526ab9(0x402)];_0x4d636c++){_0x3ee4da[_0x907522][_0x526ab9(0x19c7)][_0x4d636c][_0x526ab9(0x1e3d)]&&_0x4e90ca['queues'][_0x3ee4da[_0x907522]['rows'][_0x4d636c][_0x526ab9(0x22be)]]['paused']++,_0x4e90ca['queues'][_0x3ee4da[_0x907522][_0x526ab9(0x19c7)][_0x4d636c][_0x526ab9(0x22be)]][_0x526ab9(0x1899)]++,_0x4e90ca[_0x526ab9(0x1b32)][_0x3ee4da[_0x907522][_0x526ab9(0x19c7)][_0x4d636c]['OpenchannelQueueId']]['agents'][_0x3ee4da[_0x907522][_0x526ab9(0x19c7)][_0x4d636c]['UserId']]=_0x3ee4da[_0x907522][_0x526ab9(0x19c7)][_0x4d636c][_0x526ab9(0x22be)];}}})[_0x19c555(0x129e)](function(_0x318f3f){const _0x23a90c=_0x19c555;console[_0x23a90c(0x1980)](_0x318f3f);});}function _0x4949ae(_0x136130){const _0xeb74d6=_0x4dc908;_0x4e90ca['queues'][_0x136130['id']]&&_0x3f65c0()[_0xeb74d6(0x168d)](_0x4e90ca[_0xeb74d6(0x1b32)][_0x136130['id']],_0x3f65c0()[_0xeb74d6(0x40e)](_0x136130,_0x573d5a));}function _0x4314f5(_0x372115){const _0x4cc235=_0x4dc908;_0x4e90ca[_0x4cc235(0x1b32)][_0x372115[_0x4cc235(0x22be)]]&&_0x4e90ca['queues'][_0x372115[_0x4cc235(0x22be)]][_0x4cc235(0x1899)]++;}function _0x549620(_0x45c2d0){const _0x2a3a0f=_0x4dc908;_0x4e90ca[_0x2a3a0f(0x1b32)][_0x45c2d0[_0x2a3a0f(0x22be)]]&&_0x4e90ca[_0x2a3a0f(0x1b32)][_0x45c2d0[_0x2a3a0f(0x22be)]]['loggedInDb']--;}function _0x4c911b(_0x3d838e){const _0x43280f=_0x4dc908;console[_0x43280f(0x1a74)](_0x43280f(0x1028),_0x3d838e);if(_0x3d838e[_0x43280f(0x211c)])_0x3f65c0()['forIn'](_0x4e90ca[_0x43280f(0x1b32)],function(_0x2e5d8a){const _0x4494c0=_0x43280f;_0x2e5d8a[_0x4494c0(0x23c0)][_0x3d838e['id']]&&(_0x2e5d8a[_0x4494c0(0x1e3d)]+=0x1,console[_0x4494c0(0x1a74)](_0x4494c0(0x1e3e)));});else!_0x3d838e['voicePause']&&_0x3f65c0()['forIn'](_0x4e90ca[_0x43280f(0x1b32)],function(_0x220c6c){const _0x2daf5f=_0x43280f;_0x220c6c['agents'][_0x3d838e['id']]&&(_0x220c6c['paused']>0x0&&(_0x220c6c[_0x2daf5f(0x1e3d)]-=0x1,console[_0x2daf5f(0x1a74)](_0x2daf5f(0x974))));});}function _0x25540c(_0x54abce){const _0x5d6198=_0x4dc908;console[_0x5d6198(0x1a74)](_0x5d6198(0x414),_0x54abce),_0x4e90ca[_0x5d6198(0x1b32)][_0x54abce[_0x5d6198(0x22be)]]&&(_0x4e90ca['queues'][_0x54abce[_0x5d6198(0x22be)]][_0x5d6198(0x1899)]+=0x1,_0x4e90ca[_0x5d6198(0x1b32)][_0x54abce[_0x5d6198(0x22be)]][_0x5d6198(0x23c0)][_0x54abce[_0x5d6198(0xea2)]]=_0x54abce['OpenchannelQueueId']);}function _0x168447(_0x422a48){const _0x26935=_0x4dc908;console[_0x26935(0x1a74)]('onLogout',_0x422a48),_0x4e90ca[_0x26935(0x1b32)][_0x422a48['OpenchannelQueueId']]&&_0x4e90ca[_0x26935(0x1b32)][_0x422a48[_0x26935(0x22be)]][_0x26935(0x1899)]>0x0&&(_0x4e90ca[_0x26935(0x1b32)][_0x422a48[_0x26935(0x22be)]]['loggedInDb']-=0x1,delete _0x4e90ca[_0x26935(0x1b32)][_0x422a48['OpenchannelQueueId']][_0x26935(0x23c0)][_0x422a48[_0x26935(0xea2)]]);}let _0x191c83=!![],_0x567fff=0x1;_0x512f69[_0x4dc908(0x21e8)](_0x4dc908(0x2669),function(_0x2561b5,_0x358f17){const _0x16f469=_0x4dc908;_0x191c83?_0x46360a(function(){_0x191c83=![];}):(!_0x358f17&&(_0x567fff=_0x4e90ca[_0x16f469(0x1a56)][_0x16f469(0x844)]),_0x2561b5!==_0x358f17&&(_0x4e90ca[_0x16f469(0x1a56)][_0x16f469(0x844)]=0x1),!_0x2561b5&&(_0x4e90ca['query']['page']=_0x567fff),_0x4e90ca['getQueues']());});function _0x33b009(_0x2ef6eb){const _0x6716da=_0x4dc908;_0x4e90ca[_0x6716da(0x51c)]=_0x2ef6eb[_0x6716da(0x51c)],_0x4e90ca[_0x6716da(0x1b32)]=_0x2ef6eb?_0x3f65c0()['keyBy'](_0x2ef6eb[_0x6716da(0x19c7)]?_0x2ef6eb['rows']:[],'id'):{};if(_0x2ef6eb[_0x6716da(0x19c7)]){_0x4e90ca[_0x6716da(0x206e)]=[];for(let _0x4c27f1=0x0;_0x4c27f1<_0x2ef6eb[_0x6716da(0x19c7)][_0x6716da(0x402)];_0x4c27f1++){_0x4e90ca[_0x6716da(0x206e)][_0x6716da(0x1f47)](_0x2ef6eb['rows'][_0x4c27f1]['id']);}}_0xcfd130();}function _0x37c3ac(){const _0x216d7c=_0x4dc908;_0x4e90ca[_0x216d7c(0x1a56)][_0x216d7c(0x145d)]=(_0x4e90ca['query'][_0x216d7c(0x844)]-0x1)*_0x4e90ca[_0x216d7c(0x1a56)]['limit'],_0x5db4eb[_0x216d7c(0x23e0)]('admin')?_0x4e90ca[_0x216d7c(0xb9c)]=_0xc7b8f3[_0x216d7c(0x2755)][_0x216d7c(0x16b4)](_0x4e90ca[_0x216d7c(0x1a56)],_0x33b009)[_0x216d7c(0x2945)]:(_0x4e90ca[_0x216d7c(0x1a56)]['id']=_0x4e90ca['userProfile']['id'],_0x4e90ca[_0x216d7c(0x1a56)][_0x216d7c(0x2146)]=_0x216d7c(0x8d8),_0x4e90ca[_0x216d7c(0xb9c)]=_0xc7b8f3[_0x216d7c(0x26b6)][_0x216d7c(0x158f)](_0x4e90ca[_0x216d7c(0x1a56)],_0x33b009)[_0x216d7c(0x2945)]);}function _0x2fbad3(_0x258da8,_0x27e9cc){const _0x23feba=_0x4dc908;_0x561483['show']({'controller':'CreateOrEditOpenchannelQueueDialogController','controllerAs':'vm','templateUrl':_0x25f87f,'parent':angular[_0x23feba(0x1853)](_0xd19ce7['body']),'targetEvent':_0x258da8,'clickOutsideToClose':!![],'locals':{'openchannelQueue':_0x27e9cc,'openchannelQueues':_0x3f65c0()[_0x23feba(0x81b)](_0x4e90ca[_0x23feba(0x1b32)]),'license':null,'setting':null,'crudPermissions':_0x4e90ca[_0x23feba(0x2514)]}});}function _0x3954b6(_0x5bf414,_0x5cb74a){const _0x40037a=_0x4dc908;_0x561483[_0x40037a(0x2615)]({'controller':_0x40037a(0x19af),'controllerAs':'vm','templateUrl':_0x5531ae,'parent':angular[_0x40037a(0x1853)](_0xd19ce7[_0x40037a(0x2586)]),'targetEvent':_0x5bf414,'clickOutsideToClose':!![],'locals':{'openchannelQueue':_0x5cb74a,'openchannelQueues':_0x4e90ca[_0x40037a(0x1b32)]?_0x4e90ca['queues'][_0x40037a(0x19c7)]:[],'realtime':![],'crudPermissions':_0x4e90ca['crudPermissions']}});}function _0x19c4fd(_0x10a051){const _0x41b84c=_0x4dc908;return _0xc7b8f3['openchannelQueue'][_0x41b84c(0x18e1)](_0x10a051)[_0x41b84c(0x2945)][_0x41b84c(0x146b)](function(){const _0x1fd273=_0x41b84c;_0x3ee798[_0x1fd273(0x1c75)]({'title':_0x1fd273(0x5f1),'msg':_0x10a051[_0x1fd273(0x19eb)]?_0x10a051[_0x1fd273(0x19eb)]+_0x1fd273(0x6b0):''});})[_0x41b84c(0x129e)](function(_0x28fb9c){const _0x2252da=_0x41b84c;_0x3ee798[_0x2252da(0x1980)]({'title':_0x2252da(0x2174),'msg':_0x28fb9c[_0x2252da(0x7fd)]});});}_0x512f69[_0x4dc908(0x16ad)](_0x4dc908(0x116f),function(){const _0x84b482=_0x4dc908;_0x709e4e['removeAllListeners'](_0x84b482(0x1d9d)),_0x709e4e[_0x84b482(0x1c5f)](_0x84b482(0x594)),_0x709e4e[_0x84b482(0x1c5f)](_0x84b482(0x27e)),_0x709e4e[_0x84b482(0x1c5f)](_0x84b482(0x324)),_0x709e4e[_0x84b482(0x1c5f)](_0x84b482(0x1d3e)),_0x709e4e[_0x84b482(0x1c5f)](_0x84b482(0x109d));});}const _0x40a119=_0x133999;;function _0x3c92f1(){const _0x13c0ac=_0x313a4d;return{'status':{'registered':_0x13c0ac(0xdf4),'unregistered':_0x13c0ac(0x4c7),'lagged':_0x13c0ac(0x4c7),'reachable':_0x13c0ac(0xdf4),'unreachable':_0x13c0ac(0x4c7),'unknown':_0x13c0ac(0xc4b)},'state':{'unknown':'grey-fg\x20icon-phone-hangup','not_inuse':'green-300-fg\x20icon-phone-hangup','inuse':_0x13c0ac(0x255c),'busy':_0x13c0ac(0xb3c),'invalid':_0x13c0ac(0x1fd7),'unavailable':_0x13c0ac(0x1fd7),'ringing':_0x13c0ac(0x1172),'ringinuse':_0x13c0ac(0x1172),'onhold':_0x13c0ac(0x119f)},'channelStatus':{'ring':_0x13c0ac(0x1172),'up':_0x13c0ac(0x1668),'hangup':'red-300-fg\x20icon-phone-hangup'},'channelStatusOut':{'ring':'blue-300-fg\x20icon-phone-outgoing','up':'green-300-fg\x20icon-phone-in-talk','hangup':_0x13c0ac(0x135d)}};}const _0x495a24=_0x3c92f1;;_0x286eff[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),'socket'];function _0x286eff(_0xf9b8dd,_0x5223fc,_0x98d237){const _0x313fa0=_0x313a4d,_0x24f4d6=this;_0x98d237[_0x313fa0(0x194a)](),_0x98d237[_0x313fa0(0x2e3)](),_0x24f4d6[_0x313fa0(0x1b09)]=0x0;switch(_0x5223fc['current'][_0x313fa0(0x19eb)]){case _0x313fa0(0x2da):_0x24f4d6[_0x313fa0(0x1b09)]=0x0;break;case _0x313fa0(0x2f8):_0x24f4d6['selectedTab']=0x1;break;default:_0x24f4d6[_0x313fa0(0x1b09)]=0x0,_0x5223fc['go'](_0x313fa0(0x2da));}_0xf9b8dd[_0x313fa0(0x21e8)]('vm.selectedTab',function(_0x289aeb,_0xb38e49){const _0x482f7a=_0x313fa0;if(_0x289aeb!==_0xb38e49)switch(_0x289aeb){case 0x0:_0x5223fc['go'](_0x482f7a(0x2da));break;case 0x1:_0x5223fc['go'](_0x482f7a(0x2f8));break;default:_0x5223fc['go']('app.openchannel.realtime.agents');}});}const _0x3d4ecd=_0x286eff;;_0x5243b8[_0x313a4d(0x11c2)]=['$stateProvider'];function _0x5243b8(_0x224f78){const _0xbd3013=_0x313a4d;_0x224f78['state']('app.openchannel.realtime',{'url':_0xbd3013(0x178b),'views':{'content@app':{'templateUrl':_0x42fe12,'controller':_0xbd3013(0x24f)}},'resolve':{'userProfile':[_0xbd3013(0x362),_0xbd3013(0xa87),function(_0x4c673e,_0x4b8ac2){const _0x5aea22=_0xbd3013;return _0x4b8ac2[_0x5aea22(0x23e0)](_0x5aea22(0x174b))?null:_0x4c673e[_0x5aea22(0x2922)](_0x5aea22(0x119a),{'fields':'id,name,crudPermissions','id':_0x4b8ac2['getCurrentUser']()[_0x5aea22(0x209a)]});}],'userProfileSection':['apiResolver',_0xbd3013(0xa87),function(_0xb84531,_0x2c0f84){const _0x48eb52=_0xbd3013;return _0x2c0f84[_0x48eb52(0x23e0)](_0x48eb52(0x174b))?null:_0xb84531[_0x48eb52(0x2922)](_0x48eb52(0x27be),{'fields':_0x48eb52(0x1e64),'userProfileId':_0x2c0f84[_0x48eb52(0xb12)]()[_0x48eb52(0x209a)],'sectionId':0x32a});}]},'authenticate':!![],'permissionId':0x32a})[_0xbd3013(0x13d6)](_0xbd3013(0x2da),{'url':_0xbd3013(0x1507),'controller':_0xbd3013(0x1e4b),'templateUrl':_0x4c4b41,'resolve':{'pauses':[_0xbd3013(0x362),function(_0x3ad314){const _0x16e123=_0xbd3013;return _0x3ad314[_0x16e123(0x2922)](_0x16e123(0x3f6),{'nolimit':!![]});}],'agents':[_0xbd3013(0x362),_0xbd3013(0xa87),function(_0x3ba19a,_0x357989){const _0x382001=_0xbd3013;return _0x357989[_0x382001(0x23e0)]('admin')?_0x3ba19a[_0x382001(0x2922)](_0x382001(0x2484),{'fields':_0x382001(0x2869),'role':_0x382001(0x1755),'sort':_0x382001(0x286a),'nolimit':!![]}):_0x3ba19a['resolve']('userProfile@getResources',{'id':_0x357989[_0x382001(0xb12)]()[_0x382001(0x209a)],'section':'Agents','fields':_0x382001(0x2869),'role':_0x382001(0x1755),'sort':'fullname','nolimit':!![]});}],'userProfile':[_0xbd3013(0x362),_0xbd3013(0xa87),function(_0x2a976e,_0x3e7e1e){const _0x3d3951=_0xbd3013;return _0x3e7e1e[_0x3d3951(0x23e0)](_0x3d3951(0x174b))?null:_0x2a976e['resolve'](_0x3d3951(0x119a),{'fields':_0x3d3951(0x227),'id':_0x3e7e1e[_0x3d3951(0xb12)]()[_0x3d3951(0x209a)]});}],'userProfileSection':['apiResolver',_0xbd3013(0xa87),function(_0x48cf80,_0x582d96){const _0x5ad9b1=_0xbd3013;return _0x582d96[_0x5ad9b1(0x23e0)]('admin')?null:_0x48cf80[_0x5ad9b1(0x2922)](_0x5ad9b1(0x27be),{'fields':_0x5ad9b1(0x1e64),'userProfileId':_0x582d96['getCurrentUser']()[_0x5ad9b1(0x209a)],'sectionId':0xca});}],'rpcAgents':[_0xbd3013(0x362),function(_0x1de9e7){const _0x2576df=_0xbd3013;return _0x1de9e7[_0x2576df(0x2922)](_0x2576df(0x19ab));}]},'authenticate':!![],'permissionId':0x32a})['state']('app.openchannel.realtime.queues',{'url':_0xbd3013(0xf15),'controller':_0xbd3013(0x1091),'templateUrl':_0x19d720,'resolve':{'queues':[_0xbd3013(0x362),'Auth',function(_0x4ce9eb,_0x3b0e0f){const _0x3a8893=_0xbd3013;return _0x3b0e0f['hasRole'](_0x3a8893(0x174b))?_0x4ce9eb['resolve'](_0x3a8893(0x385),{'type':_0x3a8893(0x7b0),'sort':'-updatedAt','limit':0xa,'offset':0x0}):_0x4ce9eb['resolve'](_0x3a8893(0x938),{'id':_0x3b0e0f[_0x3a8893(0xb12)]()[_0x3a8893(0x209a)],'section':_0x3a8893(0x8d8),'channel':'openchannel','type':_0x3a8893(0x7b0),'sort':_0x3a8893(0x12f2),'limit':0xa,'page':0x1});}],'userProfile':[_0xbd3013(0x362),_0xbd3013(0xa87),function(_0x2c0e86,_0x37222e){const _0x31fb36=_0xbd3013;return _0x37222e[_0x31fb36(0x23e0)]('admin')?null:_0x2c0e86[_0x31fb36(0x2922)](_0x31fb36(0x119a),{'fields':_0x31fb36(0x227),'id':_0x37222e[_0x31fb36(0xb12)]()[_0x31fb36(0x209a)]});}],'userProfileSection':[_0xbd3013(0x362),_0xbd3013(0xa87),function(_0x8d2009,_0x59175f){const _0x12ac80=_0xbd3013;return _0x59175f[_0x12ac80(0x23e0)](_0x12ac80(0x174b))?null:_0x8d2009[_0x12ac80(0x2922)](_0x12ac80(0x27be),{'fields':_0x12ac80(0x1e64),'userProfileId':_0x59175f[_0x12ac80(0xb12)]()[_0x12ac80(0x209a)],'sectionId':0x321});}],'rpcQueues':[_0xbd3013(0x362),function(_0xa9cbe0){const _0x18f33f=_0xbd3013;return _0xa9cbe0[_0x18f33f(0x2922)](_0x18f33f(0x1bd4));}]},'authenticate':!![],'permissionId':0x32a});}angular[_0x313a4d(0x2528)]('app.openchannel.realtime',[])['config'](_0x5243b8)[_0x313a4d(0x28f0)](_0x313a4d(0x19f4),_0x345284)['controller']('QueuesOpenchannelRealtimeController',_0x40a119)[_0x313a4d(0x1750)](_0x313a4d(0x1ac0),_0x495a24)[_0x313a4d(0x28f0)](_0x313a4d(0xf91),_0x3d4ecd);;const _0x154e0c=_0x4acfac['p']+_0x313a4d(0xed);;const _0x408671=_0x4acfac['p']+_0x313a4d(0xbeb);;const _0x5bf622=_0x4acfac['p']+_0x313a4d(0x68c);;const _0x200e72=_0x4acfac['p']+'src/js/modules/main/apps/openchannel/views/openchannelAccounts/edit/view.html/view.html';;_0x341a09['$inject']=[_0x313a4d(0x910),'$state',_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),'toasty',_0x313a4d(0x1690),'openchannelAccount',_0x313a4d(0x247f),'Auth',_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x341a09(_0x4d0b84,_0x78d870,_0x4db412,_0x2c7fcf,_0x4482be,_0x18f741,_0x491e31,_0xf2c64c,_0x522d86,_0x3fdb9b,_0x2babed,_0x404504,_0x392a0e,_0x246eac){const _0x504fa=_0x313a4d,_0x134c78=this;_0x134c78[_0x504fa(0x2321)]=_0x2babed[_0x504fa(0xb12)](),_0x134c78['errors']=[],_0x134c78['setting']=_0x392a0e,_0x134c78[_0x504fa(0x2690)]=_0x404504,_0x134c78[_0x504fa(0x2514)]=_0x246eac,_0x134c78['hasModulePermissions']={},_0x134c78[_0x504fa(0x2251)]=_0x134c78[_0x504fa(0x15b9)]&&_0x134c78[_0x504fa(0x15b9)][_0x504fa(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x134c78[_0x504fa(0x1189)]=_0x504fa(0x1d65),_0x134c78[_0x504fa(0xbd7)]=angular[_0x504fa(0x235a)](_0x522d86),_0x134c78['openchannelAccounts']=_0xf2c64c,_0x134c78[_0x504fa(0x44e)]=![];!_0x134c78[_0x504fa(0xbd7)]&&(_0x134c78[_0x504fa(0xbd7)]={'waitForTheAssignedAgent':0xa,'notificationSound':!![],'queueTransferTimeout':0x12c,'agentTransferTimeout':0x12c},_0x134c78['title']=_0x504fa(0x6d6),_0x134c78[_0x504fa(0x44e)]=!![]);_0x78d870['params']['id']&&(_0x134c78['openchannelAccount'][_0x504fa(0x534)]=_0x78d870[_0x504fa(0x16a)]['id']);_0x134c78['addNewOpenchannelAccount']=_0x2ce249,_0x134c78['saveOpenchannelAccount']=_0x2e8b85,_0x134c78[_0x504fa(0xa68)]=_0x5f2e06,_0x134c78['getDateFromString']=_0x3e50a5,_0x134c78[_0x504fa(0x13f3)]=_0x141aaa,_0x2babed['hasRole'](_0x504fa(0x174b))?_0x3fdb9b[_0x504fa(0xf03)][_0x504fa(0x16b4)]({'fields':_0x504fa(0x7a7),'sort':_0x504fa(0x19eb)})[_0x504fa(0x2945)][_0x504fa(0x146b)](function(_0x109995){const _0x35e939=_0x504fa;_0x134c78['lists']=_0x109995[_0x35e939(0x19c7)]||[];})[_0x504fa(0x129e)](function(_0x176260){const _0x5249e0=_0x504fa;_0x491e31[_0x5249e0(0x1980)]({'title':_0x176260[_0x5249e0(0x107b)]?'API:'+_0x176260['status']+_0x5249e0(0x1315)+_0x176260['statusText']:_0x5249e0(0x11b3),'msg':_0x176260[_0x5249e0(0x524)]?JSON[_0x5249e0(0x10bb)](_0x176260[_0x5249e0(0x524)]):_0x176260[_0x5249e0(0xd5f)]()});}):_0x3fdb9b['cmList'][_0x504fa(0x16b4)]({'fields':_0x504fa(0x7a7),'sort':_0x504fa(0x19eb)})['$promise']['then'](function(_0x5a029b){const _0x39f08f=_0x504fa;_0x134c78[_0x39f08f(0x1324)]=_0x5a029b[_0x39f08f(0x19c7)]||[];})[_0x504fa(0x146b)](function(){const _0x3afbba=_0x504fa;return _0x3fdb9b['userProfileSection']['get']({'userProfileId':_0x134c78[_0x3afbba(0x2321)]['userProfileId'],'sectionId':0x12d})[_0x3afbba(0x2945)];})[_0x504fa(0x146b)](function(_0x43dedb){const _0x54e6ac=_0x504fa,_0x5419e7=_0x43dedb&&_0x43dedb[_0x54e6ac(0x19c7)]?_0x43dedb[_0x54e6ac(0x19c7)][0x0]:null;if(!_0x5419e7){const _0xbf1554=[];let _0x3a78b0=null;_0x134c78[_0x54e6ac(0xbd7)]&&(_0x3a78b0=_0x3f65c0()[_0x54e6ac(0xc84)](_0x134c78[_0x54e6ac(0x1324)],{'id':Number(_0x134c78[_0x54e6ac(0xbd7)][_0x54e6ac(0xb7c)])}));for(let _0x45ade6=0x0;_0x45ade6<_0x134c78['lists']['length'];_0x45ade6++){_0x3a78b0&&_0x134c78[_0x54e6ac(0x1324)][_0x45ade6]['id']===_0x3a78b0['id']&&(_0x134c78['lists'][_0x45ade6][_0x54e6ac(0x8ff)]=![],_0xbf1554[_0x54e6ac(0x1f47)](_0x134c78[_0x54e6ac(0x1324)][_0x45ade6]));}_0x134c78['lists']=_0xbf1554;}else{if(!_0x5419e7[_0x54e6ac(0x11d2)])return _0x3fdb9b[_0x54e6ac(0xdcc)][_0x54e6ac(0x16b4)]({'sectionId':_0x5419e7['id']})[_0x54e6ac(0x2945)]['then'](function(_0x2dfdc6){const _0x4d14a9=_0x54e6ac,_0x6935f5=_0x3f65c0()[_0x4d14a9(0x205)](_0x2dfdc6[_0x4d14a9(0x19c7)],function(_0x549290){const _0x58dc0f=_0x4d14a9;return _0x3f65c0()[_0x58dc0f(0xc84)](_0x134c78[_0x58dc0f(0x1324)],{'id':_0x549290['resourceId']});});let _0x9c29a8=null;_0x134c78['openchannelAccount']&&(_0x9c29a8=_0x3f65c0()[_0x4d14a9(0xc84)](_0x134c78[_0x4d14a9(0x1324)],{'id':Number(_0x134c78[_0x4d14a9(0xbd7)][_0x4d14a9(0xb7c)])}));if(_0x9c29a8&&!_0x3f65c0()[_0x4d14a9(0x1360)](_0x6935f5,['id',_0x9c29a8['id']])){const _0xc9fb1e=_0x3f65c0()['find'](_0x134c78[_0x4d14a9(0x1324)],{'id':_0x9c29a8['id']});_0xc9fb1e[_0x4d14a9(0x8ff)]=![],_0x6935f5[_0x4d14a9(0x1f47)](_0xc9fb1e);}_0x134c78[_0x4d14a9(0x1324)]=_0x6935f5;});}})[_0x504fa(0x129e)](function(_0x22df6d){const _0x2caafc=_0x504fa;_0x491e31['error']({'title':_0x22df6d[_0x2caafc(0x107b)]?'API:'+_0x22df6d[_0x2caafc(0x107b)]+_0x2caafc(0x1315)+_0x22df6d[_0x2caafc(0x167f)]:_0x2caafc(0x1a2f),'msg':_0x22df6d['data']?JSON[_0x2caafc(0x10bb)](_0x22df6d[_0x2caafc(0x524)]):_0x22df6d['toString']()});});function _0x2ce249(){const _0x362142=_0x504fa;_0x134c78[_0x362142(0xcef)]=[],_0x3fdb9b[_0x362142(0xbd7)][_0x362142(0x1e3)](_0x134c78[_0x362142(0xbd7)])[_0x362142(0x2945)][_0x362142(0x146b)](function(_0x2a3051){const _0x1cd070=_0x362142;_0x134c78[_0x1cd070(0x1690)][_0x1cd070(0xb3d)](_0x2a3051[_0x1cd070(0x2488)]()),_0x491e31[_0x1cd070(0x1c75)]({'title':_0x1cd070(0x165c),'msg':_0x134c78[_0x1cd070(0xbd7)][_0x1cd070(0x19eb)]?_0x134c78[_0x1cd070(0xbd7)][_0x1cd070(0x19eb)]+'\x20has\x20been\x20created!':''}),_0x141aaa(_0x2a3051);})[_0x362142(0x129e)](function(_0x104bd4){const _0x58e6ff=_0x362142;if(_0x104bd4[_0x58e6ff(0x524)]&&_0x104bd4[_0x58e6ff(0x524)][_0x58e6ff(0xcef)]&&_0x104bd4['data'][_0x58e6ff(0xcef)]['length']){_0x134c78[_0x58e6ff(0xcef)]=_0x104bd4[_0x58e6ff(0x524)][_0x58e6ff(0xcef)]||[{'message':_0x104bd4['toString'](),'type':'api.openchannelAccount.save'}];for(let _0x56c4de=0x0;_0x56c4de<_0x104bd4[_0x58e6ff(0x524)]['errors'][_0x58e6ff(0x402)];_0x56c4de+=0x1){_0x491e31[_0x58e6ff(0x1980)]({'title':_0x104bd4[_0x58e6ff(0x524)][_0x58e6ff(0xcef)][_0x56c4de][_0x58e6ff(0x1142)],'msg':_0x104bd4[_0x58e6ff(0x524)][_0x58e6ff(0xcef)][_0x56c4de][_0x58e6ff(0x7fd)]});}}else _0x491e31['error']({'title':_0x104bd4[_0x58e6ff(0x107b)]?_0x58e6ff(0x262a)+_0x104bd4[_0x58e6ff(0x107b)]+_0x58e6ff(0x1315)+_0x104bd4[_0x58e6ff(0x167f)]:_0x58e6ff(0x2157),'msg':_0x104bd4[_0x58e6ff(0x524)]?JSON[_0x58e6ff(0x10bb)](_0x104bd4['data'][_0x58e6ff(0x7fd)]):_0x104bd4[_0x58e6ff(0xd5f)]()});});}function _0x2e8b85(){const _0x23c7f9=_0x504fa;_0x134c78[_0x23c7f9(0xcef)]=[],_0x3fdb9b[_0x23c7f9(0xbd7)][_0x23c7f9(0x18e1)]({'id':_0x134c78[_0x23c7f9(0xbd7)]['id']},_0x134c78['openchannelAccount'])[_0x23c7f9(0x2945)]['then'](function(_0x598455){const _0x35329a=_0x23c7f9,_0x921a25=_0x3f65c0()[_0x35329a(0xc84)](_0x134c78[_0x35329a(0x1690)],{'id':_0x598455['id']});_0x921a25&&_0x3f65c0()[_0x35329a(0x168d)](_0x921a25,_0x3f65c0()[_0x35329a(0x40e)](_0x598455[_0x35329a(0x2488)](),_0x3f65c0()[_0x35329a(0x627)](_0x921a25))),_0x491e31[_0x35329a(0x1c75)]({'title':_0x35329a(0x33a),'msg':_0x134c78['openchannelAccount'][_0x35329a(0x19eb)]?_0x134c78['openchannelAccount']['name']+_0x35329a(0x24db):''}),_0x141aaa(_0x598455);})[_0x23c7f9(0x129e)](function(_0x4b763d){const _0x4bd655=_0x23c7f9;if(_0x4b763d[_0x4bd655(0x524)]&&_0x4b763d[_0x4bd655(0x524)]['errors']&&_0x4b763d[_0x4bd655(0x524)][_0x4bd655(0xcef)][_0x4bd655(0x402)]){_0x134c78['errors']=_0x4b763d[_0x4bd655(0x524)][_0x4bd655(0xcef)]||[{'message':_0x4b763d[_0x4bd655(0xd5f)](),'type':_0x4bd655(0x15b8)}];for(let _0xa82207=0x0;_0xa82207<_0x4b763d[_0x4bd655(0x524)][_0x4bd655(0xcef)][_0x4bd655(0x402)];_0xa82207++){_0x491e31[_0x4bd655(0x1980)]({'title':_0x4b763d['data']['errors'][_0xa82207][_0x4bd655(0x1142)],'msg':_0x4b763d['data'][_0x4bd655(0xcef)][_0xa82207]['message']});}}else _0x491e31[_0x4bd655(0x1980)]({'title':_0x4b763d[_0x4bd655(0x107b)]?_0x4bd655(0x262a)+_0x4b763d[_0x4bd655(0x107b)]+_0x4bd655(0x1315)+_0x4b763d[_0x4bd655(0x167f)]:_0x4bd655(0x15b8),'msg':_0x4b763d[_0x4bd655(0x524)]?JSON['stringify'](_0x4b763d['data'][_0x4bd655(0x7fd)]):_0x4b763d[_0x4bd655(0xd5f)]()});});}function _0x5f2e06(_0x3913cd){const _0x129744=_0x504fa;_0x134c78[_0x129744(0xcef)]=[];const _0x28f7e4=_0x2c7fcf[_0x129744(0x1e8a)]()[_0x129744(0x1189)](_0x129744(0x1d64))[_0x129744(0x80f)](_0x129744(0x22ec))[_0x129744(0x4bd)]('Delete\x20OpenchannelAccount')['ok'](_0x129744(0x25de))[_0x129744(0x6c3)](_0x129744(0xcf0))[_0x129744(0x1f27)](_0x3913cd);_0x2c7fcf['show'](_0x28f7e4)[_0x129744(0x146b)](function(){const _0x526e88=_0x129744;_0x3fdb9b[_0x526e88(0xbd7)]['delete']({'id':_0x134c78['openchannelAccount']['id']})['$promise'][_0x526e88(0x146b)](function(){const _0x464713=_0x526e88;_0x3f65c0()[_0x464713(0x2640)](_0x134c78[_0x464713(0x1690)],{'id':_0x134c78[_0x464713(0xbd7)]['id']}),_0x491e31[_0x464713(0x1c75)]({'title':'OpenchannelAccount\x20properly\x20deleted!','msg':(_0x134c78['openchannelAccount'][_0x464713(0x19eb)]||_0x464713(0xbd7))+'\x20has\x20been\x20deleted!'}),_0x141aaa(_0x134c78[_0x464713(0xbd7)]);})[_0x526e88(0x129e)](function(_0x9dba85){const _0x313043=_0x526e88;if(_0x9dba85[_0x313043(0x524)]&&_0x9dba85[_0x313043(0x524)][_0x313043(0xcef)]&&_0x9dba85[_0x313043(0x524)][_0x313043(0xcef)]['length']){_0x134c78[_0x313043(0xcef)]=_0x9dba85[_0x313043(0x524)]['errors']||[{'message':_0x9dba85[_0x313043(0xd5f)](),'type':_0x313043(0x26a3)}];for(let _0x2b651f=0x0;_0x2b651f<_0x9dba85['data'][_0x313043(0xcef)]['length'];_0x2b651f++){_0x491e31['error']({'title':_0x9dba85[_0x313043(0x524)][_0x313043(0xcef)][_0x2b651f][_0x313043(0x1142)],'msg':_0x9dba85[_0x313043(0x524)]['errors'][_0x2b651f]['message']});}}else _0x491e31['error']({'title':_0x9dba85[_0x313043(0x107b)]?'API:'+_0x9dba85[_0x313043(0x107b)]+'\x20-\x20'+_0x9dba85[_0x313043(0x167f)]:_0x313043(0x26a3),'msg':_0x9dba85[_0x313043(0x524)]?JSON[_0x313043(0x10bb)](_0x9dba85[_0x313043(0x524)][_0x313043(0x7fd)]):_0x9dba85[_0x313043(0x7fd)]||_0x9dba85['toString']()});});},function(){});}function _0x3e50a5(_0x14cbbf){return _0x14cbbf===null?undefined:new Date(_0x14cbbf);}function _0x141aaa(_0xfd15b1){const _0x5aa200=_0x504fa;_0x2c7fcf[_0x5aa200(0x2458)](_0xfd15b1);}}const _0x23bde7=_0x341a09;;const _0x45b077=_0x4acfac['p']+'src/js/modules/main/apps/openchannel/views/openchannelAccounts/edit/apps/agent/dialog.html/dialog.html';;const _0x18ab5a=_0x4acfac['p']+_0x313a4d(0x1bea);;const _0x9962b5=_0x4acfac['p']+'src/js/modules/main/apps/openchannel/views/openchannelAccounts/edit/apps/close/dialog.html/dialog.html';;const _0x64e06=_0x4acfac['p']+_0x313a4d(0xf72);;const _0x3174c0=_0x4acfac['p']+_0x313a4d(0x22dd);;const _0x8035fa=_0x4acfac['p']+_0x313a4d(0xc0b);;const _0x480a44=_0x4acfac['p']+_0x313a4d(0x11ec);;const _0x2f8c25=_0x4acfac['p']+'src/js/modules/main/apps/openchannel/views/openchannelAccounts/edit/apps/queue/dialog.html/dialog.html';;const _0x31dbc0=_0x4acfac['p']+'src/js/modules/main/apps/openchannel/views/openchannelAccounts/edit/apps/system/dialog.html/dialog.html';;const _0x466ac1=_0x4acfac['p']+_0x313a4d(0x2716);;_0x4849e3[_0x313a4d(0x11c2)]=[_0x313a4d(0x247f),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1fe4),_0x313a4d(0xa87)];const _0x413dba={'agent':_0x45b077,'autoreply':_0x18ab5a,'close':_0x9962b5,'gotoif':_0x64e06,'gotop':_0x3174c0,'interval':_0x8035fa,'noop':_0x480a44,'queue':_0x2f8c25,'system':_0x31dbc0,'tag':_0x466ac1};function _0x4849e3(_0xefd62,_0x5baf8b,_0x491091,_0x37c925,_0x35dea9){const _0x45ad38=_0x313a4d,_0x4acd25=this;_0x4acd25[_0x45ad38(0x2321)]=_0x35dea9['getCurrentUser'](),_0x4acd25[_0x45ad38(0xbd7)]={},_0x4acd25['openchannelAccountApps']={'count':0x0,'rows':[]},_0x4acd25['selectedOpenchannelAccountApps']=[],_0x4acd25['crudPermissions'],_0x4acd25[_0x45ad38(0x1a56)]={'sort':'priority'},_0x4acd25[_0x45ad38(0x8b0)]=_0x3f65c0()['reject'](_0x3f65c0()[_0x45ad38(0x939)]([{'app':_0x45ad38(0xeaa),'appType':_0x45ad38(0xeaa),'type':_0x45ad38(0xeaa),'icon':_0x45ad38(0x1205),'interval':_0x45ad38(0x1559),'isApp':!![],'fields':[{'title':_0x45ad38(0x16b7),'name':_0x45ad38(0x2293),'type':_0x45ad38(0xa8d),'required':!![],'param':0x0},{'title':_0x45ad38(0x90b),'name':_0x45ad38(0x90b),'type':'select','defaultValue':_0x45ad38(0x2383),'values':[{'option':_0x45ad38(0x3d5),'value':_0x45ad38(0x17c9)},{'option':'English','value':'\x27en\x27'},{'option':'German','value':_0x45ad38(0x1491)},{'option':_0x45ad38(0x98f),'value':_0x45ad38(0x9f9)},{'option':_0x45ad38(0xae7),'value':_0x45ad38(0x2374)},{'option':_0x45ad38(0x12ea),'value':_0x45ad38(0xbe9)},{'option':_0x45ad38(0x12f),'value':'\x27id\x27'},{'option':_0x45ad38(0xf90),'value':_0x45ad38(0x27d7)},{'option':_0x45ad38(0x11cd),'value':'\x27ko\x27'},{'option':_0x45ad38(0x1d32),'value':'\x27nl\x27'},{'option':'Norwegian','value':_0x45ad38(0x74f)},{'option':'Portuguese\x20(European)','value':'\x27pt\x27'},{'option':_0x45ad38(0x1e34),'value':_0x45ad38(0x1505)},{'option':_0x45ad38(0x25d4),'value':_0x45ad38(0x18d0)},{'option':_0x45ad38(0x2081),'value':_0x45ad38(0x1717)},{'option':_0x45ad38(0x1449),'value':_0x45ad38(0x136c)},{'option':_0x45ad38(0x13bf),'value':_0x45ad38(0x289e)},{'option':_0x45ad38(0x8d3),'value':_0x45ad38(0x16cc)},{'option':_0x45ad38(0x1f35),'value':'\x27zh-HK\x27'},{'option':_0x45ad38(0x1cde),'value':_0x45ad38(0x1ca7)}],'required':!![],'param':0x1},{'title':_0x45ad38(0xaf3),'name':_0x45ad38(0xaf3),'type':'textarea','maxlength':0xff,'required':![],'param':0x2,'help':!![]}]},{'app':_0x45ad38(0x9bb),'appType':_0x45ad38(0xa46),'type':'dialogflowv2','icon':_0x45ad38(0x1205),'interval':_0x45ad38(0x1559),'isApp':!![],'fields':[{'title':_0x45ad38(0x1bdb),'name':_0x45ad38(0x153a),'type':'text','required':!![],'param':0x0},{'title':_0x45ad38(0x4ff),'name':_0x45ad38(0x1392),'type':_0x45ad38(0xa8d),'required':!![],'param':0x1},{'title':_0x45ad38(0x1590),'name':'privateKey','type':_0x45ad38(0x2350),'required':!![],'param':0x2},{'title':_0x45ad38(0x90b),'name':_0x45ad38(0x90b),'type':_0x45ad38(0xa5f),'defaultValue':_0x45ad38(0x2383),'values':[{'value':_0x45ad38(0x1a86),'option':_0x45ad38(0x196f)},{'value':_0x45ad38(0x16cc),'option':'Chinese\x20(Simplified)'},{'value':_0x45ad38(0x1ca7),'option':_0x45ad38(0x1cde)},{'value':_0x45ad38(0x17c9),'option':'Danish'},{'value':_0x45ad38(0x7ae),'option':_0x45ad38(0x1d32)},{'value':_0x45ad38(0x2383),'option':_0x45ad38(0x1ce8)},{'value':_0x45ad38(0x17ee),'option':_0x45ad38(0x24ea)},{'value':_0x45ad38(0x3a0),'option':_0x45ad38(0x425)},{'value':'\x27en-GB\x27','option':_0x45ad38(0x3c9)},{'value':_0x45ad38(0x2171),'option':_0x45ad38(0x688)},{'value':'\x27en-US\x27','option':_0x45ad38(0x4e8)},{'value':_0x45ad38(0xbe9),'option':'French'},{'value':'\x27fr-CA\x27','option':_0x45ad38(0x3e1)},{'value':_0x45ad38(0x1906),'option':_0x45ad38(0x1c51)},{'value':_0x45ad38(0x1491),'option':_0x45ad38(0x592)},{'value':_0x45ad38(0x16b3),'option':_0x45ad38(0x28c1)},{'value':_0x45ad38(0x27ee),'option':'Indonesian'},{'value':_0x45ad38(0x9f9),'option':'Italian'},{'value':_0x45ad38(0x27d7),'option':_0x45ad38(0xf90)},{'value':'\x27ko\x27','option':_0x45ad38(0x1dc)},{'value':_0x45ad38(0x74f),'option':_0x45ad38(0xcb4)},{'value':'\x27pl\x27','option':_0x45ad38(0xe06)},{'value':'\x27pt-BR\x27','option':_0x45ad38(0x1aaf)},{'value':_0x45ad38(0xe6d),'option':_0x45ad38(0x1d1)},{'value':_0x45ad38(0x18d0),'option':'Russian'},{'value':_0x45ad38(0x2374),'option':'Spanish'},{'value':'\x27es-419\x27','option':_0x45ad38(0x12c9)},{'value':'\x27es-ES\x27','option':_0x45ad38(0x13ad)},{'value':_0x45ad38(0x752),'option':_0x45ad38(0x2081)},{'value':'\x27th\x27','option':'Thai'},{'value':_0x45ad38(0x5a6),'option':_0x45ad38(0x15a8)},{'value':'\x27uk\x27','option':_0x45ad38(0x13bf)}],'required':!![],'param':0x3},{'title':_0x45ad38(0xaf3),'name':_0x45ad38(0xaf3),'type':'textarea','maxlength':0xff,'param':0x4,'help':!![]}]},{'app':_0x45ad38(0x17d6),'appType':'amazonlex','type':_0x45ad38(0x17d6),'icon':_0x45ad38(0x1205),'interval':'*,*,*,*','isApp':!![],'fields':[{'title':_0x45ad38(0x1651),'name':_0x45ad38(0x1651),'type':_0x45ad38(0xa8d),'required':!![],'param':0x0},{'title':_0x45ad38(0x252),'name':_0x45ad38(0x252),'type':'text','required':!![],'param':0x1},{'title':'lexregion','name':'lexregion','type':'select','defaultValue':_0x45ad38(0x2947),'values':[{'option':_0x45ad38(0x18e2),'value':_0x45ad38(0x2947)},{'option':_0x45ad38(0x1ff5),'value':_0x45ad38(0x22fd)},{'option':'EU\x20(Ireland)','value':_0x45ad38(0x2940)},{'option':_0x45ad38(0x13d9),'value':_0x45ad38(0x304)}],'required':!![],'param':0x2},{'title':_0x45ad38(0x8dd),'name':_0x45ad38(0x8dd),'type':_0x45ad38(0xa8d),'required':!![],'param':0x3},{'title':_0x45ad38(0xaf3),'name':_0x45ad38(0xaf3),'type':_0x45ad38(0x2350),'maxlength':0xff,'required':![],'param':0x4,'help':!![]}]},{'app':_0x45ad38(0x1b4e),'appType':'interval','types':[_0x45ad38(0xd85),_0x45ad38(0x1802),_0x45ad38(0x25f4)],'fields':[],'isApp':![]},{'app':_0x45ad38(0x309),'appType':_0x45ad38(0x309),'type':_0x45ad38(0x309),'icon':_0x45ad38(0x1205),'interval':'*,*,*,*','required':!![],'isApp':!![],'fields':[{'title':_0x45ad38(0x2193),'name':_0x45ad38(0x175d),'type':'text','param':0x0}]},{'app':'system','appType':_0x45ad38(0x1d47),'type':_0x45ad38(0x1d47),'icon':'icon-apps','interval':_0x45ad38(0x1559),'required':!![],'isApp':!![],'extraApi':[{'name':_0x45ad38(0x85b),'field':{'name':_0x45ad38(0x212),'key':_0x45ad38(0x19eb)},'route':'variable','filters':{'fields':_0x45ad38(0x7a7),'sort':_0x45ad38(0x19eb),'nolimit':!![]},'permissions':{'section':0x3f4}}],'fields':[{'title':_0x45ad38(0x1d8b),'name':'command','type':_0x45ad38(0xa8d),'required':!![],'param':0x0},{'title':_0x45ad38(0x182),'name':_0x45ad38(0x212),'type':_0x45ad38(0x28c6),'values':_0x45ad38(0x85b),'value':_0x45ad38(0x1566),'option':_0x45ad38(0x1566),'defaultValues':[{'value':'\x27\x27','option':_0x45ad38(0x13dd)}],'defaultValue':'\x27\x27','param':0x1}]},{'app':_0x45ad38(0x28f4),'appType':_0x45ad38(0x28f4),'type':_0x45ad38(0x151b),'icon':_0x45ad38(0x1205),'interval':'*,*,*,*','isApp':!![],'fields':[{'title':_0x45ad38(0x23d8),'name':_0x45ad38(0xa6a),'type':'number','required':!![],'min':0x0,'param':0x0}]},{'app':_0x45ad38(0x609),'appType':_0x45ad38(0x609),'type':_0x45ad38(0x609),'icon':_0x45ad38(0x1205),'interval':_0x45ad38(0x1559),'isApp':!![],'fields':[{'title':_0x45ad38(0x15db),'name':_0x45ad38(0x115f),'type':_0x45ad38(0xa8d),'required':!![],'param':0x0},{'title':_0x45ad38(0x1d78),'name':'truepriority','type':_0x45ad38(0x181),'min':0x1,'required':!![],'param':0x1},{'title':_0x45ad38(0x28e1),'name':_0x45ad38(0x28e1),'type':_0x45ad38(0x181),'min':0x1,'required':!![],'param':0x2}]},{'app':_0x45ad38(0x1c72),'appType':_0x45ad38(0x1c72),'foreignKey':_0x45ad38(0x22be),'type':_0x45ad38(0x1c72),'icon':_0x45ad38(0x1205),'interval':'*,*,*,*','isApp':!![],'extraApi':[{'name':_0x45ad38(0x1b32),'field':{'name':_0x45ad38(0x1c72),'key':'name'},'route':_0x45ad38(0x2755),'filters':{'fields':_0x45ad38(0x45e),'sort':_0x45ad38(0x19eb),'nolimit':!![]},'permissions':{'section':0x321}}],'fields':[{'title':'Queue','name':'queue','type':_0x45ad38(0x28c6),'values':_0x45ad38(0x1b32),'value':_0x45ad38(0x15b0),'option':_0x45ad38(0x15b0),'defaultValue':0x12c,'required':!![],'param':0x0},{'title':_0x45ad38(0x5cc),'name':'timeout','type':_0x45ad38(0x181),'max':0x20c49b,'min':0x0,'defaultValue':0x12c,'required':!![],'param':0x1}]},{'app':_0x45ad38(0x1755),'appType':_0x45ad38(0x1755),'type':_0x45ad38(0x1755),'foreignKey':_0x45ad38(0xea2),'icon':_0x45ad38(0x1205),'interval':_0x45ad38(0x1559),'isApp':!![],'extraApi':[{'name':'agents','field':{'name':_0x45ad38(0x1755),'key':'name'},'route':_0x45ad38(0xe7b),'filters':{'fields':_0x45ad38(0x7a7),'sort':_0x45ad38(0x19eb),'role':_0x45ad38(0x1755),'nolimit':!![]},'permissions':{'section':0xca}}],'fields':[{'title':_0x45ad38(0x294a),'name':_0x45ad38(0x1755),'type':_0x45ad38(0x28c6),'values':_0x45ad38(0x23c0),'value':_0x45ad38(0x264f),'option':'agent.name','required':!![],'param':0x0},{'title':_0x45ad38(0x5cc),'name':_0x45ad38(0xa9c),'type':_0x45ad38(0x181),'max':0x20c49b,'min':0x0,'required':!![],'defaultValue':0x1e,'param':0x1}]},{'app':_0x45ad38(0x1713),'appType':_0x45ad38(0x1713),'type':_0x45ad38(0x1713),'icon':_0x45ad38(0x1205),'interval':'*,*,*,*','isApp':!![],'fields':[{'title':_0x45ad38(0x583),'name':'times','type':'select','defaultValue':'1','values':[{'option':_0x45ad38(0x1d98),'value':'1'},{'option':_0x45ad38(0x132f),'value':'0'}],'required':!![],'param':0x0},{'title':_0x45ad38(0x23d7),'name':_0x45ad38(0xa8d),'type':_0x45ad38(0x2350),'required':!![],'param':0x1}]},{'app':'close','appType':_0x45ad38(0xa7c),'type':_0x45ad38(0xa7c),'icon':_0x45ad38(0x1205),'interval':_0x45ad38(0x1559),'isApp':!![],'fields':[{'title':_0x45ad38(0x673),'name':_0x45ad38(0x9e1),'type':_0x45ad38(0xa8d),'required':!![],'param':0x0}]},{'app':_0x45ad38(0xa80),'appType':'tag','type':_0x45ad38(0xa80),'foreignKey':_0x45ad38(0xb0a),'icon':_0x45ad38(0x1205),'interval':_0x45ad38(0x1559),'isApp':!![],'extraApi':[{'name':_0x45ad38(0x1b86),'field':{'name':_0x45ad38(0xa80),'key':_0x45ad38(0x19eb)},'route':_0x45ad38(0xa80),'filters':{'fields':_0x45ad38(0x7a7),'sort':_0x45ad38(0x19eb),'nolimit':!![]},'permissions':{'section':0x3f0}}],'fields':[{'title':_0x45ad38(0x23a8),'name':'tag','type':'apiselect','values':'tags','value':_0x45ad38(0x1a96),'option':_0x45ad38(0x1a96),'required':!![],'param':0x0}]}],[_0x45ad38(0x1873)]),{'isApp':![]}),_0x4acd25[_0x45ad38(0x25f4)]={'group':{'name':_0x45ad38(0x156c),'pull':_0x45ad38(0x12cc)},'animation':0x64,'sort':![]},_0x4acd25['applications']={'group':{'name':_0x45ad38(0x916),'put':'opt1'},'animation':0x64,'onAdd':function(_0x2d8a00){const _0x37e171=_0x45ad38;_0x505735(_0x2d8a00,_0x2d8a00[_0x37e171(0x154d)]);},'onSort':function(){_0x36b1db();}},_0x4acd25[_0x45ad38(0x5aa)]=_0x437873,_0x4acd25[_0x45ad38(0x19b4)]=_0x51e21a,_0x4acd25[_0x45ad38(0x264d)]=_0x370c24,_0x4acd25[_0x45ad38(0x119e)]=_0x505735,_0x4acd25[_0x45ad38(0x285b)]=_0x554de8,_0x4acd25[_0x45ad38(0x1b87)]=_0x509ba8,_0x4acd25[_0x45ad38(0x2305)]=_0xfba871,_0x4acd25[_0x45ad38(0x1450)]=_0x36b1db,_0x4acd25[_0x45ad38(0x1c1c)]=_0x2748c3;function _0x437873(_0x151bab,_0x575750){const _0x52c50f=_0x45ad38;_0x4acd25[_0x52c50f(0xbd7)]=_0x151bab,_0x4acd25['crudPermissions']=typeof _0x575750!=='undefined'?_0x575750:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x4acd25['applications']['disabled']=!_0x4acd25[_0x52c50f(0x2514)]['canEdit']?!![]:![],_0x4acd25[_0x52c50f(0x1a56)]['id']=_0x151bab['id'],_0x4acd25['query'][_0x52c50f(0x16f6)]=!![],_0x4acd25['query'][_0x52c50f(0x6c5)]=!![],_0x4acd25[_0x52c50f(0x264d)](),_0x4acd25[_0x52c50f(0x1c1c)]();}function _0x51e21a(_0x11386e,_0x54ffcd,_0xad29f3){const _0x5196d8=_0x45ad38,_0x1cba38=_0x5baf8b[_0x5196d8(0x1e8a)]()[_0x5196d8(0x1189)](_0x5196d8(0x1058))[_0x5196d8(0x1cbe)](_0x5196d8(0x16d3)+_0x11386e[_0x5196d8(0x1873)]+''+_0x5196d8(0xe01))[_0x5196d8(0x4bd)](_0x5196d8(0x847))[_0x5196d8(0x1f27)](_0xad29f3)['ok']('OK')[_0x5196d8(0x6c3)](_0x5196d8(0x39a));_0x5baf8b[_0x5196d8(0x2615)](_0x1cba38)['then'](function(){const _0x239542=_0x5196d8;_0x4acd25['openchannelAccountApps'][_0x239542(0x19c7)]['splice'](_0x54ffcd,0x1),_0x36b1db();},function(){const _0x3ef75c=_0x5196d8;console[_0x3ef75c(0x1a74)](_0x3ef75c(0x39a));});}function _0x505735(_0xc5c574,_0xbf5a32){const _0x4aac76=_0x45ad38;if(_0x4acd25[_0x4aac76(0x1e09)][_0x4aac76(0x19c7)][_0x4aac76(0x402)]){const _0x1b0139=_0x4acd25[_0x4aac76(0x1e09)]['rows'][_0xbf5a32]?_0x4acd25['openchannelAccountApps'][_0x4aac76(0x19c7)][_0xbf5a32]:_0x4acd25[_0x4aac76(0x1e09)]['rows'][0x0],_0x401518=(_0x1b0139[_0x4aac76(0x8f2)]||_0x1b0139[_0x4aac76(0x1873)])[_0x4aac76(0x256e)]();_0x5baf8b['show']({'controller':'EditOpenchannelAccountApp'+_0x401518+_0x4aac76(0x76a),'controllerAs':'vm','templateUrl':_0x413dba[_0x401518],'parent':angular[_0x4aac76(0x1853)](_0x491091[_0x4aac76(0x2586)]),'targetEvent':_0xc5c574,'clickOutsideToClose':!![],'locals':{'openchannelAccountApp':_0x1b0139,'openchannelAccount':_0x4acd25['openchannelAccount'],'crudPermissions':_0x4acd25[_0x4aac76(0x2514)]}})['then'](function(_0x5685e7){const _0x3ad2be=_0x4aac76;_0x5685e7&&(_0x5685e7['id']?_0x4acd25[_0x3ad2be(0x1e09)][_0x3ad2be(0x19c7)][_0xbf5a32]=_0x5685e7:_0x4acd25[_0x3ad2be(0x1e09)][_0x3ad2be(0x19c7)][_0x3ad2be(0x1f7d)](_0xbf5a32,0x0,_0x5685e7),_0x36b1db());})[_0x4aac76(0x129e)](function(_0x34e25d){const _0xb9cd3f=_0x4aac76;_0x34e25d&&_0x37c925[_0xb9cd3f(0x1980)]({'title':_0x34e25d[_0xb9cd3f(0x107b)]?_0xb9cd3f(0x262a)+_0x34e25d[_0xb9cd3f(0x107b)]+_0xb9cd3f(0x1315)+_0x34e25d[_0xb9cd3f(0x167f)]:_0xb9cd3f(0x10a0),'msg':_0x34e25d[_0xb9cd3f(0x524)]?JSON[_0xb9cd3f(0x10bb)](_0x34e25d[_0xb9cd3f(0x524)]):_0x34e25d[_0xb9cd3f(0xd5f)]()});});}}function _0x554de8(_0x311a51,_0xd85dfa){const _0x1f9557=_0x45ad38;if(_0x4acd25[_0x1f9557(0x1e09)][_0x1f9557(0x19c7)][_0x1f9557(0x402)]){const _0x3b7ddf=_0x4acd25['openchannelAccountApps'][_0x1f9557(0x19c7)][_0xd85dfa]?_0x4acd25['openchannelAccountApps'][_0x1f9557(0x19c7)][_0xd85dfa]:_0x4acd25['openchannelAccountApps'][_0x1f9557(0x19c7)][0x0];_0x5baf8b[_0x1f9557(0x2615)]({'controller':_0x1f9557(0x4ac),'controllerAs':'vm','templateUrl':_0x8035fa,'parent':angular['element'](_0x491091['body']),'targetEvent':_0x311a51,'clickOutsideToClose':!![],'locals':{'interval':{'interval':_0x3b7ddf[_0x1f9557(0x2765)],'IntervalId':_0x3b7ddf[_0x1f9557(0x1ff2)],'application':!![]},'intervals':[],'crudPermissions':_0x4acd25[_0x1f9557(0x2514)]}})['then'](function(_0x5a2c14){const _0x3eda3b=_0x1f9557;_0x5a2c14&&(_0x3b7ddf[_0x3eda3b(0x2765)]=_0x5a2c14[_0x3eda3b(0x2765)]||_0x3eda3b(0x1559),_0x3b7ddf['IntervalId']=_0x5a2c14[_0x3eda3b(0x1ff2)]||null,_0x36b1db());});}}function _0x36b1db(){const _0x34699c=_0x45ad38;let _0x1499e3=0x1,_0x12c6f1=[];for(let _0x52e13e=0x0;_0x52e13e<_0x4acd25[_0x34699c(0x1e09)][_0x34699c(0x19c7)][_0x34699c(0x402)];_0x52e13e++){const _0x4ce2a0=_0x4acd25[_0x34699c(0x1e09)][_0x34699c(0x19c7)][_0x52e13e],_0x3573ce=[],_0x2f0cb2=[];_0x4ce2a0[_0x34699c(0x278f)]=_0x4ce2a0[_0x34699c(0x2765)]!==_0x34699c(0x1559)?[_0x4ce2a0[_0x34699c(0x2765)]]:_0x4ce2a0[_0x34699c(0x1ff2)]?_0x3f65c0()[_0x34699c(0x205)](_0x3f65c0()[_0x34699c(0x1dd6)](_0x4acd25[_0x34699c(0x278f)]['rows'],{'IntervalId':_0x4ce2a0['IntervalId']}),'interval'):[],_0x4ce2a0[_0x34699c(0x1ac1)]=_0x4acd25[_0x34699c(0xbd7)][_0x34699c(0x1ac1)],_0x4ce2a0[_0x34699c(0x19b2)]=_0x4acd25[_0x34699c(0xbd7)][_0x34699c(0x19b2)],_0x4ce2a0['type']&&(_0x4ce2a0[_0x34699c(0x1142)]=_0x4ce2a0[_0x34699c(0x1142)][_0x34699c(0x256e)]()),_0x4ce2a0[_0x34699c(0xa6a)]=_0x3573ce[_0x34699c(0x402)]?_0x3f65c0()[_0x34699c(0x22f2)](_0x3573ce)[_0x34699c(0xa6a)]+0x1:_0x1499e3,_0x1499e3=(_0x2f0cb2['length']?_0x3f65c0()[_0x34699c(0x22f2)](_0x2f0cb2)[_0x34699c(0xa6a)]:_0x4ce2a0[_0x34699c(0xa6a)])+0x1,_0x12c6f1=_0x3f65c0()[_0x34699c(0x163c)](_0x12c6f1,_0x3573ce,[_0x4ce2a0],_0x2f0cb2);}_0xefd62[_0x34699c(0xbd7)][_0x34699c(0x1711)]({'id':_0x4acd25[_0x34699c(0xbd7)]['id']},_0x3f65c0()[_0x34699c(0x939)](_0x12c6f1,_0x34699c(0xa6a)))[_0x34699c(0x2945)][_0x34699c(0x146b)](function(_0x5e5725){const _0x42d38f=_0x34699c;_0x4acd25['openchannelAccountApps']['rows']=_0x5e5725[_0x42d38f(0x19c7)];})[_0x34699c(0x129e)](function(_0x594367){const _0x49724f=_0x34699c;console[_0x49724f(0x1980)](_0x594367);});}function _0x5986e2(_0x479fd6){const _0x49cf13=_0x45ad38;_0x4acd25[_0x49cf13(0x1e09)]=_0x479fd6||{'count':0x0,'rows':[]};}function _0x2748c3(){const _0x35cd4d=_0x45ad38;return _0xefd62['interval'][_0x35cd4d(0x16b4)]({'fields':'id,interval,IntervalId'})[_0x35cd4d(0x2945)][_0x35cd4d(0x146b)](function(_0x2733e7){const _0x331f89=_0x35cd4d;_0x4acd25[_0x331f89(0x278f)]=_0x2733e7;})[_0x35cd4d(0x129e)](function(_0x3ef75e){const _0x4c5b45=_0x35cd4d;console[_0x4c5b45(0x1980)](_0x3ef75e);});}function _0x370c24(){const _0x308a8a=_0x45ad38;_0x4acd25[_0x308a8a(0xb9c)]=_0xefd62[_0x308a8a(0xbd7)][_0x308a8a(0x261b)](_0x4acd25['query'],_0x5986e2)[_0x308a8a(0x2945)];}function _0x509ba8(_0x364538){const _0x9b98de=_0x45ad38;_0x3f65c0()[_0x9b98de(0x2640)](_0x4acd25['openchannelAccountApps'][_0x9b98de(0x19c7)],{'id':_0x364538['id']}),_0x36b1db(),_0x37c925[_0x9b98de(0x1c75)]({'title':_0x9b98de(0x1c3a),'msg':_0x364538[_0x9b98de(0x1873)]?_0x364538[_0x9b98de(0x1873)]+'\x20has\x20been\x20deleted!':''});}function _0xfba871(_0xc352ba){const _0x328310=_0x45ad38,_0x32fcd9=_0x5baf8b['confirm']()[_0x328310(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20applications?')[_0x328310(0x1cbe)](_0x328310(0x16d3)+_0x4acd25[_0x328310(0x1e67)][_0x328310(0x402)]+_0x328310(0x2452)+'\x20will\x20be\x20deleted.')[_0x328310(0x4bd)](_0x328310(0x2674))[_0x328310(0x1f27)](_0xc352ba)['ok']('OK')[_0x328310(0x6c3)](_0x328310(0x39a));_0x5baf8b['show'](_0x32fcd9)[_0x328310(0x146b)](function(){const _0x4f1166=_0x328310;_0x4acd25[_0x4f1166(0x1e67)]['forEach'](function(_0x5e5bbf){const _0x349c01=_0x4f1166;_0x3f65c0()[_0x349c01(0x2640)](_0x4acd25[_0x349c01(0x1e09)][_0x349c01(0x19c7)],{'id':_0x5e5bbf['id']});}),_0x4acd25[_0x4f1166(0x1e67)]=[],_0x36b1db();});}}const _0x4e1374=_0x4849e3;;_0xb1f28c[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0xbd7),_0x313a4d(0x1690),_0x313a4d(0xfc4),_0x313a4d(0x214b),_0x313a4d(0xa87),'crudPermissions'];function _0xb1f28c(_0x5880fc,_0x1f949c,_0x585a84,_0x2e93e0,_0x449e30,_0x2fab6a,_0x5e42fd,_0x4b8f42,_0x2334fd,_0x25e1b8){const _0x506fe4=_0x313a4d,_0x194b59=this;_0x194b59[_0x506fe4(0x2321)]=_0x2334fd[_0x506fe4(0xb12)](),_0x194b59[_0x506fe4(0xbd7)]=_0x449e30,_0x194b59[_0x506fe4(0x2514)]=_0x25e1b8,_0x194b59[_0x506fe4(0xfc4)]=_0x5e42fd,_0x194b59[_0x506fe4(0x1fd6)]=[],_0x194b59['allowedItems']=[],_0x194b59[_0x506fe4(0x1ecf)]=[],_0x194b59[_0x506fe4(0x2e8)]=[],_0x194b59[_0x506fe4(0xa65)]=[],_0x194b59[_0x506fe4(0x132)]=![],_0x194b59['onInit']=_0x4f79fb,_0x194b59[_0x506fe4(0x14c2)]=_0x2a7b93,_0x194b59[_0x506fe4(0x13f3)]=_0x46dd4c,_0x194b59[_0x506fe4(0x1f8a)]={'readOnly':!_0x194b59[_0x506fe4(0x2514)][_0x506fe4(0x15f4)],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x506fe4(0x19eb),'line1':_0x506fe4(0x286a),'line2':[_0x506fe4(0x19eb),_0x506fe4(0x113f)],'line3':'','labelAll':_0x4b8f42[_0x506fe4(0xde)](_0x506fe4(0x2050)),'labelSelected':_0x4b8f42[_0x506fe4(0xde)]('APP.SELECTED_AGENTS'),'transferCallback':function(){const _0x2513eb=_0x506fe4,_0x108cb3=_0x3f65c0()['xorBy'](_0x194b59[_0x2513eb(0xa65)],_0x194b59[_0x2513eb(0x1ecf)],'id');_0x194b59[_0x2513eb(0x132)]=_0x3f65c0()[_0x2513eb(0x2635)](_0x108cb3)?![]:!![];}};function _0x4f79fb(){const _0x40c797=_0x506fe4;return _0x2334fd[_0x40c797(0x23e0)](_0x40c797(0x174b))?_0x1a94ff()['catch'](function(_0x257281){const _0xc3e88a=_0x40c797;_0x585a84['error']({'title':_0x257281[_0xc3e88a(0x107b)]?_0xc3e88a(0x262a)+_0x257281[_0xc3e88a(0x107b)]+'\x20-\x20'+_0x257281[_0xc3e88a(0x167f)]:'SYSTEM:GET_AGENTS','msg':_0x257281[_0xc3e88a(0x107b)]?JSON[_0xc3e88a(0x10bb)](_0x257281[_0xc3e88a(0x524)]):_0x257281[_0xc3e88a(0xd5f)]()});}):_0xd25e98()['then'](function(_0x132ab5){return _0x194b59['section']=_0x132ab5,_0x1a94ff();})['catch'](function(_0x258c3f){const _0x1bae8a=_0x40c797;_0x585a84[_0x1bae8a(0x1980)]({'title':_0x258c3f[_0x1bae8a(0x107b)]?_0x1bae8a(0x262a)+_0x258c3f[_0x1bae8a(0x107b)]+'\x20-\x20'+_0x258c3f[_0x1bae8a(0x167f)]:_0x1bae8a(0x557),'msg':_0x258c3f['status']?JSON[_0x1bae8a(0x10bb)](_0x258c3f[_0x1bae8a(0x524)]):_0x258c3f[_0x1bae8a(0xd5f)]()});});}function _0xd25e98(){return _0x1f949c(function(_0x2e17e0,_0x319eeb){const _0x325363=a0_0x3bb9;_0x2e93e0[_0x325363(0x1366)]['get']({'userProfileId':_0x194b59[_0x325363(0x2321)]['userProfileId'],'name':_0x325363(0xca8)})[_0x325363(0x2945)]['then'](function(_0x1e6f0c){const _0x39c571=_0x325363,_0x4938c5=_0x1e6f0c&&_0x1e6f0c[_0x39c571(0x19c7)]?_0x1e6f0c[_0x39c571(0x19c7)][0x0]:null;_0x2e17e0(_0x4938c5);})[_0x325363(0x129e)](function(_0x3edd8c){_0x319eeb(_0x3edd8c);});});}function _0x1a94ff(){return _0x1f949c(function(_0x3e079b,_0x5c2840){const _0xe9949f=a0_0x3bb9;return _0x528992()['then'](function(_0x4f3092){const _0x1a2329=a0_0x3bb9;return _0x194b59[_0x1a2329(0x1fd6)]=_0x4f3092[_0x1a2329(0x19c7)]?_0x4f3092['rows']:[],_0x2334fd['hasRole'](_0x1a2329(0x174b))?_0x4f3092:_0x194b59[_0x1a2329(0x2146)]?_0x194b59[_0x1a2329(0x2146)][_0x1a2329(0x11d2)]?_0x4f3092:_0x46590d():null;})[_0xe9949f(0x146b)](function(_0xb5d49b){const _0x1a54ae=_0xe9949f,_0x40f99f=_0xb5d49b&&_0xb5d49b[_0x1a54ae(0x19c7)]?_0xb5d49b[_0x1a54ae(0x19c7)]:[];return _0x194b59[_0x1a54ae(0xc4e)]=_0x3f65c0()[_0x1a54ae(0x205)](_0x40f99f,function(_0x35134c){const _0x211245=_0x1a54ae;return _0x3f65c0()[_0x211245(0xc84)](_0x194b59[_0x211245(0x1fd6)],{'id':_0x2334fd[_0x211245(0x23e0)]('admin')||_0x194b59[_0x211245(0x2146)]['autoAssociation']?_0x35134c['id']:_0x35134c[_0x211245(0x18b8)]});}),_0x194b59[_0x1a54ae(0x2e8)]=angular['copy'](_0x194b59[_0x1a54ae(0xc4e)]),_0x194b59['items'][_0x1a54ae(0x1df5)](function(_0x4ff394){const _0xfdb4e7=_0x1a54ae,_0x1565e6=_0x3f65c0()[_0xfdb4e7(0xc84)](_0x194b59[_0xfdb4e7(0xc4e)],{'id':_0x4ff394['id']});_0x2334fd[_0xfdb4e7(0x23e0)]('admin')?_0x4ff394[_0xfdb4e7(0x146f)]=!![]:_0x4ff394[_0xfdb4e7(0x146f)]=typeof _0x1565e6!=='undefined'?!![]:![];}),_0x33b26d();})[_0xe9949f(0x146b)](function(_0x543124){const _0x20e063=_0xe9949f,_0x31dfb0=_0x543124&&_0x543124[_0x20e063(0x19c7)]?_0x543124[_0x20e063(0x19c7)]:[];_0x194b59[_0x20e063(0x1ecf)]=_0x3f65c0()['map'](_0x31dfb0,function(_0xcf9ee6){const _0xfa5d03=_0x20e063,_0x31b6c8=_0x3f65c0()['find'](_0x194b59[_0xfa5d03(0x1fd6)],{'id':_0xcf9ee6['id']});return _0x31b6c8['penalty']=_0xcf9ee6[_0xfa5d03(0xef4)]?_0xfa5d03(0x2505)+_0xcf9ee6['UserOpenchannelAccount'][_0xfa5d03(0x188d)]:'',_0x31b6c8[_0xfa5d03(0x113f)]=typeof _0xcf9ee6[_0xfa5d03(0x113f)]!=='undefined'?'<'+_0xcf9ee6[_0xfa5d03(0x113f)]+'>':'',_0x31b6c8;}),_0x194b59[_0x20e063(0xa65)]=angular[_0x20e063(0x235a)](_0x194b59[_0x20e063(0x1ecf)]),_0x194b59[_0x20e063(0x1f8a)][_0x20e063(0x1ecf)]=_0x194b59[_0x20e063(0x1ecf)],_0x194b59[_0x20e063(0x1f8a)][_0x20e063(0x1fd6)]=_0x3f65c0()[_0x20e063(0x2796)](_0x194b59[_0x20e063(0xc4e)],_0x194b59[_0x20e063(0x1f8a)][_0x20e063(0x1ecf)],'id'),_0x3e079b();})['catch'](function(_0x560ff8){_0x5c2840(_0x560ff8);});});}function _0x46590d(){return _0x1f949c(function(_0x3924a6,_0x4273bb){const _0x5a923e=a0_0x3bb9;return _0x2e93e0[_0x5a923e(0xdcc)][_0x5a923e(0x16b4)]({'sectionId':_0x194b59[_0x5a923e(0x2146)]['id'],'nolimit':!![]})[_0x5a923e(0x2945)][_0x5a923e(0x146b)](function(_0x249a61){_0x3924a6(_0x249a61);})[_0x5a923e(0x129e)](function(_0xbdfbce){_0x4273bb(_0xbdfbce);});});}function _0x33b26d(){return _0x1f949c(function(_0x2ce21d,_0x404ef7){const _0x4e5fd0=a0_0x3bb9;return _0x2e93e0[_0x4e5fd0(0xbd7)][_0x4e5fd0(0x333)]({'id':_0x194b59[_0x4e5fd0(0xbd7)]['id'],'fields':_0x4e5fd0(0x1569),'nolimit':!![],'role':_0x4e5fd0(0x1755)})[_0x4e5fd0(0x2945)][_0x4e5fd0(0x146b)](function(_0x3dd303){_0x2ce21d(_0x3dd303);})[_0x4e5fd0(0x129e)](function(_0x2e3b93){_0x404ef7(_0x2e3b93);});});}function _0x528992(){return _0x1f949c(function(_0x138cd8,_0xd02119){const _0x5519ec=a0_0x3bb9;return _0x2e93e0['user'][_0x5519ec(0x16b4)]({'fields':_0x5519ec(0x1569),'nolimit':!![],'role':_0x5519ec(0x1755)})[_0x5519ec(0x2945)]['then'](function(_0x58135f){_0x138cd8(_0x58135f);})[_0x5519ec(0x129e)](function(_0x6c773f){_0xd02119(_0x6c773f);});});}function _0x5598d1(_0x5a1036){return _0x1f949c(function(_0x1f1bf2,_0x3b4da5){const _0x41e809=a0_0x3bb9;_0x3f65c0()[_0x41e809(0x2635)](_0x5a1036)?_0x1f1bf2():_0x2e93e0[_0x41e809(0xbd7)][_0x41e809(0x135e)]({'id':_0x194b59[_0x41e809(0xbd7)]['id'],'ids':_0x3f65c0()[_0x41e809(0x205)](_0x5a1036,'id')})[_0x41e809(0x2945)][_0x41e809(0x146b)](function(){_0x1f1bf2();})[_0x41e809(0x129e)](function(_0x1aa7d7){_0x3b4da5(_0x1aa7d7);});});}function _0x2830bc(_0x54c179){return _0x1f949c(function(_0x54d8b7,_0x5aef1b){const _0x285734=a0_0x3bb9;_0x3f65c0()[_0x285734(0x2635)](_0x54c179)?_0x54d8b7():_0x2e93e0[_0x285734(0xbd7)][_0x285734(0x1f53)]({'id':_0x194b59[_0x285734(0xbd7)]['id'],'ids':_0x3f65c0()[_0x285734(0x205)](_0x54c179,'id')})[_0x285734(0x2945)][_0x285734(0x146b)](function(){_0x54d8b7();})[_0x285734(0x129e)](function(_0x2c09e7){_0x5aef1b(_0x2c09e7);});});}function _0x2a7b93(){const _0x2a6519=_0x506fe4,_0x56d27c=_0x3f65c0()[_0x2a6519(0x2796)](_0x194b59[_0x2a6519(0xa65)],_0x194b59['selectedItems'],'id'),_0x11ac77=_0x3f65c0()[_0x2a6519(0x2796)](_0x194b59[_0x2a6519(0x1ecf)],_0x194b59[_0x2a6519(0xa65)],'id');return _0x2830bc(_0x56d27c)[_0x2a6519(0x146b)](function(){return _0x5598d1(_0x11ac77);})[_0x2a6519(0x146b)](function(){const _0x26f1c3=_0x2a6519;_0x194b59[_0x26f1c3(0x132)]=![],_0x194b59['startingAllowedItems']=angular[_0x26f1c3(0x235a)](_0x194b59[_0x26f1c3(0xc4e)]),_0x194b59[_0x26f1c3(0xa65)]=angular[_0x26f1c3(0x235a)](_0x194b59[_0x26f1c3(0x1ecf)]),_0x585a84[_0x26f1c3(0x1c75)]({'title':_0x26f1c3(0x4c0),'msg':_0x26f1c3(0x26be)});})[_0x2a6519(0x129e)](function(_0x43d7d2){const _0x2dc9cc=_0x2a6519;_0x585a84[_0x2dc9cc(0x1980)]({'title':_0x43d7d2['status']?_0x2dc9cc(0x262a)+_0x43d7d2[_0x2dc9cc(0x107b)]+'\x20-\x20'+_0x43d7d2['statusText']:_0x2dc9cc(0xa56),'msg':_0x43d7d2[_0x2dc9cc(0x107b)]?JSON[_0x2dc9cc(0x10bb)](_0x43d7d2[_0x2dc9cc(0x524)]):_0x43d7d2[_0x2dc9cc(0xd5f)]()});});}function _0x46dd4c(){const _0x1a7c44=_0x506fe4;_0x5880fc[_0x1a7c44(0x2458)]();}}const _0x50a451=_0xb1f28c;;_0x408caf[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x2116),_0x313a4d(0xbd7),_0x313a4d(0x247f),'Auth','crudPermissions'];function _0x408caf(_0x5f0a06,_0x43784f,_0x26e586,_0x2d1515,_0x224987,_0x55c1fb,_0x537504,_0x366080){const _0x3fb1fa=_0x313a4d,_0x3a8c87=this;_0x3a8c87[_0x3fb1fa(0x2321)]=_0x537504[_0x3fb1fa(0xb12)](),_0x3a8c87[_0x3fb1fa(0xcef)]=[],_0x3a8c87[_0x3fb1fa(0x1189)]=_0x3fb1fa(0x29b)+(_0x2d1515['appType']||_0x2d1515[_0x3fb1fa(0x1873)])[_0x3fb1fa(0x1c37)](),_0x3a8c87[_0x3fb1fa(0x1755)]=angular[_0x3fb1fa(0x235a)](_0x2d1515),_0x3a8c87[_0x3fb1fa(0x2514)]=_0x366080,_0x3a8c87[_0x3fb1fa(0x855)]={};if(_0x3a8c87[_0x3fb1fa(0x1755)][_0x3fb1fa(0x168a)])switch(_0x3a8c87[_0x3fb1fa(0x1755)][_0x3fb1fa(0x8f2)]?_0x3a8c87[_0x3fb1fa(0x1755)][_0x3fb1fa(0x8f2)][_0x3fb1fa(0x256e)]():_0x3a8c87[_0x3fb1fa(0x1755)][_0x3fb1fa(0x1873)]['toLowerCase']()){case _0x3fb1fa(0x1802):break;case _0x3fb1fa(0xeaa):{const _0xfc0ded=_0x3a8c87[_0x3fb1fa(0x1755)]['appdata']['split'](',');_0x3a8c87['agent'][_0x3fb1fa(0x2293)]=_0xfc0ded[0x0],_0x3a8c87[_0x3fb1fa(0x1755)]['language']=_0xfc0ded[0x1],_0x3a8c87['agent']['welcomemessage']=_0xfc0ded[_0x3fb1fa(0x1298)](0x2,_0xfc0ded[_0x3fb1fa(0x402)])[_0x3fb1fa(0xb47)](',');}break;case _0x3fb1fa(0xa46):{const _0x547ffa=_0x3a8c87[_0x3fb1fa(0x1755)][_0x3fb1fa(0x168a)][_0x3fb1fa(0x10c8)](',');_0x3a8c87[_0x3fb1fa(0x1755)][_0x3fb1fa(0x153a)]=_0x547ffa[0x0],_0x3a8c87[_0x3fb1fa(0x1755)]['clientEmail']=_0x547ffa[0x1],_0x3a8c87[_0x3fb1fa(0x1755)][_0x3fb1fa(0x2217)]=_0x547ffa[0x2],_0x3a8c87[_0x3fb1fa(0x1755)][_0x3fb1fa(0x90b)]=_0x547ffa[0x3],_0x3a8c87[_0x3fb1fa(0x1755)][_0x3fb1fa(0xaf3)]=_0x547ffa['slice'](0x4,_0x547ffa[_0x3fb1fa(0x402)])[_0x3fb1fa(0xb47)](',');}break;case'amazonlex':{const _0xbaf0bb=_0x3a8c87[_0x3fb1fa(0x1755)][_0x3fb1fa(0x168a)][_0x3fb1fa(0x10c8)](',');_0x3a8c87[_0x3fb1fa(0x1755)]['accesskeyid']=_0xbaf0bb[0x0],_0x3a8c87[_0x3fb1fa(0x1755)][_0x3fb1fa(0x252)]=_0xbaf0bb[0x1],_0x3a8c87[_0x3fb1fa(0x1755)][_0x3fb1fa(0x1039)]=_0xbaf0bb[0x2],_0x3a8c87[_0x3fb1fa(0x1755)][_0x3fb1fa(0x8dd)]=_0xbaf0bb[0x3],_0x3a8c87[_0x3fb1fa(0x1755)][_0x3fb1fa(0xaf3)]=_0xbaf0bb[_0x3fb1fa(0x1298)](0x4,_0xbaf0bb[_0x3fb1fa(0x402)])[_0x3fb1fa(0xb47)](',');}break;case _0x3fb1fa(0x1713):{const _0x3fab31=_0x3a8c87[_0x3fb1fa(0x1755)]['appdata']['split'](',');_0x3a8c87[_0x3fb1fa(0x1755)][_0x3fb1fa(0x583)]=isNaN(_0x3fab31[0x0])?_0x3fab31[0x0]:parseInt(_0x3fab31[0x0],0xa),_0x3a8c87[_0x3fb1fa(0x1755)][_0x3fb1fa(0xa8d)]=_0x3fab31[_0x3fb1fa(0x1298)](0x1,_0x3fab31['length'])[_0x3fb1fa(0xb47)](',');}break;case'message':_0x3a8c87[_0x3fb1fa(0x1755)][_0x3fb1fa(0xa8d)]=_0x3a8c87[_0x3fb1fa(0x1755)]['appdata'];break;case'set':_0x3a8c87[_0x3fb1fa(0x1755)]['name']=_0x3a8c87['agent'][_0x3fb1fa(0x168a)]['split']('=')[0x0],_0x3a8c87[_0x3fb1fa(0x1755)][_0x3fb1fa(0x175d)]=_0x3a8c87[_0x3fb1fa(0x1755)][_0x3fb1fa(0x168a)][_0x3fb1fa(0x10c8)]('=')[0x1];break;case _0x3fb1fa(0x1ecb):_0x3a8c87[_0x3fb1fa(0x1755)]['project']=_0x3a8c87[_0x3fb1fa(0x1755)]['appdata'];break;default:{const _0x41f5b1=_0x3a8c87[_0x3fb1fa(0x1755)]['appdata'][_0x3fb1fa(0x10c8)](',');_0x3a8c87['agent'][_0x3fb1fa(0x1755)]=_0x3f65c0()[_0x3fb1fa(0x2635)](_0x41f5b1[0x0])?_0x41f5b1[0x0]:isNaN(_0x41f5b1[0x0])?_0x41f5b1[0x0]:parseInt(_0x41f5b1[0x0],0xa),_0x3a8c87[_0x3fb1fa(0x1755)]['timeout']=_0x3f65c0()[_0x3fb1fa(0x2635)](_0x41f5b1[0x1])?_0x41f5b1[0x1]:isNaN(_0x41f5b1[0x1])?_0x41f5b1[0x1]:parseInt(_0x41f5b1[0x1],0xa);}break;}else _0x3a8c87[_0x3fb1fa(0x1755)]['timeout']=0x1e;_0x3a8c87[_0x3fb1fa(0x1755)][_0x3fb1fa(0x1142)]&&_0x3a8c87['agent'][_0x3fb1fa(0x1142)][_0x3fb1fa(0x256e)]()==='outbound'&&_0x3a8c87['agent'][_0x3fb1fa(0x8f2)][_0x3fb1fa(0x256e)]()===_0x3fb1fa(0x3bb)&&(_0x3a8c87[_0x3fb1fa(0x1755)][_0x3fb1fa(0x1e12)]=_0x3a8c87[_0x3fb1fa(0x1755)][_0x3fb1fa(0x1d55)]?_0x3a8c87[_0x3fb1fa(0x1755)]['phone']['split']('$')[0x0]:undefined,_0x3a8c87['agent']['callerId']=_0x3a8c87[_0x3fb1fa(0x1755)]['callerID']?_0x3fb1fa(0x1b60)+_0x3a8c87[_0x3fb1fa(0x1755)]['callerID']:undefined);_0x3a8c87[_0x3fb1fa(0x1e69)]=_0x5b4229,_0x3a8c87[_0x3fb1fa(0x13f3)]=_0x4db910,_0x537504[_0x3fb1fa(0x23e0)](_0x3fb1fa(0x174b))?_0x55c1fb[_0x3fb1fa(0xe7b)][_0x3fb1fa(0x16b4)]({'fields':_0x3fb1fa(0x7a7),'sort':'name','role':'agent','nolimit':_0x3fb1fa(0x1185)})[_0x3fb1fa(0x2945)][_0x3fb1fa(0x146b)](function(_0x424222){_0x3a8c87['agents']=_0x424222['rows']||[];})[_0x3fb1fa(0x129e)](function(_0x5193b2){const _0x2c8e2a=_0x3fb1fa;_0x26e586['error']({'title':_0x5193b2['status']?_0x2c8e2a(0x262a)+_0x5193b2[_0x2c8e2a(0x107b)]+_0x2c8e2a(0x1315)+_0x5193b2[_0x2c8e2a(0x167f)]:'SYSTEM:GET_AGENTS','msg':_0x5193b2[_0x2c8e2a(0x524)]?JSON[_0x2c8e2a(0x10bb)](_0x5193b2[_0x2c8e2a(0x524)]):_0x5193b2['toString']()});}):_0x55c1fb[_0x3fb1fa(0xe7b)][_0x3fb1fa(0x16b4)]({'fields':_0x3fb1fa(0x7a7),'sort':'name','role':_0x3fb1fa(0x1755),'nolimit':_0x3fb1fa(0x1185)})[_0x3fb1fa(0x2945)][_0x3fb1fa(0x146b)](function(_0x1ddc87){const _0x49ee20=_0x3fb1fa;_0x3a8c87[_0x49ee20(0x23c0)]=_0x1ddc87['rows']||[];})[_0x3fb1fa(0x146b)](function(){const _0x140982=_0x3fb1fa;return _0x55c1fb[_0x140982(0x1366)][_0x140982(0x16b4)]({'userProfileId':_0x3a8c87[_0x140982(0x2321)][_0x140982(0x209a)],'sectionId':0xca})[_0x140982(0x2945)];})[_0x3fb1fa(0x146b)](function(_0x22a40d){const _0x2556e8=_0x3fb1fa,_0x2f6375=_0x22a40d&&_0x22a40d[_0x2556e8(0x19c7)]?_0x22a40d['rows'][0x0]:null;if(!_0x2f6375){const _0xf4ca60=[];let _0x7a1b0d=null;_0x3a8c87[_0x2556e8(0x1755)]&&(_0x7a1b0d=_0x3f65c0()[_0x2556e8(0xc84)](_0x3a8c87['agents'],{'name':_0x3a8c87['agent'][_0x2556e8(0x1755)]}));for(let _0x4ea69f=0x0;_0x4ea69f<_0x3a8c87['agents'][_0x2556e8(0x402)];_0x4ea69f++){_0x7a1b0d&&_0x3a8c87[_0x2556e8(0x23c0)][_0x4ea69f]['id']===_0x7a1b0d['id']&&(_0x3a8c87[_0x2556e8(0x23c0)][_0x4ea69f][_0x2556e8(0x8ff)]=![],_0xf4ca60['push'](_0x3a8c87[_0x2556e8(0x23c0)][_0x4ea69f]));}_0x3a8c87[_0x2556e8(0x23c0)]=_0xf4ca60;}else{if(!_0x2f6375['autoAssociation'])return _0x55c1fb['userProfileResource'][_0x2556e8(0x16b4)]({'sectionId':_0x2f6375['id']})['$promise'][_0x2556e8(0x146b)](function(_0xf834ca){const _0x11190c=_0x2556e8,_0x22bb6b=_0x3f65c0()[_0x11190c(0x205)](_0xf834ca['rows'],function(_0x2b9aee){const _0x3c1313=_0x11190c;return _0x3f65c0()[_0x3c1313(0xc84)](_0x3a8c87['agents'],{'id':_0x2b9aee[_0x3c1313(0x18b8)]});});let _0x436654=null;_0x3a8c87[_0x11190c(0x1755)]&&(_0x436654=_0x3f65c0()[_0x11190c(0xc84)](_0x3a8c87[_0x11190c(0x23c0)],{'name':_0x3a8c87[_0x11190c(0x1755)]['agent']}));if(_0x436654&&!_0x3f65c0()[_0x11190c(0x1360)](_0x22bb6b,['id',_0x436654['id']])){const _0x311ed3=_0x3f65c0()[_0x11190c(0xc84)](_0x3a8c87[_0x11190c(0x23c0)],{'id':_0x436654['id']});_0x311ed3[_0x11190c(0x8ff)]=![],_0x22bb6b[_0x11190c(0x1f47)](_0x311ed3);}_0x3a8c87[_0x11190c(0x23c0)]=_0x22bb6b;});}})[_0x3fb1fa(0x129e)](function(_0x5189f8){const _0x38ec74=_0x3fb1fa;_0x26e586['error']({'title':_0x5189f8[_0x38ec74(0x107b)]?_0x38ec74(0x262a)+_0x5189f8[_0x38ec74(0x107b)]+_0x38ec74(0x1315)+_0x5189f8[_0x38ec74(0x167f)]:_0x38ec74(0x788),'msg':_0x5189f8[_0x38ec74(0x524)]?JSON[_0x38ec74(0x10bb)](_0x5189f8[_0x38ec74(0x524)]):_0x5189f8[_0x38ec74(0xd5f)]()});});function _0x5b4229(){const _0x2de9be=_0x3fb1fa;_0x3a8c87[_0x2de9be(0xcef)]=[];const _0x8df64f=[];_0x3a8c87['agent'][_0x2de9be(0x1142)]&&_0x3a8c87['agent'][_0x2de9be(0x1142)]['toLowerCase']()===_0x2de9be(0xc9c)&&_0x3a8c87[_0x2de9be(0x1755)][_0x2de9be(0x8f2)]==='outboundDial'&&(_0x3a8c87[_0x2de9be(0x1755)][_0x2de9be(0x1d55)]=_0x224987[_0x2de9be(0x1274)]?(_0x3a8c87[_0x2de9be(0x1755)]['prefix']||'')+_0x2de9be(0x2147)+_0x224987['cutdigits']+'}':(_0x3a8c87[_0x2de9be(0x1755)][_0x2de9be(0x1e12)]||'')+_0x2de9be(0x20df),_0x224987['recordingFormat']!==_0x2de9be(0x1642)?_0x3a8c87[_0x2de9be(0x1755)]['options']['indexOf']('U(xcally-mixmonitor-context)')<0x0&&(_0x3a8c87[_0x2de9be(0x1755)][_0x2de9be(0xa08)]+='U(xcally-mixmonitor-context)'):_0x3a8c87[_0x2de9be(0x1755)][_0x2de9be(0xa08)]=_0x3a8c87[_0x2de9be(0x1755)]['options']['replace'](_0x2de9be(0x1ea4),''));const _0x5cd10c=_0x3f65c0()['find'](_0x3a8c87[_0x2de9be(0x23c0)],{'name':_0x3a8c87[_0x2de9be(0x1755)][_0x2de9be(0x1755)]});_0x5cd10c&&(_0x3a8c87[_0x2de9be(0x1755)][_0x2de9be(0xea2)]=_0x5cd10c['id']);if(_0x3a8c87[_0x2de9be(0x1755)][_0x2de9be(0x8f2)]&&_0x3a8c87[_0x2de9be(0x1755)][_0x2de9be(0x8f2)]===_0x2de9be(0x1802)){}else switch((_0x3a8c87['agent']['app']||_0x3a8c87['agent']['appType'])['toLowerCase']()){case'set':_0x3a8c87[_0x2de9be(0x1755)][_0x2de9be(0x168a)]=_0x3a8c87[_0x2de9be(0x1755)]['name']+'='+_0x3a8c87[_0x2de9be(0x1755)][_0x2de9be(0x175d)];break;case _0x2de9be(0x1802):break;default:_0x8df64f[0x0]=_0x3a8c87[_0x2de9be(0x1755)][_0x2de9be(0x1755)],_0x8df64f[0x1]=_0x3a8c87[_0x2de9be(0x1755)]['timeout'],_0x3a8c87[_0x2de9be(0x1755)][_0x2de9be(0x168a)]=_0x8df64f[_0x2de9be(0xb47)](',');}_0x4db910(_0x3a8c87[_0x2de9be(0x1755)]);}function _0x4db910(_0x347e2b){const _0x59074b=_0x3fb1fa;_0x5f0a06[_0x59074b(0x2458)](_0x347e2b);}}const _0x96f830=_0x408caf;;_0x532227[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x2116),_0x313a4d(0xbd7),'api',_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x532227(_0x34b0f7,_0x479cdd,_0x1d697e,_0x317501,_0x4768cb,_0x13a4c9,_0x30e1cb,_0x5c1fe3){const _0x256d9f=_0x313a4d,_0x57d216=this;_0x57d216['currentUser']=_0x30e1cb[_0x256d9f(0xb12)](),_0x57d216[_0x256d9f(0xcef)]=[],_0x57d216[_0x256d9f(0x1189)]=_0x256d9f(0x29b)+(_0x317501[_0x256d9f(0x8f2)]||_0x317501[_0x256d9f(0x1873)])['toUpperCase'](),_0x57d216[_0x256d9f(0x17d6)]=angular[_0x256d9f(0x235a)](_0x317501),_0x57d216[_0x256d9f(0x2514)]=_0x5c1fe3,_0x57d216[_0x256d9f(0x855)]={};if(_0x57d216[_0x256d9f(0x17d6)][_0x256d9f(0x168a)])switch(_0x57d216['amazonlex'][_0x256d9f(0x8f2)]?_0x57d216[_0x256d9f(0x17d6)][_0x256d9f(0x8f2)][_0x256d9f(0x256e)]():_0x57d216[_0x256d9f(0x17d6)][_0x256d9f(0x1873)][_0x256d9f(0x256e)]()){case _0x256d9f(0x1802):break;case'dialogflow':{const _0x492e24=_0x57d216[_0x256d9f(0x17d6)]['appdata'][_0x256d9f(0x10c8)](',');_0x57d216[_0x256d9f(0x17d6)]['key']=_0x492e24[0x0],_0x57d216[_0x256d9f(0x17d6)][_0x256d9f(0x90b)]=_0x492e24[0x1],_0x57d216[_0x256d9f(0x17d6)][_0x256d9f(0xaf3)]=_0x492e24[_0x256d9f(0x1298)](0x2,_0x492e24[_0x256d9f(0x402)])[_0x256d9f(0xb47)](',');}break;case _0x256d9f(0xa46):{const _0x36c7ab=_0x57d216['amazonlex']['appdata'][_0x256d9f(0x10c8)](',');_0x57d216[_0x256d9f(0x17d6)][_0x256d9f(0x153a)]=_0x36c7ab[0x0],_0x57d216[_0x256d9f(0x17d6)][_0x256d9f(0x1392)]=_0x36c7ab[0x1],_0x57d216['amazonlex'][_0x256d9f(0x2217)]=_0x36c7ab[0x2],_0x57d216[_0x256d9f(0x17d6)][_0x256d9f(0x90b)]=_0x36c7ab[0x3],_0x57d216[_0x256d9f(0x17d6)]['welcomemessage']=_0x36c7ab[_0x256d9f(0x1298)](0x4,_0x36c7ab[_0x256d9f(0x402)])[_0x256d9f(0xb47)](',');}break;case'amazonlex':{const _0x558178=_0x57d216[_0x256d9f(0x17d6)][_0x256d9f(0x168a)][_0x256d9f(0x10c8)](',');_0x57d216['amazonlex'][_0x256d9f(0x1651)]=_0x558178[0x0],_0x57d216['amazonlex'][_0x256d9f(0x252)]=_0x558178[0x1],_0x57d216[_0x256d9f(0x17d6)][_0x256d9f(0x1039)]=_0x558178[0x2],_0x57d216['amazonlex'][_0x256d9f(0x8dd)]=_0x558178[0x3],_0x57d216[_0x256d9f(0x17d6)][_0x256d9f(0xaf3)]=_0x558178[_0x256d9f(0x1298)](0x4,_0x558178[_0x256d9f(0x402)])[_0x256d9f(0xb47)](',');}break;case _0x256d9f(0x1713):{const _0x21a92a=_0x57d216[_0x256d9f(0x17d6)][_0x256d9f(0x168a)][_0x256d9f(0x10c8)](',');_0x57d216['amazonlex']['times']=isNaN(_0x21a92a[0x0])?_0x21a92a[0x0]:parseInt(_0x21a92a[0x0],0xa),_0x57d216[_0x256d9f(0x17d6)][_0x256d9f(0xa8d)]=_0x21a92a[_0x256d9f(0x1298)](0x1,_0x21a92a[_0x256d9f(0x402)])['join'](',');}break;case _0x256d9f(0x7fd):_0x57d216['amazonlex'][_0x256d9f(0xa8d)]=_0x57d216[_0x256d9f(0x17d6)][_0x256d9f(0x168a)];break;case _0x256d9f(0x26ba):_0x57d216[_0x256d9f(0x17d6)]['name']=_0x57d216[_0x256d9f(0x17d6)][_0x256d9f(0x168a)][_0x256d9f(0x10c8)]('=')[0x0],_0x57d216[_0x256d9f(0x17d6)][_0x256d9f(0x175d)]=_0x57d216[_0x256d9f(0x17d6)][_0x256d9f(0x168a)][_0x256d9f(0x10c8)]('=')[0x1];break;case _0x256d9f(0x1ecb):_0x57d216[_0x256d9f(0x17d6)][_0x256d9f(0x9e0)]=_0x57d216[_0x256d9f(0x17d6)][_0x256d9f(0x168a)];break;default:{const _0x2eec15=_0x57d216[_0x256d9f(0x17d6)][_0x256d9f(0x168a)][_0x256d9f(0x10c8)](',');_0x57d216[_0x256d9f(0x17d6)][_0x256d9f(0x1651)]=_0x3f65c0()[_0x256d9f(0x2635)](_0x2eec15[0x0])?_0x2eec15[0x0]:isNaN(_0x2eec15[0x0])?_0x2eec15[0x0]:parseInt(_0x2eec15[0x0],0xa),_0x57d216[_0x256d9f(0x17d6)]['secretaccesskey']=_0x3f65c0()[_0x256d9f(0x2635)](_0x2eec15[0x1])?_0x2eec15[0x1]:isNaN(_0x2eec15[0x1])?_0x2eec15[0x1]:parseInt(_0x2eec15[0x1],0xa),_0x57d216['amazonlex']['lexregion']=_0x3f65c0()['isEmpty'](_0x2eec15[0x2])?_0x2eec15[0x2]:isNaN(_0x2eec15[0x2])?_0x2eec15[0x2]:parseInt(_0x2eec15[0x2],0xa),_0x57d216['amazonlex']['botname']=_0x3f65c0()[_0x256d9f(0x2635)](_0x2eec15[0x3])?_0x2eec15[0x3]:isNaN(_0x2eec15[0x3])?_0x2eec15[0x3]:parseInt(_0x2eec15[0x3],0xa),_0x57d216[_0x256d9f(0x17d6)]['welcomemessage']=_0x3f65c0()[_0x256d9f(0x2635)](_0x2eec15[0x4])?_0x2eec15[0x4]:isNaN(_0x2eec15[0x4])?_0x2eec15[0x4]:parseInt(_0x2eec15[0x4],0xa);}break;}else _0x57d216[_0x256d9f(0x17d6)][_0x256d9f(0x1039)]=_0x256d9f(0x20a9);_0x57d216['amazonlex'][_0x256d9f(0x1142)]&&_0x57d216[_0x256d9f(0x17d6)][_0x256d9f(0x1142)][_0x256d9f(0x256e)]()==='outbound'&&_0x57d216[_0x256d9f(0x17d6)][_0x256d9f(0x8f2)][_0x256d9f(0x256e)]()==='outbounddial'&&(_0x57d216[_0x256d9f(0x17d6)][_0x256d9f(0x1e12)]=_0x57d216[_0x256d9f(0x17d6)][_0x256d9f(0x1d55)]?_0x57d216[_0x256d9f(0x17d6)][_0x256d9f(0x1d55)][_0x256d9f(0x10c8)]('$')[0x0]:undefined,_0x57d216[_0x256d9f(0x17d6)]['callerId']=_0x57d216['amazonlex']['callerID']?_0x256d9f(0x1b60)+_0x57d216['amazonlex'][_0x256d9f(0x11be)]:undefined);_0x57d216[_0x256d9f(0x1e69)]=_0x16c908,_0x57d216[_0x256d9f(0x13f3)]=_0x17ba79;function _0x16c908(){const _0x34c6f2=_0x256d9f;_0x57d216[_0x34c6f2(0xcef)]=[];const _0x31ef1b=[];_0x57d216[_0x34c6f2(0x17d6)][_0x34c6f2(0x1142)]&&_0x57d216['amazonlex']['type']['toLowerCase']()===_0x34c6f2(0xc9c)&&_0x57d216['amazonlex']['appType']==='outboundDial'&&(_0x57d216[_0x34c6f2(0x17d6)][_0x34c6f2(0x1d55)]=_0x4768cb[_0x34c6f2(0x1274)]?(_0x57d216[_0x34c6f2(0x17d6)][_0x34c6f2(0x1e12)]||'')+'${EXTEN:'+_0x4768cb[_0x34c6f2(0x1274)]+'}':(_0x57d216[_0x34c6f2(0x17d6)][_0x34c6f2(0x1e12)]||'')+_0x34c6f2(0x20df),_0x4768cb[_0x34c6f2(0x1425)]!=='none'?_0x57d216[_0x34c6f2(0x17d6)][_0x34c6f2(0xa08)][_0x34c6f2(0x172b)](_0x34c6f2(0x1ea4))<0x0&&(_0x57d216[_0x34c6f2(0x17d6)][_0x34c6f2(0xa08)]+='U(xcally-mixmonitor-context)'):_0x57d216['amazonlex']['options']=_0x57d216[_0x34c6f2(0x17d6)][_0x34c6f2(0xa08)][_0x34c6f2(0x5f4)](_0x34c6f2(0x1ea4),''));if(_0x57d216[_0x34c6f2(0x17d6)][_0x34c6f2(0x8f2)]&&_0x57d216['amazonlex'][_0x34c6f2(0x8f2)]===_0x34c6f2(0x1802)){}else switch((_0x57d216[_0x34c6f2(0x17d6)][_0x34c6f2(0x1873)]||_0x57d216[_0x34c6f2(0x17d6)][_0x34c6f2(0x8f2)])[_0x34c6f2(0x256e)]()){case _0x34c6f2(0x26ba):_0x57d216[_0x34c6f2(0x17d6)][_0x34c6f2(0x168a)]=_0x57d216['amazonlex']['name']+'='+_0x57d216[_0x34c6f2(0x17d6)]['value'];break;case _0x34c6f2(0x1802):break;default:_0x31ef1b[0x0]=_0x57d216['amazonlex'][_0x34c6f2(0x1651)],_0x31ef1b[0x1]=_0x57d216[_0x34c6f2(0x17d6)]['secretaccesskey'],_0x31ef1b[0x2]=_0x57d216[_0x34c6f2(0x17d6)][_0x34c6f2(0x1039)],_0x31ef1b[0x3]=_0x57d216[_0x34c6f2(0x17d6)][_0x34c6f2(0x8dd)],_0x31ef1b[0x4]=_0x57d216[_0x34c6f2(0x17d6)][_0x34c6f2(0xaf3)],_0x57d216[_0x34c6f2(0x17d6)]['appdata']=_0x31ef1b[_0x34c6f2(0xb47)](',');}_0x17ba79(_0x57d216[_0x34c6f2(0x17d6)]);}function _0x17ba79(_0x3bb1e3){_0x34b0f7['hide'](_0x3bb1e3);}}const _0x538807=_0x532227;;_0x2737ca[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q','toasty',_0x313a4d(0x2116),_0x313a4d(0xbd7),_0x313a4d(0x247f),'Auth',_0x313a4d(0x2514)];function _0x2737ca(_0x1959ba,_0x149062,_0x2c6ba5,_0x3813e0,_0x5d5513,_0x33b831,_0x2f0c5f,_0x519314){const _0x3bc1b9=_0x313a4d,_0x2e37bd=this;_0x2e37bd[_0x3bc1b9(0x2321)]=_0x2f0c5f[_0x3bc1b9(0xb12)](),_0x2e37bd[_0x3bc1b9(0xcef)]=[],_0x2e37bd[_0x3bc1b9(0x1189)]=_0x3bc1b9(0x29b)+(_0x3813e0['appType']||_0x3813e0[_0x3bc1b9(0x1873)])[_0x3bc1b9(0x1c37)](),_0x2e37bd['autoreply']=angular[_0x3bc1b9(0x235a)](_0x3813e0),_0x2e37bd['crudPermissions']=_0x519314,_0x2e37bd[_0x3bc1b9(0x855)]={};if(_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0x168a)])switch(_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0x8f2)]?_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0x8f2)]['toLowerCase']():_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0x1873)][_0x3bc1b9(0x256e)]()){case'custom':break;case _0x3bc1b9(0xeaa):{const _0x5d6862=_0x2e37bd[_0x3bc1b9(0x1713)]['appdata'][_0x3bc1b9(0x10c8)](',');_0x2e37bd['autoreply']['key']=_0x5d6862[0x0],_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0x90b)]=_0x5d6862[0x1],_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0xaf3)]=_0x5d6862[_0x3bc1b9(0x1298)](0x2,_0x5d6862[_0x3bc1b9(0x402)])[_0x3bc1b9(0xb47)](',');}break;case _0x3bc1b9(0xa46):{const _0x3392f4=_0x2e37bd[_0x3bc1b9(0x1713)]['appdata'][_0x3bc1b9(0x10c8)](',');_0x2e37bd['autoreply']['projectId']=_0x3392f4[0x0],_0x2e37bd['autoreply'][_0x3bc1b9(0x1392)]=_0x3392f4[0x1],_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0x2217)]=_0x3392f4[0x2],_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0x90b)]=_0x3392f4[0x3],_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0xaf3)]=_0x3392f4['slice'](0x4,_0x3392f4[_0x3bc1b9(0x402)])[_0x3bc1b9(0xb47)](',');}break;case _0x3bc1b9(0x17d6):{const _0x301939=_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0x168a)][_0x3bc1b9(0x10c8)](',');_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0x1651)]=_0x301939[0x0],_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0x252)]=_0x301939[0x1],_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0x1039)]=_0x301939[0x2],_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0x8dd)]=_0x301939[0x3],_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0xaf3)]=_0x301939['slice'](0x4,_0x301939[_0x3bc1b9(0x402)])[_0x3bc1b9(0xb47)](',');}break;case'autoreply':{const _0x55a2f1=_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0x168a)]['split'](',');_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0x583)]=isNaN(_0x55a2f1[0x0])?_0x55a2f1[0x0]:parseInt(_0x55a2f1[0x0],0xa),_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0xa8d)]=_0x55a2f1[_0x3bc1b9(0x1298)](0x1,_0x55a2f1[_0x3bc1b9(0x402)])['join'](',');}break;case'message':_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0xa8d)]=_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0x168a)];break;case _0x3bc1b9(0x26ba):_0x2e37bd[_0x3bc1b9(0x1713)]['name']=_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0x168a)][_0x3bc1b9(0x10c8)]('=')[0x0],_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0x175d)]=_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0x168a)][_0x3bc1b9(0x10c8)]('=')[0x1];break;case _0x3bc1b9(0x1ecb):_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0x9e0)]=_0x2e37bd[_0x3bc1b9(0x1713)]['appdata'];break;default:{const _0x32d526=_0x2e37bd['autoreply'][_0x3bc1b9(0x168a)][_0x3bc1b9(0x10c8)](',');_0x2e37bd['autoreply'][_0x3bc1b9(0x583)]=_0x3f65c0()[_0x3bc1b9(0x2635)](_0x32d526[0x0])?_0x32d526[0x0]:isNaN(_0x32d526[0x0])?_0x32d526[0x0]:parseInt(_0x32d526[0x0],0xa),_0x2e37bd['autoreply'][_0x3bc1b9(0xa8d)]=_0x3f65c0()[_0x3bc1b9(0x2635)](_0x32d526[0x1])?_0x32d526[0x1]:isNaN(_0x32d526[0x1])?_0x32d526[0x1]:parseInt(_0x32d526[0x1],0xa);}break;}else _0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0x583)]=0x1;_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0x1142)]&&_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0x1142)][_0x3bc1b9(0x256e)]()===_0x3bc1b9(0xc9c)&&_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0x8f2)][_0x3bc1b9(0x256e)]()===_0x3bc1b9(0x3bb)&&(_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0x1e12)]=_0x2e37bd[_0x3bc1b9(0x1713)]['phone']?_0x2e37bd[_0x3bc1b9(0x1713)][_0x3bc1b9(0x1d55)][_0x3bc1b9(0x10c8)]('$')[0x0]:undefined,_0x2e37bd['autoreply']['callerId']=_0x2e37bd['autoreply'][_0x3bc1b9(0x11be)]?_0x3bc1b9(0x1b60)+_0x2e37bd[_0x3bc1b9(0x1713)]['callerID']:undefined);_0x2e37bd['saveOpenchannelAccountApp']=_0x52ff3f,_0x2e37bd[_0x3bc1b9(0x13f3)]=_0x2ffa71;function _0x52ff3f(){const _0xaeb041=_0x3bc1b9;_0x2e37bd[_0xaeb041(0xcef)]=[];const _0x58bff5=[];_0x2e37bd['autoreply'][_0xaeb041(0x1142)]&&_0x2e37bd[_0xaeb041(0x1713)]['type'][_0xaeb041(0x256e)]()==='outbound'&&_0x2e37bd[_0xaeb041(0x1713)]['appType']===_0xaeb041(0x118b)&&(_0x2e37bd[_0xaeb041(0x1713)][_0xaeb041(0x1d55)]=_0x5d5513[_0xaeb041(0x1274)]?(_0x2e37bd[_0xaeb041(0x1713)][_0xaeb041(0x1e12)]||'')+'${EXTEN:'+_0x5d5513['cutdigits']+'}':(_0x2e37bd[_0xaeb041(0x1713)][_0xaeb041(0x1e12)]||'')+_0xaeb041(0x20df),_0x5d5513['recordingFormat']!==_0xaeb041(0x1642)?_0x2e37bd[_0xaeb041(0x1713)][_0xaeb041(0xa08)][_0xaeb041(0x172b)](_0xaeb041(0x1ea4))<0x0&&(_0x2e37bd['autoreply'][_0xaeb041(0xa08)]+=_0xaeb041(0x1ea4)):_0x2e37bd['autoreply'][_0xaeb041(0xa08)]=_0x2e37bd[_0xaeb041(0x1713)][_0xaeb041(0xa08)]['replace'](_0xaeb041(0x1ea4),''));if(_0x2e37bd[_0xaeb041(0x1713)][_0xaeb041(0x8f2)]&&_0x2e37bd['autoreply'][_0xaeb041(0x8f2)]===_0xaeb041(0x1802)){}else switch((_0x2e37bd[_0xaeb041(0x1713)]['app']||_0x2e37bd['autoreply']['appType'])['toLowerCase']()){case _0xaeb041(0x26ba):_0x2e37bd[_0xaeb041(0x1713)][_0xaeb041(0x168a)]=_0x2e37bd[_0xaeb041(0x1713)][_0xaeb041(0x19eb)]+'='+_0x2e37bd[_0xaeb041(0x1713)][_0xaeb041(0x175d)];break;case _0xaeb041(0x1802):break;default:_0x58bff5[0x0]=_0x2e37bd['autoreply']['times'],_0x58bff5[0x1]=_0x2e37bd['autoreply'][_0xaeb041(0xa8d)],_0x2e37bd['autoreply']['appdata']=_0x58bff5[_0xaeb041(0xb47)](',');}_0x2ffa71(_0x2e37bd['autoreply']);}function _0x2ffa71(_0x1e377c){const _0x1b088f=_0x3bc1b9;_0x1959ba[_0x1b088f(0x2458)](_0x1e377c);}}const _0x4d28a8=_0x2737ca;;_0x589f10[_0x313a4d(0x11c2)]=['$mdDialog','$q','toasty',_0x313a4d(0x2116),_0x313a4d(0xbd7),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x589f10(_0x57bdea,_0x479484,_0x5e502f,_0x27a06e,_0xfeb221,_0x23857f,_0x16004b,_0x5b2c9d){const _0x3f97db=_0x313a4d,_0x128ccf=this;_0x128ccf['currentUser']=_0x16004b[_0x3f97db(0xb12)](),_0x128ccf[_0x3f97db(0xcef)]=[],_0x128ccf[_0x3f97db(0x1189)]=_0x3f97db(0x29b)+(_0x27a06e[_0x3f97db(0x8f2)]||_0x27a06e['app'])['toUpperCase'](),_0x128ccf[_0x3f97db(0xa7c)]=angular[_0x3f97db(0x235a)](_0x27a06e),_0x128ccf[_0x3f97db(0x2514)]=_0x5b2c9d,_0x128ccf[_0x3f97db(0x855)]={};if(_0x128ccf['close'][_0x3f97db(0x168a)])switch(_0x128ccf[_0x3f97db(0xa7c)][_0x3f97db(0x8f2)]?_0x128ccf[_0x3f97db(0xa7c)]['appType']['toLowerCase']():_0x128ccf['close'][_0x3f97db(0x1873)]['toLowerCase']()){case _0x3f97db(0x1802):break;case _0x3f97db(0xeaa):{const _0x207e03=_0x128ccf['close']['appdata']['split'](',');_0x128ccf['close'][_0x3f97db(0x2293)]=_0x207e03[0x0],_0x128ccf[_0x3f97db(0xa7c)][_0x3f97db(0x90b)]=_0x207e03[0x1],_0x128ccf[_0x3f97db(0xa7c)]['welcomemessage']=_0x207e03[_0x3f97db(0x1298)](0x2,_0x207e03[_0x3f97db(0x402)])[_0x3f97db(0xb47)](',');}break;case _0x3f97db(0xa46):{const _0x580f2e=_0x128ccf[_0x3f97db(0xa7c)][_0x3f97db(0x168a)][_0x3f97db(0x10c8)](',');_0x128ccf[_0x3f97db(0xa7c)][_0x3f97db(0x153a)]=_0x580f2e[0x0],_0x128ccf[_0x3f97db(0xa7c)][_0x3f97db(0x1392)]=_0x580f2e[0x1],_0x128ccf[_0x3f97db(0xa7c)][_0x3f97db(0x2217)]=_0x580f2e[0x2],_0x128ccf[_0x3f97db(0xa7c)]['language']=_0x580f2e[0x3],_0x128ccf[_0x3f97db(0xa7c)][_0x3f97db(0xaf3)]=_0x580f2e[_0x3f97db(0x1298)](0x4,_0x580f2e[_0x3f97db(0x402)])[_0x3f97db(0xb47)](',');}break;case _0x3f97db(0x17d6):{const _0x39502d=_0x128ccf['close'][_0x3f97db(0x168a)][_0x3f97db(0x10c8)](',');_0x128ccf[_0x3f97db(0xa7c)][_0x3f97db(0x1651)]=_0x39502d[0x0],_0x128ccf['close'][_0x3f97db(0x252)]=_0x39502d[0x1],_0x128ccf[_0x3f97db(0xa7c)][_0x3f97db(0x1039)]=_0x39502d[0x2],_0x128ccf[_0x3f97db(0xa7c)]['botname']=_0x39502d[0x3],_0x128ccf[_0x3f97db(0xa7c)]['welcomemessage']=_0x39502d[_0x3f97db(0x1298)](0x4,_0x39502d['length'])[_0x3f97db(0xb47)](',');}break;case _0x3f97db(0x1713):{const _0x693757=_0x128ccf['close'][_0x3f97db(0x168a)][_0x3f97db(0x10c8)](',');_0x128ccf[_0x3f97db(0xa7c)][_0x3f97db(0x583)]=isNaN(_0x693757[0x0])?_0x693757[0x0]:parseInt(_0x693757[0x0],0xa),_0x128ccf[_0x3f97db(0xa7c)][_0x3f97db(0xa8d)]=_0x693757[_0x3f97db(0x1298)](0x1,_0x693757[_0x3f97db(0x402)])[_0x3f97db(0xb47)](',');}break;case'message':_0x128ccf[_0x3f97db(0xa7c)]['text']=_0x128ccf[_0x3f97db(0xa7c)][_0x3f97db(0x168a)];break;case _0x3f97db(0x26ba):_0x128ccf[_0x3f97db(0xa7c)][_0x3f97db(0x19eb)]=_0x128ccf[_0x3f97db(0xa7c)][_0x3f97db(0x168a)][_0x3f97db(0x10c8)]('=')[0x0],_0x128ccf[_0x3f97db(0xa7c)]['value']=_0x128ccf[_0x3f97db(0xa7c)]['appdata'][_0x3f97db(0x10c8)]('=')[0x1];break;case _0x3f97db(0x1ecb):_0x128ccf['close'][_0x3f97db(0x9e0)]=_0x128ccf[_0x3f97db(0xa7c)][_0x3f97db(0x168a)];break;default:{const _0x17339d=_0x128ccf[_0x3f97db(0xa7c)][_0x3f97db(0x168a)]['split'](',');_0x128ccf[_0x3f97db(0xa7c)][_0x3f97db(0x9e1)]=_0x3f65c0()['isEmpty'](_0x17339d[0x0])?_0x17339d[0x0]:isNaN(_0x17339d[0x0])?_0x17339d[0x0]:parseInt(_0x17339d[0x0],0xa);}break;}else{}_0x128ccf[_0x3f97db(0xa7c)][_0x3f97db(0x1142)]&&_0x128ccf[_0x3f97db(0xa7c)][_0x3f97db(0x1142)][_0x3f97db(0x256e)]()===_0x3f97db(0xc9c)&&_0x128ccf['close'][_0x3f97db(0x8f2)]['toLowerCase']()===_0x3f97db(0x3bb)&&(_0x128ccf[_0x3f97db(0xa7c)][_0x3f97db(0x1e12)]=_0x128ccf[_0x3f97db(0xa7c)][_0x3f97db(0x1d55)]?_0x128ccf[_0x3f97db(0xa7c)]['phone'][_0x3f97db(0x10c8)]('$')[0x0]:undefined,_0x128ccf['close'][_0x3f97db(0x1d43)]=_0x128ccf[_0x3f97db(0xa7c)][_0x3f97db(0x11be)]?_0x3f97db(0x1b60)+_0x128ccf['close'][_0x3f97db(0x11be)]:undefined);_0x128ccf[_0x3f97db(0x1e69)]=_0x4c3c3b,_0x128ccf[_0x3f97db(0x13f3)]=_0x14c50b;function _0x4c3c3b(){const _0xe4c3d5=_0x3f97db;_0x128ccf['errors']=[];const _0x146281=[];_0x128ccf[_0xe4c3d5(0xa7c)][_0xe4c3d5(0x1142)]&&_0x128ccf[_0xe4c3d5(0xa7c)][_0xe4c3d5(0x1142)][_0xe4c3d5(0x256e)]()===_0xe4c3d5(0xc9c)&&_0x128ccf[_0xe4c3d5(0xa7c)][_0xe4c3d5(0x8f2)]==='outboundDial'&&(_0x128ccf[_0xe4c3d5(0xa7c)][_0xe4c3d5(0x1d55)]=_0xfeb221[_0xe4c3d5(0x1274)]?(_0x128ccf[_0xe4c3d5(0xa7c)][_0xe4c3d5(0x1e12)]||'')+_0xe4c3d5(0x2147)+_0xfeb221[_0xe4c3d5(0x1274)]+'}':(_0x128ccf[_0xe4c3d5(0xa7c)][_0xe4c3d5(0x1e12)]||'')+_0xe4c3d5(0x20df),_0xfeb221[_0xe4c3d5(0x1425)]!=='none'?_0x128ccf['close']['options'][_0xe4c3d5(0x172b)]('U(xcally-mixmonitor-context)')<0x0&&(_0x128ccf[_0xe4c3d5(0xa7c)][_0xe4c3d5(0xa08)]+=_0xe4c3d5(0x1ea4)):_0x128ccf[_0xe4c3d5(0xa7c)]['options']=_0x128ccf['close']['options'][_0xe4c3d5(0x5f4)]('U(xcally-mixmonitor-context)',''));if(_0x128ccf[_0xe4c3d5(0xa7c)]['appType']&&_0x128ccf[_0xe4c3d5(0xa7c)][_0xe4c3d5(0x8f2)]===_0xe4c3d5(0x1802)){}else switch((_0x128ccf['close'][_0xe4c3d5(0x1873)]||_0x128ccf[_0xe4c3d5(0xa7c)][_0xe4c3d5(0x8f2)])['toLowerCase']()){case _0xe4c3d5(0x26ba):_0x128ccf['close'][_0xe4c3d5(0x168a)]=_0x128ccf[_0xe4c3d5(0xa7c)][_0xe4c3d5(0x19eb)]+'='+_0x128ccf[_0xe4c3d5(0xa7c)][_0xe4c3d5(0x175d)];break;case'custom':break;default:_0x146281[0x0]=_0x128ccf[_0xe4c3d5(0xa7c)][_0xe4c3d5(0x9e1)],_0x128ccf['close'][_0xe4c3d5(0x168a)]=_0x146281['join'](',');}_0x14c50b(_0x128ccf[_0xe4c3d5(0xa7c)]);}function _0x14c50b(_0x572dd3){const _0x542912=_0x3f97db;_0x57bdea[_0x542912(0x2458)](_0x572dd3);}}const _0x8e2c3b=_0x589f10;;_0x2683ef[_0x313a4d(0x11c2)]=['$mdDialog','$q','toasty','openchannelAccountApp',_0x313a4d(0xbd7),_0x313a4d(0x247f),_0x313a4d(0xa87),'crudPermissions'];function _0x2683ef(_0x453c6f,_0x5d4941,_0x267315,_0x4aff24,_0x1514d0,_0x6d50e3,_0x4a7045,_0x47120b){const _0x923ff3=_0x313a4d,_0x48559f=this;_0x48559f[_0x923ff3(0x2321)]=_0x4a7045[_0x923ff3(0xb12)](),_0x48559f[_0x923ff3(0xcef)]=[],_0x48559f[_0x923ff3(0x1189)]=_0x923ff3(0x29b)+(_0x4aff24['appType']||_0x4aff24['app'])[_0x923ff3(0x1c37)](),_0x48559f[_0x923ff3(0xeaa)]=angular[_0x923ff3(0x235a)](_0x4aff24),_0x48559f['crudPermissions']=_0x47120b,_0x48559f[_0x923ff3(0x855)]={};if(_0x48559f[_0x923ff3(0xeaa)]['appdata'])switch(_0x48559f[_0x923ff3(0xeaa)]['appType']?_0x48559f[_0x923ff3(0xeaa)][_0x923ff3(0x8f2)][_0x923ff3(0x256e)]():_0x48559f['dialogflow'][_0x923ff3(0x1873)][_0x923ff3(0x256e)]()){case'custom':break;case _0x923ff3(0xeaa):{const _0x11f0f7=_0x48559f[_0x923ff3(0xeaa)][_0x923ff3(0x168a)][_0x923ff3(0x10c8)](',');_0x48559f[_0x923ff3(0xeaa)][_0x923ff3(0x2293)]=_0x11f0f7[0x0],_0x48559f['dialogflow'][_0x923ff3(0x90b)]=_0x11f0f7[0x1],_0x48559f[_0x923ff3(0xeaa)][_0x923ff3(0xaf3)]=_0x11f0f7['slice'](0x2,_0x11f0f7['length'])[_0x923ff3(0xb47)](',');}break;case _0x923ff3(0xa46):{const _0x55f8c7=_0x48559f['dialogflow'][_0x923ff3(0x168a)][_0x923ff3(0x10c8)](',');_0x48559f[_0x923ff3(0xeaa)][_0x923ff3(0x153a)]=_0x55f8c7[0x0],_0x48559f['dialogflow']['clientEmail']=_0x55f8c7[0x1],_0x48559f[_0x923ff3(0xeaa)][_0x923ff3(0x2217)]=_0x55f8c7[0x2],_0x48559f[_0x923ff3(0xeaa)]['language']=_0x55f8c7[0x3],_0x48559f[_0x923ff3(0xeaa)]['welcomemessage']=_0x55f8c7['slice'](0x4,_0x55f8c7[_0x923ff3(0x402)])[_0x923ff3(0xb47)](',');}break;case _0x923ff3(0x17d6):{const _0x43dba9=_0x48559f[_0x923ff3(0xeaa)][_0x923ff3(0x168a)][_0x923ff3(0x10c8)](',');_0x48559f[_0x923ff3(0xeaa)][_0x923ff3(0x1651)]=_0x43dba9[0x0],_0x48559f['dialogflow'][_0x923ff3(0x252)]=_0x43dba9[0x1],_0x48559f[_0x923ff3(0xeaa)][_0x923ff3(0x1039)]=_0x43dba9[0x2],_0x48559f[_0x923ff3(0xeaa)][_0x923ff3(0x8dd)]=_0x43dba9[0x3],_0x48559f[_0x923ff3(0xeaa)][_0x923ff3(0xaf3)]=_0x43dba9[_0x923ff3(0x1298)](0x4,_0x43dba9[_0x923ff3(0x402)])[_0x923ff3(0xb47)](',');}break;case'autoreply':{const _0x89fa1e=_0x48559f[_0x923ff3(0xeaa)][_0x923ff3(0x168a)][_0x923ff3(0x10c8)](',');_0x48559f[_0x923ff3(0xeaa)]['times']=isNaN(_0x89fa1e[0x0])?_0x89fa1e[0x0]:parseInt(_0x89fa1e[0x0],0xa),_0x48559f[_0x923ff3(0xeaa)]['text']=_0x89fa1e['slice'](0x1,_0x89fa1e[_0x923ff3(0x402)])[_0x923ff3(0xb47)](',');}break;case _0x923ff3(0x7fd):_0x48559f[_0x923ff3(0xeaa)][_0x923ff3(0xa8d)]=_0x48559f[_0x923ff3(0xeaa)][_0x923ff3(0x168a)];break;case _0x923ff3(0x26ba):_0x48559f[_0x923ff3(0xeaa)][_0x923ff3(0x19eb)]=_0x48559f[_0x923ff3(0xeaa)]['appdata'][_0x923ff3(0x10c8)]('=')[0x0],_0x48559f[_0x923ff3(0xeaa)]['value']=_0x48559f[_0x923ff3(0xeaa)][_0x923ff3(0x168a)][_0x923ff3(0x10c8)]('=')[0x1];break;case _0x923ff3(0x1ecb):_0x48559f[_0x923ff3(0xeaa)][_0x923ff3(0x9e0)]=_0x48559f['dialogflow'][_0x923ff3(0x168a)];break;default:{const _0x75602d=_0x48559f[_0x923ff3(0xeaa)][_0x923ff3(0x168a)][_0x923ff3(0x10c8)](',');_0x48559f[_0x923ff3(0xeaa)][_0x923ff3(0x2293)]=_0x3f65c0()[_0x923ff3(0x2635)](_0x75602d[0x0])?_0x75602d[0x0]:isNaN(_0x75602d[0x0])?_0x75602d[0x0]:parseInt(_0x75602d[0x0],0xa),_0x48559f[_0x923ff3(0xeaa)][_0x923ff3(0x90b)]=_0x3f65c0()['isEmpty'](_0x75602d[0x1])?_0x75602d[0x1]:isNaN(_0x75602d[0x1])?_0x75602d[0x1]:parseInt(_0x75602d[0x1],0xa),_0x48559f[_0x923ff3(0xeaa)][_0x923ff3(0xaf3)]=_0x3f65c0()['isEmpty'](_0x75602d[0x2])?_0x75602d[0x2]:isNaN(_0x75602d[0x2])?_0x75602d[0x2]:parseInt(_0x75602d[0x2],0xa);}break;}else _0x48559f['dialogflow'][_0x923ff3(0x90b)]='en';_0x48559f[_0x923ff3(0xeaa)]['type']&&_0x48559f['dialogflow'][_0x923ff3(0x1142)]['toLowerCase']()===_0x923ff3(0xc9c)&&_0x48559f['dialogflow']['appType'][_0x923ff3(0x256e)]()==='outbounddial'&&(_0x48559f[_0x923ff3(0xeaa)][_0x923ff3(0x1e12)]=_0x48559f[_0x923ff3(0xeaa)]['phone']?_0x48559f[_0x923ff3(0xeaa)]['phone'][_0x923ff3(0x10c8)]('$')[0x0]:undefined,_0x48559f[_0x923ff3(0xeaa)][_0x923ff3(0x1d43)]=_0x48559f[_0x923ff3(0xeaa)][_0x923ff3(0x11be)]?_0x923ff3(0x1b60)+_0x48559f[_0x923ff3(0xeaa)][_0x923ff3(0x11be)]:undefined);_0x48559f['saveOpenchannelAccountApp']=_0x132327,_0x48559f[_0x923ff3(0x13f3)]=_0x49ae80;function _0x132327(){const _0xa4dd06=_0x923ff3;_0x48559f['errors']=[];const _0x273958=[];_0x48559f[_0xa4dd06(0xeaa)][_0xa4dd06(0x1142)]&&_0x48559f[_0xa4dd06(0xeaa)][_0xa4dd06(0x1142)][_0xa4dd06(0x256e)]()===_0xa4dd06(0xc9c)&&_0x48559f[_0xa4dd06(0xeaa)][_0xa4dd06(0x8f2)]==='outboundDial'&&(_0x48559f[_0xa4dd06(0xeaa)][_0xa4dd06(0x1d55)]=_0x1514d0[_0xa4dd06(0x1274)]?(_0x48559f[_0xa4dd06(0xeaa)][_0xa4dd06(0x1e12)]||'')+'${EXTEN:'+_0x1514d0['cutdigits']+'}':(_0x48559f['dialogflow'][_0xa4dd06(0x1e12)]||'')+'${EXTEN}',_0x1514d0[_0xa4dd06(0x1425)]!=='none'?_0x48559f['dialogflow']['options'][_0xa4dd06(0x172b)](_0xa4dd06(0x1ea4))<0x0&&(_0x48559f['dialogflow'][_0xa4dd06(0xa08)]+=_0xa4dd06(0x1ea4)):_0x48559f['dialogflow'][_0xa4dd06(0xa08)]=_0x48559f['dialogflow'][_0xa4dd06(0xa08)][_0xa4dd06(0x5f4)]('U(xcally-mixmonitor-context)',''));if(_0x48559f[_0xa4dd06(0xeaa)][_0xa4dd06(0x8f2)]&&_0x48559f['dialogflow'][_0xa4dd06(0x8f2)]===_0xa4dd06(0x1802)){}else switch((_0x48559f[_0xa4dd06(0xeaa)]['app']||_0x48559f['dialogflow']['appType'])[_0xa4dd06(0x256e)]()){case _0xa4dd06(0x26ba):_0x48559f[_0xa4dd06(0xeaa)][_0xa4dd06(0x168a)]=_0x48559f[_0xa4dd06(0xeaa)][_0xa4dd06(0x19eb)]+'='+_0x48559f['dialogflow']['value'];break;case _0xa4dd06(0x1802):break;default:_0x273958[0x0]=_0x48559f[_0xa4dd06(0xeaa)]['key'],_0x273958[0x1]=_0x48559f[_0xa4dd06(0xeaa)][_0xa4dd06(0x90b)],_0x273958[0x2]=_0x48559f[_0xa4dd06(0xeaa)][_0xa4dd06(0xaf3)],_0x48559f[_0xa4dd06(0xeaa)]['appdata']=_0x273958['join'](',');}_0x49ae80(_0x48559f[_0xa4dd06(0xeaa)]);}function _0x49ae80(_0x568c92){_0x453c6f['hide'](_0x568c92);}}const _0x535c61=_0x2683ef;;_0x9b1cfa[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x2116),_0x313a4d(0xbd7),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x9b1cfa(_0x511a6c,_0x34204b,_0x4a6758,_0x39747f,_0x398bd8,_0x2c38df,_0x3a2f8a,_0x394030){const _0x5d4334=_0x313a4d,_0x4bfa85=this;_0x4bfa85[_0x5d4334(0x2321)]=_0x3a2f8a[_0x5d4334(0xb12)](),_0x4bfa85[_0x5d4334(0xcef)]=[],_0x4bfa85[_0x5d4334(0x1189)]=_0x5d4334(0x29b)+(_0x39747f[_0x5d4334(0x8f2)]||_0x39747f['app'])[_0x5d4334(0x1c37)](),_0x4bfa85[_0x5d4334(0x609)]=angular[_0x5d4334(0x235a)](_0x39747f),_0x4bfa85[_0x5d4334(0x2514)]=_0x394030,_0x4bfa85[_0x5d4334(0x855)]={};if(_0x4bfa85[_0x5d4334(0x609)][_0x5d4334(0x168a)])switch(_0x4bfa85[_0x5d4334(0x609)][_0x5d4334(0x8f2)]?_0x4bfa85[_0x5d4334(0x609)]['appType'][_0x5d4334(0x256e)]():_0x4bfa85[_0x5d4334(0x609)]['app']['toLowerCase']()){case _0x5d4334(0x1802):break;case _0x5d4334(0xeaa):{const _0x8cb41e=_0x4bfa85['gotoif']['appdata'][_0x5d4334(0x10c8)](',');_0x4bfa85[_0x5d4334(0x609)][_0x5d4334(0x2293)]=_0x8cb41e[0x0],_0x4bfa85['gotoif'][_0x5d4334(0x90b)]=_0x8cb41e[0x1],_0x4bfa85[_0x5d4334(0x609)]['welcomemessage']=_0x8cb41e[_0x5d4334(0x1298)](0x2,_0x8cb41e[_0x5d4334(0x402)])['join'](',');}break;case _0x5d4334(0xa46):{const _0x16d5d2=_0x4bfa85[_0x5d4334(0x609)][_0x5d4334(0x168a)][_0x5d4334(0x10c8)](',');_0x4bfa85[_0x5d4334(0x609)]['projectId']=_0x16d5d2[0x0],_0x4bfa85[_0x5d4334(0x609)][_0x5d4334(0x1392)]=_0x16d5d2[0x1],_0x4bfa85['gotoif'][_0x5d4334(0x2217)]=_0x16d5d2[0x2],_0x4bfa85[_0x5d4334(0x609)][_0x5d4334(0x90b)]=_0x16d5d2[0x3],_0x4bfa85[_0x5d4334(0x609)][_0x5d4334(0xaf3)]=_0x16d5d2['slice'](0x4,_0x16d5d2[_0x5d4334(0x402)])[_0x5d4334(0xb47)](',');}break;case _0x5d4334(0x17d6):{const _0x19cff5=_0x4bfa85['gotoif']['appdata'][_0x5d4334(0x10c8)](',');_0x4bfa85[_0x5d4334(0x609)][_0x5d4334(0x1651)]=_0x19cff5[0x0],_0x4bfa85[_0x5d4334(0x609)][_0x5d4334(0x252)]=_0x19cff5[0x1],_0x4bfa85[_0x5d4334(0x609)][_0x5d4334(0x1039)]=_0x19cff5[0x2],_0x4bfa85[_0x5d4334(0x609)][_0x5d4334(0x8dd)]=_0x19cff5[0x3],_0x4bfa85[_0x5d4334(0x609)]['welcomemessage']=_0x19cff5['slice'](0x4,_0x19cff5[_0x5d4334(0x402)])[_0x5d4334(0xb47)](',');}break;case'autoreply':{const _0x4520f1=_0x4bfa85[_0x5d4334(0x609)]['appdata'][_0x5d4334(0x10c8)](',');_0x4bfa85[_0x5d4334(0x609)]['times']=isNaN(_0x4520f1[0x0])?_0x4520f1[0x0]:parseInt(_0x4520f1[0x0],0xa),_0x4bfa85['gotoif'][_0x5d4334(0xa8d)]=_0x4520f1[_0x5d4334(0x1298)](0x1,_0x4520f1['length'])[_0x5d4334(0xb47)](',');}break;case _0x5d4334(0x7fd):_0x4bfa85[_0x5d4334(0x609)]['text']=_0x4bfa85['gotoif'][_0x5d4334(0x168a)];break;case _0x5d4334(0x26ba):_0x4bfa85[_0x5d4334(0x609)]['name']=_0x4bfa85[_0x5d4334(0x609)][_0x5d4334(0x168a)][_0x5d4334(0x10c8)]('=')[0x0],_0x4bfa85[_0x5d4334(0x609)][_0x5d4334(0x175d)]=_0x4bfa85[_0x5d4334(0x609)][_0x5d4334(0x168a)][_0x5d4334(0x10c8)]('=')[0x1];break;case'agi':_0x4bfa85[_0x5d4334(0x609)][_0x5d4334(0x9e0)]=_0x4bfa85[_0x5d4334(0x609)]['appdata'];break;default:{const _0x793383=_0x4bfa85[_0x5d4334(0x609)][_0x5d4334(0x168a)][_0x5d4334(0x10c8)](',');_0x4bfa85['gotoif'][_0x5d4334(0x115f)]=_0x3f65c0()[_0x5d4334(0x2635)](_0x793383[0x0])?_0x793383[0x0]:isNaN(_0x793383[0x0])?_0x793383[0x0]:parseInt(_0x793383[0x0],0xa),_0x4bfa85[_0x5d4334(0x609)][_0x5d4334(0x1d78)]=_0x3f65c0()[_0x5d4334(0x2635)](_0x793383[0x1])?_0x793383[0x1]:isNaN(_0x793383[0x1])?_0x793383[0x1]:parseInt(_0x793383[0x1],0xa),_0x4bfa85[_0x5d4334(0x609)][_0x5d4334(0x28e1)]=_0x3f65c0()[_0x5d4334(0x2635)](_0x793383[0x2])?_0x793383[0x2]:isNaN(_0x793383[0x2])?_0x793383[0x2]:parseInt(_0x793383[0x2],0xa);}break;}else{}_0x4bfa85[_0x5d4334(0x609)]['type']&&_0x4bfa85['gotoif'][_0x5d4334(0x1142)][_0x5d4334(0x256e)]()===_0x5d4334(0xc9c)&&_0x4bfa85[_0x5d4334(0x609)][_0x5d4334(0x8f2)]['toLowerCase']()===_0x5d4334(0x3bb)&&(_0x4bfa85[_0x5d4334(0x609)][_0x5d4334(0x1e12)]=_0x4bfa85[_0x5d4334(0x609)][_0x5d4334(0x1d55)]?_0x4bfa85[_0x5d4334(0x609)][_0x5d4334(0x1d55)][_0x5d4334(0x10c8)]('$')[0x0]:undefined,_0x4bfa85[_0x5d4334(0x609)]['callerId']=_0x4bfa85[_0x5d4334(0x609)][_0x5d4334(0x11be)]?_0x5d4334(0x1b60)+_0x4bfa85[_0x5d4334(0x609)][_0x5d4334(0x11be)]:undefined);_0x4bfa85[_0x5d4334(0x1e69)]=_0x28ff60,_0x4bfa85[_0x5d4334(0x13f3)]=_0x49c5ad;function _0x28ff60(){const _0x1eb3a2=_0x5d4334;_0x4bfa85[_0x1eb3a2(0xcef)]=[];const _0x32f5ef=[];_0x4bfa85[_0x1eb3a2(0x609)]['type']&&_0x4bfa85[_0x1eb3a2(0x609)][_0x1eb3a2(0x1142)][_0x1eb3a2(0x256e)]()===_0x1eb3a2(0xc9c)&&_0x4bfa85[_0x1eb3a2(0x609)][_0x1eb3a2(0x8f2)]===_0x1eb3a2(0x118b)&&(_0x4bfa85[_0x1eb3a2(0x609)][_0x1eb3a2(0x1d55)]=_0x398bd8['cutdigits']?(_0x4bfa85[_0x1eb3a2(0x609)]['prefix']||'')+'${EXTEN:'+_0x398bd8['cutdigits']+'}':(_0x4bfa85[_0x1eb3a2(0x609)][_0x1eb3a2(0x1e12)]||'')+_0x1eb3a2(0x20df),_0x398bd8['recordingFormat']!=='none'?_0x4bfa85[_0x1eb3a2(0x609)]['options'][_0x1eb3a2(0x172b)](_0x1eb3a2(0x1ea4))<0x0&&(_0x4bfa85[_0x1eb3a2(0x609)]['options']+=_0x1eb3a2(0x1ea4)):_0x4bfa85[_0x1eb3a2(0x609)][_0x1eb3a2(0xa08)]=_0x4bfa85[_0x1eb3a2(0x609)][_0x1eb3a2(0xa08)][_0x1eb3a2(0x5f4)](_0x1eb3a2(0x1ea4),''));if(_0x4bfa85[_0x1eb3a2(0x609)][_0x1eb3a2(0x8f2)]&&_0x4bfa85[_0x1eb3a2(0x609)]['appType']===_0x1eb3a2(0x1802)){}else switch((_0x4bfa85[_0x1eb3a2(0x609)]['app']||_0x4bfa85[_0x1eb3a2(0x609)][_0x1eb3a2(0x8f2)])[_0x1eb3a2(0x256e)]()){case _0x1eb3a2(0x26ba):_0x4bfa85[_0x1eb3a2(0x609)][_0x1eb3a2(0x168a)]=_0x4bfa85[_0x1eb3a2(0x609)]['name']+'='+_0x4bfa85['gotoif']['value'];break;case _0x1eb3a2(0x1802):break;default:_0x32f5ef[0x0]=_0x4bfa85[_0x1eb3a2(0x609)][_0x1eb3a2(0x115f)],_0x32f5ef[0x1]=_0x4bfa85[_0x1eb3a2(0x609)][_0x1eb3a2(0x1d78)],_0x32f5ef[0x2]=_0x4bfa85[_0x1eb3a2(0x609)][_0x1eb3a2(0x28e1)],_0x4bfa85['gotoif'][_0x1eb3a2(0x168a)]=_0x32f5ef[_0x1eb3a2(0xb47)](',');}_0x49c5ad(_0x4bfa85[_0x1eb3a2(0x609)]);}function _0x49c5ad(_0x2fec25){const _0x1cb431=_0x5d4334;_0x511a6c[_0x1cb431(0x2458)](_0x2fec25);}}const _0x3886b3=_0x9b1cfa;;_0x2e3aad[_0x313a4d(0x11c2)]=['$mdDialog','$q',_0x313a4d(0x1fe4),_0x313a4d(0x2116),'openchannelAccount','api',_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x2e3aad(_0x265dc1,_0x4466ac,_0x20b665,_0x31e47d,_0x3396f0,_0x4b3dc5,_0x667371,_0x3bb044){const _0x382057=_0x313a4d,_0x11eff1=this;_0x11eff1[_0x382057(0x2321)]=_0x667371[_0x382057(0xb12)](),_0x11eff1['errors']=[],_0x11eff1[_0x382057(0x1189)]=_0x382057(0x29b)+(_0x31e47d[_0x382057(0x8f2)]||_0x31e47d[_0x382057(0x1873)])[_0x382057(0x1c37)](),_0x11eff1[_0x382057(0x28f4)]=angular[_0x382057(0x235a)](_0x31e47d),_0x11eff1[_0x382057(0x2514)]=_0x3bb044,_0x11eff1[_0x382057(0x855)]={};if(_0x11eff1[_0x382057(0x28f4)][_0x382057(0x168a)])switch(_0x11eff1['gotop'][_0x382057(0x8f2)]?_0x11eff1[_0x382057(0x28f4)][_0x382057(0x8f2)]['toLowerCase']():_0x11eff1[_0x382057(0x28f4)]['app'][_0x382057(0x256e)]()){case _0x382057(0x1802):break;case _0x382057(0xeaa):{const _0x39cba2=_0x11eff1['gotop']['appdata']['split'](',');_0x11eff1[_0x382057(0x28f4)]['key']=_0x39cba2[0x0],_0x11eff1[_0x382057(0x28f4)][_0x382057(0x90b)]=_0x39cba2[0x1],_0x11eff1['gotop'][_0x382057(0xaf3)]=_0x39cba2[_0x382057(0x1298)](0x2,_0x39cba2[_0x382057(0x402)])['join'](',');}break;case _0x382057(0xa46):{const _0x3964b6=_0x11eff1[_0x382057(0x28f4)][_0x382057(0x168a)][_0x382057(0x10c8)](',');_0x11eff1[_0x382057(0x28f4)][_0x382057(0x153a)]=_0x3964b6[0x0],_0x11eff1[_0x382057(0x28f4)]['clientEmail']=_0x3964b6[0x1],_0x11eff1[_0x382057(0x28f4)]['privateKey']=_0x3964b6[0x2],_0x11eff1['gotop'][_0x382057(0x90b)]=_0x3964b6[0x3],_0x11eff1[_0x382057(0x28f4)][_0x382057(0xaf3)]=_0x3964b6[_0x382057(0x1298)](0x4,_0x3964b6['length'])[_0x382057(0xb47)](',');}break;case _0x382057(0x17d6):{const _0x596480=_0x11eff1['gotop']['appdata'][_0x382057(0x10c8)](',');_0x11eff1[_0x382057(0x28f4)][_0x382057(0x1651)]=_0x596480[0x0],_0x11eff1[_0x382057(0x28f4)][_0x382057(0x252)]=_0x596480[0x1],_0x11eff1[_0x382057(0x28f4)]['lexregion']=_0x596480[0x2],_0x11eff1[_0x382057(0x28f4)]['botname']=_0x596480[0x3],_0x11eff1[_0x382057(0x28f4)][_0x382057(0xaf3)]=_0x596480[_0x382057(0x1298)](0x4,_0x596480['length'])['join'](',');}break;case _0x382057(0x1713):{const _0x3537a0=_0x11eff1[_0x382057(0x28f4)][_0x382057(0x168a)][_0x382057(0x10c8)](',');_0x11eff1[_0x382057(0x28f4)][_0x382057(0x583)]=isNaN(_0x3537a0[0x0])?_0x3537a0[0x0]:parseInt(_0x3537a0[0x0],0xa),_0x11eff1[_0x382057(0x28f4)][_0x382057(0xa8d)]=_0x3537a0['slice'](0x1,_0x3537a0['length'])[_0x382057(0xb47)](',');}break;case _0x382057(0x7fd):_0x11eff1[_0x382057(0x28f4)][_0x382057(0xa8d)]=_0x11eff1[_0x382057(0x28f4)][_0x382057(0x168a)];break;case _0x382057(0x26ba):_0x11eff1[_0x382057(0x28f4)][_0x382057(0x19eb)]=_0x11eff1['gotop'][_0x382057(0x168a)][_0x382057(0x10c8)]('=')[0x0],_0x11eff1['gotop'][_0x382057(0x175d)]=_0x11eff1[_0x382057(0x28f4)][_0x382057(0x168a)]['split']('=')[0x1];break;case _0x382057(0x1ecb):_0x11eff1[_0x382057(0x28f4)][_0x382057(0x9e0)]=_0x11eff1['gotop'][_0x382057(0x168a)];break;default:{const _0x1f6f02=_0x11eff1[_0x382057(0x28f4)][_0x382057(0x168a)][_0x382057(0x10c8)](',');_0x11eff1['gotop'][_0x382057(0xa6a)]=_0x3f65c0()[_0x382057(0x2635)](_0x1f6f02[0x0])?_0x1f6f02[0x0]:isNaN(_0x1f6f02[0x0])?_0x1f6f02[0x0]:parseInt(_0x1f6f02[0x0],0xa);}break;}else{}_0x11eff1[_0x382057(0x28f4)][_0x382057(0x1142)]&&_0x11eff1[_0x382057(0x28f4)][_0x382057(0x1142)][_0x382057(0x256e)]()===_0x382057(0xc9c)&&_0x11eff1[_0x382057(0x28f4)][_0x382057(0x8f2)][_0x382057(0x256e)]()==='outbounddial'&&(_0x11eff1[_0x382057(0x28f4)][_0x382057(0x1e12)]=_0x11eff1['gotop'][_0x382057(0x1d55)]?_0x11eff1[_0x382057(0x28f4)][_0x382057(0x1d55)][_0x382057(0x10c8)]('$')[0x0]:undefined,_0x11eff1[_0x382057(0x28f4)][_0x382057(0x1d43)]=_0x11eff1['gotop'][_0x382057(0x11be)]?_0x382057(0x1b60)+_0x11eff1[_0x382057(0x28f4)]['callerID']:undefined);_0x11eff1[_0x382057(0x1e69)]=_0x1ccb43,_0x11eff1[_0x382057(0x13f3)]=_0xc08fee;function _0x1ccb43(){const _0x3667b4=_0x382057;_0x11eff1['errors']=[];const _0x3cc05a=[];_0x11eff1[_0x3667b4(0x28f4)][_0x3667b4(0x1142)]&&_0x11eff1[_0x3667b4(0x28f4)][_0x3667b4(0x1142)][_0x3667b4(0x256e)]()===_0x3667b4(0xc9c)&&_0x11eff1[_0x3667b4(0x28f4)][_0x3667b4(0x8f2)]===_0x3667b4(0x118b)&&(_0x11eff1[_0x3667b4(0x28f4)][_0x3667b4(0x1d55)]=_0x3396f0[_0x3667b4(0x1274)]?(_0x11eff1[_0x3667b4(0x28f4)][_0x3667b4(0x1e12)]||'')+_0x3667b4(0x2147)+_0x3396f0[_0x3667b4(0x1274)]+'}':(_0x11eff1[_0x3667b4(0x28f4)][_0x3667b4(0x1e12)]||'')+'${EXTEN}',_0x3396f0['recordingFormat']!==_0x3667b4(0x1642)?_0x11eff1[_0x3667b4(0x28f4)]['options']['indexOf'](_0x3667b4(0x1ea4))<0x0&&(_0x11eff1['gotop'][_0x3667b4(0xa08)]+='U(xcally-mixmonitor-context)'):_0x11eff1[_0x3667b4(0x28f4)][_0x3667b4(0xa08)]=_0x11eff1[_0x3667b4(0x28f4)][_0x3667b4(0xa08)]['replace'](_0x3667b4(0x1ea4),''));if(_0x11eff1[_0x3667b4(0x28f4)][_0x3667b4(0x8f2)]&&_0x11eff1[_0x3667b4(0x28f4)][_0x3667b4(0x8f2)]===_0x3667b4(0x1802)){}else switch((_0x11eff1['gotop']['app']||_0x11eff1[_0x3667b4(0x28f4)][_0x3667b4(0x8f2)])['toLowerCase']()){case _0x3667b4(0x26ba):_0x11eff1[_0x3667b4(0x28f4)][_0x3667b4(0x168a)]=_0x11eff1[_0x3667b4(0x28f4)][_0x3667b4(0x19eb)]+'='+_0x11eff1['gotop'][_0x3667b4(0x175d)];break;case _0x3667b4(0x1802):break;default:_0x3cc05a[0x0]=_0x11eff1[_0x3667b4(0x28f4)][_0x3667b4(0xa6a)],_0x11eff1[_0x3667b4(0x28f4)][_0x3667b4(0x168a)]=_0x3cc05a['join'](',');}_0xc08fee(_0x11eff1['gotop']);}function _0xc08fee(_0x5d4847){const _0x3f3b2f=_0x382057;_0x265dc1[_0x3f3b2f(0x2458)](_0x5d4847);}}const _0x1739ef=_0x2e3aad;;_0x4082e4['$inject']=['$state','$mdDialog',_0x313a4d(0x1c7e),_0x313a4d(0x15f6),'interval','intervals',_0x313a4d(0x1fe4),'api','Auth',_0x313a4d(0x2514)];function _0x4082e4(_0x46ba11,_0x1bd992,_0x34c925,_0x53d19e,_0xb31f94,_0x46cc89,_0xa12fc6,_0x35526a,_0x2745ce,_0x540d90){const _0x3416db=_0x313a4d,_0x489839=this;_0x489839[_0x3416db(0x2321)]=_0x2745ce[_0x3416db(0xb12)](),_0x489839['errors']=[],_0x489839['title']=_0x3416db(0x56d),_0x489839[_0x3416db(0x2765)]=angular[_0x3416db(0x235a)](_0xb31f94),_0x489839[_0x3416db(0x278f)]=_0x46cc89,_0x489839[_0x3416db(0xa76)]=![],_0x489839[_0x3416db(0x1960)]=[_0x3416db(0xd85),'custom','list'],_0x489839[_0x3416db(0x2514)]=_0x540d90,_0x489839[_0x3416db(0x1e35)]=_0x53d19e[_0x3416db(0x2045)](),_0x489839[_0x3416db(0x593)]=_0x53d19e[_0x3416db(0xead)](),_0x489839[_0x3416db(0x2156)]=_0x53d19e['getMonthName'](),_0x489839[_0x3416db(0x15f1)]=_0x53d19e[_0x3416db(0x1421)]();if(!_0x489839[_0x3416db(0x2765)])_0x489839[_0x3416db(0x2765)]={'interval':_0x3416db(0x1559)},_0x489839['type']=_0x3416db(0xd85),_0x489839[_0x3416db(0x1189)]='TOOLS.NEW_INTERVAL',_0x489839[_0x3416db(0xa76)]=!![];else{if(_0x489839[_0x3416db(0x2765)][_0x3416db(0x2765)]!==_0x3416db(0x1559)){_0x489839[_0x3416db(0x1142)]=_0x3416db(0x1802);const _0x136ff3=_0x489839['interval'][_0x3416db(0x2765)][_0x3416db(0x10c8)](','),_0x5ddf7a=_0x136ff3[0x0],_0x5ad027=_0x136ff3[0x1],_0x561344=_0x136ff3[0x2],_0x3d6122=_0x136ff3[0x3];if(_0x5ddf7a!=='*'){const _0x287d76=_0x5ddf7a[_0x3416db(0x10c8)]('-')[0x0],_0x5caf7c=_0x5ddf7a[_0x3416db(0x10c8)]('-')[0x1];let _0x1518b5;_0x1518b5=new Date(),_0x1518b5['setHours'](Number(_0x287d76['split'](':')[0x0])),_0x1518b5[_0x3416db(0x2348)](Number(_0x287d76[_0x3416db(0x10c8)](':')[0x1])),_0x489839[_0x3416db(0x2469)]=_0x1518b5,_0x1518b5=new Date(),_0x1518b5['setHours'](Number(_0x5caf7c[_0x3416db(0x10c8)](':')[0x0])),_0x1518b5[_0x3416db(0x2348)](Number(_0x5caf7c[_0x3416db(0x10c8)](':')[0x1])),_0x489839[_0x3416db(0x637)]=_0x1518b5;}_0x5ad027!=='*'&&(_0x489839[_0x3416db(0x1455)]=_0x5ad027[_0x3416db(0x10c8)]('-')[0x0],_0x489839[_0x3416db(0x27a1)]=_0x5ad027[_0x3416db(0x10c8)]('-')[0x1]),_0x561344!=='*'&&(_0x489839[_0x3416db(0xf0b)]=_0x561344[_0x3416db(0x10c8)]('-')[0x0],_0x489839['monthDayTo']=_0x561344[_0x3416db(0x10c8)]('-')[0x1]),_0x3d6122!=='*'&&(_0x489839[_0x3416db(0x167)]=_0x3d6122['split']('-')[0x0],_0x489839['monthTo']=_0x3d6122[_0x3416db(0x10c8)]('-')[0x1]);}else _0x489839[_0x3416db(0x1142)]=_0x3416db(0xd85);}_0x46ba11[_0x3416db(0x16a)]['id']&&!_0x489839[_0x3416db(0x2765)]['application']&&(_0x489839['interval'][_0x3416db(0x1ff2)]=_0x46ba11[_0x3416db(0x16a)]['id']);_0x489839[_0x3416db(0x2765)][_0x3416db(0x1ff2)]&&_0x489839[_0x3416db(0x2765)][_0x3416db(0xea)]&&(_0x489839[_0x3416db(0x1142)]=_0x3416db(0x25f4));_0x489839[_0x3416db(0x2f0)]=_0x11d3f8,_0x489839[_0x3416db(0x1242)]=_0x53c195,_0x489839[_0x3416db(0x13f3)]=_0x15ccbb;_0x489839[_0x3416db(0x2765)][_0x3416db(0xea)]&&(_0x2745ce[_0x3416db(0x23e0)](_0x3416db(0x174b))?_0x35526a['interval'][_0x3416db(0x16b4)]({'fields':_0x3416db(0x648),'IntervalId':'null','nolimit':!![]})[_0x3416db(0x2945)]['then'](function(_0x553b01){const _0x57bf7c=_0x3416db;_0x489839[_0x57bf7c(0x278f)]=_0x553b01[_0x57bf7c(0x19c7)]||[];})[_0x3416db(0x129e)](function(_0x892068){const _0x4ca7b4=_0x3416db;_0xa12fc6[_0x4ca7b4(0x1980)]({'title':_0x892068[_0x4ca7b4(0x107b)]?'API:'+_0x892068[_0x4ca7b4(0x107b)]+_0x4ca7b4(0x1315)+_0x892068[_0x4ca7b4(0x167f)]:_0x4ca7b4(0x603),'msg':_0x892068['data']?JSON[_0x4ca7b4(0x10bb)](_0x892068[_0x4ca7b4(0x524)]):_0x892068['toString']()});}):_0x35526a['interval'][_0x3416db(0x16b4)]({'fields':'id,name,interval,IntervalId','IntervalId':_0x3416db(0x203c),'nolimit':!![]})[_0x3416db(0x2945)][_0x3416db(0x146b)](function(_0x4ea0e4){const _0x452f1f=_0x3416db;_0x489839['intervals']=_0x4ea0e4[_0x452f1f(0x19c7)]||[];})[_0x3416db(0x146b)](function(){const _0x1bfb27=_0x3416db;return _0x35526a[_0x1bfb27(0x1366)][_0x1bfb27(0x16b4)]({'userProfileId':_0x489839[_0x1bfb27(0x2321)][_0x1bfb27(0x209a)],'sectionId':0x3ec})[_0x1bfb27(0x2945)];})[_0x3416db(0x146b)](function(_0x4f437e){const _0x591fb2=_0x3416db,_0x37b899=_0x4f437e&&_0x4f437e[_0x591fb2(0x19c7)]?_0x4f437e[_0x591fb2(0x19c7)][0x0]:null;if(!_0x37b899)_0x489839[_0x591fb2(0x278f)]=[];else{if(!_0x37b899[_0x591fb2(0x11d2)])return _0x35526a[_0x591fb2(0xdcc)][_0x591fb2(0x16b4)]({'sectionId':_0x37b899['id']})[_0x591fb2(0x2945)][_0x591fb2(0x146b)](function(_0x333df3){const _0x1b67e7=_0x591fb2,_0x1c48d0=_0x333df3&&_0x333df3[_0x1b67e7(0x19c7)]?_0x333df3[_0x1b67e7(0x19c7)]:[],_0x290f2e=[];let _0x1d7137=null;_0x489839[_0x1b67e7(0x2765)]&&(_0x1d7137=_0x3f65c0()[_0x1b67e7(0xc84)](_0x489839[_0x1b67e7(0x278f)],{'name':_0x489839['interval']['IntervalId']})),_0x1d7137&&!_0x3f65c0()[_0x1b67e7(0x1360)](_0x1c48d0,['resourceId',_0x1d7137['id']])&&_0x489839[_0x1b67e7(0x278f)][_0x1b67e7(0x1df5)](function(_0x6c8dd4){const _0xf001c1=_0x1b67e7;_0x6c8dd4['id']===_0x1d7137['id']&&(_0x6c8dd4['canSelect']=![],_0x290f2e[_0xf001c1(0x1f47)](_0x6c8dd4));}),_0x489839[_0x1b67e7(0x278f)]=_0x290f2e;});}})[_0x3416db(0x129e)](function(_0x372a03){const _0x34cb89=_0x3416db;_0xa12fc6['error']({'title':_0x372a03[_0x34cb89(0x107b)]?_0x34cb89(0x262a)+_0x372a03[_0x34cb89(0x107b)]+'\x20-\x20'+_0x372a03['statusText']:_0x34cb89(0x603),'msg':_0x372a03[_0x34cb89(0x524)]?JSON['stringify'](_0x372a03['data']):_0x372a03[_0x34cb89(0xd5f)]()});}));function _0x1d4cfa(){const _0x358060=_0x3416db;switch(_0x489839[_0x358060(0x1142)]){case _0x358060(0xd85):case'list':return _0x358060(0x1559);case _0x358060(0x1802):{const _0x5a8b82=[];if(_0x489839[_0x358060(0x2469)]!=='*'&&_0x489839[_0x358060(0x2469)]&&_0x489839[_0x358060(0x637)]){const _0x46ab59=(_0x489839[_0x358060(0x2469)][_0x358060(0x1d57)]()<0xa?'0':'')+_0x489839[_0x358060(0x2469)]['getHours']()+':'+((_0x489839[_0x358060(0x2469)][_0x358060(0x7fc)]()<0xa?'0':'')+_0x489839[_0x358060(0x2469)]['getMinutes']()),_0x578ec6=(_0x489839['timeRangeTo'][_0x358060(0x1d57)]()<0xa?'0':'')+_0x489839['timeRangeTo']['getHours']()+':'+((_0x489839['timeRangeTo']['getMinutes']()<0xa?'0':'')+_0x489839[_0x358060(0x637)][_0x358060(0x7fc)]());_0x5a8b82['push'](_0x46ab59+'-'+_0x578ec6);}else _0x5a8b82[_0x358060(0x1f47)]('*');return _0x489839[_0x358060(0x1455)]?_0x489839['dayOfWeekTo']?_0x5a8b82[_0x358060(0x1f47)](_0x489839[_0x358060(0x1455)]+'-'+_0x489839[_0x358060(0x27a1)]):_0x5a8b82[_0x358060(0x1f47)](_0x489839[_0x358060(0x1455)]):_0x5a8b82[_0x358060(0x1f47)]('*'),_0x489839[_0x358060(0xf0b)]?_0x489839[_0x358060(0x896)]?_0x5a8b82[_0x358060(0x1f47)](_0x489839['monthDayFrom']+'-'+_0x489839['monthDayTo']):_0x5a8b82[_0x358060(0x1f47)](_0x489839[_0x358060(0xf0b)]):_0x5a8b82['push']('*'),_0x489839[_0x358060(0x167)]?_0x489839[_0x358060(0x9c3)]?_0x5a8b82[_0x358060(0x1f47)](_0x489839['monthFrom']+'-'+_0x489839[_0x358060(0x9c3)]):_0x5a8b82['push'](_0x489839[_0x358060(0x167)]):_0x5a8b82[_0x358060(0x1f47)]('*'),_0x5a8b82[_0x358060(0xb47)]();}}}function _0x11d3f8(){const _0x1360b4=_0x3416db;_0x489839[_0x1360b4(0xcef)]=[],_0x489839[_0x1360b4(0x2765)][_0x1360b4(0x2765)]=_0x1d4cfa(),_0x35526a['interval'][_0x1360b4(0x1e3)](_0x489839[_0x1360b4(0x2765)])['$promise']['then'](function(_0x17b561){const _0xa60541=_0x1360b4;_0x489839['intervals']['push'](_0x17b561),_0xa12fc6[_0xa60541(0x1c75)]({'title':_0xa60541(0x17f5),'msg':_0x489839[_0xa60541(0x2765)][_0xa60541(0x19eb)]?_0x489839['interval']['name']+_0xa60541(0x1386):''}),_0x15ccbb();})[_0x1360b4(0x129e)](function(_0x53b1bc){const _0xe4784a=_0x1360b4;console[_0xe4784a(0x1980)](_0x53b1bc),_0x489839[_0xe4784a(0xcef)]=_0x53b1bc[_0xe4784a(0x524)]['errors']||[{'message':_0x53b1bc['toString'](),'type':_0xe4784a(0x7e7)}];});}function _0x53c195(){const _0x23ec3f=_0x3416db;_0x489839[_0x23ec3f(0xcef)]=[],_0x489839[_0x23ec3f(0x2765)][_0x23ec3f(0x2765)]=_0x1d4cfa(),_0x489839[_0x23ec3f(0x2765)]['application']?(_0x489839[_0x23ec3f(0x1142)]!==_0x23ec3f(0x25f4)&&(_0x489839['interval'][_0x23ec3f(0x1ff2)]=null),_0x15ccbb(_0x489839[_0x23ec3f(0x2765)])):_0x35526a[_0x23ec3f(0x2765)][_0x23ec3f(0x18e1)]({'id':_0x489839[_0x23ec3f(0x2765)]['id']},_0x489839['interval'])[_0x23ec3f(0x2945)][_0x23ec3f(0x146b)](function(_0xa2fd82){const _0x22d885=_0x23ec3f,_0x501e40=_0x3f65c0()[_0x22d885(0xc84)](_0x489839[_0x22d885(0x278f)],{'id':_0xa2fd82['id']});_0x501e40&&_0x3f65c0()[_0x22d885(0x168d)](_0x501e40,_0xa2fd82),_0xa12fc6[_0x22d885(0x1c75)]({'title':_0x22d885(0x1d51),'msg':_0x22d885(0x97a)}),_0x15ccbb();})[_0x23ec3f(0x129e)](function(_0x2692d5){const _0x36c7b9=_0x23ec3f;console[_0x36c7b9(0x1980)](_0x2692d5),_0x489839['errors']=_0x2692d5['data'][_0x36c7b9(0xcef)]||[{'message':_0x2692d5[_0x36c7b9(0xd5f)](),'type':_0x36c7b9(0x1fbe)}];});}function _0x15ccbb(_0xf3b6b8){const _0x23dc35=_0x3416db;_0x1bd992[_0x23dc35(0x2458)](_0xf3b6b8);}}const _0x914af5=_0x4082e4;;_0x36512a[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q','toasty',_0x313a4d(0x2116),_0x313a4d(0xbd7),_0x313a4d(0x247f),'Auth',_0x313a4d(0x2514)];function _0x36512a(_0x362aec,_0x8d95a2,_0x487192,_0x5228cf,_0x4d376b,_0x1ae955,_0xfc9cc2,_0xa9011a){const _0x4e606e=_0x313a4d,_0x5c4052=this;_0x5c4052['currentUser']=_0xfc9cc2[_0x4e606e(0xb12)](),_0x5c4052[_0x4e606e(0xcef)]=[],_0x5c4052[_0x4e606e(0x1189)]=_0x4e606e(0x29b)+(_0x5228cf['appType']||_0x5228cf[_0x4e606e(0x1873)])['toUpperCase'](),_0x5c4052['noop']=angular[_0x4e606e(0x235a)](_0x5228cf),_0x5c4052[_0x4e606e(0x2514)]=_0xa9011a,_0x5c4052[_0x4e606e(0x855)]={};if(_0x5c4052[_0x4e606e(0x309)][_0x4e606e(0x168a)])switch(_0x5c4052[_0x4e606e(0x309)]['appType']?_0x5c4052[_0x4e606e(0x309)]['appType']['toLowerCase']():_0x5c4052[_0x4e606e(0x309)][_0x4e606e(0x1873)][_0x4e606e(0x256e)]()){case'custom':break;case _0x4e606e(0xeaa):{const _0x184aca=_0x5c4052[_0x4e606e(0x309)][_0x4e606e(0x168a)][_0x4e606e(0x10c8)](',');_0x5c4052[_0x4e606e(0x309)][_0x4e606e(0x2293)]=_0x184aca[0x0],_0x5c4052[_0x4e606e(0x309)]['language']=_0x184aca[0x1],_0x5c4052[_0x4e606e(0x309)][_0x4e606e(0xaf3)]=_0x184aca[_0x4e606e(0x1298)](0x2,_0x184aca[_0x4e606e(0x402)])[_0x4e606e(0xb47)](',');}break;case _0x4e606e(0xa46):{const _0x271910=_0x5c4052[_0x4e606e(0x309)][_0x4e606e(0x168a)][_0x4e606e(0x10c8)](',');_0x5c4052[_0x4e606e(0x309)][_0x4e606e(0x153a)]=_0x271910[0x0],_0x5c4052['noop'][_0x4e606e(0x1392)]=_0x271910[0x1],_0x5c4052[_0x4e606e(0x309)]['privateKey']=_0x271910[0x2],_0x5c4052[_0x4e606e(0x309)][_0x4e606e(0x90b)]=_0x271910[0x3],_0x5c4052['noop'][_0x4e606e(0xaf3)]=_0x271910[_0x4e606e(0x1298)](0x4,_0x271910[_0x4e606e(0x402)])['join'](',');}break;case'amazonlex':{const _0x992cb=_0x5c4052[_0x4e606e(0x309)][_0x4e606e(0x168a)][_0x4e606e(0x10c8)](',');_0x5c4052['noop'][_0x4e606e(0x1651)]=_0x992cb[0x0],_0x5c4052[_0x4e606e(0x309)][_0x4e606e(0x252)]=_0x992cb[0x1],_0x5c4052[_0x4e606e(0x309)]['lexregion']=_0x992cb[0x2],_0x5c4052['noop'][_0x4e606e(0x8dd)]=_0x992cb[0x3],_0x5c4052[_0x4e606e(0x309)][_0x4e606e(0xaf3)]=_0x992cb[_0x4e606e(0x1298)](0x4,_0x992cb[_0x4e606e(0x402)])[_0x4e606e(0xb47)](',');}break;case _0x4e606e(0x1713):{const _0x1f0ce0=_0x5c4052[_0x4e606e(0x309)][_0x4e606e(0x168a)]['split'](',');_0x5c4052['noop'][_0x4e606e(0x583)]=isNaN(_0x1f0ce0[0x0])?_0x1f0ce0[0x0]:parseInt(_0x1f0ce0[0x0],0xa),_0x5c4052[_0x4e606e(0x309)][_0x4e606e(0xa8d)]=_0x1f0ce0[_0x4e606e(0x1298)](0x1,_0x1f0ce0['length'])[_0x4e606e(0xb47)](',');}break;case _0x4e606e(0x7fd):_0x5c4052[_0x4e606e(0x309)]['text']=_0x5c4052['noop'][_0x4e606e(0x168a)];break;case _0x4e606e(0x26ba):_0x5c4052['noop'][_0x4e606e(0x19eb)]=_0x5c4052[_0x4e606e(0x309)][_0x4e606e(0x168a)][_0x4e606e(0x10c8)]('=')[0x0],_0x5c4052['noop'][_0x4e606e(0x175d)]=_0x5c4052[_0x4e606e(0x309)][_0x4e606e(0x168a)][_0x4e606e(0x10c8)]('=')[0x1];break;case _0x4e606e(0x1ecb):_0x5c4052['noop'][_0x4e606e(0x9e0)]=_0x5c4052[_0x4e606e(0x309)][_0x4e606e(0x168a)];break;default:{const _0x4080ad=_0x5c4052['noop'][_0x4e606e(0x168a)][_0x4e606e(0x10c8)](',');_0x5c4052[_0x4e606e(0x309)][_0x4e606e(0x175d)]=_0x3f65c0()[_0x4e606e(0x2635)](_0x4080ad[0x0])?_0x4080ad[0x0]:isNaN(_0x4080ad[0x0])?_0x4080ad[0x0]:parseInt(_0x4080ad[0x0],0xa);}break;}else{}_0x5c4052[_0x4e606e(0x309)][_0x4e606e(0x1142)]&&_0x5c4052[_0x4e606e(0x309)][_0x4e606e(0x1142)][_0x4e606e(0x256e)]()===_0x4e606e(0xc9c)&&_0x5c4052[_0x4e606e(0x309)][_0x4e606e(0x8f2)][_0x4e606e(0x256e)]()===_0x4e606e(0x3bb)&&(_0x5c4052['noop']['prefix']=_0x5c4052[_0x4e606e(0x309)][_0x4e606e(0x1d55)]?_0x5c4052[_0x4e606e(0x309)][_0x4e606e(0x1d55)]['split']('$')[0x0]:undefined,_0x5c4052[_0x4e606e(0x309)][_0x4e606e(0x1d43)]=_0x5c4052[_0x4e606e(0x309)][_0x4e606e(0x11be)]?_0x4e606e(0x1b60)+_0x5c4052[_0x4e606e(0x309)][_0x4e606e(0x11be)]:undefined);_0x5c4052[_0x4e606e(0x1e69)]=_0x3210e6,_0x5c4052[_0x4e606e(0x13f3)]=_0x57ea97;function _0x3210e6(){const _0x900c64=_0x4e606e;_0x5c4052['errors']=[];const _0x3d409a=[];_0x5c4052['noop'][_0x900c64(0x1142)]&&_0x5c4052['noop']['type']['toLowerCase']()===_0x900c64(0xc9c)&&_0x5c4052[_0x900c64(0x309)]['appType']===_0x900c64(0x118b)&&(_0x5c4052[_0x900c64(0x309)][_0x900c64(0x1d55)]=_0x4d376b[_0x900c64(0x1274)]?(_0x5c4052['noop'][_0x900c64(0x1e12)]||'')+_0x900c64(0x2147)+_0x4d376b[_0x900c64(0x1274)]+'}':(_0x5c4052[_0x900c64(0x309)][_0x900c64(0x1e12)]||'')+_0x900c64(0x20df),_0x4d376b[_0x900c64(0x1425)]!==_0x900c64(0x1642)?_0x5c4052[_0x900c64(0x309)]['options'][_0x900c64(0x172b)](_0x900c64(0x1ea4))<0x0&&(_0x5c4052[_0x900c64(0x309)][_0x900c64(0xa08)]+=_0x900c64(0x1ea4)):_0x5c4052['noop'][_0x900c64(0xa08)]=_0x5c4052['noop'][_0x900c64(0xa08)][_0x900c64(0x5f4)]('U(xcally-mixmonitor-context)',''));if(_0x5c4052['noop']['appType']&&_0x5c4052[_0x900c64(0x309)][_0x900c64(0x8f2)]===_0x900c64(0x1802)){}else switch((_0x5c4052[_0x900c64(0x309)][_0x900c64(0x1873)]||_0x5c4052[_0x900c64(0x309)][_0x900c64(0x8f2)])['toLowerCase']()){case _0x900c64(0x26ba):_0x5c4052[_0x900c64(0x309)][_0x900c64(0x168a)]=_0x5c4052['noop'][_0x900c64(0x19eb)]+'='+_0x5c4052[_0x900c64(0x309)][_0x900c64(0x175d)];break;case _0x900c64(0x1802):break;default:_0x3d409a[0x0]=_0x5c4052[_0x900c64(0x309)]['value'],_0x5c4052[_0x900c64(0x309)][_0x900c64(0x168a)]=_0x3d409a[_0x900c64(0xb47)](',');}_0x57ea97(_0x5c4052['noop']);}function _0x57ea97(_0x2c4b13){const _0xfe70c5=_0x4e606e;_0x362aec[_0xfe70c5(0x2458)](_0x2c4b13);}}const _0x430714=_0x36512a;;_0x5d3367[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),'openchannelAccountApp',_0x313a4d(0xbd7),'api',_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x5d3367(_0xa700cc,_0x9e6afb,_0x198e60,_0x175f7,_0x58e42e,_0x5cdd2c,_0xf6fb15,_0x556ed7){const _0x403716=_0x313a4d,_0x1e2031=this;_0x1e2031[_0x403716(0x2321)]=_0xf6fb15[_0x403716(0xb12)](),_0x1e2031['errors']=[],_0x1e2031['title']=_0x403716(0x29b)+(_0x175f7[_0x403716(0x8f2)]||_0x175f7[_0x403716(0x1873)])[_0x403716(0x1c37)](),_0x1e2031['queue']=angular[_0x403716(0x235a)](_0x175f7),_0x1e2031[_0x403716(0x2514)]=_0x556ed7,_0x1e2031[_0x403716(0x855)]={};if(_0x1e2031['queue'][_0x403716(0x168a)])switch(_0x1e2031[_0x403716(0x1c72)]['appType']?_0x1e2031[_0x403716(0x1c72)][_0x403716(0x8f2)][_0x403716(0x256e)]():_0x1e2031[_0x403716(0x1c72)][_0x403716(0x1873)][_0x403716(0x256e)]()){case _0x403716(0x1802):break;case _0x403716(0xeaa):{const _0x22a1f1=_0x1e2031[_0x403716(0x1c72)][_0x403716(0x168a)]['split'](',');_0x1e2031[_0x403716(0x1c72)][_0x403716(0x2293)]=_0x22a1f1[0x0],_0x1e2031[_0x403716(0x1c72)][_0x403716(0x90b)]=_0x22a1f1[0x1],_0x1e2031[_0x403716(0x1c72)][_0x403716(0xaf3)]=_0x22a1f1[_0x403716(0x1298)](0x2,_0x22a1f1[_0x403716(0x402)])['join'](',');}break;case _0x403716(0xa46):{const _0x35213b=_0x1e2031[_0x403716(0x1c72)][_0x403716(0x168a)][_0x403716(0x10c8)](',');_0x1e2031[_0x403716(0x1c72)][_0x403716(0x153a)]=_0x35213b[0x0],_0x1e2031[_0x403716(0x1c72)][_0x403716(0x1392)]=_0x35213b[0x1],_0x1e2031[_0x403716(0x1c72)][_0x403716(0x2217)]=_0x35213b[0x2],_0x1e2031[_0x403716(0x1c72)][_0x403716(0x90b)]=_0x35213b[0x3],_0x1e2031[_0x403716(0x1c72)][_0x403716(0xaf3)]=_0x35213b['slice'](0x4,_0x35213b[_0x403716(0x402)])[_0x403716(0xb47)](',');}break;case _0x403716(0x17d6):{const _0x358ad1=_0x1e2031[_0x403716(0x1c72)][_0x403716(0x168a)][_0x403716(0x10c8)](',');_0x1e2031['queue'][_0x403716(0x1651)]=_0x358ad1[0x0],_0x1e2031['queue']['secretaccesskey']=_0x358ad1[0x1],_0x1e2031[_0x403716(0x1c72)][_0x403716(0x1039)]=_0x358ad1[0x2],_0x1e2031[_0x403716(0x1c72)][_0x403716(0x8dd)]=_0x358ad1[0x3],_0x1e2031['queue'][_0x403716(0xaf3)]=_0x358ad1['slice'](0x4,_0x358ad1['length'])[_0x403716(0xb47)](',');}break;case _0x403716(0x1713):{const _0x2b6b33=_0x1e2031[_0x403716(0x1c72)][_0x403716(0x168a)][_0x403716(0x10c8)](',');_0x1e2031[_0x403716(0x1c72)][_0x403716(0x583)]=isNaN(_0x2b6b33[0x0])?_0x2b6b33[0x0]:parseInt(_0x2b6b33[0x0],0xa),_0x1e2031[_0x403716(0x1c72)][_0x403716(0xa8d)]=_0x2b6b33[_0x403716(0x1298)](0x1,_0x2b6b33[_0x403716(0x402)])['join'](',');}break;case'message':_0x1e2031[_0x403716(0x1c72)]['text']=_0x1e2031[_0x403716(0x1c72)]['appdata'];break;case'set':_0x1e2031[_0x403716(0x1c72)][_0x403716(0x19eb)]=_0x1e2031['queue'][_0x403716(0x168a)][_0x403716(0x10c8)]('=')[0x0],_0x1e2031[_0x403716(0x1c72)][_0x403716(0x175d)]=_0x1e2031[_0x403716(0x1c72)][_0x403716(0x168a)][_0x403716(0x10c8)]('=')[0x1];break;case'agi':_0x1e2031['queue'][_0x403716(0x9e0)]=_0x1e2031[_0x403716(0x1c72)]['appdata'];break;default:{const _0x97ca8b=_0x1e2031['queue'][_0x403716(0x168a)]['split'](',');_0x1e2031[_0x403716(0x1c72)][_0x403716(0x1c72)]=_0x3f65c0()['isEmpty'](_0x97ca8b[0x0])?_0x97ca8b[0x0]:isNaN(_0x97ca8b[0x0])?_0x97ca8b[0x0]:parseInt(_0x97ca8b[0x0],0xa),_0x1e2031[_0x403716(0x1c72)][_0x403716(0xa9c)]=_0x3f65c0()['isEmpty'](_0x97ca8b[0x1])?_0x97ca8b[0x1]:isNaN(_0x97ca8b[0x1])?_0x97ca8b[0x1]:parseInt(_0x97ca8b[0x1],0xa);}break;}else _0x1e2031[_0x403716(0x1c72)][_0x403716(0x1c72)]=0x12c,_0x1e2031['queue'][_0x403716(0xa9c)]=0x12c;_0x1e2031[_0x403716(0x1c72)][_0x403716(0x1142)]&&_0x1e2031['queue']['type'][_0x403716(0x256e)]()==='outbound'&&_0x1e2031[_0x403716(0x1c72)][_0x403716(0x8f2)][_0x403716(0x256e)]()===_0x403716(0x3bb)&&(_0x1e2031[_0x403716(0x1c72)][_0x403716(0x1e12)]=_0x1e2031[_0x403716(0x1c72)][_0x403716(0x1d55)]?_0x1e2031[_0x403716(0x1c72)][_0x403716(0x1d55)][_0x403716(0x10c8)]('$')[0x0]:undefined,_0x1e2031[_0x403716(0x1c72)][_0x403716(0x1d43)]=_0x1e2031['queue']['callerID']?'CALLERID(all)='+_0x1e2031[_0x403716(0x1c72)]['callerID']:undefined);_0x1e2031[_0x403716(0x1e69)]=_0x403528,_0x1e2031['closeDialog']=_0x36517f,_0xf6fb15[_0x403716(0x23e0)](_0x403716(0x174b))?_0x5cdd2c[_0x403716(0x2755)][_0x403716(0x16b4)]({'fields':_0x403716(0x45e),'sort':_0x403716(0x19eb),'nolimit':_0x403716(0x1185)})['$promise'][_0x403716(0x146b)](function(_0x4a630f){const _0xaa00a3=_0x403716;_0x1e2031[_0xaa00a3(0x1b32)]=_0x4a630f[_0xaa00a3(0x19c7)]||[];})[_0x403716(0x129e)](function(_0x557557){const _0x23fb14=_0x403716;_0x198e60[_0x23fb14(0x1980)]({'title':_0x557557[_0x23fb14(0x107b)]?'API:'+_0x557557['status']+_0x23fb14(0x1315)+_0x557557[_0x23fb14(0x167f)]:_0x23fb14(0x22d8),'msg':_0x557557['data']?JSON[_0x23fb14(0x10bb)](_0x557557[_0x23fb14(0x524)]):_0x557557[_0x23fb14(0xd5f)]()});}):_0x5cdd2c['openchannelQueue'][_0x403716(0x16b4)]({'fields':_0x403716(0x45e),'sort':_0x403716(0x19eb),'nolimit':_0x403716(0x1185)})[_0x403716(0x2945)][_0x403716(0x146b)](function(_0x1bb932){const _0x316ab6=_0x403716;_0x1e2031[_0x316ab6(0x1b32)]=_0x1bb932[_0x316ab6(0x19c7)]||[];})[_0x403716(0x146b)](function(){const _0x1e5d26=_0x403716;return _0x5cdd2c['userProfileSection'][_0x1e5d26(0x16b4)]({'userProfileId':_0x1e2031['currentUser']['userProfileId'],'sectionId':0x321})[_0x1e5d26(0x2945)];})['then'](function(_0x1f9143){const _0x4a7601=_0x403716,_0x553656=_0x1f9143&&_0x1f9143[_0x4a7601(0x19c7)]?_0x1f9143[_0x4a7601(0x19c7)][0x0]:null;if(!_0x553656){const _0x510b6b=[];let _0x30abe6=null;_0x1e2031['queue']&&(_0x30abe6=_0x3f65c0()[_0x4a7601(0xc84)](_0x1e2031[_0x4a7601(0x1b32)],{'name':_0x1e2031[_0x4a7601(0x1c72)][_0x4a7601(0x1c72)]}));for(let _0x544772=0x0;_0x544772<_0x1e2031['queues']['length'];_0x544772++){_0x30abe6&&_0x1e2031[_0x4a7601(0x1b32)][_0x544772]['id']===_0x30abe6['id']&&(_0x1e2031[_0x4a7601(0x1b32)][_0x544772][_0x4a7601(0x8ff)]=![],_0x510b6b['push'](_0x1e2031['queues'][_0x544772]));}_0x1e2031[_0x4a7601(0x1b32)]=_0x510b6b;}else{if(!_0x553656[_0x4a7601(0x11d2)])return _0x5cdd2c[_0x4a7601(0xdcc)][_0x4a7601(0x16b4)]({'sectionId':_0x553656['id']})[_0x4a7601(0x2945)][_0x4a7601(0x146b)](function(_0x4d9e00){const _0x5b7a03=_0x4a7601,_0xb44d59=_0x3f65c0()[_0x5b7a03(0x205)](_0x4d9e00['rows'],function(_0x125733){const _0x4a812f=_0x5b7a03;return _0x3f65c0()[_0x4a812f(0xc84)](_0x1e2031[_0x4a812f(0x1b32)],{'id':_0x125733[_0x4a812f(0x18b8)]});});let _0x27e228=null;_0x1e2031['queue']&&(_0x27e228=_0x3f65c0()[_0x5b7a03(0xc84)](_0x1e2031['queues'],{'name':_0x1e2031[_0x5b7a03(0x1c72)][_0x5b7a03(0x1c72)]}));if(_0x27e228&&!_0x3f65c0()[_0x5b7a03(0x1360)](_0xb44d59,['id',_0x27e228['id']])){const _0x4f6fcd=_0x3f65c0()['find'](_0x1e2031[_0x5b7a03(0x1b32)],{'id':_0x27e228['id']});_0x4f6fcd['canSelect']=![],_0xb44d59[_0x5b7a03(0x1f47)](_0x4f6fcd);}_0x1e2031[_0x5b7a03(0x1b32)]=_0xb44d59;});}})['catch'](function(_0x314748){const _0x2299d5=_0x403716;_0x198e60[_0x2299d5(0x1980)]({'title':_0x314748[_0x2299d5(0x107b)]?_0x2299d5(0x262a)+_0x314748[_0x2299d5(0x107b)]+_0x2299d5(0x1315)+_0x314748[_0x2299d5(0x167f)]:'SYSTEM:GETqueues','msg':_0x314748[_0x2299d5(0x524)]?JSON['stringify'](_0x314748['data']):_0x314748[_0x2299d5(0xd5f)]()});});function _0x403528(){const _0x51bceb=_0x403716;_0x1e2031[_0x51bceb(0xcef)]=[];const _0x44a917=[];_0x1e2031[_0x51bceb(0x1c72)][_0x51bceb(0x1142)]&&_0x1e2031[_0x51bceb(0x1c72)][_0x51bceb(0x1142)][_0x51bceb(0x256e)]()===_0x51bceb(0xc9c)&&_0x1e2031[_0x51bceb(0x1c72)][_0x51bceb(0x8f2)]==='outboundDial'&&(_0x1e2031['queue']['phone']=_0x58e42e[_0x51bceb(0x1274)]?(_0x1e2031[_0x51bceb(0x1c72)][_0x51bceb(0x1e12)]||'')+_0x51bceb(0x2147)+_0x58e42e['cutdigits']+'}':(_0x1e2031[_0x51bceb(0x1c72)][_0x51bceb(0x1e12)]||'')+'${EXTEN}',_0x58e42e[_0x51bceb(0x1425)]!==_0x51bceb(0x1642)?_0x1e2031[_0x51bceb(0x1c72)][_0x51bceb(0xa08)][_0x51bceb(0x172b)]('U(xcally-mixmonitor-context)')<0x0&&(_0x1e2031['queue'][_0x51bceb(0xa08)]+=_0x51bceb(0x1ea4)):_0x1e2031[_0x51bceb(0x1c72)][_0x51bceb(0xa08)]=_0x1e2031['queue'][_0x51bceb(0xa08)][_0x51bceb(0x5f4)](_0x51bceb(0x1ea4),''));const _0x1c2873=_0x3f65c0()[_0x51bceb(0xc84)](_0x1e2031['queues'],{'name':_0x1e2031['queue'][_0x51bceb(0x1c72)]});_0x1c2873&&(_0x1e2031['queue'][_0x3f65c0()[_0x51bceb(0x83f)](_0x51bceb(0x8de))+_0x51bceb(0x23f8)]=_0x1c2873['id']);if(_0x1e2031['queue'][_0x51bceb(0x8f2)]&&_0x1e2031[_0x51bceb(0x1c72)]['appType']==='custom'){}else switch((_0x1e2031[_0x51bceb(0x1c72)][_0x51bceb(0x1873)]||_0x1e2031['queue'][_0x51bceb(0x8f2)])[_0x51bceb(0x256e)]()){case _0x51bceb(0x26ba):_0x1e2031['queue'][_0x51bceb(0x168a)]=_0x1e2031['queue']['name']+'='+_0x1e2031[_0x51bceb(0x1c72)][_0x51bceb(0x175d)];break;case _0x51bceb(0x1802):break;default:_0x44a917[0x0]=_0x1e2031[_0x51bceb(0x1c72)][_0x51bceb(0x1c72)],_0x44a917[0x1]=_0x1e2031[_0x51bceb(0x1c72)][_0x51bceb(0xa9c)],_0x1e2031[_0x51bceb(0x1c72)][_0x51bceb(0x168a)]=_0x44a917[_0x51bceb(0xb47)](',');}_0x36517f(_0x1e2031[_0x51bceb(0x1c72)]);}function _0x36517f(_0x4ba773){const _0x5116ab=_0x403716;_0xa700cc[_0x5116ab(0x2458)](_0x4ba773);}}const _0x5c823b=_0x5d3367;;_0x564df1[_0x313a4d(0x11c2)]=['$mdDialog','$q',_0x313a4d(0x1fe4),'openchannelAccountApp',_0x313a4d(0xbd7),_0x313a4d(0x247f),_0x313a4d(0xa87),'crudPermissions'];function _0x564df1(_0x1d588a,_0x51f644,_0x1f5fba,_0x2df119,_0x18a023,_0xfe48a3,_0x13099a,_0x323c92){const _0x418ed3=_0x313a4d,_0x55bb03=this;_0x55bb03[_0x418ed3(0x2321)]=_0x13099a[_0x418ed3(0xb12)](),_0x55bb03[_0x418ed3(0xcef)]=[],_0x55bb03[_0x418ed3(0x1189)]=_0x418ed3(0x29b)+(_0x2df119[_0x418ed3(0x8f2)]||_0x2df119[_0x418ed3(0x1873)])['toUpperCase'](),_0x55bb03['system']=angular[_0x418ed3(0x235a)](_0x2df119),_0x55bb03[_0x418ed3(0x2514)]=_0x323c92,_0x55bb03['hasModulePermissions']={};if(_0x55bb03[_0x418ed3(0x1d47)][_0x418ed3(0x168a)])switch(_0x55bb03[_0x418ed3(0x1d47)]['appType']?_0x55bb03[_0x418ed3(0x1d47)]['appType'][_0x418ed3(0x256e)]():_0x55bb03[_0x418ed3(0x1d47)][_0x418ed3(0x1873)]['toLowerCase']()){case'custom':break;case _0x418ed3(0xeaa):{const _0xb25f8e=_0x55bb03['system'][_0x418ed3(0x168a)]['split'](',');_0x55bb03[_0x418ed3(0x1d47)]['key']=_0xb25f8e[0x0],_0x55bb03[_0x418ed3(0x1d47)][_0x418ed3(0x90b)]=_0xb25f8e[0x1],_0x55bb03[_0x418ed3(0x1d47)][_0x418ed3(0xaf3)]=_0xb25f8e[_0x418ed3(0x1298)](0x2,_0xb25f8e[_0x418ed3(0x402)])['join'](',');}break;case'dialogflowv2':{const _0x29f0ae=_0x55bb03[_0x418ed3(0x1d47)]['appdata'][_0x418ed3(0x10c8)](',');_0x55bb03[_0x418ed3(0x1d47)][_0x418ed3(0x153a)]=_0x29f0ae[0x0],_0x55bb03[_0x418ed3(0x1d47)]['clientEmail']=_0x29f0ae[0x1],_0x55bb03['system']['privateKey']=_0x29f0ae[0x2],_0x55bb03['system']['language']=_0x29f0ae[0x3],_0x55bb03[_0x418ed3(0x1d47)][_0x418ed3(0xaf3)]=_0x29f0ae[_0x418ed3(0x1298)](0x4,_0x29f0ae[_0x418ed3(0x402)])[_0x418ed3(0xb47)](',');}break;case _0x418ed3(0x17d6):{const _0x36416a=_0x55bb03['system'][_0x418ed3(0x168a)][_0x418ed3(0x10c8)](',');_0x55bb03['system'][_0x418ed3(0x1651)]=_0x36416a[0x0],_0x55bb03[_0x418ed3(0x1d47)][_0x418ed3(0x252)]=_0x36416a[0x1],_0x55bb03[_0x418ed3(0x1d47)][_0x418ed3(0x1039)]=_0x36416a[0x2],_0x55bb03[_0x418ed3(0x1d47)]['botname']=_0x36416a[0x3],_0x55bb03['system'][_0x418ed3(0xaf3)]=_0x36416a[_0x418ed3(0x1298)](0x4,_0x36416a[_0x418ed3(0x402)])[_0x418ed3(0xb47)](',');}break;case'autoreply':{const _0x59df8a=_0x55bb03[_0x418ed3(0x1d47)][_0x418ed3(0x168a)][_0x418ed3(0x10c8)](',');_0x55bb03[_0x418ed3(0x1d47)][_0x418ed3(0x583)]=isNaN(_0x59df8a[0x0])?_0x59df8a[0x0]:parseInt(_0x59df8a[0x0],0xa),_0x55bb03['system'][_0x418ed3(0xa8d)]=_0x59df8a['slice'](0x1,_0x59df8a['length'])[_0x418ed3(0xb47)](',');}break;case'message':_0x55bb03[_0x418ed3(0x1d47)][_0x418ed3(0xa8d)]=_0x55bb03['system'][_0x418ed3(0x168a)];break;case'set':_0x55bb03[_0x418ed3(0x1d47)][_0x418ed3(0x19eb)]=_0x55bb03[_0x418ed3(0x1d47)][_0x418ed3(0x168a)][_0x418ed3(0x10c8)]('=')[0x0],_0x55bb03[_0x418ed3(0x1d47)]['value']=_0x55bb03[_0x418ed3(0x1d47)][_0x418ed3(0x168a)]['split']('=')[0x1];break;case _0x418ed3(0x1ecb):_0x55bb03['system'][_0x418ed3(0x9e0)]=_0x55bb03['system'][_0x418ed3(0x168a)];break;default:{const _0x43f73b=_0x55bb03[_0x418ed3(0x1d47)][_0x418ed3(0x168a)]['split'](',');_0x55bb03[_0x418ed3(0x1d47)][_0x418ed3(0x23e9)]=_0x3f65c0()[_0x418ed3(0x2635)](_0x43f73b[0x0])?_0x43f73b[0x0]:isNaN(_0x43f73b[0x0])?_0x43f73b[0x0]:parseInt(_0x43f73b[0x0],0xa),_0x55bb03[_0x418ed3(0x1d47)][_0x418ed3(0x212)]=_0x3f65c0()['isEmpty'](_0x43f73b[0x1])?_0x43f73b[0x1]:isNaN(_0x43f73b[0x1])?_0x43f73b[0x1]:parseInt(_0x43f73b[0x1],0xa);}break;}else _0x55bb03[_0x418ed3(0x1d47)][_0x418ed3(0x212)]='';_0x55bb03[_0x418ed3(0x1d47)][_0x418ed3(0x1142)]&&_0x55bb03[_0x418ed3(0x1d47)][_0x418ed3(0x1142)]['toLowerCase']()==='outbound'&&_0x55bb03[_0x418ed3(0x1d47)]['appType'][_0x418ed3(0x256e)]()===_0x418ed3(0x3bb)&&(_0x55bb03[_0x418ed3(0x1d47)][_0x418ed3(0x1e12)]=_0x55bb03[_0x418ed3(0x1d47)]['phone']?_0x55bb03[_0x418ed3(0x1d47)][_0x418ed3(0x1d55)][_0x418ed3(0x10c8)]('$')[0x0]:undefined,_0x55bb03[_0x418ed3(0x1d47)][_0x418ed3(0x1d43)]=_0x55bb03[_0x418ed3(0x1d47)][_0x418ed3(0x11be)]?_0x418ed3(0x1b60)+_0x55bb03[_0x418ed3(0x1d47)][_0x418ed3(0x11be)]:undefined);_0x55bb03[_0x418ed3(0x1e69)]=_0x3517e6,_0x55bb03[_0x418ed3(0x13f3)]=_0x2bfc93,_0x13099a['hasRole'](_0x418ed3(0x174b))?_0xfe48a3[_0x418ed3(0x212)][_0x418ed3(0x16b4)]({'fields':_0x418ed3(0x7a7),'sort':_0x418ed3(0x19eb),'nolimit':_0x418ed3(0x1185)})[_0x418ed3(0x2945)][_0x418ed3(0x146b)](function(_0x43240f){const _0x3b8fc9=_0x418ed3;_0x55bb03[_0x3b8fc9(0x85b)]=_0x43240f[_0x3b8fc9(0x19c7)]||[];})['catch'](function(_0x28bb90){const _0x85f034=_0x418ed3;_0x1f5fba[_0x85f034(0x1980)]({'title':_0x28bb90['status']?_0x85f034(0x262a)+_0x28bb90[_0x85f034(0x107b)]+'\x20-\x20'+_0x28bb90[_0x85f034(0x167f)]:'SYSTEM:GET_VARIABLES','msg':_0x28bb90[_0x85f034(0x524)]?JSON[_0x85f034(0x10bb)](_0x28bb90[_0x85f034(0x524)]):_0x28bb90[_0x85f034(0xd5f)]()});}):_0xfe48a3['variable']['get']({'fields':'id,name','sort':'name','nolimit':'true'})[_0x418ed3(0x2945)]['then'](function(_0x475aba){const _0x4628f3=_0x418ed3;_0x55bb03[_0x4628f3(0x85b)]=_0x475aba[_0x4628f3(0x19c7)]||[];})[_0x418ed3(0x146b)](function(){const _0x13cd74=_0x418ed3;return _0xfe48a3[_0x13cd74(0x1366)][_0x13cd74(0x16b4)]({'userProfileId':_0x55bb03[_0x13cd74(0x2321)][_0x13cd74(0x209a)],'sectionId':0x3f4})[_0x13cd74(0x2945)];})['then'](function(_0x18287c){const _0x40eab6=_0x418ed3,_0x78408b=_0x18287c&&_0x18287c[_0x40eab6(0x19c7)]?_0x18287c[_0x40eab6(0x19c7)][0x0]:null;if(!_0x78408b){const _0x1e847e=[];let _0x319753=null;_0x55bb03[_0x40eab6(0x1d47)]&&(_0x319753=_0x3f65c0()[_0x40eab6(0xc84)](_0x55bb03[_0x40eab6(0x85b)],{'name':_0x55bb03[_0x40eab6(0x1d47)][_0x40eab6(0x212)]}));for(let _0x395e31=0x0;_0x395e31<_0x55bb03['variables'][_0x40eab6(0x402)];_0x395e31++){_0x319753&&_0x55bb03['variables'][_0x395e31]['id']===_0x319753['id']&&(_0x55bb03[_0x40eab6(0x85b)][_0x395e31][_0x40eab6(0x8ff)]=![],_0x1e847e[_0x40eab6(0x1f47)](_0x55bb03[_0x40eab6(0x85b)][_0x395e31]));}_0x55bb03[_0x40eab6(0x85b)]=_0x1e847e;}else{if(!_0x78408b[_0x40eab6(0x11d2)])return _0xfe48a3[_0x40eab6(0xdcc)][_0x40eab6(0x16b4)]({'sectionId':_0x78408b['id']})[_0x40eab6(0x2945)][_0x40eab6(0x146b)](function(_0xf64735){const _0x49a2e3=_0x40eab6,_0x8b6aa9=_0x3f65c0()[_0x49a2e3(0x205)](_0xf64735['rows'],function(_0x5d5260){const _0x1d0a49=_0x49a2e3;return _0x3f65c0()[_0x1d0a49(0xc84)](_0x55bb03['variables'],{'id':_0x5d5260[_0x1d0a49(0x18b8)]});});let _0x50bed4=null;_0x55bb03[_0x49a2e3(0x1d47)]&&(_0x50bed4=_0x3f65c0()['find'](_0x55bb03[_0x49a2e3(0x85b)],{'name':_0x55bb03[_0x49a2e3(0x1d47)][_0x49a2e3(0x212)]}));if(_0x50bed4&&!_0x3f65c0()[_0x49a2e3(0x1360)](_0x8b6aa9,['id',_0x50bed4['id']])){const _0x3038e5=_0x3f65c0()[_0x49a2e3(0xc84)](_0x55bb03[_0x49a2e3(0x85b)],{'id':_0x50bed4['id']});_0x3038e5[_0x49a2e3(0x8ff)]=![],_0x8b6aa9['push'](_0x3038e5);}_0x55bb03[_0x49a2e3(0x85b)]=_0x8b6aa9;});}})[_0x418ed3(0x129e)](function(_0xfadbe8){const _0x4046c5=_0x418ed3;_0x1f5fba['error']({'title':_0xfadbe8['status']?_0x4046c5(0x262a)+_0xfadbe8['status']+_0x4046c5(0x1315)+_0xfadbe8[_0x4046c5(0x167f)]:_0x4046c5(0x493),'msg':_0xfadbe8['data']?JSON['stringify'](_0xfadbe8['data']):_0xfadbe8['toString']()});});function _0x3517e6(){const _0x4e5c6b=_0x418ed3;_0x55bb03[_0x4e5c6b(0xcef)]=[];const _0x4a3f91=[];_0x55bb03['system'][_0x4e5c6b(0x1142)]&&_0x55bb03[_0x4e5c6b(0x1d47)]['type'][_0x4e5c6b(0x256e)]()==='outbound'&&_0x55bb03[_0x4e5c6b(0x1d47)][_0x4e5c6b(0x8f2)]===_0x4e5c6b(0x118b)&&(_0x55bb03[_0x4e5c6b(0x1d47)][_0x4e5c6b(0x1d55)]=_0x18a023[_0x4e5c6b(0x1274)]?(_0x55bb03[_0x4e5c6b(0x1d47)][_0x4e5c6b(0x1e12)]||'')+_0x4e5c6b(0x2147)+_0x18a023['cutdigits']+'}':(_0x55bb03[_0x4e5c6b(0x1d47)][_0x4e5c6b(0x1e12)]||'')+'${EXTEN}',_0x18a023[_0x4e5c6b(0x1425)]!==_0x4e5c6b(0x1642)?_0x55bb03['system'][_0x4e5c6b(0xa08)]['indexOf'](_0x4e5c6b(0x1ea4))<0x0&&(_0x55bb03['system']['options']+=_0x4e5c6b(0x1ea4)):_0x55bb03[_0x4e5c6b(0x1d47)]['options']=_0x55bb03[_0x4e5c6b(0x1d47)][_0x4e5c6b(0xa08)][_0x4e5c6b(0x5f4)](_0x4e5c6b(0x1ea4),''));if(_0x55bb03[_0x4e5c6b(0x1d47)][_0x4e5c6b(0x8f2)]&&_0x55bb03[_0x4e5c6b(0x1d47)][_0x4e5c6b(0x8f2)]===_0x4e5c6b(0x1802)){}else switch((_0x55bb03[_0x4e5c6b(0x1d47)]['app']||_0x55bb03[_0x4e5c6b(0x1d47)]['appType'])['toLowerCase']()){case _0x4e5c6b(0x26ba):_0x55bb03[_0x4e5c6b(0x1d47)][_0x4e5c6b(0x168a)]=_0x55bb03[_0x4e5c6b(0x1d47)][_0x4e5c6b(0x19eb)]+'='+_0x55bb03[_0x4e5c6b(0x1d47)]['value'];break;case'custom':break;default:_0x4a3f91[0x0]=_0x55bb03[_0x4e5c6b(0x1d47)][_0x4e5c6b(0x23e9)],_0x4a3f91[0x1]=_0x55bb03[_0x4e5c6b(0x1d47)][_0x4e5c6b(0x212)],_0x55bb03[_0x4e5c6b(0x1d47)][_0x4e5c6b(0x168a)]=_0x4a3f91[_0x4e5c6b(0xb47)](',');}_0x2bfc93(_0x55bb03['system']);}function _0x2bfc93(_0x3aabd8){const _0x4517db=_0x418ed3;_0x1d588a[_0x4517db(0x2458)](_0x3aabd8);}}const _0x4fa93a=_0x564df1;;_0x5c5a70[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x2116),_0x313a4d(0xbd7),_0x313a4d(0x247f),_0x313a4d(0xa87),'crudPermissions'];function _0x5c5a70(_0x39da07,_0x57f46d,_0x27bca4,_0x4ebe3c,_0x381c7d,_0x31ab84,_0x48f9d6,_0x3d9189){const _0x13f265=_0x313a4d,_0x3c5b97=this;_0x3c5b97['currentUser']=_0x48f9d6[_0x13f265(0xb12)](),_0x3c5b97[_0x13f265(0xcef)]=[],_0x3c5b97['title']=_0x13f265(0x29b)+(_0x4ebe3c['appType']||_0x4ebe3c[_0x13f265(0x1873)])[_0x13f265(0x1c37)](),_0x3c5b97['tag']=angular[_0x13f265(0x235a)](_0x4ebe3c),_0x3c5b97['crudPermissions']=_0x3d9189,_0x3c5b97[_0x13f265(0x855)]={};if(_0x3c5b97[_0x13f265(0xa80)]['appdata'])switch(_0x3c5b97[_0x13f265(0xa80)][_0x13f265(0x8f2)]?_0x3c5b97['tag'][_0x13f265(0x8f2)]['toLowerCase']():_0x3c5b97[_0x13f265(0xa80)][_0x13f265(0x1873)][_0x13f265(0x256e)]()){case _0x13f265(0x1802):break;case'dialogflow':{const _0x496e6f=_0x3c5b97['tag'][_0x13f265(0x168a)][_0x13f265(0x10c8)](',');_0x3c5b97[_0x13f265(0xa80)]['key']=_0x496e6f[0x0],_0x3c5b97[_0x13f265(0xa80)][_0x13f265(0x90b)]=_0x496e6f[0x1],_0x3c5b97['tag'][_0x13f265(0xaf3)]=_0x496e6f[_0x13f265(0x1298)](0x2,_0x496e6f[_0x13f265(0x402)])['join'](',');}break;case _0x13f265(0xa46):{const _0x195b88=_0x3c5b97[_0x13f265(0xa80)]['appdata']['split'](',');_0x3c5b97['tag'][_0x13f265(0x153a)]=_0x195b88[0x0],_0x3c5b97[_0x13f265(0xa80)][_0x13f265(0x1392)]=_0x195b88[0x1],_0x3c5b97[_0x13f265(0xa80)][_0x13f265(0x2217)]=_0x195b88[0x2],_0x3c5b97[_0x13f265(0xa80)][_0x13f265(0x90b)]=_0x195b88[0x3],_0x3c5b97['tag'][_0x13f265(0xaf3)]=_0x195b88[_0x13f265(0x1298)](0x4,_0x195b88['length'])['join'](',');}break;case _0x13f265(0x17d6):{const _0x83374e=_0x3c5b97[_0x13f265(0xa80)][_0x13f265(0x168a)][_0x13f265(0x10c8)](',');_0x3c5b97[_0x13f265(0xa80)][_0x13f265(0x1651)]=_0x83374e[0x0],_0x3c5b97[_0x13f265(0xa80)]['secretaccesskey']=_0x83374e[0x1],_0x3c5b97[_0x13f265(0xa80)][_0x13f265(0x1039)]=_0x83374e[0x2],_0x3c5b97[_0x13f265(0xa80)][_0x13f265(0x8dd)]=_0x83374e[0x3],_0x3c5b97[_0x13f265(0xa80)][_0x13f265(0xaf3)]=_0x83374e['slice'](0x4,_0x83374e[_0x13f265(0x402)])[_0x13f265(0xb47)](',');}break;case _0x13f265(0x1713):{const _0x517e24=_0x3c5b97['tag'][_0x13f265(0x168a)][_0x13f265(0x10c8)](',');_0x3c5b97[_0x13f265(0xa80)]['times']=isNaN(_0x517e24[0x0])?_0x517e24[0x0]:parseInt(_0x517e24[0x0],0xa),_0x3c5b97[_0x13f265(0xa80)][_0x13f265(0xa8d)]=_0x517e24[_0x13f265(0x1298)](0x1,_0x517e24[_0x13f265(0x402)])[_0x13f265(0xb47)](',');}break;case _0x13f265(0x7fd):_0x3c5b97[_0x13f265(0xa80)][_0x13f265(0xa8d)]=_0x3c5b97[_0x13f265(0xa80)][_0x13f265(0x168a)];break;case _0x13f265(0x26ba):_0x3c5b97['tag']['name']=_0x3c5b97['tag'][_0x13f265(0x168a)][_0x13f265(0x10c8)]('=')[0x0],_0x3c5b97[_0x13f265(0xa80)]['value']=_0x3c5b97[_0x13f265(0xa80)][_0x13f265(0x168a)][_0x13f265(0x10c8)]('=')[0x1];break;case _0x13f265(0x1ecb):_0x3c5b97['tag']['project']=_0x3c5b97[_0x13f265(0xa80)]['appdata'];break;default:{const _0x819374=_0x3c5b97[_0x13f265(0xa80)][_0x13f265(0x168a)][_0x13f265(0x10c8)](',');_0x3c5b97['tag'][_0x13f265(0xa80)]=_0x3f65c0()[_0x13f265(0x2635)](_0x819374[0x0])?_0x819374[0x0]:isNaN(_0x819374[0x0])?_0x819374[0x0]:parseInt(_0x819374[0x0],0xa);}break;}else{}_0x3c5b97[_0x13f265(0xa80)][_0x13f265(0x1142)]&&_0x3c5b97[_0x13f265(0xa80)][_0x13f265(0x1142)]['toLowerCase']()===_0x13f265(0xc9c)&&_0x3c5b97[_0x13f265(0xa80)]['appType'][_0x13f265(0x256e)]()===_0x13f265(0x3bb)&&(_0x3c5b97[_0x13f265(0xa80)][_0x13f265(0x1e12)]=_0x3c5b97[_0x13f265(0xa80)][_0x13f265(0x1d55)]?_0x3c5b97[_0x13f265(0xa80)]['phone'][_0x13f265(0x10c8)]('$')[0x0]:undefined,_0x3c5b97['tag'][_0x13f265(0x1d43)]=_0x3c5b97['tag'][_0x13f265(0x11be)]?_0x13f265(0x1b60)+_0x3c5b97['tag'][_0x13f265(0x11be)]:undefined);_0x3c5b97['saveOpenchannelAccountApp']=_0x2cd7ab,_0x3c5b97[_0x13f265(0x13f3)]=_0x50ab4b,_0x48f9d6[_0x13f265(0x23e0)](_0x13f265(0x174b))?_0x31ab84[_0x13f265(0xa80)][_0x13f265(0x16b4)]({'fields':_0x13f265(0x7a7),'sort':_0x13f265(0x19eb),'nolimit':_0x13f265(0x1185)})[_0x13f265(0x2945)][_0x13f265(0x146b)](function(_0x3553c7){const _0xe044b1=_0x13f265;_0x3c5b97[_0xe044b1(0x1b86)]=_0x3553c7['rows']||[];})[_0x13f265(0x129e)](function(_0x156892){const _0x54febe=_0x13f265;_0x27bca4['error']({'title':_0x156892[_0x54febe(0x107b)]?_0x54febe(0x262a)+_0x156892[_0x54febe(0x107b)]+_0x54febe(0x1315)+_0x156892[_0x54febe(0x167f)]:_0x54febe(0x29c),'msg':_0x156892[_0x54febe(0x524)]?JSON[_0x54febe(0x10bb)](_0x156892[_0x54febe(0x524)]):_0x156892[_0x54febe(0xd5f)]()});}):_0x31ab84[_0x13f265(0xa80)][_0x13f265(0x16b4)]({'fields':_0x13f265(0x7a7),'sort':_0x13f265(0x19eb),'nolimit':_0x13f265(0x1185)})[_0x13f265(0x2945)][_0x13f265(0x146b)](function(_0x9d2755){const _0xf3707=_0x13f265;_0x3c5b97[_0xf3707(0x1b86)]=_0x9d2755[_0xf3707(0x19c7)]||[];})[_0x13f265(0x146b)](function(){const _0x2a53a2=_0x13f265;return _0x31ab84['userProfileSection'][_0x2a53a2(0x16b4)]({'userProfileId':_0x3c5b97['currentUser'][_0x2a53a2(0x209a)],'sectionId':0x3f0})['$promise'];})['then'](function(_0x366822){const _0xbb8775=_0x13f265,_0x381433=_0x366822&&_0x366822[_0xbb8775(0x19c7)]?_0x366822[_0xbb8775(0x19c7)][0x0]:null;if(!_0x381433){const _0xeaa78e=[];let _0x2e6fe6=null;_0x3c5b97[_0xbb8775(0xa80)]&&(_0x2e6fe6=_0x3f65c0()[_0xbb8775(0xc84)](_0x3c5b97[_0xbb8775(0x1b86)],{'name':_0x3c5b97[_0xbb8775(0xa80)][_0xbb8775(0xa80)]}));for(let _0x3acff0=0x0;_0x3acff0<_0x3c5b97[_0xbb8775(0x1b86)][_0xbb8775(0x402)];_0x3acff0++){_0x2e6fe6&&_0x3c5b97[_0xbb8775(0x1b86)][_0x3acff0]['id']===_0x2e6fe6['id']&&(_0x3c5b97[_0xbb8775(0x1b86)][_0x3acff0]['canSelect']=![],_0xeaa78e[_0xbb8775(0x1f47)](_0x3c5b97['tags'][_0x3acff0]));}_0x3c5b97[_0xbb8775(0x1b86)]=_0xeaa78e;}else{if(!_0x381433[_0xbb8775(0x11d2)])return _0x31ab84[_0xbb8775(0xdcc)][_0xbb8775(0x16b4)]({'sectionId':_0x381433['id']})['$promise'][_0xbb8775(0x146b)](function(_0x10d292){const _0x3f0192=_0xbb8775,_0x3658ea=_0x3f65c0()['map'](_0x10d292[_0x3f0192(0x19c7)],function(_0x410bfd){const _0x48eeae=_0x3f0192;return _0x3f65c0()[_0x48eeae(0xc84)](_0x3c5b97['tags'],{'id':_0x410bfd['resourceId']});});let _0x379a19=null;_0x3c5b97[_0x3f0192(0xa80)]&&(_0x379a19=_0x3f65c0()['find'](_0x3c5b97['tags'],{'name':_0x3c5b97[_0x3f0192(0xa80)]['tag']}));if(_0x379a19&&!_0x3f65c0()[_0x3f0192(0x1360)](_0x3658ea,['id',_0x379a19['id']])){const _0x110273=_0x3f65c0()['find'](_0x3c5b97[_0x3f0192(0x1b86)],{'id':_0x379a19['id']});_0x110273[_0x3f0192(0x8ff)]=![],_0x3658ea['push'](_0x110273);}_0x3c5b97[_0x3f0192(0x1b86)]=_0x3658ea;});}})[_0x13f265(0x129e)](function(_0x31dbec){const _0x1e7def=_0x13f265;_0x27bca4['error']({'title':_0x31dbec[_0x1e7def(0x107b)]?_0x1e7def(0x262a)+_0x31dbec[_0x1e7def(0x107b)]+_0x1e7def(0x1315)+_0x31dbec[_0x1e7def(0x167f)]:_0x1e7def(0x156),'msg':_0x31dbec['data']?JSON[_0x1e7def(0x10bb)](_0x31dbec['data']):_0x31dbec[_0x1e7def(0xd5f)]()});});function _0x2cd7ab(){const _0x59ff3b=_0x13f265;_0x3c5b97['errors']=[];const _0x399878=[];_0x3c5b97[_0x59ff3b(0xa80)][_0x59ff3b(0x1142)]&&_0x3c5b97[_0x59ff3b(0xa80)]['type'][_0x59ff3b(0x256e)]()==='outbound'&&_0x3c5b97[_0x59ff3b(0xa80)][_0x59ff3b(0x8f2)]===_0x59ff3b(0x118b)&&(_0x3c5b97[_0x59ff3b(0xa80)]['phone']=_0x381c7d['cutdigits']?(_0x3c5b97[_0x59ff3b(0xa80)]['prefix']||'')+'${EXTEN:'+_0x381c7d[_0x59ff3b(0x1274)]+'}':(_0x3c5b97[_0x59ff3b(0xa80)][_0x59ff3b(0x1e12)]||'')+_0x59ff3b(0x20df),_0x381c7d[_0x59ff3b(0x1425)]!=='none'?_0x3c5b97['tag'][_0x59ff3b(0xa08)][_0x59ff3b(0x172b)]('U(xcally-mixmonitor-context)')<0x0&&(_0x3c5b97[_0x59ff3b(0xa80)]['options']+='U(xcally-mixmonitor-context)'):_0x3c5b97[_0x59ff3b(0xa80)][_0x59ff3b(0xa08)]=_0x3c5b97[_0x59ff3b(0xa80)][_0x59ff3b(0xa08)]['replace'](_0x59ff3b(0x1ea4),''));const _0x304bce=_0x3f65c0()['find'](_0x3c5b97[_0x59ff3b(0x1b86)],{'name':_0x3c5b97[_0x59ff3b(0xa80)][_0x59ff3b(0xa80)]});_0x304bce&&(_0x3c5b97[_0x59ff3b(0xa80)][_0x59ff3b(0xb0a)]=_0x304bce['id']);if(_0x3c5b97[_0x59ff3b(0xa80)][_0x59ff3b(0x8f2)]&&_0x3c5b97[_0x59ff3b(0xa80)][_0x59ff3b(0x8f2)]===_0x59ff3b(0x1802)){}else switch((_0x3c5b97[_0x59ff3b(0xa80)][_0x59ff3b(0x1873)]||_0x3c5b97[_0x59ff3b(0xa80)]['appType'])[_0x59ff3b(0x256e)]()){case'set':_0x3c5b97[_0x59ff3b(0xa80)][_0x59ff3b(0x168a)]=_0x3c5b97[_0x59ff3b(0xa80)][_0x59ff3b(0x19eb)]+'='+_0x3c5b97[_0x59ff3b(0xa80)]['value'];break;case'custom':break;default:_0x399878[0x0]=_0x3c5b97[_0x59ff3b(0xa80)][_0x59ff3b(0xa80)],_0x3c5b97['tag'][_0x59ff3b(0x168a)]=_0x399878[_0x59ff3b(0xb47)](',');}_0x50ab4b(_0x3c5b97[_0x59ff3b(0xa80)]);}function _0x50ab4b(_0x1b39cb){_0x39da07['hide'](_0x1b39cb);}}const _0x448adf=_0x5c5a70;;_0x43d6d3[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),_0x313a4d(0x910),_0x313a4d(0x1862),'$q',_0x313a4d(0x214b),_0x313a4d(0x1abe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1fe4),'api',_0x313a4d(0xa87)];function _0x43d6d3(_0x5e8bba,_0xf72d45,_0x481b43,_0x14bba2,_0x3188f0,_0x59683f,_0x1a7900,_0x3f971b,_0x8eee98,_0x59fd05,_0x5cce93){const _0x5c5d91=_0x313a4d,_0x3e557f=this;_0x3e557f['currentUser']=_0x5cce93[_0x5c5d91(0xb12)](),_0x3e557f[_0x5c5d91(0xbd7)]={},_0x3e557f[_0x5c5d91(0x660)]={'count':0x0,'rows':[]},_0x3e557f[_0x5c5d91(0x1531)]=[],_0x3e557f['crudPermissions'],_0x3e557f[_0x5c5d91(0x1a56)]={'read':'null','closed':'null','sort':_0x5c5d91(0xd6f),'includeAll':_0x5c5d91(0x1185),'limit':0xa,'page':0x1},_0x3e557f['init']=_0xa3d9e7,_0x3e557f[_0x5c5d91(0x19b4)]=_0x3475e5,_0x3e557f[_0x5c5d91(0x283d)]=_0x3dbcf0,_0x3e557f[_0x5c5d91(0x1c75)]=_0x10eee3,_0x3e557f[_0x5c5d91(0x18ec)]=_0x136b95,_0x3e557f['spyopenchannelInteraction']=_0xc516e2,_0x3e557f[_0x5c5d91(0x21a6)]=_0x41acb9,_0x3e557f[_0x5c5d91(0x12dd)]=_0x1a90d0,_0x3e557f[_0x5c5d91(0x1126)]=_0x1a0ceb,_0x3e557f[_0x5c5d91(0x12b)]=_0x51072a;function _0xa3d9e7(_0x4d1fe7,_0x8451bf){const _0x5de621=_0x5c5d91;_0x3e557f[_0x5de621(0xbd7)]=_0x4d1fe7,_0x3e557f[_0x5de621(0x2514)]=typeof _0x8451bf!==_0x5de621(0x2274)?_0x8451bf:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x3e557f[_0x5de621(0x1a56)]['OpenchannelAccountId']=_0x3e557f[_0x5de621(0xbd7)]['id'],_0x3e557f[_0x5de621(0xe93)]={'fields':_0x12cde8()},_0x3c5c2a();}function _0x3c5c2a(){const _0x5c8817=_0x5c5d91;return _0x59fd05['tag'][_0x5c8817(0x16b4)]()['$promise'][_0x5c8817(0x146b)](function(_0x4b243c){_0x3e557f['tags']=_0x4b243c||{'count':0x0,'rows':[]};})[_0x5c8817(0x146b)](function(){const _0x42ad73=_0x5c8817;_0x3e557f[_0x42ad73(0x28af)]=_0x58ac52();});}function _0x58ac52(){const _0x1b5f93=_0x5c5d91;return[{'name':_0x1b5f93(0x294),'key':'createdAt','type':_0x1b5f93(0x1a10),'label':'DASHBOARDS.SELECT_DATE'},{'name':_0x1b5f93(0x1c7c),'key':_0x1b5f93(0x229e),'type':'select','label':_0x1b5f93(0x8f5),'customOptions':[{'value':0x0,'translate':_0x1b5f93(0x33f)},{'value':0x1,'translate':_0x1b5f93(0x1ce6)},{'value':null,'translate':_0x1b5f93(0x175e)}]},{'name':_0x1b5f93(0x74e),'key':_0x1b5f93(0x1943),'type':_0x1b5f93(0xa5f),'label':_0x1b5f93(0x1682),'customOptions':[{'value':0x0,'translate':_0x1b5f93(0x143b)},{'value':0x1,'translate':_0x1b5f93(0x1805)},{'value':null,'translate':_0x1b5f93(0x175e)}]},{'name':_0x1b5f93(0x294a),'key':'UserId','type':_0x1b5f93(0xa5f),'label':_0x1b5f93(0x127),'customOptions':[{'value':_0x1b5f93(0x203c),'translate':_0x1b5f93(0x38d)},{'value':undefined,'translate':_0x1b5f93(0x175e)}]},{'name':_0x1b5f93(0x23a8),'key':_0x1b5f93(0xa80),'type':_0x1b5f93(0x175c),'label':_0x1b5f93(0x1c0e),'options':_0x3e557f['tags'][_0x1b5f93(0x19c7)],'placeholder':_0x1b5f93(0x66f)}];}function _0x12cde8(){const _0x15ad73=_0x5c5d91;return[{'name':'Id','column':'id','type':_0x15ad73(0x181)},{'name':_0x15ad73(0x364),'column':_0x15ad73(0x364),'type':'autocomplete','options':{'searchFields':['firstName',_0x15ad73(0xee4),'email'],'route':{'model':'cmContact','action':_0x15ad73(0x16b4),'params':{'fields':_0x15ad73(0x992),'Contact':_0x15ad73(0x3fb),'nolimit':!![]}},'extraOperators':[_0x15ad73(0xacb)],'excludedOperators':[_0x15ad73(0x1671)]}},{'name':'Subject','column':'subject','type':'text','options':{'excludedOperators':[_0x15ad73(0x6be),_0x15ad73(0x1671)]}},{'name':_0x15ad73(0x710),'column':_0x15ad73(0xb80),'type':_0x15ad73(0xa8d),'options':{'excludedOperators':[_0x15ad73(0x6be),'$ne']}},{'name':_0x15ad73(0x74e),'column':_0x15ad73(0x1943),'type':_0x15ad73(0xa5f),'values':[{'id':0x0,'translate':_0x15ad73(0x143b)},{'id':0x1,'translate':'DASHBOARDS.CLOSED'}],'options':{'excludedOperators':[_0x15ad73(0x1671)]}},{'name':_0x15ad73(0x294a),'column':'User','type':_0x15ad73(0x14ee),'options':{'table':'i','route':{'model':_0x15ad73(0xe7b),'action':_0x15ad73(0x16b4),'params':{'role':'agent','fields':_0x15ad73(0x12bf),'nolimit':!![]}},'searchFields':[_0x15ad73(0x286a),_0x15ad73(0x19eb)],'extraOperators':[_0x15ad73(0xacb)],'excludedOperators':[_0x15ad73(0x1671)]}},{'name':'Tags','column':_0x15ad73(0x23a8),'type':'multiselect','options':{'route':{'model':_0x15ad73(0xa80),'action':_0x15ad73(0x16b4),'params':{'nolimit':!![]}},'excludedOperators':[_0x15ad73(0xbe5)]}},{'name':'Start\x20Date','column':_0x15ad73(0xc68),'type':_0x15ad73(0x1a10),'options':{'excludedOperators':[_0x15ad73(0x1671)]}},{'name':_0x15ad73(0x270b),'column':_0x15ad73(0x26a6),'type':_0x15ad73(0xa5f),'values':[{'id':0x1,'translate':_0x15ad73(0x1ce6)},{'id':0x0,'translate':'DASHBOARDS.UNREAD'}],'options':{'excludedOperators':[_0x15ad73(0x1671)]}}];}function _0x41acb9(){const _0x3969ff=_0x5c5d91;_0x1a7900['show']({'controller':'AdvancedSearchController','controllerAs':'vm','templateUrl':_0x3fb128,'parent':angular['element'](_0x3f971b[_0x3969ff(0x2586)]),'clickOutsideToClose':![],'locals':{'fields':_0x3e557f[_0x3969ff(0xe93)][_0x3969ff(0x2867)],'color':undefined,'storagePath':'openchannel.openchannelAccounts'},'fullscreen':!![]})[_0x3969ff(0x146b)](function(_0x234844){const _0x46d69a=_0x3969ff;_0x3e557f[_0x46d69a(0x1a56)][_0x46d69a(0x21da)]=_0x234844===![]?undefined:_0x234844;if(_0x3e557f[_0x46d69a(0x1a56)][_0x46d69a(0x21da)])_0x136b95();else _0x234844===![]&&_0x136b95();})[_0x3969ff(0x129e)](function(_0x656d3b){const _0x4599b6=_0x3969ff;_0x8eee98[_0x4599b6(0x1980)]({'title':'ERROR','msg':_0x656d3b['data']?JSON[_0x4599b6(0x10bb)](_0x656d3b[_0x4599b6(0x524)][_0x4599b6(0x7fd)]):_0x656d3b[_0x4599b6(0xd5f)]()});});}function _0x3dbcf0(_0x14e662,_0x4a3c9e,_0x3f9ee9){const _0x24e24b=_0x5c5d91;return _0x59fd05[_0x24e24b(0x119)][_0x24e24b(0x15b1)]({'id':_0x14e662['id'],'exists':!![],'attachments':_0x3f9ee9})['$promise'][_0x24e24b(0x146b)](function(_0xdb3f99){const _0x6bff05=_0x24e24b,_0xdf133b=[_0xdb3f99[_0x6bff05(0x2eb)]];let _0x3529f7=_0x6bff05(0xe80)+_0x14e662['id'];const _0x1fea18=new Blob(_0xdf133b,{'type':_0xdb3f99[_0x6bff05(0x1142)]});_0x3529f7=_0x6bff05(0x23c4)+_0x14e662['id']+_0x6bff05(0xf04);const _0xc223d6=window[_0x6bff05(0xef3)]['createElement']('a');_0xc223d6[_0x6bff05(0x1652)](_0x6bff05(0x1b3c),URL[_0x6bff05(0x1c58)](_0x1fea18)),_0xc223d6[_0x6bff05(0x1652)](_0x6bff05(0x15b1),_0x3529f7),document[_0x6bff05(0x2586)]['appendChild'](_0xc223d6),_0xc223d6[_0x6bff05(0x1fa5)]();})[_0x24e24b(0x129e)](function(_0x103f86){const _0x40de8b=_0x24e24b;if(_0x103f86[_0x40de8b(0x524)]&&_0x103f86[_0x40de8b(0x524)]['errors']&&_0x103f86[_0x40de8b(0x524)][_0x40de8b(0xcef)][_0x40de8b(0x402)])for(let _0x22b9c5=0x0;_0x22b9c5<_0x103f86[_0x40de8b(0x524)][_0x40de8b(0xcef)][_0x40de8b(0x402)];_0x22b9c5+=0x1){_0x8eee98['error']({'title':_0x103f86['data'][_0x40de8b(0xcef)][_0x22b9c5][_0x40de8b(0x1142)],'msg':_0x103f86[_0x40de8b(0x524)][_0x40de8b(0xcef)][_0x22b9c5][_0x40de8b(0x7fd)]});}else _0x8eee98[_0x40de8b(0x1980)]({'title':_0x103f86[_0x40de8b(0x107b)]?_0x40de8b(0x262a)+_0x103f86[_0x40de8b(0x107b)]+'\x20-\x20'+_0x103f86[_0x40de8b(0x167f)]:_0x40de8b(0x2157),'msg':_0x103f86[_0x40de8b(0x524)]?JSON[_0x40de8b(0x10bb)](_0x103f86['data'][_0x40de8b(0x7fd)]):_0x103f86[_0x40de8b(0xd5f)]()});});}function _0x3475e5(_0x2eed53,_0x27f8d8){const _0x445c96=_0x5c5d91,_0x23b2aa=_0x1a7900[_0x445c96(0x1e8a)]()['title'](_0x445c96(0x1f40))['htmlContent'](_0x445c96(0x16d3)+(_0x2eed53[_0x445c96(0x19eb)]||_0x2eed53['id']&&_0x3f65c0()[_0x445c96(0x21cf)]('interaction\x20#')+_0x2eed53['id']||_0x445c96(0xe80))+''+_0x445c96(0xe01))['ariaLabel'](_0x445c96(0xcab))['targetEvent'](_0x27f8d8)['ok']('OK')[_0x445c96(0x6c3)](_0x445c96(0x39a));_0x1a7900[_0x445c96(0x2615)](_0x23b2aa)[_0x445c96(0x146b)](function(){_0x1a0ceb(_0x2eed53);},function(){const _0x7b67cc=_0x445c96;console['log'](_0x7b67cc(0x39a));});}function _0x10eee3(_0xe13eff){const _0x17884a=_0x5c5d91;_0x3e557f[_0x17884a(0x660)]=_0xe13eff||{'count':0x0,'rows':[]};for(let _0x22ce7b=0x0;_0x22ce7b<_0x3e557f[_0x17884a(0x660)]['rows'][_0x17884a(0x402)];_0x22ce7b+=0x1){const _0x22475f=_0x3e557f[_0x17884a(0x660)][_0x17884a(0x19c7)][_0x22ce7b];_0x3fbb2c(_0x22475f),_0x22475f[_0x17884a(0xac5)][_0x17884a(0x19eb)]=_0x2e9af1(_0x22475f);}}function _0x136b95(){const _0x4594b0=_0x5c5d91;_0x3e557f[_0x4594b0(0x1a56)][_0x4594b0(0x145d)]=(_0x3e557f[_0x4594b0(0x1a56)][_0x4594b0(0x844)]-0x1)*_0x3e557f[_0x4594b0(0x1a56)][_0x4594b0(0x221e)],_0x3e557f[_0x4594b0(0xb9c)]=_0x59fd05['openchannelInteraction'][_0x4594b0(0x16b4)](_0x3e557f[_0x4594b0(0x1a56)],_0x10eee3)[_0x4594b0(0x2945)];}function _0xc516e2(_0x4f6043,_0x506823){const _0x9b5510=_0x5c5d91;_0x1a7900[_0x9b5510(0x2615)]({'controller':_0x9b5510(0xd2c),'controllerAs':'vm','templateUrl':_0x270cc0,'parent':angular[_0x9b5510(0x1853)](_0x3f971b[_0x9b5510(0x2586)]),'targetEvent':_0x4f6043,'clickOutsideToClose':!![],'onShowing':function(_0x4bd7a1){const _0x3114b3=_0x9b5510;_0x4bd7a1['vm'][_0x3114b3(0x5aa)]({'id':0x1,'channel':_0x3114b3(0x7d9),'interaction':_0x506823,'spy':!![]},_0xf72d45['$parent']['vm'][_0x3114b3(0x15b9)]);}});}function _0x1a0ceb(_0x1460b1){const _0x56361d=_0x5c5d91;_0x59fd05[_0x56361d(0x119)][_0x56361d(0x1fac)]({'id':_0x1460b1['id']})[_0x56361d(0x2945)][_0x56361d(0x146b)](function(){const _0x1e49ac=_0x56361d;_0x3f65c0()['remove'](_0x3e557f[_0x1e49ac(0x660)][_0x1e49ac(0x19c7)],{'id':_0x1460b1['id']}),_0x3e557f[_0x1e49ac(0x660)][_0x1e49ac(0x51c)]-=0x1,!_0x3e557f[_0x1e49ac(0x660)]['rows'][_0x1e49ac(0x402)]&&_0x136b95(),_0x8eee98['success']({'title':_0x1e49ac(0x739),'msg':_0x1460b1['name']?_0x1460b1[_0x1e49ac(0x19eb)]+_0x1e49ac(0x23e3):''});})['catch'](function(_0x10f771){const _0x53fd55=_0x56361d;if(_0x10f771[_0x53fd55(0x524)]&&_0x10f771[_0x53fd55(0x524)][_0x53fd55(0xcef)]&&_0x10f771[_0x53fd55(0x524)][_0x53fd55(0xcef)][_0x53fd55(0x402)]){_0x3e557f['errors']=_0x10f771[_0x53fd55(0x524)][_0x53fd55(0xcef)]||[{'message':_0x10f771['toString'](),'type':'SYSTEM:GETopenchannelAccount'}];for(let _0x53ffb3=0x0;_0x53ffb3<_0x10f771[_0x53fd55(0x524)][_0x53fd55(0xcef)][_0x53fd55(0x402)];_0x53ffb3++){_0x8eee98[_0x53fd55(0x1980)]({'title':_0x10f771[_0x53fd55(0x524)][_0x53fd55(0xcef)][_0x53ffb3][_0x53fd55(0x1142)],'msg':_0x10f771[_0x53fd55(0x524)][_0x53fd55(0xcef)][_0x53ffb3][_0x53fd55(0x7fd)]});}}else _0x8eee98[_0x53fd55(0x1980)]({'title':_0x10f771[_0x53fd55(0x107b)]?_0x53fd55(0x262a)+_0x10f771[_0x53fd55(0x107b)]+_0x53fd55(0x1315)+_0x10f771['statusText']:_0x53fd55(0x2624),'msg':_0x10f771[_0x53fd55(0x524)]?JSON['stringify'](_0x10f771[_0x53fd55(0x524)]['message']):_0x10f771['message']||_0x10f771[_0x53fd55(0xd5f)]()});});}function _0x1a90d0(){const _0x328859=_0x5c5d91,_0x133ca1=angular[_0x328859(0x235a)](_0x3e557f[_0x328859(0x1531)]);return _0x3e557f[_0x328859(0x1531)]=[],_0x133ca1;}function _0x51072a(_0xe677a6){const _0x5c1c69=_0x5c5d91,_0x2d0cc0=_0x1a7900['confirm']()[_0x5c1c69(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20interactions?')[_0x5c1c69(0x1cbe)](''+_0x3e557f[_0x5c1c69(0x1531)][_0x5c1c69(0x402)]+'\x20selected'+'\x20will\x20be\x20deleted.')['ariaLabel'](_0x5c1c69(0xa37))[_0x5c1c69(0x1f27)](_0xe677a6)['ok']('OK')['cancel']('CANCEL');_0x1a7900['show'](_0x2d0cc0)[_0x5c1c69(0x146b)](function(){const _0x48aa44=_0x5c1c69;_0x3e557f[_0x48aa44(0x1531)][_0x48aa44(0x1df5)](function(_0x3d2fbe){_0x1a0ceb(_0x3d2fbe);}),_0x3e557f['selectedOpenchannelAccountInteractions']=[];});}function _0x3fbb2c(_0x29b10d){const _0x5ab723=_0x5c5d91;if(_0x29b10d['from'])_0x29b10d['contactName']=_0x29b10d[_0x5ab723(0xfa5)];else _0x29b10d[_0x5ab723(0x364)]?_0x29b10d['contactName']=(_0x29b10d[_0x5ab723(0x364)]['firstName']||'')+'\x20'+(_0x29b10d['Contact'][_0x5ab723(0xee4)]||''):_0x29b10d[_0x5ab723(0x792)]=_0x3188f0[_0x5ab723(0xde)](_0x5ab723(0xe0b));}function _0x2e9af1(_0x3f1057){const _0x5ed861=_0x5c5d91;if(_0x3f1057[_0x5ed861(0xea2)])return _0x3f1057[_0x5ed861(0xea2)]===_0x3e557f['currentUser']['id']?_0x3188f0[_0x5ed861(0xde)](_0x5ed861(0x6d9)):_0x3f1057[_0x5ed861(0xac5)][_0x5ed861(0x286a)]+'\x20<'+_0x3f1057[_0x5ed861(0xac5)]['internal']+'>';return _0x3188f0['instant']('DASHBOARDS.NOT_ASSIGNED');}let _0x36189e=!![],_0x5d9f77=0x1;_0xf72d45[_0x5c5d91(0x21e8)](_0x5c5d91(0x117f),function(_0x98ea01,_0x479bd8){const _0x3d8684=_0x5c5d91;_0x36189e?_0x59683f(function(){_0x36189e=![];}):(!_0x479bd8&&(_0x5d9f77=_0x3e557f['query'][_0x3d8684(0x844)]),_0x98ea01!==_0x479bd8&&(_0x3e557f[_0x3d8684(0x1a56)][_0x3d8684(0x844)]=0x1),!_0x98ea01&&(_0x3e557f[_0x3d8684(0x1a56)][_0x3d8684(0x844)]=_0x5d9f77),_0x136b95());});}const _0x4cbea8=_0x43d6d3;;const _0x49c23b=_0x4acfac['p']+_0x313a4d(0x11d7);;_0x37adbe[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),_0x313a4d(0x910),_0x313a4d(0x1862),'$q',_0x313a4d(0x214b),'$timeout',_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1fe4),_0x313a4d(0x247f),'Auth'];function _0x37adbe(_0x4193ff,_0xe9580e,_0xa9c932,_0x598fd0,_0x146134,_0x149189,_0x23429a,_0x31ed50,_0x38d81b,_0x4cf1ca,_0x2e07ff){const _0x4c6fdb=_0x313a4d,_0x3065e3=this;_0x3065e3[_0x4c6fdb(0x2321)]=_0x2e07ff['getCurrentUser'](),_0x3065e3['openchannelAccount']={},_0x3065e3[_0x4c6fdb(0x12b8)]={'count':0x0,'rows':[]},_0x3065e3[_0x4c6fdb(0x14e1)]=[],_0x3065e3[_0x4c6fdb(0x2514)],_0x3065e3[_0x4c6fdb(0x1a56)]={'fields':_0x4c6fdb(0x241e),'limit':0xa,'page':0x1},_0x3065e3[_0x4c6fdb(0x5aa)]=_0x3dcf0e,_0x3065e3[_0x4c6fdb(0x19b4)]=_0x4eaf60,_0x3065e3[_0x4c6fdb(0x1c75)]=_0x5418a8,_0x3065e3['getOpenchannelAccountOpenchannelCannedAnswers']=_0x5d7ac5,_0x3065e3[_0x4c6fdb(0x2371)]=_0x3e6430,_0x3065e3[_0x4c6fdb(0x1e59)]=_0x6a9c7,_0x3065e3[_0x4c6fdb(0x434)]=_0x148a48,_0x3065e3['deleteSelectedOpenchannelAccountOpenchannelCannedAnswers']=_0x5946a2;function _0x3dcf0e(_0x5c9e49,_0x30f76f){const _0x3abc5f=_0x4c6fdb;_0x3065e3[_0x3abc5f(0xbd7)]=_0x5c9e49,_0x3065e3[_0x3abc5f(0x2514)]=typeof _0x30f76f!==_0x3abc5f(0x2274)?_0x30f76f:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x3065e3[_0x3abc5f(0x1a56)][_0x3abc5f(0x534)]=_0x3065e3[_0x3abc5f(0xbd7)]['id'],_0x3065e3[_0x3abc5f(0x1a56)]['id']=_0x3065e3['openchannelAccount']['id'],_0x5d7ac5();}function _0x4eaf60(_0x421228,_0x1df325){const _0x4a5f11=_0x4c6fdb,_0x3952be=_0x23429a[_0x4a5f11(0x1e8a)]()[_0x4a5f11(0x1189)](_0x4a5f11(0x104d))[_0x4a5f11(0x1cbe)](_0x4a5f11(0x16d3)+(_0x421228[_0x4a5f11(0x19eb)]||_0x421228['id']&&_0x3f65c0()[_0x4a5f11(0x21cf)]('openchannelCannedAnswer\x20#')+_0x421228['id']||_0x4a5f11(0x1a54))+'
'+_0x4a5f11(0xe01))[_0x4a5f11(0x4bd)](_0x4a5f11(0x11ca))['targetEvent'](_0x1df325)['ok']('OK')[_0x4a5f11(0x6c3)](_0x4a5f11(0x39a));_0x23429a[_0x4a5f11(0x2615)](_0x3952be)[_0x4a5f11(0x146b)](function(){_0x148a48(_0x421228);},function(){const _0x2ebc19=_0x4a5f11;console[_0x2ebc19(0x1a74)](_0x2ebc19(0x39a));});}function _0x5418a8(_0x446fca){_0x3065e3['openchannelAccountOpenchannelCannedAnswers']=_0x446fca||{'count':0x0,'rows':[]};}function _0x5d7ac5(){const _0x11119b=_0x4c6fdb;_0x3065e3[_0x11119b(0x1a56)]['offset']=(_0x3065e3[_0x11119b(0x1a56)]['page']-0x1)*_0x3065e3[_0x11119b(0x1a56)][_0x11119b(0x221e)],_0x3065e3[_0x11119b(0xb9c)]=_0x4cf1ca['openchannelAccount']['getAnswers'](_0x3065e3[_0x11119b(0x1a56)],_0x5418a8)[_0x11119b(0x2945)];}function _0x3e6430(_0x29a602,_0x1312b9){const _0x3741cb=_0x4c6fdb;_0x23429a[_0x3741cb(0x2615)]({'controller':'CreateOrEditOpenchannelCannedAnswerDialogController','controllerAs':'vm','templateUrl':_0x49c23b,'parent':angular[_0x3741cb(0x1853)](_0x31ed50[_0x3741cb(0x2586)]),'targetEvent':_0x29a602,'clickOutsideToClose':!![],'locals':{'openchannelAccount':_0x3065e3[_0x3741cb(0xbd7)],'openchannelCannedAnswer':_0x1312b9,'openchannelCannedAnswers':_0x3065e3[_0x3741cb(0x12b8)]['rows'],'license':null,'setting':null,'crudPermissions':_0x3065e3[_0x3741cb(0x2514)]}});}function _0x148a48(_0x3689f6){const _0x2a313d=_0x4c6fdb;_0x4cf1ca[_0x2a313d(0x1ada)][_0x2a313d(0x1fac)]({'id':_0x3689f6['id']})[_0x2a313d(0x2945)][_0x2a313d(0x146b)](function(){const _0x401306=_0x2a313d;_0x3f65c0()['remove'](_0x3065e3[_0x401306(0x12b8)]['rows'],{'id':_0x3689f6['id']}),_0x3065e3[_0x401306(0x12b8)][_0x401306(0x51c)]-=0x1,!_0x3065e3[_0x401306(0x12b8)]['rows']['length']&&_0x5d7ac5(),_0x38d81b['success']({'title':_0x401306(0x291e),'msg':_0x3689f6[_0x401306(0x19eb)]?_0x3689f6['name']+_0x401306(0x23e3):''});})[_0x2a313d(0x129e)](function(_0x53d912){const _0x30fed8=_0x2a313d;if(_0x53d912[_0x30fed8(0x524)]&&_0x53d912[_0x30fed8(0x524)]['errors']&&_0x53d912[_0x30fed8(0x524)]['errors']['length']){_0x3065e3[_0x30fed8(0xcef)]=_0x53d912[_0x30fed8(0x524)]['errors']||[{'message':_0x53d912[_0x30fed8(0xd5f)](),'type':_0x30fed8(0x2624)}];for(let _0x4b4ca6=0x0;_0x4b4ca6<_0x53d912[_0x30fed8(0x524)]['errors'][_0x30fed8(0x402)];_0x4b4ca6++){_0x38d81b['error']({'title':_0x53d912[_0x30fed8(0x524)]['errors'][_0x4b4ca6][_0x30fed8(0x1142)],'msg':_0x53d912[_0x30fed8(0x524)][_0x30fed8(0xcef)][_0x4b4ca6]['message']});}}else _0x38d81b['error']({'title':_0x53d912[_0x30fed8(0x107b)]?_0x30fed8(0x262a)+_0x53d912[_0x30fed8(0x107b)]+_0x30fed8(0x1315)+_0x53d912['statusText']:_0x30fed8(0x2624),'msg':_0x53d912[_0x30fed8(0x524)]?JSON['stringify'](_0x53d912[_0x30fed8(0x524)]['message']):_0x53d912['message']||_0x53d912[_0x30fed8(0xd5f)]()});});}function _0x6a9c7(){const _0x25dda2=_0x4c6fdb,_0x343d34=angular[_0x25dda2(0x235a)](_0x3065e3[_0x25dda2(0x14e1)]);return _0x3065e3[_0x25dda2(0x14e1)]=[],_0x343d34;}function _0x5946a2(_0x332a74){const _0x67b0ff=_0x4c6fdb,_0x5b0ec5=_0x23429a[_0x67b0ff(0x1e8a)]()['title'](_0x67b0ff(0x173e))[_0x67b0ff(0x1cbe)](_0x67b0ff(0x16d3)+_0x3065e3[_0x67b0ff(0x14e1)]['length']+_0x67b0ff(0x2452)+_0x67b0ff(0xe01))['ariaLabel'](_0x67b0ff(0x1aa6))[_0x67b0ff(0x1f27)](_0x332a74)['ok']('OK')['cancel'](_0x67b0ff(0x39a));_0x23429a['show'](_0x5b0ec5)[_0x67b0ff(0x146b)](function(){const _0x56d061=_0x67b0ff;_0x3065e3[_0x56d061(0x14e1)]['forEach'](function(_0x45096f){_0x148a48(_0x45096f);}),_0x3065e3[_0x56d061(0x14e1)]=[];});}let _0x149dcc=!![],_0x37ed5b=0x1;_0xe9580e[_0x4c6fdb(0x21e8)](_0x4c6fdb(0x117f),function(_0x3698ce,_0x43accd){const _0x595fc6=_0x4c6fdb;_0x149dcc?_0x149189(function(){_0x149dcc=![];}):(!_0x43accd&&(_0x37ed5b=_0x3065e3['query'][_0x595fc6(0x844)]),_0x3698ce!==_0x43accd&&(_0x3065e3[_0x595fc6(0x1a56)][_0x595fc6(0x844)]=0x1),!_0x3698ce&&(_0x3065e3[_0x595fc6(0x1a56)]['page']=_0x37ed5b),_0x5d7ac5());});}const _0x56bfcc=_0x37adbe;;_0x24c5a3['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q','$translate',_0x313a4d(0x1fe4),'openchannelCannedAnswers',_0x313a4d(0x1a54),'api','Auth','license',_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x24c5a3(_0x24d34d,_0x596349,_0x310e45,_0x4a87af,_0x188b4d,_0x4918a5,_0x521b53,_0x509a14,_0x16c2e0,_0x1b2052,_0x522332,_0x517adf,_0x331301,_0x15649f){const _0x478288=_0x313a4d,_0x5f2eeb=this;_0x5f2eeb['currentUser']=_0x522332[_0x478288(0xb12)](),_0x5f2eeb['errors']=[],_0x5f2eeb['setting']=_0x331301,_0x5f2eeb[_0x478288(0x2690)]=_0x517adf,_0x5f2eeb['crudPermissions']=_0x15649f,_0x5f2eeb[_0x478288(0x855)]={},_0x5f2eeb[_0x478288(0x2251)]=_0x5f2eeb[_0x478288(0x15b9)]&&_0x5f2eeb[_0x478288(0x15b9)][_0x478288(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x5f2eeb[_0x478288(0x1189)]=_0x478288(0x2718),_0x5f2eeb['openchannelCannedAnswer']=angular[_0x478288(0x235a)](_0x16c2e0),_0x5f2eeb[_0x478288(0x894)]=_0x509a14,_0x5f2eeb[_0x478288(0x1e93)]=![];!_0x5f2eeb['openchannelCannedAnswer']&&(_0x5f2eeb[_0x478288(0x1a54)]={},_0x5f2eeb[_0x478288(0x1189)]=_0x478288(0x2257),_0x5f2eeb[_0x478288(0x1e93)]=!![]);_0x596349[_0x478288(0x16a)]['id']&&(_0x5f2eeb[_0x478288(0x1a54)][_0x478288(0x534)]=_0x596349[_0x478288(0x16a)]['id']);_0x5f2eeb[_0x478288(0x623)]=_0x2bc99f,_0x5f2eeb[_0x478288(0x1226)]=_0xfc94cb,_0x5f2eeb[_0x478288(0x2079)]=_0x2f0b47,_0x5f2eeb['getDateFromString']=_0x22d7e7,_0x5f2eeb[_0x478288(0x13f3)]=_0x49baba;function _0x2bc99f(){const _0x4f9022=_0x478288;_0x5f2eeb['errors']=[],_0x1b2052[_0x4f9022(0x1ada)]['save'](_0x5f2eeb[_0x4f9022(0x1a54)])[_0x4f9022(0x2945)][_0x4f9022(0x146b)](function(_0x47ceea){const _0x4a452c=_0x4f9022;_0x5f2eeb[_0x4a452c(0x894)][_0x4a452c(0xb3d)](_0x47ceea[_0x4a452c(0x2488)]()),_0x521b53[_0x4a452c(0x1c75)]({'title':_0x4a452c(0x154),'msg':_0x5f2eeb[_0x4a452c(0x1a54)]['name']?_0x5f2eeb[_0x4a452c(0x1a54)][_0x4a452c(0x19eb)]+_0x4a452c(0x1386):''}),_0x49baba(_0x47ceea);})[_0x4f9022(0x129e)](function(_0x14a77c){const _0x1bb2ca=_0x4f9022;if(_0x14a77c[_0x1bb2ca(0x524)]&&_0x14a77c[_0x1bb2ca(0x524)][_0x1bb2ca(0xcef)]&&_0x14a77c[_0x1bb2ca(0x524)][_0x1bb2ca(0xcef)]['length']){_0x5f2eeb[_0x1bb2ca(0xcef)]=_0x14a77c[_0x1bb2ca(0x524)]['errors']||[{'message':_0x14a77c[_0x1bb2ca(0xd5f)](),'type':'api.cannedAnswer.save'}];for(let _0x36166b=0x0;_0x36166b<_0x14a77c[_0x1bb2ca(0x524)][_0x1bb2ca(0xcef)]['length'];_0x36166b+=0x1){_0x521b53[_0x1bb2ca(0x1980)]({'title':_0x14a77c[_0x1bb2ca(0x524)][_0x1bb2ca(0xcef)][_0x36166b][_0x1bb2ca(0x1142)],'msg':_0x14a77c['data'][_0x1bb2ca(0xcef)][_0x36166b][_0x1bb2ca(0x7fd)]});}}else _0x521b53[_0x1bb2ca(0x1980)]({'title':_0x14a77c['status']?_0x1bb2ca(0x262a)+_0x14a77c['status']+_0x1bb2ca(0x1315)+_0x14a77c[_0x1bb2ca(0x167f)]:_0x1bb2ca(0x13f),'msg':_0x14a77c[_0x1bb2ca(0x524)]?JSON[_0x1bb2ca(0x10bb)](_0x14a77c['data'][_0x1bb2ca(0x7fd)]):_0x14a77c[_0x1bb2ca(0xd5f)]()});});}function _0xfc94cb(){const _0x4f96d0=_0x478288;_0x5f2eeb[_0x4f96d0(0xcef)]=[],_0x1b2052['cannedAnswer'][_0x4f96d0(0x18e1)]({'id':_0x5f2eeb[_0x4f96d0(0x1a54)]['id']},_0x5f2eeb['openchannelCannedAnswer'])[_0x4f96d0(0x2945)]['then'](function(_0x11db43){const _0x351ac2=_0x4f96d0,_0x197453=_0x3f65c0()[_0x351ac2(0xc84)](_0x5f2eeb['openchannelCannedAnswers'],{'id':_0x11db43['id']});_0x197453&&_0x3f65c0()[_0x351ac2(0x168d)](_0x197453,_0x3f65c0()['pick'](_0x11db43[_0x351ac2(0x2488)](),_0x3f65c0()['keys'](_0x197453))),_0x521b53['success']({'title':_0x351ac2(0x2671),'msg':_0x5f2eeb[_0x351ac2(0x1a54)]['name']?_0x5f2eeb[_0x351ac2(0x1a54)]['name']+_0x351ac2(0x24db):''}),_0x49baba(_0x11db43);})[_0x4f96d0(0x129e)](function(_0x13150f){const _0x55c7e6=_0x4f96d0;if(_0x13150f[_0x55c7e6(0x524)]&&_0x13150f[_0x55c7e6(0x524)][_0x55c7e6(0xcef)]&&_0x13150f[_0x55c7e6(0x524)][_0x55c7e6(0xcef)][_0x55c7e6(0x402)]){_0x5f2eeb[_0x55c7e6(0xcef)]=_0x13150f['data']['errors']||[{'message':_0x13150f[_0x55c7e6(0xd5f)](),'type':_0x55c7e6(0x11ab)}];for(let _0x56002e=0x0;_0x56002e<_0x13150f[_0x55c7e6(0x524)][_0x55c7e6(0xcef)][_0x55c7e6(0x402)];_0x56002e++){_0x521b53[_0x55c7e6(0x1980)]({'title':_0x13150f[_0x55c7e6(0x524)][_0x55c7e6(0xcef)][_0x56002e][_0x55c7e6(0x1142)],'msg':_0x13150f['data']['errors'][_0x56002e][_0x55c7e6(0x7fd)]});}}else _0x521b53[_0x55c7e6(0x1980)]({'title':_0x13150f[_0x55c7e6(0x107b)]?_0x55c7e6(0x262a)+_0x13150f[_0x55c7e6(0x107b)]+_0x55c7e6(0x1315)+_0x13150f[_0x55c7e6(0x167f)]:_0x55c7e6(0x11ab),'msg':_0x13150f[_0x55c7e6(0x524)]?JSON[_0x55c7e6(0x10bb)](_0x13150f[_0x55c7e6(0x524)]['message']):_0x13150f[_0x55c7e6(0xd5f)]()});});}function _0x2f0b47(_0x252b66){const _0x413805=_0x478288;_0x5f2eeb[_0x413805(0xcef)]=[];const _0x352a56=_0x4a87af[_0x413805(0x1e8a)]()[_0x413805(0x1189)](_0x413805(0x1d64))[_0x413805(0x80f)]('The\x20openchannelCannedAnswer\x20will\x20be\x20deleted.')['ariaLabel'](_0x413805(0x1d16))['ok']('Delete')[_0x413805(0x6c3)](_0x413805(0xcf0))[_0x413805(0x1f27)](_0x252b66);_0x4a87af[_0x413805(0x2615)](_0x352a56)[_0x413805(0x146b)](function(){_0x1b2052['cannedAnswer']['delete']({'id':_0x5f2eeb['openchannelCannedAnswer']['id']})['$promise']['then'](function(){const _0x3aa620=a0_0x3bb9;_0x3f65c0()['remove'](_0x5f2eeb[_0x3aa620(0x894)],{'id':_0x5f2eeb['openchannelCannedAnswer']['id']}),_0x521b53['success']({'title':_0x3aa620(0x2767),'msg':(_0x5f2eeb['openchannelCannedAnswer'][_0x3aa620(0x19eb)]||_0x3aa620(0x1a54))+'\x20has\x20been\x20deleted!'}),_0x49baba(_0x5f2eeb[_0x3aa620(0x1a54)]);})['catch'](function(_0x5069bf){const _0x356cc6=a0_0x3bb9;if(_0x5069bf[_0x356cc6(0x524)]&&_0x5069bf[_0x356cc6(0x524)][_0x356cc6(0xcef)]&&_0x5069bf[_0x356cc6(0x524)][_0x356cc6(0xcef)][_0x356cc6(0x402)]){_0x5f2eeb[_0x356cc6(0xcef)]=_0x5069bf[_0x356cc6(0x524)]['errors']||[{'message':_0x5069bf[_0x356cc6(0xd5f)](),'type':'api.cannedAnswer.delete'}];for(let _0x9ef526=0x0;_0x9ef526<_0x5069bf['data'][_0x356cc6(0xcef)]['length'];_0x9ef526++){_0x521b53[_0x356cc6(0x1980)]({'title':_0x5069bf[_0x356cc6(0x524)]['errors'][_0x9ef526]['type'],'msg':_0x5069bf[_0x356cc6(0x524)][_0x356cc6(0xcef)][_0x9ef526][_0x356cc6(0x7fd)]});}}else _0x521b53[_0x356cc6(0x1980)]({'title':_0x5069bf[_0x356cc6(0x107b)]?_0x356cc6(0x262a)+_0x5069bf[_0x356cc6(0x107b)]+_0x356cc6(0x1315)+_0x5069bf['statusText']:_0x356cc6(0xe6a),'msg':_0x5069bf['data']?JSON['stringify'](_0x5069bf[_0x356cc6(0x524)][_0x356cc6(0x7fd)]):_0x5069bf[_0x356cc6(0x7fd)]||_0x5069bf[_0x356cc6(0xd5f)]()});});},function(){});}function _0x22d7e7(_0x349b1b){return _0x349b1b===null?undefined:new Date(_0x349b1b);}function _0x49baba(_0x22cfd6){_0x4a87af['hide'](_0x22cfd6);}}const _0x580e87=_0x24c5a3;;_0x1cfcf7['$inject']=['$scope','$window',_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0x214b),_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87)];function _0x1cfcf7(_0x3500ff,_0x28f1ef,_0x43b92c,_0x59dc47,_0x828d2a,_0x1ed445,_0x5b90c5,_0x1bc1e3,_0x3838a4,_0x3ec3fb,_0x133f9a){const _0x12b7fb=_0x313a4d,_0x403dfd=this;_0x403dfd['currentUser']=_0x133f9a[_0x12b7fb(0xb12)](),_0x403dfd[_0x12b7fb(0x2647)]={'count':0x0,'rows':[]},_0x403dfd['selectedDispositions']=[],_0x403dfd[_0x12b7fb(0x2514)],_0x403dfd[_0x12b7fb(0xd92)]={'first':'1st','second':_0x12b7fb(0x227b),'third':'3rd'},_0x403dfd[_0x12b7fb(0x1a56)]={'fields':_0x12b7fb(0x2430),'sort':_0x12b7fb(0x12f2),'limit':0xa,'page':0x1},_0x403dfd[_0x12b7fb(0x5aa)]=_0x2b99d5,_0x403dfd[_0x12b7fb(0x19b4)]=_0x1c0d98,_0x403dfd[_0x12b7fb(0x1c75)]=_0x470d2e,_0x403dfd[_0x12b7fb(0x2451)]=_0x383ee1,_0x403dfd[_0x12b7fb(0x24dc)]=_0x2edde0,_0x403dfd[_0x12b7fb(0x1220)]=_0x3d4e7a,_0x403dfd[_0x12b7fb(0x25f0)]=_0x53b78e,_0x403dfd[_0x12b7fb(0x11e4)]=_0x50b904,_0x403dfd[_0x12b7fb(0x4f0)]=_0x42fe90,_0x403dfd['selectAllDispositions']=_0x4370f1;function _0x2b99d5(_0xc0f24,_0x230b1b){const _0x40527d=_0x12b7fb;_0x403dfd['openchannelAccount']=_0xc0f24||{},_0x403dfd[_0x40527d(0x2514)]=typeof _0x230b1b!==_0x40527d(0x2274)?_0x230b1b:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x403dfd[_0x40527d(0x1a56)][_0x40527d(0x534)]=_0x403dfd[_0x40527d(0xbd7)]['id'],_0x403dfd['query']['id']=_0x403dfd[_0x40527d(0xbd7)]['id'],_0x383ee1();}function _0x2edde0(_0xc1bb0a,_0x4f25ae){const _0x527810=_0x12b7fb;_0x828d2a[_0x527810(0x2615)]({'controller':_0x527810(0x1a84),'controllerAs':'vm','templateUrl':_0x4f093a,'parent':angular[_0x527810(0x1853)](_0x1ed445[_0x527810(0x2586)]),'targetEvent':_0xc1bb0a,'clickOutsideToClose':!![],'locals':{'disposition':_0x4f25ae,'model':{'id':_0x403dfd['openchannelAccount']['id'],'field':_0x527810(0x534),'route':_0x527810(0xbd7)},'license':null,'setting':null,'crudPermissions':_0x403dfd[_0x527810(0x2514)]}})['then'](function(_0xdd4c9a){if(_0xdd4c9a)_0x383ee1();});}function _0x1c0d98(_0xb63c33,_0x1e924c){const _0x40647c=_0x12b7fb,_0x3e6329=_0x3f65c0()['some'](_0x403dfd[_0x40647c(0x2647)][_0x40647c(0x19c7)],[_0x40647c(0x11b5),_0xb63c33['id']]),_0x94a4fb=_0x828d2a[_0x40647c(0x1e8a)]()[_0x40647c(0x1189)](_0x1bc1e3['instant'](_0x40647c(0x19e6)))[_0x40647c(0x80f)](_0x1bc1e3[_0x40647c(0xde)](_0x40647c(0xe8d)+(_0x3e6329?_0x40647c(0xda9):_0x40647c(0xd49)),{'name':_0xb63c33[_0x40647c(0x19eb)]}))[_0x40647c(0x4bd)](_0x40647c(0x27d1))[_0x40647c(0x1f27)](_0x1e924c)['ok']('OK')[_0x40647c(0x6c3)](_0x1bc1e3[_0x40647c(0xde)](_0x40647c(0x1161)));_0x828d2a[_0x40647c(0x2615)](_0x94a4fb)['then'](function(){_0x3d4e7a(_0xb63c33);});}function _0x470d2e(_0x58056d){_0x403dfd['dispositions']=_0x58056d||{'count':0x0,'rows':[]};}function _0x383ee1(){const _0x5aca36=_0x12b7fb;_0x403dfd['query']['offset']=(_0x403dfd[_0x5aca36(0x1a56)][_0x5aca36(0x844)]-0x1)*_0x403dfd[_0x5aca36(0x1a56)]['limit'],_0x403dfd['promise']=_0x3838a4[_0x5aca36(0xbd7)]['getDispositions'](_0x403dfd['query'],_0x470d2e)[_0x5aca36(0x2945)];}function _0x3d4e7a(_0x275a52){const _0x195c8e=_0x12b7fb;_0x3838a4[_0x195c8e(0x9e1)][_0x195c8e(0x1fac)]({'id':_0x275a52['id']})[_0x195c8e(0x2945)][_0x195c8e(0x146b)](function(){const _0x227290=_0x195c8e;_0x383ee1(),_0x3ec3fb[_0x227290(0x1c75)]({'title':_0x1bc1e3[_0x227290(0xde)](_0x227290(0xa2f))});})[_0x195c8e(0x129e)](function(_0x2738fa){const _0xd41f5=_0x195c8e;if(_0x2738fa[_0xd41f5(0x524)]&&_0x2738fa['data'][_0xd41f5(0xcef)]&&_0x2738fa[_0xd41f5(0x524)][_0xd41f5(0xcef)]['length']){_0x403dfd['errors']=_0x2738fa['data'][_0xd41f5(0xcef)]||[{'message':_0x2738fa[_0xd41f5(0xd5f)](),'type':'SYSTEM:DELETEdisposition'}];for(let _0x4507fe=0x0;_0x4507fe<_0x2738fa['data'][_0xd41f5(0xcef)]['length'];_0x4507fe++){_0x3ec3fb[_0xd41f5(0x1980)]({'title':_0x2738fa['data'][_0xd41f5(0xcef)][_0x4507fe][_0xd41f5(0x1142)],'msg':_0x2738fa[_0xd41f5(0x524)][_0xd41f5(0xcef)][_0x4507fe][_0xd41f5(0x7fd)]});}}else _0x3ec3fb['error']({'title':_0x2738fa['status']?_0xd41f5(0x262a)+_0x2738fa[_0xd41f5(0x107b)]+'\x20-\x20'+_0x2738fa[_0xd41f5(0x167f)]:_0xd41f5(0x24a7),'msg':_0x2738fa[_0xd41f5(0x524)]?JSON[_0xd41f5(0x10bb)](_0x2738fa[_0xd41f5(0x524)]['message']):_0x2738fa[_0xd41f5(0x7fd)]||_0x2738fa[_0xd41f5(0xd5f)]()});});}function _0x53b78e(){const _0x4cdbf0=_0x12b7fb,_0x2ec45d=angular[_0x4cdbf0(0x235a)](_0x403dfd['selectedDispositions']);return _0x403dfd[_0x4cdbf0(0x2ad)]=[],_0x2ec45d;}function _0x50b904(_0x3128ae){const _0x51080e=_0x12b7fb,_0x123c87=_0x828d2a[_0x51080e(0x1e8a)]()[_0x51080e(0x1189)](_0x1bc1e3[_0x51080e(0xde)](_0x51080e(0x1a5b)))[_0x51080e(0x80f)](_0x1bc1e3['instant'](_0x51080e(0x23ce),{'total':_0x403dfd['selectedDispositions'][_0x51080e(0x402)]}))[_0x51080e(0x4bd)]('Delete\x20dispositions')['targetEvent'](_0x3128ae)['ok']('OK')['cancel'](_0x1bc1e3[_0x51080e(0xde)](_0x51080e(0x1161)));_0x828d2a[_0x51080e(0x2615)](_0x123c87)[_0x51080e(0x146b)](function(){const _0x3c5a6b=_0x51080e;_0x403dfd[_0x3c5a6b(0x2ad)][_0x3c5a6b(0x1df5)](function(_0x26c77e){_0x3d4e7a(_0x26c77e);}),_0x403dfd[_0x3c5a6b(0x2ad)]=[];});}function _0x42fe90(){const _0x752ffa=_0x12b7fb;_0x403dfd[_0x752ffa(0x2ad)]=[];}function _0x4370f1(){const _0x388a7a=_0x12b7fb;_0x403dfd[_0x388a7a(0x2ad)]=_0x403dfd['dispositions'][_0x388a7a(0x19c7)];}let _0x1cbd52=!![],_0x20df36=0x1;_0x3500ff[_0x12b7fb(0x21e8)](_0x12b7fb(0x117f),function(_0x1805d2,_0x525eb1){const _0x571c84=_0x12b7fb;_0x1cbd52?_0x5b90c5(function(){_0x1cbd52=![];}):(!_0x525eb1&&(_0x20df36=_0x403dfd[_0x571c84(0x1a56)][_0x571c84(0x844)]),_0x1805d2!==_0x525eb1&&(_0x403dfd[_0x571c84(0x1a56)]['page']=0x1),!_0x1805d2&&(_0x403dfd['query'][_0x571c84(0x844)]=_0x20df36),_0x383ee1());});}const _0x55b9a9=_0x1cfcf7;;const _0x4be638=_0x4acfac['p']+_0x313a4d(0x1761);;_0x13cde1[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x214b),_0x313a4d(0x2690),_0x313a4d(0x15b9),'api',_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0xbd7),'userProfileSection'];function _0x13cde1(_0x2f2abc,_0x183e01,_0x2b11b1,_0x219722,_0x3c46fa,_0x3aa8dc,_0x2f8ec9,_0x5c9fd3,_0x3f339d,_0x4450b6,_0x58afbd,_0x2e8c8b){const _0x2232e5=_0x313a4d,_0x1f20b7=this;_0x1f20b7[_0x2232e5(0x2321)]=_0x4450b6[_0x2232e5(0xb12)](),_0x1f20b7[_0x2232e5(0x2690)]=_0x3aa8dc,_0x1f20b7[_0x2232e5(0x15b9)]=_0x2f8ec9,_0x1f20b7[_0x2232e5(0x2251)]=_0x1f20b7['setting'][_0x2232e5(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x1f20b7[_0x2232e5(0x1002)]=_0x183e01['protocol']()+_0x2232e5(0xb0e)+_0x183e01[_0x2232e5(0x148e)](),_0x1f20b7[_0x2232e5(0xbd7)]=_0x58afbd||_0x2f2abc[_0x2232e5(0x16a)][_0x2232e5(0xbd7)]||{},_0x1f20b7[_0x2232e5(0x1366)]=_0x2e8c8b&&_0x2e8c8b[_0x2232e5(0x51c)]==0x1?_0x2e8c8b['rows'][0x0]:null,_0x1f20b7[_0x2232e5(0x2514)]=_0x4450b6['parseCrudPermissions'](_0x1f20b7['userProfileSection']?_0x1f20b7[_0x2232e5(0x1366)][_0x2232e5(0x2514)]:null),_0x1f20b7[_0x2232e5(0x855)]={},_0x1f20b7[_0x2232e5(0x1b09)]=_0x2f2abc['params'][_0x2232e5(0x13a3)]||0x0,_0x1f20b7[_0x2232e5(0x1027)]=_0x466bd2,_0x1f20b7[_0x2232e5(0x861)]=_0x3f339d[_0x2232e5(0x271e)],_0x1f20b7['gotoOpenchannelAccounts']=_0x32ee11,_0x1f20b7[_0x2232e5(0x1ea8)]=_0x538c1d,_0x4450b6['hasRole'](_0x2232e5(0x174b))?_0x5c9fd3[_0x2232e5(0xf03)][_0x2232e5(0x16b4)]({'fields':'id,name','sort':'name'})[_0x2232e5(0x2945)][_0x2232e5(0x146b)](function(_0x4ac6b7){const _0x50a0ca=_0x2232e5;_0x1f20b7['lists']=_0x4ac6b7[_0x50a0ca(0x19c7)]||[];})[_0x2232e5(0x129e)](function(_0x475584){const _0x577038=_0x2232e5;_0x3f339d[_0x577038(0x1980)]({'title':_0x475584['status']?_0x577038(0x262a)+_0x475584[_0x577038(0x107b)]+'\x20-\x20'+_0x475584['statusText']:'SYSTEM:GET_LISTS','msg':_0x475584[_0x577038(0x524)]?JSON[_0x577038(0x10bb)](_0x475584['data']):_0x475584['toString']()});}):_0x5c9fd3[_0x2232e5(0xf03)][_0x2232e5(0x16b4)]({'fields':_0x2232e5(0x7a7),'sort':_0x2232e5(0x19eb)})[_0x2232e5(0x2945)][_0x2232e5(0x146b)](function(_0x51248d){const _0x2ebc96=_0x2232e5;_0x1f20b7[_0x2ebc96(0x1324)]=_0x51248d[_0x2ebc96(0x19c7)]||[];})[_0x2232e5(0x146b)](function(){const _0x3ec902=_0x2232e5;return _0x5c9fd3['userProfileSection'][_0x3ec902(0x16b4)]({'userProfileId':_0x1f20b7[_0x3ec902(0x2321)][_0x3ec902(0x209a)],'sectionId':0x12d})[_0x3ec902(0x2945)];})[_0x2232e5(0x146b)](function(_0x2b689b){const _0x319103=_0x2232e5,_0x38affc=_0x2b689b&&_0x2b689b['rows']?_0x2b689b[_0x319103(0x19c7)][0x0]:null;if(!_0x38affc){const _0x28431a=[];let _0x496c57=null;_0x1f20b7[_0x319103(0xbd7)]&&(_0x496c57=_0x3f65c0()['find'](_0x1f20b7[_0x319103(0x1324)],{'id':Number(_0x1f20b7[_0x319103(0xbd7)][_0x319103(0xb7c)])}));for(let _0x252102=0x0;_0x252102<_0x1f20b7[_0x319103(0x1324)]['length'];_0x252102++){_0x496c57&&_0x1f20b7[_0x319103(0x1324)][_0x252102]['id']===_0x496c57['id']&&(_0x1f20b7[_0x319103(0x1324)][_0x252102][_0x319103(0x8ff)]=![],_0x28431a[_0x319103(0x1f47)](_0x1f20b7[_0x319103(0x1324)][_0x252102]));}_0x1f20b7['lists']=_0x28431a;}else{if(!_0x38affc[_0x319103(0x11d2)])return _0x5c9fd3[_0x319103(0xdcc)][_0x319103(0x16b4)]({'sectionId':_0x38affc['id']})['$promise'][_0x319103(0x146b)](function(_0x610971){const _0x2449ad=_0x319103,_0x3f75e6=_0x3f65c0()[_0x2449ad(0x205)](_0x610971[_0x2449ad(0x19c7)],function(_0x4566e7){const _0x440e2d=_0x2449ad;return _0x3f65c0()[_0x440e2d(0xc84)](_0x1f20b7[_0x440e2d(0x1324)],{'id':_0x4566e7[_0x440e2d(0x18b8)]});});let _0x254f42=null;_0x1f20b7['openchannelAccount']&&(_0x254f42=_0x3f65c0()['find'](_0x1f20b7[_0x2449ad(0x1324)],{'id':Number(_0x1f20b7[_0x2449ad(0xbd7)][_0x2449ad(0xb7c)])}));if(_0x254f42&&!_0x3f65c0()['some'](_0x3f75e6,['id',_0x254f42['id']])){const _0x376ae6=_0x3f65c0()[_0x2449ad(0xc84)](_0x1f20b7[_0x2449ad(0x1324)],{'id':_0x254f42['id']});_0x376ae6[_0x2449ad(0x8ff)]=![],_0x3f75e6['push'](_0x376ae6);}_0x1f20b7[_0x2449ad(0x1324)]=_0x3f75e6;});}})[_0x2232e5(0x129e)](function(_0x7d563e){const _0x260b89=_0x2232e5;_0x3f339d[_0x260b89(0x1980)]({'title':_0x7d563e[_0x260b89(0x107b)]?_0x260b89(0x262a)+_0x7d563e[_0x260b89(0x107b)]+_0x260b89(0x1315)+_0x7d563e[_0x260b89(0x167f)]:_0x260b89(0x1a2f),'msg':_0x7d563e[_0x260b89(0x524)]?JSON[_0x260b89(0x10bb)](_0x7d563e[_0x260b89(0x524)]):_0x7d563e[_0x260b89(0xd5f)]()});}),_0x5c9fd3['cmContact'][_0x2232e5(0x1ea2)]({'id':_0x1f20b7[_0x2232e5(0xbd7)][_0x2232e5(0xb7c)]})[_0x2232e5(0x2945)][_0x2232e5(0x146b)](function(_0xdfb741){const _0x53508f=_0x2232e5;_0x1f20b7['fields']=[],_0xdfb741=_0x3f65c0()['values'](_0xdfb741[_0x53508f(0x2488)]());const _0x27d103=['id',_0x53508f(0xc68),_0x53508f(0x144f),_0x53508f(0x13fc),_0x53508f(0x432),_0x53508f(0xb7c),'CompanyId'];for(let _0x5f18a2=0x0;_0x5f18a2<_0xdfb741[_0x53508f(0x402)];_0x5f18a2++){!_0x3f65c0()[_0x53508f(0xa4e)](_0x27d103,_0xdfb741[_0x5f18a2][_0x53508f(0x1e1d)])&&_0x1f20b7[_0x53508f(0x2867)][_0x53508f(0x1f47)](_0xdfb741[_0x5f18a2]);}})[_0x2232e5(0x129e)](function(_0x490714){const _0x47b57f=_0x2232e5;_0x3f339d['error']({'title':_0x490714[_0x47b57f(0x107b)]?_0x47b57f(0x262a)+_0x490714[_0x47b57f(0x107b)]+_0x47b57f(0x1315)+_0x490714[_0x47b57f(0x167f)]:_0x47b57f(0x24ba),'msg':_0x490714[_0x47b57f(0x524)]?JSON[_0x47b57f(0x10bb)](_0x490714[_0x47b57f(0x524)]):_0x490714['toString']()});}),_0x4450b6['hasRole'](_0x2232e5(0x174b))?_0x5c9fd3[_0x2232e5(0x1e3e)][_0x2232e5(0x16b4)]({'fields':_0x2232e5(0x69a),'sort':_0x2232e5(0x19eb),'nolimit':'true'})[_0x2232e5(0x2945)]['then'](function(_0x2019e5){_0x1f20b7['pauses']=_0x2019e5['rows']||[];})[_0x2232e5(0x129e)](function(_0x3b3577){const _0x429e98=_0x2232e5;_0x3f339d['error']({'title':_0x3b3577['status']?_0x429e98(0x262a)+_0x3b3577[_0x429e98(0x107b)]+'\x20-\x20'+_0x3b3577[_0x429e98(0x167f)]:_0x429e98(0x1e82),'msg':_0x3b3577['data']?JSON['stringify'](_0x3b3577['data']):_0x3b3577[_0x429e98(0xd5f)]()});}):_0x5c9fd3['pause'][_0x2232e5(0x16b4)]({'fields':_0x2232e5(0x69a),'sort':_0x2232e5(0x19eb),'nolimit':_0x2232e5(0x1185)})[_0x2232e5(0x2945)]['then'](function(_0x49fde0){_0x1f20b7['pauses']=_0x49fde0['rows']||[];})['then'](function(){const _0x591624=_0x2232e5;return _0x5c9fd3[_0x591624(0x1366)]['get']({'userProfileId':_0x1f20b7['currentUser'][_0x591624(0x209a)],'sectionId':0x3ed})[_0x591624(0x2945)];})[_0x2232e5(0x146b)](function(_0x2b603a){const _0x5b7ef6=_0x2232e5,_0x4bc1d8=_0x2b603a&&_0x2b603a[_0x5b7ef6(0x19c7)]?_0x2b603a[_0x5b7ef6(0x19c7)][0x0]:null;if(!_0x4bc1d8)_0x1f20b7['pauses']=[];else{if(!_0x4bc1d8[_0x5b7ef6(0x11d2)])return _0x5c9fd3[_0x5b7ef6(0xdcc)][_0x5b7ef6(0x16b4)]({'sectionId':_0x4bc1d8['id']})['$promise'][_0x5b7ef6(0x146b)](function(_0x2649db){const _0x4f34a2=_0x5b7ef6,_0x1fba90=_0x3f65c0()[_0x4f34a2(0x205)](_0x2649db['rows'],function(_0x4f714b){const _0x7bf0a9=_0x4f34a2;return _0x3f65c0()[_0x7bf0a9(0xc84)](_0x1f20b7[_0x7bf0a9(0x23bb)],{'id':_0x4f714b[_0x7bf0a9(0x18b8)]});});_0x1f20b7[_0x4f34a2(0x23bb)][_0x4f34a2(0x1df5)](function(_0x2fbba5){const _0x29b3f9=_0x4f34a2;!_0x3f65c0()['some'](_0x1fba90,['id',_0x2fbba5['id']])&&(_0x2fbba5['canSelect']=![]),_0x1fba90[_0x29b3f9(0x1f47)](_0x2fbba5);}),_0x1f20b7[_0x4f34a2(0x23bb)]=_0x1fba90;});}})[_0x2232e5(0x129e)](function(_0x48e0c4){const _0x332fb3=_0x2232e5;_0x3f339d[_0x332fb3(0x1980)]({'title':_0x48e0c4['status']?_0x332fb3(0x262a)+_0x48e0c4[_0x332fb3(0x107b)]+_0x332fb3(0x1315)+_0x48e0c4[_0x332fb3(0x167f)]:_0x332fb3(0x176),'msg':_0x48e0c4['data']?JSON[_0x332fb3(0x10bb)](_0x48e0c4[_0x332fb3(0x524)]):_0x48e0c4[_0x332fb3(0xd5f)]()});});function _0x466bd2(_0x2d67dc,_0x1bd934){const _0x383ece=_0x2232e5;_0x2b11b1[_0x383ece(0x2615)]({'controller':_0x383ece(0x602),'controllerAs':'vm','templateUrl':_0x4be638,'parent':angular['element'](_0x219722[_0x383ece(0x2586)]),'targetEvent':_0x1bd934,'clickOutsideToClose':!![],'locals':{'openchannelAccount':_0x2d67dc,'openchannelAccounts':_0x1f20b7[_0x383ece(0x1690)]?_0x1f20b7[_0x383ece(0x1690)][_0x383ece(0x19c7)]:[],'crudPermissions':_0x1f20b7[_0x383ece(0x2514)],'realtime':![]}});}function _0x32ee11(){const _0x1e659d=_0x2232e5;_0x2f2abc['go']('app.openchannel.openchannelAccounts',{},{'reload':_0x1e659d(0xc7d)});}function _0x538c1d(){const _0x197e71=_0x2232e5;_0x5c9fd3[_0x197e71(0xbd7)][_0x197e71(0x18e1)]({'id':_0x1f20b7[_0x197e71(0xbd7)]['id']},_0x1f20b7['openchannelAccount'])[_0x197e71(0x2945)]['then'](function(){const _0x3682a2=_0x197e71;_0x3f339d[_0x3682a2(0x1c75)]({'title':'OpenchannelAccount\x20updated!','msg':_0x1f20b7[_0x3682a2(0xbd7)][_0x3682a2(0x19eb)]?_0x1f20b7[_0x3682a2(0xbd7)][_0x3682a2(0x19eb)]+_0x3682a2(0x6b0):''});})[_0x197e71(0x129e)](function(_0x290519){const _0x4af1d6=_0x197e71;_0x3f339d[_0x4af1d6(0x1980)]({'title':_0x290519[_0x4af1d6(0x107b)]?_0x4af1d6(0x262a)+_0x290519[_0x4af1d6(0x107b)]+'\x20-\x20'+_0x290519[_0x4af1d6(0x167f)]:_0x4af1d6(0x2624),'msg':_0x290519[_0x4af1d6(0x524)]?JSON[_0x4af1d6(0x10bb)](_0x290519[_0x4af1d6(0x524)]):_0x290519[_0x4af1d6(0xd5f)]()});});}}const _0x5b31dc=_0x13cde1;;const _0xbf5209=_0x4acfac['p']+_0x313a4d(0x187d);;_0x5bcf23[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),'$translate',_0x313a4d(0x1690),'userProfile','userProfileSection',_0x313a4d(0x247f),_0x313a4d(0x216c),'toasty',_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x5bcf23(_0x22898b,_0x589fe1,_0x35836f,_0x233094,_0x3a26ab,_0xd798cc,_0x359f79,_0x5c6d74,_0x32faee,_0x14efe7,_0x1e2abb,_0x1802be,_0x4a9f39,_0x34a9cf,_0x2e2aa7,_0x41f856,_0x22f49b){const _0x1bdde5=_0x313a4d,_0x435bab=this;_0x435bab[_0x1bdde5(0x2690)]=_0x41f856,_0x435bab[_0x1bdde5(0x15b9)]=_0x22f49b,_0x435bab[_0x1bdde5(0x2321)]=_0x2e2aa7[_0x1bdde5(0xb12)](),_0x435bab['openchannelAccounts']=_0x32faee||{'count':0x0,'rows':[]},_0x435bab[_0x1bdde5(0x26b6)]=_0x14efe7,_0x435bab[_0x1bdde5(0x1366)]=_0x1e2abb&&_0x1e2abb[_0x1bdde5(0x51c)]==0x1?_0x1e2abb['rows'][0x0]:null,_0x435bab[_0x1bdde5(0x2514)]=_0x2e2aa7['parseCrudPermissions'](_0x435bab[_0x1bdde5(0x1366)]?_0x435bab['userProfileSection'][_0x1bdde5(0x2514)]:null),_0x435bab['table']='openchannelAccounts',_0x435bab[_0x1bdde5(0x216a)]='',_0x435bab[_0x1bdde5(0x214f)]=null,_0x435bab[_0x1bdde5(0x1033)]=[],_0x435bab['query']={'fields':_0x1bdde5(0x5cb),'sort':_0x1bdde5(0x12f2),'limit':0xa,'page':0x1},_0x435bab[_0x1bdde5(0x23f9)]=_0x399f7d,_0x435bab['interactionsgoto']=_0x461b85,_0x435bab[_0x1bdde5(0x1027)]=_0x295dcf,_0x435bab['deleteconfirm']=_0x22dc7c,_0x435bab['success']=_0x392ba6,_0x435bab['getOpenchannelAccounts']=_0x2492f7,_0x435bab[_0x1bdde5(0x1f9b)]=_0x30cb73,_0x435bab[_0x1bdde5(0xa68)]=_0x45c140,_0x435bab[_0x1bdde5(0x1f93)]=_0x50be83,_0x435bab['deleteSelectedOpenchannelAccounts']=_0x37ebe9,_0x435bab[_0x1bdde5(0x190b)]=_0xefe592,_0x435bab[_0x1bdde5(0x1ce3)]=_0x5cc87f,_0x2e2aa7['hasRole'](_0x1bdde5(0x174b))?_0x1802be[_0x1bdde5(0xf03)][_0x1bdde5(0x16b4)]({'fields':_0x1bdde5(0x7a7),'sort':_0x1bdde5(0x19eb)})[_0x1bdde5(0x2945)][_0x1bdde5(0x146b)](function(_0x451f86){const _0x1374d1=_0x1bdde5;_0x435bab[_0x1374d1(0x1324)]=_0x451f86['rows']||[];})['catch'](function(_0x41f01e){const _0x50bd3e=_0x1bdde5;_0x34a9cf['error']({'title':_0x41f01e[_0x50bd3e(0x107b)]?'API:'+_0x41f01e[_0x50bd3e(0x107b)]+'\x20-\x20'+_0x41f01e[_0x50bd3e(0x167f)]:_0x50bd3e(0x11b3),'msg':_0x41f01e[_0x50bd3e(0x524)]?JSON[_0x50bd3e(0x10bb)](_0x41f01e[_0x50bd3e(0x524)]):_0x41f01e['toString']()});}):_0x1802be['cmList']['get']({'fields':_0x1bdde5(0x7a7),'sort':_0x1bdde5(0x19eb)})[_0x1bdde5(0x2945)][_0x1bdde5(0x146b)](function(_0x488366){const _0x13952f=_0x1bdde5;_0x435bab['lists']=_0x488366[_0x13952f(0x19c7)]||[];})['then'](function(){const _0x54c39f=_0x1bdde5;return _0x1802be[_0x54c39f(0x1366)][_0x54c39f(0x16b4)]({'userProfileId':_0x435bab[_0x54c39f(0x2321)][_0x54c39f(0x209a)],'sectionId':0x12d})[_0x54c39f(0x2945)];})['then'](function(_0x21d077){const _0x346fc6=_0x1bdde5,_0x3486f2=_0x21d077&&_0x21d077[_0x346fc6(0x19c7)]?_0x21d077[_0x346fc6(0x19c7)][0x0]:null;if(!_0x3486f2){const _0x12ba37=[];let _0x2a99de=null;_0x435bab[_0x346fc6(0xbd7)]&&(_0x2a99de=_0x3f65c0()[_0x346fc6(0xc84)](_0x435bab[_0x346fc6(0x1324)],{'id':Number(_0x435bab[_0x346fc6(0xbd7)][_0x346fc6(0xb7c)])}));for(let _0x4fea60=0x0;_0x4fea60<_0x435bab[_0x346fc6(0x1324)][_0x346fc6(0x402)];_0x4fea60++){_0x2a99de&&_0x435bab[_0x346fc6(0x1324)][_0x4fea60]['id']===_0x2a99de['id']&&(_0x435bab[_0x346fc6(0x1324)][_0x4fea60][_0x346fc6(0x8ff)]=![],_0x12ba37[_0x346fc6(0x1f47)](_0x435bab[_0x346fc6(0x1324)][_0x4fea60]));}_0x435bab[_0x346fc6(0x1324)]=_0x12ba37;}else{if(!_0x3486f2[_0x346fc6(0x11d2)])return _0x1802be[_0x346fc6(0xdcc)][_0x346fc6(0x16b4)]({'sectionId':_0x3486f2['id']})['$promise'][_0x346fc6(0x146b)](function(_0x2f785b){const _0x4ce59e=_0x346fc6,_0xd83475=_0x3f65c0()['map'](_0x2f785b[_0x4ce59e(0x19c7)],function(_0x3376a3){const _0x1bd08a=_0x4ce59e;return _0x3f65c0()[_0x1bd08a(0xc84)](_0x435bab[_0x1bd08a(0x1324)],{'id':_0x3376a3[_0x1bd08a(0x18b8)]});});let _0x3bb4f3=null;_0x435bab[_0x4ce59e(0xbd7)]&&(_0x3bb4f3=_0x3f65c0()[_0x4ce59e(0xc84)](_0x435bab[_0x4ce59e(0x1324)],{'id':Number(_0x435bab['openchannelAccount'][_0x4ce59e(0xb7c)])}));if(_0x3bb4f3&&!_0x3f65c0()[_0x4ce59e(0x1360)](_0xd83475,['id',_0x3bb4f3['id']])){const _0x12dbd3=_0x3f65c0()[_0x4ce59e(0xc84)](_0x435bab[_0x4ce59e(0x1324)],{'id':_0x3bb4f3['id']});_0x12dbd3[_0x4ce59e(0x8ff)]=![],_0xd83475['push'](_0x12dbd3);}_0x435bab[_0x4ce59e(0x1324)]=_0xd83475;});}})[_0x1bdde5(0x129e)](function(_0x13255a){const _0x3e33d4=_0x1bdde5;_0x34a9cf[_0x3e33d4(0x1980)]({'title':_0x13255a[_0x3e33d4(0x107b)]?'API:'+_0x13255a[_0x3e33d4(0x107b)]+'\x20-\x20'+_0x13255a[_0x3e33d4(0x167f)]:'SYSTEM:GETlists','msg':_0x13255a[_0x3e33d4(0x524)]?JSON[_0x3e33d4(0x10bb)](_0x13255a[_0x3e33d4(0x524)]):_0x13255a['toString']()});});function _0x399f7d(_0x3e5bce){const _0x4c818b=_0x1bdde5;_0x35836f['go']('app.openchannel.openchannelAccounts.edit',{'id':_0x3e5bce['id'],'openchannelAccount':_0x3e5bce,'crudPermissions':_0x435bab[_0x4c818b(0x2514)]});}function _0x461b85(_0x14116d){const _0xf61317=_0x1bdde5;_0x35836f['go'](_0xf61317(0x1201),{'id':_0x14116d['id'],'tab':0x5});}function _0x295dcf(_0x147b8c,_0x8ea162){const _0x317c60=_0x1bdde5;_0x3a26ab[_0x317c60(0x2615)]({'controller':'OpenchannelAccountagentaddController','controllerAs':'vm','templateUrl':_0x4be638,'parent':angular[_0x317c60(0x1853)](_0xd798cc[_0x317c60(0x2586)]),'targetEvent':_0x8ea162,'clickOutsideToClose':!![],'locals':{'openchannelAccount':_0x147b8c,'openchannelAccounts':_0x435bab[_0x317c60(0x1690)]?_0x435bab['openchannelAccounts'][_0x317c60(0x19c7)]:[],'crudPermissions':_0x435bab[_0x317c60(0x2514)],'realtime':![]}});}function _0x22dc7c(_0x37f67d,_0x514869){const _0x3d8472=_0x1bdde5,_0x491c36=_0x3a26ab[_0x3d8472(0x1e8a)]()[_0x3d8472(0x1189)](_0x3d8472(0xdb2)+_0x3f65c0()[_0x3d8472(0x20d1)](_0x3d8472(0xbd7))+'?')[_0x3d8472(0x1cbe)](_0x3d8472(0x16d3)+(_0x37f67d[_0x3d8472(0x19eb)]||_0x3d8472(0xbd7))+_0x3d8472(0x252f)+_0x3d8472(0xe01))[_0x3d8472(0x4bd)](_0x3d8472(0xd15))[_0x3d8472(0x1f27)](_0x514869)['ok']('OK')['cancel'](_0x3d8472(0x39a));_0x3a26ab[_0x3d8472(0x2615)](_0x491c36)[_0x3d8472(0x146b)](function(){_0x45c140(_0x37f67d);},function(){const _0x519125=_0x3d8472;console[_0x519125(0x1a74)](_0x519125(0x39a));});}let _0x156fe2=!![],_0x229e36=0x1;_0x22898b[_0x1bdde5(0x21e8)](_0x1bdde5(0x2669),function(_0x4f6969,_0x41993b){const _0x10b768=_0x1bdde5;_0x156fe2?_0x359f79(function(){_0x156fe2=![];}):(!_0x41993b&&(_0x229e36=_0x435bab['query'][_0x10b768(0x844)]),_0x4f6969!==_0x41993b&&(_0x435bab['query']['page']=0x1),!_0x4f6969&&(_0x435bab[_0x10b768(0x1a56)][_0x10b768(0x844)]=_0x229e36),_0x435bab[_0x10b768(0x12ef)]());});function _0x392ba6(_0x131ed5){const _0xc6d300=_0x1bdde5;_0x435bab[_0xc6d300(0x1690)]=_0x131ed5||{'count':0x0,'rows':[]};}function _0x2492f7(){const _0x1e902a=_0x1bdde5;_0x435bab[_0x1e902a(0x1a56)][_0x1e902a(0x145d)]=(_0x435bab[_0x1e902a(0x1a56)][_0x1e902a(0x844)]-0x1)*_0x435bab[_0x1e902a(0x1a56)][_0x1e902a(0x221e)],_0x2e2aa7[_0x1e902a(0x23e0)](_0x1e902a(0x174b))?_0x435bab['promise']=_0x1802be[_0x1e902a(0xbd7)]['get'](_0x435bab[_0x1e902a(0x1a56)],_0x392ba6)[_0x1e902a(0x2945)]:(_0x435bab[_0x1e902a(0x1a56)]['id']=_0x435bab['userProfile']['id'],_0x435bab[_0x1e902a(0x1a56)]['section']='OpenchannelAccounts',_0x435bab[_0x1e902a(0xb9c)]=_0x1802be[_0x1e902a(0x26b6)]['getResources'](_0x435bab[_0x1e902a(0x1a56)],_0x392ba6)[_0x1e902a(0x2945)]);}function _0x30cb73(_0xdc3b5d,_0x259b86){const _0x1fb20b=_0x1bdde5;_0x3a26ab[_0x1fb20b(0x2615)]({'controller':_0x1fb20b(0x264e),'controllerAs':'vm','templateUrl':_0xbf5209,'parent':angular[_0x1fb20b(0x1853)](_0xd798cc[_0x1fb20b(0x2586)]),'targetEvent':_0xdc3b5d,'clickOutsideToClose':!![],'locals':{'openchannelAccount':_0x259b86,'openchannelAccounts':_0x435bab[_0x1fb20b(0x1690)][_0x1fb20b(0x19c7)],'license':_0x435bab['license'],'setting':_0x435bab[_0x1fb20b(0x15b9)],'crudPermissions':_0x435bab[_0x1fb20b(0x2514)]}});}function _0x45c140(_0x475ef5){const _0x15e023=_0x1bdde5;_0x1802be[_0x15e023(0xbd7)][_0x15e023(0x1fac)]({'id':_0x475ef5['id']})[_0x15e023(0x2945)]['then'](function(){const _0x1d269d=_0x15e023;_0x3f65c0()[_0x1d269d(0x2640)](_0x435bab[_0x1d269d(0x1690)][_0x1d269d(0x19c7)],{'id':_0x475ef5['id']}),_0x435bab[_0x1d269d(0x1690)][_0x1d269d(0x51c)]-=0x1,!_0x435bab[_0x1d269d(0x1690)][_0x1d269d(0x19c7)][_0x1d269d(0x402)]&&_0x435bab[_0x1d269d(0x12ef)](),_0x34a9cf[_0x1d269d(0x1c75)]({'title':_0x3f65c0()[_0x1d269d(0x20d1)](_0x1d269d(0xff4))+_0x1d269d(0x201c),'msg':_0x475ef5[_0x1d269d(0x19eb)]?_0x475ef5['name']+_0x1d269d(0x23e3):''});})['catch'](function(_0x3b9e2b){const _0x31df68=_0x15e023;if(_0x3b9e2b['data']&&_0x3b9e2b[_0x31df68(0x524)][_0x31df68(0xcef)]&&_0x3b9e2b[_0x31df68(0x524)][_0x31df68(0xcef)]['length']){_0x435bab[_0x31df68(0xcef)]=_0x3b9e2b[_0x31df68(0x524)][_0x31df68(0xcef)]||[{'message':_0x3b9e2b['toString'](),'type':_0x31df68(0x34a)}];for(let _0x81d825=0x0;_0x81d825<_0x3b9e2b['data'][_0x31df68(0xcef)]['length'];_0x81d825++){_0x34a9cf[_0x31df68(0x1980)]({'title':_0x3b9e2b['data'][_0x31df68(0xcef)][_0x81d825][_0x31df68(0x1142)],'msg':_0x3b9e2b[_0x31df68(0x524)][_0x31df68(0xcef)][_0x81d825][_0x31df68(0x7fd)]});}}else _0x34a9cf[_0x31df68(0x1980)]({'title':_0x3b9e2b[_0x31df68(0x107b)]?_0x31df68(0x262a)+_0x3b9e2b[_0x31df68(0x107b)]+_0x31df68(0x1315)+_0x3b9e2b[_0x31df68(0x167f)]:_0x31df68(0x34a),'msg':_0x3b9e2b[_0x31df68(0x524)]?JSON[_0x31df68(0x10bb)](_0x3b9e2b[_0x31df68(0x524)]['message']):_0x3b9e2b[_0x31df68(0x7fd)]||_0x3b9e2b[_0x31df68(0xd5f)]()});});}function _0x50be83(){const _0x17a4ff=_0x1bdde5,_0x997e38=angular[_0x17a4ff(0x235a)](_0x435bab['selectedOpenchannelAccounts']);return _0x435bab[_0x17a4ff(0x1033)]=[],_0x997e38;}function _0x37ebe9(_0x111b19){const _0x400bc1=_0x1bdde5,_0x303e0e=_0x3a26ab['confirm']()[_0x400bc1(0x1189)](_0x400bc1(0x264a))[_0x400bc1(0x1cbe)](_0x400bc1(0x16d3)+_0x435bab[_0x400bc1(0x1033)]['length']+_0x400bc1(0x2452)+_0x400bc1(0xe01))[_0x400bc1(0x4bd)](_0x400bc1(0x2349))['targetEvent'](_0x111b19)['ok']('OK')[_0x400bc1(0x6c3)](_0x400bc1(0x39a));_0x3a26ab[_0x400bc1(0x2615)](_0x303e0e)[_0x400bc1(0x146b)](function(){const _0x5f41c6=_0x400bc1;_0x435bab[_0x5f41c6(0x1033)][_0x5f41c6(0x1df5)](function(_0x111e30){_0x45c140(_0x111e30);}),_0x435bab['selectedOpenchannelAccounts']=[];});}function _0xefe592(){const _0xbb2099=_0x1bdde5;_0x435bab[_0xbb2099(0x1033)]=[];}function _0x5cc87f(){const _0x1e587a=_0x1bdde5;_0x435bab[_0x1e587a(0x1033)]=_0x435bab[_0x1e587a(0x1690)][_0x1e587a(0x19c7)];}}const _0x4eca30=_0x5bcf23;;_0x26063f[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q','$translate',_0x313a4d(0x1fe4),_0x313a4d(0x1ebb),'openchannelQueue',_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),'setting',_0x313a4d(0x2514)];function _0x26063f(_0x32275a,_0x10a9c8,_0x4b9b74,_0x443d6b,_0x39e09d,_0x3ddf56,_0x1c935f,_0x11ef19,_0x490ab9,_0x1a9675,_0x2207c7,_0x2b42a1,_0x29695f,_0x4e9028){const _0x283599=_0x313a4d,_0x2296b0=this;_0x2296b0['currentUser']=_0x2207c7['getCurrentUser'](),_0x2296b0[_0x283599(0xcef)]=[],_0x2296b0[_0x283599(0x15b9)]=_0x29695f,_0x2296b0[_0x283599(0x2690)]=_0x2b42a1,_0x2296b0[_0x283599(0x2514)]=_0x4e9028,_0x2296b0[_0x283599(0x855)]={},_0x2296b0[_0x283599(0x2251)]=_0x2296b0[_0x283599(0x15b9)]&&_0x2296b0[_0x283599(0x15b9)][_0x283599(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x2296b0[_0x283599(0x1189)]='OPENCHANNEL.EDIT_OPENCHANNELQUEUE',_0x2296b0['openchannelQueue']=angular['copy'](_0x490ab9),_0x2296b0['openchannelQueues']=_0x11ef19,_0x2296b0[_0x283599(0x2fb)]=![];!_0x2296b0[_0x283599(0x2755)]&&(_0x2296b0[_0x283599(0x2755)]={'strategy':_0x283599(0x1984),'timeout':0xa},_0x2296b0[_0x283599(0x1189)]='OPENCHANNEL.NEW_OPENCHANNELQUEUE',_0x2296b0[_0x283599(0x2fb)]=!![]);_0x2296b0[_0x283599(0x18fa)]=_0x52b8c9,_0x2296b0[_0x283599(0x1a2a)]=_0x534f1c,_0x2296b0[_0x283599(0x25b7)]=_0x9fdc6b,_0x2296b0[_0x283599(0xe73)]=_0x2362d2,_0x2296b0[_0x283599(0x13f3)]=_0x125eae;function _0x52b8c9(){const _0x3d9196=_0x283599;_0x2296b0['errors']=[],_0x1a9675[_0x3d9196(0x2755)]['save'](_0x2296b0[_0x3d9196(0x2755)])[_0x3d9196(0x2945)][_0x3d9196(0x146b)](function(_0x36aaee){const _0xd9eb14=_0x3d9196;_0x2296b0[_0xd9eb14(0x1ebb)]['unshift'](_0x36aaee[_0xd9eb14(0x2488)]()),_0x1c935f[_0xd9eb14(0x1c75)]({'title':_0xd9eb14(0xd22),'msg':_0x2296b0[_0xd9eb14(0x2755)][_0xd9eb14(0x19eb)]?_0x2296b0[_0xd9eb14(0x2755)][_0xd9eb14(0x19eb)]+_0xd9eb14(0x1386):''}),_0x125eae(_0x36aaee);})[_0x3d9196(0x129e)](function(_0x22518e){const _0x5bd410=_0x3d9196;if(_0x22518e[_0x5bd410(0x524)]&&_0x22518e[_0x5bd410(0x524)]['errors']&&_0x22518e[_0x5bd410(0x524)][_0x5bd410(0xcef)][_0x5bd410(0x402)]){_0x2296b0[_0x5bd410(0xcef)]=_0x22518e[_0x5bd410(0x524)][_0x5bd410(0xcef)]||[{'message':_0x22518e[_0x5bd410(0xd5f)](),'type':_0x5bd410(0x292c)}];for(let _0x2c318b=0x0;_0x2c318b<_0x22518e[_0x5bd410(0x524)][_0x5bd410(0xcef)]['length'];_0x2c318b+=0x1){_0x1c935f[_0x5bd410(0x1980)]({'title':_0x22518e['data']['errors'][_0x2c318b][_0x5bd410(0x1142)],'msg':_0x22518e[_0x5bd410(0x524)][_0x5bd410(0xcef)][_0x2c318b]['message']});}}else _0x1c935f[_0x5bd410(0x1980)]({'title':_0x22518e[_0x5bd410(0x107b)]?'API:'+_0x22518e['status']+_0x5bd410(0x1315)+_0x22518e[_0x5bd410(0x167f)]:_0x5bd410(0x292c),'msg':_0x22518e['data']?JSON[_0x5bd410(0x10bb)](_0x22518e['data']['message']):_0x22518e['toString']()});});}function _0x534f1c(){const _0x4d7205=_0x283599;_0x2296b0[_0x4d7205(0xcef)]=[],_0x1a9675[_0x4d7205(0x2755)][_0x4d7205(0x18e1)]({'id':_0x2296b0[_0x4d7205(0x2755)]['id']},_0x2296b0[_0x4d7205(0x2755)])[_0x4d7205(0x2945)][_0x4d7205(0x146b)](function(_0xa7cade){const _0x3e58e0=_0x4d7205,_0x51eff9=_0x3f65c0()[_0x3e58e0(0xc84)](_0x2296b0[_0x3e58e0(0x1ebb)],{'id':_0xa7cade['id']});_0x51eff9&&_0x3f65c0()[_0x3e58e0(0x168d)](_0x51eff9,_0x3f65c0()[_0x3e58e0(0x40e)](_0xa7cade[_0x3e58e0(0x2488)](),_0x3f65c0()[_0x3e58e0(0x627)](_0x51eff9))),_0x1c935f['success']({'title':_0x3e58e0(0x488),'msg':_0x2296b0[_0x3e58e0(0x2755)][_0x3e58e0(0x19eb)]?_0x2296b0[_0x3e58e0(0x2755)][_0x3e58e0(0x19eb)]+_0x3e58e0(0x24db):''}),_0x125eae(_0xa7cade);})[_0x4d7205(0x129e)](function(_0x62c71d){const _0x4e4973=_0x4d7205;if(_0x62c71d[_0x4e4973(0x524)]&&_0x62c71d[_0x4e4973(0x524)][_0x4e4973(0xcef)]&&_0x62c71d[_0x4e4973(0x524)]['errors'][_0x4e4973(0x402)]){_0x2296b0['errors']=_0x62c71d['data'][_0x4e4973(0xcef)]||[{'message':_0x62c71d[_0x4e4973(0xd5f)](),'type':_0x4e4973(0x1183)}];for(let _0x5105de=0x0;_0x5105de<_0x62c71d['data'][_0x4e4973(0xcef)]['length'];_0x5105de++){_0x1c935f[_0x4e4973(0x1980)]({'title':_0x62c71d['data'][_0x4e4973(0xcef)][_0x5105de][_0x4e4973(0x1142)],'msg':_0x62c71d[_0x4e4973(0x524)]['errors'][_0x5105de][_0x4e4973(0x7fd)]});}}else _0x1c935f[_0x4e4973(0x1980)]({'title':_0x62c71d[_0x4e4973(0x107b)]?_0x4e4973(0x262a)+_0x62c71d[_0x4e4973(0x107b)]+_0x4e4973(0x1315)+_0x62c71d[_0x4e4973(0x167f)]:'api.openchannelQueue.update','msg':_0x62c71d[_0x4e4973(0x524)]?JSON[_0x4e4973(0x10bb)](_0x62c71d['data'][_0x4e4973(0x7fd)]):_0x62c71d[_0x4e4973(0xd5f)]()});});}function _0x9fdc6b(_0x3732a7){const _0x1ebb1d=_0x283599;_0x2296b0[_0x1ebb1d(0xcef)]=[];const _0x6b76a5=_0x443d6b[_0x1ebb1d(0x1e8a)]()[_0x1ebb1d(0x1189)](_0x1ebb1d(0x1d64))[_0x1ebb1d(0x80f)]('The\x20openchannelQueue\x20will\x20be\x20deleted.')[_0x1ebb1d(0x4bd)](_0x1ebb1d(0x653))['ok']('Delete')[_0x1ebb1d(0x6c3)](_0x1ebb1d(0xcf0))['targetEvent'](_0x3732a7);_0x443d6b[_0x1ebb1d(0x2615)](_0x6b76a5)[_0x1ebb1d(0x146b)](function(){const _0x1b2086=_0x1ebb1d;_0x1a9675[_0x1b2086(0x2755)][_0x1b2086(0x1fac)]({'id':_0x2296b0['openchannelQueue']['id']})[_0x1b2086(0x2945)][_0x1b2086(0x146b)](function(){const _0x128fb8=_0x1b2086;_0x3f65c0()['remove'](_0x2296b0[_0x128fb8(0x1ebb)],{'id':_0x2296b0[_0x128fb8(0x2755)]['id']}),_0x1c935f[_0x128fb8(0x1c75)]({'title':_0x128fb8(0x4cb),'msg':(_0x2296b0['openchannelQueue'][_0x128fb8(0x19eb)]||_0x128fb8(0x2755))+_0x128fb8(0x23e3)}),_0x125eae(_0x2296b0[_0x128fb8(0x2755)]);})[_0x1b2086(0x129e)](function(_0x2614f7){const _0x247133=_0x1b2086;if(_0x2614f7['data']&&_0x2614f7['data']['errors']&&_0x2614f7[_0x247133(0x524)]['errors'][_0x247133(0x402)]){_0x2296b0[_0x247133(0xcef)]=_0x2614f7[_0x247133(0x524)][_0x247133(0xcef)]||[{'message':_0x2614f7[_0x247133(0xd5f)](),'type':_0x247133(0x1727)}];for(let _0x318d37=0x0;_0x318d37<_0x2614f7[_0x247133(0x524)][_0x247133(0xcef)][_0x247133(0x402)];_0x318d37++){_0x1c935f[_0x247133(0x1980)]({'title':_0x2614f7[_0x247133(0x524)][_0x247133(0xcef)][_0x318d37][_0x247133(0x1142)],'msg':_0x2614f7[_0x247133(0x524)][_0x247133(0xcef)][_0x318d37][_0x247133(0x7fd)]});}}else _0x1c935f[_0x247133(0x1980)]({'title':_0x2614f7[_0x247133(0x107b)]?'API:'+_0x2614f7[_0x247133(0x107b)]+'\x20-\x20'+_0x2614f7[_0x247133(0x167f)]:'api.openchannelQueue.delete','msg':_0x2614f7[_0x247133(0x524)]?JSON[_0x247133(0x10bb)](_0x2614f7[_0x247133(0x524)]['message']):_0x2614f7[_0x247133(0x7fd)]||_0x2614f7['toString']()});});},function(){});}function _0x2362d2(_0x3e55df){return _0x3e55df===null?undefined:new Date(_0x3e55df);}function _0x125eae(_0x30daba){const _0x19d741=_0x283599;_0x443d6b[_0x19d741(0x2458)](_0x30daba);}}const _0x4f6068=_0x26063f;;_0x5ba24b[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q','toasty','api',_0x313a4d(0x2755),'openchannelQueues',_0x313a4d(0xfc4),_0x313a4d(0x214b),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x5ba24b(_0x472bcb,_0x378f8e,_0x437e13,_0x5a1971,_0x59a58f,_0x585dc5,_0x264b0c,_0x4f051a,_0x3dd41e,_0x33390a){const _0x2ed1e8=_0x313a4d,_0x1c8265=this;_0x1c8265[_0x2ed1e8(0x2321)]=_0x3dd41e['getCurrentUser'](),_0x1c8265[_0x2ed1e8(0x2755)]=_0x59a58f,_0x1c8265[_0x2ed1e8(0x2514)]=_0x33390a,_0x1c8265[_0x2ed1e8(0xfc4)]=_0x264b0c,_0x1c8265[_0x2ed1e8(0x1fd6)]=[],_0x1c8265[_0x2ed1e8(0xc4e)]=[],_0x1c8265[_0x2ed1e8(0x1ecf)]=[],_0x1c8265['startingAllowedItems']=[],_0x1c8265[_0x2ed1e8(0xa65)]=[],_0x1c8265['pendingChanges']=![],_0x1c8265[_0x2ed1e8(0x3d4)]=_0x51e6a1,_0x1c8265[_0x2ed1e8(0x14c2)]=_0xea5f4d,_0x1c8265[_0x2ed1e8(0x13f3)]=_0x5338e3,_0x1c8265['dualMultiselectOptions']={'readOnly':!_0x1c8265[_0x2ed1e8(0x2514)][_0x2ed1e8(0x15f4)],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x2ed1e8(0x19eb),'line1':_0x2ed1e8(0x286a),'line2':[_0x2ed1e8(0x19eb),_0x2ed1e8(0x113f)],'line3':'','labelAll':_0x4f051a[_0x2ed1e8(0xde)]('APP.ALL_AGENTS'),'labelSelected':_0x4f051a[_0x2ed1e8(0xde)]('APP.SELECTED_AGENTS'),'transferCallback':function(){const _0x5c6d2b=_0x2ed1e8,_0x1f6b44=_0x3f65c0()[_0x5c6d2b(0x1883)](_0x1c8265[_0x5c6d2b(0xa65)],_0x1c8265[_0x5c6d2b(0x1ecf)],'id');_0x1c8265[_0x5c6d2b(0x132)]=_0x3f65c0()['isEmpty'](_0x1f6b44)?![]:!![];}};function _0x51e6a1(){const _0x38b0de=_0x2ed1e8;return _0x3dd41e[_0x38b0de(0x23e0)](_0x38b0de(0x174b))?_0x57dd79()[_0x38b0de(0x129e)](function(_0x5b2935){const _0x5ca90c=_0x38b0de;_0x437e13['error']({'title':_0x5b2935[_0x5ca90c(0x107b)]?'API:'+_0x5b2935[_0x5ca90c(0x107b)]+'\x20-\x20'+_0x5b2935['statusText']:_0x5ca90c(0x557),'msg':_0x5b2935[_0x5ca90c(0x107b)]?JSON['stringify'](_0x5b2935[_0x5ca90c(0x524)]):_0x5b2935[_0x5ca90c(0xd5f)]()});}):_0x293547()[_0x38b0de(0x146b)](function(_0x27af65){const _0x3a7357=_0x38b0de;return _0x1c8265[_0x3a7357(0x2146)]=_0x27af65,_0x57dd79();})[_0x38b0de(0x129e)](function(_0xa7da40){const _0x1349a4=_0x38b0de;_0x437e13[_0x1349a4(0x1980)]({'title':_0xa7da40[_0x1349a4(0x107b)]?_0x1349a4(0x262a)+_0xa7da40[_0x1349a4(0x107b)]+_0x1349a4(0x1315)+_0xa7da40[_0x1349a4(0x167f)]:_0x1349a4(0x557),'msg':_0xa7da40[_0x1349a4(0x107b)]?JSON[_0x1349a4(0x10bb)](_0xa7da40[_0x1349a4(0x524)]):_0xa7da40[_0x1349a4(0xd5f)]()});});}function _0x293547(){return _0x378f8e(function(_0x576216,_0x2b7c15){const _0x5fc45d=a0_0x3bb9;_0x5a1971[_0x5fc45d(0x1366)][_0x5fc45d(0x16b4)]({'userProfileId':_0x1c8265['currentUser'][_0x5fc45d(0x209a)],'name':_0x5fc45d(0xca8)})[_0x5fc45d(0x2945)]['then'](function(_0x1b3538){const _0x5cbd8d=_0x5fc45d,_0x5aa9e0=_0x1b3538&&_0x1b3538['rows']?_0x1b3538[_0x5cbd8d(0x19c7)][0x0]:null;_0x576216(_0x5aa9e0);})[_0x5fc45d(0x129e)](function(_0xa57cb0){_0x2b7c15(_0xa57cb0);});});}function _0x57dd79(){return _0x378f8e(function(_0x4ac286,_0x475afa){const _0x33addf=a0_0x3bb9;return _0x50087c()['then'](function(_0x16fcff){const _0x6793c5=a0_0x3bb9;return _0x1c8265[_0x6793c5(0x1fd6)]=_0x16fcff[_0x6793c5(0x19c7)]?_0x16fcff[_0x6793c5(0x19c7)]:[],_0x3dd41e[_0x6793c5(0x23e0)](_0x6793c5(0x174b))?_0x16fcff:_0x1c8265[_0x6793c5(0x2146)]?_0x1c8265[_0x6793c5(0x2146)]['autoAssociation']?_0x16fcff:_0x3835c2():null;})[_0x33addf(0x146b)](function(_0x3e8260){const _0x1f140f=_0x33addf,_0x4cc2d6=_0x3e8260&&_0x3e8260['rows']?_0x3e8260[_0x1f140f(0x19c7)]:[];return _0x1c8265[_0x1f140f(0xc4e)]=_0x3f65c0()[_0x1f140f(0x205)](_0x4cc2d6,function(_0x24821d){const _0x235eae=_0x1f140f;return _0x3f65c0()[_0x235eae(0xc84)](_0x1c8265['items'],{'id':_0x3dd41e[_0x235eae(0x23e0)](_0x235eae(0x174b))||_0x1c8265[_0x235eae(0x2146)][_0x235eae(0x11d2)]?_0x24821d['id']:_0x24821d[_0x235eae(0x18b8)]});}),_0x1c8265[_0x1f140f(0x2e8)]=angular['copy'](_0x1c8265[_0x1f140f(0xc4e)]),_0x1c8265[_0x1f140f(0x1fd6)]['forEach'](function(_0x38cfd0){const _0x473df1=_0x1f140f,_0x1fcbf3=_0x3f65c0()['find'](_0x1c8265[_0x473df1(0xc4e)],{'id':_0x38cfd0['id']});_0x3dd41e[_0x473df1(0x23e0)](_0x473df1(0x174b))?_0x38cfd0['isValid']=!![]:_0x38cfd0['isValid']=typeof _0x1fcbf3!==_0x473df1(0x2274)?!![]:![];}),_0x250969();})[_0x33addf(0x146b)](function(_0x4b36af){const _0x3b7363=_0x33addf,_0x320228=_0x4b36af&&_0x4b36af[_0x3b7363(0x19c7)]?_0x4b36af[_0x3b7363(0x19c7)]:[];_0x1c8265[_0x3b7363(0x1ecf)]=_0x3f65c0()[_0x3b7363(0x205)](_0x320228,function(_0x45ab1d){const _0x55f639=_0x3b7363,_0x5cd6eb=_0x3f65c0()[_0x55f639(0xc84)](_0x1c8265[_0x55f639(0x1fd6)],{'id':_0x45ab1d['id']});return _0x5cd6eb[_0x55f639(0x188d)]=_0x45ab1d[_0x55f639(0x2c6)]?_0x55f639(0x2505)+_0x45ab1d[_0x55f639(0x2c6)][_0x55f639(0x188d)]:'',_0x5cd6eb[_0x55f639(0x113f)]=typeof _0x45ab1d[_0x55f639(0x113f)]!==_0x55f639(0x2274)?'<'+_0x45ab1d[_0x55f639(0x113f)]+'>':'',_0x5cd6eb;}),_0x1c8265[_0x3b7363(0xa65)]=angular[_0x3b7363(0x235a)](_0x1c8265['selectedItems']),_0x1c8265[_0x3b7363(0x1f8a)]['selectedItems']=_0x1c8265[_0x3b7363(0x1ecf)],_0x1c8265[_0x3b7363(0x1f8a)][_0x3b7363(0x1fd6)]=_0x3f65c0()[_0x3b7363(0x2796)](_0x1c8265[_0x3b7363(0xc4e)],_0x1c8265[_0x3b7363(0x1f8a)][_0x3b7363(0x1ecf)],'id'),_0x4ac286();})[_0x33addf(0x129e)](function(_0x233b9f){_0x475afa(_0x233b9f);});});}function _0x3835c2(){return _0x378f8e(function(_0x1be7da,_0x40aa7a){const _0x1d4483=a0_0x3bb9;return _0x5a1971[_0x1d4483(0xdcc)][_0x1d4483(0x16b4)]({'sectionId':_0x1c8265['section']['id'],'nolimit':!![]})[_0x1d4483(0x2945)][_0x1d4483(0x146b)](function(_0x13904f){_0x1be7da(_0x13904f);})[_0x1d4483(0x129e)](function(_0xfac047){_0x40aa7a(_0xfac047);});});}function _0x250969(){return _0x378f8e(function(_0x4a3781,_0x40d3a7){const _0x377143=a0_0x3bb9;return _0x5a1971[_0x377143(0x2755)][_0x377143(0x333)]({'id':_0x1c8265[_0x377143(0x2755)]['id'],'fields':'id,name,internal,fullname','nolimit':!![],'role':'agent'})[_0x377143(0x2945)]['then'](function(_0x2c42ae){_0x4a3781(_0x2c42ae);})['catch'](function(_0x139ef7){_0x40d3a7(_0x139ef7);});});}function _0x50087c(){return _0x378f8e(function(_0x3de0a7,_0x79b378){const _0x3eb4d4=a0_0x3bb9;return _0x5a1971[_0x3eb4d4(0xe7b)]['get']({'fields':_0x3eb4d4(0x1569),'nolimit':!![],'role':'agent'})[_0x3eb4d4(0x2945)]['then'](function(_0x542f43){_0x3de0a7(_0x542f43);})['catch'](function(_0x4b0939){_0x79b378(_0x4b0939);});});}function _0x3d282b(_0x4ee47a){return _0x378f8e(function(_0x4a3cb8,_0x5a8c17){const _0x5c09d4=a0_0x3bb9;_0x3f65c0()[_0x5c09d4(0x2635)](_0x4ee47a)?_0x4a3cb8():_0x5a1971[_0x5c09d4(0x2755)][_0x5c09d4(0x135e)]({'id':_0x1c8265[_0x5c09d4(0x2755)]['id'],'ids':_0x3f65c0()[_0x5c09d4(0x205)](_0x4ee47a,'id')})['$promise'][_0x5c09d4(0x146b)](function(){_0x4a3cb8();})['catch'](function(_0x220a26){_0x5a8c17(_0x220a26);});});}function _0x489b56(_0x4e7e59){return _0x378f8e(function(_0x5dd10e,_0x36e68a){const _0x5e2e75=a0_0x3bb9;_0x3f65c0()[_0x5e2e75(0x2635)](_0x4e7e59)?_0x5dd10e():_0x5a1971[_0x5e2e75(0x2755)]['removeAgents']({'id':_0x1c8265['openchannelQueue']['id'],'ids':_0x3f65c0()[_0x5e2e75(0x205)](_0x4e7e59,'id')})['$promise']['then'](function(){_0x5dd10e();})[_0x5e2e75(0x129e)](function(_0x511b9f){_0x36e68a(_0x511b9f);});});}function _0xea5f4d(){const _0x44f69c=_0x2ed1e8,_0x5950d6=_0x3f65c0()[_0x44f69c(0x2796)](_0x1c8265['startingSelectedItems'],_0x1c8265[_0x44f69c(0x1ecf)],'id'),_0x21424b=_0x3f65c0()[_0x44f69c(0x2796)](_0x1c8265['selectedItems'],_0x1c8265[_0x44f69c(0xa65)],'id');return _0x489b56(_0x5950d6)[_0x44f69c(0x146b)](function(){return _0x3d282b(_0x21424b);})[_0x44f69c(0x146b)](function(){const _0x3c0c56=_0x44f69c;_0x1c8265[_0x3c0c56(0x132)]=![],_0x1c8265[_0x3c0c56(0x2e8)]=angular[_0x3c0c56(0x235a)](_0x1c8265[_0x3c0c56(0xc4e)]),_0x1c8265[_0x3c0c56(0xa65)]=angular[_0x3c0c56(0x235a)](_0x1c8265['selectedItems']),_0x437e13[_0x3c0c56(0x1c75)]({'title':_0x3c0c56(0x4c0),'msg':_0x3c0c56(0x26be)});})[_0x44f69c(0x129e)](function(_0x5742da){const _0x5a7ae1=_0x44f69c;_0x437e13['error']({'title':_0x5742da[_0x5a7ae1(0x107b)]?'API:'+_0x5742da[_0x5a7ae1(0x107b)]+_0x5a7ae1(0x1315)+_0x5742da[_0x5a7ae1(0x167f)]:'SYSTEM:LISTS_ASSOCIATION','msg':_0x5742da[_0x5a7ae1(0x107b)]?JSON[_0x5a7ae1(0x10bb)](_0x5742da[_0x5a7ae1(0x524)]):_0x5742da[_0x5a7ae1(0xd5f)]()});});}function _0x5338e3(){_0x472bcb['hide']();}}const _0x15dbbc=_0x5ba24b;;_0x5e7213[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q','toasty',_0x313a4d(0x247f),'openchannelQueue',_0x313a4d(0x214b),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x5e7213(_0x78c92a,_0x10b783,_0x49efd5,_0x2c3366,_0x256462,_0xd7759d,_0xe27817,_0x477907){const _0x5c38f6=_0x313a4d,_0x1aecdd=this;_0x1aecdd[_0x5c38f6(0x2321)]=_0xe27817[_0x5c38f6(0xb12)](),_0x1aecdd[_0x5c38f6(0x2755)]=_0x256462,_0x1aecdd[_0x5c38f6(0x2514)]=_0x477907,_0x1aecdd['items']=[],_0x1aecdd['allowedItems']=[],_0x1aecdd[_0x5c38f6(0x1ecf)]=[],_0x1aecdd[_0x5c38f6(0x2e8)]=[],_0x1aecdd[_0x5c38f6(0xa65)]=[],_0x1aecdd[_0x5c38f6(0x132)]=![],_0x1aecdd[_0x5c38f6(0x1f8a)]={'readOnly':!_0x1aecdd[_0x5c38f6(0x2514)]['canEdit'],'allowedItems':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x5c38f6(0x19eb),'line1':_0x5c38f6(0x19eb),'line2':'','line3':'','labelAll':_0xd7759d[_0x5c38f6(0xde)](_0x5c38f6(0x218d)),'labelSelected':_0xd7759d[_0x5c38f6(0xde)](_0x5c38f6(0x1ae7)),'transferCallback':function(){const _0xaddcc0=_0x5c38f6,_0x2ba358=_0x3f65c0()[_0xaddcc0(0x1883)](_0x1aecdd['startingSelectedItems'],_0x1aecdd['selectedItems'],'id');_0x1aecdd[_0xaddcc0(0x132)]=_0x3f65c0()[_0xaddcc0(0x2635)](_0x2ba358)?![]:!![];}},_0x1aecdd['onInit']=_0x396e91,_0x1aecdd[_0x5c38f6(0x215f)]=_0x108596,_0x1aecdd[_0x5c38f6(0x13f3)]=_0x557b21;function _0x396e91(){const _0x1e3e7d=_0x5c38f6;return _0xe27817['hasRole']('admin')?_0x5b732c()[_0x1e3e7d(0x129e)](function(_0x37db81){const _0x14ddf3=_0x1e3e7d;_0x49efd5[_0x14ddf3(0x1980)]({'title':_0x37db81['status']?_0x14ddf3(0x262a)+_0x37db81['status']+_0x14ddf3(0x1315)+_0x37db81[_0x14ddf3(0x167f)]:_0x14ddf3(0x5a5),'msg':_0x37db81[_0x14ddf3(0x107b)]?JSON['stringify'](_0x37db81[_0x14ddf3(0x524)]):_0x37db81[_0x14ddf3(0xd5f)]()});}):_0x49e8f9()[_0x1e3e7d(0x146b)](function(_0x317d22){const _0x2cc0ac=_0x1e3e7d;return _0x1aecdd[_0x2cc0ac(0x2146)]=_0x317d22,_0x5b732c();})[_0x1e3e7d(0x129e)](function(_0x45a326){const _0x282f5f=_0x1e3e7d;_0x49efd5['error']({'title':_0x45a326[_0x282f5f(0x107b)]?_0x282f5f(0x262a)+_0x45a326['status']+_0x282f5f(0x1315)+_0x45a326[_0x282f5f(0x167f)]:'SYSTEM:GET_TEAMS','msg':_0x45a326['status']?JSON[_0x282f5f(0x10bb)](_0x45a326['data']):_0x45a326[_0x282f5f(0xd5f)]()});});}function _0x49e8f9(){return _0x10b783(function(_0x31bd35,_0x9dc8bc){const _0x2476f4=a0_0x3bb9;_0x2c3366['userProfileSection']['get']({'userProfileId':_0x1aecdd[_0x2476f4(0x2321)][_0x2476f4(0x209a)],'name':_0x2476f4(0x21ae)})[_0x2476f4(0x2945)]['then'](function(_0x49f989){const _0x3054b7=_0x2476f4,_0x2582ad=_0x49f989&&_0x49f989['rows']?_0x49f989[_0x3054b7(0x19c7)][0x0]:null;_0x31bd35(_0x2582ad);})[_0x2476f4(0x129e)](function(_0x92f268){_0x9dc8bc(_0x92f268);});});}function _0x5b732c(){return _0x10b783(function(_0x121d26,_0x3faaa0){const _0x253b6e=a0_0x3bb9;return _0x48f9a0()[_0x253b6e(0x146b)](function(_0x2f5ed3){const _0x3a2e08=_0x253b6e;return _0x1aecdd[_0x3a2e08(0x1fd6)]=_0x2f5ed3[_0x3a2e08(0x19c7)]?_0x2f5ed3[_0x3a2e08(0x19c7)]:[],_0xe27817[_0x3a2e08(0x23e0)](_0x3a2e08(0x174b))?_0x2f5ed3:_0x1aecdd[_0x3a2e08(0x2146)]?_0x1aecdd[_0x3a2e08(0x2146)][_0x3a2e08(0x11d2)]?_0x2f5ed3:_0xf65d3e():null;})[_0x253b6e(0x146b)](function(_0x5d4d6b){const _0x537757=_0x253b6e,_0x503823=_0x5d4d6b&&_0x5d4d6b[_0x537757(0x19c7)]?_0x5d4d6b[_0x537757(0x19c7)]:[];return _0x1aecdd[_0x537757(0xc4e)]=_0x3f65c0()[_0x537757(0x205)](_0x503823,function(_0x3e87e2){const _0x40d7db=_0x537757;return _0x3f65c0()['find'](_0x1aecdd[_0x40d7db(0x1fd6)],{'id':_0xe27817[_0x40d7db(0x23e0)](_0x40d7db(0x174b))||_0x1aecdd[_0x40d7db(0x2146)]['autoAssociation']?_0x3e87e2['id']:_0x3e87e2[_0x40d7db(0x18b8)]});}),_0x1aecdd[_0x537757(0x1fd6)]['forEach'](function(_0x591108){const _0x786181=_0x537757,_0x386df3=_0x3f65c0()[_0x786181(0xc84)](_0x1aecdd['allowedItems'],{'id':_0x591108['id']});_0xe27817[_0x786181(0x23e0)](_0x786181(0x174b))?_0x591108[_0x786181(0x146f)]=!![]:_0x591108[_0x786181(0x146f)]=typeof _0x386df3!==_0x786181(0x2274)?!![]:![];}),_0xd5d83d();})[_0x253b6e(0x146b)](function(_0x2087fa){const _0x59c376=_0x253b6e,_0x60b86b=_0x2087fa&&_0x2087fa['rows']?_0x2087fa['rows']:[];_0x1aecdd['selectedItems']=_0x3f65c0()[_0x59c376(0x205)](_0x60b86b,function(_0xefba24){const _0x37ef9a=_0x59c376;return _0x3f65c0()[_0x37ef9a(0xc84)](_0x1aecdd['items'],{'id':_0xefba24['id']});}),_0x1aecdd['startingSelectedItems']=angular[_0x59c376(0x235a)](_0x1aecdd[_0x59c376(0x1ecf)]),_0x1aecdd[_0x59c376(0x1f8a)]['selectedItems']=_0x1aecdd[_0x59c376(0x1ecf)],_0x1aecdd['dualMultiselectOptions'][_0x59c376(0x1fd6)]=_0x3f65c0()[_0x59c376(0x2796)](_0x1aecdd['allowedItems'],_0x1aecdd[_0x59c376(0x1f8a)][_0x59c376(0x1ecf)],'id'),_0x121d26();})[_0x253b6e(0x129e)](function(_0x458f75){_0x3faaa0(_0x458f75);});});}function _0xf65d3e(){return _0x10b783(function(_0x218a04,_0x53170c){const _0x541da2=a0_0x3bb9;return _0x2c3366[_0x541da2(0xdcc)]['get']({'sectionId':_0x1aecdd['section']['id'],'nolimit':!![]})[_0x541da2(0x2945)][_0x541da2(0x146b)](function(_0x2fac17){_0x218a04(_0x2fac17);})[_0x541da2(0x129e)](function(_0x23f97c){_0x53170c(_0x23f97c);});});}function _0xd5d83d(){return _0x10b783(function(_0x365a5b,_0x243813){const _0x51adaa=a0_0x3bb9;return _0x2c3366[_0x51adaa(0x2755)][_0x51adaa(0x11d1)]({'id':_0x1aecdd[_0x51adaa(0x2755)]['id'],'fields':_0x51adaa(0x7a7),'nolimit':!![]})['$promise'][_0x51adaa(0x146b)](function(_0x4e3cef){_0x365a5b(_0x4e3cef);})[_0x51adaa(0x129e)](function(_0x2593da){_0x243813(_0x2593da);});});}function _0x48f9a0(){return _0x10b783(function(_0x219d1c,_0x623111){const _0x592b18=a0_0x3bb9;return _0x2c3366[_0x592b18(0x23f1)]['get']({'fields':_0x592b18(0x7a7),'nolimit':!![]})[_0x592b18(0x2945)][_0x592b18(0x146b)](function(_0x52d9f9){_0x219d1c(_0x52d9f9);})[_0x592b18(0x129e)](function(_0x3eb56b){_0x623111(_0x3eb56b);});});}function _0x48a074(_0x39031a){return _0x10b783(function(_0x43fc9d,_0x38172f){const _0x14f8d5=a0_0x3bb9;_0x3f65c0()[_0x14f8d5(0x2635)](_0x39031a)?_0x43fc9d():_0x2c3366[_0x14f8d5(0x2755)]['addTeams']({'id':_0x1aecdd[_0x14f8d5(0x2755)]['id'],'ids':_0x3f65c0()[_0x14f8d5(0x205)](_0x39031a,'id')})[_0x14f8d5(0x2945)]['then'](function(){_0x43fc9d();})[_0x14f8d5(0x129e)](function(_0xf09ba0){_0x38172f(_0xf09ba0);});});}function _0x51cbbf(_0x31b71e){return _0x10b783(function(_0x517c37,_0x30ea91){const _0x2564fa=a0_0x3bb9;_0x3f65c0()[_0x2564fa(0x2635)](_0x31b71e)?_0x517c37():_0x2c3366[_0x2564fa(0x2755)][_0x2564fa(0xfa4)]({'id':_0x1aecdd[_0x2564fa(0x2755)]['id'],'ids':_0x3f65c0()['map'](_0x31b71e,'id')})[_0x2564fa(0x2945)][_0x2564fa(0x146b)](function(){_0x517c37();})[_0x2564fa(0x129e)](function(_0x4e8f20){_0x30ea91(_0x4e8f20);});});}function _0x108596(){const _0x567b80=_0x5c38f6,_0x3b1b15=_0x3f65c0()[_0x567b80(0x2796)](_0x1aecdd['startingSelectedItems'],_0x1aecdd[_0x567b80(0x1ecf)],'id'),_0x375d6a=_0x3f65c0()[_0x567b80(0x2796)](_0x1aecdd['selectedItems'],_0x1aecdd[_0x567b80(0xa65)],'id');return _0x51cbbf(_0x3b1b15)[_0x567b80(0x146b)](function(){return _0x48a074(_0x375d6a);})[_0x567b80(0x146b)](function(){const _0x14a29d=_0x567b80;_0x1aecdd[_0x14a29d(0x132)]=![],_0x1aecdd[_0x14a29d(0x2e8)]=angular['copy'](_0x1aecdd['allowedItems']),_0x1aecdd[_0x14a29d(0xa65)]=angular[_0x14a29d(0x235a)](_0x1aecdd[_0x14a29d(0x1ecf)]),_0x49efd5[_0x14a29d(0x1c75)]({'title':_0x14a29d(0x4c0),'msg':_0x14a29d(0xf08)});})[_0x567b80(0x129e)](function(_0x32b203){const _0x1408c3=_0x567b80;_0x49efd5[_0x1408c3(0x1980)]({'title':_0x32b203[_0x1408c3(0x107b)]?_0x1408c3(0x262a)+_0x32b203[_0x1408c3(0x107b)]+_0x1408c3(0x1315)+_0x32b203[_0x1408c3(0x167f)]:_0x1408c3(0xa56),'msg':_0x32b203[_0x1408c3(0x107b)]?JSON[_0x1408c3(0x10bb)](_0x32b203['data']):_0x32b203[_0x1408c3(0xd5f)]()});});}function _0x557b21(){const _0x691064=_0x5c38f6;_0x78c92a[_0x691064(0x2458)]();}}const _0x40ff9b=_0x5e7213;;const _0x57bc82=_0x4acfac['p']+_0x313a4d(0x2224);;_0x1a48ca['$inject']=[_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),_0x313a4d(0x4d8),'$translate',_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87),'openchannelQueue',_0x313a4d(0x1366)];function _0x1a48ca(_0x18dc40,_0x3e6fd1,_0x21cd39,_0x50e6d0,_0x131989,_0x14167f,_0x5551a4,_0x2ba3cd,_0x1cf246,_0x26462b,_0x346e96,_0x231772){const _0x2c1ed2=_0x313a4d,_0x2f1fed=this;_0x2f1fed[_0x2c1ed2(0x2321)]=_0x26462b['getCurrentUser'](),_0x2f1fed[_0x2c1ed2(0x2690)]=_0x14167f,_0x2f1fed['setting']=_0x5551a4,_0x2f1fed[_0x2c1ed2(0x2251)]=_0x2f1fed['setting'][_0x2c1ed2(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x2f1fed['location']=_0x3e6fd1[_0x2c1ed2(0x2414)]()+_0x2c1ed2(0xb0e)+_0x3e6fd1[_0x2c1ed2(0x148e)](),_0x2f1fed['openchannelQueue']=_0x346e96||_0x18dc40[_0x2c1ed2(0x16a)][_0x2c1ed2(0x2755)]||{},_0x2f1fed['userProfileSection']=_0x231772&&_0x231772[_0x2c1ed2(0x51c)]==0x1?_0x231772[_0x2c1ed2(0x19c7)][0x0]:null,_0x2f1fed['crudPermissions']=_0x26462b[_0x2c1ed2(0xe60)](_0x2f1fed[_0x2c1ed2(0x1366)]?_0x2f1fed[_0x2c1ed2(0x1366)][_0x2c1ed2(0x2514)]:null),_0x2f1fed[_0x2c1ed2(0x855)]={},_0x2f1fed['selectedTab']=_0x18dc40['params']['tab']||0x0,_0x2f1fed[_0x2c1ed2(0x244)]=_0x1e6667,_0x2f1fed[_0x2c1ed2(0x1027)]=_0x3f0292,_0x2f1fed[_0x2c1ed2(0x861)]=_0x1cf246['info'],_0x2f1fed['gotoOpenchannelQueues']=_0xeb1276,_0x2f1fed[_0x2c1ed2(0x1a2a)]=_0x1ee679;function _0x1e6667(_0xacc548,_0x388447){const _0x442a7b=_0x2c1ed2;_0x21cd39[_0x442a7b(0x2615)]({'controller':_0x442a7b(0x59e),'controllerAs':'vm','templateUrl':_0x57bc82,'parent':angular[_0x442a7b(0x1853)](_0x50e6d0[_0x442a7b(0x2586)]),'targetEvent':_0x388447,'clickOutsideToClose':!![],'locals':{'openchannelQueue':_0xacc548,'openchannelQueues':_0x2f1fed[_0x442a7b(0x1ebb)]?_0x2f1fed[_0x442a7b(0x1ebb)][_0x442a7b(0x19c7)]:[],'crudPermissions':_0x2f1fed[_0x442a7b(0x2514)]}});}function _0x3f0292(_0x210fdb,_0x187fda){const _0x1c4a31=_0x2c1ed2;_0x21cd39[_0x1c4a31(0x2615)]({'controller':'OpenchannelQueueagentaddController','controllerAs':'vm','templateUrl':_0x5531ae,'parent':angular[_0x1c4a31(0x1853)](_0x50e6d0[_0x1c4a31(0x2586)]),'targetEvent':_0x187fda,'clickOutsideToClose':!![],'locals':{'openchannelQueue':_0x210fdb,'openchannelQueues':_0x2f1fed['openchannelQueues']?_0x2f1fed[_0x1c4a31(0x1ebb)][_0x1c4a31(0x19c7)]:[],'crudPermissions':_0x2f1fed[_0x1c4a31(0x2514)],'realtime':![]}});}function _0xeb1276(){const _0x458da7=_0x2c1ed2;_0x18dc40['go']('app.openchannel.openchannelQueues',{},{'reload':_0x458da7(0x18ff)});}function _0x1ee679(){const _0x447fa0=_0x2c1ed2;_0x2ba3cd[_0x447fa0(0x2755)][_0x447fa0(0x18e1)]({'id':_0x2f1fed[_0x447fa0(0x2755)]['id']},_0x2f1fed[_0x447fa0(0x2755)])['$promise'][_0x447fa0(0x146b)](function(){const _0x28c745=_0x447fa0;_0x1cf246[_0x28c745(0x1c75)]({'title':_0x28c745(0x269c),'msg':_0x2f1fed['openchannelQueue'][_0x28c745(0x19eb)]?_0x2f1fed['openchannelQueue'][_0x28c745(0x19eb)]+_0x28c745(0x6b0):''});})[_0x447fa0(0x129e)](function(_0x1c9386){const _0x20ce5a=_0x447fa0;_0x1cf246[_0x20ce5a(0x1980)]({'title':_0x1c9386['status']?_0x20ce5a(0x262a)+_0x1c9386[_0x20ce5a(0x107b)]+'\x20-\x20'+_0x1c9386['statusText']:_0x20ce5a(0x554),'msg':_0x1c9386['data']?JSON[_0x20ce5a(0x10bb)](_0x1c9386[_0x20ce5a(0x524)]):_0x1c9386[_0x20ce5a(0xd5f)]()});});}}const _0x5edcc8=_0x1a48ca;;_0x5bf6aa[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$window',_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),'$document',_0x313a4d(0x1abe),'$translate','openchannelQueues',_0x313a4d(0x26b6),'userProfileSection',_0x313a4d(0x247f),_0x313a4d(0x216c),'toasty',_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x5bf6aa(_0xb18419,_0x380b6f,_0x33dfe8,_0x10a51c,_0x1e1bbb,_0x34e2ab,_0x1acb43,_0x1868d7,_0x480a2e,_0x595da0,_0x46365e,_0x50b225,_0x26a128,_0x15d81c,_0x1371ec,_0x5a03d9,_0x525329){const _0x3deaec=_0x313a4d,_0x267aec=this;_0x267aec[_0x3deaec(0x2690)]=_0x5a03d9,_0x267aec[_0x3deaec(0x15b9)]=_0x525329,_0x267aec[_0x3deaec(0x2321)]=_0x1371ec[_0x3deaec(0xb12)](),_0x267aec[_0x3deaec(0x1ebb)]=_0x480a2e||{'count':0x0,'rows':[]},_0x267aec['userProfile']=_0x595da0,_0x267aec['userProfileSection']=_0x46365e&&_0x46365e[_0x3deaec(0x51c)]==0x1?_0x46365e[_0x3deaec(0x19c7)][0x0]:null,_0x267aec[_0x3deaec(0x2514)]=_0x1371ec['parseCrudPermissions'](_0x267aec[_0x3deaec(0x1366)]?_0x267aec[_0x3deaec(0x1366)][_0x3deaec(0x2514)]:null),_0x267aec[_0x3deaec(0x768)]=_0x3deaec(0x1ebb),_0x267aec[_0x3deaec(0x216a)]='',_0x267aec[_0x3deaec(0x214f)]=null,_0x267aec[_0x3deaec(0x1a4d)]=[],_0x267aec[_0x3deaec(0x1a56)]={'fields':_0x3deaec(0xa22),'sort':_0x3deaec(0x12f2),'channel':_0x3deaec(0x7d9),'limit':0xa,'page':0x1},_0x267aec[_0x3deaec(0x280a)]=_0x3f65c0()[_0x3deaec(0x194)]([{'option':_0x3deaec(0x6a7),'value':_0x3deaec(0xb5a)},{'option':_0x3deaec(0xa12),'value':'\x27rrmemory\x27'}],function(_0x14a86a){return _0x3f65c0()['replace'](_0x14a86a['value'],new RegExp('\x27','g'),'');}),_0x267aec['editstate']=_0x28c06b,_0x267aec[_0x3deaec(0x244)]=_0x5200ae,_0x267aec[_0x3deaec(0x1027)]=_0xc99cae,_0x267aec[_0x3deaec(0xf0a)]=_0x3a33b8,_0x267aec[_0x3deaec(0x138d)]=_0x12a664,_0x267aec[_0x3deaec(0x1c75)]=_0x37710e,_0x267aec[_0x3deaec(0x28d2)]=_0xc9c61e,_0x267aec['createOrEditOpenchannelQueue']=_0x536510,_0x267aec[_0x3deaec(0x25b7)]=_0x24559d,_0x267aec[_0x3deaec(0xd2a)]=_0x24e698,_0x267aec[_0x3deaec(0x1dfc)]=_0x17f353,_0x267aec[_0x3deaec(0x610)]=_0x3a5e13,_0x267aec[_0x3deaec(0x2291)]=_0x15002e;function _0x28c06b(_0x10a808){const _0xe7d551=_0x3deaec;_0x33dfe8['go'](_0xe7d551(0x1a3),{'id':_0x10a808['id'],'openchannelQueue':_0x10a808,'crudPermissions':_0x267aec[_0xe7d551(0x2514)]});}function _0x5200ae(_0xd67d8f,_0x2cf10a){const _0x744ecb=_0x3deaec;_0x1e1bbb[_0x744ecb(0x2615)]({'controller':_0x744ecb(0x59e),'controllerAs':'vm','templateUrl':_0x57bc82,'parent':angular[_0x744ecb(0x1853)](_0x34e2ab[_0x744ecb(0x2586)]),'targetEvent':_0x2cf10a,'clickOutsideToClose':!![],'locals':{'openchannelQueue':_0xd67d8f,'openchannelQueues':_0x267aec[_0x744ecb(0x1ebb)]?_0x267aec['openchannelQueues'][_0x744ecb(0x19c7)]:[],'crudPermissions':_0x267aec[_0x744ecb(0x2514)]}});}function _0xc99cae(_0x45a2f7,_0x16f25c){const _0x5cbb83=_0x3deaec;_0x1e1bbb[_0x5cbb83(0x2615)]({'controller':_0x5cbb83(0x19af),'controllerAs':'vm','templateUrl':_0x5531ae,'parent':angular[_0x5cbb83(0x1853)](_0x34e2ab[_0x5cbb83(0x2586)]),'targetEvent':_0x16f25c,'clickOutsideToClose':!![],'locals':{'openchannelQueue':_0x45a2f7,'openchannelQueues':_0x267aec[_0x5cbb83(0x1ebb)]?_0x267aec['openchannelQueues'][_0x5cbb83(0x19c7)]:[],'crudPermissions':_0x267aec['crudPermissions'],'realtime':![]}});}function _0x3a33b8(_0x2e8d99,_0x4ba718){const _0x29a7fa=_0x3deaec,_0x41c211=_0x1e1bbb[_0x29a7fa(0x1e8a)]()[_0x29a7fa(0x1189)](_0x29a7fa(0xdb2)+_0x3f65c0()['startCase'](_0x29a7fa(0x2755))+'?')[_0x29a7fa(0x1cbe)](_0x29a7fa(0x16d3)+(_0x2e8d99[_0x29a7fa(0x19eb)]||_0x29a7fa(0x2755))+_0x29a7fa(0x252f)+_0x29a7fa(0xe01))[_0x29a7fa(0x4bd)](_0x29a7fa(0x1d14))[_0x29a7fa(0x1f27)](_0x4ba718)['ok']('OK')['cancel']('CANCEL');_0x1e1bbb['show'](_0x41c211)[_0x29a7fa(0x146b)](function(){_0x24559d(_0x2e8d99);},function(){const _0x2b26bd=_0x29a7fa;console[_0x2b26bd(0x1a74)](_0x2b26bd(0x39a));});}function _0x12a664(){const _0x359da0=_0x3deaec;if(_0x1371ec[_0x359da0(0x23e0)](_0x359da0(0x174b)))_0x33dfe8['go'](_0x359da0(0x2f8),{});else return _0x50b225[_0x359da0(0x1366)][_0x359da0(0x16b4)]({'userProfileId':_0x1371ec[_0x359da0(0xb12)]()[_0x359da0(0x209a)],'sectionId':0x32a})[_0x359da0(0x2945)][_0x359da0(0x146b)](function(_0xbf1e62){const _0x53b30b=_0x359da0,_0x1ced04=_0xbf1e62&&_0xbf1e62[_0x53b30b(0x19c7)]?_0xbf1e62['rows'][0x0]:null;_0x1ced04&&_0x1ced04[_0x53b30b(0x193e)]?_0x33dfe8['go'](_0x53b30b(0x2f8),{}):_0x15d81c[_0x53b30b(0x271e)]({'title':_0x1868d7[_0x53b30b(0xde)]('STAFF.PERMISSIONS_UNAUTHORIZED_REDIRECT_TITLE'),'msg':_0x1868d7[_0x53b30b(0xde)]('STAFF.PERMISSIONS_UNAUTHORIZED_REDIRECT_MESSAGE')});})[_0x359da0(0x129e)](function(_0x3dcce2){const _0xfb81a8=_0x359da0;_0x15d81c[_0xfb81a8(0x1980)]({'title':_0x3dcce2[_0xfb81a8(0x107b)]?'API:'+_0x3dcce2[_0xfb81a8(0x107b)]+_0xfb81a8(0x1315)+_0x3dcce2['statusText']:_0xfb81a8(0x698),'msg':_0x3dcce2[_0xfb81a8(0x107b)]?JSON['stringify'](_0x3dcce2[_0xfb81a8(0x524)]):_0x3dcce2[_0xfb81a8(0xd5f)]()});});}let _0x4d73d6=!![],_0x322d65=0x1;_0xb18419[_0x3deaec(0x21e8)]('vm.query.filter',function(_0x190c0e,_0x1fa95f){const _0x46e62c=_0x3deaec;_0x4d73d6?_0x1acb43(function(){_0x4d73d6=![];}):(!_0x1fa95f&&(_0x322d65=_0x267aec[_0x46e62c(0x1a56)][_0x46e62c(0x844)]),_0x190c0e!==_0x1fa95f&&(_0x267aec[_0x46e62c(0x1a56)][_0x46e62c(0x844)]=0x1),!_0x190c0e&&(_0x267aec[_0x46e62c(0x1a56)][_0x46e62c(0x844)]=_0x322d65),_0x267aec[_0x46e62c(0x28d2)]());});function _0x37710e(_0x17ca34){const _0x14eab2=_0x3deaec;_0x267aec[_0x14eab2(0x1ebb)]=_0x17ca34||{'count':0x0,'rows':[]};}function _0xc9c61e(){const _0x5cb01d=_0x3deaec;_0x267aec[_0x5cb01d(0x1a56)][_0x5cb01d(0x145d)]=(_0x267aec[_0x5cb01d(0x1a56)][_0x5cb01d(0x844)]-0x1)*_0x267aec['query'][_0x5cb01d(0x221e)],_0x1371ec[_0x5cb01d(0x23e0)](_0x5cb01d(0x174b))?_0x267aec[_0x5cb01d(0xb9c)]=_0x50b225['openchannelQueue'][_0x5cb01d(0x16b4)](_0x267aec['query'],_0x37710e)['$promise']:(_0x267aec[_0x5cb01d(0x1a56)]['id']=_0x267aec[_0x5cb01d(0x26b6)]['id'],_0x267aec['query']['section']=_0x5cb01d(0x8d8),_0x267aec[_0x5cb01d(0xb9c)]=_0x50b225[_0x5cb01d(0x26b6)][_0x5cb01d(0x158f)](_0x267aec[_0x5cb01d(0x1a56)],_0x37710e)[_0x5cb01d(0x2945)]);}function _0x536510(_0x4acf3b,_0x14ec92){const _0x2f5f1b=_0x3deaec;_0x1e1bbb[_0x2f5f1b(0x2615)]({'controller':_0x2f5f1b(0x785),'controllerAs':'vm','templateUrl':_0x25f87f,'parent':angular[_0x2f5f1b(0x1853)](_0x34e2ab[_0x2f5f1b(0x2586)]),'targetEvent':_0x4acf3b,'clickOutsideToClose':!![],'locals':{'openchannelQueue':_0x14ec92,'openchannelQueues':_0x267aec[_0x2f5f1b(0x1ebb)]['rows'],'license':_0x267aec['license'],'setting':_0x267aec[_0x2f5f1b(0x15b9)],'crudPermissions':_0x267aec[_0x2f5f1b(0x2514)]}});}function _0x24559d(_0x2d3bf9){const _0x311746=_0x3deaec;_0x50b225['openchannelQueue'][_0x311746(0x1fac)]({'id':_0x2d3bf9['id']})[_0x311746(0x2945)]['then'](function(){const _0x3baf0c=_0x311746;_0x3f65c0()[_0x3baf0c(0x2640)](_0x267aec[_0x3baf0c(0x1ebb)][_0x3baf0c(0x19c7)],{'id':_0x2d3bf9['id']}),_0x267aec[_0x3baf0c(0x1ebb)][_0x3baf0c(0x51c)]-=0x1,!_0x267aec[_0x3baf0c(0x1ebb)][_0x3baf0c(0x19c7)][_0x3baf0c(0x402)]&&_0x267aec[_0x3baf0c(0x28d2)](),_0x15d81c[_0x3baf0c(0x1c75)]({'title':_0x3f65c0()[_0x3baf0c(0x20d1)]('OpenchannelQueue')+_0x3baf0c(0x201c),'msg':_0x2d3bf9['name']?_0x2d3bf9[_0x3baf0c(0x19eb)]+_0x3baf0c(0x23e3):''});})[_0x311746(0x129e)](function(_0x3ce18b){const _0x145f7c=_0x311746;if(_0x3ce18b[_0x145f7c(0x524)]&&_0x3ce18b[_0x145f7c(0x524)][_0x145f7c(0xcef)]&&_0x3ce18b[_0x145f7c(0x524)][_0x145f7c(0xcef)][_0x145f7c(0x402)]){_0x267aec['errors']=_0x3ce18b['data']['errors']||[{'message':_0x3ce18b[_0x145f7c(0xd5f)](),'type':_0x145f7c(0x21c6)}];for(let _0x99ba08=0x0;_0x99ba08<_0x3ce18b['data']['errors'][_0x145f7c(0x402)];_0x99ba08++){_0x15d81c[_0x145f7c(0x1980)]({'title':_0x3ce18b[_0x145f7c(0x524)]['errors'][_0x99ba08][_0x145f7c(0x1142)],'msg':_0x3ce18b[_0x145f7c(0x524)][_0x145f7c(0xcef)][_0x99ba08][_0x145f7c(0x7fd)]});}}else _0x15d81c['error']({'title':_0x3ce18b[_0x145f7c(0x107b)]?_0x145f7c(0x262a)+_0x3ce18b[_0x145f7c(0x107b)]+_0x145f7c(0x1315)+_0x3ce18b['statusText']:_0x145f7c(0x21c6),'msg':_0x3ce18b[_0x145f7c(0x524)]?JSON[_0x145f7c(0x10bb)](_0x3ce18b['data'][_0x145f7c(0x7fd)]):_0x3ce18b[_0x145f7c(0x7fd)]||_0x3ce18b[_0x145f7c(0xd5f)]()});});}function _0x24e698(){const _0xfe4167=_0x3deaec,_0x37cc94=angular[_0xfe4167(0x235a)](_0x267aec['selectedOpenchannelQueues']);return _0x267aec[_0xfe4167(0x1a4d)]=[],_0x37cc94;}function _0x17f353(_0xc163ad){const _0x504d64=_0x3deaec,_0x31be22=_0x1e1bbb['confirm']()[_0x504d64(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20openchannelQueues?')[_0x504d64(0x1cbe)](_0x504d64(0x16d3)+_0x267aec[_0x504d64(0x1a4d)][_0x504d64(0x402)]+_0x504d64(0x2452)+'\x20will\x20be\x20deleted.')[_0x504d64(0x4bd)](_0x504d64(0x2139))[_0x504d64(0x1f27)](_0xc163ad)['ok']('OK')[_0x504d64(0x6c3)](_0x504d64(0x39a));_0x1e1bbb[_0x504d64(0x2615)](_0x31be22)['then'](function(){_0x267aec['selectedOpenchannelQueues']['forEach'](function(_0x3e2fa7){_0x24559d(_0x3e2fa7);}),_0x267aec['selectedOpenchannelQueues']=[];});}function _0x3a5e13(){_0x267aec['selectedOpenchannelQueues']=[];}function _0x15002e(){const _0x12d2b2=_0x3deaec;_0x267aec[_0x12d2b2(0x1a4d)]=_0x267aec[_0x12d2b2(0x1ebb)][_0x12d2b2(0x19c7)];}}const _0x222dd1=_0x5bf6aa;;_0x5db190['$inject']=[_0x313a4d(0x921),_0x313a4d(0x695)];function _0x5db190(_0x29c9e9,_0x348c46){const _0xca8dc2=_0x313a4d;_0x29c9e9['state'](_0xca8dc2(0xf79),{'abstract':!![],'url':_0xca8dc2(0x1b8a)})['state'](_0xca8dc2(0x18ff),{'url':_0xca8dc2(0x1e4),'views':{'content@app':{'templateUrl':_0x154e0c,'controller':_0xca8dc2(0x1b88)}},'resolve':{'openchannelQueues':[_0xca8dc2(0x362),'Auth',function(_0x598442,_0x188834){const _0x2e0e29=_0xca8dc2;return _0x188834['hasRole'](_0x2e0e29(0x174b))?_0x598442[_0x2e0e29(0x2922)](_0x2e0e29(0x385),{'fields':'createdAt,updatedAt,id,name,strategy,timeout,description','sort':_0x2e0e29(0x12f2),'channel':_0x2e0e29(0x7d9),'limit':0xa,'offset':0x0}):_0x598442[_0x2e0e29(0x2922)]('userProfile@getResources',{'id':_0x188834['getCurrentUser']()[_0x2e0e29(0x209a)],'section':_0x2e0e29(0x8d8),'fields':_0x2e0e29(0xa22),'sort':_0x2e0e29(0x12f2),'channel':'openchannel','limit':0xa,'offset':0x0});}],'userProfile':['apiResolver',_0xca8dc2(0xa87),function(_0x5b403f,_0x574039){const _0x24d35b=_0xca8dc2;return _0x574039[_0x24d35b(0x23e0)]('admin')?null:_0x5b403f[_0x24d35b(0x2922)](_0x24d35b(0x119a),{'fields':'id,name,crudPermissions','id':_0x574039[_0x24d35b(0xb12)]()['userProfileId']});}],'userProfileSection':['apiResolver',_0xca8dc2(0xa87),function(_0x4c879a,_0x433250){const _0x5f08cb=_0xca8dc2;return _0x433250['hasRole']('admin')?null:_0x4c879a[_0x5f08cb(0x2922)](_0x5f08cb(0x27be),{'fields':_0x5f08cb(0x1e64),'userProfileId':_0x433250['getCurrentUser']()[_0x5f08cb(0x209a)],'sectionId':0x321});}]},'authenticate':!![],'permissionId':0x321,'bodyClass':_0xca8dc2(0x7d9)})[_0xca8dc2(0x13d6)](_0xca8dc2(0x1a3),{'url':_0xca8dc2(0x2028),'params':{'openchannelQueue':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x408671,'controller':_0xca8dc2(0x107e)}},'resolve':{'openchannelQueue':['apiResolver',_0xca8dc2(0x28c8),function(_0x540a17,_0x3e41de){const _0x52ac08=_0xca8dc2;return _0x540a17[_0x52ac08(0x2922)]('openchannelQueue@get',{'fields':_0x52ac08(0xa22),'id':_0x3e41de['id']});}],'userProfileSection':[_0xca8dc2(0x362),_0xca8dc2(0xa87),function(_0x1756ea,_0x42c798){const _0x43056e=_0xca8dc2;return _0x1756ea[_0x43056e(0x2922)](_0x43056e(0x27be),{'fields':_0x43056e(0x1e64),'userProfileId':_0x42c798[_0x43056e(0xb12)]()['userProfileId'],'sectionId':0x321});}]},'authenticate':!![],'permissionId':0x321,'bodyClass':_0xca8dc2(0x7d9)})['state']('app.openchannel.openchannelAccounts',{'url':_0xca8dc2(0xd78),'views':{'content@app':{'templateUrl':_0x5bf622,'controller':_0xca8dc2(0x18e5)}},'resolve':{'openchannelAccounts':[_0xca8dc2(0x362),_0xca8dc2(0xa87),function(_0x7ea655,_0x185686){const _0x301800=_0xca8dc2;return _0x185686[_0x301800(0x23e0)](_0x301800(0x174b))?_0x7ea655[_0x301800(0x2922)](_0x301800(0x14c3),{'fields':_0x301800(0x5cb),'sort':_0x301800(0x12f2),'limit':0xa,'offset':0x0}):_0x7ea655[_0x301800(0x2922)](_0x301800(0x938),{'id':_0x185686[_0x301800(0xb12)]()[_0x301800(0x209a)],'section':_0x301800(0x5f9),'fields':_0x301800(0x5cb),'sort':_0x301800(0x12f2),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver',_0xca8dc2(0xa87),function(_0x135bcc,_0x2eb7b5){const _0x151eef=_0xca8dc2;return _0x2eb7b5[_0x151eef(0x23e0)](_0x151eef(0x174b))?null:_0x135bcc['resolve']('userProfile@get',{'fields':_0x151eef(0x227),'id':_0x2eb7b5[_0x151eef(0xb12)]()[_0x151eef(0x209a)]});}],'userProfileSection':['apiResolver','Auth',function(_0x28bf87,_0x1f02e0){const _0x45570c=_0xca8dc2;return _0x1f02e0[_0x45570c(0x23e0)](_0x45570c(0x174b))?null:_0x28bf87['resolve'](_0x45570c(0x27be),{'fields':_0x45570c(0x1e64),'userProfileId':_0x1f02e0['getCurrentUser']()[_0x45570c(0x209a)],'sectionId':0x322});}]},'authenticate':!![],'permissionId':0x322,'bodyClass':_0xca8dc2(0x7d9)})['state']('app.openchannel.openchannelAccounts.edit',{'url':_0xca8dc2(0x2028),'params':{'openchannelAccount':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x200e72,'controller':_0xca8dc2(0xcba)}},'resolve':{'openchannelAccount':['apiResolver',_0xca8dc2(0x28c8),function(_0x25633c,_0x1debb6){const _0x34991f=_0xca8dc2;return _0x25633c[_0x34991f(0x2922)](_0x34991f(0x14c3),{'fields':_0x34991f(0x5cb),'id':_0x1debb6['id']});}],'userProfileSection':[_0xca8dc2(0x362),_0xca8dc2(0xa87),function(_0x4ab0c6,_0x2c4d90){const _0x52ff86=_0xca8dc2;return _0x4ab0c6[_0x52ff86(0x2922)](_0x52ff86(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x2c4d90['getCurrentUser']()['userProfileId'],'sectionId':0x322});}]},'authenticate':!![],'permissionId':0x322,'bodyClass':_0xca8dc2(0x7d9)}),_0x348c46['addPart'](_0xca8dc2(0x1604));}angular[_0x313a4d(0x2528)]('app.openchannel',[_0x313a4d(0xdad),_0x313a4d(0x962),'md.data.table',_0x313a4d(0x963),_0x313a4d(0x19e2),_0x313a4d(0x27c1),_0x313a4d(0x195b),_0x313a4d(0x15ef),_0x313a4d(0xc86),'mdPickers',_0x313a4d(0x28a8),_0x313a4d(0xfb2),'mwFormUtils',_0x313a4d(0x581),'angular-cron-jobs',_0x313a4d(0x1792),_0x313a4d(0x1b65),_0x313a4d(0x1626),'chart.js',_0x313a4d(0x11df),_0x313a4d(0x13c8)])[_0x313a4d(0x989)](_0x5db190)[_0x313a4d(0x28f0)](_0x313a4d(0x264e),_0x23bde7)[_0x313a4d(0x28f0)](_0x313a4d(0x1635),_0x4e1374)['controller'](_0x313a4d(0x602),_0x50a451)[_0x313a4d(0x28f0)](_0x313a4d(0x10bd),_0x96f830)['controller'](_0x313a4d(0x1fbd),_0x538807)[_0x313a4d(0x28f0)]('EditOpenchannelAccountAppautoreplyDialogController',_0x4d28a8)[_0x313a4d(0x28f0)](_0x313a4d(0x19d3),_0x8e2c3b)['controller'](_0x313a4d(0x14ba),_0x535c61)[_0x313a4d(0x28f0)](_0x313a4d(0xc13),_0x3886b3)['controller'](_0x313a4d(0x1385),_0x1739ef)[_0x313a4d(0x28f0)](_0x313a4d(0x4ac),_0x914af5)['controller'](_0x313a4d(0x1097),_0x430714)[_0x313a4d(0x28f0)](_0x313a4d(0x22d4),_0x5c823b)['controller'](_0x313a4d(0xb8c),_0x4fa93a)[_0x313a4d(0x28f0)]('EditOpenchannelAccountApptagDialogController',_0x448adf)[_0x313a4d(0x28f0)](_0x313a4d(0x1762),_0x4cbea8)['controller'](_0x313a4d(0x12bc),_0x56bfcc)[_0x313a4d(0x28f0)]('CreateOrEditOpenchannelCannedAnswerDialogController',_0x580e87)[_0x313a4d(0x28f0)](_0x313a4d(0x1636),_0x55b9a9)[_0x313a4d(0x28f0)](_0x313a4d(0x1a35),_0x5b31dc)[_0x313a4d(0x28f0)](_0x313a4d(0x132b),_0x4eca30)['controller']('CreateOrEditOpenchannelQueueDialogController',_0x4f6068)[_0x313a4d(0x28f0)](_0x313a4d(0x19af),_0x15dbbc)[_0x313a4d(0x28f0)](_0x313a4d(0x59e),_0x40ff9b)[_0x313a4d(0x28f0)]('OpenchannelQueueController',_0x5edcc8)[_0x313a4d(0x28f0)]('OpenchannelQueuesController',_0x222dd1);;const _0x448a9f=_0x4acfac['p']+'src/js/modules/main/apps/fax/views/faxQueues/faxQueues.html/faxQueues.html';;const _0x21532f=_0x4acfac['p']+'src/js/modules/main/apps/fax/views/faxQueues/edit/view.html/view.html';;const _0x563ef6=_0x4acfac['p']+_0x313a4d(0x1f00);;const _0x480cfa=_0x4acfac['p']+_0x313a4d(0x726);;const _0x145bff=_0x4acfac['p']+'src/js/modules/main/apps/fax/views/realtime/realtime.html/realtime.html';;const _0x470d63=_0x4acfac['p']+'src/js/modules/main/apps/fax/views/realtime/agents/view.html/view.html';;const _0x76489b=_0x4acfac['p']+_0x313a4d(0x2598);;const _0x2764ed=_0x4acfac['p']+_0x313a4d(0x242b);;const _0x126a37=_0x4acfac['p']+_0x313a4d(0xcff);;_0x31d082['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1abe),'$mdDialog','$document',_0x313a4d(0x1862),_0x313a4d(0x247f),_0x313a4d(0x279d),_0x313a4d(0x1fe4),'faxAccounts',_0x313a4d(0x4b4),_0x313a4d(0x26b6),'userProfileSection','Auth'];function _0x31d082(_0x5b730a,_0x405e91,_0x4dd2ee,_0x236e48,_0x3971ec,_0x385894,_0x550615,_0x3138c7,_0xcad7bd,_0x216f06,_0x584798,_0x325341,_0x53f77b){const _0x202267=_0x313a4d,_0x4bdc2c=this;_0x4bdc2c[_0x202267(0x2321)]=_0x53f77b['getCurrentUser'](),_0x4bdc2c['count']=_0xcad7bd&&_0xcad7bd[_0x202267(0x51c)]?_0xcad7bd[_0x202267(0x51c)]:0x0,_0x4bdc2c[_0x202267(0x8a9)]=_0xcad7bd?_0x3f65c0()[_0x202267(0x194)](_0xcad7bd[_0x202267(0x19c7)]?_0xcad7bd[_0x202267(0x19c7)]:[],'id'):{},_0x4bdc2c[_0x202267(0x4b4)]=_0x216f06?_0x3f65c0()[_0x202267(0x194)](_0x216f06[_0x202267(0x19c7)]?_0x216f06[_0x202267(0x19c7)]:[],'id'):{},_0x4bdc2c['userProfile']=_0x584798,_0x4bdc2c[_0x202267(0x1366)]=_0x325341&&_0x325341[_0x202267(0x51c)]==0x1?_0x325341[_0x202267(0x19c7)][0x0]:null,_0x4bdc2c[_0x202267(0x2514)]=_0x53f77b[_0x202267(0xe60)](_0x4bdc2c[_0x202267(0x1366)]?_0x4bdc2c[_0x202267(0x1366)][_0x202267(0x2514)]:null),_0x4bdc2c['query']={'sort':'-name','limit':0xa,'page':0x1},_0x4bdc2c[_0x202267(0x1c75)]=_0x5b47ed,_0x4bdc2c[_0x202267(0x20de)]=_0x3a4f1c,_0x4bdc2c[_0x202267(0x12d0)]=_0x28e6bd,_0x4bdc2c[_0x202267(0x1db0)]=_0x18906e,_0x4bdc2c[_0x202267(0x7fa)]=_0x20451d,_0x4bdc2c['goToFaxAccount']=_0x3eeca0,_0x4bdc2c[_0x202267(0x206e)]=[],_0x3f65c0()['forIn'](_0x4bdc2c[_0x202267(0x8a9)],function(_0x5b70ce){const _0x5ca4e7=_0x202267;_0x4bdc2c[_0x5ca4e7(0x206e)]['push'](_0x5b70ce['id']);}),_0x550615['on'](_0x202267(0x3e2),_0x4bdc2c[_0x202267(0x1db0)]),_0x550615['on'](_0x202267(0x549),_0x4bdc2c[_0x202267(0x1db0)]),_0x550615['on'](_0x202267(0x1ed5),_0x4bdc2c[_0x202267(0x7fa)]);function _0x3a4f1c(){const _0x14399c=_0x202267;_0x3f65c0()[_0x14399c(0x1a04)](_0x4bdc2c[_0x14399c(0x8a9)],function(_0x2587f7,_0x3f76b9){const _0x33cb13=_0x14399c;_0x4bdc2c[_0x33cb13(0x4b4)][_0x3f76b9]&&_0x3f65c0()[_0x33cb13(0x168d)](_0x2587f7,_0x4bdc2c[_0x33cb13(0x4b4)][_0x3f76b9]);}),_0x3f65c0()['forIn'](_0x4bdc2c[_0x14399c(0x8a9)],function(_0x1e9ed0,_0x22609c){const _0x4d60b1=_0x14399c,_0x2e4053={'id':_0x22609c,'sort':'-id','direction':'in','limit':0x1,'offset':0x0,'$gte':_0x4d60b1(0xcfd)+_0x2deec6()()['startOf'](_0x4d60b1(0x1066))['format'](_0x4d60b1(0x1ce2))};_0x385894[_0x4d60b1(0x178)][_0x4d60b1(0x1c0f)](_0x2e4053)[_0x4d60b1(0x2945)][_0x4d60b1(0x146b)](function(_0x4d0aa3){const _0x1ff7d1=_0x4d60b1;return _0x4d0aa3&&(_0x1e9ed0['in']=_0x4d0aa3[_0x1ff7d1(0x51c)]||0x0,_0x1e9ed0[_0x1ff7d1(0x13c0)]=_0x4d0aa3[_0x1ff7d1(0x19c7)]&&_0x4d0aa3['rows'][_0x1ff7d1(0x402)]?_0x4d0aa3[_0x1ff7d1(0x19c7)][0x0][_0x1ff7d1(0xc68)]:undefined),_0x2e4053[_0x1ff7d1(0x26cc)]=_0x1ff7d1(0x147f),_0x385894['faxAccount']['getMessages'](_0x2e4053)['$promise'];})[_0x4d60b1(0x146b)](function(_0x68ee9f){const _0x2d5459=_0x4d60b1;_0x68ee9f&&(_0x1e9ed0[_0x2d5459(0x147f)]=_0x68ee9f[_0x2d5459(0x51c)]||0x0,_0x1e9ed0[_0x2d5459(0x20c9)]=_0x68ee9f[_0x2d5459(0x19c7)]&&_0x68ee9f[_0x2d5459(0x19c7)][_0x2d5459(0x402)]?_0x68ee9f['rows'][0x0][_0x2d5459(0xc68)]:undefined);})[_0x4d60b1(0x129e)](function(_0x23d275){const _0x575442=_0x4d60b1;console[_0x575442(0x1a74)](_0x23d275);});});}function _0x18906e(_0x57e381){const _0x44b778=_0x202267;_0x4bdc2c['faxAccounts'][_0x57e381['id']]&&_0x3f65c0()[_0x44b778(0x168d)](_0x4bdc2c['faxAccounts'][_0x57e381['id']],_0x57e381);}function _0x20451d(_0x13dfff){const _0x27ba91=_0x202267;_0x4bdc2c[_0x27ba91(0x8a9)][_0x13dfff[_0x27ba91(0x74d)]]&&(_0x13dfff['direction']=='in'?(_0x4bdc2c[_0x27ba91(0x8a9)][_0x13dfff[_0x27ba91(0x74d)]]['in']+=0x1,_0x4bdc2c[_0x27ba91(0x8a9)][_0x13dfff[_0x27ba91(0x74d)]][_0x27ba91(0x13c0)]=_0x13dfff['createdAt']):(_0x4bdc2c[_0x27ba91(0x8a9)][_0x13dfff['FaxAccountId']][_0x27ba91(0x147f)]+=0x1,_0x4bdc2c[_0x27ba91(0x8a9)][_0x13dfff[_0x27ba91(0x74d)]][_0x27ba91(0x20c9)]=_0x13dfff[_0x27ba91(0xc68)]));}function _0x3eeca0(_0x120eef,_0x4e2efb){_0x3971ec['go']('app.fax.faxAccounts.edit',{'id':_0x4e2efb['id'],'faxAccount':_0x4e2efb});}let _0x2534af=!![],_0x30690e=0x1;_0x5b730a['$watch'](_0x202267(0x2669),function(_0x3166fd,_0x19c741){const _0x30a175=_0x202267;_0x2534af?_0x405e91(function(){_0x2534af=![];}):(!_0x19c741&&(_0x30690e=_0x4bdc2c[_0x30a175(0x1a56)][_0x30a175(0x844)]),_0x3166fd!==_0x19c741&&(_0x4bdc2c[_0x30a175(0x1a56)]['page']=0x1),!_0x3166fd&&(_0x4bdc2c[_0x30a175(0x1a56)][_0x30a175(0x844)]=_0x30690e),_0x4bdc2c[_0x30a175(0x12d0)]());});function _0x5b47ed(_0x5d2dfa){const _0x4aaac6=_0x202267;_0x4bdc2c[_0x4aaac6(0x8a9)]=_0x5d2dfa?_0x3f65c0()['keyBy'](_0x5d2dfa[_0x4aaac6(0x19c7)]?_0x5d2dfa[_0x4aaac6(0x19c7)]:[],'id'):{};if(_0x5d2dfa[_0x4aaac6(0x19c7)]){_0x4bdc2c['order']=[];for(let _0x3821fc=0x0;_0x3821fc<_0x5d2dfa['rows']['length'];_0x3821fc++){_0x4bdc2c['order'][_0x4aaac6(0x1f47)](_0x5d2dfa[_0x4aaac6(0x19c7)][_0x3821fc]['id']);}}_0x3a4f1c();}function _0x28e6bd(){const _0x224267=_0x202267;_0x4bdc2c[_0x224267(0x1a56)][_0x224267(0x145d)]=(_0x4bdc2c['query'][_0x224267(0x844)]-0x1)*_0x4bdc2c[_0x224267(0x1a56)][_0x224267(0x221e)],_0x53f77b[_0x224267(0x23e0)]('admin')?_0x4bdc2c[_0x224267(0xb9c)]=_0x385894['faxAccount'][_0x224267(0x16b4)](_0x4bdc2c[_0x224267(0x1a56)],_0x5b47ed)[_0x224267(0x2945)]:(_0x4bdc2c[_0x224267(0x1a56)]['id']=_0x4bdc2c[_0x224267(0x26b6)]['id'],_0x4bdc2c['query'][_0x224267(0x2146)]=_0x224267(0x17d1),_0x4bdc2c[_0x224267(0xb9c)]=_0x385894[_0x224267(0x26b6)][_0x224267(0x158f)](_0x4bdc2c[_0x224267(0x1a56)],_0x5b47ed)[_0x224267(0x2945)]);}_0x5b730a[_0x202267(0x16ad)]('$destroy',function(){const _0x5ec7ef=_0x202267;_0x550615[_0x5ec7ef(0x1c5f)](_0x5ec7ef(0x3e2)),_0x550615[_0x5ec7ef(0x1c5f)](_0x5ec7ef(0x549)),_0x550615[_0x5ec7ef(0x1c5f)](_0x5ec7ef(0x1ed5));});}const _0x370e10=_0x31d082;;_0x517849['$inject']=[_0x313a4d(0x910),'$q',_0x313a4d(0x1abe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),'$filter',_0x313a4d(0x23bb),'agents',_0x313a4d(0x2445),_0x313a4d(0x247f),_0x313a4d(0x279d),_0x313a4d(0x1fe4),_0x313a4d(0x1ac0),_0x313a4d(0x26b6),'userProfileSection',_0x313a4d(0xa87),'license','$interval'];function _0x517849(_0x3ae25f,_0x32f55c,_0x2e395c,_0x25d48c,_0x32a994,_0x5b7fb3,_0x28e208,_0x52b806,_0x5c61c6,_0xe316d5,_0x2877b9,_0x3677be,_0x30046a,_0x5e5700,_0x4d7ef2,_0x4c8bc2,_0x1922a1,_0x48d456){const _0x37eff9=_0x313a4d,_0x49e1f3=this,_0x21f653=[_0x37eff9(0x1fce),'online',_0x37eff9(0x1d01),'lastPauseAt','pauseType','lastPauseAt','internal',_0x37eff9(0x2bb),_0x37eff9(0x5f7),_0x37eff9(0xcc5),_0x37eff9(0x1e9e),_0x37eff9(0x17c),_0x37eff9(0x1f9d),_0x37eff9(0x25c4),_0x37eff9(0x211c),'chatCapacity',_0x37eff9(0x15fd),_0x37eff9(0xf85),'smsCapacity','whatsappCapacity','faxCapacity',_0x37eff9(0xaac),_0x37eff9(0x247),'mailCurrentCapacity',_0x37eff9(0x2e2),'whatsappCurrentCapacity',_0x37eff9(0x1815),_0x37eff9(0xfc3),_0x37eff9(0xfae),'mailStatus','smsStatus',_0x37eff9(0xfdf),_0x37eff9(0x15ea),_0x37eff9(0x685),'chatStatusTime','openchannelStatusTime','mailStatusTime',_0x37eff9(0x1f5c),_0x37eff9(0xadd),_0x37eff9(0x47a),_0x37eff9(0x1dda),_0x37eff9(0x1c72),_0x37eff9(0x1e8d),_0x37eff9(0x1ca2),'phoneBarEnableScreenRecordingByAgent',_0x37eff9(0x22c3)],_0x1e7e64=[_0x37eff9(0x5f7),'openchannelPause',_0x37eff9(0x1e9e),_0x37eff9(0x17c),_0x37eff9(0x1f9d),'faxPause',_0x37eff9(0x211c),_0x37eff9(0x538)];_0x49e1f3[_0x37eff9(0x2321)]=_0x4c8bc2[_0x37eff9(0xb12)](),_0x49e1f3['availableStates']=[_0x37eff9(0xad4),_0x37eff9(0xfe4),_0x37eff9(0x26a7),_0x37eff9(0x776),'not_inuse'],_0x49e1f3[_0x37eff9(0x23bb)]=_0x28e208||{'count':0x0,'rows':[]},_0x49e1f3[_0x37eff9(0x2690)]=_0x1922a1,_0x49e1f3[_0x37eff9(0x26b6)]=_0x5e5700,_0x49e1f3[_0x37eff9(0x1366)]=_0x4d7ef2&&_0x4d7ef2[_0x37eff9(0x51c)]==0x1?_0x4d7ef2[_0x37eff9(0x19c7)][0x0]:null,_0x49e1f3['crudPermissions']=_0x4c8bc2[_0x37eff9(0xe60)](_0x49e1f3['userProfileSection']?_0x49e1f3[_0x37eff9(0x1366)][_0x37eff9(0x2514)]:null),_0x49e1f3[_0x37eff9(0x23c0)]=_0x52b806?_0x3f65c0()[_0x37eff9(0x194)](_0x52b806[_0x37eff9(0x19c7)]?_0x52b806[_0x37eff9(0x19c7)]:[],'id'):{},_0x49e1f3[_0x37eff9(0x2445)]=_0x5c61c6?_0x3f65c0()[_0x37eff9(0x194)](_0x5c61c6['rows']?_0x5c61c6[_0x37eff9(0x19c7)]:[],'id'):{},_0x49e1f3[_0x37eff9(0x285)]={},_0x49e1f3[_0x37eff9(0x2412)]=![],_0x49e1f3['order']=[],_0x49e1f3[_0x37eff9(0x626)]={};for(const _0x1347bb in _0x49e1f3['agents']){typeof _0x49e1f3['agents'][_0x1347bb]!=='undefined'&&(_0x49e1f3[_0x37eff9(0x23c0)][_0x1347bb][_0x37eff9(0x5aa)]=!![]);}_0x49e1f3[_0x37eff9(0x1a56)]={'limit':0xa,'page':0x1,'order':_0x37eff9(0x286a),'globalStatusFilter':'','pauseTypeFilter':''},_0x49e1f3[_0x37eff9(0x2044)]=_0x4413bd,_0x49e1f3[_0x37eff9(0x2677)]=_0x2b004c,_0x49e1f3[_0x37eff9(0x1e3e)]=_0xa00500,_0x49e1f3[_0x37eff9(0x693)]=_0x5e2fd8,_0x49e1f3[_0x37eff9(0x232c)]=_0x5651af,_0x49e1f3[_0x37eff9(0x1eaa)]=_0x53ba4c,_0x49e1f3[_0x37eff9(0x1775)]=_0x138691,_0x49e1f3[_0x37eff9(0x2075)]=_0x524700,_0x49e1f3['checkPause']=_0xff42d,_0x49e1f3[_0x37eff9(0x1d53)]=_0x477212,_0x49e1f3[_0x37eff9(0x1db0)]=_0x46033e,_0x49e1f3['onUpdate']=_0x10cca7,_0x49e1f3[_0x37eff9(0x3d4)]=_0x35d4b2,_0x49e1f3['onConnect']=_0x1d60ba,_0x49e1f3[_0x37eff9(0x483)]=_0x1ed4b2,_0x49e1f3[_0x37eff9(0x1b80)]=_0x5cde8e,_0x2877b9['on'](_0x37eff9(0x17de),_0x49e1f3[_0x37eff9(0x1db0)]),_0x2877b9['on'](_0x37eff9(0x324),_0x49e1f3[_0x37eff9(0x1a76)]),_0x2877b9['on'](_0x37eff9(0x118c),_0x49e1f3[_0x37eff9(0x201a)]),_0x2877b9['on'](_0x37eff9(0x198f),_0x49e1f3[_0x37eff9(0x483)]),_0x35d4b2();let _0x2873a5=_0x48d456(function(){const _0x29f25a=_0x37eff9;_0x49e1f3['load']&&(_0x49e1f3[_0x29f25a(0x2412)]=![],_0x35d4b2());},0x3e7);function _0x35d4b2(){const _0x1484fc=_0x37eff9;_0x49e1f3[_0x1484fc(0x2412)]=![];const _0x31c7d4=_0x32f55c[_0x1484fc(0xce3)]();_0x49e1f3[_0x1484fc(0xb9c)]=_0x31c7d4[_0x1484fc(0xb9c)],_0x49e1f3[_0x1484fc(0x202e)]=[],_0x49e1f3['order']=[],_0x3f65c0()[_0x1484fc(0x1a04)](_0x49e1f3[_0x1484fc(0x23c0)],function(_0x14f33b,_0x5b3a93){const _0x3a5cd5=_0x1484fc;_0x49e1f3['rpcAgents'][_0x5b3a93]&&_0x3f65c0()['merge'](_0x14f33b,_0x3f65c0()[_0x3a5cd5(0x40e)](_0x3f65c0()[_0x3a5cd5(0x2432)](_0x49e1f3[_0x3a5cd5(0x2445)][_0x5b3a93],_0x1e7e64),_0x21f653)),_0x138691(_0x14f33b),_0x14f33b[_0x3a5cd5(0x538)]?(_0x49e1f3['filteredAgents'][_0x3a5cd5(0x1f47)](_0x14f33b),_0x49e1f3['agentInternal'][_0x14f33b[_0x3a5cd5(0x113f)]]=_0x5b3a93):_0x14f33b[_0x3a5cd5(0xed1)]=_0x3f65c0()['toNumber'](_0x2deec6()()['format']('x'));});_0x49e1f3[_0x1484fc(0x1a56)][_0x1484fc(0x1500)]&&_0x3f65c0()[_0x1484fc(0x2640)](_0x49e1f3[_0x1484fc(0x202e)],function(_0x2986a8){const _0x16db56=_0x1484fc;return _0x2986a8[_0x16db56(0x72b)]!==_0x49e1f3[_0x16db56(0x1a56)][_0x16db56(0x1500)];});_0x49e1f3['query'][_0x1484fc(0x301)]&&_0x3f65c0()[_0x1484fc(0x2640)](_0x49e1f3[_0x1484fc(0x202e)],function(_0x13f814){const _0x2e154f=_0x1484fc;return _0x13f814['pauseType']!==_0x49e1f3[_0x2e154f(0x1a56)][_0x2e154f(0x301)];});_0x49e1f3[_0x1484fc(0x1a56)]['filter']&&_0x3f65c0()['remove'](_0x49e1f3['filteredAgents'],function(_0xa67289){const _0x407c2e=_0x1484fc;return _0xa67289[_0x407c2e(0x286a)][_0x407c2e(0x256e)]()[_0x407c2e(0x172b)](_0x49e1f3[_0x407c2e(0x1a56)][_0x407c2e(0x1dd6)][_0x407c2e(0x256e)]())<0x0;});_0x49e1f3[_0x1484fc(0x202e)]=_0x40851c(_0x49e1f3[_0x1484fc(0x202e)]);const _0x1248a6=(_0x49e1f3['query'][_0x1484fc(0x844)]-0x1)*_0x49e1f3['query'][_0x1484fc(0x221e)];_0x49e1f3[_0x1484fc(0x285)]=_0x3f65c0()[_0x1484fc(0x854)](_0x49e1f3[_0x1484fc(0x202e)],_0x1248a6)['slice'](0x0,_0x49e1f3[_0x1484fc(0x1a56)]['limit']);for(let _0x45cde5=0x0;_0x45cde5<_0x49e1f3[_0x1484fc(0x285)][_0x1484fc(0x402)];_0x45cde5+=0x1){_0x49e1f3[_0x1484fc(0x206e)][_0x1484fc(0x1f47)](_0x49e1f3[_0x1484fc(0x285)][_0x45cde5]['id']);}_0x31c7d4[_0x1484fc(0x2922)](),_0x49e1f3['load']=!![];}function _0x46033e(_0x2efc02){const _0x222c32=_0x37eff9;_0x49e1f3[_0x222c32(0x23c0)][_0x2efc02['id']]&&(_0x3f65c0()[_0x222c32(0x168d)](_0x49e1f3[_0x222c32(0x23c0)][_0x2efc02['id']],_0x3f65c0()['pick'](_0x3f65c0()[_0x222c32(0x2432)](_0x2efc02,_0x1e7e64),_0x21f653)),_0x3f65c0()[_0x222c32(0x168d)](_0x49e1f3['rpcAgents'][_0x2efc02['id']],_0x3f65c0()[_0x222c32(0x40e)](_0x3f65c0()[_0x222c32(0x2432)](_0x2efc02,_0x1e7e64),_0x21f653)),_0x138691(_0x49e1f3[_0x222c32(0x23c0)][_0x2efc02['id']]));}function _0x10cca7(_0x104784){const _0x3c5807=_0x37eff9;_0x49e1f3['agents'][_0x104784['id']]&&(_0x3f65c0()[_0x3c5807(0x168d)](_0x49e1f3[_0x3c5807(0x23c0)][_0x104784['id']],_0x3f65c0()[_0x3c5807(0x40e)](_0x104784,_0x21f653)),_0x3f65c0()[_0x3c5807(0x168d)](_0x49e1f3[_0x3c5807(0x2445)][_0x104784['id']],_0x3f65c0()[_0x3c5807(0x40e)](_0x104784,_0x21f653)),_0x104784[_0x3c5807(0x192a)]&&(_0x49e1f3['agents'][_0x104784['id']][_0x3c5807(0xed1)]=_0x104784['lastPauseAt'],_0x49e1f3[_0x3c5807(0x2445)][_0x104784['id']][_0x3c5807(0xed1)]=_0x104784[_0x3c5807(0x192a)]),_0x138691(_0x49e1f3['agents'][_0x104784['id']]));}function _0x1d60ba(_0x56cfd9){const _0x2b3f2b=_0x37eff9;_0x49e1f3['agents'][_0x49e1f3[_0x2b3f2b(0x626)][_0x56cfd9[_0x2b3f2b(0x1fb9)]]]&&(_0x3f65c0()[_0x2b3f2b(0x168d)](_0x49e1f3[_0x2b3f2b(0x23c0)][_0x49e1f3[_0x2b3f2b(0x626)][_0x56cfd9[_0x2b3f2b(0x1fb9)]]],_0x3f65c0()['pick'](_0x56cfd9,[_0x2b3f2b(0x1c72),_0x2b3f2b(0x1e8d)])),_0x3f65c0()[_0x2b3f2b(0x168d)](_0x49e1f3['rpcAgents'][_0x49e1f3[_0x2b3f2b(0x626)][_0x56cfd9[_0x2b3f2b(0x1fb9)]]],_0x3f65c0()[_0x2b3f2b(0x40e)](_0x56cfd9,[_0x2b3f2b(0x1c72),_0x2b3f2b(0x1e8d)])));}function _0x1ed4b2(_0x1d4305){const _0x2ffb11=_0x37eff9;_0x49e1f3[_0x2ffb11(0x23c0)][_0x49e1f3['agentInternal'][_0x1d4305['destaccountcode']]]&&(_0x49e1f3['agents'][_0x49e1f3[_0x2ffb11(0x626)][_0x1d4305[_0x2ffb11(0x1fb9)]]]=_0x3f65c0()[_0x2ffb11(0x2432)](_0x49e1f3['agents'][_0x49e1f3['agentInternal'][_0x1d4305[_0x2ffb11(0x1fb9)]]],[_0x2ffb11(0x1c72),_0x2ffb11(0x1e8d)]),_0x49e1f3[_0x2ffb11(0x2445)][_0x49e1f3[_0x2ffb11(0x626)][_0x1d4305[_0x2ffb11(0x1fb9)]]]=_0x3f65c0()[_0x2ffb11(0x2432)](_0x49e1f3[_0x2ffb11(0x2445)][_0x49e1f3['agentInternal'][_0x1d4305[_0x2ffb11(0x1fb9)]]],[_0x2ffb11(0x1c72),_0x2ffb11(0x1e8d)]));}function _0x4413bd(_0x4b6a3c){const _0x5eb560=_0x37eff9;return _0xe316d5[_0x5eb560(0xe7b)][_0x5eb560(0x2044)]({'id':_0x4b6a3c['id'],'device':_0x5eb560(0xfc4),'agent_id':_0x4b6a3c['id'],'agent_name':_0x4b6a3c[_0x5eb560(0x19eb)]})[_0x5eb560(0x2945)]['then'](function(){const _0x382861=_0x5eb560;_0x3677be['success']({'title':_0x382861(0x1c70),'msg':_0x4b6a3c['fullname']+'\x20\x20properly\x20logout'}),_0x49e1f3['agents'][_0x4b6a3c['id']]&&(_0x49e1f3['agents'][_0x4b6a3c['id']][_0x382861(0x538)]=![]),_0x49e1f3['rpcAgents'][_0x4b6a3c['id']]&&(_0x49e1f3[_0x382861(0x2445)][_0x4b6a3c['id']][_0x382861(0x538)]=![]),_0x35d4b2();})[_0x5eb560(0x129e)](function(_0x459806){const _0x30550f=_0x5eb560;_0x3677be[_0x30550f(0x1980)]({'title':_0x459806[_0x30550f(0x107b)]?_0x30550f(0x262a)+_0x459806[_0x30550f(0x107b)]+_0x30550f(0x1315)+_0x459806[_0x30550f(0x167f)]:'api.staff.save','msg':_0x459806['data']?JSON[_0x30550f(0x10bb)](_0x459806[_0x30550f(0x524)][_0x30550f(0x7fd)]):_0x459806[_0x30550f(0xd5f)]()});});}function _0xa00500(_0xe22f21,_0x24cda1){const _0x16a2ae=_0x37eff9;return _0xe316d5[_0x16a2ae(0xe7b)][_0x16a2ae(0x1e3e)]({'id':_0xe22f21['id'],'type':_0x24cda1})[_0x16a2ae(0x2945)][_0x16a2ae(0x146b)](function(_0x5637cc){const _0x347243=_0x16a2ae;_0x49e1f3[_0x347243(0x23c0)][_0xe22f21['id']]&&_0x3f65c0()[_0x347243(0x168d)](_0x49e1f3[_0x347243(0x23c0)][_0xe22f21['id']],_0x3f65c0()['pick'](_0x5637cc,_0x21f653)),_0x49e1f3[_0x347243(0x2445)][_0xe22f21['id']]&&_0x3f65c0()[_0x347243(0x168d)](_0x49e1f3[_0x347243(0x2445)][_0xe22f21['id']],_0x3f65c0()[_0x347243(0x40e)](_0x5637cc,_0x21f653)),_0x138691(_0x49e1f3[_0x347243(0x23c0)][_0xe22f21['id']]);})[_0x16a2ae(0x129e)](function(_0x60642c){const _0x139032=_0x16a2ae;_0x3677be[_0x139032(0x1980)]({'title':_0x60642c[_0x139032(0x107b)]?_0x139032(0x262a)+_0x60642c['status']+_0x139032(0x1315)+_0x60642c[_0x139032(0x167f)]:'api.staff.save','msg':_0x60642c[_0x139032(0x524)]?JSON[_0x139032(0x10bb)](_0x60642c[_0x139032(0x524)][_0x139032(0x7fd)]):_0x60642c[_0x139032(0xd5f)]()});});}function _0x5e2fd8(_0x412f0e){const _0x9db8d=_0x37eff9;return _0xe316d5[_0x9db8d(0xe7b)][_0x9db8d(0x974)]({'id':_0x412f0e['id']})['$promise'][_0x9db8d(0x146b)](function(_0x444e24){const _0x139f68=_0x9db8d;_0x49e1f3[_0x139f68(0x23c0)][_0x412f0e['id']]&&_0x3f65c0()[_0x139f68(0x168d)](_0x49e1f3[_0x139f68(0x23c0)][_0x412f0e['id']],_0x3f65c0()[_0x139f68(0x40e)](_0x444e24,_0x21f653)),_0x49e1f3[_0x139f68(0x2445)][_0x412f0e['id']]&&_0x3f65c0()['merge'](_0x49e1f3[_0x139f68(0x2445)][_0x412f0e['id']],_0x3f65c0()[_0x139f68(0x40e)](_0x444e24,_0x21f653)),_0x138691(_0x49e1f3[_0x139f68(0x23c0)][_0x412f0e['id']]);})['catch'](function(_0x5af225){const _0x51b053=_0x9db8d;_0x3677be['error']({'title':_0x5af225[_0x51b053(0x107b)]?_0x51b053(0x262a)+_0x5af225[_0x51b053(0x107b)]+_0x51b053(0x1315)+_0x5af225[_0x51b053(0x167f)]:_0x51b053(0x23c6),'msg':_0x5af225['data']?JSON[_0x51b053(0x10bb)](_0x5af225[_0x51b053(0x524)][_0x51b053(0x7fd)]):_0x5af225[_0x51b053(0xd5f)]()});});}function _0x5651af(_0x14d927){const _0x3ca4c5=_0x37eff9;_0x25d48c['show'](_0x25d48c[_0x3ca4c5(0x861)]()[_0x3ca4c5(0xa70)](!![])[_0x3ca4c5(0x1189)](_0x3ca4c5(0x2783))[_0x3ca4c5(0x1cbe)](_0x3ca4c5(0x1f77))['ok']('Ok')['targetEvent'](_0x14d927));}function _0x2b004c(_0x674656,_0x483840){const _0x4eaf9f=_0x37eff9;_0x25d48c[_0x4eaf9f(0x2615)]({'controller':_0x4eaf9f(0x2610),'controllerAs':'vm','templateUrl':_0xbb22f1,'parent':angular[_0x4eaf9f(0x1853)](_0x32a994[_0x4eaf9f(0x2586)]),'targetEvent':_0x483840,'clickOutsideToClose':!![],'locals':{'agent':_0x674656,'agents':[],'channel':_0x4eaf9f(0x22d9),'direction':_0x4eaf9f(0x7b0),'crudPermissions':_0x49e1f3[_0x4eaf9f(0x2514)]}});}function _0x524700(_0x14e319){const _0x23f102=_0x37eff9;return _0x14e319===_0x23f102(0x1e3e)||_0x14e319===_0x23f102(0x1127);}function _0xff42d(_0x525101){const _0x122d8d=_0x37eff9;return _0x525101===_0x122d8d(0x1e3e);}function _0x477212(_0x473a63){const _0x45e47b=_0x37eff9;return _0x473a63===_0x45e47b(0x1127);}function _0x1530c1(_0x1474bc){const _0x1d0ffd=_0x37eff9;return _0x1474bc===_0x1d0ffd(0xb09)||_0x1474bc===_0x1d0ffd(0x207d)||_0x1474bc===_0x1d0ffd(0x85d)||_0x1474bc===_0x1d0ffd(0xad4)||_0x3f65c0()[_0x1d0ffd(0x1b36)](_0x1474bc);}function _0x53ba4c(_0xfd2892,_0x313d21){const _0xb7968c=_0x37eff9;if(_0x313d21==='voice'){if(_0xfd2892[_0x313d21+_0xb7968c(0x74e)]!=='idle')return _0xfd2892[_0x313d21+_0xb7968c(0x74e)];if(_0xfd2892[_0x313d21+_0xb7968c(0xde0)])return _0xfd2892[_0x313d21+_0xb7968c(0x74e)]=_0xb7968c(0x1e3e),_0xb7968c(0x1e3e);return _0xb7968c(0xb09);}else{if(_0xfd2892[_0x313d21+'Pause'])return _0xfd2892[_0x313d21+_0xb7968c(0x74e)]='pause',_0xb7968c(0x1e3e);return _0xfd2892[_0x313d21+_0xb7968c(0x74e)];}}function _0x138691(_0x56cf2f){const _0x55d9cf=_0x37eff9;let _0x325b4c='unknown';if(_0x3f65c0()[_0x55d9cf(0xb14)]([_0x53ba4c(_0x56cf2f,_0x55d9cf(0xe6)),_0x53ba4c(_0x56cf2f,_0x55d9cf(0xa7f)),_0x53ba4c(_0x56cf2f,_0x55d9cf(0x56b)),_0x53ba4c(_0x56cf2f,'openchannel'),_0x53ba4c(_0x56cf2f,_0x55d9cf(0x929)),_0x53ba4c(_0x56cf2f,_0x55d9cf(0xff9)),_0x53ba4c(_0x56cf2f,_0x55d9cf(0x22d9))],_0x524700))_0x325b4c=_0x55d9cf(0x1e3e);else{if(_0x3f65c0()[_0x55d9cf(0x1360)]([_0x53ba4c(_0x56cf2f,_0x55d9cf(0xe6)),_0x53ba4c(_0x56cf2f,_0x55d9cf(0xa7f)),_0x53ba4c(_0x56cf2f,_0x55d9cf(0x56b)),_0x53ba4c(_0x56cf2f,_0x55d9cf(0x7d9)),_0x53ba4c(_0x56cf2f,_0x55d9cf(0x929)),_0x53ba4c(_0x56cf2f,_0x55d9cf(0xff9)),_0x53ba4c(_0x56cf2f,'fax')],_0x524700))_0x325b4c=_0x55d9cf(0x1127);else _0x3f65c0()[_0x55d9cf(0xb14)]([_0x53ba4c(_0x56cf2f,_0x55d9cf(0xe6)),_0x53ba4c(_0x56cf2f,_0x55d9cf(0xa7f)),_0x53ba4c(_0x56cf2f,_0x55d9cf(0x56b)),_0x53ba4c(_0x56cf2f,_0x55d9cf(0x7d9)),_0x53ba4c(_0x56cf2f,_0x55d9cf(0x929)),_0x53ba4c(_0x56cf2f,_0x55d9cf(0xff9)),_0x53ba4c(_0x56cf2f,_0x55d9cf(0x22d9))],_0x1530c1)?_0x325b4c=_0x55d9cf(0xb09):_0x325b4c=_0x55d9cf(0x26a7);}_0x56cf2f[_0x55d9cf(0x72b)]!==_0x325b4c&&(_0x56cf2f[_0x55d9cf(0x72b)]=_0x325b4c,_0x56cf2f['init']?(_0x56cf2f[_0x55d9cf(0x5aa)]=![],_0x56cf2f[_0x55d9cf(0xed1)]=_0x524700(_0x325b4c)?_0x3f65c0()[_0x55d9cf(0x123)](_0x2deec6()(_0x56cf2f[_0x55d9cf(0x192a)])[_0x55d9cf(0x22b0)]('x')):_0x3f65c0()[_0x55d9cf(0x727)]([_0x56cf2f[_0x55d9cf(0x1dda)],_0x56cf2f[_0x55d9cf(0x1a22)],_0x56cf2f[_0x55d9cf(0x10cd)],_0x56cf2f[_0x55d9cf(0x1f5c)],_0x56cf2f[_0x55d9cf(0xadd)],_0x56cf2f[_0x55d9cf(0x6cb)],_0x56cf2f[_0x55d9cf(0x47a)]])):_0x56cf2f[_0x55d9cf(0xed1)]=_0x3f65c0()[_0x55d9cf(0x123)](_0x2deec6()()['format']('x')));}function _0x40851c(_0x25372a){const _0x9d1548=_0x37eff9,_0x58d35e=_0x3f65c0()[_0x9d1548(0xd4d)](_0x49e1f3[_0x9d1548(0x1a56)][_0x9d1548(0x206e)],'-')?'desc':_0x9d1548(0x195);return _0x3f65c0()['orderBy'](_0x25372a,[_0x49e1f3[_0x9d1548(0x1a56)][_0x9d1548(0x206e)][_0x9d1548(0x5f4)]('-','')],[_0x58d35e]);}function _0x486b4b(){const _0x14f736=_0x37eff9;_0x2873a5&&(_0x48d456[_0x14f736(0x6c3)](_0x2873a5),_0x2873a5=null);}function _0x5cde8e(_0x412e4d,_0x3100de){const _0x238e9a=_0x37eff9;return _0xe316d5[_0x238e9a(0xe7b)][_0x238e9a(0x18e1)]({'id':_0x412e4d['id']},{'screenrecording':_0x3100de})[_0x238e9a(0x2945)][_0x238e9a(0x129e)](function(_0x144852){const _0x1bca74=_0x238e9a;_0x3677be[_0x1bca74(0x1980)]({'title':_0x144852[_0x1bca74(0x107b)]?_0x1bca74(0x262a)+_0x144852[_0x1bca74(0x107b)]+'\x20-\x20'+_0x144852['statusText']:_0x1bca74(0x254f),'msg':_0x144852[_0x1bca74(0x524)]?JSON['stringify'](_0x144852[_0x1bca74(0x524)][_0x1bca74(0x7fd)]):_0x144852[_0x1bca74(0xd5f)]()});});}_0x3ae25f[_0x37eff9(0x16ad)](_0x37eff9(0x116f),function(){const _0x39e91b=_0x37eff9;_0x2877b9['removeAllListeners']('user:save'),_0x2877b9['removeAllListeners'](_0x39e91b(0x324)),_0x2877b9[_0x39e91b(0x1c5f)](_0x39e91b(0x118c)),_0x2877b9[_0x39e91b(0x1c5f)]('user:agentcomplete'),_0x486b4b();});}const _0x5cec4a=_0x517849;;const _0x3a5738=_0x4acfac['p']+_0x313a4d(0x255e);;const _0x3d63f7=_0x4acfac['p']+_0x313a4d(0x14be);;_0x4da9d2[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1abe),_0x313a4d(0x10e8),'$q',_0x313a4d(0x4d8),_0x313a4d(0xa0a),_0x313a4d(0x1b32),_0x313a4d(0x21af),_0x313a4d(0x247f),_0x313a4d(0x279d),_0x313a4d(0x1fe4),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0xa87)];function _0x4da9d2(_0x23cc26,_0x17bfd3,_0x2e82a4,_0x593be0,_0x4e9b8a,_0x4cc253,_0x5310e2,_0x11768c,_0x4e0e67,_0x4f9e21,_0x4c9010,_0xcbbe4d,_0x135ce7,_0x233d8b){const _0x56b09c=_0x313a4d,_0x4069ca=this,_0x23d4d5=[_0x56b09c(0x2398),_0x56b09c(0xbdb),'waiting',_0x56b09c(0x10ed),_0x56b09c(0x166f),_0x56b09c(0x1e38),_0x56b09c(0x7fd),_0x56b09c(0x1095),_0x56b09c(0x1a69),_0x56b09c(0x13c4),'paused'];_0x4069ca[_0x56b09c(0x2321)]=_0x233d8b[_0x56b09c(0xb12)](),_0x4069ca[_0x56b09c(0x51c)]=_0x5310e2[_0x56b09c(0x51c)]?_0x5310e2['count']:0x0,_0x4069ca['queues']=_0x5310e2?_0x3f65c0()[_0x56b09c(0x194)](_0x5310e2[_0x56b09c(0x19c7)]?_0x5310e2['rows']:[],'id'):{},_0x4069ca[_0x56b09c(0x21af)]=_0x11768c?_0x3f65c0()[_0x56b09c(0x194)](_0x11768c[_0x56b09c(0x19c7)]?_0x11768c[_0x56b09c(0x19c7)]:[],'id'):{},_0x4069ca[_0x56b09c(0x26b6)]=_0xcbbe4d,_0x4069ca['userProfileSection']=_0x135ce7&&_0x135ce7[_0x56b09c(0x51c)]==0x1?_0x135ce7[_0x56b09c(0x19c7)][0x0]:null,_0x4069ca[_0x56b09c(0x2514)]=_0x233d8b['parseCrudPermissions'](_0x4069ca['userProfileSection']?_0x4069ca[_0x56b09c(0x1366)]['crudPermissions']:null);_0x233d8b[_0x56b09c(0x23e0)](_0x56b09c(0x174b))?_0x4069ca[_0x56b09c(0x1a56)]={'type':_0x56b09c(0x7b0),'sort':_0x56b09c(0x12f2),'limit':0xa,'page':0x1}:_0x4069ca['query']={'id':_0x4069ca[_0x56b09c(0x2321)]['id'],'channel':_0x56b09c(0x22d9),'type':_0x56b09c(0x7b0),'sort':_0x56b09c(0x12f2),'limit':0xa,'page':0x1};_0x4069ca['success']=_0x4fee09,_0x4069ca[_0x56b09c(0xc53)]=_0x49a867,_0x4069ca['createOrEditFaxQueue']=_0xa3317d,_0x4069ca['agentAdd']=_0x2ac3e4,_0x4069ca[_0x56b09c(0x2801)]=_0x341734,_0x4069ca[_0x56b09c(0x1db0)]=_0x5c80ef,_0x4069ca[_0x56b09c(0x285f)]=_0x70beb5,_0x4069ca['onRemoveMember']=_0x197ca0,_0x4069ca[_0x56b09c(0x1028)]=_0x4b441d,_0x4069ca[_0x56b09c(0x1c6c)]=_0x1409a3,_0x4069ca['onLogout']=_0x1fa46f,_0x4069ca['$onInit']=_0x445314,_0x4069ca[_0x56b09c(0x206e)]=[],_0x3f65c0()[_0x56b09c(0x1a04)](_0x4069ca['queues'],function(_0xc9699d){const _0x524703=_0x56b09c;_0x4069ca[_0x524703(0x206e)]['push'](_0xc9699d['id']);}),_0x4f9e21['on'](_0x56b09c(0x1d46),_0x4069ca[_0x56b09c(0x1db0)]),_0x4f9e21['on']('userFaxQueue:save',_0x4069ca[_0x56b09c(0x285f)]),_0x4f9e21['on'](_0x56b09c(0x193),_0x4069ca[_0x56b09c(0x2240)]),_0x4f9e21['on'](_0x56b09c(0x324),_0x4069ca[_0x56b09c(0x1028)]),_0x4f9e21['on']('userVoiceQueue:remove',_0x4069ca[_0x56b09c(0x600)]),_0x4f9e21['on'](_0x56b09c(0x109d),_0x4069ca[_0x56b09c(0x1c6c)]);function _0x445314(){const _0x28d16c=_0x56b09c,_0x3868ce=[];return _0x3f65c0()[_0x28d16c(0x1a04)](_0x4069ca[_0x28d16c(0x1b32)],function(_0x13acf3,_0x35791d){const _0x397d3d=_0x28d16c;_0x13acf3[_0x397d3d(0x1e3d)]=0x0,_0x13acf3['loggedInDb']=0x0,_0x4069ca[_0x397d3d(0x1b32)][_0x35791d][_0x397d3d(0x23c0)]={},_0x3868ce['push'](_0x4e0e67[_0x397d3d(0x999)]['getMembers']({'id':_0x35791d})[_0x397d3d(0x2945)]),_0x4069ca[_0x397d3d(0x21af)][_0x35791d]&&_0x3f65c0()[_0x397d3d(0x168d)](_0x13acf3,_0x3f65c0()[_0x397d3d(0x40e)](_0x4069ca[_0x397d3d(0x21af)][_0x35791d],_0x23d4d5));}),_0x593be0[_0x28d16c(0x1be2)](_0x3868ce)[_0x28d16c(0x146b)](function(_0x495865){const _0x383f4e=_0x28d16c;for(let _0x2007f4=0x0;_0x2007f4<_0x495865['length'];_0x2007f4+=0x1){for(let _0x414aed=0x0;_0x414aed<_0x495865[_0x2007f4][_0x383f4e(0x19c7)][_0x383f4e(0x402)];_0x414aed++){_0x495865[_0x2007f4][_0x383f4e(0x19c7)][_0x414aed][_0x383f4e(0x1e3d)]&&_0x4069ca[_0x383f4e(0x1b32)][_0x495865[_0x2007f4][_0x383f4e(0x19c7)][_0x414aed]['FaxQueueId']][_0x383f4e(0x1e3d)]++,_0x4069ca[_0x383f4e(0x1b32)][_0x495865[_0x2007f4][_0x383f4e(0x19c7)][_0x414aed][_0x383f4e(0xddd)]][_0x383f4e(0x1899)]++,_0x4069ca[_0x383f4e(0x1b32)][_0x495865[_0x2007f4][_0x383f4e(0x19c7)][_0x414aed][_0x383f4e(0xddd)]]['agents'][_0x495865[_0x2007f4][_0x383f4e(0x19c7)][_0x414aed]['UserId']]=_0x495865[_0x2007f4][_0x383f4e(0x19c7)][_0x414aed]['FaxQueueId'];}}})['catch'](function(_0x555075){const _0x189aa6=_0x28d16c;console[_0x189aa6(0x1980)](_0x555075);});}function _0x5c80ef(_0x3fa32e){const _0x144d81=_0x56b09c;_0x4069ca[_0x144d81(0x1b32)][_0x3fa32e['id']]&&_0x3f65c0()[_0x144d81(0x168d)](_0x4069ca[_0x144d81(0x1b32)][_0x3fa32e['id']],_0x3f65c0()['pick'](_0x3fa32e,_0x23d4d5));}function _0x70beb5(_0x5b568c){const _0x69a978=_0x56b09c;_0x4069ca[_0x69a978(0x1b32)][_0x5b568c[_0x69a978(0xddd)]]&&_0x4069ca[_0x69a978(0x1b32)][_0x5b568c['FaxQueueId']]['loggedInDb']++;}function _0x197ca0(_0x3662ce){const _0x2a2add=_0x56b09c;_0x4069ca[_0x2a2add(0x1b32)][_0x3662ce[_0x2a2add(0xddd)]]&&_0x4069ca[_0x2a2add(0x1b32)][_0x3662ce[_0x2a2add(0xddd)]][_0x2a2add(0x1899)]--;}function _0x4b441d(_0x5f3764){const _0x257e01=_0x56b09c;console[_0x257e01(0x1a74)]('onPause',_0x5f3764);if(_0x5f3764[_0x257e01(0x211c)])_0x3f65c0()[_0x257e01(0x1a04)](_0x4069ca['queues'],function(_0x46995a){const _0x3ce078=_0x257e01;_0x46995a[_0x3ce078(0x23c0)][_0x5f3764['id']]&&(_0x46995a[_0x3ce078(0x1e3d)]+=0x1,console[_0x3ce078(0x1a74)](_0x3ce078(0x1e3e)));});else!_0x5f3764[_0x257e01(0x211c)]&&_0x3f65c0()[_0x257e01(0x1a04)](_0x4069ca[_0x257e01(0x1b32)],function(_0x58d105){const _0x5f5c30=_0x257e01;_0x58d105[_0x5f5c30(0x23c0)][_0x5f3764['id']]&&(_0x58d105[_0x5f5c30(0x1e3d)]>0x0&&(_0x58d105[_0x5f5c30(0x1e3d)]-=0x1,console[_0x5f5c30(0x1a74)](_0x5f5c30(0x974))));});}function _0x1409a3(_0x3ffd0f){const _0x4031cf=_0x56b09c;console[_0x4031cf(0x1a74)](_0x4031cf(0x414),_0x3ffd0f),_0x4069ca['queues'][_0x3ffd0f[_0x4031cf(0xddd)]]&&(_0x4069ca[_0x4031cf(0x1b32)][_0x3ffd0f['FaxQueueId']][_0x4031cf(0x1899)]+=0x1,_0x4069ca[_0x4031cf(0x1b32)][_0x3ffd0f[_0x4031cf(0xddd)]]['agents'][_0x3ffd0f['UserId']]=_0x3ffd0f[_0x4031cf(0xddd)]);}function _0x1fa46f(_0x43e044){const _0x110bae=_0x56b09c;console[_0x110bae(0x1a74)]('onLogout',_0x43e044),_0x4069ca[_0x110bae(0x1b32)][_0x43e044['FaxQueueId']]&&_0x4069ca['queues'][_0x43e044[_0x110bae(0xddd)]][_0x110bae(0x1899)]>0x0&&(_0x4069ca[_0x110bae(0x1b32)][_0x43e044[_0x110bae(0xddd)]]['loggedInDb']-=0x1,delete _0x4069ca[_0x110bae(0x1b32)][_0x43e044[_0x110bae(0xddd)]][_0x110bae(0x23c0)][_0x43e044[_0x110bae(0xea2)]]);}let _0x4506e7=!![],_0x4a1082=0x1;_0x23cc26[_0x56b09c(0x21e8)](_0x56b09c(0x2669),function(_0x2f2599,_0x3af9c0){const _0x344db4=_0x56b09c;_0x4506e7?_0x17bfd3(function(){_0x4506e7=![];}):(!_0x3af9c0&&(_0x4a1082=_0x4069ca['query'][_0x344db4(0x844)]),_0x2f2599!==_0x3af9c0&&(_0x4069ca[_0x344db4(0x1a56)][_0x344db4(0x844)]=0x1),!_0x2f2599&&(_0x4069ca['query'][_0x344db4(0x844)]=_0x4a1082),_0x4069ca[_0x344db4(0xc53)]());});function _0x4fee09(_0x26e0e){const _0x1fcc3e=_0x56b09c;_0x4069ca[_0x1fcc3e(0x51c)]=_0x26e0e[_0x1fcc3e(0x51c)],_0x4069ca[_0x1fcc3e(0x1b32)]=_0x26e0e?_0x3f65c0()[_0x1fcc3e(0x194)](_0x26e0e[_0x1fcc3e(0x19c7)]?_0x26e0e[_0x1fcc3e(0x19c7)]:[],'id'):{};if(_0x26e0e['rows']){_0x4069ca['order']=[];for(let _0x2afe29=0x0;_0x2afe29<_0x26e0e[_0x1fcc3e(0x19c7)]['length'];_0x2afe29++){_0x4069ca[_0x1fcc3e(0x206e)][_0x1fcc3e(0x1f47)](_0x26e0e[_0x1fcc3e(0x19c7)][_0x2afe29]['id']);}}_0x445314();}function _0x49a867(){const _0x11b4e9=_0x56b09c;_0x4069ca[_0x11b4e9(0x1a56)]['offset']=(_0x4069ca[_0x11b4e9(0x1a56)][_0x11b4e9(0x844)]-0x1)*_0x4069ca[_0x11b4e9(0x1a56)]['limit'],_0x233d8b[_0x11b4e9(0x23e0)](_0x11b4e9(0x174b))?_0x4069ca[_0x11b4e9(0xb9c)]=_0x4e0e67[_0x11b4e9(0x999)]['get'](_0x4069ca[_0x11b4e9(0x1a56)],_0x4fee09)[_0x11b4e9(0x2945)]:(_0x4069ca[_0x11b4e9(0x1a56)]['id']=_0x4069ca[_0x11b4e9(0x26b6)]['id'],_0x4069ca['query'][_0x11b4e9(0x2146)]=_0x11b4e9(0x2915),_0x4069ca['promise']=_0x4e0e67[_0x11b4e9(0x26b6)][_0x11b4e9(0x158f)](_0x4069ca[_0x11b4e9(0x1a56)],_0x4fee09)[_0x11b4e9(0x2945)]);}function _0xa3317d(_0x12a04c,_0x2a1a45){const _0xd3dc1f=_0x56b09c;_0x2e82a4[_0xd3dc1f(0x2615)]({'controller':_0xd3dc1f(0x1ab3),'controllerAs':'vm','templateUrl':_0x3d63f7,'parent':angular[_0xd3dc1f(0x1853)](_0x4e9b8a[_0xd3dc1f(0x2586)]),'targetEvent':_0x12a04c,'clickOutsideToClose':!![],'locals':{'faxQueue':_0x2a1a45,'faxQueues':_0x3f65c0()[_0xd3dc1f(0x81b)](_0x4069ca[_0xd3dc1f(0x1b32)]),'license':null,'setting':null,'crudPermissions':_0x4069ca[_0xd3dc1f(0x2514)]}});}function _0x2ac3e4(_0x4f83ac,_0x3bf484){const _0x5ae8f6=_0x56b09c;_0x2e82a4['show']({'controller':'FaxQueueagentaddController','controllerAs':'vm','templateUrl':_0x3a5738,'parent':angular['element'](_0x4e9b8a[_0x5ae8f6(0x2586)]),'targetEvent':_0x4f83ac,'clickOutsideToClose':!![],'locals':{'faxQueue':_0x3bf484,'faxQueues':_0x4069ca[_0x5ae8f6(0x1b32)]?_0x4069ca[_0x5ae8f6(0x1b32)][_0x5ae8f6(0x19c7)]:[],'realtime':![],'crudPermissions':_0x4069ca[_0x5ae8f6(0x2514)]}});}function _0x341734(_0x326944){const _0x50d8b5=_0x56b09c;return _0x4e0e67[_0x50d8b5(0x999)][_0x50d8b5(0x18e1)](_0x326944)[_0x50d8b5(0x2945)]['then'](function(){const _0x198a14=_0x50d8b5;_0x4c9010[_0x198a14(0x1c75)]({'title':_0x198a14(0x5f1),'msg':_0x326944[_0x198a14(0x19eb)]?_0x326944[_0x198a14(0x19eb)]+_0x198a14(0x6b0):''});})[_0x50d8b5(0x129e)](function(_0x1ebc2d){const _0x567c46=_0x50d8b5;_0x4c9010[_0x567c46(0x1980)]({'title':_0x567c46(0x2174),'msg':_0x1ebc2d[_0x567c46(0x7fd)]});});}_0x23cc26[_0x56b09c(0x16ad)](_0x56b09c(0x116f),function(){const _0x124be1=_0x56b09c;_0x4f9e21[_0x124be1(0x1c5f)](_0x124be1(0x1d46)),_0x4f9e21['removeAllListeners'](_0x124be1(0x193)),_0x4f9e21[_0x124be1(0x1c5f)](_0x124be1(0x48a)),_0x4f9e21[_0x124be1(0x1c5f)](_0x124be1(0x324)),_0x4f9e21[_0x124be1(0x1c5f)](_0x124be1(0x1d3e)),_0x4f9e21[_0x124be1(0x1c5f)](_0x124be1(0x109d));});}const _0x303796=_0x4da9d2;;function _0xe987a5(){const _0x347825=_0x313a4d;return{'status':{'registered':_0x347825(0xdf4),'unregistered':'red-300-fg\x20icon-close-circle','lagged':_0x347825(0x4c7),'reachable':_0x347825(0xdf4),'unreachable':_0x347825(0x4c7),'unknown':_0x347825(0xc4b)},'state':{'unknown':'grey-fg\x20icon-phone-hangup','not_inuse':'green-300-fg\x20icon-phone-hangup','inuse':'red-300-fg\x20icon-phone-in-talk','busy':_0x347825(0xb3c),'invalid':_0x347825(0x1fd7),'unavailable':_0x347825(0x1fd7),'ringing':'blue-300-fg\x20icon-phone-incoming','ringinuse':'blue-300-fg\x20icon-phone-incoming','onhold':_0x347825(0x119f)},'channelStatus':{'ring':_0x347825(0x1172),'up':'green-300-fg\x20icon-phone-in-talk','hangup':_0x347825(0x135d)},'channelStatusOut':{'ring':_0x347825(0x1a13),'up':_0x347825(0x1668),'hangup':_0x347825(0x135d)}};}const _0x523a15=_0xe987a5;;_0x2cdcd1[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0x279d)];function _0x2cdcd1(_0x53d534,_0x4cb1ca,_0x223e50){const _0x787232=_0x313a4d,_0x2c8d19=this;_0x223e50['disconnect'](),_0x223e50['connect'](),_0x2c8d19['selectedTab']=0x0;switch(_0x4cb1ca[_0x787232(0x2d7)][_0x787232(0x19eb)]){case _0x787232(0x20ba):_0x2c8d19[_0x787232(0x1b09)]=0x0;break;case'app.fax.realtime.trunks':_0x2c8d19['selectedTab']=0x1;break;case _0x787232(0x9e8):_0x2c8d19[_0x787232(0x1b09)]=0x2;break;case _0x787232(0x1946):_0x2c8d19[_0x787232(0x1b09)]=0x3;break;default:_0x2c8d19['selectedTab']=0x0,_0x4cb1ca['go'](_0x787232(0x20ba));}_0x53d534[_0x787232(0x21e8)](_0x787232(0x241b),function(_0x4d9426,_0x2b8c4d){const _0x19a061=_0x787232;if(_0x4d9426!==_0x2b8c4d)switch(_0x4d9426){case 0x0:_0x4cb1ca['go'](_0x19a061(0x20ba));break;case 0x1:_0x4cb1ca['go']('app.fax.realtime.trunks');break;case 0x2:_0x4cb1ca['go'](_0x19a061(0x9e8));break;case 0x3:_0x4cb1ca['go'](_0x19a061(0x1946));break;default:_0x4cb1ca['go'](_0x19a061(0x20ba));}});}const _0x32afd6=_0x2cdcd1;;_0x1368a3[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x1abe),'$mdDialog',_0x313a4d(0x2433),_0x313a4d(0x12fd),_0x313a4d(0x247f),'socket',_0x313a4d(0x1ac0),_0x313a4d(0x1862),_0x313a4d(0x26b6),_0x313a4d(0x1366),'Auth'];function _0x1368a3(_0x29ec29,_0x21662d,_0x12b098,_0x33710f,_0x51f300,_0x3ae015,_0x4d4a54,_0x4ff1b1,_0x42d711,_0x5218c5,_0x9ef1e5,_0x732304){const _0x43a188=_0x313a4d,_0x4e5dfe=this,_0x1befbc=['status',_0x43a188(0x124d),'registry',_0x43a188(0x148e),'port',_0x43a188(0x113f),_0x43a188(0x13d6),_0x43a188(0x107b)];_0x4e5dfe[_0x43a188(0x2321)]=_0x732304[_0x43a188(0xb12)](),_0x4e5dfe[_0x43a188(0x51c)]=_0x33710f[_0x43a188(0x51c)],_0x4e5dfe['trunks']=_0x33710f?_0x33710f[_0x43a188(0x19c7)]:[],_0x4e5dfe[_0x43a188(0x12fd)]=_0x51f300?_0x51f300[_0x43a188(0x19c7)]?_0x51f300['rows']:[]:[],_0x4e5dfe[_0x43a188(0x1a56)]={'fields':_0x43a188(0x7a7),'active':!![],'sort':_0x43a188(0x12f2),'limit':0xa,'page':0x1},_0x4e5dfe[_0x43a188(0x1bce)]=_0x4ff1b1['status'],_0x4e5dfe['stateClass']=_0x4ff1b1[_0x43a188(0x13d6)],_0x4e5dfe['userProfile']=_0x5218c5,_0x4e5dfe[_0x43a188(0x1366)]=_0x9ef1e5&&_0x9ef1e5[_0x43a188(0x51c)]==0x1?_0x9ef1e5[_0x43a188(0x19c7)][0x0]:null,_0x4e5dfe['crudPermissions']=_0x732304[_0x43a188(0xe60)](_0x4e5dfe['userProfileSection']?_0x4e5dfe[_0x43a188(0x1366)][_0x43a188(0x2514)]:null),_0x4e5dfe['success']=_0x33c5bc,_0x4e5dfe[_0x43a188(0x20de)]=_0x42d51f,_0x4e5dfe['showInfo']=_0x5931d2,_0x4e5dfe[_0x43a188(0x1cd4)]=_0x5bafe5,_0x4e5dfe[_0x43a188(0x2428)]=_0xb7dd4b,_0x4e5dfe['onSave']=_0x39da39,_0x4d4a54['on'](_0x43a188(0x1d61),_0x4e5dfe['onSave']);function _0x42d51f(){const _0x3b7aad=_0x43a188;let _0x2a274b;for(let _0x124790=0x0;_0x124790<_0x4e5dfe[_0x3b7aad(0x2433)][_0x3b7aad(0x402)];_0x124790+=0x1){_0x2a274b=_0x3f65c0()[_0x3b7aad(0x99c)](_0x4e5dfe['rpcTrunks'],['id',_0x4e5dfe[_0x3b7aad(0x2433)][_0x124790]['id']]),_0x2a274b>=0x0&&_0x3f65c0()['merge'](_0x4e5dfe['trunks'][_0x124790],_0x3f65c0()['pick'](_0x4e5dfe[_0x3b7aad(0x12fd)][_0x2a274b],_0x1befbc));}}function _0x5931d2(_0x62d5a7){const _0x559933=_0x43a188;_0x12b098[_0x559933(0x2615)](_0x12b098['alert']()[_0x559933(0xa70)](!![])[_0x559933(0x1189)]('Legend')[_0x559933(0x1cbe)](_0x559933(0x1f77))['ok']('Ok')[_0x559933(0x1f27)](_0x62d5a7));}function _0x466a5c(_0x2184a8,_0x45aa1c,_0x1c16ce){const _0x260dc0=_0x43a188;_0x45aa1c>=0x0&&_0x3f65c0()[_0x260dc0(0x168d)](_0x2184a8[_0x45aa1c],_0x3f65c0()['pick'](_0x1c16ce,_0x1befbc));}function _0x39da39(_0x1c4f3a){const _0x4be591=_0x43a188,_0x32c1fe=_0x3f65c0()[_0x4be591(0x99c)](_0x4e5dfe[_0x4be591(0x2433)],['id',_0x1c4f3a['id']]),_0x32ebe6=_0x3f65c0()[_0x4be591(0x99c)](_0x4e5dfe[_0x4be591(0x12fd)],['id',_0x1c4f3a['id']]);_0x466a5c(_0x4e5dfe[_0x4be591(0x2433)],_0x32c1fe,_0x1c4f3a),_0x466a5c(_0x4e5dfe[_0x4be591(0x12fd)],_0x32ebe6,_0x1c4f3a);}let _0x179a55=!![],_0x4fd413=0x1;_0x29ec29[_0x43a188(0x21e8)](_0x43a188(0x2669),function(_0x330788,_0x5bb549){const _0x11f56f=_0x43a188;_0x179a55?_0x21662d(function(){_0x179a55=![];}):(!_0x5bb549&&(_0x4fd413=_0x4e5dfe[_0x11f56f(0x1a56)]['page']),_0x330788!==_0x5bb549&&(_0x4e5dfe[_0x11f56f(0x1a56)][_0x11f56f(0x844)]=0x1),!_0x330788&&(_0x4e5dfe[_0x11f56f(0x1a56)][_0x11f56f(0x844)]=_0x4fd413),_0x4e5dfe['getTrunks']());});function _0x33c5bc(_0x5ef563){const _0x4a0456=_0x43a188;_0x4e5dfe[_0x4a0456(0x51c)]=_0x5ef563[_0x4a0456(0x51c)],_0x4e5dfe[_0x4a0456(0x2433)]=_0x5ef563?_0x5ef563[_0x4a0456(0x19c7)]?_0x5ef563[_0x4a0456(0x19c7)]:[]:[],_0x42d51f();}function _0x5bafe5(){const _0x432b51=_0x43a188;_0x4e5dfe[_0x432b51(0x1a56)][_0x432b51(0x145d)]=(_0x4e5dfe[_0x432b51(0x1a56)]['page']-0x1)*_0x4e5dfe[_0x432b51(0x1a56)][_0x432b51(0x221e)],_0x732304[_0x432b51(0x23e0)]('admin')?_0x4e5dfe['promise']=_0x3ae015[_0x432b51(0x279f)][_0x432b51(0x16b4)](_0x4e5dfe[_0x432b51(0x1a56)],_0x33c5bc)[_0x432b51(0x2945)]:(_0x4e5dfe[_0x432b51(0x1a56)]['id']=_0x4e5dfe[_0x432b51(0x26b6)]['id'],_0x4e5dfe[_0x432b51(0x1a56)][_0x432b51(0x2146)]=_0x432b51(0x110f),_0x4e5dfe['promise']=_0x3ae015[_0x432b51(0x26b6)][_0x432b51(0x158f)](_0x4e5dfe[_0x432b51(0x1a56)],_0x33c5bc)[_0x432b51(0x2945)]);}_0x29ec29[_0x43a188(0x16ad)]('$destroy',function(){const _0x49a29c=_0x43a188;_0x4d4a54[_0x49a29c(0x1c5f)](_0x49a29c(0x1d61));});function _0xb7dd4b(_0x43dbe5,_0x35bf82){const _0x3a6b3a=_0x43a188;_0x42d711['go'](_0x3a6b3a(0x5f0),{'id':_0x35bf82['id']});}}const _0x50bd72=_0x1368a3;;_0x1184ef[_0x313a4d(0x11c2)]=[_0x313a4d(0x921)];function _0x1184ef(_0x424e6a){const _0x42c79e=_0x313a4d;_0x424e6a[_0x42c79e(0x13d6)](_0x42c79e(0xbec),{'url':_0x42c79e(0x178b),'views':{'content@app':{'templateUrl':_0x145bff,'controller':_0x42c79e(0x1d71)}},'resolve':{'userProfile':[_0x42c79e(0x362),'Auth',function(_0x17bb36,_0x38c39e){const _0x17942a=_0x42c79e;return _0x38c39e[_0x17942a(0x23e0)]('admin')?null:_0x17bb36[_0x17942a(0x2922)](_0x17942a(0x119a),{'fields':_0x17942a(0x227),'id':_0x38c39e[_0x17942a(0xb12)]()[_0x17942a(0x209a)]});}],'userProfileSection':['apiResolver','Auth',function(_0x45fd70,_0x50a2ef){const _0x329ae2=_0x42c79e;return _0x50a2ef[_0x329ae2(0x23e0)](_0x329ae2(0x174b))?null:_0x45fd70[_0x329ae2(0x2922)](_0x329ae2(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x50a2ef['getCurrentUser']()['userProfileId'],'sectionId':0x38e});}]},'authenticate':!![],'permissionId':0x38e})[_0x42c79e(0x13d6)]('app.fax.realtime.agents',{'url':_0x42c79e(0x1507),'controller':_0x42c79e(0x10a),'templateUrl':_0x470d63,'resolve':{'pauses':[_0x42c79e(0x362),function(_0x1feddd){const _0x3f6577=_0x42c79e;return _0x1feddd['resolve'](_0x3f6577(0x3f6),{'nolimit':!![]});}],'agents':[_0x42c79e(0x362),'Auth',function(_0x479801,_0x195c63){const _0x50a79d=_0x42c79e;return _0x195c63[_0x50a79d(0x23e0)](_0x50a79d(0x174b))?_0x479801[_0x50a79d(0x2922)](_0x50a79d(0x2484),{'fields':_0x50a79d(0x2869),'role':_0x50a79d(0x1755),'sort':_0x50a79d(0x286a),'nolimit':!![]}):_0x479801['resolve'](_0x50a79d(0x938),{'id':_0x195c63[_0x50a79d(0xb12)]()[_0x50a79d(0x209a)],'section':_0x50a79d(0xca8),'fields':'id,name,fullname,role,userpic,internal,online,voicePause,openchannelPause,faxPause,chatPause,smsPause,whatsappPause,mailPause,pauseType,lastPauseAt,lastLoginAt,lastPauseAt,chatCapacity,mailCapacity,openchannelCapacity,faxCapacity,smsCapacity,whatsappCapacity,screenrecording,phoneBarEnableScreenRecordingByAgent,phoneBarEnableAutomaticScreenRecording','role':_0x50a79d(0x1755),'sort':'fullname','nolimit':!![]});}],'userProfile':[_0x42c79e(0x362),_0x42c79e(0xa87),function(_0x3287fe,_0x571f8f){const _0x1688e6=_0x42c79e;return _0x571f8f[_0x1688e6(0x23e0)]('admin')?null:_0x3287fe[_0x1688e6(0x2922)](_0x1688e6(0x119a),{'fields':_0x1688e6(0x227),'id':_0x571f8f[_0x1688e6(0xb12)]()['userProfileId']});}],'userProfileSection':['apiResolver',_0x42c79e(0xa87),function(_0x211824,_0x19c6e8){const _0x1f7396=_0x42c79e;return _0x19c6e8[_0x1f7396(0x23e0)](_0x1f7396(0x174b))?null:_0x211824['resolve']('userProfileSection@get',{'fields':_0x1f7396(0x1e64),'userProfileId':_0x19c6e8[_0x1f7396(0xb12)]()['userProfileId'],'sectionId':0xca});}],'rpcAgents':[_0x42c79e(0x362),function(_0x41c3b5){const _0x44ce75=_0x42c79e;return _0x41c3b5[_0x44ce75(0x2922)](_0x44ce75(0x19ab));}]},'authenticate':!![],'permissionId':0x38e})[_0x42c79e(0x13d6)](_0x42c79e(0x1cff),{'url':_0x42c79e(0xe55),'controller':'TrunksFaxRealtimeController\x20as\x20vm','templateUrl':_0x76489b,'resolve':{'trunks':[_0x42c79e(0x362),_0x42c79e(0xa87),function(_0x31c04d,_0x47c9e1){const _0x21aa7c=_0x42c79e;return _0x47c9e1['hasRole'](_0x21aa7c(0x174b))?_0x31c04d[_0x21aa7c(0x2922)](_0x21aa7c(0x244b),{'fields':_0x21aa7c(0x7a7),'active':!![],'sort':_0x21aa7c(0x12f2),'limit':0xa,'offset':0x0}):_0x31c04d[_0x21aa7c(0x2922)](_0x21aa7c(0x938),{'id':_0x47c9e1[_0x21aa7c(0xb12)]()[_0x21aa7c(0x209a)],'section':_0x21aa7c(0x110f),'fields':_0x21aa7c(0x7a7),'active':!![],'sort':_0x21aa7c(0x12f2),'limit':0xa,'offset':0x0});}],'userProfile':[_0x42c79e(0x362),_0x42c79e(0xa87),function(_0x238775,_0x3aa685){const _0x5897de=_0x42c79e;return _0x3aa685[_0x5897de(0x23e0)](_0x5897de(0x174b))?null:_0x238775[_0x5897de(0x2922)]('userProfile@get',{'fields':_0x5897de(0x227),'id':_0x3aa685[_0x5897de(0xb12)]()[_0x5897de(0x209a)]});}],'userProfileSection':['apiResolver',_0x42c79e(0xa87),function(_0x22b443,_0x4271c6){const _0x1d4585=_0x42c79e;return _0x4271c6[_0x1d4585(0x23e0)]('admin')?null:_0x22b443[_0x1d4585(0x2922)](_0x1d4585(0x27be),{'fields':_0x1d4585(0x1e64),'userProfileId':_0x4271c6[_0x1d4585(0xb12)]()[_0x1d4585(0x209a)],'sectionId':0x3f3});}],'rpcTrunks':['apiResolver',function(_0x2e366e){const _0x579a01=_0x42c79e;return _0x2e366e[_0x579a01(0x2922)](_0x579a01(0x1cd9));}]},'authenticate':!![],'permissionId':0x38e})[_0x42c79e(0x13d6)]('app.fax.realtime.queues',{'url':_0x42c79e(0xf15),'controller':_0x42c79e(0x133f),'templateUrl':_0x2764ed,'resolve':{'queues':[_0x42c79e(0x362),'Auth',function(_0x16159f,_0x489ffe){const _0x1c53cc=_0x42c79e;return _0x489ffe['hasRole'](_0x1c53cc(0x174b))?_0x16159f['resolve'](_0x1c53cc(0xf8a),{'type':'inbound','sort':_0x1c53cc(0x12f2),'limit':0xa,'offset':0x0}):_0x16159f[_0x1c53cc(0x2922)]('userProfile@getResources',{'id':_0x489ffe['getCurrentUser']()[_0x1c53cc(0x209a)],'section':_0x1c53cc(0x2915),'channel':_0x1c53cc(0x22d9),'type':_0x1c53cc(0x7b0),'sort':_0x1c53cc(0x12f2),'limit':0xa,'page':0x1});}],'userProfile':[_0x42c79e(0x362),_0x42c79e(0xa87),function(_0x3269f1,_0x47469b){const _0x125d85=_0x42c79e;return _0x47469b[_0x125d85(0x23e0)](_0x125d85(0x174b))?null:_0x3269f1['resolve'](_0x125d85(0x119a),{'fields':'id,name,crudPermissions','id':_0x47469b[_0x125d85(0xb12)]()[_0x125d85(0x209a)]});}],'userProfileSection':['apiResolver',_0x42c79e(0xa87),function(_0x55b472,_0x3faaf1){const _0x275003=_0x42c79e;return _0x3faaf1[_0x275003(0x23e0)](_0x275003(0x174b))?null:_0x55b472[_0x275003(0x2922)]('userProfileSection@get',{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x3faaf1['getCurrentUser']()[_0x275003(0x209a)],'sectionId':0x385});}],'rpcQueues':[_0x42c79e(0x362),function(_0x12fe19){const _0x66538f=_0x42c79e;return _0x12fe19[_0x66538f(0x2922)](_0x66538f(0x1e18));}]},'authenticate':!![],'permissionId':0x38e})['state'](_0x42c79e(0x1946),{'url':_0x42c79e(0x2425),'controller':_0x42c79e(0x5a7),'templateUrl':_0x126a37,'resolve':{'faxAccounts':[_0x42c79e(0x362),'Auth',function(_0x3859c0,_0x2523ca){const _0x36ad34=_0x42c79e;return _0x2523ca[_0x36ad34(0x23e0)](_0x36ad34(0x174b))?_0x3859c0[_0x36ad34(0x2922)](_0x36ad34(0x6a2),{'sort':'-updatedAt','limit':0xa,'offset':0x0}):_0x3859c0['resolve'](_0x36ad34(0x938),{'id':_0x2523ca[_0x36ad34(0xb12)]()[_0x36ad34(0x209a)],'section':_0x36ad34(0x17d1),'sort':_0x36ad34(0x12f2),'limit':0xa,'offset':0x0});}],'userProfile':[_0x42c79e(0x362),_0x42c79e(0xa87),function(_0x3871a5,_0x392c39){const _0x1bcd52=_0x42c79e;return _0x392c39['hasRole'](_0x1bcd52(0x174b))?null:_0x3871a5[_0x1bcd52(0x2922)](_0x1bcd52(0x119a),{'fields':_0x1bcd52(0x227),'id':_0x392c39['getCurrentUser']()[_0x1bcd52(0x209a)]});}],'userProfileSection':['apiResolver','Auth',function(_0x213072,_0x2e64fd){const _0xa99ab5=_0x42c79e;return _0x2e64fd[_0xa99ab5(0x23e0)](_0xa99ab5(0x174b))?null:_0x213072[_0xa99ab5(0x2922)](_0xa99ab5(0x27be),{'fields':_0xa99ab5(0x1e64),'userProfileId':_0x2e64fd['getCurrentUser']()['userProfileId'],'sectionId':0x386});}],'rpcFaxAccounts':[_0x42c79e(0x362),function(_0x531175){return _0x531175['resolve']('rpc@getFaxAccounts');}]},'authenticate':!![],'permissionId':0x38e});}angular[_0x313a4d(0x2528)]('app.fax.realtime',[])[_0x313a4d(0x989)](_0x1184ef)['controller']('FaxAccountsRealtimeController',_0x370e10)[_0x313a4d(0x28f0)]('AgentsFaxRealtimeController',_0x5cec4a)[_0x313a4d(0x28f0)](_0x313a4d(0x20ce),_0x303796)[_0x313a4d(0x1750)](_0x313a4d(0x1ac0),_0x523a15)[_0x313a4d(0x28f0)](_0x313a4d(0x6a1),_0x32afd6)[_0x313a4d(0x28f0)](_0x313a4d(0xb43),_0x50bd72);;_0x5631c1[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),'$location',_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x8a9),_0x313a4d(0x178),'api',_0x313a4d(0xa87),_0x313a4d(0x2690),'setting',_0x313a4d(0x2514)];function _0x5631c1(_0x46132a,_0x4fb310,_0x5d549e,_0x959350,_0x1e507c,_0x40222c,_0x560923,_0x1a34a2,_0x15e896,_0x1d93a5,_0x3e2e35,_0x4fb641,_0x3c8bbc,_0x28d6cc){const _0x1ca259=_0x313a4d,_0x4dbc3c=this;_0x4dbc3c[_0x1ca259(0x2321)]=_0x3e2e35[_0x1ca259(0xb12)](),_0x4dbc3c[_0x1ca259(0xcef)]=[],_0x4dbc3c[_0x1ca259(0x15b9)]=_0x3c8bbc,_0x4dbc3c[_0x1ca259(0x2690)]=_0x4fb641,_0x4dbc3c['crudPermissions']=_0x28d6cc,_0x4dbc3c[_0x1ca259(0x855)]={},_0x4dbc3c[_0x1ca259(0x2251)]=_0x4dbc3c[_0x1ca259(0x15b9)]&&_0x4dbc3c['setting']['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x4dbc3c[_0x1ca259(0x1189)]='FAX.EDIT_FAXACCOUNT',_0x4dbc3c[_0x1ca259(0x178)]=angular[_0x1ca259(0x235a)](_0x15e896),_0x4dbc3c['faxAccounts']=_0x1a34a2,_0x4dbc3c[_0x1ca259(0x681)]=![];!_0x4dbc3c[_0x1ca259(0x178)]&&(_0x4dbc3c[_0x1ca259(0x178)]={'waitForTheAssignedAgent':0xa,'notificationSound':!![],'queueTransferTimeout':0x12c,'agentTransferTimeout':0x12c},_0x4dbc3c['title']=_0x1ca259(0x1dfa),_0x4dbc3c['newFaxAccount']=!![]);_0x4fb310['params']['id']&&(_0x4dbc3c[_0x1ca259(0x178)][_0x1ca259(0x74d)]=_0x4fb310['params']['id']);_0x4dbc3c['addNewFaxAccount']=_0x5e61bc,_0x4dbc3c[_0x1ca259(0x1dc1)]=_0x28198d,_0x4dbc3c[_0x1ca259(0x20ed)]=_0x2e60a2,_0x4dbc3c['getDateFromString']=_0x2606ec,_0x4dbc3c[_0x1ca259(0x13f3)]=_0x585999,_0x3e2e35[_0x1ca259(0x23e0)](_0x1ca259(0x174b))?_0x1d93a5['trunk'][_0x1ca259(0x16b4)]({'fields':_0x1ca259(0x7a7),'sort':'name'})['$promise'][_0x1ca259(0x146b)](function(_0x37fafa){const _0x5e1b0a=_0x1ca259;_0x4dbc3c[_0x5e1b0a(0x2433)]=_0x37fafa[_0x5e1b0a(0x19c7)]||[];})[_0x1ca259(0x129e)](function(_0xa8bf0b){const _0x443edf=_0x1ca259;_0x560923[_0x443edf(0x1980)]({'title':_0xa8bf0b[_0x443edf(0x107b)]?_0x443edf(0x262a)+_0xa8bf0b[_0x443edf(0x107b)]+'\x20-\x20'+_0xa8bf0b[_0x443edf(0x167f)]:_0x443edf(0xfc0),'msg':_0xa8bf0b[_0x443edf(0x524)]?JSON['stringify'](_0xa8bf0b['data']):_0xa8bf0b[_0x443edf(0xd5f)]()});}):_0x1d93a5[_0x1ca259(0x279f)]['get']({'fields':'id,name','sort':_0x1ca259(0x19eb)})[_0x1ca259(0x2945)][_0x1ca259(0x146b)](function(_0x367a78){const _0x489997=_0x1ca259;_0x4dbc3c[_0x489997(0x2433)]=_0x367a78['rows']||[];})[_0x1ca259(0x146b)](function(){const _0x18b90c=_0x1ca259;return _0x1d93a5['userProfileSection']['get']({'userProfileId':_0x4dbc3c[_0x18b90c(0x2321)][_0x18b90c(0x209a)],'sectionId':0x3f3})[_0x18b90c(0x2945)];})[_0x1ca259(0x146b)](function(_0x2531ad){const _0x36d2e8=_0x1ca259,_0xe7317f=_0x2531ad&&_0x2531ad[_0x36d2e8(0x19c7)]?_0x2531ad[_0x36d2e8(0x19c7)][0x0]:null;if(!_0xe7317f){const _0x3b9ee5=[];let _0x35ff32=null;_0x4dbc3c[_0x36d2e8(0x178)]&&(_0x35ff32=_0x3f65c0()[_0x36d2e8(0xc84)](_0x4dbc3c[_0x36d2e8(0x2433)],{'id':Number(_0x4dbc3c['faxAccount'][_0x36d2e8(0x1b77)])}));for(let _0x2559cf=0x0;_0x2559cf<_0x4dbc3c[_0x36d2e8(0x2433)][_0x36d2e8(0x402)];_0x2559cf++){_0x35ff32&&_0x4dbc3c[_0x36d2e8(0x2433)][_0x2559cf]['id']===_0x35ff32['id']&&(_0x4dbc3c[_0x36d2e8(0x2433)][_0x2559cf]['canSelect']=![],_0x3b9ee5[_0x36d2e8(0x1f47)](_0x4dbc3c[_0x36d2e8(0x2433)][_0x2559cf]));}_0x4dbc3c[_0x36d2e8(0x2433)]=_0x3b9ee5;}else{if(!_0xe7317f[_0x36d2e8(0x11d2)])return _0x1d93a5[_0x36d2e8(0xdcc)]['get']({'sectionId':_0xe7317f['id']})[_0x36d2e8(0x2945)]['then'](function(_0x11516a){const _0x3ad473=_0x36d2e8,_0x471739=_0x3f65c0()['map'](_0x11516a[_0x3ad473(0x19c7)],function(_0x2b37d9){return _0x3f65c0()['find'](_0x4dbc3c['trunks'],{'id':_0x2b37d9['resourceId']});});let _0x1a9c61=null;_0x4dbc3c[_0x3ad473(0x178)]&&(_0x1a9c61=_0x3f65c0()['find'](_0x4dbc3c[_0x3ad473(0x2433)],{'id':Number(_0x4dbc3c[_0x3ad473(0x178)][_0x3ad473(0x1b77)])}));if(_0x1a9c61&&!_0x3f65c0()[_0x3ad473(0x1360)](_0x471739,['id',_0x1a9c61['id']])){const _0x3993b8=_0x3f65c0()[_0x3ad473(0xc84)](_0x4dbc3c['trunks'],{'id':_0x1a9c61['id']});_0x3993b8[_0x3ad473(0x8ff)]=![],_0x471739['push'](_0x3993b8);}_0x4dbc3c[_0x3ad473(0x2433)]=_0x471739;});}})['catch'](function(_0x3bdc72){const _0x49ab2f=_0x1ca259;_0x560923[_0x49ab2f(0x1980)]({'title':_0x3bdc72[_0x49ab2f(0x107b)]?_0x49ab2f(0x262a)+_0x3bdc72['status']+'\x20-\x20'+_0x3bdc72[_0x49ab2f(0x167f)]:_0x49ab2f(0x2436),'msg':_0x3bdc72[_0x49ab2f(0x524)]?JSON['stringify'](_0x3bdc72[_0x49ab2f(0x524)]):_0x3bdc72[_0x49ab2f(0xd5f)]()});}),_0x3e2e35['hasRole']('admin')?_0x1d93a5['cmList'][_0x1ca259(0x16b4)]({'fields':_0x1ca259(0x7a7),'sort':_0x1ca259(0x19eb)})[_0x1ca259(0x2945)]['then'](function(_0x73293a){const _0x429d58=_0x1ca259;_0x4dbc3c[_0x429d58(0x1324)]=_0x73293a['rows']||[];})['catch'](function(_0x9b8787){const _0x517ed6=_0x1ca259;_0x560923[_0x517ed6(0x1980)]({'title':_0x9b8787[_0x517ed6(0x107b)]?_0x517ed6(0x262a)+_0x9b8787[_0x517ed6(0x107b)]+_0x517ed6(0x1315)+_0x9b8787['statusText']:_0x517ed6(0x11b3),'msg':_0x9b8787[_0x517ed6(0x524)]?JSON[_0x517ed6(0x10bb)](_0x9b8787[_0x517ed6(0x524)]):_0x9b8787[_0x517ed6(0xd5f)]()});}):_0x1d93a5[_0x1ca259(0xf03)][_0x1ca259(0x16b4)]({'fields':_0x1ca259(0x7a7),'sort':_0x1ca259(0x19eb)})[_0x1ca259(0x2945)][_0x1ca259(0x146b)](function(_0x2332d3){const _0x5c92f9=_0x1ca259;_0x4dbc3c[_0x5c92f9(0x1324)]=_0x2332d3[_0x5c92f9(0x19c7)]||[];})[_0x1ca259(0x146b)](function(){const _0x2cb5bd=_0x1ca259;return _0x1d93a5[_0x2cb5bd(0x1366)][_0x2cb5bd(0x16b4)]({'userProfileId':_0x4dbc3c[_0x2cb5bd(0x2321)][_0x2cb5bd(0x209a)],'sectionId':0x12d})[_0x2cb5bd(0x2945)];})['then'](function(_0x537013){const _0x4e1ef9=_0x1ca259,_0x5b9d34=_0x537013&&_0x537013[_0x4e1ef9(0x19c7)]?_0x537013['rows'][0x0]:null;if(!_0x5b9d34){const _0x3b4a07=[];let _0x4438ee=null;_0x4dbc3c['faxAccount']&&(_0x4438ee=_0x3f65c0()[_0x4e1ef9(0xc84)](_0x4dbc3c[_0x4e1ef9(0x1324)],{'id':Number(_0x4dbc3c[_0x4e1ef9(0x178)]['ListId'])}));for(let _0xf2d378=0x0;_0xf2d378<_0x4dbc3c[_0x4e1ef9(0x1324)][_0x4e1ef9(0x402)];_0xf2d378++){_0x4438ee&&_0x4dbc3c[_0x4e1ef9(0x1324)][_0xf2d378]['id']===_0x4438ee['id']&&(_0x4dbc3c['lists'][_0xf2d378][_0x4e1ef9(0x8ff)]=![],_0x3b4a07[_0x4e1ef9(0x1f47)](_0x4dbc3c[_0x4e1ef9(0x1324)][_0xf2d378]));}_0x4dbc3c[_0x4e1ef9(0x1324)]=_0x3b4a07;}else{if(!_0x5b9d34[_0x4e1ef9(0x11d2)])return _0x1d93a5[_0x4e1ef9(0xdcc)][_0x4e1ef9(0x16b4)]({'sectionId':_0x5b9d34['id']})[_0x4e1ef9(0x2945)][_0x4e1ef9(0x146b)](function(_0x1cca8c){const _0x24b939=_0x4e1ef9,_0x114fc3=_0x3f65c0()[_0x24b939(0x205)](_0x1cca8c['rows'],function(_0x14511d){const _0x5564c2=_0x24b939;return _0x3f65c0()['find'](_0x4dbc3c[_0x5564c2(0x1324)],{'id':_0x14511d[_0x5564c2(0x18b8)]});});let _0xd96bfd=null;_0x4dbc3c[_0x24b939(0x178)]&&(_0xd96bfd=_0x3f65c0()['find'](_0x4dbc3c[_0x24b939(0x1324)],{'id':Number(_0x4dbc3c[_0x24b939(0x178)][_0x24b939(0xb7c)])}));if(_0xd96bfd&&!_0x3f65c0()['some'](_0x114fc3,['id',_0xd96bfd['id']])){const _0x2c96b0=_0x3f65c0()[_0x24b939(0xc84)](_0x4dbc3c[_0x24b939(0x1324)],{'id':_0xd96bfd['id']});_0x2c96b0['canSelect']=![],_0x114fc3[_0x24b939(0x1f47)](_0x2c96b0);}_0x4dbc3c[_0x24b939(0x1324)]=_0x114fc3;});}})[_0x1ca259(0x129e)](function(_0x180f75){const _0x2cbb1c=_0x1ca259;_0x560923[_0x2cbb1c(0x1980)]({'title':_0x180f75[_0x2cbb1c(0x107b)]?_0x2cbb1c(0x262a)+_0x180f75[_0x2cbb1c(0x107b)]+_0x2cbb1c(0x1315)+_0x180f75['statusText']:_0x2cbb1c(0x1a2f),'msg':_0x180f75[_0x2cbb1c(0x524)]?JSON[_0x2cbb1c(0x10bb)](_0x180f75[_0x2cbb1c(0x524)]):_0x180f75[_0x2cbb1c(0xd5f)]()});});function _0x5e61bc(){const _0x546b86=_0x1ca259;_0x4dbc3c[_0x546b86(0xcef)]=[],_0x1d93a5[_0x546b86(0x178)]['addAccountApplications'](_0x4dbc3c[_0x546b86(0x178)])[_0x546b86(0x2945)][_0x546b86(0x146b)](function(_0x22d358){const _0x167016=_0x546b86;_0x4dbc3c[_0x167016(0x8a9)][_0x167016(0xb3d)](_0x22d358[_0x167016(0x2488)]()),_0x560923[_0x167016(0x1c75)]({'title':_0x167016(0x16d7),'msg':_0x4dbc3c[_0x167016(0x178)][_0x167016(0x19eb)]?_0x4dbc3c['faxAccount'][_0x167016(0x19eb)]+'\x20has\x20been\x20created!':''}),_0x585999(_0x22d358);})['catch'](function(_0x1c44ef){const _0x550b9a=_0x546b86;if(_0x1c44ef[_0x550b9a(0x524)]&&_0x1c44ef[_0x550b9a(0x524)][_0x550b9a(0xcef)]&&_0x1c44ef[_0x550b9a(0x524)][_0x550b9a(0xcef)][_0x550b9a(0x402)]){_0x4dbc3c[_0x550b9a(0xcef)]=_0x1c44ef[_0x550b9a(0x524)]['errors']||[{'message':_0x1c44ef[_0x550b9a(0xd5f)](),'type':_0x550b9a(0x20ca)}];for(let _0x11b783=0x0;_0x11b783<_0x1c44ef[_0x550b9a(0x524)][_0x550b9a(0xcef)][_0x550b9a(0x402)];_0x11b783+=0x1){_0x560923[_0x550b9a(0x1980)]({'title':_0x1c44ef[_0x550b9a(0x524)][_0x550b9a(0xcef)][_0x11b783][_0x550b9a(0x1142)],'msg':_0x1c44ef[_0x550b9a(0x524)][_0x550b9a(0xcef)][_0x11b783][_0x550b9a(0x7fd)]});}}else _0x560923[_0x550b9a(0x1980)]({'title':_0x1c44ef[_0x550b9a(0x107b)]?_0x550b9a(0x262a)+_0x1c44ef[_0x550b9a(0x107b)]+'\x20-\x20'+_0x1c44ef['statusText']:_0x550b9a(0x20ca),'msg':_0x1c44ef['data']?JSON[_0x550b9a(0x10bb)](_0x1c44ef[_0x550b9a(0x524)][_0x550b9a(0x7fd)]):_0x1c44ef['toString']()});});}function _0x28198d(){const _0xb76fa=_0x1ca259;_0x4dbc3c['errors']=[],_0x1d93a5['faxAccount'][_0xb76fa(0x1361)](_0x4dbc3c[_0xb76fa(0x178)])[_0xb76fa(0x2945)]['then'](function(_0x573c70){const _0x2df317=_0xb76fa,_0x46bba3=_0x3f65c0()[_0x2df317(0xc84)](_0x4dbc3c[_0x2df317(0x8a9)],{'id':_0x573c70['id']});_0x46bba3&&_0x3f65c0()['merge'](_0x46bba3,_0x3f65c0()[_0x2df317(0x40e)](_0x573c70[_0x2df317(0x2488)](),_0x3f65c0()['keys'](_0x46bba3))),_0x560923[_0x2df317(0x1c75)]({'title':_0x2df317(0x1ef),'msg':_0x4dbc3c['faxAccount'][_0x2df317(0x19eb)]?_0x4dbc3c[_0x2df317(0x178)][_0x2df317(0x19eb)]+_0x2df317(0x24db):''}),_0x585999(_0x573c70);})[_0xb76fa(0x129e)](function(_0x4ad552){const _0x2c17b0=_0xb76fa;if(_0x4ad552[_0x2c17b0(0x524)]&&_0x4ad552[_0x2c17b0(0x524)][_0x2c17b0(0xcef)]&&_0x4ad552['data']['errors']['length']){_0x4dbc3c[_0x2c17b0(0xcef)]=_0x4ad552['data']['errors']||[{'message':_0x4ad552['toString'](),'type':'api.faxAccount.update'}];for(let _0x22567c=0x0;_0x22567c<_0x4ad552['data'][_0x2c17b0(0xcef)][_0x2c17b0(0x402)];_0x22567c++){_0x560923[_0x2c17b0(0x1980)]({'title':_0x4ad552[_0x2c17b0(0x524)][_0x2c17b0(0xcef)][_0x22567c][_0x2c17b0(0x1142)],'msg':_0x4ad552[_0x2c17b0(0x524)][_0x2c17b0(0xcef)][_0x22567c][_0x2c17b0(0x7fd)]});}}else _0x560923[_0x2c17b0(0x1980)]({'title':_0x4ad552[_0x2c17b0(0x107b)]?_0x2c17b0(0x262a)+_0x4ad552[_0x2c17b0(0x107b)]+_0x2c17b0(0x1315)+_0x4ad552['statusText']:_0x2c17b0(0x111),'msg':_0x4ad552['data']?JSON[_0x2c17b0(0x10bb)](_0x4ad552['data'][_0x2c17b0(0x7fd)]):_0x4ad552['toString']()});});}function _0x2e60a2(_0x2217f1){const _0x20f9b1=_0x1ca259;_0x4dbc3c[_0x20f9b1(0xcef)]=[];const _0x412083=_0x959350[_0x20f9b1(0x1e8a)]()[_0x20f9b1(0x1189)]('Are\x20you\x20sure?')[_0x20f9b1(0x80f)](_0x20f9b1(0x15b7))['ariaLabel']('Delete\x20FaxAccount')['ok'](_0x20f9b1(0x25de))['cancel'](_0x20f9b1(0xcf0))[_0x20f9b1(0x1f27)](_0x2217f1);_0x959350[_0x20f9b1(0x2615)](_0x412083)[_0x20f9b1(0x146b)](function(){const _0x3eac1c=_0x20f9b1;_0x1d93a5[_0x3eac1c(0x178)][_0x3eac1c(0x1fac)]({'id':_0x4dbc3c[_0x3eac1c(0x178)]['id']})['$promise'][_0x3eac1c(0x146b)](function(){const _0x5272b5=_0x3eac1c;_0x3f65c0()[_0x5272b5(0x2640)](_0x4dbc3c[_0x5272b5(0x8a9)],{'id':_0x4dbc3c['faxAccount']['id']}),_0x560923[_0x5272b5(0x1c75)]({'title':_0x5272b5(0x8b2),'msg':(_0x4dbc3c[_0x5272b5(0x178)][_0x5272b5(0x19eb)]||_0x5272b5(0x178))+_0x5272b5(0x23e3)}),_0x585999(_0x4dbc3c[_0x5272b5(0x178)]);})[_0x3eac1c(0x129e)](function(_0x4a53fa){const _0x280a80=_0x3eac1c;if(_0x4a53fa['data']&&_0x4a53fa[_0x280a80(0x524)][_0x280a80(0xcef)]&&_0x4a53fa['data'][_0x280a80(0xcef)][_0x280a80(0x402)]){_0x4dbc3c[_0x280a80(0xcef)]=_0x4a53fa[_0x280a80(0x524)][_0x280a80(0xcef)]||[{'message':_0x4a53fa[_0x280a80(0xd5f)](),'type':_0x280a80(0x1261)}];for(let _0x5615b0=0x0;_0x5615b0<_0x4a53fa['data']['errors'][_0x280a80(0x402)];_0x5615b0++){_0x560923[_0x280a80(0x1980)]({'title':_0x4a53fa[_0x280a80(0x524)][_0x280a80(0xcef)][_0x5615b0][_0x280a80(0x1142)],'msg':_0x4a53fa['data'][_0x280a80(0xcef)][_0x5615b0][_0x280a80(0x7fd)]});}}else _0x560923[_0x280a80(0x1980)]({'title':_0x4a53fa[_0x280a80(0x107b)]?'API:'+_0x4a53fa[_0x280a80(0x107b)]+_0x280a80(0x1315)+_0x4a53fa[_0x280a80(0x167f)]:_0x280a80(0x1261),'msg':_0x4a53fa[_0x280a80(0x524)]?JSON[_0x280a80(0x10bb)](_0x4a53fa[_0x280a80(0x524)][_0x280a80(0x7fd)]):_0x4a53fa[_0x280a80(0x7fd)]||_0x4a53fa['toString']()});});},function(){});}function _0x2606ec(_0x25ceae){return _0x25ceae===null?undefined:new Date(_0x25ceae);}function _0x585999(_0x30c8f9){_0x959350['hide'](_0x30c8f9);}}const _0x1baf09=_0x5631c1;;const _0x21701c=_0x4acfac['p']+_0x313a4d(0x1ac4);;const _0x55b638=_0x4acfac['p']+'src/js/modules/main/apps/fax/views/faxAccounts/edit/apps/close/dialog.html/dialog.html';;const _0x29b3cf=_0x4acfac['p']+'src/js/modules/main/apps/fax/views/faxAccounts/edit/apps/gotoif/dialog.html/dialog.html';;const _0x50b376=_0x4acfac['p']+'src/js/modules/main/apps/fax/views/faxAccounts/edit/apps/gotop/dialog.html/dialog.html';;const _0x367de4=_0x4acfac['p']+'src/js/modules/main/apps/fax/views/faxAccounts/edit/apps/interval/dialog.html/dialog.html';;const _0x4ef11a=_0x4acfac['p']+'src/js/modules/main/apps/fax/views/faxAccounts/edit/apps/noop/dialog.html/dialog.html';;const _0x7a141=_0x4acfac['p']+_0x313a4d(0x18e7);;const _0x591ca4=_0x4acfac['p']+'src/js/modules/main/apps/fax/views/faxAccounts/edit/apps/system/dialog.html/dialog.html';;const _0x42f957=_0x4acfac['p']+'src/js/modules/main/apps/fax/views/faxAccounts/edit/apps/tag/dialog.html/dialog.html';;_0x25e7fb['$inject']=['api',_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1fe4),_0x313a4d(0xa87)];const _0x5135c7={'agent':_0x21701c,'close':_0x55b638,'gotoif':_0x29b3cf,'gotop':_0x50b376,'interval':_0x367de4,'noop':_0x4ef11a,'queue':_0x7a141,'system':_0x591ca4,'tag':_0x42f957};function _0x25e7fb(_0x1f33bd,_0x7e60fb,_0x1e99a8,_0x4f0c1f,_0x58dcc4){const _0x1bc600=_0x313a4d,_0x7e878d=this;_0x7e878d[_0x1bc600(0x2321)]=_0x58dcc4[_0x1bc600(0xb12)](),_0x7e878d[_0x1bc600(0x178)]={},_0x7e878d[_0x1bc600(0x1b9e)]={'count':0x0,'rows':[]},_0x7e878d[_0x1bc600(0x147b)]=[],_0x7e878d[_0x1bc600(0x2514)],_0x7e878d[_0x1bc600(0x1a56)]={'sort':_0x1bc600(0xa6a)},_0x7e878d[_0x1bc600(0x8b0)]=_0x3f65c0()['reject'](_0x3f65c0()['sortBy']([{'app':_0x1bc600(0x1b4e),'appType':_0x1bc600(0x2765),'types':['always',_0x1bc600(0x1802),'list'],'fields':[],'isApp':![]},{'app':_0x1bc600(0x309),'appType':'noop','type':_0x1bc600(0x309),'icon':_0x1bc600(0x1205),'interval':_0x1bc600(0x1559),'required':!![],'isApp':!![],'fields':[{'title':_0x1bc600(0x2193),'name':'value','type':_0x1bc600(0xa8d),'param':0x0}]},{'app':_0x1bc600(0x1d47),'appType':_0x1bc600(0x1d47),'type':_0x1bc600(0x1d47),'icon':_0x1bc600(0x1205),'interval':_0x1bc600(0x1559),'required':!![],'isApp':!![],'extraApi':[{'name':_0x1bc600(0x85b),'field':{'name':_0x1bc600(0x212),'key':_0x1bc600(0x19eb)},'route':_0x1bc600(0x212),'filters':{'fields':_0x1bc600(0x7a7),'sort':_0x1bc600(0x19eb),'nolimit':!![]},'permissions':{'section':0x3f4}}],'fields':[{'title':_0x1bc600(0x1d8b),'name':_0x1bc600(0x23e9),'type':_0x1bc600(0xa8d),'required':!![],'param':0x0},{'title':_0x1bc600(0x182),'name':_0x1bc600(0x212),'type':_0x1bc600(0x28c6),'values':_0x1bc600(0x85b),'value':_0x1bc600(0x1566),'option':_0x1bc600(0x1566),'defaultValues':[{'value':'\x27\x27','option':_0x1bc600(0x13dd)}],'defaultValue':'\x27\x27','param':0x1}]},{'app':_0x1bc600(0x28f4),'appType':_0x1bc600(0x28f4),'type':_0x1bc600(0x151b),'icon':_0x1bc600(0x1205),'interval':'*,*,*,*','isApp':!![],'fields':[{'title':_0x1bc600(0x23d8),'name':_0x1bc600(0xa6a),'type':'number','required':!![],'min':0x0,'param':0x0}]},{'app':_0x1bc600(0x609),'appType':'gotoif','type':_0x1bc600(0x609),'icon':_0x1bc600(0x1205),'interval':_0x1bc600(0x1559),'isApp':!![],'fields':[{'title':'Condition','name':_0x1bc600(0x115f),'type':'text','required':!![],'param':0x0},{'title':_0x1bc600(0x1d78),'name':_0x1bc600(0x1d78),'type':_0x1bc600(0x181),'min':0x1,'required':!![],'param':0x1},{'title':_0x1bc600(0x28e1),'name':_0x1bc600(0x28e1),'type':_0x1bc600(0x181),'min':0x1,'required':!![],'param':0x2}]},{'app':_0x1bc600(0x1c72),'appType':_0x1bc600(0x1c72),'foreignKey':'FaxQueueId','type':_0x1bc600(0x1c72),'icon':'icon-apps','interval':_0x1bc600(0x1559),'isApp':!![],'extraApi':[{'name':_0x1bc600(0x1b32),'field':{'name':'queue','key':_0x1bc600(0x19eb)},'route':_0x1bc600(0x999),'filters':{'fields':'id,name,type','sort':'name','nolimit':!![]},'permissions':{'section':0x385}}],'fields':[{'title':'Queue','name':_0x1bc600(0x1c72),'type':_0x1bc600(0x28c6),'values':'queues','value':_0x1bc600(0x15b0),'option':_0x1bc600(0x15b0),'defaultValue':0x12c,'required':!![],'param':0x0},{'title':'Timeout','name':_0x1bc600(0xa9c),'type':_0x1bc600(0x181),'max':0x20c49b,'min':0x0,'defaultValue':0x12c,'required':!![],'param':0x1}]},{'app':_0x1bc600(0x1755),'appType':'agent','type':_0x1bc600(0x1755),'foreignKey':_0x1bc600(0xea2),'icon':'icon-apps','interval':_0x1bc600(0x1559),'isApp':!![],'extraApi':[{'name':'agents','field':{'name':_0x1bc600(0x1755),'key':'name'},'route':_0x1bc600(0xe7b),'filters':{'fields':_0x1bc600(0x7a7),'sort':_0x1bc600(0x19eb),'role':_0x1bc600(0x1755),'nolimit':!![]},'permissions':{'section':0xca}}],'fields':[{'title':_0x1bc600(0x294a),'name':_0x1bc600(0x1755),'type':_0x1bc600(0x28c6),'values':_0x1bc600(0x23c0),'value':_0x1bc600(0x264f),'option':_0x1bc600(0x264f),'required':!![],'param':0x0},{'title':_0x1bc600(0x5cc),'name':_0x1bc600(0xa9c),'type':_0x1bc600(0x181),'max':0x20c49b,'min':0x0,'required':!![],'defaultValue':0x1e,'param':0x1}]},{'app':'close','appType':_0x1bc600(0xa7c),'type':_0x1bc600(0xa7c),'icon':_0x1bc600(0x1205),'interval':'*,*,*,*','isApp':!![],'fields':[{'title':_0x1bc600(0x673),'name':_0x1bc600(0x9e1),'type':_0x1bc600(0xa8d),'required':!![],'param':0x0}]},{'app':_0x1bc600(0xa80),'appType':'tag','type':'tag','foreignKey':_0x1bc600(0xb0a),'icon':_0x1bc600(0x1205),'interval':_0x1bc600(0x1559),'isApp':!![],'extraApi':[{'name':_0x1bc600(0x1b86),'field':{'name':_0x1bc600(0xa80),'key':'name'},'route':_0x1bc600(0xa80),'filters':{'fields':_0x1bc600(0x7a7),'sort':'name','nolimit':!![]},'permissions':{'section':0x3f0}}],'fields':[{'title':_0x1bc600(0x23a8),'name':'tag','type':'apiselect','values':_0x1bc600(0x1b86),'value':_0x1bc600(0x1a96),'option':_0x1bc600(0x1a96),'required':!![],'param':0x0}]}],[_0x1bc600(0x1873)]),{'isApp':![]}),_0x7e878d['list']={'group':{'name':_0x1bc600(0x156c),'pull':_0x1bc600(0x12cc)},'animation':0x64,'sort':![]},_0x7e878d[_0x1bc600(0x25fc)]={'group':{'name':_0x1bc600(0x916),'put':_0x1bc600(0x156c)},'animation':0x64,'onAdd':function(_0x54ed00){const _0x4fe80d=_0x1bc600;_0xa05377(_0x54ed00,_0x54ed00[_0x4fe80d(0x154d)]);},'onSort':function(){_0x1381fb();}},_0x7e878d[_0x1bc600(0x5aa)]=_0x2e6544,_0x7e878d[_0x1bc600(0x19b4)]=_0x22a0d8,_0x7e878d['getFaxAccountApps']=_0x2bf28d,_0x7e878d['editFaxAccountApp']=_0xa05377,_0x7e878d[_0x1bc600(0x285b)]=_0x38a66e,_0x7e878d[_0x1bc600(0x15e2)]=_0x33bb7a,_0x7e878d[_0x1bc600(0x128a)]=_0x1c21e6,_0x7e878d[_0x1bc600(0x1450)]=_0x1381fb,_0x7e878d['getIntervals']=_0x2bb899;function _0x2e6544(_0x2dcdff,_0x43198a){const _0x5ad3e6=_0x1bc600;_0x7e878d[_0x5ad3e6(0x178)]=_0x2dcdff,_0x7e878d['crudPermissions']=typeof _0x43198a!==_0x5ad3e6(0x2274)?_0x43198a:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x7e878d[_0x5ad3e6(0x25fc)][_0x5ad3e6(0x2862)]=!_0x7e878d[_0x5ad3e6(0x2514)][_0x5ad3e6(0x15f4)]?!![]:![],_0x7e878d['query']['id']=_0x2dcdff['id'],_0x7e878d['query']['isApp']=!![],_0x7e878d['query'][_0x5ad3e6(0x6c5)]=!![],_0x7e878d[_0x5ad3e6(0x24c7)](),_0x7e878d[_0x5ad3e6(0x1c1c)]();}function _0x22a0d8(_0x2253e4,_0x51e89d,_0x5300db){const _0x24cd71=_0x1bc600,_0x1679f2=_0x7e60fb[_0x24cd71(0x1e8a)]()['title'](_0x24cd71(0x1058))[_0x24cd71(0x1cbe)](_0x24cd71(0x16d3)+_0x2253e4[_0x24cd71(0x1873)]+_0x24cd71(0x252f)+'\x20will\x20be\x20deleted.')[_0x24cd71(0x4bd)](_0x24cd71(0x847))[_0x24cd71(0x1f27)](_0x5300db)['ok']('OK')[_0x24cd71(0x6c3)](_0x24cd71(0x39a));_0x7e60fb['show'](_0x1679f2)[_0x24cd71(0x146b)](function(){const _0x1af9f7=_0x24cd71;_0x7e878d[_0x1af9f7(0x1b9e)][_0x1af9f7(0x19c7)][_0x1af9f7(0x1f7d)](_0x51e89d,0x1),_0x1381fb();},function(){const _0x4b472d=_0x24cd71;console[_0x4b472d(0x1a74)](_0x4b472d(0x39a));});}function _0xa05377(_0xa6925a,_0xd399a1){const _0x42c58c=_0x1bc600;if(_0x7e878d['faxAccountApps'][_0x42c58c(0x19c7)]['length']){const _0x448a60=_0x7e878d[_0x42c58c(0x1b9e)]['rows'][_0xd399a1]?_0x7e878d[_0x42c58c(0x1b9e)]['rows'][_0xd399a1]:_0x7e878d[_0x42c58c(0x1b9e)]['rows'][0x0],_0x51e185=(_0x448a60[_0x42c58c(0x8f2)]||_0x448a60[_0x42c58c(0x1873)])['toLowerCase']();_0x7e60fb[_0x42c58c(0x2615)]({'controller':'EditFaxAccountApp'+_0x51e185+'DialogController','controllerAs':'vm','templateUrl':_0x5135c7[_0x51e185],'parent':angular[_0x42c58c(0x1853)](_0x1e99a8[_0x42c58c(0x2586)]),'targetEvent':_0xa6925a,'clickOutsideToClose':!![],'locals':{'faxAccountApp':_0x448a60,'faxAccount':_0x7e878d[_0x42c58c(0x178)],'crudPermissions':_0x7e878d[_0x42c58c(0x2514)]}})['then'](function(_0x22ef8e){const _0x514f11=_0x42c58c;_0x22ef8e&&(_0x22ef8e['id']?_0x7e878d[_0x514f11(0x1b9e)][_0x514f11(0x19c7)][_0xd399a1]=_0x22ef8e:_0x7e878d['faxAccountApps'][_0x514f11(0x19c7)][_0x514f11(0x1f7d)](_0xd399a1,0x0,_0x22ef8e),_0x1381fb());})[_0x42c58c(0x129e)](function(_0x745a95){const _0x1aad12=_0x42c58c;_0x745a95&&_0x4f0c1f[_0x1aad12(0x1980)]({'title':_0x745a95[_0x1aad12(0x107b)]?'API:'+_0x745a95[_0x1aad12(0x107b)]+'\x20-\x20'+_0x745a95[_0x1aad12(0x167f)]:_0x1aad12(0x10a0),'msg':_0x745a95[_0x1aad12(0x524)]?JSON[_0x1aad12(0x10bb)](_0x745a95[_0x1aad12(0x524)]):_0x745a95['toString']()});});}}function _0x38a66e(_0x33ac6c,_0x50df76){const _0x53674c=_0x1bc600;if(_0x7e878d[_0x53674c(0x1b9e)][_0x53674c(0x19c7)][_0x53674c(0x402)]){const _0x4b7b10=_0x7e878d[_0x53674c(0x1b9e)][_0x53674c(0x19c7)][_0x50df76]?_0x7e878d[_0x53674c(0x1b9e)][_0x53674c(0x19c7)][_0x50df76]:_0x7e878d[_0x53674c(0x1b9e)][_0x53674c(0x19c7)][0x0];_0x7e60fb[_0x53674c(0x2615)]({'controller':_0x53674c(0x7ba),'controllerAs':'vm','templateUrl':_0x367de4,'parent':angular[_0x53674c(0x1853)](_0x1e99a8[_0x53674c(0x2586)]),'targetEvent':_0x33ac6c,'clickOutsideToClose':!![],'locals':{'interval':{'interval':_0x4b7b10[_0x53674c(0x2765)],'IntervalId':_0x4b7b10[_0x53674c(0x1ff2)],'application':!![]},'intervals':[],'crudPermissions':_0x7e878d['crudPermissions']}})[_0x53674c(0x146b)](function(_0x412b92){const _0x1af16f=_0x53674c;_0x412b92&&(_0x4b7b10[_0x1af16f(0x2765)]=_0x412b92[_0x1af16f(0x2765)]||_0x1af16f(0x1559),_0x4b7b10[_0x1af16f(0x1ff2)]=_0x412b92[_0x1af16f(0x1ff2)]||null,_0x1381fb());});}}function _0x1381fb(){const _0x3d464b=_0x1bc600;let _0x4558d=0x1,_0x214b2e=[];for(let _0x2c1e5f=0x0;_0x2c1e5f<_0x7e878d[_0x3d464b(0x1b9e)][_0x3d464b(0x19c7)][_0x3d464b(0x402)];_0x2c1e5f++){const _0x274641=_0x7e878d[_0x3d464b(0x1b9e)][_0x3d464b(0x19c7)][_0x2c1e5f],_0xd1112b=[],_0x7f3345=[];_0x274641[_0x3d464b(0x278f)]=_0x274641['interval']!==_0x3d464b(0x1559)?[_0x274641['interval']]:_0x274641['IntervalId']?_0x3f65c0()[_0x3d464b(0x205)](_0x3f65c0()[_0x3d464b(0x1dd6)](_0x7e878d[_0x3d464b(0x278f)][_0x3d464b(0x19c7)],{'IntervalId':_0x274641[_0x3d464b(0x1ff2)]}),_0x3d464b(0x2765)):[],_0x274641[_0x3d464b(0x1ac1)]=_0x7e878d[_0x3d464b(0x178)]['context'],_0x274641['exten']=_0x7e878d[_0x3d464b(0x178)][_0x3d464b(0x19b2)],_0x274641[_0x3d464b(0x1142)]&&(_0x274641[_0x3d464b(0x1142)]=_0x274641[_0x3d464b(0x1142)]['toLowerCase']()),_0x274641['priority']=_0xd1112b[_0x3d464b(0x402)]?_0x3f65c0()[_0x3d464b(0x22f2)](_0xd1112b)['priority']+0x1:_0x4558d,_0x4558d=(_0x7f3345['length']?_0x3f65c0()[_0x3d464b(0x22f2)](_0x7f3345)[_0x3d464b(0xa6a)]:_0x274641[_0x3d464b(0xa6a)])+0x1,_0x214b2e=_0x3f65c0()['concat'](_0x214b2e,_0xd1112b,[_0x274641],_0x7f3345);}_0x1f33bd['faxAccount'][_0x3d464b(0x1711)]({'id':_0x7e878d['faxAccount']['id']},_0x3f65c0()['sortBy'](_0x214b2e,_0x3d464b(0xa6a)))[_0x3d464b(0x2945)][_0x3d464b(0x146b)](function(_0x3cccbb){const _0x375bf1=_0x3d464b;_0x7e878d[_0x375bf1(0x1b9e)][_0x375bf1(0x19c7)]=_0x3cccbb[_0x375bf1(0x19c7)];})[_0x3d464b(0x129e)](function(_0x44defc){console['error'](_0x44defc);});}function _0x507f78(_0x55ef9a){const _0x2fb660=_0x1bc600;_0x7e878d[_0x2fb660(0x1b9e)]=_0x55ef9a||{'count':0x0,'rows':[]};}function _0x2bb899(){const _0x4b6207=_0x1bc600;return _0x1f33bd[_0x4b6207(0x2765)][_0x4b6207(0x16b4)]({'fields':_0x4b6207(0x2749)})['$promise'][_0x4b6207(0x146b)](function(_0x4c1e2b){const _0x3ee814=_0x4b6207;_0x7e878d[_0x3ee814(0x278f)]=_0x4c1e2b;})[_0x4b6207(0x129e)](function(_0xa8b44c){const _0x2d4116=_0x4b6207;console[_0x2d4116(0x1980)](_0xa8b44c);});}function _0x2bf28d(){const _0x597188=_0x1bc600;_0x7e878d['promise']=_0x1f33bd[_0x597188(0x178)]['getApplications'](_0x7e878d['query'],_0x507f78)[_0x597188(0x2945)];}function _0x33bb7a(_0x49a7ed){const _0x47a78b=_0x1bc600;_0x3f65c0()[_0x47a78b(0x2640)](_0x7e878d['faxAccountApps']['rows'],{'id':_0x49a7ed['id']}),_0x1381fb(),_0x4f0c1f['success']({'title':_0x47a78b(0x1c3a),'msg':_0x49a7ed[_0x47a78b(0x1873)]?_0x49a7ed[_0x47a78b(0x1873)]+_0x47a78b(0x23e3):''});}function _0x1c21e6(_0x574ac7){const _0x3ca916=_0x1bc600,_0x4e57e3=_0x7e60fb['confirm']()['title'](_0x3ca916(0x1d9f))[_0x3ca916(0x1cbe)](_0x3ca916(0x16d3)+_0x7e878d[_0x3ca916(0x147b)][_0x3ca916(0x402)]+'\x20selected
'+_0x3ca916(0xe01))[_0x3ca916(0x4bd)]('delete\x20applications')[_0x3ca916(0x1f27)](_0x574ac7)['ok']('OK')[_0x3ca916(0x6c3)](_0x3ca916(0x39a));_0x7e60fb[_0x3ca916(0x2615)](_0x4e57e3)['then'](function(){const _0x569b62=_0x3ca916;_0x7e878d[_0x569b62(0x147b)]['forEach'](function(_0x4034fd){const _0x1518f4=_0x569b62;_0x3f65c0()['remove'](_0x7e878d[_0x1518f4(0x1b9e)][_0x1518f4(0x19c7)],{'id':_0x4034fd['id']});}),_0x7e878d[_0x569b62(0x147b)]=[],_0x1381fb();});}}const _0x4e06dc=_0x25e7fb;;_0x2826a1['$inject']=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0x178),_0x313a4d(0x8a9),'realtime',_0x313a4d(0x214b),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x2826a1(_0x1ba5db,_0x231d5d,_0x51f29b,_0x225ade,_0x8e07b1,_0x513fdb,_0x442154,_0x527d9b,_0x279b8e,_0x3a20be){const _0x1191b9=_0x313a4d,_0x2ccba6=this;_0x2ccba6[_0x1191b9(0x2321)]=_0x279b8e[_0x1191b9(0xb12)](),_0x2ccba6[_0x1191b9(0x178)]=_0x8e07b1,_0x2ccba6[_0x1191b9(0x2514)]=_0x3a20be,_0x2ccba6[_0x1191b9(0xfc4)]=_0x442154,_0x2ccba6['items']=[],_0x2ccba6[_0x1191b9(0xc4e)]=[],_0x2ccba6['selectedItems']=[],_0x2ccba6['startingAllowedItems']=[],_0x2ccba6[_0x1191b9(0xa65)]=[],_0x2ccba6[_0x1191b9(0x132)]=![],_0x2ccba6[_0x1191b9(0x3d4)]=_0x528d74,_0x2ccba6[_0x1191b9(0x14c2)]=_0x4f3459,_0x2ccba6['closeDialog']=_0x181871,_0x2ccba6[_0x1191b9(0x1f8a)]={'readOnly':!_0x2ccba6['crudPermissions'][_0x1191b9(0x15f4)],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':'name','line1':_0x1191b9(0x286a),'line2':['name',_0x1191b9(0x113f)],'line3':'','labelAll':_0x527d9b[_0x1191b9(0xde)](_0x1191b9(0x2050)),'labelSelected':_0x527d9b['instant'](_0x1191b9(0xf73)),'transferCallback':function(){const _0x2965b4=_0x1191b9,_0x3ac593=_0x3f65c0()[_0x2965b4(0x1883)](_0x2ccba6[_0x2965b4(0xa65)],_0x2ccba6[_0x2965b4(0x1ecf)],'id');_0x2ccba6[_0x2965b4(0x132)]=_0x3f65c0()[_0x2965b4(0x2635)](_0x3ac593)?![]:!![];}};function _0x528d74(){const _0x56cf28=_0x1191b9;return _0x279b8e[_0x56cf28(0x23e0)](_0x56cf28(0x174b))?_0x5b6093()[_0x56cf28(0x129e)](function(_0x362d5d){const _0x415bb6=_0x56cf28;_0x51f29b[_0x415bb6(0x1980)]({'title':_0x362d5d[_0x415bb6(0x107b)]?'API:'+_0x362d5d[_0x415bb6(0x107b)]+_0x415bb6(0x1315)+_0x362d5d[_0x415bb6(0x167f)]:_0x415bb6(0x557),'msg':_0x362d5d[_0x415bb6(0x107b)]?JSON[_0x415bb6(0x10bb)](_0x362d5d[_0x415bb6(0x524)]):_0x362d5d['toString']()});}):_0x45d15()['then'](function(_0x2688e2){const _0xd7076a=_0x56cf28;return _0x2ccba6[_0xd7076a(0x2146)]=_0x2688e2,_0x5b6093();})[_0x56cf28(0x129e)](function(_0x5c33eb){const _0x36472c=_0x56cf28;_0x51f29b[_0x36472c(0x1980)]({'title':_0x5c33eb[_0x36472c(0x107b)]?_0x36472c(0x262a)+_0x5c33eb[_0x36472c(0x107b)]+_0x36472c(0x1315)+_0x5c33eb[_0x36472c(0x167f)]:_0x36472c(0x557),'msg':_0x5c33eb[_0x36472c(0x107b)]?JSON[_0x36472c(0x10bb)](_0x5c33eb[_0x36472c(0x524)]):_0x5c33eb[_0x36472c(0xd5f)]()});});}function _0x45d15(){return _0x231d5d(function(_0x2c0a17,_0x514318){const _0x3cf38e=a0_0x3bb9;_0x225ade[_0x3cf38e(0x1366)]['get']({'userProfileId':_0x2ccba6['currentUser'][_0x3cf38e(0x209a)],'name':_0x3cf38e(0xca8)})[_0x3cf38e(0x2945)][_0x3cf38e(0x146b)](function(_0x197910){const _0x6cddd4=_0x3cf38e,_0x158903=_0x197910&&_0x197910[_0x6cddd4(0x19c7)]?_0x197910[_0x6cddd4(0x19c7)][0x0]:null;_0x2c0a17(_0x158903);})[_0x3cf38e(0x129e)](function(_0x53598c){_0x514318(_0x53598c);});});}function _0x5b6093(){return _0x231d5d(function(_0x3c7927,_0x35f702){const _0x4437de=a0_0x3bb9;return _0x18ffa3()[_0x4437de(0x146b)](function(_0x2555c7){const _0x36b6d6=_0x4437de;return _0x2ccba6['items']=_0x2555c7[_0x36b6d6(0x19c7)]?_0x2555c7[_0x36b6d6(0x19c7)]:[],_0x279b8e[_0x36b6d6(0x23e0)](_0x36b6d6(0x174b))?_0x2555c7:_0x2ccba6['section']?_0x2ccba6[_0x36b6d6(0x2146)]['autoAssociation']?_0x2555c7:_0x59ce3b():null;})['then'](function(_0xce08c7){const _0x45b8d6=_0x4437de,_0x272e4a=_0xce08c7&&_0xce08c7[_0x45b8d6(0x19c7)]?_0xce08c7[_0x45b8d6(0x19c7)]:[];return _0x2ccba6[_0x45b8d6(0xc4e)]=_0x3f65c0()[_0x45b8d6(0x205)](_0x272e4a,function(_0x5d9ffe){const _0x25c7b5=_0x45b8d6;return _0x3f65c0()[_0x25c7b5(0xc84)](_0x2ccba6[_0x25c7b5(0x1fd6)],{'id':_0x279b8e[_0x25c7b5(0x23e0)](_0x25c7b5(0x174b))||_0x2ccba6[_0x25c7b5(0x2146)][_0x25c7b5(0x11d2)]?_0x5d9ffe['id']:_0x5d9ffe[_0x25c7b5(0x18b8)]});}),_0x2ccba6[_0x45b8d6(0x2e8)]=angular[_0x45b8d6(0x235a)](_0x2ccba6[_0x45b8d6(0xc4e)]),_0x2ccba6[_0x45b8d6(0x1fd6)][_0x45b8d6(0x1df5)](function(_0x2b7b80){const _0xcfd705=_0x45b8d6,_0x1f3f8b=_0x3f65c0()[_0xcfd705(0xc84)](_0x2ccba6[_0xcfd705(0xc4e)],{'id':_0x2b7b80['id']});_0x279b8e[_0xcfd705(0x23e0)](_0xcfd705(0x174b))?_0x2b7b80[_0xcfd705(0x146f)]=!![]:_0x2b7b80[_0xcfd705(0x146f)]=typeof _0x1f3f8b!==_0xcfd705(0x2274)?!![]:![];}),_0x442f11();})[_0x4437de(0x146b)](function(_0x2d75a7){const _0x366368=_0x4437de,_0x4a3bd4=_0x2d75a7&&_0x2d75a7[_0x366368(0x19c7)]?_0x2d75a7[_0x366368(0x19c7)]:[];_0x2ccba6['selectedItems']=_0x3f65c0()['map'](_0x4a3bd4,function(_0x2f5dbd){const _0x5b3eeb=_0x366368,_0x1f256a=_0x3f65c0()[_0x5b3eeb(0xc84)](_0x2ccba6[_0x5b3eeb(0x1fd6)],{'id':_0x2f5dbd['id']});return _0x1f256a['penalty']=_0x2f5dbd[_0x5b3eeb(0x10d)]?_0x5b3eeb(0x2505)+_0x2f5dbd[_0x5b3eeb(0x10d)]['penalty']:'',_0x1f256a[_0x5b3eeb(0x113f)]=typeof _0x2f5dbd[_0x5b3eeb(0x113f)]!=='undefined'?'<'+_0x2f5dbd[_0x5b3eeb(0x113f)]+'>':'',_0x1f256a;}),_0x2ccba6[_0x366368(0xa65)]=angular[_0x366368(0x235a)](_0x2ccba6[_0x366368(0x1ecf)]),_0x2ccba6[_0x366368(0x1f8a)]['selectedItems']=_0x2ccba6[_0x366368(0x1ecf)],_0x2ccba6['dualMultiselectOptions'][_0x366368(0x1fd6)]=_0x3f65c0()[_0x366368(0x2796)](_0x2ccba6['allowedItems'],_0x2ccba6[_0x366368(0x1f8a)]['selectedItems'],'id'),_0x3c7927();})[_0x4437de(0x129e)](function(_0x1916d7){_0x35f702(_0x1916d7);});});}function _0x59ce3b(){return _0x231d5d(function(_0x4f2680,_0x5dc2f3){const _0x421685=a0_0x3bb9;return _0x225ade[_0x421685(0xdcc)][_0x421685(0x16b4)]({'sectionId':_0x2ccba6[_0x421685(0x2146)]['id'],'nolimit':!![]})['$promise'][_0x421685(0x146b)](function(_0x2e8fad){_0x4f2680(_0x2e8fad);})[_0x421685(0x129e)](function(_0x173245){_0x5dc2f3(_0x173245);});});}function _0x442f11(){return _0x231d5d(function(_0x1157a5,_0x2577c9){const _0x188f07=a0_0x3bb9;return _0x225ade[_0x188f07(0x178)]['getAgents']({'id':_0x2ccba6['faxAccount']['id'],'fields':_0x188f07(0x1569),'nolimit':!![],'role':_0x188f07(0x1755)})[_0x188f07(0x2945)]['then'](function(_0x5941b0){_0x1157a5(_0x5941b0);})[_0x188f07(0x129e)](function(_0x4f304a){_0x2577c9(_0x4f304a);});});}function _0x18ffa3(){return _0x231d5d(function(_0x245ff7,_0x405b50){const _0x570479=a0_0x3bb9;return _0x225ade[_0x570479(0xe7b)]['get']({'fields':_0x570479(0x1569),'nolimit':!![],'role':_0x570479(0x1755)})['$promise'][_0x570479(0x146b)](function(_0x269e17){_0x245ff7(_0x269e17);})[_0x570479(0x129e)](function(_0x5c3670){_0x405b50(_0x5c3670);});});}function _0x4f6739(_0x1bc9bb){return _0x231d5d(function(_0x164e4d,_0x1b5956){const _0x7054bb=a0_0x3bb9;_0x3f65c0()[_0x7054bb(0x2635)](_0x1bc9bb)?_0x164e4d():_0x225ade[_0x7054bb(0x178)][_0x7054bb(0x135e)]({'id':_0x2ccba6['faxAccount']['id'],'ids':_0x3f65c0()['map'](_0x1bc9bb,'id')})[_0x7054bb(0x2945)][_0x7054bb(0x146b)](function(){_0x164e4d();})[_0x7054bb(0x129e)](function(_0x469d17){_0x1b5956(_0x469d17);});});}function _0x1a6758(_0x38372f){return _0x231d5d(function(_0x35e3ad,_0x20cd03){const _0x1434e9=a0_0x3bb9;_0x3f65c0()['isEmpty'](_0x38372f)?_0x35e3ad():_0x225ade[_0x1434e9(0x178)][_0x1434e9(0x1f53)]({'id':_0x2ccba6['faxAccount']['id'],'ids':_0x3f65c0()[_0x1434e9(0x205)](_0x38372f,'id')})['$promise'][_0x1434e9(0x146b)](function(){_0x35e3ad();})[_0x1434e9(0x129e)](function(_0x9285aa){_0x20cd03(_0x9285aa);});});}function _0x4f3459(){const _0x5a0f0c=_0x1191b9,_0x532cbc=_0x3f65c0()['differenceBy'](_0x2ccba6[_0x5a0f0c(0xa65)],_0x2ccba6[_0x5a0f0c(0x1ecf)],'id'),_0x918c5b=_0x3f65c0()[_0x5a0f0c(0x2796)](_0x2ccba6['selectedItems'],_0x2ccba6['startingSelectedItems'],'id');return _0x1a6758(_0x532cbc)[_0x5a0f0c(0x146b)](function(){return _0x4f6739(_0x918c5b);})[_0x5a0f0c(0x146b)](function(){const _0x440f0c=_0x5a0f0c;_0x2ccba6[_0x440f0c(0x132)]=![],_0x2ccba6[_0x440f0c(0x2e8)]=angular[_0x440f0c(0x235a)](_0x2ccba6[_0x440f0c(0xc4e)]),_0x2ccba6[_0x440f0c(0xa65)]=angular[_0x440f0c(0x235a)](_0x2ccba6[_0x440f0c(0x1ecf)]),_0x51f29b['success']({'title':'SUCCESS','msg':_0x440f0c(0x26be)});})[_0x5a0f0c(0x129e)](function(_0x5e2b7f){const _0x24491f=_0x5a0f0c;_0x51f29b['error']({'title':_0x5e2b7f[_0x24491f(0x107b)]?_0x24491f(0x262a)+_0x5e2b7f[_0x24491f(0x107b)]+_0x24491f(0x1315)+_0x5e2b7f[_0x24491f(0x167f)]:_0x24491f(0xa56),'msg':_0x5e2b7f[_0x24491f(0x107b)]?JSON[_0x24491f(0x10bb)](_0x5e2b7f[_0x24491f(0x524)]):_0x5e2b7f[_0x24491f(0xd5f)]()});});}function _0x181871(){const _0x1e3177=_0x1191b9;_0x1ba5db[_0x1e3177(0x2458)]();}}const _0x363d2c=_0x2826a1;;_0xa09dd0[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x218a),_0x313a4d(0x178),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0xa09dd0(_0x3daca9,_0x450587,_0x425bdd,_0x36968c,_0xb67942,_0x9d7818,_0x2e85f2,_0x31e558){const _0x4d84ee=_0x313a4d,_0x3a9af6=this;_0x3a9af6[_0x4d84ee(0x2321)]=_0x2e85f2[_0x4d84ee(0xb12)](),_0x3a9af6['errors']=[],_0x3a9af6[_0x4d84ee(0x1189)]=_0x4d84ee(0x196b)+(_0x36968c[_0x4d84ee(0x8f2)]||_0x36968c['app'])['toUpperCase'](),_0x3a9af6[_0x4d84ee(0x1755)]=angular['copy'](_0x36968c),_0x3a9af6[_0x4d84ee(0x2514)]=_0x31e558,_0x3a9af6[_0x4d84ee(0x855)]={};if(_0x3a9af6[_0x4d84ee(0x1755)][_0x4d84ee(0x168a)])switch(_0x3a9af6[_0x4d84ee(0x1755)][_0x4d84ee(0x8f2)]?_0x3a9af6[_0x4d84ee(0x1755)][_0x4d84ee(0x8f2)][_0x4d84ee(0x256e)]():_0x3a9af6[_0x4d84ee(0x1755)][_0x4d84ee(0x1873)][_0x4d84ee(0x256e)]()){case _0x4d84ee(0x1802):break;case _0x4d84ee(0xeaa):{const _0x1899cc=_0x3a9af6[_0x4d84ee(0x1755)][_0x4d84ee(0x168a)][_0x4d84ee(0x10c8)](',');_0x3a9af6[_0x4d84ee(0x1755)][_0x4d84ee(0x2293)]=_0x1899cc[0x0],_0x3a9af6[_0x4d84ee(0x1755)]['language']=_0x1899cc[0x1],_0x3a9af6['agent'][_0x4d84ee(0xaf3)]=_0x1899cc[_0x4d84ee(0x1298)](0x2,_0x1899cc['length'])['join'](',');}break;case _0x4d84ee(0xa46):{const _0x494809=_0x3a9af6['agent'][_0x4d84ee(0x168a)][_0x4d84ee(0x10c8)](',');_0x3a9af6[_0x4d84ee(0x1755)]['projectId']=_0x494809[0x0],_0x3a9af6[_0x4d84ee(0x1755)]['clientEmail']=_0x494809[0x1],_0x3a9af6['agent'][_0x4d84ee(0x2217)]=_0x494809[0x2],_0x3a9af6['agent']['language']=_0x494809[0x3],_0x3a9af6['agent'][_0x4d84ee(0xaf3)]=_0x494809[_0x4d84ee(0x1298)](0x4,_0x494809['length'])[_0x4d84ee(0xb47)](',');}break;case _0x4d84ee(0x17d6):{const _0xc7d089=_0x3a9af6['agent'][_0x4d84ee(0x168a)]['split'](',');_0x3a9af6[_0x4d84ee(0x1755)][_0x4d84ee(0x1651)]=_0xc7d089[0x0],_0x3a9af6[_0x4d84ee(0x1755)][_0x4d84ee(0x252)]=_0xc7d089[0x1],_0x3a9af6[_0x4d84ee(0x1755)]['lexregion']=_0xc7d089[0x2],_0x3a9af6[_0x4d84ee(0x1755)][_0x4d84ee(0x8dd)]=_0xc7d089[0x3],_0x3a9af6[_0x4d84ee(0x1755)][_0x4d84ee(0xaf3)]=_0xc7d089['slice'](0x4,_0xc7d089['length'])[_0x4d84ee(0xb47)](',');}break;case'autoreply':{const _0x3b660f=_0x3a9af6[_0x4d84ee(0x1755)]['appdata'][_0x4d84ee(0x10c8)](',');_0x3a9af6[_0x4d84ee(0x1755)][_0x4d84ee(0x583)]=isNaN(_0x3b660f[0x0])?_0x3b660f[0x0]:parseInt(_0x3b660f[0x0],0xa),_0x3a9af6[_0x4d84ee(0x1755)][_0x4d84ee(0xa8d)]=_0x3b660f[_0x4d84ee(0x1298)](0x1,_0x3b660f[_0x4d84ee(0x402)])[_0x4d84ee(0xb47)](',');}break;case _0x4d84ee(0x7fd):_0x3a9af6[_0x4d84ee(0x1755)]['text']=_0x3a9af6[_0x4d84ee(0x1755)][_0x4d84ee(0x168a)];break;case'set':_0x3a9af6[_0x4d84ee(0x1755)]['name']=_0x3a9af6['agent'][_0x4d84ee(0x168a)][_0x4d84ee(0x10c8)]('=')[0x0],_0x3a9af6[_0x4d84ee(0x1755)]['value']=_0x3a9af6['agent'][_0x4d84ee(0x168a)]['split']('=')[0x1];break;case _0x4d84ee(0x1ecb):_0x3a9af6[_0x4d84ee(0x1755)][_0x4d84ee(0x9e0)]=_0x3a9af6[_0x4d84ee(0x1755)][_0x4d84ee(0x168a)];break;default:{const _0x1b3056=_0x3a9af6['agent'][_0x4d84ee(0x168a)][_0x4d84ee(0x10c8)](',');_0x3a9af6['agent'][_0x4d84ee(0x1755)]=_0x3f65c0()['isEmpty'](_0x1b3056[0x0])?_0x1b3056[0x0]:isNaN(_0x1b3056[0x0])?_0x1b3056[0x0]:parseInt(_0x1b3056[0x0],0xa),_0x3a9af6[_0x4d84ee(0x1755)][_0x4d84ee(0xa9c)]=_0x3f65c0()[_0x4d84ee(0x2635)](_0x1b3056[0x1])?_0x1b3056[0x1]:isNaN(_0x1b3056[0x1])?_0x1b3056[0x1]:parseInt(_0x1b3056[0x1],0xa);}break;}else _0x3a9af6[_0x4d84ee(0x1755)][_0x4d84ee(0xa9c)]=0x1e;_0x3a9af6[_0x4d84ee(0x1755)][_0x4d84ee(0x1142)]&&_0x3a9af6[_0x4d84ee(0x1755)][_0x4d84ee(0x1142)][_0x4d84ee(0x256e)]()===_0x4d84ee(0xc9c)&&_0x3a9af6[_0x4d84ee(0x1755)][_0x4d84ee(0x8f2)]['toLowerCase']()===_0x4d84ee(0x3bb)&&(_0x3a9af6[_0x4d84ee(0x1755)][_0x4d84ee(0x1e12)]=_0x3a9af6[_0x4d84ee(0x1755)][_0x4d84ee(0x1d55)]?_0x3a9af6[_0x4d84ee(0x1755)]['phone'][_0x4d84ee(0x10c8)]('$')[0x0]:undefined,_0x3a9af6[_0x4d84ee(0x1755)]['callerId']=_0x3a9af6['agent'][_0x4d84ee(0x11be)]?_0x4d84ee(0x1b60)+_0x3a9af6[_0x4d84ee(0x1755)][_0x4d84ee(0x11be)]:undefined);_0x3a9af6[_0x4d84ee(0x212c)]=_0x19e453,_0x3a9af6[_0x4d84ee(0x13f3)]=_0x36936a,_0x2e85f2[_0x4d84ee(0x23e0)](_0x4d84ee(0x174b))?_0x9d7818[_0x4d84ee(0xe7b)][_0x4d84ee(0x16b4)]({'fields':_0x4d84ee(0x7a7),'sort':'name','role':_0x4d84ee(0x1755),'nolimit':'true'})[_0x4d84ee(0x2945)]['then'](function(_0xc622d5){const _0x5b76a8=_0x4d84ee;_0x3a9af6[_0x5b76a8(0x23c0)]=_0xc622d5['rows']||[];})[_0x4d84ee(0x129e)](function(_0x3729d4){const _0x3cc5bb=_0x4d84ee;_0x425bdd[_0x3cc5bb(0x1980)]({'title':_0x3729d4[_0x3cc5bb(0x107b)]?_0x3cc5bb(0x262a)+_0x3729d4['status']+_0x3cc5bb(0x1315)+_0x3729d4[_0x3cc5bb(0x167f)]:_0x3cc5bb(0x557),'msg':_0x3729d4[_0x3cc5bb(0x524)]?JSON[_0x3cc5bb(0x10bb)](_0x3729d4[_0x3cc5bb(0x524)]):_0x3729d4[_0x3cc5bb(0xd5f)]()});}):_0x9d7818['user'][_0x4d84ee(0x16b4)]({'fields':_0x4d84ee(0x7a7),'sort':_0x4d84ee(0x19eb),'role':_0x4d84ee(0x1755),'nolimit':'true'})['$promise'][_0x4d84ee(0x146b)](function(_0x47ea94){const _0x4dd5b5=_0x4d84ee;_0x3a9af6[_0x4dd5b5(0x23c0)]=_0x47ea94[_0x4dd5b5(0x19c7)]||[];})[_0x4d84ee(0x146b)](function(){const _0x1455f8=_0x4d84ee;return _0x9d7818[_0x1455f8(0x1366)]['get']({'userProfileId':_0x3a9af6[_0x1455f8(0x2321)][_0x1455f8(0x209a)],'sectionId':0xca})[_0x1455f8(0x2945)];})[_0x4d84ee(0x146b)](function(_0x350fbd){const _0xd56a0=_0x4d84ee,_0x517b34=_0x350fbd&&_0x350fbd[_0xd56a0(0x19c7)]?_0x350fbd[_0xd56a0(0x19c7)][0x0]:null;if(!_0x517b34){const _0x490221=[];let _0x433cf9=null;_0x3a9af6[_0xd56a0(0x1755)]&&(_0x433cf9=_0x3f65c0()[_0xd56a0(0xc84)](_0x3a9af6[_0xd56a0(0x23c0)],{'name':_0x3a9af6[_0xd56a0(0x1755)][_0xd56a0(0x1755)]}));for(let _0x3e9d95=0x0;_0x3e9d95<_0x3a9af6[_0xd56a0(0x23c0)][_0xd56a0(0x402)];_0x3e9d95++){_0x433cf9&&_0x3a9af6[_0xd56a0(0x23c0)][_0x3e9d95]['id']===_0x433cf9['id']&&(_0x3a9af6[_0xd56a0(0x23c0)][_0x3e9d95]['canSelect']=![],_0x490221[_0xd56a0(0x1f47)](_0x3a9af6['agents'][_0x3e9d95]));}_0x3a9af6[_0xd56a0(0x23c0)]=_0x490221;}else{if(!_0x517b34[_0xd56a0(0x11d2)])return _0x9d7818['userProfileResource']['get']({'sectionId':_0x517b34['id']})[_0xd56a0(0x2945)][_0xd56a0(0x146b)](function(_0x72fe1c){const _0x2dcd81=_0xd56a0,_0x154664=_0x3f65c0()[_0x2dcd81(0x205)](_0x72fe1c['rows'],function(_0x301a34){const _0x1d22af=_0x2dcd81;return _0x3f65c0()[_0x1d22af(0xc84)](_0x3a9af6['agents'],{'id':_0x301a34['resourceId']});});let _0x299641=null;_0x3a9af6[_0x2dcd81(0x1755)]&&(_0x299641=_0x3f65c0()[_0x2dcd81(0xc84)](_0x3a9af6[_0x2dcd81(0x23c0)],{'name':_0x3a9af6[_0x2dcd81(0x1755)][_0x2dcd81(0x1755)]}));if(_0x299641&&!_0x3f65c0()[_0x2dcd81(0x1360)](_0x154664,['id',_0x299641['id']])){const _0x43b39e=_0x3f65c0()[_0x2dcd81(0xc84)](_0x3a9af6[_0x2dcd81(0x23c0)],{'id':_0x299641['id']});_0x43b39e['canSelect']=![],_0x154664[_0x2dcd81(0x1f47)](_0x43b39e);}_0x3a9af6[_0x2dcd81(0x23c0)]=_0x154664;});}})[_0x4d84ee(0x129e)](function(_0xef7488){const _0x1f1a43=_0x4d84ee;_0x425bdd['error']({'title':_0xef7488['status']?_0x1f1a43(0x262a)+_0xef7488['status']+_0x1f1a43(0x1315)+_0xef7488[_0x1f1a43(0x167f)]:_0x1f1a43(0x788),'msg':_0xef7488[_0x1f1a43(0x524)]?JSON[_0x1f1a43(0x10bb)](_0xef7488[_0x1f1a43(0x524)]):_0xef7488[_0x1f1a43(0xd5f)]()});});function _0x19e453(){const _0x2f5f1d=_0x4d84ee;_0x3a9af6[_0x2f5f1d(0xcef)]=[];const _0x5d6e68=[];_0x3a9af6[_0x2f5f1d(0x1755)][_0x2f5f1d(0x1142)]&&_0x3a9af6['agent'][_0x2f5f1d(0x1142)]['toLowerCase']()===_0x2f5f1d(0xc9c)&&_0x3a9af6['agent'][_0x2f5f1d(0x8f2)]===_0x2f5f1d(0x118b)&&(_0x3a9af6[_0x2f5f1d(0x1755)][_0x2f5f1d(0x1d55)]=_0xb67942[_0x2f5f1d(0x1274)]?(_0x3a9af6[_0x2f5f1d(0x1755)][_0x2f5f1d(0x1e12)]||'')+_0x2f5f1d(0x2147)+_0xb67942[_0x2f5f1d(0x1274)]+'}':(_0x3a9af6[_0x2f5f1d(0x1755)][_0x2f5f1d(0x1e12)]||'')+'${EXTEN}',_0xb67942[_0x2f5f1d(0x1425)]!==_0x2f5f1d(0x1642)?_0x3a9af6[_0x2f5f1d(0x1755)][_0x2f5f1d(0xa08)][_0x2f5f1d(0x172b)](_0x2f5f1d(0x1ea4))<0x0&&(_0x3a9af6[_0x2f5f1d(0x1755)][_0x2f5f1d(0xa08)]+=_0x2f5f1d(0x1ea4)):_0x3a9af6[_0x2f5f1d(0x1755)][_0x2f5f1d(0xa08)]=_0x3a9af6[_0x2f5f1d(0x1755)][_0x2f5f1d(0xa08)]['replace'](_0x2f5f1d(0x1ea4),''));const _0x5c9a23=_0x3f65c0()['find'](_0x3a9af6['agents'],{'name':_0x3a9af6[_0x2f5f1d(0x1755)][_0x2f5f1d(0x1755)]});_0x5c9a23&&(_0x3a9af6[_0x2f5f1d(0x1755)][_0x2f5f1d(0xea2)]=_0x5c9a23['id']);if(_0x3a9af6[_0x2f5f1d(0x1755)][_0x2f5f1d(0x8f2)]&&_0x3a9af6[_0x2f5f1d(0x1755)][_0x2f5f1d(0x8f2)]===_0x2f5f1d(0x1802)){}else switch((_0x3a9af6['agent'][_0x2f5f1d(0x1873)]||_0x3a9af6[_0x2f5f1d(0x1755)][_0x2f5f1d(0x8f2)])[_0x2f5f1d(0x256e)]()){case'set':_0x3a9af6['agent'][_0x2f5f1d(0x168a)]=_0x3a9af6[_0x2f5f1d(0x1755)][_0x2f5f1d(0x19eb)]+'='+_0x3a9af6[_0x2f5f1d(0x1755)][_0x2f5f1d(0x175d)];break;case _0x2f5f1d(0x1802):break;default:_0x5d6e68[0x0]=_0x3a9af6[_0x2f5f1d(0x1755)][_0x2f5f1d(0x1755)],_0x5d6e68[0x1]=_0x3a9af6[_0x2f5f1d(0x1755)]['timeout'],_0x3a9af6['agent'][_0x2f5f1d(0x168a)]=_0x5d6e68[_0x2f5f1d(0xb47)](',');}_0x36936a(_0x3a9af6[_0x2f5f1d(0x1755)]);}function _0x36936a(_0x4979ca){const _0x1d789f=_0x4d84ee;_0x3daca9[_0x1d789f(0x2458)](_0x4979ca);}}const _0x4aa807=_0xa09dd0;;_0x4b4499[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x218a),'faxAccount',_0x313a4d(0x247f),'Auth',_0x313a4d(0x2514)];function _0x4b4499(_0xd74383,_0x1bc9a2,_0x1f85c8,_0x559620,_0x5c1887,_0x49745d,_0x1d2a88,_0x831907){const _0x5a1ec6=_0x313a4d,_0x13ad03=this;_0x13ad03[_0x5a1ec6(0x2321)]=_0x1d2a88[_0x5a1ec6(0xb12)](),_0x13ad03[_0x5a1ec6(0xcef)]=[],_0x13ad03[_0x5a1ec6(0x1189)]=_0x5a1ec6(0x196b)+(_0x559620['appType']||_0x559620['app'])[_0x5a1ec6(0x1c37)](),_0x13ad03[_0x5a1ec6(0xa7c)]=angular[_0x5a1ec6(0x235a)](_0x559620),_0x13ad03[_0x5a1ec6(0x2514)]=_0x831907,_0x13ad03[_0x5a1ec6(0x855)]={};if(_0x13ad03[_0x5a1ec6(0xa7c)][_0x5a1ec6(0x168a)])switch(_0x13ad03[_0x5a1ec6(0xa7c)][_0x5a1ec6(0x8f2)]?_0x13ad03[_0x5a1ec6(0xa7c)][_0x5a1ec6(0x8f2)]['toLowerCase']():_0x13ad03[_0x5a1ec6(0xa7c)][_0x5a1ec6(0x1873)][_0x5a1ec6(0x256e)]()){case _0x5a1ec6(0x1802):break;case _0x5a1ec6(0xeaa):{const _0x1ba64f=_0x13ad03[_0x5a1ec6(0xa7c)][_0x5a1ec6(0x168a)][_0x5a1ec6(0x10c8)](',');_0x13ad03[_0x5a1ec6(0xa7c)][_0x5a1ec6(0x2293)]=_0x1ba64f[0x0],_0x13ad03[_0x5a1ec6(0xa7c)][_0x5a1ec6(0x90b)]=_0x1ba64f[0x1],_0x13ad03[_0x5a1ec6(0xa7c)][_0x5a1ec6(0xaf3)]=_0x1ba64f['slice'](0x2,_0x1ba64f['length'])[_0x5a1ec6(0xb47)](',');}break;case _0x5a1ec6(0xa46):{const _0x2bdf42=_0x13ad03['close'][_0x5a1ec6(0x168a)][_0x5a1ec6(0x10c8)](',');_0x13ad03[_0x5a1ec6(0xa7c)][_0x5a1ec6(0x153a)]=_0x2bdf42[0x0],_0x13ad03[_0x5a1ec6(0xa7c)]['clientEmail']=_0x2bdf42[0x1],_0x13ad03['close'][_0x5a1ec6(0x2217)]=_0x2bdf42[0x2],_0x13ad03[_0x5a1ec6(0xa7c)][_0x5a1ec6(0x90b)]=_0x2bdf42[0x3],_0x13ad03[_0x5a1ec6(0xa7c)]['welcomemessage']=_0x2bdf42['slice'](0x4,_0x2bdf42[_0x5a1ec6(0x402)])[_0x5a1ec6(0xb47)](',');}break;case _0x5a1ec6(0x17d6):{const _0x410511=_0x13ad03[_0x5a1ec6(0xa7c)][_0x5a1ec6(0x168a)][_0x5a1ec6(0x10c8)](',');_0x13ad03[_0x5a1ec6(0xa7c)][_0x5a1ec6(0x1651)]=_0x410511[0x0],_0x13ad03['close'][_0x5a1ec6(0x252)]=_0x410511[0x1],_0x13ad03['close'][_0x5a1ec6(0x1039)]=_0x410511[0x2],_0x13ad03[_0x5a1ec6(0xa7c)]['botname']=_0x410511[0x3],_0x13ad03[_0x5a1ec6(0xa7c)][_0x5a1ec6(0xaf3)]=_0x410511[_0x5a1ec6(0x1298)](0x4,_0x410511['length'])[_0x5a1ec6(0xb47)](',');}break;case _0x5a1ec6(0x1713):{const _0x520f8c=_0x13ad03[_0x5a1ec6(0xa7c)][_0x5a1ec6(0x168a)][_0x5a1ec6(0x10c8)](',');_0x13ad03[_0x5a1ec6(0xa7c)][_0x5a1ec6(0x583)]=isNaN(_0x520f8c[0x0])?_0x520f8c[0x0]:parseInt(_0x520f8c[0x0],0xa),_0x13ad03[_0x5a1ec6(0xa7c)][_0x5a1ec6(0xa8d)]=_0x520f8c[_0x5a1ec6(0x1298)](0x1,_0x520f8c[_0x5a1ec6(0x402)])['join'](',');}break;case _0x5a1ec6(0x7fd):_0x13ad03[_0x5a1ec6(0xa7c)][_0x5a1ec6(0xa8d)]=_0x13ad03[_0x5a1ec6(0xa7c)][_0x5a1ec6(0x168a)];break;case _0x5a1ec6(0x26ba):_0x13ad03[_0x5a1ec6(0xa7c)][_0x5a1ec6(0x19eb)]=_0x13ad03['close'][_0x5a1ec6(0x168a)]['split']('=')[0x0],_0x13ad03['close'][_0x5a1ec6(0x175d)]=_0x13ad03[_0x5a1ec6(0xa7c)]['appdata'][_0x5a1ec6(0x10c8)]('=')[0x1];break;case _0x5a1ec6(0x1ecb):_0x13ad03[_0x5a1ec6(0xa7c)][_0x5a1ec6(0x9e0)]=_0x13ad03[_0x5a1ec6(0xa7c)][_0x5a1ec6(0x168a)];break;default:{const _0x365a98=_0x13ad03[_0x5a1ec6(0xa7c)][_0x5a1ec6(0x168a)][_0x5a1ec6(0x10c8)](',');_0x13ad03['close'][_0x5a1ec6(0x9e1)]=_0x3f65c0()[_0x5a1ec6(0x2635)](_0x365a98[0x0])?_0x365a98[0x0]:isNaN(_0x365a98[0x0])?_0x365a98[0x0]:parseInt(_0x365a98[0x0],0xa);}break;}else{}_0x13ad03[_0x5a1ec6(0xa7c)][_0x5a1ec6(0x1142)]&&_0x13ad03['close'][_0x5a1ec6(0x1142)][_0x5a1ec6(0x256e)]()===_0x5a1ec6(0xc9c)&&_0x13ad03[_0x5a1ec6(0xa7c)][_0x5a1ec6(0x8f2)][_0x5a1ec6(0x256e)]()===_0x5a1ec6(0x3bb)&&(_0x13ad03[_0x5a1ec6(0xa7c)]['prefix']=_0x13ad03[_0x5a1ec6(0xa7c)][_0x5a1ec6(0x1d55)]?_0x13ad03[_0x5a1ec6(0xa7c)][_0x5a1ec6(0x1d55)][_0x5a1ec6(0x10c8)]('$')[0x0]:undefined,_0x13ad03[_0x5a1ec6(0xa7c)][_0x5a1ec6(0x1d43)]=_0x13ad03['close']['callerID']?_0x5a1ec6(0x1b60)+_0x13ad03[_0x5a1ec6(0xa7c)][_0x5a1ec6(0x11be)]:undefined);_0x13ad03['saveFaxAccountApp']=_0x5ce4ef,_0x13ad03[_0x5a1ec6(0x13f3)]=_0x2b447a;function _0x5ce4ef(){const _0x128054=_0x5a1ec6;_0x13ad03[_0x128054(0xcef)]=[];const _0xcacf10=[];_0x13ad03[_0x128054(0xa7c)]['type']&&_0x13ad03[_0x128054(0xa7c)][_0x128054(0x1142)]['toLowerCase']()===_0x128054(0xc9c)&&_0x13ad03['close'][_0x128054(0x8f2)]===_0x128054(0x118b)&&(_0x13ad03[_0x128054(0xa7c)][_0x128054(0x1d55)]=_0x5c1887['cutdigits']?(_0x13ad03[_0x128054(0xa7c)][_0x128054(0x1e12)]||'')+_0x128054(0x2147)+_0x5c1887[_0x128054(0x1274)]+'}':(_0x13ad03['close'][_0x128054(0x1e12)]||'')+_0x128054(0x20df),_0x5c1887['recordingFormat']!==_0x128054(0x1642)?_0x13ad03[_0x128054(0xa7c)][_0x128054(0xa08)]['indexOf'](_0x128054(0x1ea4))<0x0&&(_0x13ad03[_0x128054(0xa7c)][_0x128054(0xa08)]+=_0x128054(0x1ea4)):_0x13ad03['close'][_0x128054(0xa08)]=_0x13ad03[_0x128054(0xa7c)]['options'][_0x128054(0x5f4)](_0x128054(0x1ea4),''));if(_0x13ad03[_0x128054(0xa7c)][_0x128054(0x8f2)]&&_0x13ad03['close'][_0x128054(0x8f2)]===_0x128054(0x1802)){}else switch((_0x13ad03['close'][_0x128054(0x1873)]||_0x13ad03[_0x128054(0xa7c)][_0x128054(0x8f2)])[_0x128054(0x256e)]()){case _0x128054(0x26ba):_0x13ad03[_0x128054(0xa7c)][_0x128054(0x168a)]=_0x13ad03['close'][_0x128054(0x19eb)]+'='+_0x13ad03['close'][_0x128054(0x175d)];break;case _0x128054(0x1802):break;default:_0xcacf10[0x0]=_0x13ad03[_0x128054(0xa7c)][_0x128054(0x9e1)],_0x13ad03[_0x128054(0xa7c)][_0x128054(0x168a)]=_0xcacf10[_0x128054(0xb47)](',');}_0x2b447a(_0x13ad03[_0x128054(0xa7c)]);}function _0x2b447a(_0x4f4281){const _0x91eee8=_0x5a1ec6;_0xd74383[_0x91eee8(0x2458)](_0x4f4281);}}const _0x5492bb=_0x4b4499;;_0x52f4e6[_0x313a4d(0x11c2)]=['$mdDialog','$q',_0x313a4d(0x1fe4),'faxAccountApp',_0x313a4d(0x178),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x52f4e6(_0x16ebc1,_0x4f3315,_0xcffe48,_0x107bd2,_0x268c0c,_0xcbbd4f,_0x42a34e,_0x9df715){const _0x472bb8=_0x313a4d,_0x2972b1=this;_0x2972b1[_0x472bb8(0x2321)]=_0x42a34e[_0x472bb8(0xb12)](),_0x2972b1[_0x472bb8(0xcef)]=[],_0x2972b1[_0x472bb8(0x1189)]='FAX.EDIT_'+(_0x107bd2[_0x472bb8(0x8f2)]||_0x107bd2[_0x472bb8(0x1873)])[_0x472bb8(0x1c37)](),_0x2972b1[_0x472bb8(0x609)]=angular[_0x472bb8(0x235a)](_0x107bd2),_0x2972b1['crudPermissions']=_0x9df715,_0x2972b1[_0x472bb8(0x855)]={};if(_0x2972b1[_0x472bb8(0x609)][_0x472bb8(0x168a)])switch(_0x2972b1['gotoif'][_0x472bb8(0x8f2)]?_0x2972b1['gotoif']['appType'][_0x472bb8(0x256e)]():_0x2972b1[_0x472bb8(0x609)][_0x472bb8(0x1873)][_0x472bb8(0x256e)]()){case'custom':break;case _0x472bb8(0xeaa):{const _0x1e4a3f=_0x2972b1[_0x472bb8(0x609)]['appdata'][_0x472bb8(0x10c8)](',');_0x2972b1['gotoif'][_0x472bb8(0x2293)]=_0x1e4a3f[0x0],_0x2972b1[_0x472bb8(0x609)][_0x472bb8(0x90b)]=_0x1e4a3f[0x1],_0x2972b1[_0x472bb8(0x609)][_0x472bb8(0xaf3)]=_0x1e4a3f[_0x472bb8(0x1298)](0x2,_0x1e4a3f[_0x472bb8(0x402)])['join'](',');}break;case _0x472bb8(0xa46):{const _0x4a827d=_0x2972b1[_0x472bb8(0x609)][_0x472bb8(0x168a)][_0x472bb8(0x10c8)](',');_0x2972b1[_0x472bb8(0x609)][_0x472bb8(0x153a)]=_0x4a827d[0x0],_0x2972b1['gotoif'][_0x472bb8(0x1392)]=_0x4a827d[0x1],_0x2972b1['gotoif'][_0x472bb8(0x2217)]=_0x4a827d[0x2],_0x2972b1['gotoif']['language']=_0x4a827d[0x3],_0x2972b1[_0x472bb8(0x609)]['welcomemessage']=_0x4a827d[_0x472bb8(0x1298)](0x4,_0x4a827d[_0x472bb8(0x402)])[_0x472bb8(0xb47)](',');}break;case'amazonlex':{const _0x456204=_0x2972b1[_0x472bb8(0x609)][_0x472bb8(0x168a)][_0x472bb8(0x10c8)](',');_0x2972b1[_0x472bb8(0x609)][_0x472bb8(0x1651)]=_0x456204[0x0],_0x2972b1[_0x472bb8(0x609)][_0x472bb8(0x252)]=_0x456204[0x1],_0x2972b1[_0x472bb8(0x609)]['lexregion']=_0x456204[0x2],_0x2972b1[_0x472bb8(0x609)][_0x472bb8(0x8dd)]=_0x456204[0x3],_0x2972b1['gotoif'][_0x472bb8(0xaf3)]=_0x456204[_0x472bb8(0x1298)](0x4,_0x456204[_0x472bb8(0x402)])[_0x472bb8(0xb47)](',');}break;case _0x472bb8(0x1713):{const _0x2ff7e2=_0x2972b1[_0x472bb8(0x609)][_0x472bb8(0x168a)]['split'](',');_0x2972b1[_0x472bb8(0x609)]['times']=isNaN(_0x2ff7e2[0x0])?_0x2ff7e2[0x0]:parseInt(_0x2ff7e2[0x0],0xa),_0x2972b1[_0x472bb8(0x609)]['text']=_0x2ff7e2[_0x472bb8(0x1298)](0x1,_0x2ff7e2[_0x472bb8(0x402)])['join'](',');}break;case _0x472bb8(0x7fd):_0x2972b1[_0x472bb8(0x609)]['text']=_0x2972b1[_0x472bb8(0x609)]['appdata'];break;case'set':_0x2972b1['gotoif']['name']=_0x2972b1[_0x472bb8(0x609)][_0x472bb8(0x168a)][_0x472bb8(0x10c8)]('=')[0x0],_0x2972b1[_0x472bb8(0x609)]['value']=_0x2972b1[_0x472bb8(0x609)][_0x472bb8(0x168a)]['split']('=')[0x1];break;case _0x472bb8(0x1ecb):_0x2972b1[_0x472bb8(0x609)][_0x472bb8(0x9e0)]=_0x2972b1[_0x472bb8(0x609)][_0x472bb8(0x168a)];break;default:{const _0xbb1d6=_0x2972b1[_0x472bb8(0x609)]['appdata']['split'](',');_0x2972b1['gotoif']['condition']=_0x3f65c0()['isEmpty'](_0xbb1d6[0x0])?_0xbb1d6[0x0]:isNaN(_0xbb1d6[0x0])?_0xbb1d6[0x0]:parseInt(_0xbb1d6[0x0],0xa),_0x2972b1[_0x472bb8(0x609)][_0x472bb8(0x1d78)]=_0x3f65c0()['isEmpty'](_0xbb1d6[0x1])?_0xbb1d6[0x1]:isNaN(_0xbb1d6[0x1])?_0xbb1d6[0x1]:parseInt(_0xbb1d6[0x1],0xa),_0x2972b1['gotoif']['falsepriority']=_0x3f65c0()[_0x472bb8(0x2635)](_0xbb1d6[0x2])?_0xbb1d6[0x2]:isNaN(_0xbb1d6[0x2])?_0xbb1d6[0x2]:parseInt(_0xbb1d6[0x2],0xa);}break;}else{}_0x2972b1[_0x472bb8(0x609)][_0x472bb8(0x1142)]&&_0x2972b1[_0x472bb8(0x609)][_0x472bb8(0x1142)][_0x472bb8(0x256e)]()===_0x472bb8(0xc9c)&&_0x2972b1[_0x472bb8(0x609)][_0x472bb8(0x8f2)][_0x472bb8(0x256e)]()==='outbounddial'&&(_0x2972b1[_0x472bb8(0x609)][_0x472bb8(0x1e12)]=_0x2972b1['gotoif'][_0x472bb8(0x1d55)]?_0x2972b1[_0x472bb8(0x609)]['phone'][_0x472bb8(0x10c8)]('$')[0x0]:undefined,_0x2972b1[_0x472bb8(0x609)][_0x472bb8(0x1d43)]=_0x2972b1[_0x472bb8(0x609)]['callerID']?'CALLERID(all)='+_0x2972b1[_0x472bb8(0x609)]['callerID']:undefined);_0x2972b1[_0x472bb8(0x212c)]=_0x575ed0,_0x2972b1[_0x472bb8(0x13f3)]=_0x252421;function _0x575ed0(){const _0x10dde0=_0x472bb8;_0x2972b1['errors']=[];const _0x2a466a=[];_0x2972b1[_0x10dde0(0x609)][_0x10dde0(0x1142)]&&_0x2972b1['gotoif']['type'][_0x10dde0(0x256e)]()===_0x10dde0(0xc9c)&&_0x2972b1[_0x10dde0(0x609)][_0x10dde0(0x8f2)]===_0x10dde0(0x118b)&&(_0x2972b1[_0x10dde0(0x609)][_0x10dde0(0x1d55)]=_0x268c0c[_0x10dde0(0x1274)]?(_0x2972b1[_0x10dde0(0x609)][_0x10dde0(0x1e12)]||'')+_0x10dde0(0x2147)+_0x268c0c[_0x10dde0(0x1274)]+'}':(_0x2972b1[_0x10dde0(0x609)][_0x10dde0(0x1e12)]||'')+_0x10dde0(0x20df),_0x268c0c[_0x10dde0(0x1425)]!==_0x10dde0(0x1642)?_0x2972b1['gotoif'][_0x10dde0(0xa08)][_0x10dde0(0x172b)](_0x10dde0(0x1ea4))<0x0&&(_0x2972b1['gotoif']['options']+=_0x10dde0(0x1ea4)):_0x2972b1[_0x10dde0(0x609)][_0x10dde0(0xa08)]=_0x2972b1[_0x10dde0(0x609)]['options'][_0x10dde0(0x5f4)](_0x10dde0(0x1ea4),''));if(_0x2972b1[_0x10dde0(0x609)]['appType']&&_0x2972b1['gotoif']['appType']===_0x10dde0(0x1802)){}else switch((_0x2972b1[_0x10dde0(0x609)]['app']||_0x2972b1[_0x10dde0(0x609)][_0x10dde0(0x8f2)])[_0x10dde0(0x256e)]()){case _0x10dde0(0x26ba):_0x2972b1[_0x10dde0(0x609)][_0x10dde0(0x168a)]=_0x2972b1['gotoif']['name']+'='+_0x2972b1['gotoif'][_0x10dde0(0x175d)];break;case'custom':break;default:_0x2a466a[0x0]=_0x2972b1['gotoif'][_0x10dde0(0x115f)],_0x2a466a[0x1]=_0x2972b1['gotoif']['truepriority'],_0x2a466a[0x2]=_0x2972b1[_0x10dde0(0x609)][_0x10dde0(0x28e1)],_0x2972b1[_0x10dde0(0x609)][_0x10dde0(0x168a)]=_0x2a466a[_0x10dde0(0xb47)](',');}_0x252421(_0x2972b1[_0x10dde0(0x609)]);}function _0x252421(_0x1d03ca){_0x16ebc1['hide'](_0x1d03ca);}}const _0xd06b71=_0x52f4e6;;_0x39b9a2[_0x313a4d(0x11c2)]=['$mdDialog','$q',_0x313a4d(0x1fe4),'faxAccountApp',_0x313a4d(0x178),_0x313a4d(0x247f),'Auth',_0x313a4d(0x2514)];function _0x39b9a2(_0x360fb6,_0x19c57f,_0x555967,_0x107ba6,_0x411614,_0x53368c,_0x2f4548,_0x16c6dd){const _0x3de50f=_0x313a4d,_0x489abc=this;_0x489abc[_0x3de50f(0x2321)]=_0x2f4548[_0x3de50f(0xb12)](),_0x489abc[_0x3de50f(0xcef)]=[],_0x489abc[_0x3de50f(0x1189)]='FAX.EDIT_'+(_0x107ba6[_0x3de50f(0x8f2)]||_0x107ba6[_0x3de50f(0x1873)])[_0x3de50f(0x1c37)](),_0x489abc[_0x3de50f(0x28f4)]=angular[_0x3de50f(0x235a)](_0x107ba6),_0x489abc[_0x3de50f(0x2514)]=_0x16c6dd,_0x489abc[_0x3de50f(0x855)]={};if(_0x489abc[_0x3de50f(0x28f4)][_0x3de50f(0x168a)])switch(_0x489abc[_0x3de50f(0x28f4)][_0x3de50f(0x8f2)]?_0x489abc['gotop'][_0x3de50f(0x8f2)][_0x3de50f(0x256e)]():_0x489abc[_0x3de50f(0x28f4)][_0x3de50f(0x1873)][_0x3de50f(0x256e)]()){case _0x3de50f(0x1802):break;case'dialogflow':{const _0x696bec=_0x489abc['gotop'][_0x3de50f(0x168a)][_0x3de50f(0x10c8)](',');_0x489abc[_0x3de50f(0x28f4)][_0x3de50f(0x2293)]=_0x696bec[0x0],_0x489abc[_0x3de50f(0x28f4)][_0x3de50f(0x90b)]=_0x696bec[0x1],_0x489abc[_0x3de50f(0x28f4)][_0x3de50f(0xaf3)]=_0x696bec[_0x3de50f(0x1298)](0x2,_0x696bec[_0x3de50f(0x402)])[_0x3de50f(0xb47)](',');}break;case _0x3de50f(0xa46):{const _0x12929f=_0x489abc['gotop'][_0x3de50f(0x168a)]['split'](',');_0x489abc['gotop'][_0x3de50f(0x153a)]=_0x12929f[0x0],_0x489abc[_0x3de50f(0x28f4)][_0x3de50f(0x1392)]=_0x12929f[0x1],_0x489abc[_0x3de50f(0x28f4)][_0x3de50f(0x2217)]=_0x12929f[0x2],_0x489abc[_0x3de50f(0x28f4)]['language']=_0x12929f[0x3],_0x489abc['gotop'][_0x3de50f(0xaf3)]=_0x12929f[_0x3de50f(0x1298)](0x4,_0x12929f[_0x3de50f(0x402)])[_0x3de50f(0xb47)](',');}break;case'amazonlex':{const _0x1ca69d=_0x489abc[_0x3de50f(0x28f4)]['appdata'][_0x3de50f(0x10c8)](',');_0x489abc[_0x3de50f(0x28f4)][_0x3de50f(0x1651)]=_0x1ca69d[0x0],_0x489abc[_0x3de50f(0x28f4)][_0x3de50f(0x252)]=_0x1ca69d[0x1],_0x489abc[_0x3de50f(0x28f4)]['lexregion']=_0x1ca69d[0x2],_0x489abc[_0x3de50f(0x28f4)][_0x3de50f(0x8dd)]=_0x1ca69d[0x3],_0x489abc[_0x3de50f(0x28f4)][_0x3de50f(0xaf3)]=_0x1ca69d[_0x3de50f(0x1298)](0x4,_0x1ca69d[_0x3de50f(0x402)])[_0x3de50f(0xb47)](',');}break;case _0x3de50f(0x1713):{const _0x33e7e1=_0x489abc[_0x3de50f(0x28f4)][_0x3de50f(0x168a)][_0x3de50f(0x10c8)](',');_0x489abc[_0x3de50f(0x28f4)][_0x3de50f(0x583)]=isNaN(_0x33e7e1[0x0])?_0x33e7e1[0x0]:parseInt(_0x33e7e1[0x0],0xa),_0x489abc[_0x3de50f(0x28f4)][_0x3de50f(0xa8d)]=_0x33e7e1[_0x3de50f(0x1298)](0x1,_0x33e7e1[_0x3de50f(0x402)])['join'](',');}break;case _0x3de50f(0x7fd):_0x489abc[_0x3de50f(0x28f4)]['text']=_0x489abc[_0x3de50f(0x28f4)][_0x3de50f(0x168a)];break;case _0x3de50f(0x26ba):_0x489abc['gotop'][_0x3de50f(0x19eb)]=_0x489abc[_0x3de50f(0x28f4)][_0x3de50f(0x168a)][_0x3de50f(0x10c8)]('=')[0x0],_0x489abc[_0x3de50f(0x28f4)][_0x3de50f(0x175d)]=_0x489abc[_0x3de50f(0x28f4)][_0x3de50f(0x168a)][_0x3de50f(0x10c8)]('=')[0x1];break;case _0x3de50f(0x1ecb):_0x489abc['gotop']['project']=_0x489abc[_0x3de50f(0x28f4)]['appdata'];break;default:{const _0x4f1f88=_0x489abc['gotop'][_0x3de50f(0x168a)][_0x3de50f(0x10c8)](',');_0x489abc[_0x3de50f(0x28f4)][_0x3de50f(0xa6a)]=_0x3f65c0()[_0x3de50f(0x2635)](_0x4f1f88[0x0])?_0x4f1f88[0x0]:isNaN(_0x4f1f88[0x0])?_0x4f1f88[0x0]:parseInt(_0x4f1f88[0x0],0xa);}break;}else{}_0x489abc[_0x3de50f(0x28f4)][_0x3de50f(0x1142)]&&_0x489abc[_0x3de50f(0x28f4)][_0x3de50f(0x1142)][_0x3de50f(0x256e)]()===_0x3de50f(0xc9c)&&_0x489abc[_0x3de50f(0x28f4)][_0x3de50f(0x8f2)][_0x3de50f(0x256e)]()===_0x3de50f(0x3bb)&&(_0x489abc[_0x3de50f(0x28f4)][_0x3de50f(0x1e12)]=_0x489abc[_0x3de50f(0x28f4)][_0x3de50f(0x1d55)]?_0x489abc['gotop'][_0x3de50f(0x1d55)][_0x3de50f(0x10c8)]('$')[0x0]:undefined,_0x489abc[_0x3de50f(0x28f4)]['callerId']=_0x489abc[_0x3de50f(0x28f4)][_0x3de50f(0x11be)]?_0x3de50f(0x1b60)+_0x489abc['gotop'][_0x3de50f(0x11be)]:undefined);_0x489abc[_0x3de50f(0x212c)]=_0x935909,_0x489abc[_0x3de50f(0x13f3)]=_0x1b6ce6;function _0x935909(){const _0x44a9c8=_0x3de50f;_0x489abc[_0x44a9c8(0xcef)]=[];const _0xfa8e86=[];_0x489abc[_0x44a9c8(0x28f4)][_0x44a9c8(0x1142)]&&_0x489abc['gotop']['type'][_0x44a9c8(0x256e)]()==='outbound'&&_0x489abc[_0x44a9c8(0x28f4)][_0x44a9c8(0x8f2)]===_0x44a9c8(0x118b)&&(_0x489abc[_0x44a9c8(0x28f4)][_0x44a9c8(0x1d55)]=_0x411614[_0x44a9c8(0x1274)]?(_0x489abc['gotop']['prefix']||'')+'${EXTEN:'+_0x411614[_0x44a9c8(0x1274)]+'}':(_0x489abc['gotop'][_0x44a9c8(0x1e12)]||'')+_0x44a9c8(0x20df),_0x411614[_0x44a9c8(0x1425)]!==_0x44a9c8(0x1642)?_0x489abc['gotop'][_0x44a9c8(0xa08)][_0x44a9c8(0x172b)](_0x44a9c8(0x1ea4))<0x0&&(_0x489abc[_0x44a9c8(0x28f4)]['options']+='U(xcally-mixmonitor-context)'):_0x489abc[_0x44a9c8(0x28f4)][_0x44a9c8(0xa08)]=_0x489abc[_0x44a9c8(0x28f4)][_0x44a9c8(0xa08)][_0x44a9c8(0x5f4)]('U(xcally-mixmonitor-context)',''));if(_0x489abc[_0x44a9c8(0x28f4)]['appType']&&_0x489abc[_0x44a9c8(0x28f4)][_0x44a9c8(0x8f2)]===_0x44a9c8(0x1802)){}else switch((_0x489abc[_0x44a9c8(0x28f4)]['app']||_0x489abc['gotop']['appType'])[_0x44a9c8(0x256e)]()){case _0x44a9c8(0x26ba):_0x489abc[_0x44a9c8(0x28f4)][_0x44a9c8(0x168a)]=_0x489abc[_0x44a9c8(0x28f4)][_0x44a9c8(0x19eb)]+'='+_0x489abc['gotop']['value'];break;case _0x44a9c8(0x1802):break;default:_0xfa8e86[0x0]=_0x489abc[_0x44a9c8(0x28f4)]['priority'],_0x489abc[_0x44a9c8(0x28f4)][_0x44a9c8(0x168a)]=_0xfa8e86[_0x44a9c8(0xb47)](',');}_0x1b6ce6(_0x489abc['gotop']);}function _0x1b6ce6(_0x1c7955){const _0x56ddae=_0x3de50f;_0x360fb6[_0x56ddae(0x2458)](_0x1c7955);}}const _0x2094d9=_0x39b9a2;;_0x37f50c['$inject']=['$state',_0x313a4d(0x10e8),_0x313a4d(0x1c7e),_0x313a4d(0x15f6),_0x313a4d(0x2765),_0x313a4d(0x278f),'toasty',_0x313a4d(0x247f),'Auth',_0x313a4d(0x2514)];function _0x37f50c(_0x2a4fc6,_0x7fc306,_0x37fda3,_0xda967e,_0x10f49d,_0x578ec5,_0x17b2d8,_0x35c8d6,_0x13eb32,_0x2b562f){const _0x31a66f=_0x313a4d,_0x30867a=this;_0x30867a[_0x31a66f(0x2321)]=_0x13eb32['getCurrentUser'](),_0x30867a['errors']=[],_0x30867a[_0x31a66f(0x1189)]=_0x31a66f(0x56d),_0x30867a[_0x31a66f(0x2765)]=angular['copy'](_0x10f49d),_0x30867a[_0x31a66f(0x278f)]=_0x578ec5,_0x30867a[_0x31a66f(0xa76)]=![],_0x30867a[_0x31a66f(0x1960)]=['always',_0x31a66f(0x1802),_0x31a66f(0x25f4)],_0x30867a['crudPermissions']=_0x2b562f,_0x30867a[_0x31a66f(0x1e35)]=_0xda967e[_0x31a66f(0x2045)](),_0x30867a[_0x31a66f(0x593)]=_0xda967e[_0x31a66f(0xead)](),_0x30867a['monthName']=_0xda967e[_0x31a66f(0x18b6)](),_0x30867a[_0x31a66f(0x15f1)]=_0xda967e['getDaysOfMonth']();if(!_0x30867a[_0x31a66f(0x2765)])_0x30867a[_0x31a66f(0x2765)]={'interval':'*,*,*,*'},_0x30867a[_0x31a66f(0x1142)]=_0x31a66f(0xd85),_0x30867a['title']=_0x31a66f(0x79c),_0x30867a[_0x31a66f(0xa76)]=!![];else{if(_0x30867a[_0x31a66f(0x2765)][_0x31a66f(0x2765)]!==_0x31a66f(0x1559)){_0x30867a[_0x31a66f(0x1142)]=_0x31a66f(0x1802);const _0x1668c4=_0x30867a[_0x31a66f(0x2765)]['interval'][_0x31a66f(0x10c8)](','),_0x2fd08c=_0x1668c4[0x0],_0x47a350=_0x1668c4[0x1],_0x4cfc77=_0x1668c4[0x2],_0x57ab51=_0x1668c4[0x3];if(_0x2fd08c!=='*'){const _0x565d0b=_0x2fd08c[_0x31a66f(0x10c8)]('-')[0x0],_0x35d469=_0x2fd08c[_0x31a66f(0x10c8)]('-')[0x1];let _0x4f201b;_0x4f201b=new Date(),_0x4f201b[_0x31a66f(0x25cd)](Number(_0x565d0b[_0x31a66f(0x10c8)](':')[0x0])),_0x4f201b[_0x31a66f(0x2348)](Number(_0x565d0b[_0x31a66f(0x10c8)](':')[0x1])),_0x30867a[_0x31a66f(0x2469)]=_0x4f201b,_0x4f201b=new Date(),_0x4f201b[_0x31a66f(0x25cd)](Number(_0x35d469[_0x31a66f(0x10c8)](':')[0x0])),_0x4f201b[_0x31a66f(0x2348)](Number(_0x35d469[_0x31a66f(0x10c8)](':')[0x1])),_0x30867a['timeRangeTo']=_0x4f201b;}_0x47a350!=='*'&&(_0x30867a[_0x31a66f(0x1455)]=_0x47a350['split']('-')[0x0],_0x30867a['dayOfWeekTo']=_0x47a350[_0x31a66f(0x10c8)]('-')[0x1]),_0x4cfc77!=='*'&&(_0x30867a['monthDayFrom']=_0x4cfc77[_0x31a66f(0x10c8)]('-')[0x0],_0x30867a['monthDayTo']=_0x4cfc77['split']('-')[0x1]),_0x57ab51!=='*'&&(_0x30867a[_0x31a66f(0x167)]=_0x57ab51[_0x31a66f(0x10c8)]('-')[0x0],_0x30867a[_0x31a66f(0x9c3)]=_0x57ab51[_0x31a66f(0x10c8)]('-')[0x1]);}else _0x30867a[_0x31a66f(0x1142)]=_0x31a66f(0xd85);}_0x2a4fc6[_0x31a66f(0x16a)]['id']&&!_0x30867a['interval']['application']&&(_0x30867a[_0x31a66f(0x2765)][_0x31a66f(0x1ff2)]=_0x2a4fc6['params']['id']);_0x30867a[_0x31a66f(0x2765)][_0x31a66f(0x1ff2)]&&_0x30867a['interval']['application']&&(_0x30867a[_0x31a66f(0x1142)]=_0x31a66f(0x25f4));_0x30867a[_0x31a66f(0x2f0)]=_0x32ed02,_0x30867a[_0x31a66f(0x1242)]=_0x4461f7,_0x30867a[_0x31a66f(0x13f3)]=_0x58a5d1;_0x30867a[_0x31a66f(0x2765)]['application']&&(_0x13eb32[_0x31a66f(0x23e0)](_0x31a66f(0x174b))?_0x35c8d6['interval'][_0x31a66f(0x16b4)]({'fields':_0x31a66f(0x648),'IntervalId':_0x31a66f(0x203c),'nolimit':!![]})['$promise']['then'](function(_0x38846c){const _0x24802d=_0x31a66f;_0x30867a[_0x24802d(0x278f)]=_0x38846c[_0x24802d(0x19c7)]||[];})['catch'](function(_0x595b00){const _0x168ee0=_0x31a66f;_0x17b2d8[_0x168ee0(0x1980)]({'title':_0x595b00[_0x168ee0(0x107b)]?_0x168ee0(0x262a)+_0x595b00[_0x168ee0(0x107b)]+_0x168ee0(0x1315)+_0x595b00['statusText']:_0x168ee0(0x603),'msg':_0x595b00[_0x168ee0(0x524)]?JSON[_0x168ee0(0x10bb)](_0x595b00[_0x168ee0(0x524)]):_0x595b00['toString']()});}):_0x35c8d6['interval']['get']({'fields':_0x31a66f(0x648),'IntervalId':_0x31a66f(0x203c),'nolimit':!![]})[_0x31a66f(0x2945)][_0x31a66f(0x146b)](function(_0x33e0f8){const _0x330c83=_0x31a66f;_0x30867a[_0x330c83(0x278f)]=_0x33e0f8['rows']||[];})[_0x31a66f(0x146b)](function(){const _0x5a064e=_0x31a66f;return _0x35c8d6[_0x5a064e(0x1366)][_0x5a064e(0x16b4)]({'userProfileId':_0x30867a['currentUser']['userProfileId'],'sectionId':0x3ec})[_0x5a064e(0x2945)];})[_0x31a66f(0x146b)](function(_0x5b3d1f){const _0x212845=_0x31a66f,_0xd1cfea=_0x5b3d1f&&_0x5b3d1f[_0x212845(0x19c7)]?_0x5b3d1f[_0x212845(0x19c7)][0x0]:null;if(!_0xd1cfea)_0x30867a[_0x212845(0x278f)]=[];else{if(!_0xd1cfea[_0x212845(0x11d2)])return _0x35c8d6[_0x212845(0xdcc)]['get']({'sectionId':_0xd1cfea['id']})[_0x212845(0x2945)]['then'](function(_0xb7d9b6){const _0x20de8f=_0x212845,_0x10ff75=_0xb7d9b6&&_0xb7d9b6[_0x20de8f(0x19c7)]?_0xb7d9b6['rows']:[],_0x4bef0d=[];let _0x1679c8=null;_0x30867a[_0x20de8f(0x2765)]&&(_0x1679c8=_0x3f65c0()[_0x20de8f(0xc84)](_0x30867a['intervals'],{'name':_0x30867a['interval'][_0x20de8f(0x1ff2)]})),_0x1679c8&&!_0x3f65c0()['some'](_0x10ff75,[_0x20de8f(0x18b8),_0x1679c8['id']])&&_0x30867a[_0x20de8f(0x278f)]['forEach'](function(_0x11cb2e){const _0x3f41a9=_0x20de8f;_0x11cb2e['id']===_0x1679c8['id']&&(_0x11cb2e[_0x3f41a9(0x8ff)]=![],_0x4bef0d[_0x3f41a9(0x1f47)](_0x11cb2e));}),_0x30867a[_0x20de8f(0x278f)]=_0x4bef0d;});}})[_0x31a66f(0x129e)](function(_0x4e4d16){const _0x2ef6f4=_0x31a66f;_0x17b2d8[_0x2ef6f4(0x1980)]({'title':_0x4e4d16[_0x2ef6f4(0x107b)]?_0x2ef6f4(0x262a)+_0x4e4d16[_0x2ef6f4(0x107b)]+_0x2ef6f4(0x1315)+_0x4e4d16['statusText']:_0x2ef6f4(0x603),'msg':_0x4e4d16[_0x2ef6f4(0x524)]?JSON[_0x2ef6f4(0x10bb)](_0x4e4d16[_0x2ef6f4(0x524)]):_0x4e4d16[_0x2ef6f4(0xd5f)]()});}));function _0x218f30(){const _0x563601=_0x31a66f;switch(_0x30867a[_0x563601(0x1142)]){case _0x563601(0xd85):case _0x563601(0x25f4):return _0x563601(0x1559);case'custom':{const _0x5e045b=[];if(_0x30867a['timeRangeFrom']!=='*'&&_0x30867a[_0x563601(0x2469)]&&_0x30867a['timeRangeTo']){const _0xfe4981=(_0x30867a['timeRangeFrom'][_0x563601(0x1d57)]()<0xa?'0':'')+_0x30867a[_0x563601(0x2469)]['getHours']()+':'+((_0x30867a['timeRangeFrom'][_0x563601(0x7fc)]()<0xa?'0':'')+_0x30867a['timeRangeFrom'][_0x563601(0x7fc)]()),_0x8e30ec=(_0x30867a[_0x563601(0x637)]['getHours']()<0xa?'0':'')+_0x30867a[_0x563601(0x637)][_0x563601(0x1d57)]()+':'+((_0x30867a['timeRangeTo'][_0x563601(0x7fc)]()<0xa?'0':'')+_0x30867a['timeRangeTo'][_0x563601(0x7fc)]());_0x5e045b[_0x563601(0x1f47)](_0xfe4981+'-'+_0x8e30ec);}else _0x5e045b[_0x563601(0x1f47)]('*');return _0x30867a[_0x563601(0x1455)]?_0x30867a['dayOfWeekTo']?_0x5e045b['push'](_0x30867a[_0x563601(0x1455)]+'-'+_0x30867a[_0x563601(0x27a1)]):_0x5e045b[_0x563601(0x1f47)](_0x30867a[_0x563601(0x1455)]):_0x5e045b[_0x563601(0x1f47)]('*'),_0x30867a[_0x563601(0xf0b)]?_0x30867a['monthDayTo']?_0x5e045b[_0x563601(0x1f47)](_0x30867a[_0x563601(0xf0b)]+'-'+_0x30867a['monthDayTo']):_0x5e045b['push'](_0x30867a['monthDayFrom']):_0x5e045b['push']('*'),_0x30867a[_0x563601(0x167)]?_0x30867a['monthTo']?_0x5e045b[_0x563601(0x1f47)](_0x30867a['monthFrom']+'-'+_0x30867a[_0x563601(0x9c3)]):_0x5e045b[_0x563601(0x1f47)](_0x30867a[_0x563601(0x167)]):_0x5e045b['push']('*'),_0x5e045b[_0x563601(0xb47)]();}}}function _0x32ed02(){const _0x3602f9=_0x31a66f;_0x30867a['errors']=[],_0x30867a['interval'][_0x3602f9(0x2765)]=_0x218f30(),_0x35c8d6[_0x3602f9(0x2765)][_0x3602f9(0x1e3)](_0x30867a['interval'])[_0x3602f9(0x2945)][_0x3602f9(0x146b)](function(_0x504c7c){const _0x27bf92=_0x3602f9;_0x30867a[_0x27bf92(0x278f)]['push'](_0x504c7c),_0x17b2d8[_0x27bf92(0x1c75)]({'title':_0x27bf92(0x17f5),'msg':_0x30867a[_0x27bf92(0x2765)]['name']?_0x30867a[_0x27bf92(0x2765)][_0x27bf92(0x19eb)]+_0x27bf92(0x1386):''}),_0x58a5d1();})[_0x3602f9(0x129e)](function(_0x127aa9){const _0x5e560f=_0x3602f9;console[_0x5e560f(0x1980)](_0x127aa9),_0x30867a[_0x5e560f(0xcef)]=_0x127aa9['data']['errors']||[{'message':_0x127aa9[_0x5e560f(0xd5f)](),'type':'api.interval.save'}];});}function _0x4461f7(){const _0x4ea09f=_0x31a66f;_0x30867a[_0x4ea09f(0xcef)]=[],_0x30867a[_0x4ea09f(0x2765)][_0x4ea09f(0x2765)]=_0x218f30(),_0x30867a['interval']['application']?(_0x30867a['type']!==_0x4ea09f(0x25f4)&&(_0x30867a[_0x4ea09f(0x2765)][_0x4ea09f(0x1ff2)]=null),_0x58a5d1(_0x30867a[_0x4ea09f(0x2765)])):_0x35c8d6[_0x4ea09f(0x2765)][_0x4ea09f(0x18e1)]({'id':_0x30867a[_0x4ea09f(0x2765)]['id']},_0x30867a['interval'])[_0x4ea09f(0x2945)][_0x4ea09f(0x146b)](function(_0x377e98){const _0x15acd4=_0x4ea09f,_0x5c57d5=_0x3f65c0()[_0x15acd4(0xc84)](_0x30867a['intervals'],{'id':_0x377e98['id']});_0x5c57d5&&_0x3f65c0()[_0x15acd4(0x168d)](_0x5c57d5,_0x377e98),_0x17b2d8[_0x15acd4(0x1c75)]({'title':_0x15acd4(0x1d51),'msg':_0x15acd4(0x97a)}),_0x58a5d1();})[_0x4ea09f(0x129e)](function(_0x5e8b3b){const _0x1adfd2=_0x4ea09f;console[_0x1adfd2(0x1980)](_0x5e8b3b),_0x30867a['errors']=_0x5e8b3b[_0x1adfd2(0x524)]['errors']||[{'message':_0x5e8b3b[_0x1adfd2(0xd5f)](),'type':_0x1adfd2(0x1fbe)}];});}function _0x58a5d1(_0xb01d5e){const _0x5dfe23=_0x31a66f;_0x7fc306[_0x5dfe23(0x2458)](_0xb01d5e);}}const _0x521b47=_0x37f50c;;_0x1a59e0[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x218a),_0x313a4d(0x178),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x1a59e0(_0x511a57,_0xd75b54,_0x47f948,_0x2c23d6,_0x2631b2,_0x6b953,_0x4fc758,_0xf8f8f3){const _0x1b93f4=_0x313a4d,_0x4e9a94=this;_0x4e9a94[_0x1b93f4(0x2321)]=_0x4fc758['getCurrentUser'](),_0x4e9a94['errors']=[],_0x4e9a94[_0x1b93f4(0x1189)]=_0x1b93f4(0x196b)+(_0x2c23d6['appType']||_0x2c23d6[_0x1b93f4(0x1873)])['toUpperCase'](),_0x4e9a94['noop']=angular[_0x1b93f4(0x235a)](_0x2c23d6),_0x4e9a94['crudPermissions']=_0xf8f8f3,_0x4e9a94[_0x1b93f4(0x855)]={};if(_0x4e9a94['noop']['appdata'])switch(_0x4e9a94[_0x1b93f4(0x309)][_0x1b93f4(0x8f2)]?_0x4e9a94[_0x1b93f4(0x309)]['appType'][_0x1b93f4(0x256e)]():_0x4e9a94[_0x1b93f4(0x309)]['app']['toLowerCase']()){case _0x1b93f4(0x1802):break;case _0x1b93f4(0xeaa):{const _0x4c3cb7=_0x4e9a94['noop'][_0x1b93f4(0x168a)]['split'](',');_0x4e9a94[_0x1b93f4(0x309)][_0x1b93f4(0x2293)]=_0x4c3cb7[0x0],_0x4e9a94[_0x1b93f4(0x309)]['language']=_0x4c3cb7[0x1],_0x4e9a94['noop'][_0x1b93f4(0xaf3)]=_0x4c3cb7[_0x1b93f4(0x1298)](0x2,_0x4c3cb7[_0x1b93f4(0x402)])[_0x1b93f4(0xb47)](',');}break;case _0x1b93f4(0xa46):{const _0x49e25e=_0x4e9a94[_0x1b93f4(0x309)][_0x1b93f4(0x168a)][_0x1b93f4(0x10c8)](',');_0x4e9a94['noop']['projectId']=_0x49e25e[0x0],_0x4e9a94[_0x1b93f4(0x309)][_0x1b93f4(0x1392)]=_0x49e25e[0x1],_0x4e9a94[_0x1b93f4(0x309)][_0x1b93f4(0x2217)]=_0x49e25e[0x2],_0x4e9a94[_0x1b93f4(0x309)][_0x1b93f4(0x90b)]=_0x49e25e[0x3],_0x4e9a94[_0x1b93f4(0x309)][_0x1b93f4(0xaf3)]=_0x49e25e[_0x1b93f4(0x1298)](0x4,_0x49e25e[_0x1b93f4(0x402)])[_0x1b93f4(0xb47)](',');}break;case _0x1b93f4(0x17d6):{const _0x1f3da0=_0x4e9a94[_0x1b93f4(0x309)][_0x1b93f4(0x168a)]['split'](',');_0x4e9a94[_0x1b93f4(0x309)][_0x1b93f4(0x1651)]=_0x1f3da0[0x0],_0x4e9a94[_0x1b93f4(0x309)][_0x1b93f4(0x252)]=_0x1f3da0[0x1],_0x4e9a94[_0x1b93f4(0x309)][_0x1b93f4(0x1039)]=_0x1f3da0[0x2],_0x4e9a94[_0x1b93f4(0x309)][_0x1b93f4(0x8dd)]=_0x1f3da0[0x3],_0x4e9a94[_0x1b93f4(0x309)]['welcomemessage']=_0x1f3da0[_0x1b93f4(0x1298)](0x4,_0x1f3da0[_0x1b93f4(0x402)])['join'](',');}break;case'autoreply':{const _0x3942af=_0x4e9a94[_0x1b93f4(0x309)][_0x1b93f4(0x168a)][_0x1b93f4(0x10c8)](',');_0x4e9a94[_0x1b93f4(0x309)][_0x1b93f4(0x583)]=isNaN(_0x3942af[0x0])?_0x3942af[0x0]:parseInt(_0x3942af[0x0],0xa),_0x4e9a94[_0x1b93f4(0x309)][_0x1b93f4(0xa8d)]=_0x3942af[_0x1b93f4(0x1298)](0x1,_0x3942af[_0x1b93f4(0x402)])[_0x1b93f4(0xb47)](',');}break;case _0x1b93f4(0x7fd):_0x4e9a94[_0x1b93f4(0x309)][_0x1b93f4(0xa8d)]=_0x4e9a94[_0x1b93f4(0x309)]['appdata'];break;case _0x1b93f4(0x26ba):_0x4e9a94['noop'][_0x1b93f4(0x19eb)]=_0x4e9a94[_0x1b93f4(0x309)][_0x1b93f4(0x168a)][_0x1b93f4(0x10c8)]('=')[0x0],_0x4e9a94['noop'][_0x1b93f4(0x175d)]=_0x4e9a94[_0x1b93f4(0x309)][_0x1b93f4(0x168a)]['split']('=')[0x1];break;case _0x1b93f4(0x1ecb):_0x4e9a94[_0x1b93f4(0x309)][_0x1b93f4(0x9e0)]=_0x4e9a94[_0x1b93f4(0x309)][_0x1b93f4(0x168a)];break;default:{const _0x1f772c=_0x4e9a94[_0x1b93f4(0x309)][_0x1b93f4(0x168a)][_0x1b93f4(0x10c8)](',');_0x4e9a94[_0x1b93f4(0x309)]['value']=_0x3f65c0()['isEmpty'](_0x1f772c[0x0])?_0x1f772c[0x0]:isNaN(_0x1f772c[0x0])?_0x1f772c[0x0]:parseInt(_0x1f772c[0x0],0xa);}break;}else{}_0x4e9a94[_0x1b93f4(0x309)]['type']&&_0x4e9a94[_0x1b93f4(0x309)][_0x1b93f4(0x1142)][_0x1b93f4(0x256e)]()===_0x1b93f4(0xc9c)&&_0x4e9a94[_0x1b93f4(0x309)][_0x1b93f4(0x8f2)]['toLowerCase']()===_0x1b93f4(0x3bb)&&(_0x4e9a94[_0x1b93f4(0x309)][_0x1b93f4(0x1e12)]=_0x4e9a94[_0x1b93f4(0x309)]['phone']?_0x4e9a94[_0x1b93f4(0x309)]['phone'][_0x1b93f4(0x10c8)]('$')[0x0]:undefined,_0x4e9a94[_0x1b93f4(0x309)]['callerId']=_0x4e9a94['noop'][_0x1b93f4(0x11be)]?_0x1b93f4(0x1b60)+_0x4e9a94['noop'][_0x1b93f4(0x11be)]:undefined);_0x4e9a94[_0x1b93f4(0x212c)]=_0x5843f6,_0x4e9a94['closeDialog']=_0x331e29;function _0x5843f6(){const _0x53f7bf=_0x1b93f4;_0x4e9a94[_0x53f7bf(0xcef)]=[];const _0x21066a=[];_0x4e9a94[_0x53f7bf(0x309)][_0x53f7bf(0x1142)]&&_0x4e9a94[_0x53f7bf(0x309)][_0x53f7bf(0x1142)][_0x53f7bf(0x256e)]()===_0x53f7bf(0xc9c)&&_0x4e9a94[_0x53f7bf(0x309)]['appType']===_0x53f7bf(0x118b)&&(_0x4e9a94['noop'][_0x53f7bf(0x1d55)]=_0x2631b2[_0x53f7bf(0x1274)]?(_0x4e9a94[_0x53f7bf(0x309)][_0x53f7bf(0x1e12)]||'')+_0x53f7bf(0x2147)+_0x2631b2[_0x53f7bf(0x1274)]+'}':(_0x4e9a94[_0x53f7bf(0x309)][_0x53f7bf(0x1e12)]||'')+_0x53f7bf(0x20df),_0x2631b2[_0x53f7bf(0x1425)]!=='none'?_0x4e9a94[_0x53f7bf(0x309)][_0x53f7bf(0xa08)][_0x53f7bf(0x172b)](_0x53f7bf(0x1ea4))<0x0&&(_0x4e9a94[_0x53f7bf(0x309)]['options']+=_0x53f7bf(0x1ea4)):_0x4e9a94[_0x53f7bf(0x309)][_0x53f7bf(0xa08)]=_0x4e9a94[_0x53f7bf(0x309)][_0x53f7bf(0xa08)][_0x53f7bf(0x5f4)](_0x53f7bf(0x1ea4),''));if(_0x4e9a94[_0x53f7bf(0x309)][_0x53f7bf(0x8f2)]&&_0x4e9a94['noop'][_0x53f7bf(0x8f2)]===_0x53f7bf(0x1802)){}else switch((_0x4e9a94[_0x53f7bf(0x309)]['app']||_0x4e9a94[_0x53f7bf(0x309)]['appType'])[_0x53f7bf(0x256e)]()){case'set':_0x4e9a94[_0x53f7bf(0x309)]['appdata']=_0x4e9a94['noop']['name']+'='+_0x4e9a94[_0x53f7bf(0x309)][_0x53f7bf(0x175d)];break;case _0x53f7bf(0x1802):break;default:_0x21066a[0x0]=_0x4e9a94[_0x53f7bf(0x309)][_0x53f7bf(0x175d)],_0x4e9a94[_0x53f7bf(0x309)][_0x53f7bf(0x168a)]=_0x21066a['join'](',');}_0x331e29(_0x4e9a94[_0x53f7bf(0x309)]);}function _0x331e29(_0xf48642){_0x511a57['hide'](_0xf48642);}}const _0x49c0b8=_0x1a59e0;;_0xc33701['$inject']=['$mdDialog','$q',_0x313a4d(0x1fe4),_0x313a4d(0x218a),_0x313a4d(0x178),'api',_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0xc33701(_0x5c0d45,_0x15be71,_0xb5f8b0,_0x31cdf2,_0x51e53b,_0x3cc83d,_0x23d2f2,_0x3986af){const _0x2c1227=_0x313a4d,_0x1c2347=this;_0x1c2347[_0x2c1227(0x2321)]=_0x23d2f2[_0x2c1227(0xb12)](),_0x1c2347['errors']=[],_0x1c2347[_0x2c1227(0x1189)]=_0x2c1227(0x196b)+(_0x31cdf2[_0x2c1227(0x8f2)]||_0x31cdf2[_0x2c1227(0x1873)])[_0x2c1227(0x1c37)](),_0x1c2347[_0x2c1227(0x1c72)]=angular[_0x2c1227(0x235a)](_0x31cdf2),_0x1c2347[_0x2c1227(0x2514)]=_0x3986af,_0x1c2347['hasModulePermissions']={};if(_0x1c2347[_0x2c1227(0x1c72)][_0x2c1227(0x168a)])switch(_0x1c2347['queue']['appType']?_0x1c2347['queue']['appType'][_0x2c1227(0x256e)]():_0x1c2347[_0x2c1227(0x1c72)][_0x2c1227(0x1873)][_0x2c1227(0x256e)]()){case _0x2c1227(0x1802):break;case'dialogflow':{const _0x4981d5=_0x1c2347[_0x2c1227(0x1c72)][_0x2c1227(0x168a)][_0x2c1227(0x10c8)](',');_0x1c2347['queue']['key']=_0x4981d5[0x0],_0x1c2347[_0x2c1227(0x1c72)]['language']=_0x4981d5[0x1],_0x1c2347['queue'][_0x2c1227(0xaf3)]=_0x4981d5[_0x2c1227(0x1298)](0x2,_0x4981d5[_0x2c1227(0x402)])[_0x2c1227(0xb47)](',');}break;case _0x2c1227(0xa46):{const _0x4d73a4=_0x1c2347[_0x2c1227(0x1c72)][_0x2c1227(0x168a)][_0x2c1227(0x10c8)](',');_0x1c2347[_0x2c1227(0x1c72)][_0x2c1227(0x153a)]=_0x4d73a4[0x0],_0x1c2347[_0x2c1227(0x1c72)]['clientEmail']=_0x4d73a4[0x1],_0x1c2347[_0x2c1227(0x1c72)][_0x2c1227(0x2217)]=_0x4d73a4[0x2],_0x1c2347[_0x2c1227(0x1c72)][_0x2c1227(0x90b)]=_0x4d73a4[0x3],_0x1c2347[_0x2c1227(0x1c72)][_0x2c1227(0xaf3)]=_0x4d73a4[_0x2c1227(0x1298)](0x4,_0x4d73a4[_0x2c1227(0x402)])[_0x2c1227(0xb47)](',');}break;case _0x2c1227(0x17d6):{const _0x4aa0dc=_0x1c2347[_0x2c1227(0x1c72)][_0x2c1227(0x168a)][_0x2c1227(0x10c8)](',');_0x1c2347['queue'][_0x2c1227(0x1651)]=_0x4aa0dc[0x0],_0x1c2347[_0x2c1227(0x1c72)]['secretaccesskey']=_0x4aa0dc[0x1],_0x1c2347[_0x2c1227(0x1c72)][_0x2c1227(0x1039)]=_0x4aa0dc[0x2],_0x1c2347['queue'][_0x2c1227(0x8dd)]=_0x4aa0dc[0x3],_0x1c2347[_0x2c1227(0x1c72)][_0x2c1227(0xaf3)]=_0x4aa0dc['slice'](0x4,_0x4aa0dc[_0x2c1227(0x402)])['join'](',');}break;case _0x2c1227(0x1713):{const _0x242a2e=_0x1c2347[_0x2c1227(0x1c72)][_0x2c1227(0x168a)][_0x2c1227(0x10c8)](',');_0x1c2347[_0x2c1227(0x1c72)]['times']=isNaN(_0x242a2e[0x0])?_0x242a2e[0x0]:parseInt(_0x242a2e[0x0],0xa),_0x1c2347['queue'][_0x2c1227(0xa8d)]=_0x242a2e[_0x2c1227(0x1298)](0x1,_0x242a2e['length'])[_0x2c1227(0xb47)](',');}break;case'message':_0x1c2347[_0x2c1227(0x1c72)][_0x2c1227(0xa8d)]=_0x1c2347[_0x2c1227(0x1c72)][_0x2c1227(0x168a)];break;case _0x2c1227(0x26ba):_0x1c2347['queue'][_0x2c1227(0x19eb)]=_0x1c2347[_0x2c1227(0x1c72)][_0x2c1227(0x168a)][_0x2c1227(0x10c8)]('=')[0x0],_0x1c2347['queue'][_0x2c1227(0x175d)]=_0x1c2347[_0x2c1227(0x1c72)][_0x2c1227(0x168a)][_0x2c1227(0x10c8)]('=')[0x1];break;case'agi':_0x1c2347[_0x2c1227(0x1c72)]['project']=_0x1c2347[_0x2c1227(0x1c72)][_0x2c1227(0x168a)];break;default:{const _0x15f665=_0x1c2347[_0x2c1227(0x1c72)][_0x2c1227(0x168a)][_0x2c1227(0x10c8)](',');_0x1c2347['queue'][_0x2c1227(0x1c72)]=_0x3f65c0()[_0x2c1227(0x2635)](_0x15f665[0x0])?_0x15f665[0x0]:isNaN(_0x15f665[0x0])?_0x15f665[0x0]:parseInt(_0x15f665[0x0],0xa),_0x1c2347['queue'][_0x2c1227(0xa9c)]=_0x3f65c0()[_0x2c1227(0x2635)](_0x15f665[0x1])?_0x15f665[0x1]:isNaN(_0x15f665[0x1])?_0x15f665[0x1]:parseInt(_0x15f665[0x1],0xa);}break;}else _0x1c2347[_0x2c1227(0x1c72)][_0x2c1227(0x1c72)]=0x12c,_0x1c2347[_0x2c1227(0x1c72)][_0x2c1227(0xa9c)]=0x12c;_0x1c2347[_0x2c1227(0x1c72)][_0x2c1227(0x1142)]&&_0x1c2347[_0x2c1227(0x1c72)][_0x2c1227(0x1142)][_0x2c1227(0x256e)]()==='outbound'&&_0x1c2347[_0x2c1227(0x1c72)]['appType'][_0x2c1227(0x256e)]()===_0x2c1227(0x3bb)&&(_0x1c2347[_0x2c1227(0x1c72)][_0x2c1227(0x1e12)]=_0x1c2347[_0x2c1227(0x1c72)][_0x2c1227(0x1d55)]?_0x1c2347[_0x2c1227(0x1c72)]['phone'][_0x2c1227(0x10c8)]('$')[0x0]:undefined,_0x1c2347[_0x2c1227(0x1c72)][_0x2c1227(0x1d43)]=_0x1c2347[_0x2c1227(0x1c72)][_0x2c1227(0x11be)]?_0x2c1227(0x1b60)+_0x1c2347['queue'][_0x2c1227(0x11be)]:undefined);_0x1c2347[_0x2c1227(0x212c)]=_0x165574,_0x1c2347[_0x2c1227(0x13f3)]=_0x2946ef,_0x23d2f2[_0x2c1227(0x23e0)](_0x2c1227(0x174b))?_0x3cc83d[_0x2c1227(0x999)]['get']({'fields':_0x2c1227(0x45e),'sort':_0x2c1227(0x19eb),'nolimit':_0x2c1227(0x1185)})[_0x2c1227(0x2945)][_0x2c1227(0x146b)](function(_0x416e5d){const _0x248a7e=_0x2c1227;_0x1c2347['queues']=_0x416e5d[_0x248a7e(0x19c7)]||[];})['catch'](function(_0x3da071){const _0x3b3446=_0x2c1227;_0xb5f8b0[_0x3b3446(0x1980)]({'title':_0x3da071[_0x3b3446(0x107b)]?_0x3b3446(0x262a)+_0x3da071[_0x3b3446(0x107b)]+_0x3b3446(0x1315)+_0x3da071['statusText']:_0x3b3446(0x22d8),'msg':_0x3da071['data']?JSON[_0x3b3446(0x10bb)](_0x3da071[_0x3b3446(0x524)]):_0x3da071['toString']()});}):_0x3cc83d[_0x2c1227(0x999)][_0x2c1227(0x16b4)]({'fields':_0x2c1227(0x45e),'sort':_0x2c1227(0x19eb),'nolimit':_0x2c1227(0x1185)})[_0x2c1227(0x2945)][_0x2c1227(0x146b)](function(_0x2ee2b0){const _0x20d62c=_0x2c1227;_0x1c2347[_0x20d62c(0x1b32)]=_0x2ee2b0[_0x20d62c(0x19c7)]||[];})['then'](function(){const _0xa73d73=_0x2c1227;return _0x3cc83d[_0xa73d73(0x1366)]['get']({'userProfileId':_0x1c2347[_0xa73d73(0x2321)][_0xa73d73(0x209a)],'sectionId':0x385})[_0xa73d73(0x2945)];})['then'](function(_0x1196a1){const _0x3d43a4=_0x2c1227,_0x347b75=_0x1196a1&&_0x1196a1['rows']?_0x1196a1[_0x3d43a4(0x19c7)][0x0]:null;if(!_0x347b75){const _0x5981b4=[];let _0x3d730a=null;_0x1c2347[_0x3d43a4(0x1c72)]&&(_0x3d730a=_0x3f65c0()[_0x3d43a4(0xc84)](_0x1c2347[_0x3d43a4(0x1b32)],{'name':_0x1c2347['queue'][_0x3d43a4(0x1c72)]}));for(let _0xee2a94=0x0;_0xee2a94<_0x1c2347[_0x3d43a4(0x1b32)][_0x3d43a4(0x402)];_0xee2a94++){_0x3d730a&&_0x1c2347['queues'][_0xee2a94]['id']===_0x3d730a['id']&&(_0x1c2347[_0x3d43a4(0x1b32)][_0xee2a94][_0x3d43a4(0x8ff)]=![],_0x5981b4[_0x3d43a4(0x1f47)](_0x1c2347[_0x3d43a4(0x1b32)][_0xee2a94]));}_0x1c2347[_0x3d43a4(0x1b32)]=_0x5981b4;}else{if(!_0x347b75['autoAssociation'])return _0x3cc83d[_0x3d43a4(0xdcc)][_0x3d43a4(0x16b4)]({'sectionId':_0x347b75['id']})[_0x3d43a4(0x2945)]['then'](function(_0xee5909){const _0x4fb65f=_0x3d43a4,_0x18fda7=_0x3f65c0()[_0x4fb65f(0x205)](_0xee5909[_0x4fb65f(0x19c7)],function(_0x2aa556){const _0x599799=_0x4fb65f;return _0x3f65c0()['find'](_0x1c2347[_0x599799(0x1b32)],{'id':_0x2aa556[_0x599799(0x18b8)]});});let _0x4ae121=null;_0x1c2347['queue']&&(_0x4ae121=_0x3f65c0()[_0x4fb65f(0xc84)](_0x1c2347['queues'],{'name':_0x1c2347[_0x4fb65f(0x1c72)]['queue']}));if(_0x4ae121&&!_0x3f65c0()['some'](_0x18fda7,['id',_0x4ae121['id']])){const _0x49438c=_0x3f65c0()['find'](_0x1c2347[_0x4fb65f(0x1b32)],{'id':_0x4ae121['id']});_0x49438c['canSelect']=![],_0x18fda7[_0x4fb65f(0x1f47)](_0x49438c);}_0x1c2347[_0x4fb65f(0x1b32)]=_0x18fda7;});}})['catch'](function(_0x340c95){const _0x7182bd=_0x2c1227;_0xb5f8b0[_0x7182bd(0x1980)]({'title':_0x340c95['status']?_0x7182bd(0x262a)+_0x340c95[_0x7182bd(0x107b)]+_0x7182bd(0x1315)+_0x340c95[_0x7182bd(0x167f)]:_0x7182bd(0x561),'msg':_0x340c95['data']?JSON[_0x7182bd(0x10bb)](_0x340c95[_0x7182bd(0x524)]):_0x340c95[_0x7182bd(0xd5f)]()});});function _0x165574(){const _0xffccad=_0x2c1227;_0x1c2347[_0xffccad(0xcef)]=[];const _0x16fb74=[];_0x1c2347['queue']['type']&&_0x1c2347[_0xffccad(0x1c72)][_0xffccad(0x1142)][_0xffccad(0x256e)]()==='outbound'&&_0x1c2347[_0xffccad(0x1c72)]['appType']===_0xffccad(0x118b)&&(_0x1c2347['queue'][_0xffccad(0x1d55)]=_0x51e53b[_0xffccad(0x1274)]?(_0x1c2347[_0xffccad(0x1c72)][_0xffccad(0x1e12)]||'')+_0xffccad(0x2147)+_0x51e53b[_0xffccad(0x1274)]+'}':(_0x1c2347[_0xffccad(0x1c72)][_0xffccad(0x1e12)]||'')+'${EXTEN}',_0x51e53b[_0xffccad(0x1425)]!==_0xffccad(0x1642)?_0x1c2347[_0xffccad(0x1c72)][_0xffccad(0xa08)][_0xffccad(0x172b)](_0xffccad(0x1ea4))<0x0&&(_0x1c2347[_0xffccad(0x1c72)][_0xffccad(0xa08)]+=_0xffccad(0x1ea4)):_0x1c2347['queue'][_0xffccad(0xa08)]=_0x1c2347['queue'][_0xffccad(0xa08)][_0xffccad(0x5f4)](_0xffccad(0x1ea4),''));const _0x52503c=_0x3f65c0()[_0xffccad(0xc84)](_0x1c2347[_0xffccad(0x1b32)],{'name':_0x1c2347[_0xffccad(0x1c72)][_0xffccad(0x1c72)]});_0x52503c&&(_0x1c2347[_0xffccad(0x1c72)][_0x3f65c0()[_0xffccad(0x83f)](_0xffccad(0x22d9))+_0xffccad(0x23f8)]=_0x52503c['id']);if(_0x1c2347[_0xffccad(0x1c72)][_0xffccad(0x8f2)]&&_0x1c2347[_0xffccad(0x1c72)][_0xffccad(0x8f2)]===_0xffccad(0x1802)){}else switch((_0x1c2347[_0xffccad(0x1c72)][_0xffccad(0x1873)]||_0x1c2347['queue'][_0xffccad(0x8f2)])[_0xffccad(0x256e)]()){case _0xffccad(0x26ba):_0x1c2347['queue'][_0xffccad(0x168a)]=_0x1c2347['queue'][_0xffccad(0x19eb)]+'='+_0x1c2347[_0xffccad(0x1c72)][_0xffccad(0x175d)];break;case'custom':break;default:_0x16fb74[0x0]=_0x1c2347[_0xffccad(0x1c72)]['queue'],_0x16fb74[0x1]=_0x1c2347[_0xffccad(0x1c72)][_0xffccad(0xa9c)],_0x1c2347[_0xffccad(0x1c72)][_0xffccad(0x168a)]=_0x16fb74[_0xffccad(0xb47)](',');}_0x2946ef(_0x1c2347[_0xffccad(0x1c72)]);}function _0x2946ef(_0x301291){_0x5c0d45['hide'](_0x301291);}}const _0x1219b2=_0xc33701;;_0x1199a3['$inject']=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x218a),'faxAccount',_0x313a4d(0x247f),'Auth',_0x313a4d(0x2514)];function _0x1199a3(_0x434626,_0x562782,_0x4b8f0d,_0x6ae2b2,_0x515239,_0x151207,_0x6d6e7a,_0x3b29b5){const _0x292ac2=_0x313a4d,_0x115fe1=this;_0x115fe1[_0x292ac2(0x2321)]=_0x6d6e7a[_0x292ac2(0xb12)](),_0x115fe1[_0x292ac2(0xcef)]=[],_0x115fe1[_0x292ac2(0x1189)]=_0x292ac2(0x196b)+(_0x6ae2b2[_0x292ac2(0x8f2)]||_0x6ae2b2[_0x292ac2(0x1873)])['toUpperCase'](),_0x115fe1[_0x292ac2(0x1d47)]=angular[_0x292ac2(0x235a)](_0x6ae2b2),_0x115fe1[_0x292ac2(0x2514)]=_0x3b29b5,_0x115fe1[_0x292ac2(0x855)]={};if(_0x115fe1[_0x292ac2(0x1d47)]['appdata'])switch(_0x115fe1[_0x292ac2(0x1d47)][_0x292ac2(0x8f2)]?_0x115fe1[_0x292ac2(0x1d47)][_0x292ac2(0x8f2)]['toLowerCase']():_0x115fe1[_0x292ac2(0x1d47)][_0x292ac2(0x1873)][_0x292ac2(0x256e)]()){case _0x292ac2(0x1802):break;case _0x292ac2(0xeaa):{const _0x42471d=_0x115fe1[_0x292ac2(0x1d47)][_0x292ac2(0x168a)]['split'](',');_0x115fe1['system'][_0x292ac2(0x2293)]=_0x42471d[0x0],_0x115fe1[_0x292ac2(0x1d47)][_0x292ac2(0x90b)]=_0x42471d[0x1],_0x115fe1['system']['welcomemessage']=_0x42471d[_0x292ac2(0x1298)](0x2,_0x42471d[_0x292ac2(0x402)])[_0x292ac2(0xb47)](',');}break;case _0x292ac2(0xa46):{const _0x472bde=_0x115fe1['system']['appdata'][_0x292ac2(0x10c8)](',');_0x115fe1[_0x292ac2(0x1d47)][_0x292ac2(0x153a)]=_0x472bde[0x0],_0x115fe1['system'][_0x292ac2(0x1392)]=_0x472bde[0x1],_0x115fe1[_0x292ac2(0x1d47)][_0x292ac2(0x2217)]=_0x472bde[0x2],_0x115fe1[_0x292ac2(0x1d47)][_0x292ac2(0x90b)]=_0x472bde[0x3],_0x115fe1[_0x292ac2(0x1d47)][_0x292ac2(0xaf3)]=_0x472bde[_0x292ac2(0x1298)](0x4,_0x472bde[_0x292ac2(0x402)])[_0x292ac2(0xb47)](',');}break;case _0x292ac2(0x17d6):{const _0x79efb3=_0x115fe1[_0x292ac2(0x1d47)][_0x292ac2(0x168a)][_0x292ac2(0x10c8)](',');_0x115fe1['system']['accesskeyid']=_0x79efb3[0x0],_0x115fe1['system'][_0x292ac2(0x252)]=_0x79efb3[0x1],_0x115fe1[_0x292ac2(0x1d47)]['lexregion']=_0x79efb3[0x2],_0x115fe1[_0x292ac2(0x1d47)][_0x292ac2(0x8dd)]=_0x79efb3[0x3],_0x115fe1['system'][_0x292ac2(0xaf3)]=_0x79efb3[_0x292ac2(0x1298)](0x4,_0x79efb3['length'])[_0x292ac2(0xb47)](',');}break;case'autoreply':{const _0x3ded47=_0x115fe1[_0x292ac2(0x1d47)][_0x292ac2(0x168a)][_0x292ac2(0x10c8)](',');_0x115fe1[_0x292ac2(0x1d47)][_0x292ac2(0x583)]=isNaN(_0x3ded47[0x0])?_0x3ded47[0x0]:parseInt(_0x3ded47[0x0],0xa),_0x115fe1[_0x292ac2(0x1d47)]['text']=_0x3ded47[_0x292ac2(0x1298)](0x1,_0x3ded47[_0x292ac2(0x402)])['join'](',');}break;case _0x292ac2(0x7fd):_0x115fe1[_0x292ac2(0x1d47)]['text']=_0x115fe1[_0x292ac2(0x1d47)][_0x292ac2(0x168a)];break;case _0x292ac2(0x26ba):_0x115fe1['system'][_0x292ac2(0x19eb)]=_0x115fe1[_0x292ac2(0x1d47)][_0x292ac2(0x168a)][_0x292ac2(0x10c8)]('=')[0x0],_0x115fe1[_0x292ac2(0x1d47)][_0x292ac2(0x175d)]=_0x115fe1[_0x292ac2(0x1d47)][_0x292ac2(0x168a)][_0x292ac2(0x10c8)]('=')[0x1];break;case'agi':_0x115fe1[_0x292ac2(0x1d47)]['project']=_0x115fe1[_0x292ac2(0x1d47)][_0x292ac2(0x168a)];break;default:{const _0xe3ef1b=_0x115fe1[_0x292ac2(0x1d47)][_0x292ac2(0x168a)][_0x292ac2(0x10c8)](',');_0x115fe1[_0x292ac2(0x1d47)][_0x292ac2(0x23e9)]=_0x3f65c0()[_0x292ac2(0x2635)](_0xe3ef1b[0x0])?_0xe3ef1b[0x0]:isNaN(_0xe3ef1b[0x0])?_0xe3ef1b[0x0]:parseInt(_0xe3ef1b[0x0],0xa),_0x115fe1[_0x292ac2(0x1d47)][_0x292ac2(0x212)]=_0x3f65c0()['isEmpty'](_0xe3ef1b[0x1])?_0xe3ef1b[0x1]:isNaN(_0xe3ef1b[0x1])?_0xe3ef1b[0x1]:parseInt(_0xe3ef1b[0x1],0xa);}}else _0x115fe1[_0x292ac2(0x1d47)]['variable']='';_0x115fe1[_0x292ac2(0x1d47)][_0x292ac2(0x1142)]&&_0x115fe1[_0x292ac2(0x1d47)][_0x292ac2(0x1142)][_0x292ac2(0x256e)]()===_0x292ac2(0xc9c)&&_0x115fe1[_0x292ac2(0x1d47)]['appType'][_0x292ac2(0x256e)]()==='outbounddial'&&(_0x115fe1[_0x292ac2(0x1d47)][_0x292ac2(0x1e12)]=_0x115fe1[_0x292ac2(0x1d47)][_0x292ac2(0x1d55)]?_0x115fe1[_0x292ac2(0x1d47)][_0x292ac2(0x1d55)]['split']('$')[0x0]:undefined,_0x115fe1[_0x292ac2(0x1d47)][_0x292ac2(0x1d43)]=_0x115fe1['system'][_0x292ac2(0x11be)]?'CALLERID(all)='+_0x115fe1[_0x292ac2(0x1d47)][_0x292ac2(0x11be)]:undefined);_0x115fe1[_0x292ac2(0x212c)]=_0x22883d,_0x115fe1[_0x292ac2(0x13f3)]=_0x1b88cb,_0x6d6e7a['hasRole'](_0x292ac2(0x174b))?_0x151207[_0x292ac2(0x212)]['get']({'fields':_0x292ac2(0x7a7),'sort':_0x292ac2(0x19eb),'nolimit':_0x292ac2(0x1185)})['$promise'][_0x292ac2(0x146b)](function(_0x24bd6e){const _0x6babc3=_0x292ac2;_0x115fe1[_0x6babc3(0x85b)]=_0x24bd6e['rows']||[];})[_0x292ac2(0x129e)](function(_0x55c833){const _0x51ae95=_0x292ac2;_0x4b8f0d[_0x51ae95(0x1980)]({'title':_0x55c833[_0x51ae95(0x107b)]?_0x51ae95(0x262a)+_0x55c833[_0x51ae95(0x107b)]+_0x51ae95(0x1315)+_0x55c833[_0x51ae95(0x167f)]:_0x51ae95(0x84b),'msg':_0x55c833[_0x51ae95(0x524)]?JSON[_0x51ae95(0x10bb)](_0x55c833[_0x51ae95(0x524)]):_0x55c833[_0x51ae95(0xd5f)]()});}):_0x151207[_0x292ac2(0x212)]['get']({'fields':_0x292ac2(0x7a7),'sort':_0x292ac2(0x19eb),'nolimit':_0x292ac2(0x1185)})[_0x292ac2(0x2945)][_0x292ac2(0x146b)](function(_0x32e276){const _0x4bffcd=_0x292ac2;_0x115fe1[_0x4bffcd(0x85b)]=_0x32e276[_0x4bffcd(0x19c7)]||[];})[_0x292ac2(0x146b)](function(){const _0x66bc01=_0x292ac2;return _0x151207[_0x66bc01(0x1366)][_0x66bc01(0x16b4)]({'userProfileId':_0x115fe1[_0x66bc01(0x2321)][_0x66bc01(0x209a)],'sectionId':0x3f4})[_0x66bc01(0x2945)];})[_0x292ac2(0x146b)](function(_0x29c104){const _0x1f4a4c=_0x292ac2,_0x138942=_0x29c104&&_0x29c104[_0x1f4a4c(0x19c7)]?_0x29c104[_0x1f4a4c(0x19c7)][0x0]:null;if(!_0x138942){const _0x4f2a42=[];let _0x137417=null;_0x115fe1[_0x1f4a4c(0x1d47)]&&(_0x137417=_0x3f65c0()[_0x1f4a4c(0xc84)](_0x115fe1[_0x1f4a4c(0x85b)],{'name':_0x115fe1['system'][_0x1f4a4c(0x212)]}));for(let _0x31c9f9=0x0;_0x31c9f9<_0x115fe1[_0x1f4a4c(0x85b)][_0x1f4a4c(0x402)];_0x31c9f9++){_0x137417&&_0x115fe1['variables'][_0x31c9f9]['id']===_0x137417['id']&&(_0x115fe1['variables'][_0x31c9f9]['canSelect']=![],_0x4f2a42[_0x1f4a4c(0x1f47)](_0x115fe1[_0x1f4a4c(0x85b)][_0x31c9f9]));}_0x115fe1['variables']=_0x4f2a42;}else{if(!_0x138942['autoAssociation'])return _0x151207['userProfileResource'][_0x1f4a4c(0x16b4)]({'sectionId':_0x138942['id']})[_0x1f4a4c(0x2945)][_0x1f4a4c(0x146b)](function(_0x4290db){const _0x1a56d3=_0x1f4a4c,_0x51dd29=_0x3f65c0()[_0x1a56d3(0x205)](_0x4290db[_0x1a56d3(0x19c7)],function(_0x54550d){const _0x2b6af2=_0x1a56d3;return _0x3f65c0()[_0x2b6af2(0xc84)](_0x115fe1[_0x2b6af2(0x85b)],{'id':_0x54550d['resourceId']});});let _0x3a2b0f=null;_0x115fe1[_0x1a56d3(0x1d47)]&&(_0x3a2b0f=_0x3f65c0()[_0x1a56d3(0xc84)](_0x115fe1['variables'],{'name':_0x115fe1[_0x1a56d3(0x1d47)]['variable']}));if(_0x3a2b0f&&!_0x3f65c0()[_0x1a56d3(0x1360)](_0x51dd29,['id',_0x3a2b0f['id']])){const _0x51d64c=_0x3f65c0()[_0x1a56d3(0xc84)](_0x115fe1[_0x1a56d3(0x85b)],{'id':_0x3a2b0f['id']});_0x51d64c[_0x1a56d3(0x8ff)]=![],_0x51dd29['push'](_0x51d64c);}_0x115fe1['variables']=_0x51dd29;});}})[_0x292ac2(0x129e)](function(_0x422ca3){const _0x5f326d=_0x292ac2;_0x4b8f0d[_0x5f326d(0x1980)]({'title':_0x422ca3[_0x5f326d(0x107b)]?_0x5f326d(0x262a)+_0x422ca3[_0x5f326d(0x107b)]+_0x5f326d(0x1315)+_0x422ca3[_0x5f326d(0x167f)]:_0x5f326d(0x493),'msg':_0x422ca3[_0x5f326d(0x524)]?JSON['stringify'](_0x422ca3[_0x5f326d(0x524)]):_0x422ca3[_0x5f326d(0xd5f)]()});});function _0x22883d(){const _0x436d13=_0x292ac2;_0x115fe1[_0x436d13(0xcef)]=[];const _0x21a307=[];_0x115fe1[_0x436d13(0x1d47)][_0x436d13(0x1142)]&&_0x115fe1[_0x436d13(0x1d47)][_0x436d13(0x1142)][_0x436d13(0x256e)]()==='outbound'&&_0x115fe1[_0x436d13(0x1d47)][_0x436d13(0x8f2)]==='outboundDial'&&(_0x115fe1[_0x436d13(0x1d47)]['phone']=_0x515239['cutdigits']?(_0x115fe1[_0x436d13(0x1d47)]['prefix']||'')+'${EXTEN:'+_0x515239[_0x436d13(0x1274)]+'}':(_0x115fe1['system'][_0x436d13(0x1e12)]||'')+'${EXTEN}',_0x515239[_0x436d13(0x1425)]!=='none'?_0x115fe1[_0x436d13(0x1d47)]['options'][_0x436d13(0x172b)](_0x436d13(0x1ea4))<0x0&&(_0x115fe1[_0x436d13(0x1d47)][_0x436d13(0xa08)]+=_0x436d13(0x1ea4)):_0x115fe1[_0x436d13(0x1d47)][_0x436d13(0xa08)]=_0x115fe1['system']['options']['replace'](_0x436d13(0x1ea4),''));if(_0x115fe1[_0x436d13(0x1d47)][_0x436d13(0x8f2)]&&_0x115fe1[_0x436d13(0x1d47)][_0x436d13(0x8f2)]===_0x436d13(0x1802)){}else switch((_0x115fe1[_0x436d13(0x1d47)][_0x436d13(0x1873)]||_0x115fe1[_0x436d13(0x1d47)][_0x436d13(0x8f2)])[_0x436d13(0x256e)]()){case _0x436d13(0x26ba):_0x115fe1[_0x436d13(0x1d47)][_0x436d13(0x168a)]=_0x115fe1[_0x436d13(0x1d47)][_0x436d13(0x19eb)]+'='+_0x115fe1[_0x436d13(0x1d47)]['value'];break;case'custom':break;default:_0x21a307[0x0]=_0x115fe1[_0x436d13(0x1d47)]['command'],_0x21a307[0x1]=_0x115fe1[_0x436d13(0x1d47)][_0x436d13(0x212)],_0x115fe1[_0x436d13(0x1d47)][_0x436d13(0x168a)]=_0x21a307['join'](',');}_0x1b88cb(_0x115fe1[_0x436d13(0x1d47)]);}function _0x1b88cb(_0x30520b){const _0x599ae4=_0x292ac2;_0x434626[_0x599ae4(0x2458)](_0x30520b);}}const _0x41197b=_0x1199a3;;_0x1c7459[_0x313a4d(0x11c2)]=['$mdDialog','$q',_0x313a4d(0x1fe4),_0x313a4d(0x218a),_0x313a4d(0x178),_0x313a4d(0x247f),'Auth',_0x313a4d(0x2514)];function _0x1c7459(_0x334abb,_0x301214,_0x2b6e54,_0x2e6867,_0x186072,_0x2280ac,_0x513ad5,_0x51dc54){const _0x492c4d=_0x313a4d,_0x57cd21=this;_0x57cd21['currentUser']=_0x513ad5[_0x492c4d(0xb12)](),_0x57cd21['errors']=[],_0x57cd21[_0x492c4d(0x1189)]=_0x492c4d(0x196b)+(_0x2e6867['appType']||_0x2e6867[_0x492c4d(0x1873)])[_0x492c4d(0x1c37)](),_0x57cd21['tag']=angular[_0x492c4d(0x235a)](_0x2e6867),_0x57cd21[_0x492c4d(0x2514)]=_0x51dc54,_0x57cd21[_0x492c4d(0x855)]={};if(_0x57cd21[_0x492c4d(0xa80)][_0x492c4d(0x168a)])switch(_0x57cd21[_0x492c4d(0xa80)][_0x492c4d(0x8f2)]?_0x57cd21[_0x492c4d(0xa80)][_0x492c4d(0x8f2)][_0x492c4d(0x256e)]():_0x57cd21[_0x492c4d(0xa80)][_0x492c4d(0x1873)]['toLowerCase']()){case'custom':break;case _0x492c4d(0xeaa):{const _0xc28d96=_0x57cd21[_0x492c4d(0xa80)][_0x492c4d(0x168a)][_0x492c4d(0x10c8)](',');_0x57cd21[_0x492c4d(0xa80)][_0x492c4d(0x2293)]=_0xc28d96[0x0],_0x57cd21[_0x492c4d(0xa80)][_0x492c4d(0x90b)]=_0xc28d96[0x1],_0x57cd21[_0x492c4d(0xa80)][_0x492c4d(0xaf3)]=_0xc28d96[_0x492c4d(0x1298)](0x2,_0xc28d96[_0x492c4d(0x402)])[_0x492c4d(0xb47)](',');}break;case _0x492c4d(0xa46):{const _0x234511=_0x57cd21['tag'][_0x492c4d(0x168a)][_0x492c4d(0x10c8)](',');_0x57cd21[_0x492c4d(0xa80)][_0x492c4d(0x153a)]=_0x234511[0x0],_0x57cd21[_0x492c4d(0xa80)]['clientEmail']=_0x234511[0x1],_0x57cd21[_0x492c4d(0xa80)][_0x492c4d(0x2217)]=_0x234511[0x2],_0x57cd21['tag'][_0x492c4d(0x90b)]=_0x234511[0x3],_0x57cd21[_0x492c4d(0xa80)]['welcomemessage']=_0x234511[_0x492c4d(0x1298)](0x4,_0x234511[_0x492c4d(0x402)])[_0x492c4d(0xb47)](',');}break;case _0x492c4d(0x17d6):{const _0x481e83=_0x57cd21[_0x492c4d(0xa80)][_0x492c4d(0x168a)][_0x492c4d(0x10c8)](',');_0x57cd21[_0x492c4d(0xa80)][_0x492c4d(0x1651)]=_0x481e83[0x0],_0x57cd21[_0x492c4d(0xa80)][_0x492c4d(0x252)]=_0x481e83[0x1],_0x57cd21['tag']['lexregion']=_0x481e83[0x2],_0x57cd21[_0x492c4d(0xa80)][_0x492c4d(0x8dd)]=_0x481e83[0x3],_0x57cd21[_0x492c4d(0xa80)]['welcomemessage']=_0x481e83['slice'](0x4,_0x481e83[_0x492c4d(0x402)])[_0x492c4d(0xb47)](',');}break;case'autoreply':{const _0x15bd82=_0x57cd21[_0x492c4d(0xa80)][_0x492c4d(0x168a)]['split'](',');_0x57cd21[_0x492c4d(0xa80)]['times']=isNaN(_0x15bd82[0x0])?_0x15bd82[0x0]:parseInt(_0x15bd82[0x0],0xa),_0x57cd21[_0x492c4d(0xa80)]['text']=_0x15bd82['slice'](0x1,_0x15bd82[_0x492c4d(0x402)])[_0x492c4d(0xb47)](',');}break;case _0x492c4d(0x7fd):_0x57cd21[_0x492c4d(0xa80)][_0x492c4d(0xa8d)]=_0x57cd21[_0x492c4d(0xa80)][_0x492c4d(0x168a)];break;case'set':_0x57cd21[_0x492c4d(0xa80)][_0x492c4d(0x19eb)]=_0x57cd21['tag'][_0x492c4d(0x168a)][_0x492c4d(0x10c8)]('=')[0x0],_0x57cd21[_0x492c4d(0xa80)][_0x492c4d(0x175d)]=_0x57cd21[_0x492c4d(0xa80)][_0x492c4d(0x168a)][_0x492c4d(0x10c8)]('=')[0x1];break;case _0x492c4d(0x1ecb):_0x57cd21[_0x492c4d(0xa80)][_0x492c4d(0x9e0)]=_0x57cd21['tag'][_0x492c4d(0x168a)];break;default:{const _0x15a5ab=_0x57cd21['tag'][_0x492c4d(0x168a)][_0x492c4d(0x10c8)](',');_0x57cd21[_0x492c4d(0xa80)][_0x492c4d(0xa80)]=_0x3f65c0()[_0x492c4d(0x2635)](_0x15a5ab[0x0])?_0x15a5ab[0x0]:isNaN(_0x15a5ab[0x0])?_0x15a5ab[0x0]:parseInt(_0x15a5ab[0x0],0xa);}break;}else{}_0x57cd21['tag'][_0x492c4d(0x1142)]&&_0x57cd21[_0x492c4d(0xa80)][_0x492c4d(0x1142)][_0x492c4d(0x256e)]()===_0x492c4d(0xc9c)&&_0x57cd21[_0x492c4d(0xa80)][_0x492c4d(0x8f2)]['toLowerCase']()==='outbounddial'&&(_0x57cd21[_0x492c4d(0xa80)][_0x492c4d(0x1e12)]=_0x57cd21['tag']['phone']?_0x57cd21['tag']['phone'][_0x492c4d(0x10c8)]('$')[0x0]:undefined,_0x57cd21['tag']['callerId']=_0x57cd21['tag'][_0x492c4d(0x11be)]?_0x492c4d(0x1b60)+_0x57cd21[_0x492c4d(0xa80)]['callerID']:undefined);_0x57cd21[_0x492c4d(0x212c)]=_0xf784e4,_0x57cd21['closeDialog']=_0x41016d,_0x513ad5['hasRole'](_0x492c4d(0x174b))?_0x2280ac[_0x492c4d(0xa80)][_0x492c4d(0x16b4)]({'fields':_0x492c4d(0x7a7),'sort':_0x492c4d(0x19eb),'nolimit':_0x492c4d(0x1185)})[_0x492c4d(0x2945)][_0x492c4d(0x146b)](function(_0x44d368){const _0x50c098=_0x492c4d;_0x57cd21[_0x50c098(0x1b86)]=_0x44d368[_0x50c098(0x19c7)]||[];})[_0x492c4d(0x129e)](function(_0x25adaa){const _0x106471=_0x492c4d;_0x2b6e54[_0x106471(0x1980)]({'title':_0x25adaa[_0x106471(0x107b)]?_0x106471(0x262a)+_0x25adaa[_0x106471(0x107b)]+_0x106471(0x1315)+_0x25adaa[_0x106471(0x167f)]:_0x106471(0x29c),'msg':_0x25adaa[_0x106471(0x524)]?JSON[_0x106471(0x10bb)](_0x25adaa[_0x106471(0x524)]):_0x25adaa[_0x106471(0xd5f)]()});}):_0x2280ac[_0x492c4d(0xa80)][_0x492c4d(0x16b4)]({'fields':_0x492c4d(0x7a7),'sort':_0x492c4d(0x19eb),'nolimit':_0x492c4d(0x1185)})[_0x492c4d(0x2945)]['then'](function(_0x2c9f97){const _0x25e6fd=_0x492c4d;_0x57cd21[_0x25e6fd(0x1b86)]=_0x2c9f97[_0x25e6fd(0x19c7)]||[];})[_0x492c4d(0x146b)](function(){const _0x27069b=_0x492c4d;return _0x2280ac[_0x27069b(0x1366)][_0x27069b(0x16b4)]({'userProfileId':_0x57cd21[_0x27069b(0x2321)][_0x27069b(0x209a)],'sectionId':0x3f0})[_0x27069b(0x2945)];})[_0x492c4d(0x146b)](function(_0x3c49ad){const _0x51c433=_0x492c4d,_0x4443ee=_0x3c49ad&&_0x3c49ad[_0x51c433(0x19c7)]?_0x3c49ad['rows'][0x0]:null;if(!_0x4443ee){const _0x492b92=[];let _0x11b9b6=null;_0x57cd21[_0x51c433(0xa80)]&&(_0x11b9b6=_0x3f65c0()['find'](_0x57cd21['tags'],{'name':_0x57cd21[_0x51c433(0xa80)][_0x51c433(0xa80)]}));for(let _0x1af3a4=0x0;_0x1af3a4<_0x57cd21[_0x51c433(0x1b86)]['length'];_0x1af3a4++){_0x11b9b6&&_0x57cd21[_0x51c433(0x1b86)][_0x1af3a4]['id']===_0x11b9b6['id']&&(_0x57cd21[_0x51c433(0x1b86)][_0x1af3a4]['canSelect']=![],_0x492b92[_0x51c433(0x1f47)](_0x57cd21['tags'][_0x1af3a4]));}_0x57cd21[_0x51c433(0x1b86)]=_0x492b92;}else{if(!_0x4443ee['autoAssociation'])return _0x2280ac[_0x51c433(0xdcc)][_0x51c433(0x16b4)]({'sectionId':_0x4443ee['id']})[_0x51c433(0x2945)][_0x51c433(0x146b)](function(_0x36b209){const _0x266f47=_0x51c433,_0x308859=_0x3f65c0()[_0x266f47(0x205)](_0x36b209[_0x266f47(0x19c7)],function(_0x4eb1b2){const _0x4f25f5=_0x266f47;return _0x3f65c0()[_0x4f25f5(0xc84)](_0x57cd21[_0x4f25f5(0x1b86)],{'id':_0x4eb1b2['resourceId']});});let _0x24f5f1=null;_0x57cd21[_0x266f47(0xa80)]&&(_0x24f5f1=_0x3f65c0()['find'](_0x57cd21['tags'],{'name':_0x57cd21[_0x266f47(0xa80)][_0x266f47(0xa80)]}));if(_0x24f5f1&&!_0x3f65c0()[_0x266f47(0x1360)](_0x308859,['id',_0x24f5f1['id']])){const _0x20c9d4=_0x3f65c0()[_0x266f47(0xc84)](_0x57cd21[_0x266f47(0x1b86)],{'id':_0x24f5f1['id']});_0x20c9d4['canSelect']=![],_0x308859[_0x266f47(0x1f47)](_0x20c9d4);}_0x57cd21[_0x266f47(0x1b86)]=_0x308859;});}})[_0x492c4d(0x129e)](function(_0x2837cd){const _0x1d3966=_0x492c4d;_0x2b6e54[_0x1d3966(0x1980)]({'title':_0x2837cd[_0x1d3966(0x107b)]?_0x1d3966(0x262a)+_0x2837cd[_0x1d3966(0x107b)]+_0x1d3966(0x1315)+_0x2837cd[_0x1d3966(0x167f)]:'SYSTEM:GETtags','msg':_0x2837cd[_0x1d3966(0x524)]?JSON['stringify'](_0x2837cd['data']):_0x2837cd['toString']()});});function _0xf784e4(){const _0xd3c471=_0x492c4d;_0x57cd21['errors']=[];const _0x286bd8=[];_0x57cd21[_0xd3c471(0xa80)]['type']&&_0x57cd21[_0xd3c471(0xa80)]['type'][_0xd3c471(0x256e)]()===_0xd3c471(0xc9c)&&_0x57cd21[_0xd3c471(0xa80)][_0xd3c471(0x8f2)]===_0xd3c471(0x118b)&&(_0x57cd21['tag'][_0xd3c471(0x1d55)]=_0x186072['cutdigits']?(_0x57cd21[_0xd3c471(0xa80)][_0xd3c471(0x1e12)]||'')+'${EXTEN:'+_0x186072[_0xd3c471(0x1274)]+'}':(_0x57cd21[_0xd3c471(0xa80)][_0xd3c471(0x1e12)]||'')+_0xd3c471(0x20df),_0x186072[_0xd3c471(0x1425)]!=='none'?_0x57cd21[_0xd3c471(0xa80)]['options'][_0xd3c471(0x172b)](_0xd3c471(0x1ea4))<0x0&&(_0x57cd21[_0xd3c471(0xa80)][_0xd3c471(0xa08)]+=_0xd3c471(0x1ea4)):_0x57cd21['tag'][_0xd3c471(0xa08)]=_0x57cd21['tag']['options']['replace']('U(xcally-mixmonitor-context)',''));const _0x3f91d3=_0x3f65c0()['find'](_0x57cd21['tags'],{'name':_0x57cd21[_0xd3c471(0xa80)][_0xd3c471(0xa80)]});_0x3f91d3&&(_0x57cd21[_0xd3c471(0xa80)]['TagId']=_0x3f91d3['id']);if(_0x57cd21[_0xd3c471(0xa80)][_0xd3c471(0x8f2)]&&_0x57cd21[_0xd3c471(0xa80)]['appType']===_0xd3c471(0x1802)){}else switch((_0x57cd21[_0xd3c471(0xa80)][_0xd3c471(0x1873)]||_0x57cd21[_0xd3c471(0xa80)][_0xd3c471(0x8f2)])['toLowerCase']()){case _0xd3c471(0x26ba):_0x57cd21[_0xd3c471(0xa80)][_0xd3c471(0x168a)]=_0x57cd21[_0xd3c471(0xa80)]['name']+'='+_0x57cd21[_0xd3c471(0xa80)][_0xd3c471(0x175d)];break;case _0xd3c471(0x1802):break;default:_0x286bd8[0x0]=_0x57cd21['tag'][_0xd3c471(0xa80)],_0x57cd21[_0xd3c471(0xa80)][_0xd3c471(0x168a)]=_0x286bd8['join'](',');}_0x41016d(_0x57cd21[_0xd3c471(0xa80)]);}function _0x41016d(_0x21fffa){const _0x3aa264=_0x492c4d;_0x334abb[_0x3aa264(0x2458)](_0x21fffa);}}const _0x18f868=_0x1c7459;;_0x64004d['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),'$translate',_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87)];function _0x64004d(_0x296020,_0x5bcdee,_0x516748,_0xad3910,_0x2d0fbe,_0xf45bc6,_0x1d4f2c,_0x16bf4a,_0x3629d1,_0x2f0366,_0x13b7ae){const _0x4c9367=_0x313a4d,_0x5d893f=this;_0x5d893f[_0x4c9367(0x2321)]=_0x13b7ae[_0x4c9367(0xb12)](),_0x5d893f[_0x4c9367(0x2647)]={'count':0x0,'rows':[]},_0x5d893f['selectedDispositions']=[],_0x5d893f[_0x4c9367(0x2514)],_0x5d893f[_0x4c9367(0xd92)]={'first':'1st','second':_0x4c9367(0x227b),'third':_0x4c9367(0x1195)},_0x5d893f[_0x4c9367(0x1a56)]={'fields':'id,level,name,description,ParentId,createdAt,updatedAt','sort':_0x4c9367(0x12f2),'limit':0xa,'page':0x1},_0x5d893f[_0x4c9367(0x5aa)]=_0xa49ad7,_0x5d893f[_0x4c9367(0x19b4)]=_0x48c807,_0x5d893f['success']=_0x5d6c26,_0x5d893f['getDispositions']=_0x4d7c6d,_0x5d893f['createOrEditDisposition']=_0x23a561,_0x5d893f[_0x4c9367(0x1220)]=_0x1d44b1,_0x5d893f['exportSelectedDispositions']=_0x1cd4a7,_0x5d893f[_0x4c9367(0x11e4)]=_0x1cc2f2,_0x5d893f[_0x4c9367(0x4f0)]=_0x228563,_0x5d893f[_0x4c9367(0x481)]=_0x3302e6;function _0xa49ad7(_0x2bc643,_0xb179a9){const _0x52e43d=_0x4c9367;_0x5d893f[_0x52e43d(0x178)]=_0x2bc643||{},_0x5d893f[_0x52e43d(0x2514)]=typeof _0xb179a9!=='undefined'?_0xb179a9:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x5d893f[_0x52e43d(0x1a56)][_0x52e43d(0x74d)]=_0x5d893f[_0x52e43d(0x178)]['id'],_0x5d893f['query']['id']=_0x5d893f[_0x52e43d(0x178)]['id'],_0x4d7c6d();}function _0x23a561(_0x3b5c3c,_0x13c864){const _0x4f57a4=_0x4c9367;_0x2d0fbe[_0x4f57a4(0x2615)]({'controller':_0x4f57a4(0x1a84),'controllerAs':'vm','templateUrl':_0x4f093a,'parent':angular['element'](_0xf45bc6['body']),'targetEvent':_0x3b5c3c,'clickOutsideToClose':!![],'locals':{'disposition':_0x13c864,'model':{'id':_0x5d893f['faxAccount']['id'],'field':_0x4f57a4(0x74d),'route':_0x4f57a4(0x178)},'license':null,'setting':null,'crudPermissions':_0x5d893f[_0x4f57a4(0x2514)]}})[_0x4f57a4(0x146b)](function(_0x441da0){if(_0x441da0)_0x4d7c6d();});}function _0x48c807(_0x3e0e74,_0x2cde30){const _0x444ff7=_0x4c9367,_0x30bf19=_0x3f65c0()[_0x444ff7(0x1360)](_0x5d893f[_0x444ff7(0x2647)]['rows'],[_0x444ff7(0x11b5),_0x3e0e74['id']]),_0x1dee87=_0x2d0fbe[_0x444ff7(0x1e8a)]()[_0x444ff7(0x1189)](_0x16bf4a[_0x444ff7(0xde)](_0x444ff7(0x19e6)))[_0x444ff7(0x80f)](_0x16bf4a[_0x444ff7(0xde)](_0x444ff7(0xe8d)+(_0x30bf19?'DISPOSITION_DELETE_MESSAGE_CHILDREN':_0x444ff7(0xd49)),{'name':_0x3e0e74[_0x444ff7(0x19eb)]}))['ariaLabel'](_0x444ff7(0x27d1))[_0x444ff7(0x1f27)](_0x2cde30)['ok']('OK')[_0x444ff7(0x6c3)](_0x16bf4a[_0x444ff7(0xde)](_0x444ff7(0x1161)));_0x2d0fbe[_0x444ff7(0x2615)](_0x1dee87)['then'](function(){_0x1d44b1(_0x3e0e74);});}function _0x5d6c26(_0x24ee37){_0x5d893f['dispositions']=_0x24ee37||{'count':0x0,'rows':[]};}function _0x4d7c6d(){const _0x540be4=_0x4c9367;_0x5d893f[_0x540be4(0x1a56)][_0x540be4(0x145d)]=(_0x5d893f[_0x540be4(0x1a56)][_0x540be4(0x844)]-0x1)*_0x5d893f['query'][_0x540be4(0x221e)],_0x5d893f[_0x540be4(0xb9c)]=_0x3629d1[_0x540be4(0x178)]['getDispositions'](_0x5d893f['query'],_0x5d6c26)[_0x540be4(0x2945)];}function _0x1d44b1(_0x112c60){const _0x393bbe=_0x4c9367;_0x3629d1[_0x393bbe(0x9e1)][_0x393bbe(0x1fac)]({'id':_0x112c60['id']})[_0x393bbe(0x2945)][_0x393bbe(0x146b)](function(){const _0x4b3409=_0x393bbe;_0x4d7c6d(),_0x2f0366['success']({'title':_0x16bf4a['instant'](_0x4b3409(0xa2f))});})[_0x393bbe(0x129e)](function(_0x4f1c6d){const _0x10354c=_0x393bbe;if(_0x4f1c6d[_0x10354c(0x524)]&&_0x4f1c6d[_0x10354c(0x524)]['errors']&&_0x4f1c6d[_0x10354c(0x524)][_0x10354c(0xcef)][_0x10354c(0x402)]){_0x5d893f['errors']=_0x4f1c6d[_0x10354c(0x524)][_0x10354c(0xcef)]||[{'message':_0x4f1c6d['toString'](),'type':_0x10354c(0x24a7)}];for(let _0x58ceda=0x0;_0x58ceda<_0x4f1c6d[_0x10354c(0x524)][_0x10354c(0xcef)][_0x10354c(0x402)];_0x58ceda++){_0x2f0366[_0x10354c(0x1980)]({'title':_0x4f1c6d[_0x10354c(0x524)][_0x10354c(0xcef)][_0x58ceda][_0x10354c(0x1142)],'msg':_0x4f1c6d['data'][_0x10354c(0xcef)][_0x58ceda][_0x10354c(0x7fd)]});}}else _0x2f0366[_0x10354c(0x1980)]({'title':_0x4f1c6d[_0x10354c(0x107b)]?_0x10354c(0x262a)+_0x4f1c6d[_0x10354c(0x107b)]+'\x20-\x20'+_0x4f1c6d['statusText']:'SYSTEM:DELETEdisposition','msg':_0x4f1c6d[_0x10354c(0x524)]?JSON[_0x10354c(0x10bb)](_0x4f1c6d[_0x10354c(0x524)]['message']):_0x4f1c6d['message']||_0x4f1c6d[_0x10354c(0xd5f)]()});});}function _0x1cd4a7(){const _0xd44807=_0x4c9367,_0x4be4e4=angular[_0xd44807(0x235a)](_0x5d893f[_0xd44807(0x2ad)]);return _0x5d893f[_0xd44807(0x2ad)]=[],_0x4be4e4;}function _0x1cc2f2(_0x497e7b){const _0x4bd234=_0x4c9367,_0x3369b4=_0x2d0fbe[_0x4bd234(0x1e8a)]()[_0x4bd234(0x1189)](_0x16bf4a[_0x4bd234(0xde)](_0x4bd234(0x1a5b)))['content'](_0x16bf4a[_0x4bd234(0xde)](_0x4bd234(0x23ce),{'total':_0x5d893f[_0x4bd234(0x2ad)][_0x4bd234(0x402)]}))[_0x4bd234(0x4bd)](_0x4bd234(0x1eb0))['targetEvent'](_0x497e7b)['ok']('OK')['cancel'](_0x16bf4a['instant'](_0x4bd234(0x1161)));_0x2d0fbe[_0x4bd234(0x2615)](_0x3369b4)[_0x4bd234(0x146b)](function(){const _0x3dc172=_0x4bd234;_0x5d893f[_0x3dc172(0x2ad)][_0x3dc172(0x1df5)](function(_0x40b40e){_0x1d44b1(_0x40b40e);}),_0x5d893f[_0x3dc172(0x2ad)]=[];});}function _0x228563(){_0x5d893f['selectedDispositions']=[];}function _0x3302e6(){const _0x4f8664=_0x4c9367;_0x5d893f[_0x4f8664(0x2ad)]=_0x5d893f['dispositions'][_0x4f8664(0x19c7)];}let _0x9392e9=!![],_0x461bfb=0x1;_0x296020[_0x4c9367(0x21e8)]('vm_dc.query.filter',function(_0xa22b23,_0xe7491d){const _0x56609c=_0x4c9367;_0x9392e9?_0x1d4f2c(function(){_0x9392e9=![];}):(!_0xe7491d&&(_0x461bfb=_0x5d893f[_0x56609c(0x1a56)][_0x56609c(0x844)]),_0xa22b23!==_0xe7491d&&(_0x5d893f[_0x56609c(0x1a56)][_0x56609c(0x844)]=0x1),!_0xa22b23&&(_0x5d893f['query'][_0x56609c(0x844)]=_0x461bfb),_0x4d7c6d());});}const _0x4a2050=_0x64004d;;_0x1d6d1a[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),_0x313a4d(0x910),_0x313a4d(0x1862),'$q',_0x313a4d(0x214b),_0x313a4d(0x1abe),'$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0xa87)];function _0x1d6d1a(_0xe086f6,_0x57a77f,_0xd9df12,_0x5725df,_0x4c1232,_0x360f7a,_0x318376,_0x587cdb,_0xff0ab4,_0x1412be,_0x4b748c){const _0x18d321=_0x313a4d,_0xd90cf9=this;_0xd90cf9['currentUser']=_0x4b748c[_0x18d321(0xb12)](),_0xd90cf9[_0x18d321(0x178)]={},_0xd90cf9[_0x18d321(0xe4b)]={'count':0x0,'rows':[]},_0xd90cf9[_0x18d321(0x3e6)]=[],_0xd90cf9['crudPermissions'],_0xd90cf9[_0x18d321(0x1a56)]={'read':_0x18d321(0x203c),'closed':'null','sort':_0x18d321(0xd6f),'includeAll':'true','limit':0xa,'page':0x1},_0xd90cf9[_0x18d321(0x5aa)]=_0x4ad303,_0xd90cf9[_0x18d321(0x19b4)]=_0x3e486b,_0xd90cf9[_0x18d321(0x1f5)]=_0x3405aa,_0xd90cf9['success']=_0x201f7d,_0xd90cf9[_0x18d321(0xe0)]=_0x57cd2d,_0xd90cf9[_0x18d321(0x1e20)]=_0x5d7963,_0xd90cf9[_0x18d321(0x21a6)]=_0x15526a,_0xd90cf9[_0x18d321(0x82a)]=_0x1882d0,_0xd90cf9[_0x18d321(0x20b8)]=_0x46c151,_0xd90cf9['deleteSelectedFaxAccountInteractions']=_0x4e0f6a;function _0x4ad303(_0x57a264,_0xce3270){const _0x2cb2ee=_0x18d321;_0xd90cf9[_0x2cb2ee(0x178)]=_0x57a264,_0xd90cf9[_0x2cb2ee(0x2514)]=typeof _0xce3270!==_0x2cb2ee(0x2274)?_0xce3270:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0xd90cf9[_0x2cb2ee(0x1a56)][_0x2cb2ee(0x74d)]=_0xd90cf9[_0x2cb2ee(0x178)]['id'],_0xd90cf9[_0x2cb2ee(0xe93)]={'fields':_0x1fc678()},_0x34d2db();}function _0x34d2db(){const _0x3b6249=_0x18d321;return _0x1412be['tag']['get']()['$promise']['then'](function(_0x39a708){const _0x142bfb=a0_0x3bb9;_0xd90cf9[_0x142bfb(0x1b86)]=_0x39a708||{'count':0x0,'rows':[]};})[_0x3b6249(0x146b)](function(){const _0x4d76a1=_0x3b6249;_0xd90cf9[_0x4d76a1(0x28af)]=_0x39bb62();});}function _0x39bb62(){const _0x54a08a=_0x18d321;return[{'name':'Start\x20Date','key':_0x54a08a(0xc68),'type':_0x54a08a(0x1a10),'label':_0x54a08a(0x2902)},{'name':_0x54a08a(0x1c7c),'key':'read','type':'select','label':'DASHBOARDS.SELECT_READ_UNREAD','customOptions':[{'value':0x0,'translate':_0x54a08a(0x33f)},{'value':0x1,'translate':'DASHBOARDS.READ'},{'value':null,'translate':_0x54a08a(0x175e)}]},{'name':_0x54a08a(0x74e),'key':_0x54a08a(0x1943),'type':_0x54a08a(0xa5f),'label':'DASHBOARDS.SELECT_STATUS','customOptions':[{'value':0x0,'translate':_0x54a08a(0x143b)},{'value':0x1,'translate':_0x54a08a(0x1805)},{'value':null,'translate':_0x54a08a(0x175e)}]},{'name':_0x54a08a(0x294a),'key':'UserId','type':_0x54a08a(0xa5f),'label':_0x54a08a(0x127),'customOptions':[{'value':'null','translate':'DASHBOARDS.NOT_ASSIGNED'},{'value':undefined,'translate':'DASHBOARDS.ALL'}]},{'name':_0x54a08a(0x23a8),'key':_0x54a08a(0xa80),'type':_0x54a08a(0x175c),'label':_0x54a08a(0x1c0e),'options':_0xd90cf9['tags'][_0x54a08a(0x19c7)],'placeholder':_0x54a08a(0x66f)}];}function _0x1fc678(){const _0x232b17=_0x18d321;return[{'name':'Id','column':'id','type':_0x232b17(0x181)},{'name':_0x232b17(0x364),'column':_0x232b17(0x364),'type':_0x232b17(0x14ee),'options':{'searchFields':[_0x232b17(0x1ed4),_0x232b17(0xee4),'email'],'route':{'model':_0x232b17(0x18c3),'action':_0x232b17(0x16b4),'params':{'fields':_0x232b17(0x992),'Contact':_0x232b17(0x3fb),'nolimit':!![]}},'extraOperators':[_0x232b17(0xacb)],'excludedOperators':[_0x232b17(0x1671)]}},{'name':_0x232b17(0x11e1),'column':_0x232b17(0xe32),'type':_0x232b17(0xa8d),'options':{'excludedOperators':[_0x232b17(0x6be),_0x232b17(0x1671)]}},{'name':_0x232b17(0x710),'column':_0x232b17(0xb80),'type':_0x232b17(0xa8d),'options':{'excludedOperators':[_0x232b17(0x6be),_0x232b17(0x1671)]}},{'name':_0x232b17(0x74e),'column':_0x232b17(0x1943),'type':_0x232b17(0xa5f),'values':[{'id':0x0,'translate':_0x232b17(0x143b)},{'id':0x1,'translate':'DASHBOARDS.CLOSED'}],'options':{'excludedOperators':[_0x232b17(0x1671)]}},{'name':_0x232b17(0x294a),'column':_0x232b17(0x2393),'type':_0x232b17(0x14ee),'options':{'table':'i','route':{'model':'user','action':_0x232b17(0x16b4),'params':{'role':_0x232b17(0x1755),'fields':'id,name,fullname','nolimit':!![]}},'searchFields':[_0x232b17(0x286a),_0x232b17(0x19eb)],'extraOperators':[_0x232b17(0xacb)],'excludedOperators':[_0x232b17(0x1671)]}},{'name':_0x232b17(0x2331),'column':_0x232b17(0x23a8),'type':'multiselect','options':{'route':{'model':_0x232b17(0xa80),'action':_0x232b17(0x16b4),'params':{'nolimit':!![]}},'excludedOperators':[_0x232b17(0xbe5)]}},{'name':'Start\x20Date','column':_0x232b17(0xc68),'type':_0x232b17(0x1a10),'options':{'excludedOperators':[_0x232b17(0x1671)]}},{'name':_0x232b17(0x270b),'column':_0x232b17(0x26a6),'type':'select','values':[{'id':0x1,'translate':_0x232b17(0x1ce6)},{'id':0x0,'translate':_0x232b17(0x33f)}],'options':{'excludedOperators':[_0x232b17(0x1671)]}}];}function _0x15526a(){const _0x1a3a8b=_0x18d321;_0x318376[_0x1a3a8b(0x2615)]({'controller':_0x1a3a8b(0x26bc),'controllerAs':'vm','templateUrl':_0x3fb128,'parent':angular[_0x1a3a8b(0x1853)](_0x587cdb['body']),'clickOutsideToClose':![],'locals':{'fields':_0xd90cf9[_0x1a3a8b(0xe93)][_0x1a3a8b(0x2867)],'color':undefined,'storagePath':_0x1a3a8b(0xd1c)},'fullscreen':!![]})[_0x1a3a8b(0x146b)](function(_0x579d60){const _0x3992be=_0x1a3a8b;_0xd90cf9['query'][_0x3992be(0x21da)]=_0x579d60===![]?undefined:_0x579d60;if(_0xd90cf9['query']['search'])_0x57cd2d();else _0x579d60===![]&&_0x57cd2d();})[_0x1a3a8b(0x129e)](function(_0x2f6eab){const _0x1042f6=_0x1a3a8b;_0xff0ab4[_0x1042f6(0x1980)]({'title':_0x1042f6(0x10f5),'msg':_0x2f6eab[_0x1042f6(0x524)]?JSON['stringify'](_0x2f6eab[_0x1042f6(0x524)][_0x1042f6(0x7fd)]):_0x2f6eab[_0x1042f6(0xd5f)]()});});}function _0x3405aa(_0x18afd7,_0x527a7a,_0xceac9c){const _0x2b92ac=_0x18d321;return _0x1412be[_0x2b92ac(0xe5b)][_0x2b92ac(0x15b1)]({'id':_0x18afd7['id'],'exists':!![],'attachments':_0xceac9c})['$promise']['then'](function(_0xc2ca1f){const _0x31d92b=_0x2b92ac,_0x19fc90=[_0xc2ca1f[_0x31d92b(0x2eb)]];let _0x4c9a0c=_0x31d92b(0xe80)+_0x18afd7['id'];const _0x3f5095=new Blob(_0x19fc90,{'type':_0xc2ca1f[_0x31d92b(0x1142)]});_0x4c9a0c=_0x31d92b(0x200d)+_0x18afd7['id']+_0x31d92b(0xf04);const _0x3edba9=window[_0x31d92b(0xef3)]['createElement']('a');_0x3edba9[_0x31d92b(0x1652)](_0x31d92b(0x1b3c),URL[_0x31d92b(0x1c58)](_0x3f5095)),_0x3edba9[_0x31d92b(0x1652)](_0x31d92b(0x15b1),_0x4c9a0c),document['body'][_0x31d92b(0x23e)](_0x3edba9),_0x3edba9[_0x31d92b(0x1fa5)]();})[_0x2b92ac(0x129e)](function(_0x550f9c){const _0x331d4f=_0x2b92ac;if(_0x550f9c[_0x331d4f(0x524)]&&_0x550f9c[_0x331d4f(0x524)][_0x331d4f(0xcef)]&&_0x550f9c[_0x331d4f(0x524)][_0x331d4f(0xcef)][_0x331d4f(0x402)])for(let _0x4ff8c1=0x0;_0x4ff8c1<_0x550f9c['data'][_0x331d4f(0xcef)][_0x331d4f(0x402)];_0x4ff8c1+=0x1){_0xff0ab4[_0x331d4f(0x1980)]({'title':_0x550f9c[_0x331d4f(0x524)][_0x331d4f(0xcef)][_0x4ff8c1][_0x331d4f(0x1142)],'msg':_0x550f9c['data'][_0x331d4f(0xcef)][_0x4ff8c1][_0x331d4f(0x7fd)]});}else _0xff0ab4[_0x331d4f(0x1980)]({'title':_0x550f9c[_0x331d4f(0x107b)]?'API:'+_0x550f9c[_0x331d4f(0x107b)]+_0x331d4f(0x1315)+_0x550f9c[_0x331d4f(0x167f)]:_0x331d4f(0x20ca),'msg':_0x550f9c[_0x331d4f(0x524)]?JSON[_0x331d4f(0x10bb)](_0x550f9c[_0x331d4f(0x524)][_0x331d4f(0x7fd)]):_0x550f9c['toString']()});});}function _0x3e486b(_0x15e034,_0x1d2475){const _0x5c2d57=_0x18d321,_0x2e77f2=_0x318376[_0x5c2d57(0x1e8a)]()[_0x5c2d57(0x1189)](_0x5c2d57(0x1f40))['htmlContent'](_0x5c2d57(0x16d3)+(_0x15e034[_0x5c2d57(0x19eb)]||_0x15e034['id']&&_0x3f65c0()[_0x5c2d57(0x21cf)]('interaction\x20#')+_0x15e034['id']||_0x5c2d57(0xe80))+_0x5c2d57(0x252f)+_0x5c2d57(0xe01))[_0x5c2d57(0x4bd)](_0x5c2d57(0xcab))[_0x5c2d57(0x1f27)](_0x1d2475)['ok']('OK')[_0x5c2d57(0x6c3)](_0x5c2d57(0x39a));_0x318376[_0x5c2d57(0x2615)](_0x2e77f2)['then'](function(){_0x46c151(_0x15e034);},function(){const _0x57bcc9=_0x5c2d57;console[_0x57bcc9(0x1a74)](_0x57bcc9(0x39a));});}function _0x201f7d(_0x27fa62){const _0x336ad5=_0x18d321;_0xd90cf9[_0x336ad5(0xe4b)]=_0x27fa62||{'count':0x0,'rows':[]};for(let _0x585b80=0x0;_0x585b80<_0xd90cf9[_0x336ad5(0xe4b)][_0x336ad5(0x19c7)]['length'];_0x585b80+=0x1){const _0x53350c=_0xd90cf9[_0x336ad5(0xe4b)][_0x336ad5(0x19c7)][_0x585b80];_0x10321a(_0x53350c),_0x53350c[_0x336ad5(0xac5)]['name']=_0x14680d(_0x53350c);}}function _0x57cd2d(){const _0x9c65c4=_0x18d321;_0xd90cf9[_0x9c65c4(0x1a56)][_0x9c65c4(0x145d)]=(_0xd90cf9['query'][_0x9c65c4(0x844)]-0x1)*_0xd90cf9[_0x9c65c4(0x1a56)]['limit'],_0xd90cf9['promise']=_0x1412be[_0x9c65c4(0xe5b)]['get'](_0xd90cf9[_0x9c65c4(0x1a56)],_0x201f7d)['$promise'];}function _0x5d7963(_0x135f8b,_0x24d3a8){const _0x168c33=_0x18d321;_0x318376[_0x168c33(0x2615)]({'controller':_0x168c33(0xd2c),'controllerAs':'vm','templateUrl':_0x270cc0,'parent':angular[_0x168c33(0x1853)](_0x587cdb[_0x168c33(0x2586)]),'targetEvent':_0x135f8b,'clickOutsideToClose':!![],'onShowing':function(_0x4b6a31){const _0x5ccdeb=_0x168c33;_0x4b6a31['vm'][_0x5ccdeb(0x5aa)]({'id':0x1,'channel':'fax','interaction':_0x24d3a8,'spy':!![]},_0x57a77f[_0x5ccdeb(0x2870)]['vm']['setting']);}});}function _0x46c151(_0xc34dac){const _0x22eaac=_0x18d321;_0x1412be['faxInteraction'][_0x22eaac(0x1fac)]({'id':_0xc34dac['id']})[_0x22eaac(0x2945)][_0x22eaac(0x146b)](function(){const _0x34e6d4=_0x22eaac;_0x3f65c0()[_0x34e6d4(0x2640)](_0xd90cf9[_0x34e6d4(0xe4b)][_0x34e6d4(0x19c7)],{'id':_0xc34dac['id']}),_0xd90cf9[_0x34e6d4(0xe4b)][_0x34e6d4(0x51c)]-=0x1,!_0xd90cf9[_0x34e6d4(0xe4b)][_0x34e6d4(0x19c7)]['length']&&_0x57cd2d(),_0xff0ab4[_0x34e6d4(0x1c75)]({'title':_0x34e6d4(0x739),'msg':_0xc34dac[_0x34e6d4(0x19eb)]?_0xc34dac['name']+_0x34e6d4(0x23e3):''});})[_0x22eaac(0x129e)](function(_0x418493){const _0x359e07=_0x22eaac;if(_0x418493[_0x359e07(0x524)]&&_0x418493['data']['errors']&&_0x418493[_0x359e07(0x524)]['errors'][_0x359e07(0x402)]){_0xd90cf9[_0x359e07(0xcef)]=_0x418493[_0x359e07(0x524)][_0x359e07(0xcef)]||[{'message':_0x418493[_0x359e07(0xd5f)](),'type':'SYSTEM:GETfaxAccount'}];for(let _0x3a3e2e=0x0;_0x3a3e2e<_0x418493[_0x359e07(0x524)][_0x359e07(0xcef)][_0x359e07(0x402)];_0x3a3e2e++){_0xff0ab4[_0x359e07(0x1980)]({'title':_0x418493[_0x359e07(0x524)][_0x359e07(0xcef)][_0x3a3e2e][_0x359e07(0x1142)],'msg':_0x418493[_0x359e07(0x524)][_0x359e07(0xcef)][_0x3a3e2e]['message']});}}else _0xff0ab4[_0x359e07(0x1980)]({'title':_0x418493[_0x359e07(0x107b)]?'API:'+_0x418493['status']+_0x359e07(0x1315)+_0x418493[_0x359e07(0x167f)]:_0x359e07(0x11b4),'msg':_0x418493[_0x359e07(0x524)]?JSON[_0x359e07(0x10bb)](_0x418493[_0x359e07(0x524)][_0x359e07(0x7fd)]):_0x418493[_0x359e07(0x7fd)]||_0x418493[_0x359e07(0xd5f)]()});});}function _0x1882d0(){const _0x5265e7=_0x18d321,_0x222cbc=angular[_0x5265e7(0x235a)](_0xd90cf9['selectedFaxAccountInteractions']);return _0xd90cf9[_0x5265e7(0x3e6)]=[],_0x222cbc;}function _0x4e0f6a(_0x382a7f){const _0x4bb2cf=_0x18d321,_0x58d430=_0x318376[_0x4bb2cf(0x1e8a)]()[_0x4bb2cf(0x1189)](_0x4bb2cf(0x2283))[_0x4bb2cf(0x1cbe)](''+_0xd90cf9[_0x4bb2cf(0x3e6)][_0x4bb2cf(0x402)]+_0x4bb2cf(0x2452)+_0x4bb2cf(0xe01))['ariaLabel'](_0x4bb2cf(0xa37))['targetEvent'](_0x382a7f)['ok']('OK')[_0x4bb2cf(0x6c3)](_0x4bb2cf(0x39a));_0x318376[_0x4bb2cf(0x2615)](_0x58d430)[_0x4bb2cf(0x146b)](function(){const _0x10a7a5=_0x4bb2cf;_0xd90cf9[_0x10a7a5(0x3e6)]['forEach'](function(_0x396739){_0x46c151(_0x396739);}),_0xd90cf9['selectedFaxAccountInteractions']=[];});}function _0x10321a(_0x142210){const _0x54ba50=_0x18d321;_0x142210[_0x54ba50(0x364)]?(_0x142210[_0x54ba50(0x792)]=(_0x142210['Contact'][_0x54ba50(0x1ed4)]||'')+'\x20'+(_0x142210[_0x54ba50(0x364)][_0x54ba50(0xee4)]||''),_0x142210[_0x54ba50(0x14e3)]=_0x142210[_0x54ba50(0x364)][_0x54ba50(0x22d9)]):_0x142210[_0x54ba50(0x792)]=_0x4c1232[_0x54ba50(0xde)](_0x54ba50(0xe0b));}function _0x14680d(_0x594974){const _0xda8199=_0x18d321;if(_0x594974[_0xda8199(0xea2)])return _0x594974[_0xda8199(0xea2)]===_0xd90cf9[_0xda8199(0x2321)]['id']?_0x4c1232[_0xda8199(0xde)](_0xda8199(0x6d9)):_0x594974['Owner'][_0xda8199(0x286a)]+'\x20<'+_0x594974[_0xda8199(0xac5)][_0xda8199(0x113f)]+'>';return _0x4c1232['instant'](_0xda8199(0x38d));}let _0x12c80e=!![],_0x1b5f11=0x1;_0x57a77f[_0x18d321(0x21e8)](_0x18d321(0x117f),function(_0x33917c,_0x28b16e){const _0x539c28=_0x18d321;_0x12c80e?_0x360f7a(function(){_0x12c80e=![];}):(!_0x28b16e&&(_0x1b5f11=_0xd90cf9[_0x539c28(0x1a56)][_0x539c28(0x844)]),_0x33917c!==_0x28b16e&&(_0xd90cf9[_0x539c28(0x1a56)][_0x539c28(0x844)]=0x1),!_0x33917c&&(_0xd90cf9[_0x539c28(0x1a56)][_0x539c28(0x844)]=_0x1b5f11),_0x57cd2d());});}const _0x1012d9=_0x1d6d1a;;const _0x159145=_0x4acfac['p']+_0x313a4d(0x552);;_0x37f3aa[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),'$location','$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x214b),_0x313a4d(0x2690),'setting',_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x178),'userProfileSection'];function _0x37f3aa(_0x564b64,_0x5479f9,_0x3efeb8,_0x31b8d4,_0x387aea,_0x4e72bc,_0x7b5690,_0x3718ea,_0x3d9e6b,_0x172c14,_0x40b019,_0x2e6ded){const _0x5019e1=_0x313a4d,_0x58e16f=this;_0x58e16f[_0x5019e1(0x2321)]=_0x172c14[_0x5019e1(0xb12)](),_0x58e16f[_0x5019e1(0x2690)]=_0x4e72bc,_0x58e16f['setting']=_0x7b5690,_0x58e16f[_0x5019e1(0x2251)]=_0x58e16f['setting'][_0x5019e1(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x58e16f[_0x5019e1(0x1002)]=_0x5479f9[_0x5019e1(0x2414)]()+_0x5019e1(0xb0e)+_0x5479f9[_0x5019e1(0x148e)](),_0x58e16f[_0x5019e1(0x178)]=_0x40b019||_0x564b64[_0x5019e1(0x16a)]['faxAccount']||{},_0x58e16f[_0x5019e1(0x1366)]=_0x2e6ded&&_0x2e6ded[_0x5019e1(0x51c)]==0x1?_0x2e6ded[_0x5019e1(0x19c7)][0x0]:null,_0x58e16f['crudPermissions']=_0x172c14[_0x5019e1(0xe60)](_0x58e16f[_0x5019e1(0x1366)]?_0x58e16f['userProfileSection'][_0x5019e1(0x2514)]:null),_0x58e16f[_0x5019e1(0x855)]={},_0x58e16f[_0x5019e1(0x1b09)]=_0x564b64[_0x5019e1(0x16a)][_0x5019e1(0x13a3)]||0x0,_0x58e16f[_0x5019e1(0x3a9)]=_0x26b140,_0x58e16f[_0x5019e1(0x1027)]=_0x2a4223,_0x58e16f[_0x5019e1(0x861)]=_0x3d9e6b[_0x5019e1(0x271e)],_0x58e16f[_0x5019e1(0x21b)]=_0x150604,_0x58e16f[_0x5019e1(0x1dc1)]=_0x2d31d2,_0x172c14[_0x5019e1(0x23e0)]('admin')?_0x3718ea[_0x5019e1(0x279f)]['get']({'fields':_0x5019e1(0x7a7),'sort':_0x5019e1(0x19eb)})[_0x5019e1(0x2945)][_0x5019e1(0x146b)](function(_0x327f0f){const _0x169e69=_0x5019e1;_0x58e16f[_0x169e69(0x2433)]=_0x327f0f[_0x169e69(0x19c7)]||[];})['catch'](function(_0x1a287c){const _0x3a2b50=_0x5019e1;_0x3d9e6b[_0x3a2b50(0x1980)]({'title':_0x1a287c[_0x3a2b50(0x107b)]?_0x3a2b50(0x262a)+_0x1a287c[_0x3a2b50(0x107b)]+_0x3a2b50(0x1315)+_0x1a287c[_0x3a2b50(0x167f)]:_0x3a2b50(0xfc0),'msg':_0x1a287c[_0x3a2b50(0x524)]?JSON['stringify'](_0x1a287c[_0x3a2b50(0x524)]):_0x1a287c[_0x3a2b50(0xd5f)]()});}):_0x3718ea['trunk'][_0x5019e1(0x16b4)]({'fields':_0x5019e1(0x7a7),'sort':'name'})[_0x5019e1(0x2945)][_0x5019e1(0x146b)](function(_0xd2276b){const _0x12d095=_0x5019e1;_0x58e16f[_0x12d095(0x2433)]=_0xd2276b[_0x12d095(0x19c7)]||[];})[_0x5019e1(0x146b)](function(){const _0x2248f7=_0x5019e1;return _0x3718ea[_0x2248f7(0x1366)][_0x2248f7(0x16b4)]({'userProfileId':_0x58e16f[_0x2248f7(0x2321)]['userProfileId'],'sectionId':0x3f3})[_0x2248f7(0x2945)];})['then'](function(_0x7020c5){const _0x1eb6ba=_0x5019e1,_0x43bbad=_0x7020c5&&_0x7020c5['rows']?_0x7020c5[_0x1eb6ba(0x19c7)][0x0]:null;if(!_0x43bbad){const _0x547827=[];let _0x7dfa6d=null;_0x58e16f[_0x1eb6ba(0x178)]&&(_0x7dfa6d=_0x3f65c0()['find'](_0x58e16f[_0x1eb6ba(0x2433)],{'id':Number(_0x58e16f[_0x1eb6ba(0x178)][_0x1eb6ba(0x1b77)])}));for(let _0x393c30=0x0;_0x393c30<_0x58e16f['trunks'][_0x1eb6ba(0x402)];_0x393c30++){_0x7dfa6d&&_0x58e16f[_0x1eb6ba(0x2433)][_0x393c30]['id']===_0x7dfa6d['id']&&(_0x58e16f[_0x1eb6ba(0x2433)][_0x393c30][_0x1eb6ba(0x8ff)]=![],_0x547827[_0x1eb6ba(0x1f47)](_0x58e16f['trunks'][_0x393c30]));}_0x58e16f['trunks']=_0x547827;}else{if(!_0x43bbad[_0x1eb6ba(0x11d2)])return _0x3718ea[_0x1eb6ba(0xdcc)]['get']({'sectionId':_0x43bbad['id']})[_0x1eb6ba(0x2945)]['then'](function(_0x5edbe9){const _0xa2a9d=_0x1eb6ba,_0x4c6745=_0x3f65c0()[_0xa2a9d(0x205)](_0x5edbe9[_0xa2a9d(0x19c7)],function(_0x49229f){const _0x4fa48f=_0xa2a9d;return _0x3f65c0()['find'](_0x58e16f[_0x4fa48f(0x2433)],{'id':_0x49229f[_0x4fa48f(0x18b8)]});});let _0x11ff61=null;_0x58e16f[_0xa2a9d(0x178)]&&(_0x11ff61=_0x3f65c0()[_0xa2a9d(0xc84)](_0x58e16f[_0xa2a9d(0x2433)],{'id':Number(_0x58e16f['faxAccount'][_0xa2a9d(0x1b77)])}));if(_0x11ff61&&!_0x3f65c0()[_0xa2a9d(0x1360)](_0x4c6745,['id',_0x11ff61['id']])){const _0x1f94a9=_0x3f65c0()[_0xa2a9d(0xc84)](_0x58e16f['trunks'],{'id':_0x11ff61['id']});_0x1f94a9[_0xa2a9d(0x8ff)]=![],_0x4c6745[_0xa2a9d(0x1f47)](_0x1f94a9);}_0x58e16f[_0xa2a9d(0x2433)]=_0x4c6745;});}})[_0x5019e1(0x129e)](function(_0x52f623){const _0x21614b=_0x5019e1;_0x3d9e6b[_0x21614b(0x1980)]({'title':_0x52f623[_0x21614b(0x107b)]?_0x21614b(0x262a)+_0x52f623['status']+_0x21614b(0x1315)+_0x52f623['statusText']:_0x21614b(0x2436),'msg':_0x52f623[_0x21614b(0x524)]?JSON['stringify'](_0x52f623['data']):_0x52f623[_0x21614b(0xd5f)]()});}),_0x172c14[_0x5019e1(0x23e0)](_0x5019e1(0x174b))?_0x3718ea[_0x5019e1(0xf03)][_0x5019e1(0x16b4)]({'fields':_0x5019e1(0x7a7),'sort':_0x5019e1(0x19eb)})[_0x5019e1(0x2945)]['then'](function(_0x1fbefc){const _0x10d252=_0x5019e1;_0x58e16f[_0x10d252(0x1324)]=_0x1fbefc[_0x10d252(0x19c7)]||[];})[_0x5019e1(0x129e)](function(_0xb29268){const _0x542f5e=_0x5019e1;_0x3d9e6b['error']({'title':_0xb29268[_0x542f5e(0x107b)]?_0x542f5e(0x262a)+_0xb29268[_0x542f5e(0x107b)]+_0x542f5e(0x1315)+_0xb29268[_0x542f5e(0x167f)]:'SYSTEM:GET_LISTS','msg':_0xb29268[_0x542f5e(0x524)]?JSON[_0x542f5e(0x10bb)](_0xb29268[_0x542f5e(0x524)]):_0xb29268[_0x542f5e(0xd5f)]()});}):_0x3718ea[_0x5019e1(0xf03)][_0x5019e1(0x16b4)]({'fields':'id,name','sort':'name'})[_0x5019e1(0x2945)][_0x5019e1(0x146b)](function(_0x90630b){const _0x4d09a7=_0x5019e1;_0x58e16f[_0x4d09a7(0x1324)]=_0x90630b[_0x4d09a7(0x19c7)]||[];})['then'](function(){const _0x201678=_0x5019e1;return _0x3718ea[_0x201678(0x1366)][_0x201678(0x16b4)]({'userProfileId':_0x58e16f[_0x201678(0x2321)][_0x201678(0x209a)],'sectionId':0x12d})[_0x201678(0x2945)];})[_0x5019e1(0x146b)](function(_0x578b19){const _0x41005c=_0x5019e1,_0x237025=_0x578b19&&_0x578b19[_0x41005c(0x19c7)]?_0x578b19[_0x41005c(0x19c7)][0x0]:null;if(!_0x237025){const _0x57fac4=[];let _0x279353=null;_0x58e16f[_0x41005c(0x178)]&&(_0x279353=_0x3f65c0()[_0x41005c(0xc84)](_0x58e16f['lists'],{'id':Number(_0x58e16f[_0x41005c(0x178)][_0x41005c(0xb7c)])}));for(let _0x251b12=0x0;_0x251b12<_0x58e16f['lists'][_0x41005c(0x402)];_0x251b12++){_0x279353&&_0x58e16f[_0x41005c(0x1324)][_0x251b12]['id']===_0x279353['id']&&(_0x58e16f[_0x41005c(0x1324)][_0x251b12][_0x41005c(0x8ff)]=![],_0x57fac4[_0x41005c(0x1f47)](_0x58e16f[_0x41005c(0x1324)][_0x251b12]));}_0x58e16f[_0x41005c(0x1324)]=_0x57fac4;}else{if(!_0x237025[_0x41005c(0x11d2)])return _0x3718ea[_0x41005c(0xdcc)]['get']({'sectionId':_0x237025['id']})['$promise'][_0x41005c(0x146b)](function(_0x10595b){const _0x16f09f=_0x41005c,_0x50e423=_0x3f65c0()[_0x16f09f(0x205)](_0x10595b['rows'],function(_0x3672f1){const _0x49b3e8=_0x16f09f;return _0x3f65c0()[_0x49b3e8(0xc84)](_0x58e16f['lists'],{'id':_0x3672f1['resourceId']});});let _0xceda08=null;_0x58e16f[_0x16f09f(0x178)]&&(_0xceda08=_0x3f65c0()[_0x16f09f(0xc84)](_0x58e16f[_0x16f09f(0x1324)],{'id':Number(_0x58e16f['faxAccount']['ListId'])}));if(_0xceda08&&!_0x3f65c0()['some'](_0x50e423,['id',_0xceda08['id']])){const _0xc63235=_0x3f65c0()[_0x16f09f(0xc84)](_0x58e16f[_0x16f09f(0x1324)],{'id':_0xceda08['id']});_0xc63235[_0x16f09f(0x8ff)]=![],_0x50e423[_0x16f09f(0x1f47)](_0xc63235);}_0x58e16f[_0x16f09f(0x1324)]=_0x50e423;});}})[_0x5019e1(0x129e)](function(_0x41ae46){const _0x48c636=_0x5019e1;_0x3d9e6b[_0x48c636(0x1980)]({'title':_0x41ae46[_0x48c636(0x107b)]?_0x48c636(0x262a)+_0x41ae46[_0x48c636(0x107b)]+_0x48c636(0x1315)+_0x41ae46['statusText']:_0x48c636(0x1a2f),'msg':_0x41ae46[_0x48c636(0x524)]?JSON[_0x48c636(0x10bb)](_0x41ae46[_0x48c636(0x524)]):_0x41ae46[_0x48c636(0xd5f)]()});}),_0x172c14[_0x5019e1(0x23e0)](_0x5019e1(0x174b))?_0x3718ea[_0x5019e1(0x1e3e)][_0x5019e1(0x16b4)]({'fields':_0x5019e1(0x69a),'sort':'name','nolimit':_0x5019e1(0x1185)})['$promise'][_0x5019e1(0x146b)](function(_0x38fddf){const _0xa372e5=_0x5019e1;_0x58e16f['pauses']=_0x38fddf[_0xa372e5(0x19c7)]||[];})[_0x5019e1(0x129e)](function(_0x2a74b3){const _0x9fb993=_0x5019e1;_0x3d9e6b[_0x9fb993(0x1980)]({'title':_0x2a74b3['status']?_0x9fb993(0x262a)+_0x2a74b3[_0x9fb993(0x107b)]+'\x20-\x20'+_0x2a74b3[_0x9fb993(0x167f)]:_0x9fb993(0x1e82),'msg':_0x2a74b3[_0x9fb993(0x524)]?JSON[_0x9fb993(0x10bb)](_0x2a74b3['data']):_0x2a74b3[_0x9fb993(0xd5f)]()});}):_0x3718ea[_0x5019e1(0x1e3e)][_0x5019e1(0x16b4)]({'fields':_0x5019e1(0x69a),'sort':_0x5019e1(0x19eb),'nolimit':_0x5019e1(0x1185)})[_0x5019e1(0x2945)][_0x5019e1(0x146b)](function(_0x64f8c6){const _0x4d8b9b=_0x5019e1;_0x58e16f[_0x4d8b9b(0x23bb)]=_0x64f8c6[_0x4d8b9b(0x19c7)]||[];})[_0x5019e1(0x146b)](function(){const _0x3e9608=_0x5019e1;return _0x3718ea['userProfileSection'][_0x3e9608(0x16b4)]({'userProfileId':_0x58e16f[_0x3e9608(0x2321)][_0x3e9608(0x209a)],'sectionId':0x3ed})[_0x3e9608(0x2945)];})[_0x5019e1(0x146b)](function(_0x196cd7){const _0x56ae7d=_0x5019e1,_0xbf98d=_0x196cd7&&_0x196cd7[_0x56ae7d(0x19c7)]?_0x196cd7[_0x56ae7d(0x19c7)][0x0]:null;if(!_0xbf98d)_0x58e16f[_0x56ae7d(0x23bb)]=[];else{if(!_0xbf98d['autoAssociation'])return _0x3718ea['userProfileResource'][_0x56ae7d(0x16b4)]({'sectionId':_0xbf98d['id']})[_0x56ae7d(0x2945)]['then'](function(_0x26f5c8){const _0x4966da=_0x56ae7d,_0x2fc99b=_0x3f65c0()[_0x4966da(0x205)](_0x26f5c8['rows'],function(_0x50114d){const _0x456696=_0x4966da;return _0x3f65c0()[_0x456696(0xc84)](_0x58e16f[_0x456696(0x23bb)],{'id':_0x50114d[_0x456696(0x18b8)]});});_0x58e16f[_0x4966da(0x23bb)]['forEach'](function(_0x1cb6c0){const _0x8a2015=_0x4966da;!_0x3f65c0()['some'](_0x2fc99b,['id',_0x1cb6c0['id']])&&(_0x1cb6c0['canSelect']=![]),_0x2fc99b[_0x8a2015(0x1f47)](_0x1cb6c0);}),_0x58e16f[_0x4966da(0x23bb)]=_0x2fc99b;});}})[_0x5019e1(0x129e)](function(_0x441e5a){const _0x49e50f=_0x5019e1;_0x3d9e6b[_0x49e50f(0x1980)]({'title':_0x441e5a[_0x49e50f(0x107b)]?'API:'+_0x441e5a[_0x49e50f(0x107b)]+_0x49e50f(0x1315)+_0x441e5a[_0x49e50f(0x167f)]:_0x49e50f(0x176),'msg':_0x441e5a[_0x49e50f(0x524)]?JSON['stringify'](_0x441e5a[_0x49e50f(0x524)]):_0x441e5a[_0x49e50f(0xd5f)]()});});function _0x26b140(){const _0x115fb3=_0x5019e1;if(_0x172c14[_0x115fb3(0x23e0)]('admin'))_0x564b64['go']('app.fax.realtime.accounts',{});else return _0x3718ea[_0x115fb3(0x1366)][_0x115fb3(0x16b4)]({'userProfileId':_0x172c14[_0x115fb3(0xb12)]()[_0x115fb3(0x209a)],'sectionId':0x38e})[_0x115fb3(0x2945)][_0x115fb3(0x146b)](function(_0x5f2fa7){const _0x57ceb6=_0x115fb3,_0x2d1d3d=_0x5f2fa7&&_0x5f2fa7[_0x57ceb6(0x19c7)]?_0x5f2fa7[_0x57ceb6(0x19c7)][0x0]:null;_0x2d1d3d&&_0x2d1d3d['enabled']?_0x564b64['go']('app.fax.realtime.accounts',{}):_0x3d9e6b[_0x57ceb6(0x271e)]({'title':_0x387aea['instant'](_0x57ceb6(0xb27)),'msg':_0x387aea['instant'](_0x57ceb6(0x174a))});})[_0x115fb3(0x129e)](function(_0x2b8b08){const _0x1b7640=_0x115fb3;_0x3d9e6b[_0x1b7640(0x1980)]({'title':_0x2b8b08[_0x1b7640(0x107b)]?_0x1b7640(0x262a)+_0x2b8b08[_0x1b7640(0x107b)]+_0x1b7640(0x1315)+_0x2b8b08[_0x1b7640(0x167f)]:_0x1b7640(0x698),'msg':_0x2b8b08[_0x1b7640(0x107b)]?JSON[_0x1b7640(0x10bb)](_0x2b8b08['data']):_0x2b8b08[_0x1b7640(0xd5f)]()});});}function _0x2a4223(_0xdaf43b,_0x4281c4){const _0x31f952=_0x5019e1;_0x3efeb8[_0x31f952(0x2615)]({'controller':_0x31f952(0x12e5),'controllerAs':'vm','templateUrl':_0x159145,'parent':angular[_0x31f952(0x1853)](_0x31b8d4[_0x31f952(0x2586)]),'targetEvent':_0x4281c4,'clickOutsideToClose':!![],'locals':{'faxAccount':_0xdaf43b,'faxAccounts':_0x58e16f['faxAccounts']?_0x58e16f[_0x31f952(0x8a9)][_0x31f952(0x19c7)]:[],'crudPermissions':_0x58e16f[_0x31f952(0x2514)],'realtime':![]}});}function _0x150604(){const _0x3542ae=_0x5019e1;_0x564b64['go'](_0x3542ae(0x2078),{},{'reload':'app.fax.faxAccounts'});}function _0x2d31d2(){const _0x1dc1b3=_0x5019e1;_0x3718ea[_0x1dc1b3(0x178)][_0x1dc1b3(0x1361)](_0x58e16f[_0x1dc1b3(0x178)])[_0x1dc1b3(0x2945)][_0x1dc1b3(0x146b)](function(){const _0x3950be=_0x1dc1b3;_0x3d9e6b['success']({'title':_0x3950be(0xdca),'msg':_0x58e16f['faxAccount'][_0x3950be(0x19eb)]?_0x58e16f[_0x3950be(0x178)][_0x3950be(0x19eb)]+'\x20has\x20been\x20updated!':''});})[_0x1dc1b3(0x129e)](function(_0x4d77db){const _0x816c24=_0x1dc1b3;_0x3d9e6b[_0x816c24(0x1980)]({'title':_0x4d77db['status']?_0x816c24(0x262a)+_0x4d77db[_0x816c24(0x107b)]+_0x816c24(0x1315)+_0x4d77db[_0x816c24(0x167f)]:'SYSTEM:GETfaxAccount','msg':_0x4d77db[_0x816c24(0x524)]?JSON['stringify'](_0x4d77db[_0x816c24(0x524)]):_0x4d77db['toString']()});});}}const _0x3ad628=_0x37f3aa;;const _0x4152da=_0x4acfac['p']+'src/js/modules/main/apps/fax/views/faxAccounts/create/dialog.html/dialog.html';;_0x4b334d['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1aa9),'$state',_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),'$translate','faxAccounts',_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),'Auth',_0x313a4d(0x2690),'setting'];function _0x4b334d(_0x1f36d7,_0x5622d8,_0x326995,_0x1f0061,_0xf9a04b,_0xe83c0,_0x213ff6,_0x26dde8,_0x1c2379,_0x1dd983,_0x47344e,_0x2a2d82,_0x218eda,_0x1d6487,_0xb26ecb,_0x22500e,_0x29cdd4){const _0x2727bd=_0x313a4d,_0x5a1dd8=this;_0x5a1dd8[_0x2727bd(0x2690)]=_0x22500e,_0x5a1dd8['setting']=_0x29cdd4,_0x5a1dd8[_0x2727bd(0x2321)]=_0xb26ecb[_0x2727bd(0xb12)](),_0x5a1dd8[_0x2727bd(0x8a9)]=_0x1c2379||{'count':0x0,'rows':[]},_0x5a1dd8['userProfile']=_0x1dd983,_0x5a1dd8[_0x2727bd(0x1366)]=_0x47344e&&_0x47344e[_0x2727bd(0x51c)]==0x1?_0x47344e[_0x2727bd(0x19c7)][0x0]:null,_0x5a1dd8[_0x2727bd(0x2514)]=_0xb26ecb['parseCrudPermissions'](_0x5a1dd8['userProfileSection']?_0x5a1dd8[_0x2727bd(0x1366)][_0x2727bd(0x2514)]:null),_0x5a1dd8[_0x2727bd(0x768)]=_0x2727bd(0x8a9),_0x5a1dd8[_0x2727bd(0x216a)]='',_0x5a1dd8[_0x2727bd(0x214f)]=null,_0x5a1dd8[_0x2727bd(0x353)]=[],_0x5a1dd8[_0x2727bd(0x1a56)]={'fields':'createdAt,updatedAt,id,name,key,headerinfo,TrunkId,localstationid,ListId,waitForTheAssignedAgent,mandatoryDisposition,mandatoryDispositionPauseId,description,ecm,minrate,maxrate,modem,gateway,faxdetect,t38timeout,tech,notificationSound,notificationShake,notificationTemplate,queueTransfer,queueTransferTimeout,agentTransfer,agentTransferTimeout','sort':_0x2727bd(0x12f2),'limit':0xa,'page':0x1},_0x5a1dd8[_0x2727bd(0x271c)]=_0x3f65c0()['keyBy']([{'option':'yes','value':_0x2727bd(0x2339)},{'option':'no','value':_0x2727bd(0x74f)}],function(_0x157a03){const _0xd73907=_0x2727bd;return _0x3f65c0()[_0xd73907(0x5f4)](_0x157a03[_0xd73907(0x175d)],new RegExp('\x27','g'),'');}),_0x5a1dd8[_0x2727bd(0x283c)]=_0x3f65c0()[_0x2727bd(0x194)]([{'option':_0x2727bd(0x6e1),'value':_0x2727bd(0x4a3)},{'option':_0x2727bd(0x1bb1),'value':_0x2727bd(0x92c)},{'option':_0x2727bd(0x189a),'value':_0x2727bd(0x1c9e)},{'option':_0x2727bd(0x7d2),'value':_0x2727bd(0x390)},{'option':_0x2727bd(0x32d),'value':_0x2727bd(0x2118)},{'option':'14400','value':'\x2714400\x27'}],function(_0x37cddd){const _0x33c75a=_0x2727bd;return _0x3f65c0()[_0x33c75a(0x5f4)](_0x37cddd['value'],new RegExp('\x27','g'),'');}),_0x5a1dd8['arraymaxrate']=_0x3f65c0()[_0x2727bd(0x194)]([{'option':_0x2727bd(0x6e1),'value':'\x272400\x27'},{'option':_0x2727bd(0x1bb1),'value':_0x2727bd(0x92c)},{'option':_0x2727bd(0x189a),'value':_0x2727bd(0x1c9e)},{'option':_0x2727bd(0x7d2),'value':_0x2727bd(0x390)},{'option':_0x2727bd(0x32d),'value':'\x2712000\x27'},{'option':'14400','value':_0x2727bd(0x109)}],function(_0x4889c3){const _0x392e99=_0x2727bd;return _0x3f65c0()[_0x392e99(0x5f4)](_0x4889c3['value'],new RegExp('\x27','g'),'');}),_0x5a1dd8['arraytech']=_0x3f65c0()['keyBy']([{'option':'SIP','value':'\x27SIP\x27'},{'option':'IAX','value':_0x2727bd(0x2507)},{'option':_0x2727bd(0x19de),'value':'\x27DADHI\x27'},{'option':'KHOMP','value':'\x27KHOMP\x27'}],function(_0x2ea7e5){return _0x3f65c0()['replace'](_0x2ea7e5['value'],new RegExp('\x27','g'),'');}),_0x5a1dd8['editstate']=_0x20ad6d,_0x5a1dd8['interactionsgoto']=_0x32bd66,_0x5a1dd8[_0x2727bd(0x138d)]=_0x3eb5b4,_0x5a1dd8[_0x2727bd(0x1027)]=_0xc28826,_0x5a1dd8[_0x2727bd(0xf0a)]=_0x23a54b,_0x5a1dd8[_0x2727bd(0x1c75)]=_0x1ed28d,_0x5a1dd8[_0x2727bd(0x12d0)]=_0x3024e5,_0x5a1dd8[_0x2727bd(0x1993)]=_0x26d7bd,_0x5a1dd8[_0x2727bd(0x20ed)]=_0x4b6425,_0x5a1dd8[_0x2727bd(0x7b1)]=_0x329fc2,_0x5a1dd8[_0x2727bd(0x612)]=_0x70aa4c,_0x5a1dd8[_0x2727bd(0x5f2)]=_0x12f984,_0x5a1dd8[_0x2727bd(0x215)]=_0x1cf1f5,_0xb26ecb[_0x2727bd(0x23e0)](_0x2727bd(0x174b))?_0x2a2d82[_0x2727bd(0x279f)][_0x2727bd(0x16b4)]({'fields':'id,name','sort':'name'})['$promise']['then'](function(_0x49bc86){const _0x2e6281=_0x2727bd;_0x5a1dd8[_0x2e6281(0x2433)]=_0x49bc86[_0x2e6281(0x19c7)]||[];})[_0x2727bd(0x129e)](function(_0x461c61){const _0x23406d=_0x2727bd;_0x1d6487['error']({'title':_0x461c61[_0x23406d(0x107b)]?_0x23406d(0x262a)+_0x461c61[_0x23406d(0x107b)]+_0x23406d(0x1315)+_0x461c61[_0x23406d(0x167f)]:_0x23406d(0xfc0),'msg':_0x461c61['data']?JSON[_0x23406d(0x10bb)](_0x461c61[_0x23406d(0x524)]):_0x461c61['toString']()});}):_0x2a2d82[_0x2727bd(0x279f)][_0x2727bd(0x16b4)]({'fields':'id,name','sort':_0x2727bd(0x19eb)})['$promise'][_0x2727bd(0x146b)](function(_0x1da83d){const _0x352621=_0x2727bd;_0x5a1dd8[_0x352621(0x2433)]=_0x1da83d[_0x352621(0x19c7)]||[];})[_0x2727bd(0x146b)](function(){const _0x348893=_0x2727bd;return _0x2a2d82['userProfileSection'][_0x348893(0x16b4)]({'userProfileId':_0x5a1dd8[_0x348893(0x2321)][_0x348893(0x209a)],'sectionId':0x3f3})['$promise'];})[_0x2727bd(0x146b)](function(_0x50dfa0){const _0xa2321e=_0x2727bd,_0x5436ed=_0x50dfa0&&_0x50dfa0[_0xa2321e(0x19c7)]?_0x50dfa0[_0xa2321e(0x19c7)][0x0]:null;if(!_0x5436ed){const _0x5894cc=[],_0x3a96b2=[];_0x5a1dd8['faxAccounts'][_0xa2321e(0x19c7)][_0xa2321e(0x1df5)](function(_0x1de33e){const _0x1a59c5=_0xa2321e,_0x2751fc=_0x3f65c0()[_0x1a59c5(0xc84)](_0x5a1dd8['trunks'],{'id':Number(_0x1de33e[_0x1a59c5(0x1b77)])});_0x3a96b2[_0x1a59c5(0x1f47)](_0x2751fc);});for(let _0x8ea713=0x0;_0x8ea713<_0x5a1dd8['trunks'][_0xa2321e(0x402)];_0x8ea713++){const _0x4fc2cb=_0x3f65c0()['some'](_0x3a96b2,{'id':_0x5a1dd8[_0xa2321e(0x2433)][_0x8ea713]['id']});_0x4fc2cb&&(_0x5a1dd8[_0xa2321e(0x2433)][_0x8ea713][_0xa2321e(0x8ff)]=![],_0x5894cc['push'](_0x5a1dd8['trunks'][_0x8ea713]));}_0x5a1dd8['trunks']=_0x5894cc;}else{if(!_0x5436ed['autoAssociation'])return _0x2a2d82[_0xa2321e(0xdcc)][_0xa2321e(0x16b4)]({'sectionId':_0x5436ed['id']})[_0xa2321e(0x2945)][_0xa2321e(0x146b)](function(_0x1f5d70){const _0x52f647=_0xa2321e,_0x5ea8dd=_0x3f65c0()[_0x52f647(0x205)](_0x1f5d70[_0x52f647(0x19c7)],function(_0x2ceb2a){const _0x7d7078=_0x52f647;return _0x3f65c0()[_0x7d7078(0xc84)](_0x5a1dd8[_0x7d7078(0x2433)],{'id':_0x2ceb2a[_0x7d7078(0x18b8)]});});let _0x4152d0=null;_0x4152d0=[],_0x5a1dd8[_0x52f647(0x8a9)][_0x52f647(0x19c7)][_0x52f647(0x1df5)](function(_0xdb6e6d){const _0x230699=_0x52f647,_0x19a43e=_0x3f65c0()[_0x230699(0xc84)](_0x5a1dd8[_0x230699(0x2433)],{'id':Number(_0xdb6e6d[_0x230699(0x1b77)])});_0x4152d0[_0x230699(0x1f47)](_0x19a43e);}),!_0x3f65c0()[_0x52f647(0x2635)](_0x4152d0)&&_0x4152d0[_0x52f647(0x1df5)](function(_0x210fd7){const _0x7edb7f=_0x52f647;if(!_0x3f65c0()['some'](_0x5ea8dd,['id',_0x210fd7['id']])){const _0x2d4b41=_0x3f65c0()[_0x7edb7f(0xc84)](_0x5a1dd8[_0x7edb7f(0x2433)],{'id':_0x210fd7['id']});_0x2d4b41[_0x7edb7f(0x8ff)]=![],_0x5ea8dd['push'](_0x2d4b41);}}),_0x5a1dd8[_0x52f647(0x2433)]=_0x5ea8dd;});}})[_0x2727bd(0x129e)](function(_0x265dc4){const _0x9ba4dd=_0x2727bd;_0x1d6487[_0x9ba4dd(0x1980)]({'title':_0x265dc4[_0x9ba4dd(0x107b)]?_0x9ba4dd(0x262a)+_0x265dc4['status']+_0x9ba4dd(0x1315)+_0x265dc4[_0x9ba4dd(0x167f)]:_0x9ba4dd(0x2436),'msg':_0x265dc4['data']?JSON[_0x9ba4dd(0x10bb)](_0x265dc4[_0x9ba4dd(0x524)]):_0x265dc4[_0x9ba4dd(0xd5f)]()});}),_0xb26ecb[_0x2727bd(0x23e0)](_0x2727bd(0x174b))?_0x2a2d82['cmList'][_0x2727bd(0x16b4)]({'fields':_0x2727bd(0x7a7),'sort':'name'})[_0x2727bd(0x2945)]['then'](function(_0x2ed627){const _0xb685b2=_0x2727bd;_0x5a1dd8[_0xb685b2(0x1324)]=_0x2ed627[_0xb685b2(0x19c7)]||[];})[_0x2727bd(0x129e)](function(_0xde1f24){const _0x777f04=_0x2727bd;_0x1d6487[_0x777f04(0x1980)]({'title':_0xde1f24[_0x777f04(0x107b)]?_0x777f04(0x262a)+_0xde1f24['status']+_0x777f04(0x1315)+_0xde1f24[_0x777f04(0x167f)]:_0x777f04(0x11b3),'msg':_0xde1f24['data']?JSON[_0x777f04(0x10bb)](_0xde1f24[_0x777f04(0x524)]):_0xde1f24[_0x777f04(0xd5f)]()});}):_0x2a2d82[_0x2727bd(0xf03)]['get']({'fields':_0x2727bd(0x7a7),'sort':'name'})[_0x2727bd(0x2945)][_0x2727bd(0x146b)](function(_0x4ee858){_0x5a1dd8['lists']=_0x4ee858['rows']||[];})[_0x2727bd(0x146b)](function(){const _0x122b83=_0x2727bd;return _0x2a2d82[_0x122b83(0x1366)][_0x122b83(0x16b4)]({'userProfileId':_0x5a1dd8[_0x122b83(0x2321)][_0x122b83(0x209a)],'sectionId':0x12d})['$promise'];})[_0x2727bd(0x146b)](function(_0x4a33e5){const _0x4c289e=_0x2727bd,_0x5e886b=_0x4a33e5&&_0x4a33e5['rows']?_0x4a33e5['rows'][0x0]:null;if(!_0x5e886b){const _0x412493=[];let _0x25685e=null;_0x5a1dd8['faxAccount']&&(_0x25685e=_0x3f65c0()[_0x4c289e(0xc84)](_0x5a1dd8[_0x4c289e(0x1324)],{'id':Number(_0x5a1dd8['faxAccount'][_0x4c289e(0xb7c)])}));for(let _0x2a9f7d=0x0;_0x2a9f7d<_0x5a1dd8['lists'][_0x4c289e(0x402)];_0x2a9f7d++){_0x25685e&&_0x5a1dd8[_0x4c289e(0x1324)][_0x2a9f7d]['id']===_0x25685e['id']&&(_0x5a1dd8[_0x4c289e(0x1324)][_0x2a9f7d][_0x4c289e(0x8ff)]=![],_0x412493['push'](_0x5a1dd8['lists'][_0x2a9f7d]));}_0x5a1dd8[_0x4c289e(0x1324)]=_0x412493;}else{if(!_0x5e886b[_0x4c289e(0x11d2)])return _0x2a2d82[_0x4c289e(0xdcc)][_0x4c289e(0x16b4)]({'sectionId':_0x5e886b['id']})[_0x4c289e(0x2945)][_0x4c289e(0x146b)](function(_0x5e765b){const _0x4446eb=_0x4c289e,_0x3b84f5=_0x3f65c0()[_0x4446eb(0x205)](_0x5e765b[_0x4446eb(0x19c7)],function(_0x317d44){const _0x5591c5=_0x4446eb;return _0x3f65c0()[_0x5591c5(0xc84)](_0x5a1dd8['lists'],{'id':_0x317d44[_0x5591c5(0x18b8)]});});let _0x3ff019=null;_0x5a1dd8[_0x4446eb(0x178)]&&(_0x3ff019=_0x3f65c0()['find'](_0x5a1dd8[_0x4446eb(0x1324)],{'id':Number(_0x5a1dd8[_0x4446eb(0x178)]['ListId'])}));if(_0x3ff019&&!_0x3f65c0()[_0x4446eb(0x1360)](_0x3b84f5,['id',_0x3ff019['id']])){const _0x3e6582=_0x3f65c0()[_0x4446eb(0xc84)](_0x5a1dd8[_0x4446eb(0x1324)],{'id':_0x3ff019['id']});_0x3e6582[_0x4446eb(0x8ff)]=![],_0x3b84f5[_0x4446eb(0x1f47)](_0x3e6582);}_0x5a1dd8[_0x4446eb(0x1324)]=_0x3b84f5;});}})[_0x2727bd(0x129e)](function(_0x4aa593){const _0x338821=_0x2727bd;_0x1d6487[_0x338821(0x1980)]({'title':_0x4aa593[_0x338821(0x107b)]?_0x338821(0x262a)+_0x4aa593['status']+_0x338821(0x1315)+_0x4aa593['statusText']:_0x338821(0x1a2f),'msg':_0x4aa593[_0x338821(0x524)]?JSON[_0x338821(0x10bb)](_0x4aa593['data']):_0x4aa593[_0x338821(0xd5f)]()});});function _0x20ad6d(_0x3803e4){const _0x47150b=_0x2727bd;_0x326995['go'](_0x47150b(0x2205),{'id':_0x3803e4['id'],'faxAccount':_0x3803e4,'crudPermissions':_0x5a1dd8[_0x47150b(0x2514)]});}function _0x32bd66(_0x4a1654){const _0x100e80=_0x2727bd;_0x326995['go'](_0x100e80(0x2205),{'id':_0x4a1654['id'],'tab':0x5});}function _0x3eb5b4(){const _0x5e20bf=_0x2727bd;if(_0xb26ecb['hasRole'](_0x5e20bf(0x174b)))_0x326995['go']('app.fax.realtime.accounts',{});else return _0x2a2d82[_0x5e20bf(0x1366)]['get']({'userProfileId':_0xb26ecb[_0x5e20bf(0xb12)]()[_0x5e20bf(0x209a)],'sectionId':0x38e})[_0x5e20bf(0x2945)][_0x5e20bf(0x146b)](function(_0x38cedc){const _0x3507fb=_0x5e20bf,_0x29e3ce=_0x38cedc&&_0x38cedc['rows']?_0x38cedc[_0x3507fb(0x19c7)][0x0]:null;_0x29e3ce&&_0x29e3ce[_0x3507fb(0x193e)]?_0x326995['go'](_0x3507fb(0x1946),{}):_0x1d6487['info']({'title':_0x26dde8[_0x3507fb(0xde)](_0x3507fb(0xb27)),'msg':_0x26dde8[_0x3507fb(0xde)](_0x3507fb(0x174a))});})[_0x5e20bf(0x129e)](function(_0x3bf761){const _0x1e17b=_0x5e20bf;_0x1d6487[_0x1e17b(0x1980)]({'title':_0x3bf761[_0x1e17b(0x107b)]?'API:'+_0x3bf761[_0x1e17b(0x107b)]+_0x1e17b(0x1315)+_0x3bf761['statusText']:_0x1e17b(0x698),'msg':_0x3bf761['status']?JSON[_0x1e17b(0x10bb)](_0x3bf761[_0x1e17b(0x524)]):_0x3bf761[_0x1e17b(0xd5f)]()});});}function _0xc28826(_0x2001c0,_0x215303){const _0x3aa5b1=_0x2727bd;_0xf9a04b['show']({'controller':_0x3aa5b1(0x12e5),'controllerAs':'vm','templateUrl':_0x159145,'parent':angular[_0x3aa5b1(0x1853)](_0xe83c0[_0x3aa5b1(0x2586)]),'targetEvent':_0x215303,'clickOutsideToClose':!![],'locals':{'faxAccount':_0x2001c0,'faxAccounts':_0x5a1dd8['faxAccounts']?_0x5a1dd8['faxAccounts'][_0x3aa5b1(0x19c7)]:[],'crudPermissions':_0x5a1dd8[_0x3aa5b1(0x2514)],'realtime':![]}});}function _0x23a54b(_0x2beb18,_0x27779c){const _0x1a9dcd=_0x2727bd,_0x4608fb=_0xf9a04b[_0x1a9dcd(0x1e8a)]()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20'+_0x3f65c0()[_0x1a9dcd(0x20d1)](_0x1a9dcd(0x178))+'?')[_0x1a9dcd(0x1cbe)](_0x1a9dcd(0x16d3)+(_0x2beb18['name']||_0x1a9dcd(0x178))+_0x1a9dcd(0x252f)+_0x1a9dcd(0xe01))[_0x1a9dcd(0x4bd)](_0x1a9dcd(0x122c))[_0x1a9dcd(0x1f27)](_0x27779c)['ok']('OK')['cancel'](_0x1a9dcd(0x39a));_0xf9a04b[_0x1a9dcd(0x2615)](_0x4608fb)[_0x1a9dcd(0x146b)](function(){_0x4b6425(_0x2beb18);},function(){const _0x38ffdf=_0x1a9dcd;console[_0x38ffdf(0x1a74)]('CANCEL');});}let _0x120959=!![],_0x4f43e8=0x1;_0x1f36d7['$watch'](_0x2727bd(0x2669),function(_0x39666c,_0x5a0108){const _0x52bef8=_0x2727bd;_0x120959?_0x213ff6(function(){_0x120959=![];}):(!_0x5a0108&&(_0x4f43e8=_0x5a1dd8[_0x52bef8(0x1a56)][_0x52bef8(0x844)]),_0x39666c!==_0x5a0108&&(_0x5a1dd8['query'][_0x52bef8(0x844)]=0x1),!_0x39666c&&(_0x5a1dd8[_0x52bef8(0x1a56)][_0x52bef8(0x844)]=_0x4f43e8),_0x5a1dd8['getFaxAccounts']());});function _0x1ed28d(_0x18689e){const _0x2b451d=_0x2727bd;_0x5a1dd8[_0x2b451d(0x8a9)]=_0x18689e||{'count':0x0,'rows':[]};}function _0x3024e5(){const _0x34872b=_0x2727bd;_0x5a1dd8[_0x34872b(0x1a56)][_0x34872b(0x145d)]=(_0x5a1dd8[_0x34872b(0x1a56)]['page']-0x1)*_0x5a1dd8['query'][_0x34872b(0x221e)],_0xb26ecb['hasRole'](_0x34872b(0x174b))?_0x5a1dd8[_0x34872b(0xb9c)]=_0x2a2d82[_0x34872b(0x178)]['get'](_0x5a1dd8[_0x34872b(0x1a56)],_0x1ed28d)[_0x34872b(0x2945)]:(_0x5a1dd8[_0x34872b(0x1a56)]['id']=_0x5a1dd8[_0x34872b(0x26b6)]['id'],_0x5a1dd8[_0x34872b(0x1a56)][_0x34872b(0x2146)]=_0x34872b(0x17d1),_0x5a1dd8['promise']=_0x2a2d82['userProfile'][_0x34872b(0x158f)](_0x5a1dd8[_0x34872b(0x1a56)],_0x1ed28d)[_0x34872b(0x2945)]);}function _0x26d7bd(_0x185f1c,_0x380fee){const _0x3a8e7e=_0x2727bd;_0xf9a04b[_0x3a8e7e(0x2615)]({'controller':_0x3a8e7e(0x18bd),'controllerAs':'vm','templateUrl':_0x4152da,'parent':angular[_0x3a8e7e(0x1853)](_0xe83c0['body']),'targetEvent':_0x185f1c,'clickOutsideToClose':!![],'locals':{'faxAccount':_0x380fee,'faxAccounts':_0x5a1dd8[_0x3a8e7e(0x8a9)][_0x3a8e7e(0x19c7)],'license':_0x5a1dd8[_0x3a8e7e(0x2690)],'setting':_0x5a1dd8[_0x3a8e7e(0x15b9)],'crudPermissions':_0x5a1dd8['crudPermissions']}});}function _0x4b6425(_0x2b31ed){const _0x390685=_0x2727bd;_0x2a2d82[_0x390685(0x178)][_0x390685(0x1fac)]({'id':_0x2b31ed['id']})[_0x390685(0x2945)]['then'](function(){const _0xa478ef=_0x390685;_0x3f65c0()[_0xa478ef(0x2640)](_0x5a1dd8[_0xa478ef(0x8a9)][_0xa478ef(0x19c7)],{'id':_0x2b31ed['id']}),_0x5a1dd8[_0xa478ef(0x8a9)][_0xa478ef(0x51c)]-=0x1,!_0x5a1dd8[_0xa478ef(0x8a9)][_0xa478ef(0x19c7)][_0xa478ef(0x402)]&&_0x5a1dd8[_0xa478ef(0x12d0)](),_0x1d6487['success']({'title':_0x3f65c0()[_0xa478ef(0x20d1)](_0xa478ef(0x1f02))+_0xa478ef(0x201c),'msg':_0x2b31ed[_0xa478ef(0x19eb)]?_0x2b31ed[_0xa478ef(0x19eb)]+_0xa478ef(0x23e3):''});})['catch'](function(_0x14779d){const _0x596cb6=_0x390685;if(_0x14779d[_0x596cb6(0x524)]&&_0x14779d[_0x596cb6(0x524)][_0x596cb6(0xcef)]&&_0x14779d[_0x596cb6(0x524)][_0x596cb6(0xcef)]['length']){_0x5a1dd8[_0x596cb6(0xcef)]=_0x14779d[_0x596cb6(0x524)][_0x596cb6(0xcef)]||[{'message':_0x14779d[_0x596cb6(0xd5f)](),'type':'SYSTEM:DELETEfaxAccount'}];for(let _0x76c0df=0x0;_0x76c0df<_0x14779d['data'][_0x596cb6(0xcef)]['length'];_0x76c0df++){_0x1d6487[_0x596cb6(0x1980)]({'title':_0x14779d['data'][_0x596cb6(0xcef)][_0x76c0df][_0x596cb6(0x1142)],'msg':_0x14779d[_0x596cb6(0x524)][_0x596cb6(0xcef)][_0x76c0df][_0x596cb6(0x7fd)]});}}else _0x1d6487[_0x596cb6(0x1980)]({'title':_0x14779d[_0x596cb6(0x107b)]?_0x596cb6(0x262a)+_0x14779d[_0x596cb6(0x107b)]+'\x20-\x20'+_0x14779d[_0x596cb6(0x167f)]:'SYSTEM:DELETEfaxAccount','msg':_0x14779d['data']?JSON['stringify'](_0x14779d[_0x596cb6(0x524)][_0x596cb6(0x7fd)]):_0x14779d[_0x596cb6(0x7fd)]||_0x14779d[_0x596cb6(0xd5f)]()});});}function _0x329fc2(){const _0x9d65ca=_0x2727bd,_0x64c685=angular['copy'](_0x5a1dd8['selectedFaxAccounts']);return _0x5a1dd8[_0x9d65ca(0x353)]=[],_0x64c685;}function _0x70aa4c(_0x16fc52){const _0x3ba1d0=_0x2727bd,_0x3fbc13=_0xf9a04b[_0x3ba1d0(0x1e8a)]()[_0x3ba1d0(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20faxAccounts?')[_0x3ba1d0(0x1cbe)](_0x3ba1d0(0x16d3)+_0x5a1dd8['selectedFaxAccounts'][_0x3ba1d0(0x402)]+_0x3ba1d0(0x2452)+_0x3ba1d0(0xe01))[_0x3ba1d0(0x4bd)](_0x3ba1d0(0x16f))['targetEvent'](_0x16fc52)['ok']('OK')['cancel'](_0x3ba1d0(0x39a));_0xf9a04b[_0x3ba1d0(0x2615)](_0x3fbc13)[_0x3ba1d0(0x146b)](function(){const _0x8e3c92=_0x3ba1d0;_0x5a1dd8[_0x8e3c92(0x353)][_0x8e3c92(0x1df5)](function(_0x98deeb){_0x4b6425(_0x98deeb);}),_0x5a1dd8['selectedFaxAccounts']=[];});}function _0x12f984(){const _0x2a3d73=_0x2727bd;_0x5a1dd8[_0x2a3d73(0x353)]=[];}function _0x1cf1f5(){const _0x8811e1=_0x2727bd;_0x5a1dd8[_0x8811e1(0x353)]=_0x5a1dd8[_0x8811e1(0x8a9)][_0x8811e1(0x19c7)];}}const _0x275854=_0x4b334d;;_0x1c990e[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),'$location',_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x27fa),'faxQueue',_0x313a4d(0x247f),'Auth','license',_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x1c990e(_0x2eecd5,_0x4230fb,_0x57c1f7,_0xf141aa,_0x5c0ebc,_0x19f59d,_0x375344,_0x398132,_0x45261a,_0x4dc4f8,_0x5c5bf1,_0x3b1b65,_0x4a4cd1,_0x1dfd49){const _0x26e3b7=_0x313a4d,_0x224b54=this;_0x224b54[_0x26e3b7(0x2321)]=_0x5c5bf1[_0x26e3b7(0xb12)](),_0x224b54[_0x26e3b7(0xcef)]=[],_0x224b54['setting']=_0x4a4cd1,_0x224b54[_0x26e3b7(0x2690)]=_0x3b1b65,_0x224b54[_0x26e3b7(0x2514)]=_0x1dfd49,_0x224b54[_0x26e3b7(0x855)]={},_0x224b54[_0x26e3b7(0x2251)]=_0x224b54[_0x26e3b7(0x15b9)]&&_0x224b54[_0x26e3b7(0x15b9)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x224b54[_0x26e3b7(0x1189)]='FAX.EDIT_FAXQUEUE',_0x224b54[_0x26e3b7(0x999)]=angular[_0x26e3b7(0x235a)](_0x45261a),_0x224b54[_0x26e3b7(0x27fa)]=_0x398132,_0x224b54[_0x26e3b7(0xd0e)]=![];!_0x224b54[_0x26e3b7(0x999)]&&(_0x224b54[_0x26e3b7(0x999)]={'strategy':_0x26e3b7(0x1984),'timeout':0xa},_0x224b54[_0x26e3b7(0x1189)]=_0x26e3b7(0x1f74),_0x224b54[_0x26e3b7(0xd0e)]=!![]);_0x224b54[_0x26e3b7(0x1e0e)]=_0x17163c,_0x224b54[_0x26e3b7(0x219b)]=_0x4a74fe,_0x224b54['deleteFaxQueue']=_0x23cdcf,_0x224b54[_0x26e3b7(0xe73)]=_0x5abd75,_0x224b54[_0x26e3b7(0x13f3)]=_0x104dda;function _0x17163c(){const _0x170275=_0x26e3b7;_0x224b54['errors']=[],_0x4dc4f8[_0x170275(0x999)][_0x170275(0x1e3)](_0x224b54[_0x170275(0x999)])[_0x170275(0x2945)][_0x170275(0x146b)](function(_0x440d33){const _0xababf4=_0x170275;_0x224b54['faxQueues']['unshift'](_0x440d33[_0xababf4(0x2488)]()),_0x375344[_0xababf4(0x1c75)]({'title':_0xababf4(0x1d42),'msg':_0x224b54[_0xababf4(0x999)]['name']?_0x224b54['faxQueue'][_0xababf4(0x19eb)]+_0xababf4(0x1386):''}),_0x104dda(_0x440d33);})[_0x170275(0x129e)](function(_0x49a8b0){const _0x359347=_0x170275;if(_0x49a8b0[_0x359347(0x524)]&&_0x49a8b0['data'][_0x359347(0xcef)]&&_0x49a8b0[_0x359347(0x524)]['errors'][_0x359347(0x402)]){_0x224b54[_0x359347(0xcef)]=_0x49a8b0[_0x359347(0x524)]['errors']||[{'message':_0x49a8b0['toString'](),'type':_0x359347(0xb60)}];for(let _0x377aca=0x0;_0x377aca<_0x49a8b0[_0x359347(0x524)]['errors'][_0x359347(0x402)];_0x377aca+=0x1){_0x375344[_0x359347(0x1980)]({'title':_0x49a8b0[_0x359347(0x524)][_0x359347(0xcef)][_0x377aca]['type'],'msg':_0x49a8b0[_0x359347(0x524)][_0x359347(0xcef)][_0x377aca][_0x359347(0x7fd)]});}}else _0x375344['error']({'title':_0x49a8b0[_0x359347(0x107b)]?_0x359347(0x262a)+_0x49a8b0[_0x359347(0x107b)]+_0x359347(0x1315)+_0x49a8b0['statusText']:'api.faxQueue.save','msg':_0x49a8b0[_0x359347(0x524)]?JSON['stringify'](_0x49a8b0[_0x359347(0x524)]['message']):_0x49a8b0[_0x359347(0xd5f)]()});});}function _0x4a74fe(){const _0x1d6abc=_0x26e3b7;_0x224b54['errors']=[],_0x4dc4f8[_0x1d6abc(0x999)]['update']({'id':_0x224b54[_0x1d6abc(0x999)]['id']},_0x224b54[_0x1d6abc(0x999)])[_0x1d6abc(0x2945)]['then'](function(_0x1ca487){const _0x4b7ad7=_0x1d6abc,_0x351cb1=_0x3f65c0()[_0x4b7ad7(0xc84)](_0x224b54['faxQueues'],{'id':_0x1ca487['id']});_0x351cb1&&_0x3f65c0()[_0x4b7ad7(0x168d)](_0x351cb1,_0x3f65c0()[_0x4b7ad7(0x40e)](_0x1ca487[_0x4b7ad7(0x2488)](),_0x3f65c0()[_0x4b7ad7(0x627)](_0x351cb1))),_0x375344['success']({'title':_0x4b7ad7(0x1117),'msg':_0x224b54['faxQueue'][_0x4b7ad7(0x19eb)]?_0x224b54[_0x4b7ad7(0x999)][_0x4b7ad7(0x19eb)]+_0x4b7ad7(0x24db):''}),_0x104dda(_0x1ca487);})[_0x1d6abc(0x129e)](function(_0x1c4b25){const _0x571439=_0x1d6abc;if(_0x1c4b25['data']&&_0x1c4b25['data']['errors']&&_0x1c4b25[_0x571439(0x524)][_0x571439(0xcef)][_0x571439(0x402)]){_0x224b54['errors']=_0x1c4b25['data'][_0x571439(0xcef)]||[{'message':_0x1c4b25['toString'](),'type':_0x571439(0x11a8)}];for(let _0x1f0e7d=0x0;_0x1f0e7d<_0x1c4b25['data'][_0x571439(0xcef)][_0x571439(0x402)];_0x1f0e7d++){_0x375344['error']({'title':_0x1c4b25[_0x571439(0x524)][_0x571439(0xcef)][_0x1f0e7d][_0x571439(0x1142)],'msg':_0x1c4b25[_0x571439(0x524)]['errors'][_0x1f0e7d][_0x571439(0x7fd)]});}}else _0x375344[_0x571439(0x1980)]({'title':_0x1c4b25[_0x571439(0x107b)]?_0x571439(0x262a)+_0x1c4b25[_0x571439(0x107b)]+_0x571439(0x1315)+_0x1c4b25['statusText']:_0x571439(0x11a8),'msg':_0x1c4b25[_0x571439(0x524)]?JSON[_0x571439(0x10bb)](_0x1c4b25[_0x571439(0x524)][_0x571439(0x7fd)]):_0x1c4b25[_0x571439(0xd5f)]()});});}function _0x23cdcf(_0x547b34){const _0x14a704=_0x26e3b7;_0x224b54[_0x14a704(0xcef)]=[];const _0x263625=_0xf141aa['confirm']()['title'](_0x14a704(0x1d64))[_0x14a704(0x80f)](_0x14a704(0xf1f))[_0x14a704(0x4bd)](_0x14a704(0x97b))['ok'](_0x14a704(0x25de))[_0x14a704(0x6c3)]('Cancel')['targetEvent'](_0x547b34);_0xf141aa[_0x14a704(0x2615)](_0x263625)['then'](function(){const _0x2a152f=_0x14a704;_0x4dc4f8[_0x2a152f(0x999)][_0x2a152f(0x1fac)]({'id':_0x224b54[_0x2a152f(0x999)]['id']})[_0x2a152f(0x2945)][_0x2a152f(0x146b)](function(){const _0x40dbdf=_0x2a152f;_0x3f65c0()[_0x40dbdf(0x2640)](_0x224b54[_0x40dbdf(0x27fa)],{'id':_0x224b54[_0x40dbdf(0x999)]['id']}),_0x375344[_0x40dbdf(0x1c75)]({'title':_0x40dbdf(0x23c9),'msg':(_0x224b54[_0x40dbdf(0x999)][_0x40dbdf(0x19eb)]||_0x40dbdf(0x999))+_0x40dbdf(0x23e3)}),_0x104dda(_0x224b54[_0x40dbdf(0x999)]);})[_0x2a152f(0x129e)](function(_0x17744b){const _0x4e4eb4=_0x2a152f;if(_0x17744b[_0x4e4eb4(0x524)]&&_0x17744b['data'][_0x4e4eb4(0xcef)]&&_0x17744b[_0x4e4eb4(0x524)]['errors'][_0x4e4eb4(0x402)]){_0x224b54[_0x4e4eb4(0xcef)]=_0x17744b[_0x4e4eb4(0x524)]['errors']||[{'message':_0x17744b[_0x4e4eb4(0xd5f)](),'type':'api.faxQueue.delete'}];for(let _0x901da8=0x0;_0x901da8<_0x17744b[_0x4e4eb4(0x524)]['errors'][_0x4e4eb4(0x402)];_0x901da8++){_0x375344[_0x4e4eb4(0x1980)]({'title':_0x17744b[_0x4e4eb4(0x524)]['errors'][_0x901da8]['type'],'msg':_0x17744b[_0x4e4eb4(0x524)]['errors'][_0x901da8]['message']});}}else _0x375344[_0x4e4eb4(0x1980)]({'title':_0x17744b[_0x4e4eb4(0x107b)]?_0x4e4eb4(0x262a)+_0x17744b[_0x4e4eb4(0x107b)]+_0x4e4eb4(0x1315)+_0x17744b[_0x4e4eb4(0x167f)]:_0x4e4eb4(0x1823),'msg':_0x17744b[_0x4e4eb4(0x524)]?JSON[_0x4e4eb4(0x10bb)](_0x17744b[_0x4e4eb4(0x524)][_0x4e4eb4(0x7fd)]):_0x17744b[_0x4e4eb4(0x7fd)]||_0x17744b[_0x4e4eb4(0xd5f)]()});});},function(){});}function _0x5abd75(_0x4cd9d7){return _0x4cd9d7===null?undefined:new Date(_0x4cd9d7);}function _0x104dda(_0x2de49a){const _0x433e3b=_0x26e3b7;_0xf141aa[_0x433e3b(0x2458)](_0x2de49a);}}const _0x41336e=_0x1c990e;;_0xa7aeb3['$inject']=['$mdDialog','$q','toasty',_0x313a4d(0x247f),_0x313a4d(0x999),_0x313a4d(0x27fa),'realtime',_0x313a4d(0x214b),'Auth',_0x313a4d(0x2514)];function _0xa7aeb3(_0x1d814f,_0x6fb43f,_0x213c9d,_0x50f9f7,_0x21053b,_0x1d291b,_0x544eb8,_0x31924b,_0x301e8f,_0x4e1d64){const _0x83af7a=_0x313a4d,_0x39bf8b=this;_0x39bf8b[_0x83af7a(0x2321)]=_0x301e8f[_0x83af7a(0xb12)](),_0x39bf8b[_0x83af7a(0x999)]=_0x21053b,_0x39bf8b[_0x83af7a(0x2514)]=_0x4e1d64,_0x39bf8b[_0x83af7a(0xfc4)]=_0x544eb8,_0x39bf8b[_0x83af7a(0x1fd6)]=[],_0x39bf8b[_0x83af7a(0xc4e)]=[],_0x39bf8b['selectedItems']=[],_0x39bf8b['startingAllowedItems']=[],_0x39bf8b[_0x83af7a(0xa65)]=[],_0x39bf8b['pendingChanges']=![],_0x39bf8b[_0x83af7a(0x3d4)]=_0x109db0,_0x39bf8b['saveAgents']=_0x4ebad8,_0x39bf8b[_0x83af7a(0x13f3)]=_0x2a96cd,_0x39bf8b[_0x83af7a(0x1f8a)]={'readOnly':!_0x39bf8b[_0x83af7a(0x2514)]['canEdit'],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x83af7a(0x19eb),'line1':_0x83af7a(0x286a),'line2':[_0x83af7a(0x19eb),'internal'],'line3':'','labelAll':_0x31924b[_0x83af7a(0xde)](_0x83af7a(0x2050)),'labelSelected':_0x31924b[_0x83af7a(0xde)](_0x83af7a(0xf73)),'transferCallback':function(){const _0x345fc2=_0x83af7a,_0x4cec3c=_0x3f65c0()[_0x345fc2(0x1883)](_0x39bf8b[_0x345fc2(0xa65)],_0x39bf8b[_0x345fc2(0x1ecf)],'id');_0x39bf8b[_0x345fc2(0x132)]=_0x3f65c0()[_0x345fc2(0x2635)](_0x4cec3c)?![]:!![];}};function _0x109db0(){const _0x19258f=_0x83af7a;return _0x301e8f[_0x19258f(0x23e0)](_0x19258f(0x174b))?_0x4e5607()[_0x19258f(0x129e)](function(_0xd966b3){const _0x2e0467=_0x19258f;_0x213c9d[_0x2e0467(0x1980)]({'title':_0xd966b3[_0x2e0467(0x107b)]?'API:'+_0xd966b3[_0x2e0467(0x107b)]+_0x2e0467(0x1315)+_0xd966b3[_0x2e0467(0x167f)]:'SYSTEM:GET_AGENTS','msg':_0xd966b3[_0x2e0467(0x107b)]?JSON[_0x2e0467(0x10bb)](_0xd966b3[_0x2e0467(0x524)]):_0xd966b3[_0x2e0467(0xd5f)]()});}):_0x48a0b6()[_0x19258f(0x146b)](function(_0x36582d){const _0x4c8664=_0x19258f;return _0x39bf8b[_0x4c8664(0x2146)]=_0x36582d,_0x4e5607();})[_0x19258f(0x129e)](function(_0x9af170){const _0x4a539b=_0x19258f;_0x213c9d['error']({'title':_0x9af170[_0x4a539b(0x107b)]?'API:'+_0x9af170[_0x4a539b(0x107b)]+_0x4a539b(0x1315)+_0x9af170['statusText']:_0x4a539b(0x557),'msg':_0x9af170[_0x4a539b(0x107b)]?JSON[_0x4a539b(0x10bb)](_0x9af170['data']):_0x9af170[_0x4a539b(0xd5f)]()});});}function _0x48a0b6(){return _0x6fb43f(function(_0x3664b5,_0x2a5556){const _0x3db561=a0_0x3bb9;_0x50f9f7['userProfileSection'][_0x3db561(0x16b4)]({'userProfileId':_0x39bf8b[_0x3db561(0x2321)][_0x3db561(0x209a)],'name':_0x3db561(0xca8)})[_0x3db561(0x2945)]['then'](function(_0x33fbb4){const _0x4542af=_0x3db561,_0x3f673a=_0x33fbb4&&_0x33fbb4[_0x4542af(0x19c7)]?_0x33fbb4['rows'][0x0]:null;_0x3664b5(_0x3f673a);})[_0x3db561(0x129e)](function(_0x514d71){_0x2a5556(_0x514d71);});});}function _0x4e5607(){return _0x6fb43f(function(_0x5cfdd8,_0x353756){const _0x366902=a0_0x3bb9;return _0x8b9b4f()[_0x366902(0x146b)](function(_0x17178c){const _0x8cd9e=_0x366902;return _0x39bf8b[_0x8cd9e(0x1fd6)]=_0x17178c[_0x8cd9e(0x19c7)]?_0x17178c[_0x8cd9e(0x19c7)]:[],_0x301e8f[_0x8cd9e(0x23e0)](_0x8cd9e(0x174b))?_0x17178c:_0x39bf8b['section']?_0x39bf8b[_0x8cd9e(0x2146)][_0x8cd9e(0x11d2)]?_0x17178c:_0x485db4():null;})[_0x366902(0x146b)](function(_0x476ca6){const _0x4697e1=_0x366902,_0x1919e4=_0x476ca6&&_0x476ca6[_0x4697e1(0x19c7)]?_0x476ca6[_0x4697e1(0x19c7)]:[];return _0x39bf8b[_0x4697e1(0xc4e)]=_0x3f65c0()[_0x4697e1(0x205)](_0x1919e4,function(_0xc26987){const _0xb87db5=_0x4697e1;return _0x3f65c0()[_0xb87db5(0xc84)](_0x39bf8b[_0xb87db5(0x1fd6)],{'id':_0x301e8f['hasRole'](_0xb87db5(0x174b))||_0x39bf8b[_0xb87db5(0x2146)][_0xb87db5(0x11d2)]?_0xc26987['id']:_0xc26987[_0xb87db5(0x18b8)]});}),_0x39bf8b[_0x4697e1(0x2e8)]=angular[_0x4697e1(0x235a)](_0x39bf8b['allowedItems']),_0x39bf8b[_0x4697e1(0x1fd6)][_0x4697e1(0x1df5)](function(_0x48b956){const _0x1ffbef=_0x4697e1,_0xcab954=_0x3f65c0()[_0x1ffbef(0xc84)](_0x39bf8b[_0x1ffbef(0xc4e)],{'id':_0x48b956['id']});_0x301e8f[_0x1ffbef(0x23e0)]('admin')?_0x48b956[_0x1ffbef(0x146f)]=!![]:_0x48b956[_0x1ffbef(0x146f)]=typeof _0xcab954!==_0x1ffbef(0x2274)?!![]:![];}),_0x4368fd();})[_0x366902(0x146b)](function(_0x735635){const _0x5ba0ad=_0x366902,_0x26ba6d=_0x735635&&_0x735635[_0x5ba0ad(0x19c7)]?_0x735635['rows']:[];_0x39bf8b[_0x5ba0ad(0x1ecf)]=_0x3f65c0()[_0x5ba0ad(0x205)](_0x26ba6d,function(_0x32a35c){const _0x2a4a8c=_0x5ba0ad,_0x20f0da=_0x3f65c0()['find'](_0x39bf8b[_0x2a4a8c(0x1fd6)],{'id':_0x32a35c['id']});return _0x20f0da[_0x2a4a8c(0x188d)]=_0x32a35c[_0x2a4a8c(0x25b6)]?'penalty\x20'+_0x32a35c[_0x2a4a8c(0x25b6)]['penalty']:'',_0x20f0da[_0x2a4a8c(0x113f)]=typeof _0x32a35c[_0x2a4a8c(0x113f)]!=='undefined'?'<'+_0x32a35c[_0x2a4a8c(0x113f)]+'>':'',_0x20f0da;}),_0x39bf8b[_0x5ba0ad(0xa65)]=angular['copy'](_0x39bf8b[_0x5ba0ad(0x1ecf)]),_0x39bf8b['dualMultiselectOptions']['selectedItems']=_0x39bf8b['selectedItems'],_0x39bf8b['dualMultiselectOptions']['items']=_0x3f65c0()[_0x5ba0ad(0x2796)](_0x39bf8b[_0x5ba0ad(0xc4e)],_0x39bf8b[_0x5ba0ad(0x1f8a)][_0x5ba0ad(0x1ecf)],'id'),_0x5cfdd8();})[_0x366902(0x129e)](function(_0x47b42b){_0x353756(_0x47b42b);});});}function _0x485db4(){return _0x6fb43f(function(_0x51d00d,_0x41a18c){const _0x2db280=a0_0x3bb9;return _0x50f9f7[_0x2db280(0xdcc)][_0x2db280(0x16b4)]({'sectionId':_0x39bf8b['section']['id'],'nolimit':!![]})[_0x2db280(0x2945)][_0x2db280(0x146b)](function(_0x509bf0){_0x51d00d(_0x509bf0);})[_0x2db280(0x129e)](function(_0x5e14e4){_0x41a18c(_0x5e14e4);});});}function _0x4368fd(){return _0x6fb43f(function(_0x4f003c,_0x224237){const _0x176e02=a0_0x3bb9;return _0x50f9f7[_0x176e02(0x999)][_0x176e02(0x333)]({'id':_0x39bf8b[_0x176e02(0x999)]['id'],'fields':_0x176e02(0x1569),'nolimit':!![],'role':'agent'})[_0x176e02(0x2945)][_0x176e02(0x146b)](function(_0x4274b7){_0x4f003c(_0x4274b7);})[_0x176e02(0x129e)](function(_0xbdb16){_0x224237(_0xbdb16);});});}function _0x8b9b4f(){return _0x6fb43f(function(_0x5f931b,_0x443e92){const _0x310447=a0_0x3bb9;return _0x50f9f7[_0x310447(0xe7b)][_0x310447(0x16b4)]({'fields':'id,name,internal,fullname','nolimit':!![],'role':_0x310447(0x1755)})[_0x310447(0x2945)][_0x310447(0x146b)](function(_0x27078b){_0x5f931b(_0x27078b);})[_0x310447(0x129e)](function(_0x31f901){_0x443e92(_0x31f901);});});}function _0x1fc5e3(_0x4d3336){return _0x6fb43f(function(_0x19debb,_0x18e562){const _0x200ca9=a0_0x3bb9;_0x3f65c0()[_0x200ca9(0x2635)](_0x4d3336)?_0x19debb():_0x50f9f7['faxQueue'][_0x200ca9(0x135e)]({'id':_0x39bf8b[_0x200ca9(0x999)]['id'],'ids':_0x3f65c0()[_0x200ca9(0x205)](_0x4d3336,'id')})['$promise'][_0x200ca9(0x146b)](function(){_0x19debb();})[_0x200ca9(0x129e)](function(_0x556192){_0x18e562(_0x556192);});});}function _0x920642(_0x1f309e){return _0x6fb43f(function(_0x516372,_0x325d9a){const _0x44cf1c=a0_0x3bb9;_0x3f65c0()[_0x44cf1c(0x2635)](_0x1f309e)?_0x516372():_0x50f9f7[_0x44cf1c(0x999)][_0x44cf1c(0x1f53)]({'id':_0x39bf8b[_0x44cf1c(0x999)]['id'],'ids':_0x3f65c0()[_0x44cf1c(0x205)](_0x1f309e,'id')})[_0x44cf1c(0x2945)][_0x44cf1c(0x146b)](function(){_0x516372();})[_0x44cf1c(0x129e)](function(_0x2706ee){_0x325d9a(_0x2706ee);});});}function _0x4ebad8(){const _0x9dcd3f=_0x83af7a,_0x5b9deb=_0x3f65c0()[_0x9dcd3f(0x2796)](_0x39bf8b[_0x9dcd3f(0xa65)],_0x39bf8b[_0x9dcd3f(0x1ecf)],'id'),_0x59cbb7=_0x3f65c0()['differenceBy'](_0x39bf8b['selectedItems'],_0x39bf8b[_0x9dcd3f(0xa65)],'id');return _0x920642(_0x5b9deb)[_0x9dcd3f(0x146b)](function(){return _0x1fc5e3(_0x59cbb7);})[_0x9dcd3f(0x146b)](function(){const _0x24d9f7=_0x9dcd3f;_0x39bf8b[_0x24d9f7(0x132)]=![],_0x39bf8b[_0x24d9f7(0x2e8)]=angular[_0x24d9f7(0x235a)](_0x39bf8b['allowedItems']),_0x39bf8b[_0x24d9f7(0xa65)]=angular[_0x24d9f7(0x235a)](_0x39bf8b[_0x24d9f7(0x1ecf)]),_0x213c9d[_0x24d9f7(0x1c75)]({'title':_0x24d9f7(0x4c0),'msg':_0x24d9f7(0x26be)});})[_0x9dcd3f(0x129e)](function(_0x18fd97){const _0x3dc94c=_0x9dcd3f;_0x213c9d[_0x3dc94c(0x1980)]({'title':_0x18fd97[_0x3dc94c(0x107b)]?_0x3dc94c(0x262a)+_0x18fd97['status']+'\x20-\x20'+_0x18fd97['statusText']:_0x3dc94c(0xa56),'msg':_0x18fd97['status']?JSON[_0x3dc94c(0x10bb)](_0x18fd97[_0x3dc94c(0x524)]):_0x18fd97[_0x3dc94c(0xd5f)]()});});}function _0x2a96cd(){const _0x307655=_0x83af7a;_0x1d814f[_0x307655(0x2458)]();}}const _0xa35616=_0xa7aeb3;;_0x5c5813[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q','toasty','api',_0x313a4d(0x999),_0x313a4d(0x214b),'Auth',_0x313a4d(0x2514)];function _0x5c5813(_0x564bca,_0x10f552,_0x441cf6,_0x260b38,_0x26d1b1,_0x9719b7,_0x2cc6a7,_0x47f40f){const _0x4caf63=_0x313a4d,_0x2bd6e6=this;_0x2bd6e6[_0x4caf63(0x2321)]=_0x2cc6a7[_0x4caf63(0xb12)](),_0x2bd6e6[_0x4caf63(0x999)]=_0x26d1b1,_0x2bd6e6[_0x4caf63(0x2514)]=_0x47f40f,_0x2bd6e6[_0x4caf63(0x1fd6)]=[],_0x2bd6e6[_0x4caf63(0xc4e)]=[],_0x2bd6e6['selectedItems']=[],_0x2bd6e6['startingAllowedItems']=[],_0x2bd6e6['startingSelectedItems']=[],_0x2bd6e6[_0x4caf63(0x132)]=![],_0x2bd6e6[_0x4caf63(0x1f8a)]={'readOnly':!_0x2bd6e6['crudPermissions'][_0x4caf63(0x15f4)],'allowedItems':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x4caf63(0x19eb),'line1':_0x4caf63(0x19eb),'line2':'','line3':'','labelAll':_0x9719b7[_0x4caf63(0xde)]('APP.ALL_TEAMS'),'labelSelected':_0x9719b7[_0x4caf63(0xde)]('APP.SELECTED_TEAMS'),'transferCallback':function(){const _0x47dbab=_0x4caf63,_0x4edcba=_0x3f65c0()[_0x47dbab(0x1883)](_0x2bd6e6[_0x47dbab(0xa65)],_0x2bd6e6[_0x47dbab(0x1ecf)],'id');_0x2bd6e6[_0x47dbab(0x132)]=_0x3f65c0()[_0x47dbab(0x2635)](_0x4edcba)?![]:!![];}},_0x2bd6e6[_0x4caf63(0x3d4)]=_0x352504,_0x2bd6e6[_0x4caf63(0x215f)]=_0x2a4069,_0x2bd6e6[_0x4caf63(0x13f3)]=_0xfbde35;function _0x352504(){const _0x594ace=_0x4caf63;return _0x2cc6a7[_0x594ace(0x23e0)](_0x594ace(0x174b))?_0x197791()[_0x594ace(0x129e)](function(_0x51090a){const _0xc18266=_0x594ace;_0x441cf6[_0xc18266(0x1980)]({'title':_0x51090a[_0xc18266(0x107b)]?'API:'+_0x51090a[_0xc18266(0x107b)]+_0xc18266(0x1315)+_0x51090a[_0xc18266(0x167f)]:_0xc18266(0x5a5),'msg':_0x51090a[_0xc18266(0x107b)]?JSON['stringify'](_0x51090a[_0xc18266(0x524)]):_0x51090a[_0xc18266(0xd5f)]()});}):_0x5a5c3f()[_0x594ace(0x146b)](function(_0x5fd906){return _0x2bd6e6['section']=_0x5fd906,_0x197791();})[_0x594ace(0x129e)](function(_0x36af37){const _0x26572b=_0x594ace;_0x441cf6[_0x26572b(0x1980)]({'title':_0x36af37[_0x26572b(0x107b)]?_0x26572b(0x262a)+_0x36af37[_0x26572b(0x107b)]+_0x26572b(0x1315)+_0x36af37['statusText']:_0x26572b(0x5a5),'msg':_0x36af37[_0x26572b(0x107b)]?JSON[_0x26572b(0x10bb)](_0x36af37[_0x26572b(0x524)]):_0x36af37[_0x26572b(0xd5f)]()});});}function _0x5a5c3f(){return _0x10f552(function(_0x3ca086,_0x5aea6d){const _0x1b49e1=a0_0x3bb9;_0x260b38['userProfileSection'][_0x1b49e1(0x16b4)]({'userProfileId':_0x2bd6e6[_0x1b49e1(0x2321)]['userProfileId'],'name':_0x1b49e1(0x21ae)})['$promise']['then'](function(_0x2d84f6){const _0xd7fa8=_0x1b49e1,_0x2a7800=_0x2d84f6&&_0x2d84f6[_0xd7fa8(0x19c7)]?_0x2d84f6['rows'][0x0]:null;_0x3ca086(_0x2a7800);})['catch'](function(_0x215ebd){_0x5aea6d(_0x215ebd);});});}function _0x197791(){return _0x10f552(function(_0x561ead,_0x58008e){const _0x288373=a0_0x3bb9;return _0x34d0e3()[_0x288373(0x146b)](function(_0x31a586){const _0x25bc40=_0x288373;return _0x2bd6e6[_0x25bc40(0x1fd6)]=_0x31a586[_0x25bc40(0x19c7)]?_0x31a586[_0x25bc40(0x19c7)]:[],_0x2cc6a7['hasRole']('admin')?_0x31a586:_0x2bd6e6[_0x25bc40(0x2146)]?_0x2bd6e6[_0x25bc40(0x2146)][_0x25bc40(0x11d2)]?_0x31a586:_0x3e811a():null;})[_0x288373(0x146b)](function(_0x56c2ba){const _0x3b9946=_0x288373,_0x287fa4=_0x56c2ba&&_0x56c2ba[_0x3b9946(0x19c7)]?_0x56c2ba[_0x3b9946(0x19c7)]:[];return _0x2bd6e6[_0x3b9946(0xc4e)]=_0x3f65c0()['map'](_0x287fa4,function(_0x56eb84){const _0x2da79d=_0x3b9946;return _0x3f65c0()[_0x2da79d(0xc84)](_0x2bd6e6['items'],{'id':_0x2cc6a7[_0x2da79d(0x23e0)](_0x2da79d(0x174b))||_0x2bd6e6[_0x2da79d(0x2146)]['autoAssociation']?_0x56eb84['id']:_0x56eb84[_0x2da79d(0x18b8)]});}),_0x2bd6e6[_0x3b9946(0x1fd6)][_0x3b9946(0x1df5)](function(_0x819b03){const _0x486980=_0x3b9946,_0x945dbe=_0x3f65c0()['find'](_0x2bd6e6['allowedItems'],{'id':_0x819b03['id']});_0x2cc6a7[_0x486980(0x23e0)](_0x486980(0x174b))?_0x819b03[_0x486980(0x146f)]=!![]:_0x819b03['isValid']=typeof _0x945dbe!==_0x486980(0x2274)?!![]:![];}),_0x23fcf2();})[_0x288373(0x146b)](function(_0x146c64){const _0x5d21ca=_0x288373,_0x27185f=_0x146c64&&_0x146c64[_0x5d21ca(0x19c7)]?_0x146c64['rows']:[];_0x2bd6e6[_0x5d21ca(0x1ecf)]=_0x3f65c0()[_0x5d21ca(0x205)](_0x27185f,function(_0x427301){const _0x1eae1f=_0x5d21ca;return _0x3f65c0()['find'](_0x2bd6e6[_0x1eae1f(0x1fd6)],{'id':_0x427301['id']});}),_0x2bd6e6[_0x5d21ca(0xa65)]=angular[_0x5d21ca(0x235a)](_0x2bd6e6[_0x5d21ca(0x1ecf)]),_0x2bd6e6['dualMultiselectOptions']['selectedItems']=_0x2bd6e6[_0x5d21ca(0x1ecf)],_0x2bd6e6['dualMultiselectOptions'][_0x5d21ca(0x1fd6)]=_0x3f65c0()[_0x5d21ca(0x2796)](_0x2bd6e6[_0x5d21ca(0xc4e)],_0x2bd6e6['dualMultiselectOptions'][_0x5d21ca(0x1ecf)],'id'),_0x561ead();})['catch'](function(_0x4128b0){_0x58008e(_0x4128b0);});});}function _0x3e811a(){return _0x10f552(function(_0x205096,_0x13cc60){const _0x34e19d=a0_0x3bb9;return _0x260b38[_0x34e19d(0xdcc)][_0x34e19d(0x16b4)]({'sectionId':_0x2bd6e6[_0x34e19d(0x2146)]['id'],'nolimit':!![]})['$promise'][_0x34e19d(0x146b)](function(_0x54c27d){_0x205096(_0x54c27d);})['catch'](function(_0x1dee70){_0x13cc60(_0x1dee70);});});}function _0x23fcf2(){return _0x10f552(function(_0x516b98,_0x824286){const _0x30e87f=a0_0x3bb9;return _0x260b38[_0x30e87f(0x999)][_0x30e87f(0x11d1)]({'id':_0x2bd6e6[_0x30e87f(0x999)]['id'],'fields':_0x30e87f(0x7a7),'nolimit':!![]})['$promise'][_0x30e87f(0x146b)](function(_0x2b47d7){_0x516b98(_0x2b47d7);})[_0x30e87f(0x129e)](function(_0x35719b){_0x824286(_0x35719b);});});}function _0x34d0e3(){return _0x10f552(function(_0xdf363f,_0xe25fc9){const _0x1ab61e=a0_0x3bb9;return _0x260b38['team'][_0x1ab61e(0x16b4)]({'fields':_0x1ab61e(0x7a7),'nolimit':!![]})[_0x1ab61e(0x2945)][_0x1ab61e(0x146b)](function(_0x4632cd){_0xdf363f(_0x4632cd);})[_0x1ab61e(0x129e)](function(_0xc055a4){_0xe25fc9(_0xc055a4);});});}function _0x1934af(_0x16fa7a){return _0x10f552(function(_0x597d39,_0x11c7ab){const _0x3f4088=a0_0x3bb9;_0x3f65c0()[_0x3f4088(0x2635)](_0x16fa7a)?_0x597d39():_0x260b38['faxQueue']['addTeams']({'id':_0x2bd6e6[_0x3f4088(0x999)]['id'],'ids':_0x3f65c0()[_0x3f4088(0x205)](_0x16fa7a,'id')})['$promise'][_0x3f4088(0x146b)](function(){_0x597d39();})[_0x3f4088(0x129e)](function(_0xcd5f98){_0x11c7ab(_0xcd5f98);});});}function _0x3dd898(_0x5cdcf3){return _0x10f552(function(_0x2ad1e6,_0x377452){const _0x265dc0=a0_0x3bb9;_0x3f65c0()[_0x265dc0(0x2635)](_0x5cdcf3)?_0x2ad1e6():_0x260b38[_0x265dc0(0x999)][_0x265dc0(0xfa4)]({'id':_0x2bd6e6[_0x265dc0(0x999)]['id'],'ids':_0x3f65c0()[_0x265dc0(0x205)](_0x5cdcf3,'id')})[_0x265dc0(0x2945)][_0x265dc0(0x146b)](function(){_0x2ad1e6();})[_0x265dc0(0x129e)](function(_0x5c1db6){_0x377452(_0x5c1db6);});});}function _0x2a4069(){const _0x82ff25=_0x4caf63,_0x3c1594=_0x3f65c0()[_0x82ff25(0x2796)](_0x2bd6e6[_0x82ff25(0xa65)],_0x2bd6e6['selectedItems'],'id'),_0x293833=_0x3f65c0()[_0x82ff25(0x2796)](_0x2bd6e6[_0x82ff25(0x1ecf)],_0x2bd6e6[_0x82ff25(0xa65)],'id');return _0x3dd898(_0x3c1594)[_0x82ff25(0x146b)](function(){return _0x1934af(_0x293833);})['then'](function(){const _0x328ea1=_0x82ff25;_0x2bd6e6[_0x328ea1(0x132)]=![],_0x2bd6e6['startingAllowedItems']=angular[_0x328ea1(0x235a)](_0x2bd6e6['allowedItems']),_0x2bd6e6['startingSelectedItems']=angular[_0x328ea1(0x235a)](_0x2bd6e6[_0x328ea1(0x1ecf)]),_0x441cf6[_0x328ea1(0x1c75)]({'title':'SUCCESS','msg':_0x328ea1(0xf08)});})[_0x82ff25(0x129e)](function(_0x3f297f){const _0x2bac40=_0x82ff25;_0x441cf6['error']({'title':_0x3f297f[_0x2bac40(0x107b)]?_0x2bac40(0x262a)+_0x3f297f[_0x2bac40(0x107b)]+_0x2bac40(0x1315)+_0x3f297f[_0x2bac40(0x167f)]:'SYSTEM:LISTS_ASSOCIATION','msg':_0x3f297f[_0x2bac40(0x107b)]?JSON['stringify'](_0x3f297f[_0x2bac40(0x524)]):_0x3f297f[_0x2bac40(0xd5f)]()});});}function _0xfbde35(){const _0x585f6e=_0x4caf63;_0x564bca[_0x585f6e(0x2458)]();}}const _0x725036=_0x5c5813;;const _0x231bb5=_0x4acfac['p']+_0x313a4d(0x1108);;_0xa58bbc[_0x313a4d(0x11c2)]=['$state',_0x313a4d(0xd08),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x214b),_0x313a4d(0x2690),'setting',_0x313a4d(0x247f),_0x313a4d(0x1fe4),'Auth',_0x313a4d(0x999),_0x313a4d(0x1366)];function _0xa58bbc(_0x5b2c3b,_0x5c50eb,_0x539d82,_0x561bc6,_0xa7f72b,_0x159b53,_0x31f288,_0x41d6e7,_0x9cedb0,_0x1fed54,_0x40f72d,_0x55710d){const _0x2d844c=_0x313a4d,_0x56efde=this;_0x56efde[_0x2d844c(0x2321)]=_0x1fed54[_0x2d844c(0xb12)](),_0x56efde[_0x2d844c(0x2690)]=_0x159b53,_0x56efde[_0x2d844c(0x15b9)]=_0x31f288,_0x56efde[_0x2d844c(0x2251)]=_0x56efde[_0x2d844c(0x15b9)][_0x2d844c(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x56efde['location']=_0x5c50eb['protocol']()+_0x2d844c(0xb0e)+_0x5c50eb[_0x2d844c(0x148e)](),_0x56efde[_0x2d844c(0x999)]=_0x40f72d||_0x5b2c3b[_0x2d844c(0x16a)][_0x2d844c(0x999)]||{},_0x56efde[_0x2d844c(0x1366)]=_0x55710d&&_0x55710d[_0x2d844c(0x51c)]==0x1?_0x55710d['rows'][0x0]:null,_0x56efde[_0x2d844c(0x2514)]=_0x1fed54['parseCrudPermissions'](_0x56efde[_0x2d844c(0x1366)]?_0x56efde['userProfileSection'][_0x2d844c(0x2514)]:null),_0x56efde[_0x2d844c(0x855)]={},_0x56efde['selectedTab']=_0x5b2c3b[_0x2d844c(0x16a)][_0x2d844c(0x13a3)]||0x0,_0x56efde['teamadddialog']=_0x348114,_0x56efde[_0x2d844c(0x1027)]=_0x285978,_0x56efde[_0x2d844c(0x861)]=_0x9cedb0[_0x2d844c(0x271e)],_0x56efde['gotoFaxQueues']=_0x116df5,_0x56efde[_0x2d844c(0x219b)]=_0x8a4170;function _0x348114(_0x554d66,_0x2d1d0d){const _0x15d4d8=_0x2d844c;_0x539d82[_0x15d4d8(0x2615)]({'controller':_0x15d4d8(0x1f4d),'controllerAs':'vm','templateUrl':_0x231bb5,'parent':angular['element'](_0x561bc6[_0x15d4d8(0x2586)]),'targetEvent':_0x2d1d0d,'clickOutsideToClose':!![],'locals':{'faxQueue':_0x554d66,'faxQueues':_0x56efde[_0x15d4d8(0x27fa)]?_0x56efde['faxQueues'][_0x15d4d8(0x19c7)]:[],'crudPermissions':_0x56efde['crudPermissions']}});}function _0x285978(_0x1c5896,_0x5a43bc){const _0x1e568e=_0x2d844c;_0x539d82['show']({'controller':'FaxQueueagentaddController','controllerAs':'vm','templateUrl':_0x3a5738,'parent':angular['element'](_0x561bc6[_0x1e568e(0x2586)]),'targetEvent':_0x5a43bc,'clickOutsideToClose':!![],'locals':{'faxQueue':_0x1c5896,'faxQueues':_0x56efde['faxQueues']?_0x56efde[_0x1e568e(0x27fa)]['rows']:[],'crudPermissions':_0x56efde[_0x1e568e(0x2514)],'realtime':![]}});}function _0x116df5(){const _0x50a59b=_0x2d844c;_0x5b2c3b['go'](_0x50a59b(0xb01),{},{'reload':_0x50a59b(0xb01)});}function _0x8a4170(){const _0x294490=_0x2d844c;_0x41d6e7[_0x294490(0x999)][_0x294490(0x18e1)]({'id':_0x56efde[_0x294490(0x999)]['id']},_0x56efde['faxQueue'])[_0x294490(0x2945)][_0x294490(0x146b)](function(){const _0x5abb90=_0x294490;_0x9cedb0[_0x5abb90(0x1c75)]({'title':'FaxQueue\x20updated!','msg':_0x56efde['faxQueue'][_0x5abb90(0x19eb)]?_0x56efde['faxQueue']['name']+'\x20has\x20been\x20updated!':''});})[_0x294490(0x129e)](function(_0x4db082){const _0x3d5d26=_0x294490;_0x9cedb0[_0x3d5d26(0x1980)]({'title':_0x4db082[_0x3d5d26(0x107b)]?_0x3d5d26(0x262a)+_0x4db082[_0x3d5d26(0x107b)]+'\x20-\x20'+_0x4db082[_0x3d5d26(0x167f)]:_0x3d5d26(0x1f4e),'msg':_0x4db082[_0x3d5d26(0x524)]?JSON[_0x3d5d26(0x10bb)](_0x4db082['data']):_0x4db082[_0x3d5d26(0xd5f)]()});});}}const _0x41ee0f=_0xa58bbc;;_0x17f331[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$window','$state',_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),'$timeout',_0x313a4d(0x214b),_0x313a4d(0x27fa),'userProfile',_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),'Auth',_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x17f331(_0x4bfa7b,_0x170751,_0x276a55,_0xf006ad,_0x5210e1,_0x46fe40,_0x53d1c7,_0xd21a49,_0x20f4a1,_0x22deb0,_0x3489e0,_0x995609,_0x4d60aa,_0x476b8c,_0x2cd1c3,_0x46cadb,_0x569d42){const _0x397488=_0x313a4d,_0x8e3184=this;_0x8e3184[_0x397488(0x2690)]=_0x46cadb,_0x8e3184[_0x397488(0x15b9)]=_0x569d42,_0x8e3184[_0x397488(0x2321)]=_0x2cd1c3['getCurrentUser'](),_0x8e3184['faxQueues']=_0x20f4a1||{'count':0x0,'rows':[]},_0x8e3184[_0x397488(0x26b6)]=_0x22deb0,_0x8e3184['userProfileSection']=_0x3489e0&&_0x3489e0[_0x397488(0x51c)]==0x1?_0x3489e0[_0x397488(0x19c7)][0x0]:null,_0x8e3184[_0x397488(0x2514)]=_0x2cd1c3[_0x397488(0xe60)](_0x8e3184[_0x397488(0x1366)]?_0x8e3184[_0x397488(0x1366)][_0x397488(0x2514)]:null),_0x8e3184[_0x397488(0x768)]='faxQueues',_0x8e3184[_0x397488(0x216a)]='',_0x8e3184[_0x397488(0x214f)]=null,_0x8e3184[_0x397488(0x164a)]=[],_0x8e3184[_0x397488(0x1a56)]={'fields':_0x397488(0xa22),'sort':_0x397488(0x12f2),'channel':_0x397488(0x22d9),'limit':0xa,'page':0x1},_0x8e3184[_0x397488(0x280a)]=_0x3f65c0()[_0x397488(0x194)]([{'option':_0x397488(0x6a7),'value':_0x397488(0xb5a)},{'option':_0x397488(0xa12),'value':'\x27rrmemory\x27'}],function(_0x2b2072){const _0x2bf3cb=_0x397488;return _0x3f65c0()['replace'](_0x2b2072[_0x2bf3cb(0x175d)],new RegExp('\x27','g'),'');}),_0x8e3184[_0x397488(0x23f9)]=_0x1983ac,_0x8e3184[_0x397488(0x244)]=_0x4eb643,_0x8e3184[_0x397488(0x1027)]=_0x48a27e,_0x8e3184['deleteconfirm']=_0x1ccdf4,_0x8e3184[_0x397488(0x138d)]=_0x2499e9,_0x8e3184[_0x397488(0x1c75)]=_0x3398fd,_0x8e3184[_0x397488(0xbbc)]=_0x21f732,_0x8e3184[_0x397488(0x43c)]=_0x10f81f,_0x8e3184[_0x397488(0x1012)]=_0x5db42e,_0x8e3184[_0x397488(0x21cd)]=_0x1284dc,_0x8e3184[_0x397488(0xc40)]=_0x504906,_0x8e3184[_0x397488(0x20a0)]=_0x28158c,_0x8e3184['selectAllFaxQueues']=_0xe474ee;function _0x1983ac(_0x169dc7){const _0x22d3bb=_0x397488;_0x276a55['go']('app.fax.faxQueues.edit',{'id':_0x169dc7['id'],'faxQueue':_0x169dc7,'crudPermissions':_0x8e3184[_0x22d3bb(0x2514)]});}function _0x4eb643(_0x4b1d58,_0x220ccd){const _0x5b2aa8=_0x397488;_0x5210e1[_0x5b2aa8(0x2615)]({'controller':_0x5b2aa8(0x1f4d),'controllerAs':'vm','templateUrl':_0x231bb5,'parent':angular['element'](_0x46fe40[_0x5b2aa8(0x2586)]),'targetEvent':_0x220ccd,'clickOutsideToClose':!![],'locals':{'faxQueue':_0x4b1d58,'faxQueues':_0x8e3184[_0x5b2aa8(0x27fa)]?_0x8e3184[_0x5b2aa8(0x27fa)]['rows']:[],'crudPermissions':_0x8e3184[_0x5b2aa8(0x2514)]}});}function _0x48a27e(_0x4a8d92,_0x5a5f3e){const _0x5cc001=_0x397488;_0x5210e1[_0x5cc001(0x2615)]({'controller':_0x5cc001(0x22d6),'controllerAs':'vm','templateUrl':_0x3a5738,'parent':angular[_0x5cc001(0x1853)](_0x46fe40[_0x5cc001(0x2586)]),'targetEvent':_0x5a5f3e,'clickOutsideToClose':!![],'locals':{'faxQueue':_0x4a8d92,'faxQueues':_0x8e3184['faxQueues']?_0x8e3184[_0x5cc001(0x27fa)][_0x5cc001(0x19c7)]:[],'crudPermissions':_0x8e3184[_0x5cc001(0x2514)],'realtime':![]}});}function _0x1ccdf4(_0x41a9b6,_0x135a76){const _0x2ff7c7=_0x397488,_0x1afece=_0x5210e1['confirm']()[_0x2ff7c7(0x1189)](_0x2ff7c7(0xdb2)+_0x3f65c0()[_0x2ff7c7(0x20d1)](_0x2ff7c7(0x999))+'?')[_0x2ff7c7(0x1cbe)](_0x2ff7c7(0x16d3)+(_0x41a9b6[_0x2ff7c7(0x19eb)]||_0x2ff7c7(0x999))+''+_0x2ff7c7(0xe01))[_0x2ff7c7(0x4bd)](_0x2ff7c7(0x5d3))[_0x2ff7c7(0x1f27)](_0x135a76)['ok']('OK')[_0x2ff7c7(0x6c3)](_0x2ff7c7(0x39a));_0x5210e1['show'](_0x1afece)[_0x2ff7c7(0x146b)](function(){_0x5db42e(_0x41a9b6);},function(){const _0x40b0cf=_0x2ff7c7;console['log'](_0x40b0cf(0x39a));});}function _0x2499e9(){const _0x36e50f=_0x397488;if(_0x2cd1c3[_0x36e50f(0x23e0)](_0x36e50f(0x174b)))_0x276a55['go']('app.fax.realtime.queues',{});else return _0x995609[_0x36e50f(0x1366)][_0x36e50f(0x16b4)]({'userProfileId':_0x2cd1c3[_0x36e50f(0xb12)]()[_0x36e50f(0x209a)],'sectionId':0x38e})[_0x36e50f(0x2945)][_0x36e50f(0x146b)](function(_0x1aa268){const _0x56af69=_0x36e50f,_0x2e801d=_0x1aa268&&_0x1aa268['rows']?_0x1aa268['rows'][0x0]:null;_0x2e801d&&_0x2e801d[_0x56af69(0x193e)]?_0x276a55['go'](_0x56af69(0x9e8),{}):_0x476b8c[_0x56af69(0x271e)]({'title':_0xd21a49[_0x56af69(0xde)](_0x56af69(0xb27)),'msg':_0xd21a49[_0x56af69(0xde)](_0x56af69(0x174a))});})['catch'](function(_0x1169b6){const _0x211e9f=_0x36e50f;_0x476b8c[_0x211e9f(0x1980)]({'title':_0x1169b6[_0x211e9f(0x107b)]?_0x211e9f(0x262a)+_0x1169b6[_0x211e9f(0x107b)]+_0x211e9f(0x1315)+_0x1169b6[_0x211e9f(0x167f)]:_0x211e9f(0x698),'msg':_0x1169b6['status']?JSON[_0x211e9f(0x10bb)](_0x1169b6[_0x211e9f(0x524)]):_0x1169b6[_0x211e9f(0xd5f)]()});});}let _0x37c3e3=!![],_0x2256e0=0x1;_0x4bfa7b['$watch'](_0x397488(0x2669),function(_0x4bcba1,_0x398cbb){const _0x3f2925=_0x397488;_0x37c3e3?_0x53d1c7(function(){_0x37c3e3=![];}):(!_0x398cbb&&(_0x2256e0=_0x8e3184[_0x3f2925(0x1a56)]['page']),_0x4bcba1!==_0x398cbb&&(_0x8e3184[_0x3f2925(0x1a56)]['page']=0x1),!_0x4bcba1&&(_0x8e3184[_0x3f2925(0x1a56)][_0x3f2925(0x844)]=_0x2256e0),_0x8e3184[_0x3f2925(0xbbc)]());});function _0x3398fd(_0x1a7f65){const _0x4bc1ef=_0x397488;_0x8e3184[_0x4bc1ef(0x27fa)]=_0x1a7f65||{'count':0x0,'rows':[]};}function _0x21f732(){const _0x2cdd9f=_0x397488;_0x8e3184[_0x2cdd9f(0x1a56)][_0x2cdd9f(0x145d)]=(_0x8e3184[_0x2cdd9f(0x1a56)][_0x2cdd9f(0x844)]-0x1)*_0x8e3184[_0x2cdd9f(0x1a56)][_0x2cdd9f(0x221e)],_0x2cd1c3[_0x2cdd9f(0x23e0)](_0x2cdd9f(0x174b))?_0x8e3184[_0x2cdd9f(0xb9c)]=_0x995609['faxQueue'][_0x2cdd9f(0x16b4)](_0x8e3184['query'],_0x3398fd)[_0x2cdd9f(0x2945)]:(_0x8e3184[_0x2cdd9f(0x1a56)]['id']=_0x8e3184[_0x2cdd9f(0x26b6)]['id'],_0x8e3184[_0x2cdd9f(0x1a56)][_0x2cdd9f(0x2146)]=_0x2cdd9f(0x2915),_0x8e3184['promise']=_0x995609[_0x2cdd9f(0x26b6)]['getResources'](_0x8e3184[_0x2cdd9f(0x1a56)],_0x3398fd)['$promise']);}function _0x10f81f(_0x2e5aaf,_0x8428cb){const _0x117143=_0x397488;_0x5210e1[_0x117143(0x2615)]({'controller':'CreateOrEditFaxQueueDialogController','controllerAs':'vm','templateUrl':_0x3d63f7,'parent':angular[_0x117143(0x1853)](_0x46fe40['body']),'targetEvent':_0x2e5aaf,'clickOutsideToClose':!![],'locals':{'faxQueue':_0x8428cb,'faxQueues':_0x8e3184[_0x117143(0x27fa)][_0x117143(0x19c7)],'license':_0x8e3184[_0x117143(0x2690)],'setting':_0x8e3184[_0x117143(0x15b9)],'crudPermissions':_0x8e3184[_0x117143(0x2514)]}});}function _0x5db42e(_0x32eeda){const _0x1ebd87=_0x397488;_0x995609[_0x1ebd87(0x999)][_0x1ebd87(0x1fac)]({'id':_0x32eeda['id']})[_0x1ebd87(0x2945)][_0x1ebd87(0x146b)](function(){const _0x3decdc=_0x1ebd87;_0x3f65c0()[_0x3decdc(0x2640)](_0x8e3184[_0x3decdc(0x27fa)]['rows'],{'id':_0x32eeda['id']}),_0x8e3184[_0x3decdc(0x27fa)][_0x3decdc(0x51c)]-=0x1,!_0x8e3184[_0x3decdc(0x27fa)][_0x3decdc(0x19c7)]['length']&&_0x8e3184[_0x3decdc(0xbbc)](),_0x476b8c[_0x3decdc(0x1c75)]({'title':_0x3f65c0()[_0x3decdc(0x20d1)](_0x3decdc(0x1f9f))+_0x3decdc(0x201c),'msg':_0x32eeda[_0x3decdc(0x19eb)]?_0x32eeda['name']+_0x3decdc(0x23e3):''});})[_0x1ebd87(0x129e)](function(_0x1c9970){const _0x336e3f=_0x1ebd87;if(_0x1c9970[_0x336e3f(0x524)]&&_0x1c9970['data']['errors']&&_0x1c9970['data'][_0x336e3f(0xcef)][_0x336e3f(0x402)]){_0x8e3184[_0x336e3f(0xcef)]=_0x1c9970[_0x336e3f(0x524)]['errors']||[{'message':_0x1c9970[_0x336e3f(0xd5f)](),'type':_0x336e3f(0x330)}];for(let _0x7c28d8=0x0;_0x7c28d8<_0x1c9970[_0x336e3f(0x524)]['errors'][_0x336e3f(0x402)];_0x7c28d8++){_0x476b8c['error']({'title':_0x1c9970[_0x336e3f(0x524)]['errors'][_0x7c28d8][_0x336e3f(0x1142)],'msg':_0x1c9970[_0x336e3f(0x524)][_0x336e3f(0xcef)][_0x7c28d8][_0x336e3f(0x7fd)]});}}else _0x476b8c['error']({'title':_0x1c9970[_0x336e3f(0x107b)]?_0x336e3f(0x262a)+_0x1c9970['status']+'\x20-\x20'+_0x1c9970[_0x336e3f(0x167f)]:_0x336e3f(0x330),'msg':_0x1c9970['data']?JSON[_0x336e3f(0x10bb)](_0x1c9970[_0x336e3f(0x524)]['message']):_0x1c9970['message']||_0x1c9970['toString']()});});}function _0x1284dc(){const _0x3c411a=angular['copy'](_0x8e3184['selectedFaxQueues']);return _0x8e3184['selectedFaxQueues']=[],_0x3c411a;}function _0x504906(_0x34866f){const _0x2801fd=_0x397488,_0x485022=_0x5210e1[_0x2801fd(0x1e8a)]()['title'](_0x2801fd(0x690))[_0x2801fd(0x1cbe)](_0x2801fd(0x16d3)+_0x8e3184['selectedFaxQueues'][_0x2801fd(0x402)]+_0x2801fd(0x2452)+_0x2801fd(0xe01))[_0x2801fd(0x4bd)]('delete\x20FaxQueues')[_0x2801fd(0x1f27)](_0x34866f)['ok']('OK')[_0x2801fd(0x6c3)](_0x2801fd(0x39a));_0x5210e1[_0x2801fd(0x2615)](_0x485022)['then'](function(){const _0x1386d2=_0x2801fd;_0x8e3184[_0x1386d2(0x164a)][_0x1386d2(0x1df5)](function(_0x5a493d){_0x5db42e(_0x5a493d);}),_0x8e3184[_0x1386d2(0x164a)]=[];});}function _0x28158c(){const _0x4a4269=_0x397488;_0x8e3184[_0x4a4269(0x164a)]=[];}function _0xe474ee(){const _0x3932ef=_0x397488;_0x8e3184['selectedFaxQueues']=_0x8e3184[_0x3932ef(0x27fa)][_0x3932ef(0x19c7)];}}const _0x13f72a=_0x17f331;;_0x2133e3[_0x313a4d(0x11c2)]=[_0x313a4d(0x921),_0x313a4d(0x695)];function _0x2133e3(_0x42e2fa,_0x1f9a87){const _0x4e581e=_0x313a4d;_0x42e2fa[_0x4e581e(0x13d6)]('app.fax',{'abstract':!![],'url':'/fax'})[_0x4e581e(0x13d6)](_0x4e581e(0xb01),{'url':_0x4e581e(0x2072),'views':{'content@app':{'templateUrl':_0x448a9f,'controller':_0x4e581e(0x394)}},'resolve':{'faxQueues':[_0x4e581e(0x362),'Auth',function(_0x5b39f0,_0x368d36){const _0x2a4897=_0x4e581e;return _0x368d36[_0x2a4897(0x23e0)](_0x2a4897(0x174b))?_0x5b39f0[_0x2a4897(0x2922)]('faxQueue@get',{'fields':'createdAt,updatedAt,id,name,strategy,timeout,description','sort':_0x2a4897(0x12f2),'channel':'fax','limit':0xa,'offset':0x0}):_0x5b39f0[_0x2a4897(0x2922)]('userProfile@getResources',{'id':_0x368d36['getCurrentUser']()[_0x2a4897(0x209a)],'section':_0x2a4897(0x2915),'fields':'createdAt,updatedAt,id,name,strategy,timeout,description','sort':_0x2a4897(0x12f2),'channel':_0x2a4897(0x22d9),'limit':0xa,'offset':0x0});}],'userProfile':[_0x4e581e(0x362),_0x4e581e(0xa87),function(_0x5e4a1b,_0x830871){const _0x5cafb2=_0x4e581e;return _0x830871[_0x5cafb2(0x23e0)]('admin')?null:_0x5e4a1b[_0x5cafb2(0x2922)](_0x5cafb2(0x119a),{'fields':'id,name,crudPermissions','id':_0x830871[_0x5cafb2(0xb12)]()[_0x5cafb2(0x209a)]});}],'userProfileSection':[_0x4e581e(0x362),_0x4e581e(0xa87),function(_0x454ca6,_0x54a800){const _0x21defa=_0x4e581e;return _0x54a800[_0x21defa(0x23e0)](_0x21defa(0x174b))?null:_0x454ca6[_0x21defa(0x2922)](_0x21defa(0x27be),{'fields':_0x21defa(0x1e64),'userProfileId':_0x54a800[_0x21defa(0xb12)]()[_0x21defa(0x209a)],'sectionId':0x385});}]},'authenticate':!![],'permissionId':0x385,'bodyClass':_0x4e581e(0x22d9)})['state'](_0x4e581e(0x635),{'url':_0x4e581e(0x2028),'params':{'faxQueue':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x21532f,'controller':_0x4e581e(0x1a6)}},'resolve':{'faxQueue':['apiResolver',_0x4e581e(0x28c8),function(_0x1fbf8c,_0x514973){const _0x4cd02b=_0x4e581e;return _0x1fbf8c[_0x4cd02b(0x2922)](_0x4cd02b(0xf8a),{'fields':_0x4cd02b(0xa22),'id':_0x514973['id']});}],'userProfileSection':['apiResolver',_0x4e581e(0xa87),function(_0x2ea7dc,_0x702045){const _0xd3a375=_0x4e581e;return _0x2ea7dc[_0xd3a375(0x2922)]('userProfileSection@get',{'fields':_0xd3a375(0x1e64),'userProfileId':_0x702045[_0xd3a375(0xb12)]()['userProfileId'],'sectionId':0x385});}]},'authenticate':!![],'permissionId':0x385,'bodyClass':_0x4e581e(0x22d9)})[_0x4e581e(0x13d6)]('app.fax.faxAccounts',{'url':'/faxAccounts','views':{'content@app':{'templateUrl':_0x563ef6,'controller':_0x4e581e(0x1b10)}},'resolve':{'faxAccounts':[_0x4e581e(0x362),_0x4e581e(0xa87),function(_0x293427,_0x54f235){const _0x2a194c=_0x4e581e;return _0x54f235['hasRole'](_0x2a194c(0x174b))?_0x293427['resolve'](_0x2a194c(0x6a2),{'fields':_0x2a194c(0x933),'sort':'-updatedAt','limit':0xa,'offset':0x0}):_0x293427[_0x2a194c(0x2922)](_0x2a194c(0x938),{'id':_0x54f235[_0x2a194c(0xb12)]()[_0x2a194c(0x209a)],'section':_0x2a194c(0x17d1),'fields':_0x2a194c(0x933),'sort':_0x2a194c(0x12f2),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver','Auth',function(_0x22ea49,_0x11863f){const _0x3d12ca=_0x4e581e;return _0x11863f[_0x3d12ca(0x23e0)](_0x3d12ca(0x174b))?null:_0x22ea49['resolve'](_0x3d12ca(0x119a),{'fields':'id,name,crudPermissions','id':_0x11863f[_0x3d12ca(0xb12)]()['userProfileId']});}],'userProfileSection':[_0x4e581e(0x362),'Auth',function(_0x58b69a,_0xf08985){const _0x458c3f=_0x4e581e;return _0xf08985[_0x458c3f(0x23e0)](_0x458c3f(0x174b))?null:_0x58b69a[_0x458c3f(0x2922)](_0x458c3f(0x27be),{'fields':_0x458c3f(0x1e64),'userProfileId':_0xf08985[_0x458c3f(0xb12)]()[_0x458c3f(0x209a)],'sectionId':0x386});}]},'authenticate':!![],'permissionId':0x386,'bodyClass':'fax'})[_0x4e581e(0x13d6)](_0x4e581e(0x2205),{'url':_0x4e581e(0x2028),'params':{'faxAccount':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x480cfa,'controller':'FaxAccountController\x20as\x20vm'}},'resolve':{'faxAccount':[_0x4e581e(0x362),_0x4e581e(0x28c8),function(_0x4bc417,_0x33cb76){const _0x55b3d3=_0x4e581e;return _0x4bc417[_0x55b3d3(0x2922)](_0x55b3d3(0x6a2),{'fields':_0x55b3d3(0x933),'id':_0x33cb76['id']});}],'userProfileSection':['apiResolver',_0x4e581e(0xa87),function(_0x4e43f8,_0x3adae8){const _0x15f58f=_0x4e581e;return _0x4e43f8[_0x15f58f(0x2922)](_0x15f58f(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x3adae8[_0x15f58f(0xb12)]()[_0x15f58f(0x209a)],'sectionId':0x386});}]},'authenticate':!![],'permissionId':0x386,'bodyClass':'fax'}),_0x1f9a87[_0x4e581e(0x15bf)](_0x4e581e(0x11ef));}angular[_0x313a4d(0x2528)]('app.fax',[_0x313a4d(0xdad),_0x313a4d(0x962),'md.data.table',_0x313a4d(0x963),_0x313a4d(0x19e2),_0x313a4d(0x27c1),_0x313a4d(0x195b),_0x313a4d(0x15ef),_0x313a4d(0xc86),_0x313a4d(0x1f5f),_0x313a4d(0x28a8),'mwFormViewer',_0x313a4d(0x1e57),'ngclipboard',_0x313a4d(0x1bd2),_0x313a4d(0x1792),'angularMaterialFormBuilder',_0x313a4d(0x1626),'chart.js',_0x313a4d(0x11df),'app.fax.realtime'])['config'](_0x2133e3)[_0x313a4d(0x28f0)]('CreateOrEditFaxAccountDialogController',_0x1baf09)[_0x313a4d(0x28f0)](_0x313a4d(0x1f4f),_0x4e06dc)[_0x313a4d(0x28f0)](_0x313a4d(0x12e5),_0x363d2c)['controller'](_0x313a4d(0xe24),_0x4aa807)[_0x313a4d(0x28f0)](_0x313a4d(0x1c56),_0x5492bb)['controller'](_0x313a4d(0x94d),_0xd06b71)['controller'](_0x313a4d(0x2449),_0x2094d9)[_0x313a4d(0x28f0)]('EditFaxAccountAppintervalDialogController',_0x521b47)[_0x313a4d(0x28f0)]('EditFaxAccountAppnoopDialogController',_0x49c0b8)[_0x313a4d(0x28f0)](_0x313a4d(0x2636),_0x1219b2)[_0x313a4d(0x28f0)]('EditFaxAccountAppsystemDialogController',_0x41197b)[_0x313a4d(0x28f0)](_0x313a4d(0x2559),_0x18f868)['controller'](_0x313a4d(0xec),_0x4a2050)[_0x313a4d(0x28f0)]('FaxAccountInteractionsController',_0x1012d9)[_0x313a4d(0x28f0)](_0x313a4d(0xa2c),_0x3ad628)[_0x313a4d(0x28f0)](_0x313a4d(0x1ad0),_0x275854)['controller'](_0x313a4d(0x1ab3),_0x41336e)[_0x313a4d(0x28f0)](_0x313a4d(0x22d6),_0xa35616)[_0x313a4d(0x28f0)](_0x313a4d(0x1f4d),_0x725036)[_0x313a4d(0x28f0)](_0x313a4d(0x900),_0x41ee0f)[_0x313a4d(0x28f0)](_0x313a4d(0xbee),_0x13f72a);;const _0x49d1d1=_0x4acfac['p']+'src/js/modules/main/apps/tools/views/dispositions/dispositions.html/dispositions.html';;_0x2ca460[_0x313a4d(0x11c2)]=['$mdDialog',_0x313a4d(0x214b),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x9e1),_0x313a4d(0x1320),'api',_0x313a4d(0xa87),_0x313a4d(0x2514),_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x2ca460(_0x8d741c,_0xabe420,_0x52f4ee,_0x214026,_0x4393ed,_0x561e94,_0x4d3335,_0x21d445,_0x5f164d,_0x13b873,_0xcf2f03){const _0x5890c4=_0x313a4d,_0x45c9e7=this;_0x45c9e7[_0x5890c4(0x2321)]=_0x21d445[_0x5890c4(0xb12)](),_0x45c9e7[_0x5890c4(0x9e1)]=angular[_0x5890c4(0x235a)](_0x4393ed),_0x45c9e7[_0x5890c4(0x15b9)]=_0xcf2f03,_0x45c9e7['license']=_0x13b873,_0x45c9e7[_0x5890c4(0x2514)]=_0x5f164d,_0x45c9e7[_0x5890c4(0x2854)]=![],_0x45c9e7[_0x5890c4(0xcef)]=[];!_0x45c9e7[_0x5890c4(0x9e1)]&&(_0x45c9e7['disposition']={'level':'first'},_0x45c9e7['newDisposition']=!![]);if(_0x561e94){const _0x8b6e02=_0x561e94[_0x5890c4(0x65c)];_0x45c9e7[_0x5890c4(0x9e1)][_0x8b6e02]=_0x561e94['id'];}_0x45c9e7[_0x5890c4(0x3d4)]=_0x317b94,_0x45c9e7[_0x5890c4(0x1b0a)]=_0x51bfdc,_0x45c9e7[_0x5890c4(0x337)]=_0x2251fd,_0x45c9e7[_0x5890c4(0x2799)]=_0x43a0d2,_0x45c9e7[_0x5890c4(0x1220)]=_0x2a7f90,_0x45c9e7[_0x5890c4(0x2248)]=_0x2ce87d,_0x45c9e7[_0x5890c4(0x13f3)]=_0x5bb296;function _0x317b94(){const _0x3d4d06=_0x5890c4;let _0x47d103=_0x3d4d06(0x9e1);if(_0x561e94)_0x47d103=_0x561e94[_0x3d4d06(0xdb0)];else{if(_0x45c9e7[_0x3d4d06(0x2321)][_0x3d4d06(0xfb0)]===_0x3d4d06(0xe7b))_0x47d103='userProfile';}let _0x4f45b3='get';if(_0x561e94)_0x4f45b3=_0x3d4d06(0x2451);else{if(_0x45c9e7[_0x3d4d06(0x2321)][_0x3d4d06(0xfb0)]===_0x3d4d06(0xe7b))_0x4f45b3=_0x3d4d06(0x158f);}const _0xfd68c5={'fields':'id,name,level,ParentId','id':_0x561e94?_0x561e94['id']:undefined,'section':_0x45c9e7['currentUser'][_0x3d4d06(0xfb0)]===_0x3d4d06(0xe7b)?_0x3d4d06(0x1754):undefined,'MailAccountId':_0x561e94?undefined:_0x3d4d06(0x203c),'FaxAccountId':_0x561e94?undefined:_0x3d4d06(0x203c),'SmsAccountId':_0x561e94?undefined:_0x3d4d06(0x203c),'OpenchannelAccountId':_0x561e94?undefined:_0x3d4d06(0x203c),'ChatWebsiteId':_0x561e94?undefined:_0x3d4d06(0x203c),'WhatsappAccountId':_0x561e94?undefined:_0x3d4d06(0x203c),'ListId':_0x561e94?undefined:_0x3d4d06(0x203c),'sort':'id','nolimit':_0x3d4d06(0x1185)};_0x45a7cb(_0x47d103,_0x4f45b3,_0xfd68c5)[_0x3d4d06(0x146b)](function(_0x21445b){const _0x33f92f=_0x3d4d06;_0x45c9e7[_0x33f92f(0x2647)]=angular['copy'](_0x21445b);if(!_0x45c9e7['newDisposition'])_0x3e398c();})['catch'](function(_0x2c0412){const _0x40ef29=_0x3d4d06;_0x214026[_0x40ef29(0x1980)]({'title':_0x2c0412[_0x40ef29(0x107b)]?'API:'+_0x2c0412[_0x40ef29(0x107b)]+_0x40ef29(0x1315)+_0x2c0412[_0x40ef29(0x167f)]:['api',_0x47d103,_0x4f45b3][_0x40ef29(0xb47)](':'),'msg':_0x2c0412[_0x40ef29(0x524)]?JSON['stringify'](_0x2c0412['data'][_0x40ef29(0x7fd)]):_0x2c0412[_0x40ef29(0x7fd)]||_0x2c0412['toString']()});});}function _0x3e398c(){const _0x49ec9e=_0x5890c4;if(_0x45c9e7['disposition'][_0x49ec9e(0xe9d)]===_0x49ec9e(0xb68))return;_0x45c9e7[_0x49ec9e(0x24e4)]=_0x3f65c0()[_0x49ec9e(0xc84)](_0x45c9e7[_0x49ec9e(0x2647)],['id',_0x45c9e7[_0x49ec9e(0x9e1)][_0x49ec9e(0x11b5)]]);if(!_0x45c9e7[_0x49ec9e(0x24e4)]){_0x15a041()[_0x49ec9e(0x146b)](function(_0x2fba36){const _0x577d06=_0x49ec9e;_0x45c9e7[_0x577d06(0x1622)]=_0x2fba36;for(let _0x2eac71=0x0;_0x2eac71<_0x45c9e7[_0x577d06(0x1622)][_0x577d06(0x402)];_0x2eac71++){const _0x27b09d=_0x45c9e7[_0x577d06(0x1622)][_0x2eac71];if(_0x27b09d['id']===_0x45c9e7['disposition'][_0x577d06(0x11b5)]){_0x59d68b(_0x27b09d),_0x27b09d[_0x577d06(0x8ff)]=![],_0x45c9e7[_0x577d06(0x2647)][_0x577d06(0x1f47)](_0x27b09d);break;}}});return;}_0x59d68b(_0x45c9e7[_0x49ec9e(0x24e4)]);}function _0x45a7cb(_0x2fd9eb,_0x43b60f,_0x1c0d46){return _0x52f4ee(function(_0x38ed5b,_0x3c3e78){const _0x5596d9=a0_0x3bb9;_0x4d3335[_0x2fd9eb][_0x43b60f](_0x1c0d46)[_0x5596d9(0x2945)]['then'](function(_0x5b46e4){const _0x2c74bb=_0x5b46e4['rows']||[];return _0x38ed5b(_0x2c74bb);})['catch'](function(_0x598574){_0x3c3e78(_0x598574);});});}function _0x15a041(){return _0x52f4ee(function(_0x5030e1,_0x2bf2f3){const _0xf27658=a0_0x3bb9;_0x4d3335[_0xf27658(0x9e1)]['get']({'fields':_0xf27658(0xe9b),'sort':'id','nolimit':_0xf27658(0x1185)})[_0xf27658(0x2945)][_0xf27658(0x146b)](function(_0x37fbe3){const _0x169103=_0xf27658,_0x5e747a=_0x37fbe3[_0x169103(0x19c7)]||[];return _0x5030e1(_0x5e747a);})['catch'](function(_0x28b66e){_0x2bf2f3(_0x28b66e);});});}function _0x59d68b(_0x22dc3d){const _0xd69d08=_0x5890c4;_0x22dc3d[_0xd69d08(0xe9d)]===_0xd69d08(0xb68)?_0x45c9e7[_0xd69d08(0xef2)]=_0x22dc3d:(_0x45c9e7[_0xd69d08(0xf38)]=!![],_0x45c9e7['secondLevelDisposition']=_0x22dc3d,_0x45c9e7[_0xd69d08(0xef2)]=_0x3f65c0()[_0xd69d08(0xc84)](_0x45c9e7[_0xd69d08(0x2647)],['id',_0x45c9e7[_0xd69d08(0x187a)][_0xd69d08(0x11b5)]]),!_0x45c9e7[_0xd69d08(0xef2)]&&_0x15a041()[_0xd69d08(0x146b)](function(){const _0x1bac4c=_0xd69d08;_0x45c9e7[_0x1bac4c(0xef2)]=_0x3f65c0()[_0x1bac4c(0xc84)](_0x45c9e7[_0x1bac4c(0x1622)],['id',_0x45c9e7[_0x1bac4c(0x187a)]['ParentId']]),_0x45c9e7['firstLevelDisposition'][_0x1bac4c(0x8ff)]=![],_0x45c9e7[_0x1bac4c(0x2647)]['push'](_0x45c9e7[_0x1bac4c(0xef2)]);}));}function _0x2ce87d(_0x5bd103){const _0x5f4213=_0x5890c4;_0x5bd103===_0x5f4213(0xb68)?(_0x45c9e7[_0x5f4213(0xef2)]=undefined,_0x45c9e7['secondLevelDisposition']=undefined,_0x45c9e7['anySecondLevelDisposition']=![]):_0x45c9e7[_0x5f4213(0x187a)]=undefined;}function _0x51bfdc(){const _0x15bc03=_0x5890c4;_0x45c9e7['secondLevelDisposition']=undefined,_0x45c9e7[_0x15bc03(0xf38)]=_0x3f65c0()['some'](_0x45c9e7[_0x15bc03(0x2647)],function(_0x13f915){const _0x19ad3a=_0x15bc03;return _0x13f915[_0x19ad3a(0x11b5)]===_0x45c9e7['firstLevelDisposition']['id']&&_0x13f915['id']!=_0x45c9e7[_0x19ad3a(0x9e1)]['id'];});}function _0x2251fd(){const _0x28ef58=_0x5890c4;_0x45c9e7[_0x28ef58(0xcef)]=[];if(_0x45c9e7[_0x28ef58(0x187a)])_0x45c9e7[_0x28ef58(0x9e1)][_0x28ef58(0x11b5)]=_0x45c9e7[_0x28ef58(0x187a)]['id'],_0x45c9e7[_0x28ef58(0x9e1)]['level']='third';else _0x45c9e7[_0x28ef58(0xef2)]&&(_0x45c9e7[_0x28ef58(0x9e1)][_0x28ef58(0x11b5)]=_0x45c9e7['firstLevelDisposition']['id'],_0x45c9e7[_0x28ef58(0x9e1)][_0x28ef58(0xe9d)]='second');_0x4d3335[_0x28ef58(0x9e1)]['save'](_0x45c9e7[_0x28ef58(0x9e1)])[_0x28ef58(0x2945)][_0x28ef58(0x146b)](function(_0x274bcb){const _0x2d1309=_0x28ef58;_0x45c9e7['dispositions']['unshift'](_0x274bcb[_0x2d1309(0x2488)]()),_0x214026['success']({'title':_0xabe420['instant']('TOOLS.NOTIFICATIONS.DISPOSITION_CREATED_SUCCESS_TITLE')}),_0x5bb296(!![]);})['catch'](function(_0x4df49d){const _0x2550a1=_0x28ef58;if(_0x4df49d['data']&&_0x4df49d[_0x2550a1(0x524)][_0x2550a1(0xcef)]&&_0x4df49d[_0x2550a1(0x524)]['errors']['length']){_0x45c9e7[_0x2550a1(0xcef)]=_0x4df49d[_0x2550a1(0x524)][_0x2550a1(0xcef)]||[{'message':_0x4df49d[_0x2550a1(0xd5f)](),'type':_0x2550a1(0x350)}];for(let _0x4b9441=0x0;_0x4b9441<_0x4df49d['data'][_0x2550a1(0xcef)]['length'];_0x4b9441+=0x1){_0x214026['error']({'title':_0x4df49d['data'][_0x2550a1(0xcef)][_0x4b9441]['type'],'msg':_0x4df49d[_0x2550a1(0x524)]['errors'][_0x4b9441][_0x2550a1(0x7fd)]});}}else _0x214026[_0x2550a1(0x1980)]({'title':_0x4df49d[_0x2550a1(0x107b)]?_0x2550a1(0x262a)+_0x4df49d[_0x2550a1(0x107b)]+_0x2550a1(0x1315)+_0x4df49d[_0x2550a1(0x167f)]:_0x2550a1(0x350),'msg':_0x4df49d[_0x2550a1(0x524)]?JSON[_0x2550a1(0x10bb)](_0x4df49d[_0x2550a1(0x524)][_0x2550a1(0x7fd)]):_0x4df49d['toString']()});});}function _0x43a0d2(){const _0xd7e02d=_0x5890c4;_0x45c9e7[_0xd7e02d(0xcef)]=[];if(_0x45c9e7['secondLevelDisposition'])_0x45c9e7[_0xd7e02d(0x9e1)]['ParentId']=_0x45c9e7[_0xd7e02d(0x187a)]['id'],_0x45c9e7[_0xd7e02d(0x9e1)]['level']=_0xd7e02d(0xb15);else _0x45c9e7[_0xd7e02d(0xef2)]&&(_0x45c9e7[_0xd7e02d(0x9e1)][_0xd7e02d(0x11b5)]=_0x45c9e7[_0xd7e02d(0xef2)]['id'],_0x45c9e7['disposition'][_0xd7e02d(0xe9d)]='second');_0x4d3335[_0xd7e02d(0x9e1)][_0xd7e02d(0x18e1)]({'id':_0x45c9e7[_0xd7e02d(0x9e1)]['id']},_0x45c9e7[_0xd7e02d(0x9e1)])[_0xd7e02d(0x2945)][_0xd7e02d(0x146b)](function(_0x2b713f){const _0xf81026=_0xd7e02d,_0x1478ea=_0x3f65c0()[_0xf81026(0xc84)](_0x45c9e7[_0xf81026(0x2647)],{'id':_0x2b713f['id']});_0x1478ea&&_0x3f65c0()[_0xf81026(0x168d)](_0x1478ea,_0x3f65c0()[_0xf81026(0x40e)](_0x2b713f[_0xf81026(0x2488)](),_0x3f65c0()[_0xf81026(0x627)](_0x1478ea))),_0x214026['success']({'title':_0xabe420[_0xf81026(0xde)](_0xf81026(0x24c6))}),_0x5bb296(!![]);})['catch'](function(_0x5025d0){const _0x40ee23=_0xd7e02d;if(_0x5025d0[_0x40ee23(0x524)]&&_0x5025d0[_0x40ee23(0x524)]['errors']&&_0x5025d0['data'][_0x40ee23(0xcef)]['length']){_0x45c9e7[_0x40ee23(0xcef)]=_0x5025d0[_0x40ee23(0x524)][_0x40ee23(0xcef)]||[{'message':_0x5025d0[_0x40ee23(0xd5f)](),'type':_0x40ee23(0xcfa)}];for(let _0x4c28aa=0x0;_0x4c28aa<_0x5025d0[_0x40ee23(0x524)]['errors'][_0x40ee23(0x402)];_0x4c28aa++){_0x214026['error']({'title':_0x5025d0[_0x40ee23(0x524)][_0x40ee23(0xcef)][_0x4c28aa]['type'],'msg':_0x5025d0[_0x40ee23(0x524)][_0x40ee23(0xcef)][_0x4c28aa][_0x40ee23(0x7fd)]});}}else _0x214026[_0x40ee23(0x1980)]({'title':_0x5025d0[_0x40ee23(0x107b)]?_0x40ee23(0x262a)+_0x5025d0[_0x40ee23(0x107b)]+'\x20-\x20'+_0x5025d0[_0x40ee23(0x167f)]:'api.disposition.update','msg':_0x5025d0[_0x40ee23(0x524)]?JSON[_0x40ee23(0x10bb)](_0x5025d0['data'][_0x40ee23(0x7fd)]):_0x5025d0[_0x40ee23(0xd5f)]()});});}function _0x2a7f90(_0x5d3205){const _0x4de508=_0x5890c4;_0x45c9e7[_0x4de508(0xcef)]=[];const _0x2464e6=_0x3f65c0()['some'](_0x45c9e7[_0x4de508(0x2647)],[_0x4de508(0x11b5),_0x45c9e7['disposition']['id']]),_0x1516f7=_0x8d741c['confirm']({'skipHide':!![]})[_0x4de508(0x1189)](_0xabe420[_0x4de508(0xde)]('TOOLS.NOTIFICATIONS.DISPOSITION_DELETE_TITLE'))['content'](_0xabe420[_0x4de508(0xde)](_0x4de508(0xe8d)+(_0x2464e6?_0x4de508(0xda9):_0x4de508(0xd49)),{'name':_0x45c9e7[_0x4de508(0x9e1)][_0x4de508(0x19eb)]}))['ariaLabel']('Delete\x20disposition')['ok'](_0xabe420[_0x4de508(0xde)](_0x4de508(0x747)))['cancel'](_0xabe420['instant'](_0x4de508(0x1161)))[_0x4de508(0x1f27)](_0x5d3205);_0x8d741c['show'](_0x1516f7)[_0x4de508(0x146b)](function(){const _0xd2cd07=_0x4de508;_0x4d3335[_0xd2cd07(0x9e1)][_0xd2cd07(0x1fac)]({'id':_0x45c9e7[_0xd2cd07(0x9e1)]['id']})[_0xd2cd07(0x2945)][_0xd2cd07(0x146b)](function(){const _0x19384a=_0xd2cd07;_0x214026['success']({'title':_0xabe420[_0x19384a(0xde)](_0x19384a(0xa2f))}),_0x5bb296(!![]);})['catch'](function(_0x433aed){const _0x14c3be=_0xd2cd07;if(_0x433aed[_0x14c3be(0x524)]&&_0x433aed[_0x14c3be(0x524)][_0x14c3be(0xcef)]&&_0x433aed[_0x14c3be(0x524)][_0x14c3be(0xcef)][_0x14c3be(0x402)]){_0x45c9e7[_0x14c3be(0xcef)]=_0x433aed['data'][_0x14c3be(0xcef)]||[{'message':_0x433aed[_0x14c3be(0xd5f)](),'type':_0x14c3be(0x876)}];for(let _0x2c7121=0x0;_0x2c7121<_0x433aed['data']['errors']['length'];_0x2c7121++){_0x214026['error']({'title':_0x433aed[_0x14c3be(0x524)][_0x14c3be(0xcef)][_0x2c7121][_0x14c3be(0x1142)],'msg':_0x433aed[_0x14c3be(0x524)][_0x14c3be(0xcef)][_0x2c7121][_0x14c3be(0x7fd)]});}}else _0x214026[_0x14c3be(0x1980)]({'title':_0x433aed[_0x14c3be(0x107b)]?_0x14c3be(0x262a)+_0x433aed[_0x14c3be(0x107b)]+'\x20-\x20'+_0x433aed[_0x14c3be(0x167f)]:_0x14c3be(0x876),'msg':_0x433aed[_0x14c3be(0x524)]?JSON[_0x14c3be(0x10bb)](_0x433aed[_0x14c3be(0x524)][_0x14c3be(0x7fd)]):_0x433aed[_0x14c3be(0x7fd)]||_0x433aed[_0x14c3be(0xd5f)]()});});});}function _0x5bb296(_0x2f61e6){const _0x12b750=_0x5890c4;_0x8d741c[_0x12b750(0x2458)](_0x2f61e6);}}const _0x2ce069=_0x2ca460;;_0x24f231[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0x214b),_0x313a4d(0x2647),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87),'license',_0x313a4d(0x15b9)];function _0x24f231(_0x12e29d,_0x3f5e59,_0x4ed4be,_0xb9cbd5,_0x3cd077,_0xd66fa0,_0x4468e9,_0x14e0e0,_0x366118,_0x4c56a3,_0x536691,_0x183d7a,_0x452c02,_0x55e99a,_0x3e9227,_0x55741a){const _0x13809f=_0x313a4d,_0x4e7f7b=this;_0x4e7f7b['license']=_0x3e9227,_0x4e7f7b[_0x13809f(0x15b9)]=_0x55741a,_0x4e7f7b[_0x13809f(0x2321)]=_0x55e99a['getCurrentUser'](),_0x4e7f7b[_0x13809f(0x2647)]=_0x366118||{'count':0x0,'rows':[]},_0x4e7f7b['userProfile']=_0x4c56a3,_0x4e7f7b[_0x13809f(0x1366)]=_0x536691&&_0x536691[_0x13809f(0x51c)]==0x1?_0x536691['rows'][0x0]:null,_0x4e7f7b['crudPermissions']=_0x55e99a[_0x13809f(0xe60)](_0x4e7f7b[_0x13809f(0x1366)]?_0x4e7f7b['userProfileSection'][_0x13809f(0x2514)]:null),_0x4e7f7b[_0x13809f(0x2ad)]=[],_0x4e7f7b[_0x13809f(0xd92)]={'first':_0x13809f(0x20c1),'second':_0x13809f(0x227b),'third':_0x13809f(0x1195)},_0x4e7f7b['query']={'fields':_0x13809f(0x2430),'sort':'-updatedAt','MailAccountId':_0x13809f(0x203c),'FaxAccountId':'null','SmsAccountId':_0x13809f(0x203c),'OpenchannelAccountId':_0x13809f(0x203c),'ChatWebsiteId':_0x13809f(0x203c),'WhatsappAccountId':_0x13809f(0x203c),'ListId':_0x13809f(0x203c),'limit':0xa,'page':0x1},_0x4e7f7b[_0x13809f(0xf0a)]=_0x589229,_0x4e7f7b[_0x13809f(0x1c75)]=_0x1f0e91,_0x4e7f7b[_0x13809f(0x2451)]=_0x542f82,_0x4e7f7b['createOrEditDisposition']=_0x2790a6,_0x4e7f7b[_0x13809f(0x1220)]=_0x2a9153,_0x4e7f7b[_0x13809f(0x25f0)]=_0x4ec8bd,_0x4e7f7b['deleteSelectedDispositions']=_0x283acd,_0x4e7f7b['deselectDispositions']=_0x3c2d0d,_0x4e7f7b[_0x13809f(0x481)]=_0x9f6fc9;function _0x2790a6(_0x39aead,_0x4077ac){const _0x61df38=_0x13809f;_0x3cd077[_0x61df38(0x2615)]({'controller':_0x61df38(0x1a84),'controllerAs':'vm','templateUrl':_0x4f093a,'parent':angular[_0x61df38(0x1853)](_0xd66fa0['body']),'targetEvent':_0x39aead,'clickOutsideToClose':!![],'locals':{'disposition':_0x4077ac,'model':null,'license':_0x4e7f7b[_0x61df38(0x2690)],'setting':_0x4e7f7b['setting'],'crudPermissions':_0x4e7f7b[_0x61df38(0x2514)]}})[_0x61df38(0x146b)](function(_0x14dcef){if(_0x14dcef===!![])_0x542f82();});}function _0x589229(_0x531d41,_0x49cdce){const _0xf9d1e9=_0x13809f,_0xbb29b1=_0x3f65c0()['some'](_0x4e7f7b['dispositions']['rows'],[_0xf9d1e9(0x11b5),_0x531d41['id']]),_0x4d38c3=_0x3cd077[_0xf9d1e9(0x1e8a)]()['title'](_0x14e0e0[_0xf9d1e9(0xde)](_0xf9d1e9(0x19e6)))['content'](_0x14e0e0[_0xf9d1e9(0xde)](_0xf9d1e9(0xe8d)+(_0xbb29b1?_0xf9d1e9(0xda9):_0xf9d1e9(0xd49)),{'name':_0x531d41[_0xf9d1e9(0x19eb)]}))[_0xf9d1e9(0x4bd)](_0xf9d1e9(0x27d1))[_0xf9d1e9(0x1f27)](_0x49cdce)['ok']('OK')['cancel'](_0x14e0e0[_0xf9d1e9(0xde)](_0xf9d1e9(0x1161)));_0x3cd077[_0xf9d1e9(0x2615)](_0x4d38c3)[_0xf9d1e9(0x146b)](function(){_0x2a9153(_0x531d41);});}function _0x1f0e91(_0x38f4cb){_0x4e7f7b['dispositions']=_0x38f4cb||{'count':0x0,'rows':[]};}function _0x542f82(){const _0x1b54af=_0x13809f;_0x4e7f7b[_0x1b54af(0x1a56)][_0x1b54af(0x145d)]=(_0x4e7f7b[_0x1b54af(0x1a56)]['page']-0x1)*_0x4e7f7b['query'][_0x1b54af(0x221e)],_0x55e99a[_0x1b54af(0x13ff)]()?_0x4e7f7b[_0x1b54af(0xb9c)]=_0x183d7a['disposition']['get'](_0x4e7f7b[_0x1b54af(0x1a56)],_0x1f0e91)[_0x1b54af(0x2945)]:(_0x4e7f7b[_0x1b54af(0x1a56)]['id']=_0x4e7f7b[_0x1b54af(0x26b6)]['id'],_0x4e7f7b[_0x1b54af(0x1a56)][_0x1b54af(0x2146)]=_0x1b54af(0x1754),_0x4e7f7b[_0x1b54af(0xb9c)]=_0x183d7a[_0x1b54af(0x26b6)][_0x1b54af(0x158f)](_0x4e7f7b[_0x1b54af(0x1a56)],_0x1f0e91)['$promise']);}function _0x2a9153(_0x32c36b){const _0x2e2513=_0x13809f;_0x183d7a[_0x2e2513(0x9e1)][_0x2e2513(0x1fac)]({'id':_0x32c36b['id']})[_0x2e2513(0x2945)][_0x2e2513(0x146b)](function(){const _0x56e036=_0x2e2513;_0x542f82(),_0x452c02[_0x56e036(0x1c75)]({'title':_0x14e0e0[_0x56e036(0xde)](_0x56e036(0xa2f))});})[_0x2e2513(0x129e)](function(_0x27a23d){const _0x4c6f4a=_0x2e2513;if(_0x27a23d[_0x4c6f4a(0x524)]&&_0x27a23d[_0x4c6f4a(0x524)][_0x4c6f4a(0xcef)]&&_0x27a23d[_0x4c6f4a(0x524)][_0x4c6f4a(0xcef)]['length']){_0x4e7f7b[_0x4c6f4a(0xcef)]=_0x27a23d[_0x4c6f4a(0x524)][_0x4c6f4a(0xcef)]||[{'message':_0x27a23d[_0x4c6f4a(0xd5f)](),'type':_0x4c6f4a(0x24a7)}];for(let _0x1881bc=0x0;_0x1881bc<_0x27a23d[_0x4c6f4a(0x524)][_0x4c6f4a(0xcef)][_0x4c6f4a(0x402)];_0x1881bc++){_0x452c02[_0x4c6f4a(0x1980)]({'title':_0x27a23d[_0x4c6f4a(0x524)][_0x4c6f4a(0xcef)][_0x1881bc][_0x4c6f4a(0x1142)],'msg':_0x27a23d[_0x4c6f4a(0x524)][_0x4c6f4a(0xcef)][_0x1881bc][_0x4c6f4a(0x7fd)]});}}else _0x452c02[_0x4c6f4a(0x1980)]({'title':_0x27a23d['status']?_0x4c6f4a(0x262a)+_0x27a23d[_0x4c6f4a(0x107b)]+_0x4c6f4a(0x1315)+_0x27a23d[_0x4c6f4a(0x167f)]:_0x4c6f4a(0x24a7),'msg':_0x27a23d[_0x4c6f4a(0x524)]?JSON[_0x4c6f4a(0x10bb)](_0x27a23d['data'][_0x4c6f4a(0x7fd)]):_0x27a23d['message']||_0x27a23d[_0x4c6f4a(0xd5f)]()});});}function _0x4ec8bd(){const _0x4c3dc5=_0x13809f,_0x89922=angular[_0x4c3dc5(0x235a)](_0x4e7f7b[_0x4c3dc5(0x2ad)]);return _0x4e7f7b[_0x4c3dc5(0x2ad)]=[],_0x89922;}function _0x283acd(_0x22ea89){const _0x841817=_0x13809f,_0x1c8a2f=_0x3cd077[_0x841817(0x1e8a)]()[_0x841817(0x1189)](_0x14e0e0[_0x841817(0xde)](_0x841817(0x1a5b)))[_0x841817(0x80f)](_0x14e0e0[_0x841817(0xde)](_0x841817(0x23ce),{'total':_0x4e7f7b[_0x841817(0x2ad)][_0x841817(0x402)]}))[_0x841817(0x4bd)](_0x841817(0x1eb0))[_0x841817(0x1f27)](_0x22ea89)['ok']('OK')['cancel'](_0x14e0e0[_0x841817(0xde)](_0x841817(0x1161)));_0x3cd077[_0x841817(0x2615)](_0x1c8a2f)['then'](function(){const _0x45a9a3=_0x841817;_0x4e7f7b[_0x45a9a3(0x2ad)][_0x45a9a3(0x1df5)](function(_0x346235){_0x2a9153(_0x346235);}),_0x4e7f7b['selectedDispositions']=[];});}function _0x3c2d0d(){_0x4e7f7b['selectedDispositions']=[];}function _0x9f6fc9(){const _0x128d9b=_0x13809f;_0x4e7f7b[_0x128d9b(0x2ad)]=_0x4e7f7b[_0x128d9b(0x2647)][_0x128d9b(0x19c7)];}let _0x46a7e1=!![],_0x12bce2=0x1;_0x12e29d[_0x13809f(0x21e8)](_0x13809f(0x2669),function(_0x32e880,_0xeecdc9){const _0x388f7d=_0x13809f;_0x46a7e1?_0x4468e9(function(){_0x46a7e1=![];}):(!_0xeecdc9&&(_0x12bce2=_0x4e7f7b['query'][_0x388f7d(0x844)]),_0x32e880!==_0xeecdc9&&(_0x4e7f7b[_0x388f7d(0x1a56)][_0x388f7d(0x844)]=0x1),!_0x32e880&&(_0x4e7f7b['query']['page']=_0x12bce2),_0x542f82());});}const _0x2047b4=_0x24f231;;_0x231fd6[_0x313a4d(0x11c2)]=[_0x313a4d(0x921)];function _0x231fd6(_0x361cdf){const _0x40716c=_0x313a4d;_0x361cdf[_0x40716c(0x13d6)](_0x40716c(0x2f5),{'url':_0x40716c(0x18c4),'views':{'content@app':{'templateUrl':_0x49d1d1,'controller':_0x40716c(0x1381)}},'resolve':{'dispositions':[_0x40716c(0x362),_0x40716c(0xa87),function(_0x7f93e3,_0x310326){const _0x5139e9=_0x40716c;return _0x310326[_0x5139e9(0x23e0)](_0x5139e9(0x174b))?_0x7f93e3['resolve'](_0x5139e9(0x1041),{'fields':'id,level,name,description,ParentId,createdAt,updatedAt','sort':_0x5139e9(0x12f2),'MailAccountId':_0x5139e9(0x203c),'FaxAccountId':_0x5139e9(0x203c),'SmsAccountId':'null','OpenchannelAccountId':_0x5139e9(0x203c),'ChatWebsiteId':_0x5139e9(0x203c),'WhatsappAccountId':_0x5139e9(0x203c),'ListId':_0x5139e9(0x203c),'limit':0xa,'offset':0x0}):_0x7f93e3[_0x5139e9(0x2922)](_0x5139e9(0x938),{'id':_0x310326[_0x5139e9(0xb12)]()[_0x5139e9(0x209a)],'section':_0x5139e9(0x1754),'fields':'id,level,name,description,ParentId,createdAt,updatedAt','sort':'-updatedAt','MailAccountId':_0x5139e9(0x203c),'FaxAccountId':_0x5139e9(0x203c),'SmsAccountId':_0x5139e9(0x203c),'OpenchannelAccountId':'null','ChatWebsiteId':'null','WhatsappAccountId':_0x5139e9(0x203c),'ListId':_0x5139e9(0x203c),'limit':0xa,'offset':0x0});}],'userProfile':[_0x40716c(0x362),_0x40716c(0xa87),function(_0x27c83a,_0x3a949a){const _0x10d0e5=_0x40716c;return _0x3a949a[_0x10d0e5(0x23e0)]('admin')?null:_0x27c83a[_0x10d0e5(0x2922)](_0x10d0e5(0x119a),{'fields':'id,name,crudPermissions','id':_0x3a949a['getCurrentUser']()['userProfileId']});}],'userProfileSection':[_0x40716c(0x362),_0x40716c(0xa87),function(_0x59913b,_0x449019){const _0xcdecc9=_0x40716c;return _0x449019[_0xcdecc9(0x23e0)](_0xcdecc9(0x174b))?null:_0x59913b[_0xcdecc9(0x2922)](_0xcdecc9(0x27be),{'fields':_0xcdecc9(0x1e64),'userProfileId':_0x449019[_0xcdecc9(0xb12)]()[_0xcdecc9(0x209a)],'sectionId':0x3ea});}]},'authenticate':!![],'permissionId':0x3ea,'bodyClass':_0x40716c(0x11fe)});}angular[_0x313a4d(0x2528)](_0x313a4d(0x2f5),[])[_0x313a4d(0x989)](_0x231fd6)[_0x313a4d(0x28f0)]('CreateOrEditDispositionDialogController',_0x2ce069)[_0x313a4d(0x28f0)](_0x313a4d(0x6f2),_0x2047b4);;const _0x319dea=_0x4acfac['p']+_0x313a4d(0x24a2);;const _0x1aa402=_0x4acfac['p']+_0x313a4d(0x258e);;_0x4c1d8a[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x231a),_0x313a4d(0xd57),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),'setting',_0x313a4d(0x2514)];function _0x4c1d8a(_0x1f8a41,_0x16e204,_0x1cd5c9,_0x2b7e70,_0x115297,_0x1f701b,_0x53c671,_0x4c3784,_0x293980,_0x6a8cf0){const _0x1d2e04=_0x313a4d,_0x253845=this;_0x253845[_0x1d2e04(0x2321)]=_0x53c671[_0x1d2e04(0xb12)](),_0x253845[_0x1d2e04(0xd57)]=angular['copy'](_0x115297),_0x253845[_0x1d2e04(0x231a)]=angular[_0x1d2e04(0x235a)](_0x2b7e70),_0x253845[_0x1d2e04(0x15b9)]=_0x293980,_0x253845['license']=_0x4c3784,_0x253845['crudPermissions']=_0x6a8cf0,_0x253845[_0x1d2e04(0x20a1)]=![],_0x253845[_0x1d2e04(0xcef)]=[];!_0x253845[_0x1d2e04(0xd57)]&&(_0x253845[_0x1d2e04(0xd57)]={'channel':_0x1d2e04(0xe6)},_0x253845[_0x1d2e04(0x20a1)]=!![]);_0x253845[_0x1d2e04(0x1b51)]=_0x709f9b,_0x253845[_0x1d2e04(0x1239)]=_0x480d4a,_0x253845[_0x1d2e04(0x64f)]=_0x4edd69,_0x253845['closeDialog']=_0x1e14d8;function _0x709f9b(){const _0xd9f984=_0x1d2e04;_0x253845[_0xd9f984(0xcef)]=[],_0x1f701b[_0xd9f984(0xd57)][_0xd9f984(0x1e3)](_0x253845['trigger'])[_0xd9f984(0x2945)]['then'](function(_0x2e65e5){const _0x54481d=_0xd9f984;_0x253845[_0x54481d(0x231a)][_0x54481d(0xb3d)](_0x2e65e5['toJSON']()),_0x1cd5c9[_0x54481d(0x1c75)]({'title':_0x16e204[_0x54481d(0xde)](_0x54481d(0x24bc))}),_0x1e14d8(_0x253845[_0x54481d(0x231a)]);})['catch'](function(_0x559ba2){const _0x274c34=_0xd9f984;if(_0x559ba2[_0x274c34(0x524)]&&_0x559ba2['data']['errors']&&_0x559ba2[_0x274c34(0x524)][_0x274c34(0xcef)]['length']){_0x253845[_0x274c34(0xcef)]=_0x559ba2[_0x274c34(0x524)][_0x274c34(0xcef)]||[{'message':_0x559ba2[_0x274c34(0xd5f)](),'type':'api.trigger.save'}];for(let _0x48b8c8=0x0;_0x48b8c8<_0x559ba2['data'][_0x274c34(0xcef)][_0x274c34(0x402)];_0x48b8c8+=0x1){_0x1cd5c9[_0x274c34(0x1980)]({'title':_0x559ba2['data'][_0x274c34(0xcef)][_0x48b8c8][_0x274c34(0x1142)],'msg':_0x559ba2[_0x274c34(0x524)][_0x274c34(0xcef)][_0x48b8c8][_0x274c34(0x7fd)]});}}else _0x1cd5c9[_0x274c34(0x1980)]({'title':_0x559ba2['status']?'API:'+_0x559ba2[_0x274c34(0x107b)]+_0x274c34(0x1315)+_0x559ba2['statusText']:_0x274c34(0x1765),'msg':_0x559ba2['data']?JSON[_0x274c34(0x10bb)](_0x559ba2[_0x274c34(0x524)][_0x274c34(0x7fd)]):_0x559ba2['toString']()});});}function _0x480d4a(){const _0x17dcf4=_0x1d2e04;_0x253845['errors']=[],_0x1f701b['trigger'][_0x17dcf4(0x18e1)]({'id':_0x253845[_0x17dcf4(0xd57)]['id']},_0x253845['trigger'])['$promise'][_0x17dcf4(0x146b)](function(){const _0x10306a=_0x17dcf4;_0x253845[_0x10306a(0x231a)]=_0x3f65c0()['map'](_0x253845['triggers'],function(_0x2efb9e){const _0x431959=_0x10306a;if(_0x2efb9e['id']===_0x253845[_0x431959(0xd57)]['id'])return _0x253845[_0x431959(0xd57)];else return _0x2efb9e;}),_0x1cd5c9['success']({'title':_0x16e204[_0x10306a(0xde)](_0x10306a(0x2243))}),_0x1e14d8(_0x253845[_0x10306a(0x231a)]);})[_0x17dcf4(0x129e)](function(_0x5f5556){const _0x5060ef=_0x17dcf4;if(_0x5f5556[_0x5060ef(0x524)]&&_0x5f5556[_0x5060ef(0x524)][_0x5060ef(0xcef)]&&_0x5f5556['data']['errors'][_0x5060ef(0x402)]){_0x253845['errors']=_0x5f5556[_0x5060ef(0x524)][_0x5060ef(0xcef)]||[{'message':_0x5f5556[_0x5060ef(0xd5f)](),'type':_0x5060ef(0x148c)}];for(let _0x5aed53=0x0;_0x5aed53<_0x5f5556[_0x5060ef(0x524)][_0x5060ef(0xcef)][_0x5060ef(0x402)];_0x5aed53++){_0x1cd5c9[_0x5060ef(0x1980)]({'title':_0x5f5556['data'][_0x5060ef(0xcef)][_0x5aed53][_0x5060ef(0x1142)],'msg':_0x5f5556[_0x5060ef(0x524)][_0x5060ef(0xcef)][_0x5aed53][_0x5060ef(0x7fd)]});}}else _0x1cd5c9['error']({'title':_0x5f5556[_0x5060ef(0x107b)]?_0x5060ef(0x262a)+_0x5f5556[_0x5060ef(0x107b)]+_0x5060ef(0x1315)+_0x5f5556[_0x5060ef(0x167f)]:'api.trigger.update','msg':_0x5f5556[_0x5060ef(0x524)]?JSON[_0x5060ef(0x10bb)](_0x5f5556[_0x5060ef(0x524)][_0x5060ef(0x7fd)]):_0x5f5556[_0x5060ef(0xd5f)]()});});}function _0x4edd69(_0x34c103){const _0x1a4919=_0x1d2e04;_0x253845[_0x1a4919(0xcef)]=[];const _0x101323=_0x1f8a41['confirm']({'skipHide':!![]})[_0x1a4919(0x1189)](_0x16e204[_0x1a4919(0xde)](_0x1a4919(0x13a2)))['content'](_0x16e204['instant']('TOOLS.NOTIFICATIONS.TRIGGER_DELETE_MESSAGE',{'name':_0x253845[_0x1a4919(0xd57)][_0x1a4919(0x19eb)]}))['ariaLabel']('Delete\x20trigger')['ok'](_0x16e204[_0x1a4919(0xde)](_0x1a4919(0x747)))[_0x1a4919(0x6c3)](_0x16e204['instant']('APP.CANCEL'))[_0x1a4919(0x1f27)](_0x34c103);_0x1f8a41[_0x1a4919(0x2615)](_0x101323)[_0x1a4919(0x146b)](function(){const _0x555778=_0x1a4919;_0x1f701b['trigger']['delete']({'id':_0x253845[_0x555778(0xd57)]['id']})[_0x555778(0x2945)][_0x555778(0x146b)](function(){const _0x430f69=_0x555778;_0x253845[_0x430f69(0x231a)]=_0x3f65c0()['filter'](_0x253845['triggers'],function(_0x44b902){const _0x344bdc=_0x430f69;return _0x44b902['id']!==_0x253845[_0x344bdc(0xd57)]['id'];}),_0x1cd5c9[_0x430f69(0x1c75)]({'title':_0x16e204[_0x430f69(0xde)](_0x430f69(0x2005))}),_0x1e14d8(_0x253845[_0x430f69(0x231a)]);})[_0x555778(0x129e)](function(_0x26b22e){const _0xad3365=_0x555778;if(_0x26b22e[_0xad3365(0x524)]&&_0x26b22e['data']['errors']&&_0x26b22e['data'][_0xad3365(0xcef)][_0xad3365(0x402)]){_0x253845['errors']=_0x26b22e['data'][_0xad3365(0xcef)]||[{'message':_0x26b22e[_0xad3365(0xd5f)](),'type':'api.trigger.delete'}];for(let _0x8640ee=0x0;_0x8640ee<_0x26b22e[_0xad3365(0x524)][_0xad3365(0xcef)]['length'];_0x8640ee++){_0x1cd5c9[_0xad3365(0x1980)]({'title':_0x26b22e[_0xad3365(0x524)][_0xad3365(0xcef)][_0x8640ee]['type'],'msg':_0x26b22e[_0xad3365(0x524)][_0xad3365(0xcef)][_0x8640ee]['message']});}}else _0x1cd5c9['error']({'title':_0x26b22e[_0xad3365(0x107b)]?_0xad3365(0x262a)+_0x26b22e[_0xad3365(0x107b)]+_0xad3365(0x1315)+_0x26b22e[_0xad3365(0x167f)]:_0xad3365(0x1bff),'msg':_0x26b22e[_0xad3365(0x524)]?JSON[_0xad3365(0x10bb)](_0x26b22e[_0xad3365(0x524)][_0xad3365(0x7fd)]):_0x26b22e['message']||_0x26b22e[_0xad3365(0xd5f)]()});});},function(){});}function _0x1e14d8(_0x5befa7){_0x1f8a41['hide'](_0x5befa7);}}const _0x2f2a57=_0x4c1d8a;;const _0x44a76e=_0x4acfac['p']+_0x313a4d(0x1a7d);;_0x210d7f[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x214b),_0x313a4d(0x1abe),'$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0xa87)];function _0x210d7f(_0x29c134,_0x16a016,_0x863a7d,_0x1cd5fd,_0x23112c,_0x42d36d,_0x22a955,_0x58f1ff){const _0x4b881b=_0x313a4d,_0x49e316=this;_0x49e316[_0x4b881b(0x2321)]=_0x58f1ff['getCurrentUser'](),_0x49e316[_0x4b881b(0xd57)]={},_0x49e316[_0x4b881b(0x73d)]={'count':0x0,'rows':[]},_0x49e316[_0x4b881b(0x1405)]=[],_0x49e316[_0x4b881b(0x1a56)]={'fields':_0x4b881b(0x3ae),'limit':0xa,'page':0x1},_0x49e316[_0x4b881b(0x270e)]={'zendesk':_0x4b881b(0x2214),'salesforce':_0x4b881b(0x94e),'sugarcrm':_0x4b881b(0x7a9),'freshdesk':_0x4b881b(0x1df4),'desk':_0x4b881b(0x55d),'zoho':'Zoho','vtiger':'vTiger','dynamics365':_0x4b881b(0x10c4),'servicenow':'ServiceNow','freshsales':'Freshsales'},_0x49e316[_0x4b881b(0x1101)]={0x0:'Popup',0x1:'CTI\x20URL',0x2:_0x4b881b(0xdec)},_0x49e316[_0x4b881b(0x3d4)]=_0x315108,_0x49e316[_0x4b881b(0x1c75)]=_0x3f49dc,_0x49e316[_0x4b881b(0x15c6)]=_0x18f6dd,_0x49e316[_0x4b881b(0x2533)]=_0x4a3409,_0x49e316['exportSelectedTriggerActions']=_0x3198b4,_0x49e316[_0x4b881b(0x19b4)]=_0x19db7c,_0x49e316[_0x4b881b(0x2904)]=_0x27916b;function _0x315108(_0xf1f34b,_0x522139){const _0x553c61=_0x4b881b;_0x49e316[_0x553c61(0xd57)]=_0xf1f34b,_0x49e316[_0x553c61(0x2514)]=typeof _0x522139!==_0x553c61(0x2274)?_0x522139:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x49e316[_0x553c61(0x1a56)]['ActionId']=_0x49e316[_0x553c61(0xd57)]['id'],_0x49e316['query']['id']=_0x49e316[_0x553c61(0xd57)]['id'],_0x18f6dd();}function _0x1569bc(){const _0x4d7494=_0x4b881b;_0x58f1ff[_0x4d7494(0x13ff)]()?_0x22a955[_0x4d7494(0xf03)][_0x4d7494(0x16b4)]({'fields':_0x4d7494(0x7a7),'sort':_0x4d7494(0x19eb),'nolimit':_0x4d7494(0x1185)})[_0x4d7494(0x2945)][_0x4d7494(0x146b)](function(_0x4a1d38){const _0x5a62c1=_0x4d7494;_0x49e316[_0x5a62c1(0x1324)]=_0x4a1d38['rows']||[];})['catch'](function(_0x55d326){const _0x2532fe=_0x4d7494;_0x42d36d[_0x2532fe(0x1980)]({'title':_0x55d326[_0x2532fe(0x107b)]?_0x2532fe(0x262a)+_0x55d326[_0x2532fe(0x107b)]+_0x2532fe(0x1315)+_0x55d326[_0x2532fe(0x167f)]:_0x2532fe(0x11b3),'msg':_0x55d326['data']?JSON[_0x2532fe(0x10bb)](_0x55d326['data']):_0x55d326['toString']()});}):_0x22a955[_0x4d7494(0xf03)][_0x4d7494(0x16b4)]({'fields':'id,name','sort':_0x4d7494(0x19eb),'nolimit':_0x4d7494(0x1185)})[_0x4d7494(0x2945)]['then'](function(_0x2193b8){const _0xc12c41=_0x4d7494;_0x49e316['lists']=_0x2193b8[_0xc12c41(0x19c7)]||[];})[_0x4d7494(0x146b)](function(){const _0x2bab7e=_0x4d7494;return _0x22a955[_0x2bab7e(0x1366)][_0x2bab7e(0x16b4)]({'userProfileId':_0x49e316['currentUser']['userProfileId'],'sectionId':0x12d})[_0x2bab7e(0x2945)];})[_0x4d7494(0x146b)](function(_0x35150c){const _0x5f0e82=_0x4d7494,_0x242996=_0x35150c&&_0x35150c[_0x5f0e82(0x19c7)]?_0x35150c[_0x5f0e82(0x19c7)][0x0]:null;if(!_0x242996){const _0x34a449=[],_0x40085d=[];_0x49e316[_0x5f0e82(0x73d)][_0x5f0e82(0x19c7)]['forEach'](function(_0x2670e7){const _0x55f00a=_0x5f0e82;if(_0x2670e7['action']===_0x55f00a(0x1b3a)){const _0x1bd212=_0x3f65c0()['find'](_0x49e316[_0x55f00a(0x1324)],{'id':Number(_0x2670e7[_0x55f00a(0x1160)])});_0x40085d[_0x55f00a(0x1f47)](_0x1bd212);}});for(let _0x4737c2=0x0;_0x4737c2<_0x49e316['lists'][_0x5f0e82(0x402)];_0x4737c2++){const _0x28e2fd=_0x3f65c0()[_0x5f0e82(0x1360)](_0x40085d,{'id':_0x49e316[_0x5f0e82(0x1324)][_0x4737c2]['id']});_0x28e2fd&&(_0x49e316[_0x5f0e82(0x1324)][_0x4737c2][_0x5f0e82(0x8ff)]=![],_0x34a449[_0x5f0e82(0x1f47)](_0x49e316[_0x5f0e82(0x1324)][_0x4737c2]));}_0x49e316[_0x5f0e82(0x1324)]=_0x34a449;}else{if(!_0x242996[_0x5f0e82(0x11d2)])return _0x22a955['userProfileResource'][_0x5f0e82(0x16b4)]({'sectionId':_0x242996['id']})[_0x5f0e82(0x2945)]['then'](function(_0x551b48){const _0x4fa169=_0x5f0e82,_0x3ec93e=_0x3f65c0()['map'](_0x551b48['rows'],function(_0x4e84a7){const _0x7dbe8d=a0_0x3bb9;return _0x3f65c0()['find'](_0x49e316[_0x7dbe8d(0x1324)],{'id':_0x4e84a7[_0x7dbe8d(0x18b8)]});});let _0x2879a5=null;_0x2879a5=[],_0x49e316['triggerActions'][_0x4fa169(0x19c7)][_0x4fa169(0x1df5)](function(_0x39ef32){const _0x9ef991=_0x4fa169;if(_0x39ef32[_0x9ef991(0x233c)]===_0x9ef991(0x1b3a)){const _0x15609a=_0x3f65c0()[_0x9ef991(0xc84)](_0x49e316['lists'],{'id':Number(_0x39ef32[_0x9ef991(0x1160)])});_0x2879a5['push'](_0x15609a);}}),!_0x3f65c0()[_0x4fa169(0x2635)](_0x2879a5)&&_0x2879a5[_0x4fa169(0x1df5)](function(_0x164d1f){const _0x168126=_0x4fa169;if(!_0x3f65c0()[_0x168126(0x1360)](_0x3ec93e,['id',_0x164d1f['id']])){const _0x3b2d1b=_0x3f65c0()[_0x168126(0xc84)](_0x49e316['lists'],{'id':_0x164d1f['id']});_0x3b2d1b[_0x168126(0x8ff)]=![],_0x3ec93e[_0x168126(0x1f47)](_0x3b2d1b);}}),_0x49e316[_0x4fa169(0x1324)]=_0x3ec93e;});}})[_0x4d7494(0x129e)](function(_0x51baac){const _0x2b550e=_0x4d7494;_0x42d36d['error']({'title':_0x51baac['status']?_0x2b550e(0x262a)+_0x51baac['status']+_0x2b550e(0x1315)+_0x51baac[_0x2b550e(0x167f)]:_0x2b550e(0x1a2f),'msg':_0x51baac[_0x2b550e(0x524)]?JSON[_0x2b550e(0x10bb)](_0x51baac[_0x2b550e(0x524)]):_0x51baac['toString']()});});}function _0x3153f0(){const _0x2f5f09=_0x4b881b,_0x319ac2=_0x3f65c0()(_0x49e316[_0x2f5f09(0x73d)][_0x2f5f09(0x19c7)])['map'](function(_0x22a949){const _0x23c79c=_0x2f5f09;return _0x22a949['action']===_0x23c79c(0x429)?_0x22a949[_0x23c79c(0x1160)]:undefined;})[_0x2f5f09(0x10d6)]()['uniq']()[_0x2f5f09(0x175d)]();_0x319ac2[_0x2f5f09(0x1df5)](function(_0x50a31c){const _0x346721=_0x2f5f09,_0x290694=_0x50a31c+_0x346721(0x1164);if(!_0x49e316[_0x290694]){const _0x110ca1=_0x346721(0x81c)+_0x3f65c0()['startCase'](_0x50a31c)+_0x346721(0xb48);_0x22a955[_0x110ca1][_0x346721(0x16b4)]({'fields':_0x346721(0x7a7),'sort':'id','nolimit':'true'})[_0x346721(0x2945)]['then'](function(_0x154fd9){const _0x47b401=_0x346721;_0x49e316[_0x290694]=_0x154fd9[_0x47b401(0x19c7)]||[];})[_0x346721(0x129e)](function(_0x3a1d6e){const _0x5a419a=_0x346721;_0x42d36d[_0x5a419a(0x1980)]({'title':_0x3a1d6e['status']?_0x5a419a(0x262a)+_0x3a1d6e[_0x5a419a(0x107b)]+'\x20-\x20'+_0x3a1d6e[_0x5a419a(0x167f)]:_0x5a419a(0x290e)+_0x290694[_0x5a419a(0x1c37)]()+_0x5a419a(0x78e),'msg':_0x3a1d6e[_0x5a419a(0x524)]?JSON[_0x5a419a(0x10bb)](_0x3a1d6e[_0x5a419a(0x524)]):_0x3a1d6e[_0x5a419a(0xd5f)]()});});}});}function _0x14ebd9(){const _0x335a7f=_0x4b881b;_0x58f1ff['isAdmin']()?_0x22a955['jscriptyProject'][_0x335a7f(0x16b4)]({'fields':'id,name','sort':_0x335a7f(0x19eb),'nolimit':_0x335a7f(0x1185)})['$promise'][_0x335a7f(0x146b)](function(_0x39bd57){const _0xe63029=_0x335a7f;_0x49e316[_0xe63029(0x1991)]=_0x39bd57[_0xe63029(0x19c7)]||[];})[_0x335a7f(0x129e)](function(_0x20096e){const _0x308e21=_0x335a7f;_0x42d36d['error']({'title':_0x20096e['status']?_0x308e21(0x262a)+_0x20096e[_0x308e21(0x107b)]+'\x20-\x20'+_0x20096e[_0x308e21(0x167f)]:_0x308e21(0x1764),'msg':_0x20096e['data']?JSON[_0x308e21(0x10bb)](_0x20096e['data']):_0x20096e[_0x308e21(0xd5f)]()});}):_0x22a955[_0x335a7f(0x20c8)]['get']({'fields':_0x335a7f(0x7a7),'sort':_0x335a7f(0x19eb),'nolimit':'true'})[_0x335a7f(0x2945)][_0x335a7f(0x146b)](function(_0x399615){const _0x2ebff7=_0x335a7f;_0x49e316[_0x2ebff7(0x1991)]=_0x399615[_0x2ebff7(0x19c7)]||[];})['then'](function(){const _0x11e059=_0x335a7f;return _0x22a955[_0x11e059(0x1366)][_0x11e059(0x16b4)]({'userProfileId':_0x49e316['currentUser'][_0x11e059(0x209a)],'sectionId':0x6a5})[_0x11e059(0x2945)];})[_0x335a7f(0x146b)](function(_0x1376fd){const _0x6705ac=_0x335a7f,_0xfee756=_0x1376fd&&_0x1376fd[_0x6705ac(0x19c7)]?_0x1376fd[_0x6705ac(0x19c7)][0x0]:null;if(!_0xfee756){const _0x1e0190=[],_0x21b1b7=[];_0x49e316['triggerActions']['rows'][_0x6705ac(0x1df5)](function(_0x16ee0d){const _0x3139be=_0x6705ac;if(_0x16ee0d['action']===_0x3139be(0x1640)){const _0x24ffb5=_0x3f65c0()['find'](_0x49e316[_0x3139be(0x1991)],{'id':Number(_0x16ee0d['data1'])});_0x21b1b7[_0x3139be(0x1f47)](_0x24ffb5);}});for(let _0x329574=0x0;_0x329574<_0x49e316['projects']['length'];_0x329574++){const _0x328fd0=_0x3f65c0()[_0x6705ac(0x1360)](_0x21b1b7,{'id':_0x49e316[_0x6705ac(0x1991)][_0x329574]['id']});_0x328fd0&&(_0x49e316[_0x6705ac(0x1991)][_0x329574][_0x6705ac(0x8ff)]=![],_0x1e0190[_0x6705ac(0x1f47)](_0x49e316[_0x6705ac(0x1991)][_0x329574]));}_0x49e316[_0x6705ac(0x1991)]=_0x1e0190;}else{if(!_0xfee756['autoAssociation'])return _0x22a955[_0x6705ac(0xdcc)][_0x6705ac(0x16b4)]({'sectionId':_0xfee756['id']})[_0x6705ac(0x2945)]['then'](function(_0x181c6d){const _0x15b701=_0x6705ac,_0x9096b4=_0x3f65c0()['map'](_0x181c6d[_0x15b701(0x19c7)],function(_0x3736c5){const _0x723b5c=_0x15b701;return _0x3f65c0()['find'](_0x49e316[_0x723b5c(0x1991)],{'id':_0x3736c5[_0x723b5c(0x18b8)]});});let _0x8f97fb=null;_0x8f97fb=[],_0x49e316[_0x15b701(0x73d)][_0x15b701(0x19c7)][_0x15b701(0x1df5)](function(_0x75c2b8){const _0x1f87ef=_0x15b701;if(_0x75c2b8[_0x1f87ef(0x233c)]==='jscripty'){const _0x123c23=_0x3f65c0()[_0x1f87ef(0xc84)](_0x49e316[_0x1f87ef(0x1991)],{'id':Number(_0x75c2b8[_0x1f87ef(0x1160)])});_0x8f97fb[_0x1f87ef(0x1f47)](_0x123c23);}}),!_0x3f65c0()[_0x15b701(0x2635)](_0x8f97fb)&&_0x8f97fb[_0x15b701(0x1df5)](function(_0x12c3b0){const _0x359e43=_0x15b701;if(!_0x3f65c0()[_0x359e43(0x1360)](_0x9096b4,['id',_0x12c3b0['id']])){const _0x5dc536=_0x3f65c0()[_0x359e43(0xc84)](_0x49e316['projects'],{'id':_0x12c3b0['id']});_0x5dc536[_0x359e43(0x8ff)]=![],_0x9096b4[_0x359e43(0x1f47)](_0x5dc536);}}),_0x49e316[_0x15b701(0x1991)]=_0x9096b4;});}})['catch'](function(_0x43a9c5){const _0x2c4420=_0x335a7f;_0x42d36d['error']({'title':_0x43a9c5[_0x2c4420(0x107b)]?_0x2c4420(0x262a)+_0x43a9c5['status']+_0x2c4420(0x1315)+_0x43a9c5[_0x2c4420(0x167f)]:_0x2c4420(0x193b),'msg':_0x43a9c5[_0x2c4420(0x524)]?JSON[_0x2c4420(0x10bb)](_0x43a9c5[_0x2c4420(0x524)]):_0x43a9c5[_0x2c4420(0xd5f)]()});});}function _0x509803(){const _0x53e80=_0x4b881b;_0x58f1ff[_0x53e80(0x13ff)]()?_0x22a955[_0x53e80(0x1928)][_0x53e80(0x16b4)]({'fields':_0x53e80(0x7a7),'sort':'id','nolimit':'true'})[_0x53e80(0x2945)][_0x53e80(0x146b)](function(_0x40fdee){const _0x30ebed=_0x53e80;_0x49e316[_0x30ebed(0x2841)]=_0x40fdee['rows']||[];})[_0x53e80(0x129e)](function(_0x16b566){const _0x4fa28b=_0x53e80;_0x42d36d[_0x4fa28b(0x1980)]({'title':_0x16b566[_0x4fa28b(0x107b)]?_0x4fa28b(0x262a)+_0x16b566['status']+_0x4fa28b(0x1315)+_0x16b566[_0x4fa28b(0x167f)]:_0x4fa28b(0x47b),'msg':_0x16b566[_0x4fa28b(0x524)]?JSON['stringify'](_0x16b566[_0x4fa28b(0x524)]):_0x16b566[_0x4fa28b(0xd5f)]()});}):_0x22a955['template']['get']({'fields':'id,name','sort':'id','nolimit':'true'})['$promise'][_0x53e80(0x146b)](function(_0x50cb35){_0x49e316['templates']=_0x50cb35['rows']||[];})[_0x53e80(0x146b)](function(){const _0x530c13=_0x53e80;return _0x22a955[_0x530c13(0x1366)][_0x530c13(0x16b4)]({'userProfileId':_0x49e316[_0x530c13(0x2321)][_0x530c13(0x209a)],'sectionId':0x3f1})[_0x530c13(0x2945)];})[_0x53e80(0x146b)](function(_0x56dfc1){const _0x14c77d=_0x53e80,_0x2fc8a5=_0x56dfc1&&_0x56dfc1[_0x14c77d(0x19c7)]?_0x56dfc1[_0x14c77d(0x19c7)][0x0]:null;if(!_0x2fc8a5){const _0x52ad0e=[],_0x493d8c=[];_0x49e316['triggerActions'][_0x14c77d(0x19c7)]['forEach'](function(_0x4556c3){const _0x3c0e06=_0x14c77d;if(_0x3f65c0()['includes']([_0x3c0e06(0x13b6),_0x3c0e06(0x1506)],_0x4556c3[_0x3c0e06(0x233c)])){const _0x4b06e2=_0x3f65c0()[_0x3c0e06(0xc84)](_0x49e316[_0x3c0e06(0x2841)],{'id':Number(_0x4556c3['data2'])});_0x493d8c[_0x3c0e06(0x1f47)](_0x4b06e2);}});for(let _0x42db8e=0x0;_0x42db8e<_0x49e316[_0x14c77d(0x2841)][_0x14c77d(0x402)];_0x42db8e++){const _0x381a22=_0x3f65c0()[_0x14c77d(0x1360)](_0x493d8c,{'id':_0x49e316[_0x14c77d(0x2841)][_0x42db8e]['id']});_0x381a22&&(_0x49e316[_0x14c77d(0x2841)][_0x42db8e][_0x14c77d(0x8ff)]=![],_0x52ad0e[_0x14c77d(0x1f47)](_0x49e316[_0x14c77d(0x2841)][_0x42db8e]));}_0x49e316['templates']=_0x52ad0e;}else{if(!_0x2fc8a5['autoAssociation'])return _0x22a955[_0x14c77d(0xdcc)]['get']({'sectionId':_0x2fc8a5['id']})[_0x14c77d(0x2945)][_0x14c77d(0x146b)](function(_0x24312c){const _0x48410a=_0x14c77d,_0x2c15bd=_0x3f65c0()[_0x48410a(0x205)](_0x24312c[_0x48410a(0x19c7)],function(_0x50ba0b){const _0x3df7e6=_0x48410a;return _0x3f65c0()[_0x3df7e6(0xc84)](_0x49e316['templates'],{'id':_0x50ba0b[_0x3df7e6(0x18b8)]});});let _0x5e46d4=null;_0x5e46d4=[],_0x49e316[_0x48410a(0x73d)]['rows']['forEach'](function(_0x5bb8ea){const _0x5532f5=_0x48410a;if(_0x3f65c0()[_0x5532f5(0xa4e)]([_0x5532f5(0x13b6),_0x5532f5(0x1506)],_0x5bb8ea[_0x5532f5(0x233c)])){const _0xe1507d=_0x3f65c0()[_0x5532f5(0xc84)](_0x49e316[_0x5532f5(0x2841)],{'id':Number(_0x5bb8ea[_0x5532f5(0x1ff9)])});_0x5e46d4[_0x5532f5(0x1f47)](_0xe1507d);}}),!_0x3f65c0()['isEmpty'](_0x5e46d4)&&_0x5e46d4[_0x48410a(0x1df5)](function(_0x28c7da){const _0x43db66=_0x48410a;if(!_0x3f65c0()[_0x43db66(0x1360)](_0x2c15bd,['id',_0x28c7da['id']])){const _0x3ff8b3=_0x3f65c0()['find'](_0x49e316[_0x43db66(0x2841)],{'id':_0x28c7da['id']});_0x3ff8b3['canSelect']=![],_0x2c15bd[_0x43db66(0x1f47)](_0x3ff8b3);}}),_0x49e316[_0x48410a(0x2841)]=_0x2c15bd;});}})['catch'](function(_0xe88219){const _0x4a59fd=_0x53e80;_0x42d36d['error']({'title':_0xe88219['status']?_0x4a59fd(0x262a)+_0xe88219[_0x4a59fd(0x107b)]+'\x20-\x20'+_0xe88219[_0x4a59fd(0x167f)]:_0x4a59fd(0x47b),'msg':_0xe88219[_0x4a59fd(0x524)]?JSON[_0x4a59fd(0x10bb)](_0xe88219['data']):_0xe88219['toString']()});});}function _0x405984(){const _0xfdde6=_0x4b881b;if(_0x3f65c0()[_0xfdde6(0x1360)](_0x49e316['triggerActions'][_0xfdde6(0x19c7)],[_0xfdde6(0x233c),'contactManager'])){if(!_0x49e316['lists'])_0x1569bc();}_0x3f65c0()[_0xfdde6(0x1360)](_0x49e316['triggerActions']['rows'],[_0xfdde6(0x233c),'integration'])&&_0x3153f0();if(_0x3f65c0()[_0xfdde6(0x1360)](_0x49e316[_0xfdde6(0x73d)][_0xfdde6(0x19c7)],function(_0x1ec16f){return _0x3f65c0()['includes'](['motionbar','browser'],_0x1ec16f['action']);})){if(!_0x49e316[_0xfdde6(0x2841)])_0x509803();}if(_0x3f65c0()['some'](_0x49e316[_0xfdde6(0x73d)][_0xfdde6(0x19c7)],[_0xfdde6(0x233c),'jscripty'])){if(!_0x49e316[_0xfdde6(0x1991)])_0x14ebd9();}}function _0x3f49dc(_0x353836){const _0x392afc=_0x4b881b;_0x49e316[_0x392afc(0x73d)]=_0x353836||{'count':0x0,'rows':[]},_0x405984();}function _0x18f6dd(){const _0x3b3829=_0x4b881b;_0x49e316[_0x3b3829(0x1a56)][_0x3b3829(0x145d)]=(_0x49e316['query']['page']-0x1)*_0x49e316[_0x3b3829(0x1a56)][_0x3b3829(0x221e)],_0x49e316['promise']=_0x22a955[_0x3b3829(0xd57)][_0x3b3829(0xc01)](_0x49e316[_0x3b3829(0x1a56)],_0x3f49dc)[_0x3b3829(0x2945)];}function _0x4a3409(_0x2d71ad,_0x176574){const _0x131593=_0x4b881b;_0x1cd5fd[_0x131593(0x2615)]({'controller':'CreateOrEditActionDialogController','controllerAs':'vm','templateUrl':_0x44a76e,'parent':angular[_0x131593(0x1853)](_0x23112c['body']),'targetEvent':_0x2d71ad,'clickOutsideToClose':!![],'locals':{'trigger':_0x49e316['trigger'],'action':_0x176574,'actions':_0x49e316[_0x131593(0x73d)]['rows'],'license':null,'setting':null,'crudPermissions':_0x49e316['crudPermissions']}})[_0x131593(0x146b)](function(_0x477c37){const _0x188e8b=_0x131593;_0x477c37&&(_0x49e316[_0x188e8b(0x73d)]={'count':_0x477c37[_0x188e8b(0x402)],'rows':_0x477c37},_0x405984());});}function _0x19db7c(_0x379aa8,_0x14ca1b){const _0x26ad77=_0x4b881b,_0x1d047d=_0x1cd5fd[_0x26ad77(0x1e8a)]()[_0x26ad77(0x1189)](_0x16a016[_0x26ad77(0xde)](_0x26ad77(0x1b9)))[_0x26ad77(0x4bd)]('Delete\x20action')['targetEvent'](_0x14ca1b)['ok']('OK')['cancel'](_0x16a016['instant'](_0x26ad77(0x1161)));_0x1cd5fd['show'](_0x1d047d)[_0x26ad77(0x146b)](function(){_0x539772(_0x379aa8,!![]);});}function _0x539772(_0x14f29f,_0x464bbb){const _0x1e9edb=_0x4b881b;_0x22a955[_0x1e9edb(0x233c)][_0x1e9edb(0x1fac)]({'id':_0x14f29f['id']})[_0x1e9edb(0x2945)][_0x1e9edb(0x146b)](function(){const _0x537b1b=_0x1e9edb;_0x18f6dd(),_0x464bbb&&_0x42d36d[_0x537b1b(0x1c75)]({'title':_0x16a016[_0x537b1b(0xde)]('TOOLS.NOTIFICATIONS.ACTION_DELETED_SUCCESS_TITLE')});})[_0x1e9edb(0x129e)](function(_0x4eb1ab){const _0xebe148=_0x1e9edb;if(_0x4eb1ab['data']&&_0x4eb1ab[_0xebe148(0x524)][_0xebe148(0xcef)]&&_0x4eb1ab[_0xebe148(0x524)][_0xebe148(0xcef)]['length']){_0x49e316[_0xebe148(0xcef)]=_0x4eb1ab['data']['errors']||[{'message':_0x4eb1ab['toString'](),'type':'SYSTEM:GETtrigger'}];for(let _0x539687=0x0;_0x539687<_0x4eb1ab[_0xebe148(0x524)][_0xebe148(0xcef)][_0xebe148(0x402)];_0x539687++){_0x42d36d[_0xebe148(0x1980)]({'title':_0x4eb1ab[_0xebe148(0x524)][_0xebe148(0xcef)][_0x539687][_0xebe148(0x1142)],'msg':_0x4eb1ab[_0xebe148(0x524)][_0xebe148(0xcef)][_0x539687]['message']});}}else _0x42d36d[_0xebe148(0x1980)]({'title':_0x4eb1ab[_0xebe148(0x107b)]?_0xebe148(0x262a)+_0x4eb1ab[_0xebe148(0x107b)]+'\x20-\x20'+_0x4eb1ab[_0xebe148(0x167f)]:'SYSTEM:GETtrigger','msg':_0x4eb1ab['data']?JSON['stringify'](_0x4eb1ab[_0xebe148(0x524)][_0xebe148(0x7fd)]):_0x4eb1ab['message']||_0x4eb1ab[_0xebe148(0xd5f)]()});});}function _0x3198b4(){const _0x3e338b=_0x4b881b,_0x81903c=angular[_0x3e338b(0x235a)](_0x49e316[_0x3e338b(0x1405)]);return _0x49e316['selectedTriggerActions']=[],_0x81903c;}function _0x27916b(_0x4c5216){const _0x5e9505=_0x4b881b,_0x14c575=_0x1cd5fd[_0x5e9505(0x1e8a)]()[_0x5e9505(0x1189)](_0x16a016[_0x5e9505(0xde)](_0x5e9505(0xe52)))[_0x5e9505(0x80f)](_0x16a016[_0x5e9505(0xde)](_0x5e9505(0x25f6),{'total':_0x49e316['selectedTriggerActions']['length']}))[_0x5e9505(0x4bd)](_0x5e9505(0x1db2))['targetEvent'](_0x4c5216)['ok']('OK')['cancel'](_0x16a016[_0x5e9505(0xde)]('APP.CANCEL'));_0x1cd5fd['show'](_0x14c575)[_0x5e9505(0x146b)](function(){const _0x185c2b=_0x5e9505;_0x49e316['selectedTriggerActions'][_0x185c2b(0x1df5)](function(_0x482c21){_0x539772(_0x482c21);}),_0x49e316[_0x185c2b(0x1405)]=[],_0x42d36d[_0x185c2b(0x1c75)]({'title':_0x16a016['instant'](_0x185c2b(0x1cd2))});});}let _0x4970ce=!![],_0x24a860=0x1;_0x29c134['$watch'](_0x4b881b(0x117f),function(_0x1b0cd,_0x11a946){const _0x3bd72a=_0x4b881b;_0x4970ce?_0x863a7d(function(){_0x4970ce=![];}):(!_0x11a946&&(_0x24a860=_0x49e316['query']['page']),_0x1b0cd!==_0x11a946&&(_0x49e316[_0x3bd72a(0x1a56)][_0x3bd72a(0x844)]=0x1),!_0x1b0cd&&(_0x49e316[_0x3bd72a(0x1a56)][_0x3bd72a(0x844)]=_0x24a860),_0x18f6dd());});}const _0xaf10e0=_0x210d7f;;_0x15a550[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),'$mdDialog',_0x313a4d(0x214b),_0x313a4d(0x1fe4),'actions',_0x313a4d(0x233c),'trigger',_0x313a4d(0x247f),_0x313a4d(0xa87),'license','setting',_0x313a4d(0x2514)];function _0x15a550(_0x2bfa5d,_0x3a260e,_0x481542,_0xb06a99,_0x2539db,_0x494905,_0x117dc4,_0x2af745,_0x3f2142,_0x2e4836,_0x359521,_0x49362b){const _0x512c30=_0x313a4d,_0x24276a=this;_0x24276a[_0x512c30(0x2321)]=_0x3f2142['getCurrentUser'](),_0x24276a['action']=angular[_0x512c30(0x235a)](_0x494905),_0x24276a[_0x512c30(0x713)]=angular[_0x512c30(0x235a)](_0x2539db),_0x24276a[_0x512c30(0x15b9)]=_0x359521,_0x24276a['license']=_0x2e4836,_0x24276a[_0x512c30(0x2514)]=_0x49362b,_0x24276a[_0x512c30(0x523)]=![],_0x24276a[_0x512c30(0xcef)]=[],_0x24276a['trigger']=angular[_0x512c30(0x235a)](_0x117dc4);!_0x24276a[_0x512c30(0x233c)]&&(_0x24276a['action']={},_0x24276a[_0x512c30(0x523)]=!![]);_0x24276a[_0x512c30(0x233c)][_0x512c30(0xeae)]=_0x2bfa5d[_0x512c30(0x16a)]['id']||undefined,_0x24276a[_0x512c30(0xb9b)]=['phone','mobile',_0x512c30(0x22d9)],_0x24276a[_0x512c30(0x133a)]=_0x50f4de,_0x24276a[_0x512c30(0x1c0c)]=_0xea1749,_0x24276a[_0x512c30(0x8ba)]=_0x29b57,_0x24276a[_0x512c30(0x14a)]=_0x11f131,_0x24276a['onIntegrationInit']=_0x38134c,_0x24276a['onSearchFieldsInit']=_0x706795,_0x24276a[_0x512c30(0x145a)]=_0x5b0a87,_0x24276a[_0x512c30(0x2608)]=_0x561ff2,_0x24276a[_0x512c30(0x2913)]=_0x3e33f9,_0x24276a[_0x512c30(0x123a)]=_0x3b7694,_0x24276a[_0x512c30(0x444)]=_0x2ec0ca,_0x24276a[_0x512c30(0x1b69)]=_0x1eb16d,_0x24276a[_0x512c30(0x13f3)]=_0x346166;function _0x11f131(){const _0x357c5f=_0x512c30;_0x3f2142['hasModulePermissions'](_0x357c5f(0x1e43))[_0x357c5f(0x146b)](function(_0x25f435){const _0x416b06=_0x357c5f;_0x24276a[_0x416b06(0x1244)]=_0x25f435;})[_0x357c5f(0x129e)](function(_0x412281){const _0x5e5fc4=_0x357c5f;_0xb06a99[_0x5e5fc4(0x1980)]({'title':_0x412281['status']?_0x5e5fc4(0x262a)+_0x412281['status']+_0x5e5fc4(0x1315)+_0x412281[_0x5e5fc4(0x167f)]:_0x5e5fc4(0x22e6),'msg':_0x412281[_0x5e5fc4(0x524)]?JSON[_0x5e5fc4(0x10bb)](_0x412281[_0x5e5fc4(0x524)]):_0x412281[_0x5e5fc4(0xd5f)]()});});if(_0x24276a[_0x357c5f(0x233c)][_0x357c5f(0x233c)])_0x4751a3();}function _0x38134c(){const _0x355a95=_0x512c30,_0x163b25=[0x515,0x516,0x517,0x519,0x51a,0x51b,0x51c,0x51d,0x51e,0x51f];_0x3f2142[_0x355a95(0x899)](_0x163b25)['then'](function(_0x348739){const _0x25a26d=_0x355a95;_0x24276a[_0x25a26d(0x1476)]=_0x3f65c0()[_0x25a26d(0x168d)](_0x24276a[_0x25a26d(0x1476)],_0x348739);})['catch'](function(_0x5a82fb){const _0x3b7bb7=_0x355a95;_0xb06a99[_0x3b7bb7(0x1980)]({'title':_0x5a82fb[_0x3b7bb7(0x107b)]?_0x3b7bb7(0x262a)+_0x5a82fb[_0x3b7bb7(0x107b)]+_0x3b7bb7(0x1315)+_0x5a82fb[_0x3b7bb7(0x167f)]:_0x3b7bb7(0x19f3),'msg':_0x5a82fb[_0x3b7bb7(0x524)]?JSON[_0x3b7bb7(0x10bb)](_0x5a82fb[_0x3b7bb7(0x524)]):_0x5a82fb[_0x3b7bb7(0xd5f)]()});});}function _0x706795(){const _0x133708=_0x512c30;if(!_0x24276a[_0x133708(0x523)])_0x4da42e();}function _0x47341f(){const _0x1ea581=_0x512c30;_0x3f2142[_0x1ea581(0x13ff)]()?_0x2af745[_0x1ea581(0xf03)][_0x1ea581(0x16b4)]({'fields':_0x1ea581(0x7a7),'sort':_0x1ea581(0x19eb),'nolimit':_0x1ea581(0x1185)})[_0x1ea581(0x2945)][_0x1ea581(0x146b)](function(_0x2926ed){const _0xcbf502=_0x1ea581;_0x24276a[_0xcbf502(0x1324)]=_0x2926ed[_0xcbf502(0x19c7)]||[];})['catch'](function(_0x37fc84){const _0x45896e=_0x1ea581;_0xb06a99[_0x45896e(0x1980)]({'title':_0x37fc84[_0x45896e(0x107b)]?_0x45896e(0x262a)+_0x37fc84['status']+_0x45896e(0x1315)+_0x37fc84[_0x45896e(0x167f)]:_0x45896e(0x11b3),'msg':_0x37fc84[_0x45896e(0x524)]?JSON[_0x45896e(0x10bb)](_0x37fc84['data']):_0x37fc84[_0x45896e(0xd5f)]()});}):_0x2af745[_0x1ea581(0xf03)][_0x1ea581(0x16b4)]({'fields':'id,name','sort':'name','nolimit':_0x1ea581(0x1185)})[_0x1ea581(0x2945)]['then'](function(_0x3d285f){const _0x13f8cf=_0x1ea581;_0x24276a[_0x13f8cf(0x1324)]=_0x3d285f['rows']||[];})[_0x1ea581(0x146b)](function(){const _0x52a19b=_0x1ea581;return _0x2af745[_0x52a19b(0x1366)][_0x52a19b(0x16b4)]({'userProfileId':_0x24276a[_0x52a19b(0x2321)]['userProfileId'],'sectionId':0x12d})[_0x52a19b(0x2945)];})['then'](function(_0x4aaa65){const _0x176487=_0x1ea581,_0x41b303=_0x4aaa65&&_0x4aaa65['rows']?_0x4aaa65['rows'][0x0]:null;if(!_0x41b303){const _0x470419=[],_0x8cffe8=[];_0x24276a['triggerActions']['rows'][_0x176487(0x1df5)](function(_0x2083d7){const _0x19fd8a=_0x176487;if(_0x2083d7[_0x19fd8a(0x233c)]==='contactManager'){const _0x260f4d=_0x3f65c0()[_0x19fd8a(0xc84)](_0x24276a[_0x19fd8a(0x1324)],{'id':Number(_0x2083d7[_0x19fd8a(0x1160)])});_0x8cffe8[_0x19fd8a(0x1f47)](_0x260f4d);}});for(let _0x167a76=0x0;_0x167a76<_0x24276a[_0x176487(0x1324)]['length'];_0x167a76++){const _0x19c9df=_0x3f65c0()['some'](_0x8cffe8,{'id':_0x24276a['lists'][_0x167a76]['id']});_0x19c9df&&(_0x24276a[_0x176487(0x1324)][_0x167a76][_0x176487(0x8ff)]=![],_0x470419[_0x176487(0x1f47)](_0x24276a[_0x176487(0x1324)][_0x167a76]));}_0x24276a[_0x176487(0x1324)]=_0x470419;}else{if(!_0x41b303[_0x176487(0x11d2)])return _0x2af745[_0x176487(0xdcc)]['get']({'sectionId':_0x41b303['id']})[_0x176487(0x2945)][_0x176487(0x146b)](function(_0x43062c){const _0x7a1ae4=_0x176487,_0x305c2b=_0x3f65c0()['map'](_0x43062c[_0x7a1ae4(0x19c7)],function(_0x230a6b){const _0x1c952c=_0x7a1ae4;return _0x3f65c0()[_0x1c952c(0xc84)](_0x24276a[_0x1c952c(0x1324)],{'id':_0x230a6b[_0x1c952c(0x18b8)]});});let _0x48b3dd=null;_0x48b3dd=[],_0x24276a[_0x7a1ae4(0x73d)][_0x7a1ae4(0x19c7)]['forEach'](function(_0x29d809){const _0x2eeaa6=_0x7a1ae4;if(_0x29d809[_0x2eeaa6(0x233c)]===_0x2eeaa6(0x1b3a)){const _0x31776c=_0x3f65c0()['find'](_0x24276a[_0x2eeaa6(0x1324)],{'id':Number(_0x29d809[_0x2eeaa6(0x1160)])});_0x48b3dd[_0x2eeaa6(0x1f47)](_0x31776c);}}),!_0x3f65c0()[_0x7a1ae4(0x2635)](_0x48b3dd)&&_0x48b3dd[_0x7a1ae4(0x1df5)](function(_0x125675){const _0x50cacc=_0x7a1ae4;if(!_0x3f65c0()['some'](_0x305c2b,['id',_0x125675['id']])){const _0x320fec=_0x3f65c0()[_0x50cacc(0xc84)](_0x24276a[_0x50cacc(0x1324)],{'id':_0x125675['id']});_0x320fec['canSelect']=![],_0x305c2b[_0x50cacc(0x1f47)](_0x320fec);}}),_0x24276a[_0x7a1ae4(0x1324)]=_0x305c2b;});}})['catch'](function(_0xf3963){const _0x38c8a8=_0x1ea581;_0xb06a99[_0x38c8a8(0x1980)]({'title':_0xf3963[_0x38c8a8(0x107b)]?_0x38c8a8(0x262a)+_0xf3963[_0x38c8a8(0x107b)]+_0x38c8a8(0x1315)+_0xf3963[_0x38c8a8(0x167f)]:_0x38c8a8(0x1a2f),'msg':_0xf3963[_0x38c8a8(0x524)]?JSON['stringify'](_0xf3963['data']):_0xf3963['toString']()});});}function _0x3ddf22(){const _0x4fd24a=_0x512c30;_0x2af745[_0x4fd24a(0x18c3)][_0x4fd24a(0x1ea2)]({})[_0x4fd24a(0x2945)][_0x4fd24a(0x146b)](function(_0x439923){const _0x4ba3f0=_0x4fd24a;_0x24276a[_0x4ba3f0(0x1cad)]=[],_0x24276a[_0x4ba3f0(0x10ba)]=[];const _0x1b05cb=_0x3f65c0()[_0x4ba3f0(0x81b)](_0x439923[_0x4ba3f0(0x2488)]());for(let _0x154d3d=0x0;_0x154d3d<_0x1b05cb[_0x4ba3f0(0x402)];_0x154d3d++){if(_0x3f65c0()[_0x4ba3f0(0xa4e)](_0x24276a[_0x4ba3f0(0xb9b)],_0x1b05cb[_0x154d3d][_0x4ba3f0(0x1e1d)]))_0x24276a[_0x4ba3f0(0x1cad)][_0x4ba3f0(0x1f47)](_0x1b05cb[_0x154d3d]);else{if(_0x3f65c0()[_0x4ba3f0(0xd4d)](_0x1b05cb[_0x154d3d][_0x4ba3f0(0x1e1d)],_0x4ba3f0(0x21ef))){if(_0x1b05cb[_0x154d3d][_0x4ba3f0(0x1802)][_0x4ba3f0(0x1142)]===_0x4ba3f0(0xa8d))_0x24276a[_0x4ba3f0(0x10ba)][_0x4ba3f0(0x1f47)](_0x1b05cb[_0x154d3d]);}}}})[_0x4fd24a(0x129e)](function(_0x4bfca0){const _0x1f0977=_0x4fd24a;_0xb06a99[_0x1f0977(0x1980)]({'title':_0x4bfca0[_0x1f0977(0x107b)]?_0x1f0977(0x262a)+_0x4bfca0['status']+_0x1f0977(0x1315)+_0x4bfca0[_0x1f0977(0x167f)]:_0x1f0977(0x1d5),'msg':_0x4bfca0[_0x1f0977(0x524)]?JSON['stringify'](_0x4bfca0[_0x1f0977(0x524)]):_0x4bfca0[_0x1f0977(0xd5f)]()});});}function _0x4da42e(){const _0x525ec2=_0x512c30;_0x2af745[_0x525ec2(0xf03)][_0x525ec2(0x2328)]({'id':_0x24276a[_0x525ec2(0x233c)]['data1'],'ListId':_0x24276a[_0x525ec2(0x233c)]['data1']})[_0x525ec2(0x2945)][_0x525ec2(0x146b)](function(_0x25219b){const _0x28007c=_0x525ec2;_0x24276a[_0x28007c(0x7dd)]=_0x3f65c0()['filter'](_0x25219b['rows'],['type','text']),_0x24276a[_0x28007c(0x7dd)][_0x28007c(0x1df5)](function(_0x187f54){const _0x38b8f8=_0x28007c;_0x187f54['columnName']=_0x38b8f8(0x21ef)+_0x187f54['id'];});})[_0x525ec2(0x129e)](function(_0x2d29bd){const _0x3f0322=_0x525ec2;_0xb06a99[_0x3f0322(0x1980)]({'title':_0x2d29bd['status']?_0x3f0322(0x262a)+_0x2d29bd[_0x3f0322(0x107b)]+'\x20-\x20'+_0x2d29bd['statusText']:_0x3f0322(0x208e),'msg':_0x2d29bd[_0x3f0322(0x524)]?JSON[_0x3f0322(0x10bb)](_0x2d29bd['data']):_0x2d29bd[_0x3f0322(0xd5f)]()});});}function _0x1b267e(){const _0x36d49f=_0x512c30;_0x3f2142[_0x36d49f(0x13ff)]()?_0x2af745[_0x36d49f(0x20c8)][_0x36d49f(0x16b4)]({'fields':_0x36d49f(0x7a7),'sort':_0x36d49f(0x19eb),'nolimit':'true'})['$promise'][_0x36d49f(0x146b)](function(_0x4480e9){const _0x46b308=_0x36d49f;_0x24276a[_0x46b308(0x1991)]=_0x4480e9[_0x46b308(0x19c7)]||[];})[_0x36d49f(0x129e)](function(_0x4eaf36){const _0x41433d=_0x36d49f;_0xb06a99[_0x41433d(0x1980)]({'title':_0x4eaf36[_0x41433d(0x107b)]?_0x41433d(0x262a)+_0x4eaf36[_0x41433d(0x107b)]+_0x41433d(0x1315)+_0x4eaf36[_0x41433d(0x167f)]:_0x41433d(0x1764),'msg':_0x4eaf36[_0x41433d(0x524)]?JSON[_0x41433d(0x10bb)](_0x4eaf36[_0x41433d(0x524)]):_0x4eaf36[_0x41433d(0xd5f)]()});}):_0x2af745[_0x36d49f(0x20c8)][_0x36d49f(0x16b4)]({'fields':_0x36d49f(0x7a7),'sort':_0x36d49f(0x19eb),'nolimit':_0x36d49f(0x1185)})['$promise']['then'](function(_0x3a178c){const _0x2474fa=_0x36d49f;_0x24276a[_0x2474fa(0x1991)]=_0x3a178c[_0x2474fa(0x19c7)]||[];})[_0x36d49f(0x146b)](function(){const _0xa9f2ef=_0x36d49f;return _0x2af745[_0xa9f2ef(0x1366)][_0xa9f2ef(0x16b4)]({'userProfileId':_0x24276a[_0xa9f2ef(0x2321)][_0xa9f2ef(0x209a)],'sectionId':0x6a5})[_0xa9f2ef(0x2945)];})[_0x36d49f(0x146b)](function(_0x3b2539){const _0x70ee78=_0x36d49f,_0x1547c2=_0x3b2539&&_0x3b2539['rows']?_0x3b2539[_0x70ee78(0x19c7)][0x0]:null;if(!_0x1547c2){const _0x3813b4=[],_0x449dd6=[];_0x24276a[_0x70ee78(0x73d)][_0x70ee78(0x19c7)][_0x70ee78(0x1df5)](function(_0x410dab){const _0x2d1ad3=_0x70ee78;if(_0x410dab['action']===_0x2d1ad3(0x1640)){const _0x3c8a93=_0x3f65c0()[_0x2d1ad3(0xc84)](_0x24276a[_0x2d1ad3(0x1991)],{'id':Number(_0x410dab[_0x2d1ad3(0x1160)])});_0x449dd6[_0x2d1ad3(0x1f47)](_0x3c8a93);}});for(let _0x47f0b4=0x0;_0x47f0b4<_0x24276a['projects']['length'];_0x47f0b4++){const _0x3b16e2=_0x3f65c0()[_0x70ee78(0x1360)](_0x449dd6,{'id':_0x24276a[_0x70ee78(0x1991)][_0x47f0b4]['id']});_0x3b16e2&&(_0x24276a[_0x70ee78(0x1991)][_0x47f0b4][_0x70ee78(0x8ff)]=![],_0x3813b4[_0x70ee78(0x1f47)](_0x24276a[_0x70ee78(0x1991)][_0x47f0b4]));}_0x24276a[_0x70ee78(0x1991)]=_0x3813b4;}else{if(!_0x1547c2[_0x70ee78(0x11d2)])return _0x2af745[_0x70ee78(0xdcc)]['get']({'sectionId':_0x1547c2['id']})['$promise'][_0x70ee78(0x146b)](function(_0x39bd0c){const _0x3c53a2=_0x70ee78,_0x1fe6ef=_0x3f65c0()[_0x3c53a2(0x205)](_0x39bd0c[_0x3c53a2(0x19c7)],function(_0x38e04f){const _0x230220=_0x3c53a2;return _0x3f65c0()[_0x230220(0xc84)](_0x24276a[_0x230220(0x1991)],{'id':_0x38e04f[_0x230220(0x18b8)]});});let _0x33e8c4=null;_0x33e8c4=[],_0x24276a['triggerActions'][_0x3c53a2(0x19c7)][_0x3c53a2(0x1df5)](function(_0x3bc905){const _0x11b35c=_0x3c53a2;if(_0x3bc905[_0x11b35c(0x233c)]===_0x11b35c(0x1640)){const _0x21e52c=_0x3f65c0()['find'](_0x24276a[_0x11b35c(0x1991)],{'id':Number(_0x3bc905[_0x11b35c(0x1160)])});_0x33e8c4[_0x11b35c(0x1f47)](_0x21e52c);}}),!_0x3f65c0()[_0x3c53a2(0x2635)](_0x33e8c4)&&_0x33e8c4[_0x3c53a2(0x1df5)](function(_0x4428bc){const _0x5a6a8a=_0x3c53a2;if(!_0x3f65c0()[_0x5a6a8a(0x1360)](_0x1fe6ef,['id',_0x4428bc['id']])){const _0x3a00f1=_0x3f65c0()[_0x5a6a8a(0xc84)](_0x24276a[_0x5a6a8a(0x1991)],{'id':_0x4428bc['id']});_0x3a00f1[_0x5a6a8a(0x8ff)]=![],_0x1fe6ef[_0x5a6a8a(0x1f47)](_0x3a00f1);}}),_0x24276a[_0x3c53a2(0x1991)]=_0x1fe6ef;});}})[_0x36d49f(0x129e)](function(_0x14b8ee){const _0x49b4db=_0x36d49f;_0xb06a99[_0x49b4db(0x1980)]({'title':_0x14b8ee['status']?'API:'+_0x14b8ee[_0x49b4db(0x107b)]+_0x49b4db(0x1315)+_0x14b8ee[_0x49b4db(0x167f)]:_0x49b4db(0x193b),'msg':_0x14b8ee[_0x49b4db(0x524)]?JSON[_0x49b4db(0x10bb)](_0x14b8ee['data']):_0x14b8ee['toString']()});});}function _0x3e9f6c(){const _0x5e828c=_0x512c30;_0x3f2142[_0x5e828c(0x13ff)]()?_0x2af745[_0x5e828c(0x1928)][_0x5e828c(0x16b4)]({'fields':_0x5e828c(0x7a7),'sort':'id','nolimit':_0x5e828c(0x1185)})[_0x5e828c(0x2945)][_0x5e828c(0x146b)](function(_0x7476cf){const _0x531b5a=_0x5e828c;_0x24276a[_0x531b5a(0x2841)]=_0x7476cf[_0x531b5a(0x19c7)]||[];})['catch'](function(_0x5d60f1){const _0x4e744c=_0x5e828c;_0xb06a99[_0x4e744c(0x1980)]({'title':_0x5d60f1[_0x4e744c(0x107b)]?'API:'+_0x5d60f1[_0x4e744c(0x107b)]+_0x4e744c(0x1315)+_0x5d60f1[_0x4e744c(0x167f)]:_0x4e744c(0x47b),'msg':_0x5d60f1['data']?JSON['stringify'](_0x5d60f1[_0x4e744c(0x524)]):_0x5d60f1[_0x4e744c(0xd5f)]()});}):_0x2af745[_0x5e828c(0x1928)][_0x5e828c(0x16b4)]({'fields':_0x5e828c(0x7a7),'sort':'id','nolimit':'true'})[_0x5e828c(0x2945)][_0x5e828c(0x146b)](function(_0x43d1ad){const _0x10ca8a=_0x5e828c;_0x24276a[_0x10ca8a(0x2841)]=_0x43d1ad[_0x10ca8a(0x19c7)]||[];})['then'](function(){const _0x7ea5c=_0x5e828c;return _0x2af745[_0x7ea5c(0x1366)]['get']({'userProfileId':_0x24276a['currentUser'][_0x7ea5c(0x209a)],'sectionId':0x3f1})[_0x7ea5c(0x2945)];})['then'](function(_0x5a085e){const _0x52e1c9=_0x5e828c,_0x5679cc=_0x5a085e&&_0x5a085e[_0x52e1c9(0x19c7)]?_0x5a085e[_0x52e1c9(0x19c7)][0x0]:null;if(!_0x5679cc){const _0x3c88d3=[],_0x233942=[];_0x24276a[_0x52e1c9(0x73d)][_0x52e1c9(0x19c7)][_0x52e1c9(0x1df5)](function(_0x2c03c4){const _0x6f3380=_0x52e1c9;if(_0x3f65c0()['includes'](['motionbar',_0x6f3380(0x1506)],_0x2c03c4['action'])){const _0xf9350c=_0x3f65c0()[_0x6f3380(0xc84)](_0x24276a['templates'],{'id':Number(_0x2c03c4[_0x6f3380(0x1ff9)])});_0x233942[_0x6f3380(0x1f47)](_0xf9350c);}});for(let _0x5828c4=0x0;_0x5828c4<_0x24276a[_0x52e1c9(0x2841)][_0x52e1c9(0x402)];_0x5828c4++){const _0x5db666=_0x3f65c0()[_0x52e1c9(0x1360)](_0x233942,{'id':_0x24276a['templates'][_0x5828c4]['id']});_0x5db666&&(_0x24276a[_0x52e1c9(0x2841)][_0x5828c4]['canSelect']=![],_0x3c88d3['push'](_0x24276a[_0x52e1c9(0x2841)][_0x5828c4]));}_0x24276a[_0x52e1c9(0x2841)]=_0x3c88d3;}else{if(!_0x5679cc[_0x52e1c9(0x11d2)])return _0x2af745['userProfileResource'][_0x52e1c9(0x16b4)]({'sectionId':_0x5679cc['id']})[_0x52e1c9(0x2945)]['then'](function(_0x42ecd8){const _0x2021c5=_0x52e1c9,_0x6f9d02=_0x3f65c0()[_0x2021c5(0x205)](_0x42ecd8[_0x2021c5(0x19c7)],function(_0x2b49bb){const _0x26700c=_0x2021c5;return _0x3f65c0()[_0x26700c(0xc84)](_0x24276a[_0x26700c(0x2841)],{'id':_0x2b49bb[_0x26700c(0x18b8)]});});let _0x2eab98=null;_0x2eab98=[],_0x24276a[_0x2021c5(0x73d)]['rows']['forEach'](function(_0x3af42f){const _0x248956=_0x2021c5;if(_0x3f65c0()[_0x248956(0xa4e)]([_0x248956(0x13b6),_0x248956(0x1506)],_0x3af42f[_0x248956(0x233c)])){const _0xd6f554=_0x3f65c0()[_0x248956(0xc84)](_0x24276a[_0x248956(0x2841)],{'id':Number(_0x3af42f[_0x248956(0x1ff9)])});_0x2eab98[_0x248956(0x1f47)](_0xd6f554);}}),!_0x3f65c0()[_0x2021c5(0x2635)](_0x2eab98)&&_0x2eab98[_0x2021c5(0x1df5)](function(_0x8425d7){const _0x110a7e=_0x2021c5;if(!_0x3f65c0()[_0x110a7e(0x1360)](_0x6f9d02,['id',_0x8425d7['id']])){const _0xedc441=_0x3f65c0()[_0x110a7e(0xc84)](_0x24276a[_0x110a7e(0x2841)],{'id':_0x8425d7['id']});_0xedc441[_0x110a7e(0x8ff)]=![],_0x6f9d02[_0x110a7e(0x1f47)](_0xedc441);}}),_0x24276a[_0x2021c5(0x2841)]=_0x6f9d02;});}})[_0x5e828c(0x129e)](function(_0x4000c0){const _0x40bc5c=_0x5e828c;_0xb06a99[_0x40bc5c(0x1980)]({'title':_0x4000c0['status']?_0x40bc5c(0x262a)+_0x4000c0[_0x40bc5c(0x107b)]+'\x20-\x20'+_0x4000c0[_0x40bc5c(0x167f)]:_0x40bc5c(0x47b),'msg':_0x4000c0[_0x40bc5c(0x524)]?JSON[_0x40bc5c(0x10bb)](_0x4000c0['data']):_0x4000c0[_0x40bc5c(0xd5f)]()});});}function _0x593d1a(){const _0x1203be=_0x512c30;switch(_0x24276a[_0x1203be(0x233c)][_0x1203be(0x1160)]){case _0x1203be(0xe02):return _0x24276a['hasSectionPermissions'][0x515];case _0x1203be(0x1b53):return _0x24276a[_0x1203be(0x1476)][0x516];case _0x1203be(0x49f):return _0x24276a[_0x1203be(0x1476)][0x519];case _0x1203be(0x122f):return _0x24276a['hasSectionPermissions'][0x517];case'desk':return _0x24276a[_0x1203be(0x1476)][0x51a];case'zoho':return _0x24276a[_0x1203be(0x1476)][0x51b];case _0x1203be(0x171c):return _0x24276a[_0x1203be(0x1476)][0x51c];case _0x1203be(0x255f):return _0x24276a[_0x1203be(0x1476)][0x51d];case _0x1203be(0x7ef):return _0x24276a[_0x1203be(0x1476)][0x51e];case'freshsales':return _0x24276a['hasSectionPermissions'][0x51f];}}function _0x3d3206(){const _0xeb6e59=_0x512c30;_0x24276a[_0xeb6e59(0x233c)][_0xeb6e59(0x1160)]=undefined,_0x24276a['action'][_0xeb6e59(0x1ff9)]=undefined,_0x24276a[_0xeb6e59(0x233c)][_0xeb6e59(0x909)]=undefined,_0x24276a[_0xeb6e59(0x233c)]['data4']=undefined,_0x24276a['action']['data5']=_0x24276a[_0xeb6e59(0x233c)][_0xeb6e59(0x233c)]===_0xeb6e59(0x1a5c)?0x5:undefined;}function _0x4751a3(){const _0x8a6442=_0x512c30;switch(_0x24276a[_0x8a6442(0x233c)][_0x8a6442(0x233c)]){case _0x8a6442(0x1b3a):if(!_0x24276a[_0x8a6442(0x1324)])_0x47341f();if(!_0x24276a[_0x8a6442(0x1cad)])_0x3ddf22();_0x24276a[_0x8a6442(0x233c)][_0x8a6442(0x909)]=_0x24276a[_0x8a6442(0x233c)][_0x8a6442(0x909)]?_0x24276a[_0x8a6442(0x233c)]['data3']['split'](','):['phone'];if(_0x24276a[_0x8a6442(0x233c)][_0x8a6442(0x1ff9)]==='1'&&!_0x24276a[_0x8a6442(0x233c)]['data4'])_0x24276a[_0x8a6442(0x233c)]['data4']=_0x8a6442(0x1d55);break;case'jscripty':if(!_0x24276a['projects'])_0x1b267e();break;case _0x8a6442(0x1a5c):if(!_0x24276a[_0x8a6442(0x233c)][_0x8a6442(0x27c5)])_0x24276a[_0x8a6442(0x233c)]['data5']=0x5;break;}}function _0x5b0a87(){_0x3d3206(),_0x4751a3();}function _0x561ff2(){const _0x52c706=_0x512c30;_0x24276a[_0x52c706(0x233c)][_0x52c706(0x1ff9)]=undefined,_0x24276a[_0x52c706(0x233c)][_0x52c706(0x909)]=undefined,_0x24276a[_0x52c706(0x233c)]['isIntegrationDisabled']=_0x24276a['newAction']?![]:!_0x593d1a();const _0x3c9fc1=_0x52c706(0x81c)+_0x3f65c0()['capitalize'](_0x24276a[_0x52c706(0x233c)]['data1'])+_0x52c706(0xb48);_0x2af745[_0x3c9fc1][_0x52c706(0x16b4)]({'fields':_0x52c706(0x7a7),'sort':'id','nolimit':_0x52c706(0x1185)})[_0x52c706(0x2945)][_0x52c706(0x146b)](function(_0x5b1e43){const _0x4912c5=_0x52c706;_0x24276a['accounts']=_0x5b1e43[_0x4912c5(0x19c7)]||[];})[_0x52c706(0x129e)](function(_0x481886){const _0x4781b6=_0x52c706;_0xb06a99[_0x4781b6(0x1980)]({'title':_0x481886['status']?_0x4781b6(0x262a)+_0x481886[_0x4781b6(0x107b)]+_0x4781b6(0x1315)+_0x481886['statusText']:'SYSTEM:GET_'+_0x24276a[_0x4781b6(0x233c)][_0x4781b6(0x1160)][_0x4781b6(0x1c37)]()+'ACCOUNTS','msg':_0x481886['data']?JSON['stringify'](_0x481886['data']):_0x481886['toString']()});});}function _0x3e33f9(){_0x4da42e();}function _0x3b7694(){const _0x2aca93=_0x512c30;if(_0x24276a[_0x2aca93(0x233c)][_0x2aca93(0x1160)]==='0'&&!_0x24276a[_0x2aca93(0x2841)])_0x3e9f6c();}function _0x2ec0ca(){const _0x5e4aac=_0x512c30;if(_0x24276a[_0x5e4aac(0x233c)][_0x5e4aac(0x1ff9)]==='0')_0x24276a[_0x5e4aac(0x233c)][_0x5e4aac(0xfe0)]=undefined;if(!_0x24276a[_0x5e4aac(0x233c)][_0x5e4aac(0xfe0)]&&_0x24276a['action'][_0x5e4aac(0x1ff9)]==='1')_0x24276a[_0x5e4aac(0x233c)][_0x5e4aac(0xfe0)]=_0x5e4aac(0x1d55);}function _0x1eb16d(){const _0x5a2d48=_0x512c30;_0x24276a[_0x5a2d48(0x233c)][_0x5a2d48(0x909)]=undefined;const _0x1af1b3=_0x5a2d48(0x81c)+_0x3f65c0()[_0x5a2d48(0x83f)](_0x24276a[_0x5a2d48(0x233c)]['data1'])+_0x5a2d48(0x226e);_0x2af745[_0x1af1b3][_0x5a2d48(0x16b4)]({'fields':_0x5a2d48(0x971),'sort':'id','nolimit':_0x5a2d48(0x1185)})[_0x5a2d48(0x2945)][_0x5a2d48(0x146b)](function(_0x2f059b){const _0x5cff3e=_0x5a2d48;_0x24276a[_0x5cff3e(0x1723)]=_0x2f059b[_0x5cff3e(0x19c7)]||[];})[_0x5a2d48(0x129e)](function(_0x5280e0){const _0xb25b87=_0x5a2d48;_0xb06a99[_0xb25b87(0x1980)]({'title':_0x5280e0['status']?_0xb25b87(0x262a)+_0x5280e0[_0xb25b87(0x107b)]+_0xb25b87(0x1315)+_0x5280e0[_0xb25b87(0x167f)]:_0xb25b87(0x290e)+_0x24276a['action'][_0xb25b87(0x1160)]['toUpperCase']()+_0xb25b87(0x1fdd),'msg':_0x5280e0[_0xb25b87(0x524)]?JSON[_0xb25b87(0x10bb)](_0x5280e0[_0xb25b87(0x524)]):_0x5280e0['toString']()});});}function _0x5e6821(){const _0x2580bc=_0x512c30,_0x3c31d2=angular[_0x2580bc(0x235a)](_0x24276a[_0x2580bc(0x233c)]);if(_0x3f65c0()[_0x2580bc(0x1bbb)](_0x3c31d2['data3']))_0x3c31d2['data3']=_0x24276a[_0x2580bc(0x233c)]['data3'][_0x2580bc(0xb47)](',');return _0x3c31d2;}function _0x50f4de(){const _0x4895cb=_0x512c30;_0x24276a[_0x4895cb(0xcef)]=[];const _0x69a9df=_0x5e6821();_0x2af745['trigger'][_0x4895cb(0x99d)]({'id':_0x2bfa5d['params']['id']},_0x69a9df)[_0x4895cb(0x2945)]['then'](function(_0xa5a048){const _0x108b95=_0x4895cb;_0x24276a[_0x108b95(0x713)]['unshift'](_0xa5a048[_0x108b95(0x2488)]()),_0xb06a99[_0x108b95(0x1c75)]({'title':_0x481542[_0x108b95(0xde)](_0x108b95(0x2478))}),_0x346166(_0x24276a[_0x108b95(0x713)]);})[_0x4895cb(0x129e)](function(_0x30ebe8){const _0x19fbcd=_0x4895cb;if(_0x30ebe8[_0x19fbcd(0x524)]&&_0x30ebe8['data'][_0x19fbcd(0xcef)]&&_0x30ebe8[_0x19fbcd(0x524)][_0x19fbcd(0xcef)][_0x19fbcd(0x402)]){_0x24276a[_0x19fbcd(0xcef)]=_0x30ebe8['data'][_0x19fbcd(0xcef)]||[{'message':_0x30ebe8[_0x19fbcd(0xd5f)](),'type':_0x19fbcd(0x1116)}];for(let _0x32012e=0x0;_0x32012e<_0x30ebe8['data'][_0x19fbcd(0xcef)][_0x19fbcd(0x402)];_0x32012e+=0x1){_0xb06a99[_0x19fbcd(0x1980)]({'title':_0x30ebe8['data'][_0x19fbcd(0xcef)][_0x32012e][_0x19fbcd(0x1142)],'msg':_0x30ebe8[_0x19fbcd(0x524)][_0x19fbcd(0xcef)][_0x32012e][_0x19fbcd(0x7fd)]});}}else _0xb06a99[_0x19fbcd(0x1980)]({'title':_0x30ebe8[_0x19fbcd(0x107b)]?'API:'+_0x30ebe8['status']+'\x20-\x20'+_0x30ebe8[_0x19fbcd(0x167f)]:'api.action.save','msg':_0x30ebe8[_0x19fbcd(0x524)]?JSON[_0x19fbcd(0x10bb)](_0x30ebe8[_0x19fbcd(0x524)][_0x19fbcd(0x7fd)]):_0x30ebe8[_0x19fbcd(0xd5f)]()});});}function _0xea1749(){const _0x8d4bd5=_0x512c30;_0x24276a['errors']=[];const _0x58df3b=_0x5e6821();_0x2af745[_0x8d4bd5(0x233c)][_0x8d4bd5(0x18e1)]({'id':_0x58df3b['id']},_0x58df3b)[_0x8d4bd5(0x2945)][_0x8d4bd5(0x146b)](function(){const _0xf87485=_0x8d4bd5;_0x24276a['actions']=_0x3f65c0()[_0xf87485(0x205)](_0x24276a[_0xf87485(0x713)],function(_0x30bfe2){if(_0x30bfe2['id']===_0x58df3b['id'])return _0x58df3b;else return _0x30bfe2;}),_0xb06a99['success']({'title':_0x481542[_0xf87485(0xde)](_0xf87485(0x1fb0))}),_0x346166(_0x24276a['actions']);})[_0x8d4bd5(0x129e)](function(_0x2e0340){const _0x3dd5e4=_0x8d4bd5;if(_0x2e0340[_0x3dd5e4(0x524)]&&_0x2e0340[_0x3dd5e4(0x524)][_0x3dd5e4(0xcef)]&&_0x2e0340[_0x3dd5e4(0x524)][_0x3dd5e4(0xcef)][_0x3dd5e4(0x402)]){_0x24276a[_0x3dd5e4(0xcef)]=_0x2e0340['data'][_0x3dd5e4(0xcef)]||[{'message':_0x2e0340[_0x3dd5e4(0xd5f)](),'type':_0x3dd5e4(0x2aa)}];for(let _0x2d70ff=0x0;_0x2d70ff<_0x2e0340[_0x3dd5e4(0x524)][_0x3dd5e4(0xcef)]['length'];_0x2d70ff++){_0xb06a99[_0x3dd5e4(0x1980)]({'title':_0x2e0340[_0x3dd5e4(0x524)][_0x3dd5e4(0xcef)][_0x2d70ff][_0x3dd5e4(0x1142)],'msg':_0x2e0340[_0x3dd5e4(0x524)]['errors'][_0x2d70ff]['message']});}}else _0xb06a99[_0x3dd5e4(0x1980)]({'title':_0x2e0340[_0x3dd5e4(0x107b)]?'API:'+_0x2e0340[_0x3dd5e4(0x107b)]+_0x3dd5e4(0x1315)+_0x2e0340[_0x3dd5e4(0x167f)]:_0x3dd5e4(0x2aa),'msg':_0x2e0340[_0x3dd5e4(0x524)]?JSON[_0x3dd5e4(0x10bb)](_0x2e0340[_0x3dd5e4(0x524)][_0x3dd5e4(0x7fd)]):_0x2e0340[_0x3dd5e4(0xd5f)]()});});}function _0x29b57(_0xafd34a){const _0x4657e4=_0x512c30;_0x24276a[_0x4657e4(0xcef)]=[];const _0x378160=_0x3a260e['confirm']({'skipHide':!![]})[_0x4657e4(0x1189)](_0x481542[_0x4657e4(0xde)](_0x4657e4(0x1b9)))['ariaLabel']('Delete\x20action')['ok'](_0x481542['instant']('APP.DELETE'))[_0x4657e4(0x6c3)](_0x481542[_0x4657e4(0xde)]('APP.CANCEL'))['targetEvent'](_0xafd34a);_0x3a260e[_0x4657e4(0x2615)](_0x378160)[_0x4657e4(0x146b)](function(){const _0x3a5179=_0x4657e4;_0x2af745[_0x3a5179(0x233c)][_0x3a5179(0x1fac)]({'id':_0x24276a[_0x3a5179(0x233c)]['id']})[_0x3a5179(0x2945)][_0x3a5179(0x146b)](function(){const _0x277a9e=_0x3a5179;_0x24276a[_0x277a9e(0x713)]=_0x3f65c0()[_0x277a9e(0x1dd6)](_0x24276a['actions'],function(_0xc12d7a){const _0x4e8df9=_0x277a9e;return _0xc12d7a['id']!==_0x24276a[_0x4e8df9(0x233c)]['id'];}),_0xb06a99[_0x277a9e(0x1c75)]({'title':_0x481542[_0x277a9e(0xde)](_0x277a9e(0x1cea))}),_0x346166(_0x24276a[_0x277a9e(0x713)]);})['catch'](function(_0x10dfd7){const _0x4c1bee=_0x3a5179;if(_0x10dfd7['data']&&_0x10dfd7[_0x4c1bee(0x524)]['errors']&&_0x10dfd7[_0x4c1bee(0x524)][_0x4c1bee(0xcef)][_0x4c1bee(0x402)]){_0x24276a['errors']=_0x10dfd7[_0x4c1bee(0x524)][_0x4c1bee(0xcef)]||[{'message':_0x10dfd7[_0x4c1bee(0xd5f)](),'type':_0x4c1bee(0x26d)}];for(let _0x8e8340=0x0;_0x8e8340<_0x10dfd7[_0x4c1bee(0x524)][_0x4c1bee(0xcef)][_0x4c1bee(0x402)];_0x8e8340++){_0xb06a99[_0x4c1bee(0x1980)]({'title':_0x10dfd7[_0x4c1bee(0x524)][_0x4c1bee(0xcef)][_0x8e8340][_0x4c1bee(0x1142)],'msg':_0x10dfd7['data'][_0x4c1bee(0xcef)][_0x8e8340]['message']});}}else _0xb06a99['error']({'title':_0x10dfd7[_0x4c1bee(0x107b)]?_0x4c1bee(0x262a)+_0x10dfd7[_0x4c1bee(0x107b)]+'\x20-\x20'+_0x10dfd7[_0x4c1bee(0x167f)]:'api.action.delete','msg':_0x10dfd7[_0x4c1bee(0x524)]?JSON[_0x4c1bee(0x10bb)](_0x10dfd7[_0x4c1bee(0x524)][_0x4c1bee(0x7fd)]):_0x10dfd7[_0x4c1bee(0x7fd)]||_0x10dfd7[_0x4c1bee(0xd5f)]()});});},function(){});}function _0x346166(_0x529fa9){const _0x41c597=_0x512c30;_0x3a260e[_0x41c597(0x2458)](_0x529fa9);}}const _0x424422=_0x15a550;;const _0x3014b1=_0x4acfac['p']+_0x313a4d(0x15cf);;_0x3f02f5['$inject']=[_0x313a4d(0x910),'$q',_0x313a4d(0x214b),_0x313a4d(0x1abe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1fe4),'api','Auth'];function _0x3f02f5(_0x1ca216,_0x216c3b,_0x435ded,_0xef94a5,_0x48b763,_0x1a71ed,_0x4ee799,_0x88022b,_0x18ef60){const _0x1f4398=_0x313a4d,_0x5b4ed0=this;_0x5b4ed0[_0x1f4398(0x2321)]=_0x18ef60[_0x1f4398(0xb12)](),_0x5b4ed0[_0x1f4398(0xd57)]={},_0x5b4ed0[_0x1f4398(0x162c)]={'count':0x0,'rows':[]},_0x5b4ed0['selectedTriggerAllConditions']=[],_0x5b4ed0[_0x1f4398(0x1a56)]={'fields':_0x1f4398(0x261),'limit':0xa,'page':0x1},_0x5b4ed0[_0x1f4398(0x2867)]={'queue':_0x1f4398(0xe26),'lastevent':'Call_Status','routeId':_0x1f4398(0x1a8f),'agent':_0x1f4398(0x294a),'account':_0x1f4398(0xb48),'interaction':_0x1f4398(0x2297),'message':_0x1f4398(0xe2)},_0x5b4ed0[_0x1f4398(0x147)]={'abandoned':'Abandon','timeout':_0x1f4398(0x5cc),'complete':'Hangup','rejected':_0x1f4398(0x257d),'called':_0x1f4398(0x218f),'connect':_0x1f4398(0x21c0),'nosuchnumber':_0x1f4398(0x1961),'busy':_0x1f4398(0x22ae),'noanswer':_0x1f4398(0xcf6),'congestion':_0x1f4398(0x18a3),'agentreject':_0x1f4398(0x1eb)},_0x5b4ed0[_0x1f4398(0x12d6)]={'in':_0x1f4398(0x2496),'out':_0x1f4398(0x13c9),'accept':_0x1f4398(0xbf6),'reject':_0x1f4398(0x27f6)},_0x5b4ed0[_0x1f4398(0x3d4)]=_0x5f0f21,_0x5b4ed0[_0x1f4398(0x1c75)]=_0x4d70de,_0x5b4ed0[_0x1f4398(0x273b)]=_0x21d0d6,_0x5b4ed0[_0x1f4398(0x26e9)]=_0x3c3f2f,_0x5b4ed0[_0x1f4398(0x2734)]=_0x47d59f,_0x5b4ed0[_0x1f4398(0x19b4)]=_0x26b3ce,_0x5b4ed0['deleteSelectedTriggerAllConditions']=_0x417017;function _0x5f0f21(_0x407e67,_0x30d7f3){const _0x35dbfa=_0x1f4398;_0x5b4ed0[_0x35dbfa(0xd57)]=_0x407e67,_0x5b4ed0[_0x35dbfa(0x2514)]=typeof _0x30d7f3!==_0x35dbfa(0x2274)?_0x30d7f3:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x5b4ed0[_0x35dbfa(0x1a56)][_0x35dbfa(0x34b)]=_0x5b4ed0['trigger']['id'],_0x5b4ed0[_0x35dbfa(0x1a56)]['id']=_0x5b4ed0['trigger']['id'],_0x21d0d6();}function _0x2ed4c9(){const _0x20bd25=_0x1f4398,_0x7cc115=_0x5b4ed0[_0x20bd25(0xd57)]['channel'],_0x516da8=_0x5b4ed0[_0x20bd25(0xd57)]['channel']+_0x5b4ed0[_0x20bd25(0xd57)]['channel']===_0x20bd25(0xa7f)?_0x20bd25(0x1444):_0x20bd25(0x1164),_0x585faa=_0x5b4ed0[_0x20bd25(0xd57)][_0x20bd25(0x2894)]+(_0x5b4ed0['trigger']['channel']===_0x20bd25(0xa7f)?_0x20bd25(0x27f4):_0x20bd25(0xb48));let _0x14df0e;switch(_0x5b4ed0[_0x20bd25(0xd57)]['channel']){case _0x20bd25(0xa7f):_0x14df0e=0x1f6;break;case _0x20bd25(0x22d9):_0x14df0e=0x386;break;case _0x20bd25(0x56b):_0x14df0e=0x25a;break;case _0x20bd25(0x7d9):_0x14df0e=0x322;break;case _0x20bd25(0x929):_0x14df0e=0x2be;break;case _0x20bd25(0xff9):_0x14df0e=0x836;break;}_0x18ef60[_0x20bd25(0x13ff)]()?_0x88022b[_0x585faa]['get']({'fields':_0x20bd25(0x7a7),'sort':'id','nolimit':_0x20bd25(0x1185)})[_0x20bd25(0x2945)]['then'](function(_0x57ad4c){_0x5b4ed0[_0x516da8]=_0x57ad4c['rows']||[];})['catch'](function(_0x4d3194){const _0x44b533=_0x20bd25;_0x4ee799[_0x44b533(0x1980)]({'title':_0x4d3194[_0x44b533(0x107b)]?_0x44b533(0x262a)+_0x4d3194[_0x44b533(0x107b)]+'\x20-\x20'+_0x4d3194[_0x44b533(0x167f)]:_0x44b533(0x290e)+_0x7cc115[_0x44b533(0x1c37)]()+_0x7cc115===_0x44b533(0xa7f)?_0x44b533(0x1256):_0x44b533(0x78e),'msg':_0x4d3194[_0x44b533(0x524)]?JSON[_0x44b533(0x10bb)](_0x4d3194['data']):_0x4d3194[_0x44b533(0xd5f)]()});}):_0x88022b[_0x585faa][_0x20bd25(0x16b4)]({'fields':_0x20bd25(0x7a7),'sort':'id','nolimit':_0x20bd25(0x1185)})[_0x20bd25(0x2945)]['then'](function(_0x46744e){const _0x494b72=_0x20bd25;_0x5b4ed0[_0x516da8]=_0x46744e[_0x494b72(0x19c7)]||[];})[_0x20bd25(0x146b)](function(){const _0x11fca4=_0x20bd25;return _0x88022b[_0x11fca4(0x1366)][_0x11fca4(0x16b4)]({'userProfileId':_0x5b4ed0['currentUser'][_0x11fca4(0x209a)],'sectionId':_0x14df0e})[_0x11fca4(0x2945)];})['then'](function(_0x51c446){const _0x4c115f=_0x20bd25,_0x2f1878=_0x51c446&&_0x51c446[_0x4c115f(0x19c7)]?_0x51c446[_0x4c115f(0x19c7)][0x0]:null;if(!_0x2f1878){const _0x536d70=[],_0x1f7dbb=[];_0x5b4ed0[_0x4c115f(0x162c)][_0x4c115f(0x19c7)]['forEach'](function(_0x491056){const _0x4e6861=_0x4c115f;if(_0x491056[_0x4e6861(0x65c)]===_0x4e6861(0x1f8e)){const _0x3c8e43=_0x3f65c0()[_0x4e6861(0xc84)](_0x5b4ed0[_0x516da8],{'id':Number(_0x491056['value'])});_0x1f7dbb[_0x4e6861(0x1f47)](_0x3c8e43);}});for(let _0x458b66=0x0;_0x458b66<_0x5b4ed0[_0x516da8][_0x4c115f(0x402)];_0x458b66++){const _0x58adf8=_0x3f65c0()[_0x4c115f(0x1360)](_0x1f7dbb,{'id':_0x5b4ed0[_0x516da8][_0x458b66]['id']});_0x58adf8&&(_0x5b4ed0[_0x516da8][_0x458b66]['canSelect']=![],_0x536d70[_0x4c115f(0x1f47)](_0x5b4ed0[_0x516da8][_0x458b66]));}_0x5b4ed0[_0x516da8]=_0x536d70;}else{if(!_0x2f1878[_0x4c115f(0x11d2)])return _0x88022b[_0x4c115f(0xdcc)][_0x4c115f(0x16b4)]({'sectionId':_0x2f1878['id']})[_0x4c115f(0x2945)][_0x4c115f(0x146b)](function(_0x3a70e3){const _0x120844=_0x4c115f,_0x391046=_0x3f65c0()[_0x120844(0x205)](_0x3a70e3[_0x120844(0x19c7)],function(_0x4618f6){const _0x58b9cd=_0x120844;return _0x3f65c0()['find'](_0x5b4ed0[_0x516da8],{'id':_0x4618f6[_0x58b9cd(0x18b8)]});});let _0x510dcc=null;_0x510dcc=[],_0x5b4ed0[_0x120844(0x162c)]['rows'][_0x120844(0x1df5)](function(_0x32feed){const _0xd672d8=_0x120844;if(_0x32feed[_0xd672d8(0x65c)]===_0xd672d8(0x1f8e)){const _0x25eddf=_0x3f65c0()[_0xd672d8(0xc84)](_0x5b4ed0[_0x516da8],{'id':Number(_0x32feed[_0xd672d8(0x175d)])});_0x510dcc['push'](_0x25eddf);}}),!_0x3f65c0()[_0x120844(0x2635)](_0x510dcc)&&_0x510dcc[_0x120844(0x1df5)](function(_0x4895a1){const _0x44a723=_0x120844;if(!_0x3f65c0()[_0x44a723(0x1360)](_0x391046,['id',_0x4895a1['id']])){const _0x3807c5=_0x3f65c0()[_0x44a723(0xc84)](_0x5b4ed0[_0x516da8],{'id':_0x4895a1['id']});_0x3807c5['canSelect']=![],_0x391046[_0x44a723(0x1f47)](_0x3807c5);}}),_0x5b4ed0[_0x516da8]=_0x391046;});}})['catch'](function(_0x3130e8){const _0x4c7c24=_0x20bd25;_0x4ee799[_0x4c7c24(0x1980)]({'title':_0x3130e8['status']?_0x4c7c24(0x262a)+_0x3130e8['status']+_0x4c7c24(0x1315)+_0x3130e8[_0x4c7c24(0x167f)]:_0x4c7c24(0x290e)+_0x7cc115[_0x4c7c24(0x1c37)]()+_0x7cc115===_0x4c7c24(0xa7f)?_0x4c7c24(0x1256):_0x4c7c24(0x78e),'msg':_0x3130e8[_0x4c7c24(0x524)]?JSON[_0x4c7c24(0x10bb)](_0x3130e8[_0x4c7c24(0x524)]):_0x3130e8[_0x4c7c24(0xd5f)]()});});}function _0x1cefdd(){const _0x3a8d25=_0x1f4398,_0x52de03=[{'id':0x191,'type':_0x3a8d25(0x7b0)},{'id':0x5dd,'type':'outbound'}];let _0x457f25=null;_0x18ef60[_0x3a8d25(0x13ff)]()?_0x88022b[_0x3a8d25(0x1446)][_0x3a8d25(0x16b4)]({'fields':'id,name,type','sort':_0x3a8d25(0x19eb),'nolimit':_0x3a8d25(0x1185)})[_0x3a8d25(0x2945)]['then'](function(_0x75cf33){const _0x1498cf=_0x3a8d25;_0x5b4ed0[_0x1498cf(0x1b32)]=_0x75cf33[_0x1498cf(0x19c7)]||[];})[_0x3a8d25(0x129e)](function(_0x1b6b5b){const _0x549d97=_0x3a8d25;_0x4ee799[_0x549d97(0x1980)]({'title':_0x1b6b5b[_0x549d97(0x107b)]?_0x549d97(0x262a)+_0x1b6b5b['status']+_0x549d97(0x1315)+_0x1b6b5b[_0x549d97(0x167f)]:_0x549d97(0x12cf),'msg':_0x1b6b5b[_0x549d97(0x524)]?JSON[_0x549d97(0x10bb)](_0x1b6b5b[_0x549d97(0x524)]):_0x1b6b5b[_0x549d97(0xd5f)]()});}):_0x88022b[_0x3a8d25(0x1446)][_0x3a8d25(0x16b4)]({'fields':_0x3a8d25(0x45e),'sort':_0x3a8d25(0x19eb),'nolimit':_0x3a8d25(0x1185)})['$promise'][_0x3a8d25(0x146b)](function(_0x5147a8){const _0x52390e=_0x3a8d25;_0x457f25=_0x5147a8[_0x52390e(0x19c7)]||[];})['then'](function(){const _0x33fabf=_0x3a8d25,_0x6c7b38=[];for(let _0x52b093=0x0;_0x52b093<_0x52de03[_0x33fabf(0x402)];_0x52b093++){_0x6c7b38[_0x33fabf(0x1f47)](_0x88022b[_0x33fabf(0x1366)]['get']({'userProfileId':_0x5b4ed0[_0x33fabf(0x2321)][_0x33fabf(0x209a)],'sectionId':_0x52de03[_0x52b093]['id']})[_0x33fabf(0x2945)]);}return _0x216c3b[_0x33fabf(0x1be2)](_0x6c7b38);})[_0x3a8d25(0x146b)](function(_0x26e4e6){const _0x2d1fcd=_0x3a8d25,_0x1f5a89=_0x3f65c0()['map'](_0x26e4e6,function(_0x5a9053){const _0xb945c4=a0_0x3bb9;return _0x5a9053&&_0x5a9053[_0xb945c4(0x19c7)]?_0x5a9053['rows'][0x0]:null;}),_0x324d04=[];for(let _0x445a49=0x0;_0x445a49<_0x52de03[_0x2d1fcd(0x402)];_0x445a49++){const _0x369bbe=_0x3f65c0()[_0x2d1fcd(0xc84)](_0x1f5a89,[_0x2d1fcd(0x631),_0x52de03[_0x445a49]['id']]);if(_0x369bbe){if(!_0x369bbe['autoAssociation'])_0x324d04[_0x2d1fcd(0x1f47)](_0x88022b[_0x2d1fcd(0xdcc)][_0x2d1fcd(0x16b4)]({'sectionId':_0x369bbe['id']})[_0x2d1fcd(0x2945)]);else{const _0x4a6f44=[];_0x457f25[_0x2d1fcd(0x1df5)](function(_0x42f441){const _0x2113ca=_0x2d1fcd;_0x42f441[_0x2113ca(0x1142)]===_0x52de03[_0x445a49][_0x2113ca(0x1142)]&&_0x4a6f44['push'](_0x42f441);}),_0x324d04[_0x2d1fcd(0x1f47)]({'rows':_0x4a6f44,'count':_0x4a6f44['length']});}}}return _0x216c3b[_0x2d1fcd(0x1be2)](_0x324d04);})[_0x3a8d25(0x146b)](function(_0x1eeb6b){const _0x159b2c=_0x3a8d25,_0x4a2d0f=[],_0x3015b4=[];_0x5b4ed0[_0x159b2c(0x162c)][_0x159b2c(0x19c7)]['forEach'](function(_0x2d7265){const _0x360609=_0x159b2c;if(_0x2d7265['field']===_0x360609(0x1c72)){const _0x19d90e=_0x3f65c0()[_0x360609(0xc84)](_0x457f25,{'name':_0x2d7265['value']});_0x3015b4['push'](_0x19d90e);}});for(let _0x35be04=0x0;_0x35be04<_0x1eeb6b[_0x159b2c(0x402)];_0x35be04++){const _0x18ea05=_0x1eeb6b[_0x35be04][_0x159b2c(0x19c7)]||[];_0x18ea05[_0x159b2c(0x1df5)](function(_0x3c1031){const _0x1567de=_0x159b2c;_0x4a2d0f[_0x1567de(0x1f47)](_0x3c1031);});}!_0x3f65c0()['isEmpty'](_0x3015b4)&&_0x3015b4[_0x159b2c(0x1df5)](function(_0x247a11){const _0x38e234=_0x159b2c;if(!_0x3f65c0()[_0x38e234(0x1360)](_0x4a2d0f,[_0x38e234(0x18b8),_0x247a11['id']])){const _0x56488b=_0x3f65c0()[_0x38e234(0xc84)](_0x457f25,{'id':_0x247a11['id']});_0x56488b[_0x38e234(0x8ff)]=![],_0x4a2d0f[_0x38e234(0x1f47)](_0x56488b);}}),_0x5b4ed0[_0x159b2c(0x1b32)]=_0x4a2d0f;})[_0x3a8d25(0x129e)](function(_0x2b8d68){const _0xc784e5=_0x3a8d25;_0x4ee799[_0xc784e5(0x1980)]({'title':_0x2b8d68[_0xc784e5(0x107b)]?'API:'+_0x2b8d68[_0xc784e5(0x107b)]+'\x20-\x20'+_0x2b8d68['statusText']:'SYSTEM:GET_QUEUES','msg':_0x2b8d68[_0xc784e5(0x524)]?JSON[_0xc784e5(0x10bb)](_0x2b8d68['data']):_0x2b8d68[_0xc784e5(0xd5f)]()});});}function _0x4fa428(){const _0x12cc4b=_0x1f4398;_0x18ef60[_0x12cc4b(0x13ff)]()?_0x88022b[_0x12cc4b(0xd47)][_0x12cc4b(0x16b4)]({'fields':_0x12cc4b(0xd36),'type':_0x12cc4b(0xc9c),'VoiceExtensionId':_0x12cc4b(0x203c),'nolimit':_0x12cc4b(0x1185)})[_0x12cc4b(0x2945)]['then'](function(_0x5058f1){const _0x5d0b84=_0x12cc4b;_0x5b4ed0[_0x5d0b84(0x966)]=_0x5058f1[_0x5d0b84(0x19c7)]||[];})['catch'](function(_0x18bbc5){const _0x3f9b96=_0x12cc4b;_0x4ee799[_0x3f9b96(0x1980)]({'title':_0x18bbc5['status']?'API:'+_0x18bbc5['status']+'\x20-\x20'+_0x18bbc5['statusText']:_0x3f9b96(0x104f),'msg':_0x18bbc5[_0x3f9b96(0x524)]?JSON['stringify'](_0x18bbc5[_0x3f9b96(0x524)]):_0x18bbc5[_0x3f9b96(0xd5f)]()});}):_0x88022b['voiceExtension']['get']({'fields':_0x12cc4b(0xd36),'type':_0x12cc4b(0xc9c),'VoiceExtensionId':_0x12cc4b(0x203c),'nolimit':_0x12cc4b(0x1185)})['$promise'][_0x12cc4b(0x146b)](function(_0x41f6fd){const _0x7bd2db=_0x12cc4b;_0x5b4ed0[_0x7bd2db(0x966)]=_0x41f6fd[_0x7bd2db(0x19c7)]||[];})[_0x12cc4b(0x146b)](function(){const _0x4a9421=_0x12cc4b;return _0x88022b[_0x4a9421(0x1366)]['get']({'userProfileId':_0x5b4ed0[_0x4a9421(0x2321)]['userProfileId'],'sectionId':0x193})[_0x4a9421(0x2945)];})[_0x12cc4b(0x146b)](function(_0x518c3f){const _0x2671a1=_0x12cc4b,_0x5152e6=_0x518c3f&&_0x518c3f[_0x2671a1(0x19c7)]?_0x518c3f[_0x2671a1(0x19c7)][0x0]:null;if(!_0x5152e6){const _0x1d9a6a=[],_0x113145=[];_0x5b4ed0[_0x2671a1(0x162c)][_0x2671a1(0x19c7)][_0x2671a1(0x1df5)](function(_0x5f042a){const _0xe56315=_0x2671a1;if(_0x5f042a[_0xe56315(0x65c)]==='routeId'){const _0x396d6c=_0x3f65c0()[_0xe56315(0xc84)](_0x5b4ed0['outboundRoutes'],{'id':Number(_0x5f042a['value'])});_0x113145['push'](_0x396d6c);}});for(let _0x5a235e=0x0;_0x5a235e<_0x5b4ed0[_0x2671a1(0x966)][_0x2671a1(0x402)];_0x5a235e++){const _0x30f1d0=_0x3f65c0()[_0x2671a1(0x1360)](_0x113145,{'id':_0x5b4ed0[_0x2671a1(0x966)][_0x5a235e]['id']});_0x30f1d0&&(_0x5b4ed0[_0x2671a1(0x966)][_0x5a235e][_0x2671a1(0x8ff)]=![],_0x1d9a6a[_0x2671a1(0x1f47)](_0x5b4ed0['outboundRoutes'][_0x5a235e]));}_0x5b4ed0[_0x2671a1(0x966)]=_0x1d9a6a;}else{if(!_0x5152e6[_0x2671a1(0x11d2)])return _0x88022b[_0x2671a1(0xdcc)][_0x2671a1(0x16b4)]({'sectionId':_0x5152e6['id']})[_0x2671a1(0x2945)][_0x2671a1(0x146b)](function(_0xd86538){const _0xd3a20c=_0x2671a1,_0x2255f2=_0x3f65c0()[_0xd3a20c(0x205)](_0xd86538[_0xd3a20c(0x19c7)],function(_0x42b8d5){const _0x2bfbfe=_0xd3a20c;return _0x3f65c0()[_0x2bfbfe(0xc84)](_0x5b4ed0[_0x2bfbfe(0x966)],{'id':_0x42b8d5['resourceId']});});let _0x26ecd3=null;_0x26ecd3=[],_0x5b4ed0[_0xd3a20c(0x162c)][_0xd3a20c(0x19c7)][_0xd3a20c(0x1df5)](function(_0x47c04e){const _0x1d2405=_0xd3a20c;if(_0x47c04e[_0x1d2405(0x65c)]===_0x1d2405(0x27c7)){const _0x3b350d=_0x3f65c0()['find'](_0x5b4ed0[_0x1d2405(0x966)],{'id':Number(_0x47c04e[_0x1d2405(0x175d)])});_0x26ecd3[_0x1d2405(0x1f47)](_0x3b350d);}}),!_0x3f65c0()[_0xd3a20c(0x2635)](_0x26ecd3)&&_0x26ecd3['forEach'](function(_0x16c9c0){const _0x520276=_0xd3a20c;if(!_0x3f65c0()[_0x520276(0x1360)](_0x2255f2,['id',_0x16c9c0['id']])){const _0x3c0a85=_0x3f65c0()[_0x520276(0xc84)](_0x5b4ed0[_0x520276(0x966)],{'id':_0x16c9c0['id']});_0x3c0a85[_0x520276(0x8ff)]=![],_0x2255f2[_0x520276(0x1f47)](_0x3c0a85);}}),_0x5b4ed0['outboundRoutes']=_0x2255f2;});}})['catch'](function(_0x4f5a83){const _0x3f746a=_0x12cc4b;_0x4ee799[_0x3f746a(0x1980)]({'title':_0x4f5a83['status']?'API:'+_0x4f5a83['status']+_0x3f746a(0x1315)+_0x4f5a83[_0x3f746a(0x167f)]:_0x3f746a(0x104f),'msg':_0x4f5a83[_0x3f746a(0x524)]?JSON[_0x3f746a(0x10bb)](_0x4f5a83[_0x3f746a(0x524)]):_0x4f5a83[_0x3f746a(0xd5f)]()});});}function _0x341551(){const _0x5ee82d=_0x1f4398;_0x18ef60[_0x5ee82d(0x13ff)]()?_0x88022b[_0x5ee82d(0xe7b)]['get']({'fields':_0x5ee82d(0x12bf),'sort':'name','role':_0x5ee82d(0x1755),'nolimit':'true'})['$promise'][_0x5ee82d(0x146b)](function(_0xcf0847){const _0x4bec5b=_0x5ee82d;_0x5b4ed0[_0x4bec5b(0x23c0)]=_0xcf0847[_0x4bec5b(0x19c7)]||[];})[_0x5ee82d(0x129e)](function(_0x3fdf1f){const _0x5cada7=_0x5ee82d;_0x4ee799[_0x5cada7(0x1980)]({'title':_0x3fdf1f[_0x5cada7(0x107b)]?_0x5cada7(0x262a)+_0x3fdf1f[_0x5cada7(0x107b)]+_0x5cada7(0x1315)+_0x3fdf1f[_0x5cada7(0x167f)]:_0x5cada7(0x557),'msg':_0x3fdf1f['data']?JSON['stringify'](_0x3fdf1f[_0x5cada7(0x524)]):_0x3fdf1f[_0x5cada7(0xd5f)]()});}):_0x88022b[_0x5ee82d(0xe7b)][_0x5ee82d(0x16b4)]({'fields':'id,name,fullname','sort':'name','role':_0x5ee82d(0x1755),'nolimit':'true'})[_0x5ee82d(0x2945)][_0x5ee82d(0x146b)](function(_0x4bafcb){_0x5b4ed0['agents']=_0x4bafcb['rows']||[];})[_0x5ee82d(0x146b)](function(){const _0x4e0bb5=_0x5ee82d;return _0x88022b[_0x4e0bb5(0x1366)][_0x4e0bb5(0x16b4)]({'userProfileId':_0x5b4ed0[_0x4e0bb5(0x2321)][_0x4e0bb5(0x209a)],'sectionId':0xca})[_0x4e0bb5(0x2945)];})[_0x5ee82d(0x146b)](function(_0x1a0f4e){const _0x5ba93d=_0x5ee82d,_0x59ba30=_0x1a0f4e&&_0x1a0f4e[_0x5ba93d(0x19c7)]?_0x1a0f4e[_0x5ba93d(0x19c7)][0x0]:null;if(!_0x59ba30){const _0x1f74fc=[],_0x36b537=[];_0x5b4ed0['triggerAllConditions'][_0x5ba93d(0x19c7)]['forEach'](function(_0x2c5e2f){const _0x1a20da=_0x5ba93d;if(_0x2c5e2f[_0x1a20da(0x65c)]==='agent'){const _0x4acabe=_0x3f65c0()[_0x1a20da(0xc84)](_0x5b4ed0[_0x1a20da(0x23c0)],{'name':_0x2c5e2f[_0x1a20da(0x175d)]});_0x36b537[_0x1a20da(0x1f47)](_0x4acabe);}});for(let _0x119f58=0x0;_0x119f58<_0x5b4ed0[_0x5ba93d(0x23c0)][_0x5ba93d(0x402)];_0x119f58++){const _0xcbc768=_0x3f65c0()['some'](_0x36b537,{'id':_0x5b4ed0[_0x5ba93d(0x23c0)][_0x119f58]['id']});_0xcbc768&&(_0x5b4ed0['agents'][_0x119f58]['canSelect']=![],_0x1f74fc[_0x5ba93d(0x1f47)](_0x5b4ed0[_0x5ba93d(0x23c0)][_0x119f58]));}_0x5b4ed0[_0x5ba93d(0x23c0)]=_0x1f74fc;}else{if(!_0x59ba30[_0x5ba93d(0x11d2)])return _0x88022b[_0x5ba93d(0xdcc)][_0x5ba93d(0x16b4)]({'sectionId':_0x59ba30['id']})[_0x5ba93d(0x2945)]['then'](function(_0x377368){const _0x532d17=_0x5ba93d,_0x21605e=_0x3f65c0()[_0x532d17(0x205)](_0x377368[_0x532d17(0x19c7)],function(_0x15ead9){const _0x3a6ad5=_0x532d17;return _0x3f65c0()[_0x3a6ad5(0xc84)](_0x5b4ed0[_0x3a6ad5(0x23c0)],{'id':_0x15ead9[_0x3a6ad5(0x18b8)]});});let _0x4fab84=null;_0x4fab84=[],_0x5b4ed0['triggerAllConditions']['rows']['forEach'](function(_0x5379ef){const _0x44460c=_0x532d17;if(_0x5379ef[_0x44460c(0x65c)]===_0x44460c(0x1755)){const _0x1d7615=_0x3f65c0()[_0x44460c(0xc84)](_0x5b4ed0[_0x44460c(0x23c0)],{'name':_0x5379ef[_0x44460c(0x175d)]});_0x4fab84['push'](_0x1d7615);}}),!_0x3f65c0()[_0x532d17(0x2635)](_0x4fab84)&&_0x4fab84[_0x532d17(0x1df5)](function(_0x11a2fe){const _0x4ba706=_0x532d17;if(!_0x3f65c0()[_0x4ba706(0x1360)](_0x21605e,['id',_0x11a2fe['id']])){const _0x56b21e=_0x3f65c0()[_0x4ba706(0xc84)](_0x5b4ed0[_0x4ba706(0x23c0)],{'id':_0x11a2fe['id']});_0x56b21e[_0x4ba706(0x8ff)]=![],_0x21605e[_0x4ba706(0x1f47)](_0x56b21e);}}),_0x5b4ed0[_0x532d17(0x23c0)]=_0x21605e;});}})[_0x5ee82d(0x129e)](function(_0x30ece6){const _0x223e97=_0x5ee82d;_0x4ee799[_0x223e97(0x1980)]({'title':_0x30ece6[_0x223e97(0x107b)]?'API:'+_0x30ece6[_0x223e97(0x107b)]+_0x223e97(0x1315)+_0x30ece6['statusText']:_0x223e97(0x557),'msg':_0x30ece6[_0x223e97(0x524)]?JSON['stringify'](_0x30ece6[_0x223e97(0x524)]):_0x30ece6[_0x223e97(0xd5f)]()});});}function _0x1a0e8a(){const _0xa9a3c9=_0x1f4398;if(_0x5b4ed0['trigger'][_0xa9a3c9(0x2894)]===_0xa9a3c9(0xe6)){if(_0x3f65c0()['some'](_0x5b4ed0['triggerAllConditions'][_0xa9a3c9(0x19c7)],[_0xa9a3c9(0x65c),_0xa9a3c9(0x1c72)])){if(!_0x5b4ed0['queues'])_0x1cefdd();}if(_0x3f65c0()[_0xa9a3c9(0x1360)](_0x5b4ed0[_0xa9a3c9(0x162c)][_0xa9a3c9(0x19c7)],[_0xa9a3c9(0x65c),'routeId'])){if(!_0x5b4ed0[_0xa9a3c9(0x966)])_0x4fa428();}if(_0x3f65c0()[_0xa9a3c9(0x1360)](_0x5b4ed0['triggerAllConditions'][_0xa9a3c9(0x19c7)],[_0xa9a3c9(0x65c),_0xa9a3c9(0x1755)])){if(!_0x5b4ed0[_0xa9a3c9(0x23c0)])_0x341551();}}else _0x2ed4c9();}function _0x4d70de(_0x5995ba){const _0x2e20a0=_0x1f4398;_0x5b4ed0[_0x2e20a0(0x162c)]=_0x5995ba||{'count':0x0,'rows':[]},_0x1a0e8a();}function _0x21d0d6(){const _0x35ac3=_0x1f4398;_0x5b4ed0[_0x35ac3(0x1a56)]['offset']=(_0x5b4ed0['query'][_0x35ac3(0x844)]-0x1)*_0x5b4ed0['query'][_0x35ac3(0x221e)],_0x5b4ed0[_0x35ac3(0xb9c)]=_0x88022b[_0x35ac3(0xd57)][_0x35ac3(0xf26)](_0x5b4ed0[_0x35ac3(0x1a56)],_0x4d70de)[_0x35ac3(0x2945)];}function _0x3c3f2f(_0x57f258,_0x5ad3d2){const _0x129b55=_0x1f4398;_0x48b763[_0x129b55(0x2615)]({'controller':_0x129b55(0x1081),'controllerAs':'vm','templateUrl':_0x3014b1,'parent':angular[_0x129b55(0x1853)](_0x1a71ed[_0x129b55(0x2586)]),'targetEvent':_0x57f258,'clickOutsideToClose':!![],'locals':{'trigger':_0x5b4ed0[_0x129b55(0xd57)],'allCondition':_0x5ad3d2,'allConditions':_0x5b4ed0[_0x129b55(0x162c)][_0x129b55(0x19c7)],'license':null,'setting':null,'crudPermissions':_0x5b4ed0[_0x129b55(0x2514)]}})[_0x129b55(0x146b)](function(_0x3c9c7b){const _0x58775e=_0x129b55;_0x3c9c7b&&(_0x5b4ed0[_0x58775e(0x162c)]={'count':_0x3c9c7b[_0x58775e(0x402)],'rows':_0x3c9c7b},_0x1a0e8a());});}function _0x26b3ce(_0x418c41,_0x246a14){const _0x4ef3a4=_0x1f4398,_0x4653cf=_0x48b763[_0x4ef3a4(0x1e8a)]()[_0x4ef3a4(0x1189)](_0x435ded[_0x4ef3a4(0xde)](_0x4ef3a4(0x1473)))['ariaLabel'](_0x4ef3a4(0x2555))['targetEvent'](_0x246a14)['ok']('OK')['cancel'](_0x435ded['instant'](_0x4ef3a4(0x1161)));_0x48b763[_0x4ef3a4(0x2615)](_0x4653cf)[_0x4ef3a4(0x146b)](function(){_0x1f8561(_0x418c41,!![]);});}function _0x1f8561(_0x259a70,_0x45a2d3){const _0x8d2666=_0x1f4398;_0x88022b[_0x8d2666(0x115f)]['delete']({'id':_0x259a70['id']})[_0x8d2666(0x2945)][_0x8d2666(0x146b)](function(){const _0x47c852=_0x8d2666;_0x21d0d6(),_0x45a2d3&&_0x4ee799['success']({'title':_0x435ded['instant'](_0x47c852(0xa50))});})[_0x8d2666(0x129e)](function(_0x3f7a02){const _0x30f2cc=_0x8d2666;if(_0x3f7a02['data']&&_0x3f7a02[_0x30f2cc(0x524)][_0x30f2cc(0xcef)]&&_0x3f7a02[_0x30f2cc(0x524)][_0x30f2cc(0xcef)][_0x30f2cc(0x402)]){_0x5b4ed0['errors']=_0x3f7a02[_0x30f2cc(0x524)][_0x30f2cc(0xcef)]||[{'message':_0x3f7a02[_0x30f2cc(0xd5f)](),'type':'SYSTEM:GETtrigger'}];for(let _0x4522ab=0x0;_0x4522ab<_0x3f7a02[_0x30f2cc(0x524)][_0x30f2cc(0xcef)][_0x30f2cc(0x402)];_0x4522ab++){_0x4ee799[_0x30f2cc(0x1980)]({'title':_0x3f7a02[_0x30f2cc(0x524)][_0x30f2cc(0xcef)][_0x4522ab]['type'],'msg':_0x3f7a02[_0x30f2cc(0x524)][_0x30f2cc(0xcef)][_0x4522ab][_0x30f2cc(0x7fd)]});}}else _0x4ee799[_0x30f2cc(0x1980)]({'title':_0x3f7a02[_0x30f2cc(0x107b)]?_0x30f2cc(0x262a)+_0x3f7a02['status']+_0x30f2cc(0x1315)+_0x3f7a02['statusText']:_0x30f2cc(0x2593),'msg':_0x3f7a02[_0x30f2cc(0x524)]?JSON[_0x30f2cc(0x10bb)](_0x3f7a02[_0x30f2cc(0x524)][_0x30f2cc(0x7fd)]):_0x3f7a02[_0x30f2cc(0x7fd)]||_0x3f7a02['toString']()});});}function _0x47d59f(){const _0x2b3906=_0x1f4398,_0x30d8c2=angular['copy'](_0x5b4ed0[_0x2b3906(0x1316)]);return _0x5b4ed0[_0x2b3906(0x1316)]=[],_0x30d8c2;}function _0x417017(_0x49c48a){const _0x2f9d95=_0x1f4398,_0x24a322=_0x48b763[_0x2f9d95(0x1e8a)]()[_0x2f9d95(0x1189)](_0x435ded[_0x2f9d95(0xde)](_0x2f9d95(0x2bc)))['content'](_0x435ded[_0x2f9d95(0xde)]('TOOLS.NOTIFICATIONS.CONDITIONS_DELETE_MESSAGE',{'total':_0x5b4ed0[_0x2f9d95(0x1316)]['length']}))[_0x2f9d95(0x4bd)](_0x2f9d95(0x1bef))[_0x2f9d95(0x1f27)](_0x49c48a)['ok']('OK')['cancel'](_0x435ded[_0x2f9d95(0xde)](_0x2f9d95(0x1161)));_0x48b763[_0x2f9d95(0x2615)](_0x24a322)[_0x2f9d95(0x146b)](function(){const _0x5e5ff8=_0x2f9d95;_0x5b4ed0['selectedTriggerAllConditions'][_0x5e5ff8(0x1df5)](function(_0x1ee1a3){_0x1f8561(_0x1ee1a3);}),_0x5b4ed0[_0x5e5ff8(0x1316)]=[],_0x4ee799['success']({'title':_0x435ded[_0x5e5ff8(0xde)]('TOOLS.NOTIFICATIONS.CONDITIONS_DELETED_SUCCESS_TITLE')});});}let _0x56005c=!![],_0x527f13=0x1;_0x1ca216[_0x1f4398(0x21e8)](_0x1f4398(0x117f),function(_0xc2410a,_0x5abdfc){const _0x3cebe0=_0x1f4398;_0x56005c?_0xef94a5(function(){_0x56005c=![];}):(!_0x5abdfc&&(_0x527f13=_0x5b4ed0[_0x3cebe0(0x1a56)][_0x3cebe0(0x844)]),_0xc2410a!==_0x5abdfc&&(_0x5b4ed0[_0x3cebe0(0x1a56)][_0x3cebe0(0x844)]=0x1),!_0xc2410a&&(_0x5b4ed0[_0x3cebe0(0x1a56)][_0x3cebe0(0x844)]=_0x527f13),_0x21d0d6());});}const _0x3e8c20=_0x3f02f5;;_0x14d507[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),'allconditions',_0x313a4d(0x20e6),_0x313a4d(0xd57),_0x313a4d(0x247f),'Auth',_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x14d507(_0x2d06e0,_0x21ebc4,_0x616d14,_0x11b6f6,_0x3b01b9,_0x3cec57,_0x1b41b5,_0x398f01,_0x2a2b07,_0x37e824,_0x28de48,_0x7545d5,_0x17869e,_0x14f157,_0x1b52b0){const _0x19bac0=_0x313a4d,_0x35b4fe=this;_0x35b4fe[_0x19bac0(0x2321)]=_0x7545d5['getCurrentUser'](),_0x35b4fe[_0x19bac0(0xcef)]=[],_0x35b4fe[_0x19bac0(0x15b9)]=_0x14f157,_0x35b4fe['license']=_0x17869e,_0x35b4fe[_0x19bac0(0x2514)]=_0x1b52b0,_0x35b4fe['hasModulePermissions']={},_0x35b4fe[_0x19bac0(0x2251)]=_0x35b4fe[_0x19bac0(0x15b9)]&&_0x35b4fe[_0x19bac0(0x15b9)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x35b4fe[_0x19bac0(0x1189)]=_0x19bac0(0x1720),_0x35b4fe[_0x19bac0(0x20e6)]=angular[_0x19bac0(0x235a)](_0x2a2b07),_0x35b4fe['allconditions']=_0x398f01,_0x35b4fe['newAllCondition']=![],_0x35b4fe[_0x19bac0(0xd57)]=angular['copy'](_0x37e824);!_0x35b4fe[_0x19bac0(0x20e6)]&&(_0x35b4fe[_0x19bac0(0x20e6)]={'field':'queue','operator':_0x19bac0(0x26b4),'value':'in'},_0x35b4fe['title']=_0x19bac0(0x657),_0x35b4fe[_0x19bac0(0x689)]=!![]);_0x21ebc4[_0x19bac0(0x16a)]['id']&&(_0x35b4fe[_0x19bac0(0x20e6)][_0x19bac0(0x34b)]=_0x21ebc4[_0x19bac0(0x16a)]['id']);_0x35b4fe[_0x19bac0(0x28b9)]=_0x3f429e,_0x35b4fe['saveAllCondition']=_0x18764a,_0x35b4fe[_0x19bac0(0x2226)]=_0x4fbe06,_0x35b4fe[_0x19bac0(0xe73)]=_0x2097a9,_0x35b4fe[_0x19bac0(0x13f3)]=_0x2e8dae,_0x7545d5[_0x19bac0(0x23e0)](_0x19bac0(0x174b))?_0x28de48[_0x19bac0(0x189e)]['get']({'fields':_0x19bac0(0x7a7),'sort':'id','nolimit':_0x19bac0(0x1185)})[_0x19bac0(0x2945)][_0x19bac0(0x146b)](function(_0x247037){const _0x483386=_0x19bac0;_0x35b4fe[_0x483386(0x282c)]=_0x247037[_0x483386(0x19c7)]||[];})['catch'](function(_0x401088){const _0x3a9320=_0x19bac0;_0x1b41b5[_0x3a9320(0x1980)]({'title':_0x401088[_0x3a9320(0x107b)]?_0x3a9320(0x262a)+_0x401088[_0x3a9320(0x107b)]+'\x20-\x20'+_0x401088[_0x3a9320(0x167f)]:_0x3a9320(0x22da),'msg':_0x401088['data']?JSON[_0x3a9320(0x10bb)](_0x401088[_0x3a9320(0x524)]):_0x401088[_0x3a9320(0xd5f)]()});}):_0x28de48[_0x19bac0(0x189e)]['get']({'fields':_0x19bac0(0x7a7),'sort':'id','nolimit':_0x19bac0(0x1185)})[_0x19bac0(0x2945)]['then'](function(_0x322b7b){const _0x208dab=_0x19bac0;_0x35b4fe[_0x208dab(0x282c)]=_0x322b7b['rows']||[];})['then'](function(){const _0x6472b6=_0x19bac0;return _0x28de48[_0x6472b6(0x1366)][_0x6472b6(0x16b4)]({'userProfileId':_0x35b4fe[_0x6472b6(0x2321)][_0x6472b6(0x209a)],'sectionId':0x1f6})[_0x6472b6(0x2945)];})[_0x19bac0(0x146b)](function(_0x7940ea){const _0x178c3c=_0x19bac0,_0x5e42fe=_0x7940ea&&_0x7940ea['rows']?_0x7940ea[_0x178c3c(0x19c7)][0x0]:null;if(!_0x5e42fe){const _0x331e9b=[];let _0x4db268=null;_0x35b4fe[_0x178c3c(0x20e6)]&&(_0x4db268=_0x3f65c0()[_0x178c3c(0xc84)](_0x35b4fe[_0x178c3c(0x282c)],{'id':Number(_0x35b4fe[_0x178c3c(0x20e6)][_0x178c3c(0x175d)])}));for(let _0x26aa61=0x0;_0x26aa61<_0x35b4fe[_0x178c3c(0x282c)][_0x178c3c(0x402)];_0x26aa61++){_0x4db268&&_0x35b4fe[_0x178c3c(0x282c)][_0x26aa61]['id']===_0x4db268['id']&&(_0x35b4fe[_0x178c3c(0x282c)][_0x26aa61][_0x178c3c(0x8ff)]=![],_0x331e9b[_0x178c3c(0x1f47)](_0x35b4fe[_0x178c3c(0x282c)][_0x26aa61]));}_0x35b4fe['chatAccounts']=_0x331e9b;}else{if(!_0x5e42fe[_0x178c3c(0x11d2)])return _0x28de48[_0x178c3c(0xdcc)][_0x178c3c(0x16b4)]({'sectionId':_0x5e42fe['id']})['$promise'][_0x178c3c(0x146b)](function(_0x4cba1f){const _0x35320c=_0x178c3c,_0x4f760d=_0x3f65c0()[_0x35320c(0x205)](_0x4cba1f[_0x35320c(0x19c7)],function(_0x1fcda3){const _0x5870ea=_0x35320c;return _0x3f65c0()[_0x5870ea(0xc84)](_0x35b4fe[_0x5870ea(0x282c)],{'id':_0x1fcda3[_0x5870ea(0x18b8)]});});let _0x39bbc0=null;_0x35b4fe['allCondition']&&(_0x39bbc0=_0x3f65c0()[_0x35320c(0xc84)](_0x35b4fe['chatAccounts'],{'id':Number(_0x35b4fe[_0x35320c(0x20e6)]['value'])}));if(_0x39bbc0&&!_0x3f65c0()[_0x35320c(0x1360)](_0x4f760d,['id',_0x39bbc0['id']])){const _0x185292=_0x3f65c0()[_0x35320c(0xc84)](_0x35b4fe[_0x35320c(0x282c)],{'id':_0x39bbc0['id']});_0x185292[_0x35320c(0x8ff)]=![],_0x4f760d[_0x35320c(0x1f47)](_0x185292);}_0x35b4fe[_0x35320c(0x282c)]=_0x4f760d;});}})[_0x19bac0(0x129e)](function(_0x255b77){const _0x499479=_0x19bac0;_0x1b41b5[_0x499479(0x1980)]({'title':_0x255b77[_0x499479(0x107b)]?_0x499479(0x262a)+_0x255b77[_0x499479(0x107b)]+_0x499479(0x1315)+_0x255b77['statusText']:_0x499479(0x23b5),'msg':_0x255b77['data']?JSON[_0x499479(0x10bb)](_0x255b77[_0x499479(0x524)]):_0x255b77[_0x499479(0xd5f)]()});}),_0x7545d5[_0x19bac0(0x23e0)](_0x19bac0(0x174b))?_0x28de48['mailAccount'][_0x19bac0(0x16b4)]({'fields':'id,name','sort':'id','nolimit':_0x19bac0(0x1185)})[_0x19bac0(0x2945)][_0x19bac0(0x146b)](function(_0x44ed6f){const _0x5200fa=_0x19bac0;_0x35b4fe[_0x5200fa(0x1593)]=_0x44ed6f['rows']||[];})[_0x19bac0(0x129e)](function(_0x357a57){const _0x482aa0=_0x19bac0;_0x1b41b5[_0x482aa0(0x1980)]({'title':_0x357a57[_0x482aa0(0x107b)]?_0x482aa0(0x262a)+_0x357a57[_0x482aa0(0x107b)]+_0x482aa0(0x1315)+_0x357a57[_0x482aa0(0x167f)]:_0x482aa0(0x2898),'msg':_0x357a57['data']?JSON['stringify'](_0x357a57['data']):_0x357a57[_0x482aa0(0xd5f)]()});}):_0x28de48[_0x19bac0(0x67d)][_0x19bac0(0x16b4)]({'fields':_0x19bac0(0x7a7),'sort':'id','nolimit':_0x19bac0(0x1185)})[_0x19bac0(0x2945)][_0x19bac0(0x146b)](function(_0x307b30){const _0x2bf91e=_0x19bac0;_0x35b4fe[_0x2bf91e(0x1593)]=_0x307b30[_0x2bf91e(0x19c7)]||[];})[_0x19bac0(0x146b)](function(){const _0x5bb39e=_0x19bac0;return _0x28de48[_0x5bb39e(0x1366)][_0x5bb39e(0x16b4)]({'userProfileId':_0x35b4fe[_0x5bb39e(0x2321)][_0x5bb39e(0x209a)],'sectionId':0x25a})['$promise'];})['then'](function(_0x196652){const _0x3b50ec=_0x19bac0,_0x2b6dbf=_0x196652&&_0x196652['rows']?_0x196652['rows'][0x0]:null;if(!_0x2b6dbf){const _0x53586c=[];let _0x1880d8=null;_0x35b4fe['allCondition']&&(_0x1880d8=_0x3f65c0()['find'](_0x35b4fe[_0x3b50ec(0x1593)],{'id':Number(_0x35b4fe['allCondition']['value'])}));for(let _0x218dff=0x0;_0x218dff<_0x35b4fe['mailAccounts'][_0x3b50ec(0x402)];_0x218dff++){_0x1880d8&&_0x35b4fe[_0x3b50ec(0x1593)][_0x218dff]['id']===_0x1880d8['id']&&(_0x35b4fe[_0x3b50ec(0x1593)][_0x218dff][_0x3b50ec(0x8ff)]=![],_0x53586c[_0x3b50ec(0x1f47)](_0x35b4fe[_0x3b50ec(0x1593)][_0x218dff]));}_0x35b4fe[_0x3b50ec(0x1593)]=_0x53586c;}else{if(!_0x2b6dbf[_0x3b50ec(0x11d2)])return _0x28de48[_0x3b50ec(0xdcc)][_0x3b50ec(0x16b4)]({'sectionId':_0x2b6dbf['id']})[_0x3b50ec(0x2945)][_0x3b50ec(0x146b)](function(_0x2dd1ee){const _0x4dece1=_0x3b50ec,_0x7d1b08=_0x3f65c0()['map'](_0x2dd1ee[_0x4dece1(0x19c7)],function(_0x3acec3){const _0x2fcd85=_0x4dece1;return _0x3f65c0()[_0x2fcd85(0xc84)](_0x35b4fe[_0x2fcd85(0x1593)],{'id':_0x3acec3[_0x2fcd85(0x18b8)]});});let _0x436da9=null;_0x35b4fe[_0x4dece1(0x20e6)]&&(_0x436da9=_0x3f65c0()[_0x4dece1(0xc84)](_0x35b4fe[_0x4dece1(0x1593)],{'id':Number(_0x35b4fe[_0x4dece1(0x20e6)]['value'])}));if(_0x436da9&&!_0x3f65c0()['some'](_0x7d1b08,['id',_0x436da9['id']])){const _0x32bb28=_0x3f65c0()['find'](_0x35b4fe[_0x4dece1(0x1593)],{'id':_0x436da9['id']});_0x32bb28[_0x4dece1(0x8ff)]=![],_0x7d1b08[_0x4dece1(0x1f47)](_0x32bb28);}_0x35b4fe[_0x4dece1(0x1593)]=_0x7d1b08;});}})[_0x19bac0(0x129e)](function(_0x2bcd87){const _0x397988=_0x19bac0;_0x1b41b5[_0x397988(0x1980)]({'title':_0x2bcd87[_0x397988(0x107b)]?'API:'+_0x2bcd87[_0x397988(0x107b)]+_0x397988(0x1315)+_0x2bcd87[_0x397988(0x167f)]:_0x397988(0x386),'msg':_0x2bcd87[_0x397988(0x524)]?JSON[_0x397988(0x10bb)](_0x2bcd87['data']):_0x2bcd87[_0x397988(0xd5f)]()});}),_0x7545d5[_0x19bac0(0x23e0)]('admin')?_0x28de48[_0x19bac0(0x2209)][_0x19bac0(0x16b4)]({'fields':'id,name','sort':'id','nolimit':_0x19bac0(0x1185)})[_0x19bac0(0x2945)][_0x19bac0(0x146b)](function(_0x1e4169){const _0x1abf95=_0x19bac0;_0x35b4fe['smsAccounts']=_0x1e4169[_0x1abf95(0x19c7)]||[];})[_0x19bac0(0x129e)](function(_0x2e53d6){const _0x17fe4e=_0x19bac0;_0x1b41b5['error']({'title':_0x2e53d6[_0x17fe4e(0x107b)]?_0x17fe4e(0x262a)+_0x2e53d6[_0x17fe4e(0x107b)]+_0x17fe4e(0x1315)+_0x2e53d6[_0x17fe4e(0x167f)]:_0x17fe4e(0x14c6),'msg':_0x2e53d6[_0x17fe4e(0x524)]?JSON[_0x17fe4e(0x10bb)](_0x2e53d6[_0x17fe4e(0x524)]):_0x2e53d6[_0x17fe4e(0xd5f)]()});}):_0x28de48[_0x19bac0(0x2209)][_0x19bac0(0x16b4)]({'fields':_0x19bac0(0x7a7),'sort':'id','nolimit':'true'})[_0x19bac0(0x2945)][_0x19bac0(0x146b)](function(_0x143845){const _0x50fa94=_0x19bac0;_0x35b4fe[_0x50fa94(0x1c34)]=_0x143845[_0x50fa94(0x19c7)]||[];})[_0x19bac0(0x146b)](function(){const _0x478740=_0x19bac0;return _0x28de48[_0x478740(0x1366)][_0x478740(0x16b4)]({'userProfileId':_0x35b4fe['currentUser'][_0x478740(0x209a)],'sectionId':0x2be})['$promise'];})[_0x19bac0(0x146b)](function(_0x20e7b0){const _0x3d2858=_0x19bac0,_0x15fc57=_0x20e7b0&&_0x20e7b0[_0x3d2858(0x19c7)]?_0x20e7b0[_0x3d2858(0x19c7)][0x0]:null;if(!_0x15fc57){const _0xc51951=[];let _0x3fd763=null;_0x35b4fe[_0x3d2858(0x20e6)]&&(_0x3fd763=_0x3f65c0()[_0x3d2858(0xc84)](_0x35b4fe[_0x3d2858(0x1c34)],{'id':Number(_0x35b4fe[_0x3d2858(0x20e6)]['value'])}));for(let _0x3c876a=0x0;_0x3c876a<_0x35b4fe[_0x3d2858(0x1c34)][_0x3d2858(0x402)];_0x3c876a++){_0x3fd763&&_0x35b4fe[_0x3d2858(0x1c34)][_0x3c876a]['id']===_0x3fd763['id']&&(_0x35b4fe[_0x3d2858(0x1c34)][_0x3c876a][_0x3d2858(0x8ff)]=![],_0xc51951[_0x3d2858(0x1f47)](_0x35b4fe[_0x3d2858(0x1c34)][_0x3c876a]));}_0x35b4fe[_0x3d2858(0x1c34)]=_0xc51951;}else{if(!_0x15fc57['autoAssociation'])return _0x28de48[_0x3d2858(0xdcc)]['get']({'sectionId':_0x15fc57['id']})[_0x3d2858(0x2945)][_0x3d2858(0x146b)](function(_0x241df4){const _0x54e19e=_0x3d2858,_0x3b0fe0=_0x3f65c0()[_0x54e19e(0x205)](_0x241df4['rows'],function(_0x5b24dc){const _0x46f668=_0x54e19e;return _0x3f65c0()[_0x46f668(0xc84)](_0x35b4fe['smsAccounts'],{'id':_0x5b24dc[_0x46f668(0x18b8)]});});let _0x1f5cd9=null;_0x35b4fe[_0x54e19e(0x20e6)]&&(_0x1f5cd9=_0x3f65c0()[_0x54e19e(0xc84)](_0x35b4fe[_0x54e19e(0x1c34)],{'id':Number(_0x35b4fe[_0x54e19e(0x20e6)]['value'])}));if(_0x1f5cd9&&!_0x3f65c0()[_0x54e19e(0x1360)](_0x3b0fe0,['id',_0x1f5cd9['id']])){const _0x220a46=_0x3f65c0()[_0x54e19e(0xc84)](_0x35b4fe['smsAccounts'],{'id':_0x1f5cd9['id']});_0x220a46[_0x54e19e(0x8ff)]=![],_0x3b0fe0[_0x54e19e(0x1f47)](_0x220a46);}_0x35b4fe[_0x54e19e(0x1c34)]=_0x3b0fe0;});}})['catch'](function(_0x52e73b){const _0x1b6211=_0x19bac0;_0x1b41b5[_0x1b6211(0x1980)]({'title':_0x52e73b[_0x1b6211(0x107b)]?_0x1b6211(0x262a)+_0x52e73b[_0x1b6211(0x107b)]+_0x1b6211(0x1315)+_0x52e73b[_0x1b6211(0x167f)]:_0x1b6211(0x2bf),'msg':_0x52e73b['data']?JSON[_0x1b6211(0x10bb)](_0x52e73b[_0x1b6211(0x524)]):_0x52e73b['toString']()});}),_0x7545d5[_0x19bac0(0x23e0)]('admin')?_0x28de48[_0x19bac0(0x815)][_0x19bac0(0x16b4)]({'fields':'id,name','sort':'id','nolimit':'true'})[_0x19bac0(0x2945)][_0x19bac0(0x146b)](function(_0x32f082){const _0x3ce29b=_0x19bac0;_0x35b4fe[_0x3ce29b(0x23c)]=_0x32f082['rows']||[];})[_0x19bac0(0x129e)](function(_0x2ff25c){const _0x4fc240=_0x19bac0;_0x1b41b5[_0x4fc240(0x1980)]({'title':_0x2ff25c[_0x4fc240(0x107b)]?'API:'+_0x2ff25c[_0x4fc240(0x107b)]+_0x4fc240(0x1315)+_0x2ff25c[_0x4fc240(0x167f)]:'SYSTEM:GET_WHATSAPPACCOUNTS','msg':_0x2ff25c['data']?JSON[_0x4fc240(0x10bb)](_0x2ff25c[_0x4fc240(0x524)]):_0x2ff25c[_0x4fc240(0xd5f)]()});}):_0x28de48[_0x19bac0(0x815)][_0x19bac0(0x16b4)]({'fields':_0x19bac0(0x7a7),'sort':'id','nolimit':_0x19bac0(0x1185)})[_0x19bac0(0x2945)][_0x19bac0(0x146b)](function(_0xfe0cbb){const _0x1a03ef=_0x19bac0;_0x35b4fe[_0x1a03ef(0x23c)]=_0xfe0cbb[_0x1a03ef(0x19c7)]||[];})[_0x19bac0(0x146b)](function(){const _0x404e3b=_0x19bac0;return _0x28de48[_0x404e3b(0x1366)][_0x404e3b(0x16b4)]({'userProfileId':_0x35b4fe[_0x404e3b(0x2321)][_0x404e3b(0x209a)],'sectionId':0x836})[_0x404e3b(0x2945)];})[_0x19bac0(0x146b)](function(_0x5a0277){const _0x3f1ab8=_0x19bac0,_0x47d0cc=_0x5a0277&&_0x5a0277['rows']?_0x5a0277[_0x3f1ab8(0x19c7)][0x0]:null;if(!_0x47d0cc){const _0x372ced=[];let _0x567d2b=null;_0x35b4fe[_0x3f1ab8(0x20e6)]&&(_0x567d2b=_0x3f65c0()[_0x3f1ab8(0xc84)](_0x35b4fe[_0x3f1ab8(0x23c)],{'id':Number(_0x35b4fe[_0x3f1ab8(0x20e6)][_0x3f1ab8(0x175d)])}));for(let _0x48b99e=0x0;_0x48b99e<_0x35b4fe[_0x3f1ab8(0x23c)][_0x3f1ab8(0x402)];_0x48b99e++){_0x567d2b&&_0x35b4fe['whatsappAccounts'][_0x48b99e]['id']===_0x567d2b['id']&&(_0x35b4fe['whatsappAccounts'][_0x48b99e][_0x3f1ab8(0x8ff)]=![],_0x372ced[_0x3f1ab8(0x1f47)](_0x35b4fe['whatsappAccounts'][_0x48b99e]));}_0x35b4fe[_0x3f1ab8(0x23c)]=_0x372ced;}else{if(!_0x47d0cc['autoAssociation'])return _0x28de48[_0x3f1ab8(0xdcc)][_0x3f1ab8(0x16b4)]({'sectionId':_0x47d0cc['id']})[_0x3f1ab8(0x2945)]['then'](function(_0xbaf105){const _0x18f778=_0x3f1ab8,_0x30fd27=_0x3f65c0()[_0x18f778(0x205)](_0xbaf105['rows'],function(_0x5e49d7){const _0xa149d8=_0x18f778;return _0x3f65c0()[_0xa149d8(0xc84)](_0x35b4fe[_0xa149d8(0x23c)],{'id':_0x5e49d7['resourceId']});});let _0x123ea7=null;_0x35b4fe[_0x18f778(0x20e6)]&&(_0x123ea7=_0x3f65c0()[_0x18f778(0xc84)](_0x35b4fe[_0x18f778(0x23c)],{'id':Number(_0x35b4fe[_0x18f778(0x20e6)][_0x18f778(0x175d)])}));if(_0x123ea7&&!_0x3f65c0()[_0x18f778(0x1360)](_0x30fd27,['id',_0x123ea7['id']])){const _0x5532be=_0x3f65c0()[_0x18f778(0xc84)](_0x35b4fe[_0x18f778(0x23c)],{'id':_0x123ea7['id']});_0x5532be[_0x18f778(0x8ff)]=![],_0x30fd27['push'](_0x5532be);}_0x35b4fe[_0x18f778(0x23c)]=_0x30fd27;});}})['catch'](function(_0x2ebb96){const _0x16bbb1=_0x19bac0;_0x1b41b5[_0x16bbb1(0x1980)]({'title':_0x2ebb96['status']?_0x16bbb1(0x262a)+_0x2ebb96[_0x16bbb1(0x107b)]+_0x16bbb1(0x1315)+_0x2ebb96['statusText']:_0x16bbb1(0x1106),'msg':_0x2ebb96[_0x16bbb1(0x524)]?JSON[_0x16bbb1(0x10bb)](_0x2ebb96['data']):_0x2ebb96['toString']()});}),_0x7545d5[_0x19bac0(0x23e0)](_0x19bac0(0x174b))?_0x28de48[_0x19bac0(0x178)][_0x19bac0(0x16b4)]({'fields':_0x19bac0(0x7a7),'sort':'id','nolimit':_0x19bac0(0x1185)})['$promise'][_0x19bac0(0x146b)](function(_0x49a439){const _0x4c93d8=_0x19bac0;_0x35b4fe[_0x4c93d8(0x8a9)]=_0x49a439[_0x4c93d8(0x19c7)]||[];})[_0x19bac0(0x129e)](function(_0x4a05b2){const _0x33b1f8=_0x19bac0;_0x1b41b5[_0x33b1f8(0x1980)]({'title':_0x4a05b2['status']?_0x33b1f8(0x262a)+_0x4a05b2[_0x33b1f8(0x107b)]+_0x33b1f8(0x1315)+_0x4a05b2[_0x33b1f8(0x167f)]:_0x33b1f8(0x1901),'msg':_0x4a05b2[_0x33b1f8(0x524)]?JSON[_0x33b1f8(0x10bb)](_0x4a05b2[_0x33b1f8(0x524)]):_0x4a05b2[_0x33b1f8(0xd5f)]()});}):_0x28de48[_0x19bac0(0x178)][_0x19bac0(0x16b4)]({'fields':_0x19bac0(0x7a7),'sort':'id','nolimit':_0x19bac0(0x1185)})[_0x19bac0(0x2945)][_0x19bac0(0x146b)](function(_0xa459bc){const _0x5a4e66=_0x19bac0;_0x35b4fe[_0x5a4e66(0x8a9)]=_0xa459bc[_0x5a4e66(0x19c7)]||[];})[_0x19bac0(0x146b)](function(){const _0x382f92=_0x19bac0;return _0x28de48['userProfileSection'][_0x382f92(0x16b4)]({'userProfileId':_0x35b4fe[_0x382f92(0x2321)][_0x382f92(0x209a)],'sectionId':0x386})[_0x382f92(0x2945)];})[_0x19bac0(0x146b)](function(_0x3b9f0d){const _0x37c8be=_0x19bac0,_0x280de2=_0x3b9f0d&&_0x3b9f0d[_0x37c8be(0x19c7)]?_0x3b9f0d[_0x37c8be(0x19c7)][0x0]:null;if(!_0x280de2){const _0x1a3d95=[];let _0x2540dd=null;_0x35b4fe['allCondition']&&(_0x2540dd=_0x3f65c0()[_0x37c8be(0xc84)](_0x35b4fe[_0x37c8be(0x8a9)],{'id':Number(_0x35b4fe[_0x37c8be(0x20e6)][_0x37c8be(0x175d)])}));for(let _0x141a64=0x0;_0x141a64<_0x35b4fe[_0x37c8be(0x8a9)]['length'];_0x141a64++){_0x2540dd&&_0x35b4fe[_0x37c8be(0x8a9)][_0x141a64]['id']===_0x2540dd['id']&&(_0x35b4fe[_0x37c8be(0x8a9)][_0x141a64][_0x37c8be(0x8ff)]=![],_0x1a3d95['push'](_0x35b4fe[_0x37c8be(0x8a9)][_0x141a64]));}_0x35b4fe[_0x37c8be(0x8a9)]=_0x1a3d95;}else{if(!_0x280de2[_0x37c8be(0x11d2)])return _0x28de48[_0x37c8be(0xdcc)][_0x37c8be(0x16b4)]({'sectionId':_0x280de2['id']})['$promise'][_0x37c8be(0x146b)](function(_0x32fb4f){const _0xe6a5b0=_0x37c8be,_0x16737c=_0x3f65c0()[_0xe6a5b0(0x205)](_0x32fb4f[_0xe6a5b0(0x19c7)],function(_0x23a0bf){const _0x477b68=_0xe6a5b0;return _0x3f65c0()[_0x477b68(0xc84)](_0x35b4fe['faxAccounts'],{'id':_0x23a0bf[_0x477b68(0x18b8)]});});let _0xc8ed35=null;_0x35b4fe[_0xe6a5b0(0x20e6)]&&(_0xc8ed35=_0x3f65c0()[_0xe6a5b0(0xc84)](_0x35b4fe[_0xe6a5b0(0x8a9)],{'id':Number(_0x35b4fe['allCondition'][_0xe6a5b0(0x175d)])}));if(_0xc8ed35&&!_0x3f65c0()[_0xe6a5b0(0x1360)](_0x16737c,['id',_0xc8ed35['id']])){const _0x2336f4=_0x3f65c0()[_0xe6a5b0(0xc84)](_0x35b4fe[_0xe6a5b0(0x8a9)],{'id':_0xc8ed35['id']});_0x2336f4[_0xe6a5b0(0x8ff)]=![],_0x16737c[_0xe6a5b0(0x1f47)](_0x2336f4);}_0x35b4fe[_0xe6a5b0(0x8a9)]=_0x16737c;});}})[_0x19bac0(0x129e)](function(_0xe038bb){const _0xe2544a=_0x19bac0;_0x1b41b5[_0xe2544a(0x1980)]({'title':_0xe038bb[_0xe2544a(0x107b)]?_0xe2544a(0x262a)+_0xe038bb[_0xe2544a(0x107b)]+_0xe2544a(0x1315)+_0xe038bb[_0xe2544a(0x167f)]:_0xe2544a(0x1262),'msg':_0xe038bb[_0xe2544a(0x524)]?JSON[_0xe2544a(0x10bb)](_0xe038bb[_0xe2544a(0x524)]):_0xe038bb['toString']()});}),_0x7545d5[_0x19bac0(0x23e0)](_0x19bac0(0x174b))?_0x28de48[_0x19bac0(0xbd7)][_0x19bac0(0x16b4)]({'fields':'id,name','sort':'id','nolimit':_0x19bac0(0x1185)})[_0x19bac0(0x2945)][_0x19bac0(0x146b)](function(_0x9bad24){const _0x10bd0a=_0x19bac0;_0x35b4fe[_0x10bd0a(0x1690)]=_0x9bad24[_0x10bd0a(0x19c7)]||[];})['catch'](function(_0x341443){const _0x14f6bd=_0x19bac0;_0x1b41b5[_0x14f6bd(0x1980)]({'title':_0x341443['status']?_0x14f6bd(0x262a)+_0x341443[_0x14f6bd(0x107b)]+_0x14f6bd(0x1315)+_0x341443['statusText']:_0x14f6bd(0x10e0),'msg':_0x341443[_0x14f6bd(0x524)]?JSON[_0x14f6bd(0x10bb)](_0x341443[_0x14f6bd(0x524)]):_0x341443[_0x14f6bd(0xd5f)]()});}):_0x28de48[_0x19bac0(0xbd7)][_0x19bac0(0x16b4)]({'fields':_0x19bac0(0x7a7),'sort':'id','nolimit':'true'})['$promise'][_0x19bac0(0x146b)](function(_0x4a008b){const _0x3870a5=_0x19bac0;_0x35b4fe[_0x3870a5(0x1690)]=_0x4a008b[_0x3870a5(0x19c7)]||[];})[_0x19bac0(0x146b)](function(){const _0x420b20=_0x19bac0;return _0x28de48[_0x420b20(0x1366)][_0x420b20(0x16b4)]({'userProfileId':_0x35b4fe[_0x420b20(0x2321)][_0x420b20(0x209a)],'sectionId':0x322})[_0x420b20(0x2945)];})['then'](function(_0xccf2e7){const _0x1a94e5=_0x19bac0,_0x17e23e=_0xccf2e7&&_0xccf2e7['rows']?_0xccf2e7[_0x1a94e5(0x19c7)][0x0]:null;if(!_0x17e23e){const _0x2b9b7e=[];let _0x46a7a3=null;_0x35b4fe[_0x1a94e5(0x20e6)]&&(_0x46a7a3=_0x3f65c0()[_0x1a94e5(0xc84)](_0x35b4fe[_0x1a94e5(0x1690)],{'id':Number(_0x35b4fe[_0x1a94e5(0x20e6)][_0x1a94e5(0x175d)])}));for(let _0x4104cb=0x0;_0x4104cb<_0x35b4fe[_0x1a94e5(0x1690)]['length'];_0x4104cb++){_0x46a7a3&&_0x35b4fe[_0x1a94e5(0x1690)][_0x4104cb]['id']===_0x46a7a3['id']&&(_0x35b4fe[_0x1a94e5(0x1690)][_0x4104cb][_0x1a94e5(0x8ff)]=![],_0x2b9b7e[_0x1a94e5(0x1f47)](_0x35b4fe[_0x1a94e5(0x1690)][_0x4104cb]));}_0x35b4fe['openchannelAccounts']=_0x2b9b7e;}else{if(!_0x17e23e['autoAssociation'])return _0x28de48[_0x1a94e5(0xdcc)]['get']({'sectionId':_0x17e23e['id']})['$promise'][_0x1a94e5(0x146b)](function(_0x1ef4e3){const _0x54c3d5=_0x1a94e5,_0x170e33=_0x3f65c0()['map'](_0x1ef4e3['rows'],function(_0x173984){const _0x18f084=a0_0x3bb9;return _0x3f65c0()[_0x18f084(0xc84)](_0x35b4fe['openchannelAccounts'],{'id':_0x173984['resourceId']});});let _0x259a88=null;_0x35b4fe['allCondition']&&(_0x259a88=_0x3f65c0()[_0x54c3d5(0xc84)](_0x35b4fe[_0x54c3d5(0x1690)],{'id':Number(_0x35b4fe['allCondition'][_0x54c3d5(0x175d)])}));if(_0x259a88&&!_0x3f65c0()[_0x54c3d5(0x1360)](_0x170e33,['id',_0x259a88['id']])){const _0xc4da6=_0x3f65c0()[_0x54c3d5(0xc84)](_0x35b4fe[_0x54c3d5(0x1690)],{'id':_0x259a88['id']});_0xc4da6[_0x54c3d5(0x8ff)]=![],_0x170e33[_0x54c3d5(0x1f47)](_0xc4da6);}_0x35b4fe[_0x54c3d5(0x1690)]=_0x170e33;});}})[_0x19bac0(0x129e)](function(_0x20816f){const _0x4d4bdc=_0x19bac0;_0x1b41b5['error']({'title':_0x20816f['status']?_0x4d4bdc(0x262a)+_0x20816f[_0x4d4bdc(0x107b)]+_0x4d4bdc(0x1315)+_0x20816f[_0x4d4bdc(0x167f)]:_0x4d4bdc(0x1b35),'msg':_0x20816f[_0x4d4bdc(0x524)]?JSON['stringify'](_0x20816f[_0x4d4bdc(0x524)]):_0x20816f[_0x4d4bdc(0xd5f)]()});});const _0x1c25dd=[{'id':0x191,'type':_0x19bac0(0x7b0)},{'id':0x5dd,'type':_0x19bac0(0xc9c)}];let _0x342db2=null;_0x7545d5[_0x19bac0(0x23e0)](_0x19bac0(0x174b))?_0x28de48[_0x19bac0(0x1446)][_0x19bac0(0x16b4)]({'fields':_0x19bac0(0x45e),'sort':'name','nolimit':_0x19bac0(0x1185)})[_0x19bac0(0x2945)]['then'](function(_0x3dbd3f){const _0x307da9=_0x19bac0;_0x35b4fe[_0x307da9(0x1b32)]=_0x3dbd3f[_0x307da9(0x19c7)]||[];})[_0x19bac0(0x129e)](function(_0x1ce319){const _0x526acc=_0x19bac0;_0x1b41b5[_0x526acc(0x1980)]({'title':_0x1ce319[_0x526acc(0x107b)]?_0x526acc(0x262a)+_0x1ce319[_0x526acc(0x107b)]+_0x526acc(0x1315)+_0x1ce319[_0x526acc(0x167f)]:_0x526acc(0x22d8),'msg':_0x1ce319[_0x526acc(0x524)]?JSON[_0x526acc(0x10bb)](_0x1ce319[_0x526acc(0x524)]):_0x1ce319[_0x526acc(0xd5f)]()});}):_0x28de48[_0x19bac0(0x1446)]['get']({'fields':'id,name,type','sort':_0x19bac0(0x19eb),'nolimit':_0x19bac0(0x1185)})[_0x19bac0(0x2945)][_0x19bac0(0x146b)](function(_0x9b2e78){_0x342db2=_0x9b2e78['rows']||[];})[_0x19bac0(0x146b)](function(){const _0x1e157b=_0x19bac0,_0x45dea9=[];for(let _0x49aa07=0x0;_0x49aa07<_0x1c25dd['length'];_0x49aa07++){_0x45dea9[_0x1e157b(0x1f47)](_0x28de48[_0x1e157b(0x1366)][_0x1e157b(0x16b4)]({'userProfileId':_0x35b4fe[_0x1e157b(0x2321)][_0x1e157b(0x209a)],'sectionId':_0x1c25dd[_0x49aa07]['id']})[_0x1e157b(0x2945)]);}return _0x3b01b9['all'](_0x45dea9);})[_0x19bac0(0x146b)](function(_0x1836e1){const _0x10d5a5=_0x19bac0,_0x464d4f=_0x3f65c0()[_0x10d5a5(0x205)](_0x1836e1,function(_0x5571d0){const _0x16927b=_0x10d5a5;return _0x5571d0&&_0x5571d0[_0x16927b(0x19c7)]?_0x5571d0[_0x16927b(0x19c7)][0x0]:null;}),_0x29530b=[];for(let _0x15aea0=0x0;_0x15aea0<_0x1c25dd[_0x10d5a5(0x402)];_0x15aea0++){const _0x2daddb=_0x3f65c0()[_0x10d5a5(0xc84)](_0x464d4f,['sectionId',_0x1c25dd[_0x15aea0]['id']]);if(_0x2daddb){if(!_0x2daddb['autoAssociation'])_0x29530b[_0x10d5a5(0x1f47)](_0x28de48[_0x10d5a5(0xdcc)][_0x10d5a5(0x16b4)]({'sectionId':_0x2daddb['id']})[_0x10d5a5(0x2945)]);else{const _0x1e8632=[];_0x342db2[_0x10d5a5(0x1df5)](function(_0x540818){const _0x308677=_0x10d5a5;_0x540818[_0x308677(0x1142)]===_0x1c25dd[_0x15aea0][_0x308677(0x1142)]&&_0x1e8632['push'](_0x540818);}),_0x29530b[_0x10d5a5(0x1f47)]({'rows':_0x1e8632,'count':_0x1e8632[_0x10d5a5(0x402)]});}}}return _0x3b01b9[_0x10d5a5(0x1be2)](_0x29530b);})[_0x19bac0(0x146b)](function(_0x31f81c){const _0x245a11=_0x19bac0,_0x16d1ca=[];let _0x12b019=null;_0x35b4fe[_0x245a11(0x20e6)]&&(_0x12b019=_0x3f65c0()[_0x245a11(0xc84)](_0x342db2,{'name':_0x35b4fe[_0x245a11(0x20e6)][_0x245a11(0x175d)]}));for(let _0x2c25a7=0x0;_0x2c25a7<_0x31f81c['length'];_0x2c25a7++){const _0x4fb3aa=_0x31f81c[_0x2c25a7][_0x245a11(0x19c7)]||[];_0x4fb3aa[_0x245a11(0x1df5)](function(_0x3d5b44){_0x16d1ca['push'](_0x3d5b44);});}_0x12b019&&!_0x3f65c0()[_0x245a11(0x1360)](_0x16d1ca,[_0x245a11(0x18b8),_0x12b019['id']])&&_0x342db2['forEach'](function(_0x3ac7d7){const _0x3c90fd=_0x245a11;_0x3ac7d7['id']===_0x12b019['id']&&(_0x3ac7d7[_0x3c90fd(0x8ff)]=![],_0x16d1ca[_0x3c90fd(0x1f47)](_0x3ac7d7));}),_0x35b4fe[_0x245a11(0x1b32)]=_0x16d1ca;})[_0x19bac0(0x129e)](function(_0x2c3955){const _0x4c3e56=_0x19bac0;_0x1b41b5[_0x4c3e56(0x1980)]({'title':_0x2c3955['status']?_0x4c3e56(0x262a)+_0x2c3955[_0x4c3e56(0x107b)]+'\x20-\x20'+_0x2c3955[_0x4c3e56(0x167f)]:'SYSTEM:GETqueues','msg':_0x2c3955['data']?JSON[_0x4c3e56(0x10bb)](_0x2c3955[_0x4c3e56(0x524)]):_0x2c3955['toString']()});}),_0x7545d5[_0x19bac0(0x23e0)](_0x19bac0(0x174b))?_0x28de48['voiceExtension'][_0x19bac0(0x16b4)]({'fields':_0x19bac0(0xd36),'type':_0x19bac0(0xc9c),'VoiceExtensionId':_0x19bac0(0x203c),'nolimit':_0x19bac0(0x1185)})[_0x19bac0(0x2945)][_0x19bac0(0x146b)](function(_0x1ce3d7){const _0x3ddd21=_0x19bac0;_0x35b4fe[_0x3ddd21(0x966)]=_0x1ce3d7[_0x3ddd21(0x19c7)]||[];})[_0x19bac0(0x129e)](function(_0xf856e9){const _0xffb9ad=_0x19bac0;_0x1b41b5[_0xffb9ad(0x1980)]({'title':_0xf856e9[_0xffb9ad(0x107b)]?'API:'+_0xf856e9[_0xffb9ad(0x107b)]+_0xffb9ad(0x1315)+_0xf856e9[_0xffb9ad(0x167f)]:_0xffb9ad(0x104f),'msg':_0xf856e9['data']?JSON[_0xffb9ad(0x10bb)](_0xf856e9[_0xffb9ad(0x524)]):_0xf856e9[_0xffb9ad(0xd5f)]()});}):_0x28de48[_0x19bac0(0xd47)][_0x19bac0(0x16b4)]({'fields':'id,exten','type':_0x19bac0(0xc9c),'VoiceExtensionId':_0x19bac0(0x203c),'nolimit':_0x19bac0(0x1185)})['$promise'][_0x19bac0(0x146b)](function(_0x184d18){const _0xd56896=_0x19bac0;_0x35b4fe[_0xd56896(0x966)]=_0x184d18[_0xd56896(0x19c7)]||[];})['then'](function(){const _0x4addc6=_0x19bac0;return _0x28de48[_0x4addc6(0x1366)][_0x4addc6(0x16b4)]({'userProfileId':_0x35b4fe[_0x4addc6(0x2321)][_0x4addc6(0x209a)],'sectionId':0x193})[_0x4addc6(0x2945)];})[_0x19bac0(0x146b)](function(_0x129dd2){const _0x2e5c70=_0x19bac0,_0x6c9bc4=_0x129dd2&&_0x129dd2[_0x2e5c70(0x19c7)]?_0x129dd2[_0x2e5c70(0x19c7)][0x0]:null;if(!_0x6c9bc4){const _0x3778de=[];let _0x1a15ec=null;_0x35b4fe[_0x2e5c70(0x20e6)]&&(_0x1a15ec=_0x3f65c0()[_0x2e5c70(0xc84)](_0x35b4fe[_0x2e5c70(0x966)],{'id':Number(_0x35b4fe[_0x2e5c70(0x20e6)][_0x2e5c70(0x175d)])}));for(let _0x4b1963=0x0;_0x4b1963<_0x35b4fe['outboundRoutes'][_0x2e5c70(0x402)];_0x4b1963++){_0x1a15ec&&_0x35b4fe[_0x2e5c70(0x966)][_0x4b1963]['id']===_0x1a15ec['id']&&(_0x35b4fe['outboundRoutes'][_0x4b1963]['canSelect']=![],_0x3778de['push'](_0x35b4fe[_0x2e5c70(0x966)][_0x4b1963]));}_0x35b4fe['outboundRoutes']=_0x3778de;}else{if(!_0x6c9bc4[_0x2e5c70(0x11d2)])return _0x28de48[_0x2e5c70(0xdcc)][_0x2e5c70(0x16b4)]({'sectionId':_0x6c9bc4['id']})[_0x2e5c70(0x2945)][_0x2e5c70(0x146b)](function(_0x17c0e5){const _0x512708=_0x2e5c70,_0xeaccac=_0x3f65c0()[_0x512708(0x205)](_0x17c0e5[_0x512708(0x19c7)],function(_0x5b557f){const _0x18acdc=_0x512708;return _0x3f65c0()[_0x18acdc(0xc84)](_0x35b4fe['outboundRoutes'],{'id':_0x5b557f[_0x18acdc(0x18b8)]});});let _0x2aa2f6=null;_0x35b4fe['allCondition']&&(_0x2aa2f6=_0x3f65c0()[_0x512708(0xc84)](_0x35b4fe[_0x512708(0x966)],{'id':Number(_0x35b4fe[_0x512708(0x20e6)][_0x512708(0x175d)])}));if(_0x2aa2f6&&!_0x3f65c0()['some'](_0xeaccac,['id',_0x2aa2f6['id']])){const _0x19318c=_0x3f65c0()[_0x512708(0xc84)](_0x35b4fe[_0x512708(0x966)],{'id':_0x2aa2f6['id']});_0x19318c[_0x512708(0x8ff)]=![],_0xeaccac[_0x512708(0x1f47)](_0x19318c);}_0x35b4fe['outboundRoutes']=_0xeaccac;});}})[_0x19bac0(0x129e)](function(_0x5df679){const _0x181229=_0x19bac0;_0x1b41b5['error']({'title':_0x5df679[_0x181229(0x107b)]?_0x181229(0x262a)+_0x5df679[_0x181229(0x107b)]+_0x181229(0x1315)+_0x5df679[_0x181229(0x167f)]:_0x181229(0x1850),'msg':_0x5df679['data']?JSON[_0x181229(0x10bb)](_0x5df679['data']):_0x5df679[_0x181229(0xd5f)]()});}),_0x7545d5['hasRole'](_0x19bac0(0x174b))?_0x28de48[_0x19bac0(0xe7b)][_0x19bac0(0x16b4)]({'fields':_0x19bac0(0x12bf),'sort':_0x19bac0(0x19eb),'role':_0x19bac0(0x1755),'nolimit':_0x19bac0(0x1185)})[_0x19bac0(0x2945)][_0x19bac0(0x146b)](function(_0x28f2eb){const _0x3c9aa1=_0x19bac0;_0x35b4fe['agents']=_0x28f2eb[_0x3c9aa1(0x19c7)]||[];})[_0x19bac0(0x129e)](function(_0x18d5d7){const _0x4cb9ee=_0x19bac0;_0x1b41b5[_0x4cb9ee(0x1980)]({'title':_0x18d5d7[_0x4cb9ee(0x107b)]?_0x4cb9ee(0x262a)+_0x18d5d7['status']+_0x4cb9ee(0x1315)+_0x18d5d7[_0x4cb9ee(0x167f)]:'SYSTEM:GET_AGENTS','msg':_0x18d5d7[_0x4cb9ee(0x524)]?JSON[_0x4cb9ee(0x10bb)](_0x18d5d7[_0x4cb9ee(0x524)]):_0x18d5d7['toString']()});}):_0x28de48[_0x19bac0(0xe7b)]['get']({'fields':_0x19bac0(0x12bf),'sort':_0x19bac0(0x19eb),'role':_0x19bac0(0x1755),'nolimit':'true'})['$promise'][_0x19bac0(0x146b)](function(_0x15928f){const _0x5445ec=_0x19bac0;_0x35b4fe['agents']=_0x15928f[_0x5445ec(0x19c7)]||[];})[_0x19bac0(0x146b)](function(){const _0xa9a18=_0x19bac0;return _0x28de48['userProfileSection']['get']({'userProfileId':_0x35b4fe[_0xa9a18(0x2321)][_0xa9a18(0x209a)],'sectionId':0xca})[_0xa9a18(0x2945)];})[_0x19bac0(0x146b)](function(_0xc4ab62){const _0x524d0d=_0x19bac0,_0x60b76f=_0xc4ab62&&_0xc4ab62[_0x524d0d(0x19c7)]?_0xc4ab62[_0x524d0d(0x19c7)][0x0]:null;if(!_0x60b76f){const _0x5eab06=[];let _0x12b4d3=null;_0x35b4fe[_0x524d0d(0x20e6)]&&(_0x12b4d3=_0x3f65c0()['find'](_0x35b4fe[_0x524d0d(0x23c0)],{'name':_0x35b4fe['allCondition'][_0x524d0d(0x175d)]}));for(let _0xd4ba7b=0x0;_0xd4ba7b<_0x35b4fe[_0x524d0d(0x23c0)][_0x524d0d(0x402)];_0xd4ba7b++){_0x12b4d3&&_0x35b4fe[_0x524d0d(0x23c0)][_0xd4ba7b]['id']===_0x12b4d3['id']&&(_0x35b4fe[_0x524d0d(0x23c0)][_0xd4ba7b]['canSelect']=![],_0x5eab06[_0x524d0d(0x1f47)](_0x35b4fe[_0x524d0d(0x23c0)][_0xd4ba7b]));}_0x35b4fe['agents']=_0x5eab06;}else{if(!_0x60b76f[_0x524d0d(0x11d2)])return _0x28de48[_0x524d0d(0xdcc)][_0x524d0d(0x16b4)]({'sectionId':_0x60b76f['id']})[_0x524d0d(0x2945)][_0x524d0d(0x146b)](function(_0x44605a){const _0x8e77db=_0x524d0d,_0x26e62f=_0x3f65c0()[_0x8e77db(0x205)](_0x44605a['rows'],function(_0x54c943){const _0x54bbac=_0x8e77db;return _0x3f65c0()[_0x54bbac(0xc84)](_0x35b4fe[_0x54bbac(0x23c0)],{'id':_0x54c943[_0x54bbac(0x18b8)]});});let _0x496d4c=null;_0x35b4fe[_0x8e77db(0x20e6)]&&(_0x496d4c=_0x3f65c0()[_0x8e77db(0xc84)](_0x35b4fe[_0x8e77db(0x23c0)],{'name':_0x35b4fe['allCondition'][_0x8e77db(0x175d)]}));if(_0x496d4c&&!_0x3f65c0()[_0x8e77db(0x1360)](_0x26e62f,['id',_0x496d4c['id']])){const _0xf1511e=_0x3f65c0()[_0x8e77db(0xc84)](_0x35b4fe[_0x8e77db(0x23c0)],{'id':_0x496d4c['id']});_0xf1511e[_0x8e77db(0x8ff)]=![],_0x26e62f['push'](_0xf1511e);}_0x35b4fe[_0x8e77db(0x23c0)]=_0x26e62f;});}})[_0x19bac0(0x129e)](function(_0x4355d1){const _0x3d2d0f=_0x19bac0;_0x1b41b5[_0x3d2d0f(0x1980)]({'title':_0x4355d1[_0x3d2d0f(0x107b)]?_0x3d2d0f(0x262a)+_0x4355d1[_0x3d2d0f(0x107b)]+'\x20-\x20'+_0x4355d1['statusText']:'SYSTEM:GETagents','msg':_0x4355d1[_0x3d2d0f(0x524)]?JSON['stringify'](_0x4355d1[_0x3d2d0f(0x524)]):_0x4355d1[_0x3d2d0f(0xd5f)]()});});function _0x3f429e(){const _0x1db648=_0x19bac0;_0x35b4fe[_0x1db648(0xcef)]=[],_0x28de48[_0x1db648(0xd57)][_0x1db648(0x5ed)]({'id':_0x21ebc4[_0x1db648(0x16a)]['id']},_0x35b4fe['allCondition'])[_0x1db648(0x2945)][_0x1db648(0x146b)](function(_0x382c63){const _0x2b68e3=_0x1db648;_0x35b4fe['allconditions'][_0x2b68e3(0xb3d)](_0x382c63['toJSON']()),_0x1b41b5['success']({'title':'AllCondition\x20properly\x20created','msg':_0x35b4fe[_0x2b68e3(0x20e6)][_0x2b68e3(0x19eb)]?_0x35b4fe[_0x2b68e3(0x20e6)][_0x2b68e3(0x19eb)]+_0x2b68e3(0x1386):''}),_0x2e8dae(_0x382c63);})['catch'](function(_0x194806){const _0xcad2c4=_0x1db648;if(_0x194806[_0xcad2c4(0x524)]&&_0x194806[_0xcad2c4(0x524)][_0xcad2c4(0xcef)]&&_0x194806[_0xcad2c4(0x524)][_0xcad2c4(0xcef)][_0xcad2c4(0x402)]){_0x35b4fe[_0xcad2c4(0xcef)]=_0x194806[_0xcad2c4(0x524)][_0xcad2c4(0xcef)]||[{'message':_0x194806[_0xcad2c4(0xd5f)](),'type':'api.condition.save'}];for(let _0x29f6dd=0x0;_0x29f6dd<_0x194806['data']['errors']['length'];_0x29f6dd+=0x1){_0x1b41b5[_0xcad2c4(0x1980)]({'title':_0x194806['data'][_0xcad2c4(0xcef)][_0x29f6dd]['type'],'msg':_0x194806[_0xcad2c4(0x524)][_0xcad2c4(0xcef)][_0x29f6dd][_0xcad2c4(0x7fd)]});}}else _0x1b41b5[_0xcad2c4(0x1980)]({'title':_0x194806[_0xcad2c4(0x107b)]?_0xcad2c4(0x262a)+_0x194806[_0xcad2c4(0x107b)]+_0xcad2c4(0x1315)+_0x194806[_0xcad2c4(0x167f)]:_0xcad2c4(0x117c),'msg':_0x194806['data']?JSON[_0xcad2c4(0x10bb)](_0x194806[_0xcad2c4(0x524)][_0xcad2c4(0x7fd)]):_0x194806[_0xcad2c4(0xd5f)]()});});}function _0x18764a(){const _0x574b5d=_0x19bac0;_0x35b4fe[_0x574b5d(0xcef)]=[],_0x28de48[_0x574b5d(0x115f)][_0x574b5d(0x18e1)]({'id':_0x35b4fe['allCondition']['id']},_0x35b4fe['allCondition'])['$promise'][_0x574b5d(0x146b)](function(_0x39c1bd){const _0x481f4e=_0x574b5d,_0x7521fa=_0x3f65c0()['find'](_0x35b4fe[_0x481f4e(0x19e5)],{'id':_0x39c1bd['id']});_0x7521fa&&_0x3f65c0()[_0x481f4e(0x168d)](_0x7521fa,_0x3f65c0()['pick'](_0x39c1bd[_0x481f4e(0x2488)](),_0x3f65c0()['keys'](_0x7521fa))),_0x1b41b5['success']({'title':_0x481f4e(0x1441),'msg':_0x35b4fe[_0x481f4e(0x20e6)][_0x481f4e(0x19eb)]?_0x35b4fe[_0x481f4e(0x20e6)][_0x481f4e(0x19eb)]+'\x20has\x20been\x20saved!':''}),_0x2e8dae(_0x39c1bd);})[_0x574b5d(0x129e)](function(_0x1cf820){const _0x7c1022=_0x574b5d;if(_0x1cf820[_0x7c1022(0x524)]&&_0x1cf820[_0x7c1022(0x524)][_0x7c1022(0xcef)]&&_0x1cf820[_0x7c1022(0x524)][_0x7c1022(0xcef)]['length']){_0x35b4fe[_0x7c1022(0xcef)]=_0x1cf820[_0x7c1022(0x524)][_0x7c1022(0xcef)]||[{'message':_0x1cf820['toString'](),'type':_0x7c1022(0x232f)}];for(let _0x24c5b1=0x0;_0x24c5b1<_0x1cf820[_0x7c1022(0x524)]['errors'][_0x7c1022(0x402)];_0x24c5b1++){_0x1b41b5['error']({'title':_0x1cf820[_0x7c1022(0x524)][_0x7c1022(0xcef)][_0x24c5b1][_0x7c1022(0x1142)],'msg':_0x1cf820['data'][_0x7c1022(0xcef)][_0x24c5b1]['message']});}}else _0x1b41b5[_0x7c1022(0x1980)]({'title':_0x1cf820[_0x7c1022(0x107b)]?_0x7c1022(0x262a)+_0x1cf820['status']+'\x20-\x20'+_0x1cf820['statusText']:_0x7c1022(0x232f),'msg':_0x1cf820['data']?JSON[_0x7c1022(0x10bb)](_0x1cf820[_0x7c1022(0x524)][_0x7c1022(0x7fd)]):_0x1cf820[_0x7c1022(0xd5f)]()});});}function _0x4fbe06(_0x21548f){const _0x5bc9fe=_0x19bac0;_0x35b4fe[_0x5bc9fe(0xcef)]=[];const _0x2fdb73=_0x11b6f6[_0x5bc9fe(0x1e8a)]()[_0x5bc9fe(0x1189)](_0x5bc9fe(0x1d64))['content'](_0x5bc9fe(0x25ac))[_0x5bc9fe(0x4bd)](_0x5bc9fe(0x16e1))['ok'](_0x5bc9fe(0x25de))['cancel']('Cancel')['targetEvent'](_0x21548f);_0x11b6f6[_0x5bc9fe(0x2615)](_0x2fdb73)['then'](function(){const _0x1ea629=_0x5bc9fe;_0x28de48[_0x1ea629(0x115f)][_0x1ea629(0x1fac)]({'id':_0x35b4fe['allCondition']['id']})[_0x1ea629(0x2945)]['then'](function(){const _0x2059e8=_0x1ea629;_0x3f65c0()['remove'](_0x35b4fe[_0x2059e8(0x19e5)],{'id':_0x35b4fe[_0x2059e8(0x20e6)]['id']}),_0x1b41b5[_0x2059e8(0x1c75)]({'title':'AllCondition\x20properly\x20deleted!','msg':(_0x35b4fe[_0x2059e8(0x20e6)][_0x2059e8(0x19eb)]||_0x2059e8(0x20e6))+'\x20has\x20been\x20deleted!'}),_0x2e8dae(_0x35b4fe['allCondition']);})['catch'](function(_0x18880d){const _0x159234=_0x1ea629;if(_0x18880d['data']&&_0x18880d[_0x159234(0x524)]['errors']&&_0x18880d[_0x159234(0x524)][_0x159234(0xcef)][_0x159234(0x402)]){_0x35b4fe['errors']=_0x18880d[_0x159234(0x524)]['errors']||[{'message':_0x18880d[_0x159234(0xd5f)](),'type':'api.condition.delete'}];for(let _0x1cb24f=0x0;_0x1cb24f<_0x18880d[_0x159234(0x524)][_0x159234(0xcef)][_0x159234(0x402)];_0x1cb24f++){_0x1b41b5['error']({'title':_0x18880d[_0x159234(0x524)]['errors'][_0x1cb24f][_0x159234(0x1142)],'msg':_0x18880d[_0x159234(0x524)][_0x159234(0xcef)][_0x1cb24f][_0x159234(0x7fd)]});}}else _0x1b41b5[_0x159234(0x1980)]({'title':_0x18880d['status']?'API:'+_0x18880d['status']+_0x159234(0x1315)+_0x18880d[_0x159234(0x167f)]:_0x159234(0x1cc9),'msg':_0x18880d[_0x159234(0x524)]?JSON['stringify'](_0x18880d['data'][_0x159234(0x7fd)]):_0x18880d[_0x159234(0x7fd)]||_0x18880d[_0x159234(0xd5f)]()});});},function(){});}function _0x2097a9(_0x5d4164){return _0x5d4164===null?undefined:new Date(_0x5d4164);}function _0x2e8dae(_0xaac7f7){const _0xcd8eed=_0x19bac0;_0x11b6f6[_0xcd8eed(0x2458)](_0xaac7f7);}}const _0x149230=_0x14d507;;const _0x2b8b10=_0x4acfac['p']+_0x313a4d(0x2202);;_0x5b68fe[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$q','$translate',_0x313a4d(0x1abe),'$mdDialog','$document',_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0xa87)];function _0x5b68fe(_0x248def,_0x2249d7,_0x1d5afb,_0x3f07f4,_0x1e89da,_0x525dd7,_0x548ed0,_0x5e95ee,_0x1fbef6){const _0x48bb92=_0x313a4d,_0x2eda9a=this;_0x2eda9a['currentUser']=_0x1fbef6[_0x48bb92(0xb12)](),_0x2eda9a[_0x48bb92(0xd57)]={},_0x2eda9a['triggerAnyConditions']={'count':0x0,'rows':[]},_0x2eda9a[_0x48bb92(0x8ed)]=[],_0x2eda9a[_0x48bb92(0x1a56)]={'fields':_0x48bb92(0x261),'limit':0xa,'page':0x1},_0x2eda9a['fields']={'queue':_0x48bb92(0xe26),'lastevent':_0x48bb92(0x13a7),'routeId':_0x48bb92(0x1a8f),'agent':_0x48bb92(0x294a),'account':_0x48bb92(0xb48),'interaction':_0x48bb92(0x2297),'message':_0x48bb92(0xe2)},_0x2eda9a[_0x48bb92(0x147)]={'abandoned':'Abandon','timeout':_0x48bb92(0x5cc),'complete':_0x48bb92(0x2626),'rejected':'Reject','called':_0x48bb92(0x218f),'connect':_0x48bb92(0x21c0),'nosuchnumber':'NoSuchNumber','busy':_0x48bb92(0x22ae),'noanswer':_0x48bb92(0xcf6),'congestion':'Congestion','agentreject':_0x48bb92(0x1eb)},_0x2eda9a['messageStatuses']={'in':_0x48bb92(0x2496),'out':'Outgoing','accept':_0x48bb92(0xbf6),'reject':_0x48bb92(0x27f6)},_0x2eda9a['onInit']=_0x9a37c6,_0x2eda9a[_0x48bb92(0x1c75)]=_0x274b30,_0x2eda9a[_0x48bb92(0x3ad)]=_0x22ca8c,_0x2eda9a[_0x48bb92(0xa3c)]=_0x55411e,_0x2eda9a[_0x48bb92(0x23d0)]=_0x5037d9,_0x2eda9a[_0x48bb92(0x19b4)]=_0x434b51,_0x2eda9a[_0x48bb92(0xd09)]=_0x1f4152,_0x2eda9a['deleteSelectedTriggerAnyConditions']=_0x24f469;function _0x9a37c6(_0x11cd29,_0x576918){const _0x5ed90b=_0x48bb92;_0x2eda9a[_0x5ed90b(0xd57)]=_0x11cd29,_0x2eda9a['crudPermissions']=typeof _0x576918!==_0x5ed90b(0x2274)?_0x576918:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x2eda9a[_0x5ed90b(0x1a56)][_0x5ed90b(0x9e6)]=_0x2eda9a[_0x5ed90b(0xd57)]['id'],_0x2eda9a[_0x5ed90b(0x1a56)]['id']=_0x2eda9a[_0x5ed90b(0xd57)]['id'],_0x22ca8c();}function _0x3b1eb1(){const _0x30ce3f=_0x48bb92,_0x345e87=_0x2eda9a[_0x30ce3f(0xd57)]['channel']+_0x2eda9a[_0x30ce3f(0xd57)][_0x30ce3f(0x2894)]==='chat'?_0x30ce3f(0x1444):_0x30ce3f(0x1164),_0xa38dac=_0x2eda9a['trigger'][_0x30ce3f(0x2894)]+(_0x2eda9a[_0x30ce3f(0xd57)][_0x30ce3f(0x2894)]===_0x30ce3f(0xa7f)?'Website':_0x30ce3f(0xb48));let _0x58e9d4;switch(_0x2eda9a[_0x30ce3f(0xd57)][_0x30ce3f(0x2894)]){case _0x30ce3f(0xa7f):_0x58e9d4=0x1f6;break;case'fax':_0x58e9d4=0x386;break;case _0x30ce3f(0x56b):_0x58e9d4=0x25a;break;case _0x30ce3f(0x7d9):_0x58e9d4=0x322;break;case _0x30ce3f(0x929):_0x58e9d4=0x2be;break;case _0x30ce3f(0xff9):_0x58e9d4=0x836;break;}if(_0x1fbef6[_0x30ce3f(0x13ff)]()){const _0x4b63d0=_0x2eda9a[_0x30ce3f(0xd57)][_0x30ce3f(0x2894)];_0x5e95ee[_0xa38dac][_0x30ce3f(0x16b4)]({'fields':_0x30ce3f(0x7a7),'sort':'id','nolimit':_0x30ce3f(0x1185)})[_0x30ce3f(0x2945)]['then'](function(_0x37e30a){const _0x59563b=_0x30ce3f;_0x2eda9a[_0x345e87]=_0x37e30a[_0x59563b(0x19c7)]||[];})[_0x30ce3f(0x129e)](function(_0x26c841){const _0x765c5f=_0x30ce3f;_0x548ed0[_0x765c5f(0x1980)]({'title':_0x26c841[_0x765c5f(0x107b)]?_0x765c5f(0x262a)+_0x26c841[_0x765c5f(0x107b)]+'\x20-\x20'+_0x26c841[_0x765c5f(0x167f)]:'SYSTEM:GET_'+_0x4b63d0[_0x765c5f(0x1c37)]()+_0x4b63d0===_0x765c5f(0xa7f)?'WEBSITES':'ACCOUNTS','msg':_0x26c841[_0x765c5f(0x524)]?JSON[_0x765c5f(0x10bb)](_0x26c841['data']):_0x26c841[_0x765c5f(0xd5f)]()});});}else{const _0x5abe42=_0x2eda9a[_0x30ce3f(0xd57)][_0x30ce3f(0x2894)];_0x5e95ee[_0xa38dac][_0x30ce3f(0x16b4)]({'fields':'id,name','sort':'id','nolimit':_0x30ce3f(0x1185)})['$promise'][_0x30ce3f(0x146b)](function(_0x337b4b){_0x2eda9a[_0x345e87]=_0x337b4b['rows']||[];})[_0x30ce3f(0x146b)](function(){const _0x32ab23=_0x30ce3f;return _0x5e95ee[_0x32ab23(0x1366)][_0x32ab23(0x16b4)]({'userProfileId':_0x2eda9a[_0x32ab23(0x2321)][_0x32ab23(0x209a)],'sectionId':_0x58e9d4})['$promise'];})[_0x30ce3f(0x146b)](function(_0x1ac0a3){const _0x3821aa=_0x30ce3f,_0x263141=_0x1ac0a3&&_0x1ac0a3['rows']?_0x1ac0a3['rows'][0x0]:null;if(!_0x263141){const _0x4752f7=[],_0x407cf8=[];_0x2eda9a[_0x3821aa(0x393)][_0x3821aa(0x19c7)][_0x3821aa(0x1df5)](function(_0xdde1a2){const _0x3747fa=_0x3821aa;if(_0xdde1a2[_0x3747fa(0x65c)]==='account'){const _0x16cc79=_0x3f65c0()[_0x3747fa(0xc84)](_0x2eda9a[_0x345e87],{'id':Number(_0xdde1a2[_0x3747fa(0x175d)])});_0x407cf8[_0x3747fa(0x1f47)](_0x16cc79);}});for(let _0x4f4a80=0x0;_0x4f4a80<_0x2eda9a[_0x345e87]['length'];_0x4f4a80++){const _0x493f7e=_0x3f65c0()[_0x3821aa(0x1360)](_0x407cf8,{'id':_0x2eda9a[_0x345e87][_0x4f4a80]['id']});_0x493f7e&&(_0x2eda9a[_0x345e87][_0x4f4a80][_0x3821aa(0x8ff)]=![],_0x4752f7[_0x3821aa(0x1f47)](_0x2eda9a[_0x345e87][_0x4f4a80]));}_0x2eda9a[_0x345e87]=_0x4752f7;}else{if(!_0x263141[_0x3821aa(0x11d2)])return _0x5e95ee[_0x3821aa(0xdcc)]['get']({'sectionId':_0x263141['id']})[_0x3821aa(0x2945)][_0x3821aa(0x146b)](function(_0x1e1064){const _0x21c92b=_0x3821aa,_0x1ed5d2=_0x3f65c0()[_0x21c92b(0x205)](_0x1e1064['rows'],function(_0x5321d6){const _0x3c4fc7=_0x21c92b;return _0x3f65c0()[_0x3c4fc7(0xc84)](_0x2eda9a[_0x345e87],{'id':_0x5321d6[_0x3c4fc7(0x18b8)]});});let _0x24faf0=null;_0x24faf0=[],_0x2eda9a[_0x21c92b(0x393)][_0x21c92b(0x19c7)][_0x21c92b(0x1df5)](function(_0x3efae5){const _0x2a5715=_0x21c92b;if(_0x3efae5[_0x2a5715(0x65c)]===_0x2a5715(0x1f8e)){const _0x414cb5=_0x3f65c0()[_0x2a5715(0xc84)](_0x2eda9a[_0x345e87],{'id':Number(_0x3efae5['value'])});_0x24faf0[_0x2a5715(0x1f47)](_0x414cb5);}}),!_0x3f65c0()[_0x21c92b(0x2635)](_0x24faf0)&&_0x24faf0[_0x21c92b(0x1df5)](function(_0x3cdb90){const _0x3c4ecc=_0x21c92b;if(!_0x3f65c0()[_0x3c4ecc(0x1360)](_0x1ed5d2,['id',_0x3cdb90['id']])){const _0x541f38=_0x3f65c0()[_0x3c4ecc(0xc84)](_0x2eda9a[_0x345e87],{'id':_0x3cdb90['id']});_0x541f38[_0x3c4ecc(0x8ff)]=![],_0x1ed5d2[_0x3c4ecc(0x1f47)](_0x541f38);}}),_0x2eda9a[_0x345e87]=_0x1ed5d2;});}})['catch'](function(_0x2fc037){const _0x17fae5=_0x30ce3f;_0x548ed0['error']({'title':_0x2fc037[_0x17fae5(0x107b)]?_0x17fae5(0x262a)+_0x2fc037[_0x17fae5(0x107b)]+_0x17fae5(0x1315)+_0x2fc037[_0x17fae5(0x167f)]:_0x17fae5(0x290e)+_0x5abe42[_0x17fae5(0x1c37)]()+_0x5abe42===_0x17fae5(0xa7f)?_0x17fae5(0x1256):_0x17fae5(0x78e),'msg':_0x2fc037[_0x17fae5(0x524)]?JSON[_0x17fae5(0x10bb)](_0x2fc037['data']):_0x2fc037[_0x17fae5(0xd5f)]()});});}}function _0x5d87eb(){const _0x4321a8=_0x48bb92,_0x5f5405=[{'id':0x191,'type':_0x4321a8(0x7b0)},{'id':0x5dd,'type':_0x4321a8(0xc9c)}];let _0x5a0060=null;_0x1fbef6[_0x4321a8(0x13ff)]()?_0x5e95ee[_0x4321a8(0x1446)]['get']({'fields':'id,name,type','sort':'name','nolimit':_0x4321a8(0x1185)})['$promise']['then'](function(_0x451c65){const _0x2fbfae=_0x4321a8;_0x2eda9a[_0x2fbfae(0x1b32)]=_0x451c65[_0x2fbfae(0x19c7)]||[];})[_0x4321a8(0x129e)](function(_0x1d85ee){const _0x501d7d=_0x4321a8;_0x548ed0['error']({'title':_0x1d85ee[_0x501d7d(0x107b)]?_0x501d7d(0x262a)+_0x1d85ee[_0x501d7d(0x107b)]+_0x501d7d(0x1315)+_0x1d85ee[_0x501d7d(0x167f)]:_0x501d7d(0x12cf),'msg':_0x1d85ee[_0x501d7d(0x524)]?JSON['stringify'](_0x1d85ee[_0x501d7d(0x524)]):_0x1d85ee[_0x501d7d(0xd5f)]()});}):_0x5e95ee[_0x4321a8(0x1446)][_0x4321a8(0x16b4)]({'fields':_0x4321a8(0x45e),'sort':'name','nolimit':_0x4321a8(0x1185)})['$promise']['then'](function(_0x3fd4ae){const _0x4047f2=_0x4321a8;_0x5a0060=_0x3fd4ae[_0x4047f2(0x19c7)]||[];})['then'](function(){const _0x110f68=_0x4321a8,_0x1af55a=[];for(let _0x488a8c=0x0;_0x488a8c<_0x5f5405['length'];_0x488a8c++){_0x1af55a['push'](_0x5e95ee[_0x110f68(0x1366)]['get']({'userProfileId':_0x2eda9a[_0x110f68(0x2321)]['userProfileId'],'sectionId':_0x5f5405[_0x488a8c]['id']})[_0x110f68(0x2945)]);}return _0x2249d7[_0x110f68(0x1be2)](_0x1af55a);})[_0x4321a8(0x146b)](function(_0x172e31){const _0x1560e7=_0x4321a8,_0x34d5ed=_0x3f65c0()[_0x1560e7(0x205)](_0x172e31,function(_0x427e4c){const _0xc81229=_0x1560e7;return _0x427e4c&&_0x427e4c[_0xc81229(0x19c7)]?_0x427e4c['rows'][0x0]:null;}),_0x493bb2=[];for(let _0x2118c9=0x0;_0x2118c9<_0x5f5405['length'];_0x2118c9++){const _0x1a387f=_0x3f65c0()[_0x1560e7(0xc84)](_0x34d5ed,['sectionId',_0x5f5405[_0x2118c9]['id']]);if(_0x1a387f){if(!_0x1a387f[_0x1560e7(0x11d2)])_0x493bb2['push'](_0x5e95ee[_0x1560e7(0xdcc)][_0x1560e7(0x16b4)]({'sectionId':_0x1a387f['id']})[_0x1560e7(0x2945)]);else{const _0x383c4f=[];_0x5a0060[_0x1560e7(0x1df5)](function(_0x55d7dc){const _0xb5ca2f=_0x1560e7;_0x55d7dc['type']===_0x5f5405[_0x2118c9][_0xb5ca2f(0x1142)]&&_0x383c4f['push'](_0x55d7dc);}),_0x493bb2[_0x1560e7(0x1f47)]({'rows':_0x383c4f,'count':_0x383c4f['length']});}}}return _0x2249d7[_0x1560e7(0x1be2)](_0x493bb2);})[_0x4321a8(0x146b)](function(_0x171965){const _0x4975fa=_0x4321a8,_0x20ce07=[],_0x3a3251=[];_0x2eda9a[_0x4975fa(0x393)]['rows']['forEach'](function(_0x48af0e){const _0x51a8d4=_0x4975fa;if(_0x48af0e[_0x51a8d4(0x65c)]===_0x51a8d4(0x1c72)){const _0x5dcdcb=_0x3f65c0()['find'](_0x5a0060,{'name':_0x48af0e[_0x51a8d4(0x175d)]});_0x3a3251[_0x51a8d4(0x1f47)](_0x5dcdcb);}});for(let _0x143884=0x0;_0x143884<_0x171965[_0x4975fa(0x402)];_0x143884++){const _0x3c9e1f=_0x171965[_0x143884][_0x4975fa(0x19c7)]||[];_0x3c9e1f[_0x4975fa(0x1df5)](function(_0x30dc0f){const _0x55953d=_0x4975fa;_0x20ce07[_0x55953d(0x1f47)](_0x30dc0f);});}!_0x3f65c0()[_0x4975fa(0x2635)](_0x3a3251)&&_0x3a3251['forEach'](function(_0x9e96cb){const _0xd59853=_0x4975fa;if(!_0x3f65c0()[_0xd59853(0x1360)](_0x20ce07,['resourceId',_0x9e96cb['id']])){const _0x1f509a=_0x3f65c0()[_0xd59853(0xc84)](_0x5a0060,{'id':_0x9e96cb['id']});_0x1f509a['canSelect']=![],_0x20ce07[_0xd59853(0x1f47)](_0x1f509a);}}),_0x2eda9a[_0x4975fa(0x1b32)]=_0x20ce07;})[_0x4321a8(0x129e)](function(_0x3e6b9a){const _0x2f2753=_0x4321a8;_0x548ed0[_0x2f2753(0x1980)]({'title':_0x3e6b9a['status']?_0x2f2753(0x262a)+_0x3e6b9a[_0x2f2753(0x107b)]+_0x2f2753(0x1315)+_0x3e6b9a[_0x2f2753(0x167f)]:_0x2f2753(0x22d8),'msg':_0x3e6b9a[_0x2f2753(0x524)]?JSON['stringify'](_0x3e6b9a[_0x2f2753(0x524)]):_0x3e6b9a[_0x2f2753(0xd5f)]()});});}function _0x556d70(){const _0x48b2a0=_0x48bb92;_0x1fbef6['isAdmin']()?_0x5e95ee[_0x48b2a0(0xd47)][_0x48b2a0(0x16b4)]({'fields':_0x48b2a0(0xd36),'type':_0x48b2a0(0xc9c),'VoiceExtensionId':'null','nolimit':_0x48b2a0(0x1185)})['$promise'][_0x48b2a0(0x146b)](function(_0x19ab66){const _0x238af1=_0x48b2a0;_0x2eda9a[_0x238af1(0x966)]=_0x19ab66[_0x238af1(0x19c7)]||[];})[_0x48b2a0(0x129e)](function(_0x514f1d){const _0x489a7d=_0x48b2a0;_0x548ed0[_0x489a7d(0x1980)]({'title':_0x514f1d[_0x489a7d(0x107b)]?_0x489a7d(0x262a)+_0x514f1d[_0x489a7d(0x107b)]+'\x20-\x20'+_0x514f1d['statusText']:_0x489a7d(0x104f),'msg':_0x514f1d[_0x489a7d(0x524)]?JSON['stringify'](_0x514f1d[_0x489a7d(0x524)]):_0x514f1d['toString']()});}):_0x5e95ee[_0x48b2a0(0xd47)][_0x48b2a0(0x16b4)]({'fields':_0x48b2a0(0xd36),'type':'outbound','VoiceExtensionId':_0x48b2a0(0x203c),'nolimit':_0x48b2a0(0x1185)})[_0x48b2a0(0x2945)][_0x48b2a0(0x146b)](function(_0x4deb55){const _0x7268a7=_0x48b2a0;_0x2eda9a[_0x7268a7(0x966)]=_0x4deb55[_0x7268a7(0x19c7)]||[];})['then'](function(){const _0x7bdadb=_0x48b2a0;return _0x5e95ee[_0x7bdadb(0x1366)][_0x7bdadb(0x16b4)]({'userProfileId':_0x2eda9a[_0x7bdadb(0x2321)][_0x7bdadb(0x209a)],'sectionId':0x193})[_0x7bdadb(0x2945)];})['then'](function(_0x389aa3){const _0x56ad44=_0x48b2a0,_0x36c28f=_0x389aa3&&_0x389aa3[_0x56ad44(0x19c7)]?_0x389aa3[_0x56ad44(0x19c7)][0x0]:null;if(!_0x36c28f){const _0x1ee582=[],_0x40be78=[];_0x2eda9a[_0x56ad44(0x393)][_0x56ad44(0x19c7)][_0x56ad44(0x1df5)](function(_0x3defe4){const _0x4fb8da=_0x56ad44;if(_0x3defe4['field']==='routeId'){const _0x3b204f=_0x3f65c0()[_0x4fb8da(0xc84)](_0x2eda9a[_0x4fb8da(0x966)],{'id':Number(_0x3defe4['value'])});_0x40be78['push'](_0x3b204f);}});for(let _0x58ceba=0x0;_0x58ceba<_0x2eda9a[_0x56ad44(0x966)]['length'];_0x58ceba++){const _0x255fef=_0x3f65c0()['some'](_0x40be78,{'id':_0x2eda9a[_0x56ad44(0x966)][_0x58ceba]['id']});_0x255fef&&(_0x2eda9a[_0x56ad44(0x966)][_0x58ceba][_0x56ad44(0x8ff)]=![],_0x1ee582['push'](_0x2eda9a[_0x56ad44(0x966)][_0x58ceba]));}_0x2eda9a[_0x56ad44(0x966)]=_0x1ee582;}else{if(!_0x36c28f[_0x56ad44(0x11d2)])return _0x5e95ee[_0x56ad44(0xdcc)][_0x56ad44(0x16b4)]({'sectionId':_0x36c28f['id']})[_0x56ad44(0x2945)]['then'](function(_0x13221e){const _0x135aa6=_0x56ad44,_0x1fddf0=_0x3f65c0()[_0x135aa6(0x205)](_0x13221e[_0x135aa6(0x19c7)],function(_0x343a08){const _0x14218a=_0x135aa6;return _0x3f65c0()[_0x14218a(0xc84)](_0x2eda9a[_0x14218a(0x966)],{'id':_0x343a08[_0x14218a(0x18b8)]});});let _0x56bf13=null;_0x56bf13=[],_0x2eda9a[_0x135aa6(0x393)][_0x135aa6(0x19c7)][_0x135aa6(0x1df5)](function(_0x2526ab){const _0x2d2c75=_0x135aa6;if(_0x2526ab[_0x2d2c75(0x65c)]===_0x2d2c75(0x27c7)){const _0x5398a8=_0x3f65c0()[_0x2d2c75(0xc84)](_0x2eda9a['outboundRoutes'],{'id':Number(_0x2526ab[_0x2d2c75(0x175d)])});_0x56bf13['push'](_0x5398a8);}}),!_0x3f65c0()[_0x135aa6(0x2635)](_0x56bf13)&&_0x56bf13['forEach'](function(_0x125413){const _0x2bfee7=_0x135aa6;if(!_0x3f65c0()[_0x2bfee7(0x1360)](_0x1fddf0,['id',_0x125413['id']])){const _0x54c47d=_0x3f65c0()[_0x2bfee7(0xc84)](_0x2eda9a[_0x2bfee7(0x966)],{'id':_0x125413['id']});_0x54c47d[_0x2bfee7(0x8ff)]=![],_0x1fddf0[_0x2bfee7(0x1f47)](_0x54c47d);}}),_0x2eda9a[_0x135aa6(0x966)]=_0x1fddf0;});}})[_0x48b2a0(0x129e)](function(_0x2f1e19){const _0x10ca60=_0x48b2a0;_0x548ed0[_0x10ca60(0x1980)]({'title':_0x2f1e19[_0x10ca60(0x107b)]?_0x10ca60(0x262a)+_0x2f1e19['status']+'\x20-\x20'+_0x2f1e19['statusText']:_0x10ca60(0x104f),'msg':_0x2f1e19[_0x10ca60(0x524)]?JSON[_0x10ca60(0x10bb)](_0x2f1e19[_0x10ca60(0x524)]):_0x2f1e19[_0x10ca60(0xd5f)]()});});}function _0x1f9e66(){const _0x191cb2=_0x48bb92;_0x1fbef6[_0x191cb2(0x13ff)]()?_0x5e95ee[_0x191cb2(0xe7b)]['get']({'fields':_0x191cb2(0x12bf),'sort':_0x191cb2(0x19eb),'role':_0x191cb2(0x1755),'nolimit':'true'})[_0x191cb2(0x2945)][_0x191cb2(0x146b)](function(_0x275505){const _0x4df3f6=_0x191cb2;_0x2eda9a[_0x4df3f6(0x23c0)]=_0x275505['rows']||[];})[_0x191cb2(0x129e)](function(_0x12f08c){const _0x2505f1=_0x191cb2;_0x548ed0[_0x2505f1(0x1980)]({'title':_0x12f08c[_0x2505f1(0x107b)]?_0x2505f1(0x262a)+_0x12f08c[_0x2505f1(0x107b)]+_0x2505f1(0x1315)+_0x12f08c[_0x2505f1(0x167f)]:_0x2505f1(0x557),'msg':_0x12f08c[_0x2505f1(0x524)]?JSON[_0x2505f1(0x10bb)](_0x12f08c[_0x2505f1(0x524)]):_0x12f08c[_0x2505f1(0xd5f)]()});}):_0x5e95ee['user'][_0x191cb2(0x16b4)]({'fields':'id,name,fullname','sort':_0x191cb2(0x19eb),'role':_0x191cb2(0x1755),'nolimit':_0x191cb2(0x1185)})[_0x191cb2(0x2945)]['then'](function(_0x1d1095){const _0x137f09=_0x191cb2;_0x2eda9a['agents']=_0x1d1095[_0x137f09(0x19c7)]||[];})[_0x191cb2(0x146b)](function(){const _0x369b1a=_0x191cb2;return _0x5e95ee[_0x369b1a(0x1366)][_0x369b1a(0x16b4)]({'userProfileId':_0x2eda9a['currentUser'][_0x369b1a(0x209a)],'sectionId':0xca})[_0x369b1a(0x2945)];})[_0x191cb2(0x146b)](function(_0x678357){const _0x474abd=_0x191cb2,_0x471918=_0x678357&&_0x678357[_0x474abd(0x19c7)]?_0x678357[_0x474abd(0x19c7)][0x0]:null;if(!_0x471918){const _0x2ed1e4=[],_0x25339c=[];_0x2eda9a[_0x474abd(0x393)][_0x474abd(0x19c7)][_0x474abd(0x1df5)](function(_0x5efc0e){const _0x405581=_0x474abd;if(_0x5efc0e[_0x405581(0x65c)]===_0x405581(0x1755)){const _0x29a632=_0x3f65c0()[_0x405581(0xc84)](_0x2eda9a[_0x405581(0x23c0)],{'name':_0x5efc0e[_0x405581(0x175d)]});_0x25339c[_0x405581(0x1f47)](_0x29a632);}});for(let _0x5e3fc6=0x0;_0x5e3fc6<_0x2eda9a[_0x474abd(0x23c0)][_0x474abd(0x402)];_0x5e3fc6++){const _0x136647=_0x3f65c0()[_0x474abd(0x1360)](_0x25339c,{'id':_0x2eda9a[_0x474abd(0x23c0)][_0x5e3fc6]['id']});_0x136647&&(_0x2eda9a[_0x474abd(0x23c0)][_0x5e3fc6][_0x474abd(0x8ff)]=![],_0x2ed1e4[_0x474abd(0x1f47)](_0x2eda9a[_0x474abd(0x23c0)][_0x5e3fc6]));}_0x2eda9a[_0x474abd(0x23c0)]=_0x2ed1e4;}else{if(!_0x471918[_0x474abd(0x11d2)])return _0x5e95ee[_0x474abd(0xdcc)]['get']({'sectionId':_0x471918['id']})[_0x474abd(0x2945)][_0x474abd(0x146b)](function(_0xad8768){const _0x32729d=_0x474abd,_0x35575f=_0x3f65c0()[_0x32729d(0x205)](_0xad8768['rows'],function(_0xe9bab3){const _0x46a8b6=_0x32729d;return _0x3f65c0()['find'](_0x2eda9a['agents'],{'id':_0xe9bab3[_0x46a8b6(0x18b8)]});});let _0x25e917=null;_0x25e917=[],_0x2eda9a[_0x32729d(0x393)][_0x32729d(0x19c7)][_0x32729d(0x1df5)](function(_0x5482b9){const _0xf739e0=_0x32729d;if(_0x5482b9[_0xf739e0(0x65c)]==='agent'){const _0x1b04ea=_0x3f65c0()[_0xf739e0(0xc84)](_0x2eda9a['agents'],{'name':_0x5482b9[_0xf739e0(0x175d)]});_0x25e917[_0xf739e0(0x1f47)](_0x1b04ea);}}),!_0x3f65c0()['isEmpty'](_0x25e917)&&_0x25e917[_0x32729d(0x1df5)](function(_0x339dab){const _0x2cad50=_0x32729d;if(!_0x3f65c0()['some'](_0x35575f,['id',_0x339dab['id']])){const _0x37f118=_0x3f65c0()[_0x2cad50(0xc84)](_0x2eda9a[_0x2cad50(0x23c0)],{'id':_0x339dab['id']});_0x37f118['canSelect']=![],_0x35575f['push'](_0x37f118);}}),_0x2eda9a['agents']=_0x35575f;});}})['catch'](function(_0x71399e){const _0x4a77ef=_0x191cb2;_0x548ed0[_0x4a77ef(0x1980)]({'title':_0x71399e[_0x4a77ef(0x107b)]?_0x4a77ef(0x262a)+_0x71399e['status']+_0x4a77ef(0x1315)+_0x71399e[_0x4a77ef(0x167f)]:_0x4a77ef(0x557),'msg':_0x71399e[_0x4a77ef(0x524)]?JSON[_0x4a77ef(0x10bb)](_0x71399e['data']):_0x71399e['toString']()});});}function _0x1dd31a(){const _0x452c9d=_0x48bb92;if(_0x2eda9a[_0x452c9d(0xd57)][_0x452c9d(0x2894)]===_0x452c9d(0xe6)){if(_0x3f65c0()[_0x452c9d(0x1360)](_0x2eda9a['triggerAnyConditions'][_0x452c9d(0x19c7)],[_0x452c9d(0x65c),_0x452c9d(0x1c72)])){if(!_0x2eda9a[_0x452c9d(0x1b32)])_0x5d87eb();}if(_0x3f65c0()['some'](_0x2eda9a[_0x452c9d(0x393)][_0x452c9d(0x19c7)],['field',_0x452c9d(0x27c7)])){if(!_0x2eda9a[_0x452c9d(0x966)])_0x556d70();}if(_0x3f65c0()['some'](_0x2eda9a[_0x452c9d(0x393)][_0x452c9d(0x19c7)],[_0x452c9d(0x65c),'agent'])){if(!_0x2eda9a[_0x452c9d(0x23c0)])_0x1f9e66();}}else _0x3b1eb1();}function _0x274b30(_0xce3f8e){const _0x411c73=_0x48bb92;_0x2eda9a[_0x411c73(0x393)]=_0xce3f8e||{'count':0x0,'rows':[]},_0x1dd31a();}function _0x22ca8c(){const _0x50c1ef=_0x48bb92;_0x2eda9a[_0x50c1ef(0x1a56)][_0x50c1ef(0x145d)]=(_0x2eda9a['query'][_0x50c1ef(0x844)]-0x1)*_0x2eda9a[_0x50c1ef(0x1a56)][_0x50c1ef(0x221e)],_0x2eda9a[_0x50c1ef(0xb9c)]=_0x5e95ee[_0x50c1ef(0xd57)][_0x50c1ef(0x2b6)](_0x2eda9a[_0x50c1ef(0x1a56)],_0x274b30)['$promise'];}function _0x55411e(_0x153f37,_0xd8591c){const _0x213aec=_0x48bb92;_0x1e89da[_0x213aec(0x2615)]({'controller':_0x213aec(0x210d),'controllerAs':'vm','templateUrl':_0x2b8b10,'parent':angular[_0x213aec(0x1853)](_0x525dd7[_0x213aec(0x2586)]),'targetEvent':_0x153f37,'clickOutsideToClose':!![],'locals':{'trigger':_0x2eda9a[_0x213aec(0xd57)],'anyCondition':_0xd8591c,'anyConditions':_0x2eda9a['triggerAnyConditions'][_0x213aec(0x19c7)],'license':null,'setting':null,'crudPermissions':_0x2eda9a[_0x213aec(0x2514)]}})['then'](function(_0x2f530d){const _0x275fa7=_0x213aec;_0x2f530d&&(_0x2eda9a[_0x275fa7(0x393)]={'count':_0x2f530d['length'],'rows':_0x2f530d},_0x1dd31a());});}function _0x434b51(_0x5e6c19,_0x1bc73b){const _0x16d5ea=_0x48bb92,_0x366cad=_0x1e89da[_0x16d5ea(0x1e8a)]()[_0x16d5ea(0x1189)](_0x1d5afb[_0x16d5ea(0xde)](_0x16d5ea(0x1473)))[_0x16d5ea(0x4bd)](_0x16d5ea(0x2555))[_0x16d5ea(0x1f27)](_0x1bc73b)['ok']('OK')['cancel'](_0x1d5afb[_0x16d5ea(0xde)](_0x16d5ea(0x1161)));_0x1e89da[_0x16d5ea(0x2615)](_0x366cad)['then'](function(){_0x1f4152(_0x5e6c19,!![]);});}function _0x1f4152(_0x130e78,_0xd44c1f){const _0x24ad5e=_0x48bb92;_0x5e95ee[_0x24ad5e(0x115f)][_0x24ad5e(0x1fac)]({'id':_0x130e78['id']})[_0x24ad5e(0x2945)][_0x24ad5e(0x146b)](function(){const _0xd73a52=_0x24ad5e;_0x22ca8c(),_0xd44c1f&&_0x548ed0[_0xd73a52(0x1c75)]({'title':_0x1d5afb[_0xd73a52(0xde)]('TOOLS.NOTIFICATIONS.CONDITION_DELETED_SUCCESS_TITLE')});})[_0x24ad5e(0x129e)](function(_0x4abf43){const _0x1e0307=_0x24ad5e;if(_0x4abf43[_0x1e0307(0x524)]&&_0x4abf43[_0x1e0307(0x524)][_0x1e0307(0xcef)]&&_0x4abf43[_0x1e0307(0x524)][_0x1e0307(0xcef)][_0x1e0307(0x402)]){_0x2eda9a[_0x1e0307(0xcef)]=_0x4abf43[_0x1e0307(0x524)]['errors']||[{'message':_0x4abf43[_0x1e0307(0xd5f)](),'type':_0x1e0307(0x2593)}];for(let _0x1605d3=0x0;_0x1605d3<_0x4abf43[_0x1e0307(0x524)]['errors']['length'];_0x1605d3++){_0x548ed0[_0x1e0307(0x1980)]({'title':_0x4abf43[_0x1e0307(0x524)][_0x1e0307(0xcef)][_0x1605d3]['type'],'msg':_0x4abf43[_0x1e0307(0x524)]['errors'][_0x1605d3][_0x1e0307(0x7fd)]});}}else _0x548ed0[_0x1e0307(0x1980)]({'title':_0x4abf43[_0x1e0307(0x107b)]?'API:'+_0x4abf43['status']+_0x1e0307(0x1315)+_0x4abf43[_0x1e0307(0x167f)]:'SYSTEM:GETtrigger','msg':_0x4abf43[_0x1e0307(0x524)]?JSON[_0x1e0307(0x10bb)](_0x4abf43[_0x1e0307(0x524)][_0x1e0307(0x7fd)]):_0x4abf43[_0x1e0307(0x7fd)]||_0x4abf43[_0x1e0307(0xd5f)]()});});}function _0x5037d9(){const _0x1c164e=_0x48bb92,_0x4b68a4=angular[_0x1c164e(0x235a)](_0x2eda9a[_0x1c164e(0x8ed)]);return _0x2eda9a[_0x1c164e(0x8ed)]=[],_0x4b68a4;}function _0x24f469(_0x49dd38){const _0x57e13f=_0x48bb92,_0x6db662=_0x1e89da['confirm']()[_0x57e13f(0x1189)](_0x1d5afb['instant']('TOOLS.NOTIFICATIONS.CONDITIONS_DELETE_TITLE'))[_0x57e13f(0x80f)](_0x1d5afb['instant'](_0x57e13f(0x885),{'total':_0x2eda9a[_0x57e13f(0x8ed)][_0x57e13f(0x402)]}))['ariaLabel'](_0x57e13f(0x1bef))[_0x57e13f(0x1f27)](_0x49dd38)['ok']('OK')[_0x57e13f(0x6c3)](_0x1d5afb[_0x57e13f(0xde)]('APP.CANCEL'));_0x1e89da['show'](_0x6db662)[_0x57e13f(0x146b)](function(){const _0x536d95=_0x57e13f;_0x2eda9a['selectedTriggerAnyConditions'][_0x536d95(0x1df5)](function(_0xc139a){_0x1f4152(_0xc139a);}),_0x2eda9a['selectedTriggerAnyConditions']=[],_0x548ed0[_0x536d95(0x1c75)]({'title':_0x1d5afb['instant'](_0x536d95(0x249c))});});}let _0x1796d0=!![],_0xdd620b=0x1;_0x248def[_0x48bb92(0x21e8)](_0x48bb92(0x117f),function(_0x4f3854,_0x44b073){const _0x211e1d=_0x48bb92;_0x1796d0?_0x3f07f4(function(){_0x1796d0=![];}):(!_0x44b073&&(_0xdd620b=_0x2eda9a['query'][_0x211e1d(0x844)]),_0x4f3854!==_0x44b073&&(_0x2eda9a['query'][_0x211e1d(0x844)]=0x1),!_0x4f3854&&(_0x2eda9a['query'][_0x211e1d(0x844)]=_0xdd620b),_0x22ca8c());});}const _0x5b2b53=_0x5b68fe;;_0x128f44[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),'toasty',_0x313a4d(0x6bc),_0x313a4d(0x20e6),'trigger',_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x128f44(_0x3936d2,_0x45bded,_0x3052d5,_0x45521d,_0x470084,_0x2757d8,_0x2b0033,_0x3a8dfd,_0x1ee6ef,_0x51e155,_0x32d2d6,_0x3acbbd,_0xb12678){const _0x3cc884=_0x313a4d,_0x518ca9=this;_0x518ca9[_0x3cc884(0x2321)]=_0x51e155[_0x3cc884(0xb12)](),_0x518ca9['allCondition']=angular[_0x3cc884(0x235a)](_0x2b0033),_0x518ca9['allConditions']=angular[_0x3cc884(0x235a)](_0x2757d8),_0x518ca9[_0x3cc884(0x15b9)]=_0x3acbbd,_0x518ca9[_0x3cc884(0x2690)]=_0x32d2d6,_0x518ca9['crudPermissions']=_0xb12678,_0x518ca9[_0x3cc884(0x855)]={},_0x518ca9['newAllCondition']=![],_0x518ca9[_0x3cc884(0xcef)]=[],_0x518ca9[_0x3cc884(0xd57)]=angular[_0x3cc884(0x235a)](_0x3a8dfd);!_0x518ca9['allCondition']?(_0x518ca9[_0x3cc884(0x20e6)]={'operator':'equals'},_0x518ca9[_0x3cc884(0x689)]=!![]):_0x5d3822();_0x518ca9[_0x3cc884(0x20e6)][_0x3cc884(0x34b)]=_0x3936d2[_0x3cc884(0x16a)]['id']||undefined,_0x518ca9[_0x3cc884(0x147)]=[{'name':'Abandon','value':_0x3cc884(0x521)},{'name':_0x3cc884(0x5cc),'value':'timeout'},{'name':_0x3cc884(0x2626),'value':'complete'},{'name':_0x3cc884(0x257d),'value':'rejected'},{'name':_0x3cc884(0x218f),'value':'called'},{'name':_0x3cc884(0x21c0),'value':_0x3cc884(0x2e3)},{'name':_0x3cc884(0x1961),'value':_0x3cc884(0x290f)},{'name':_0x3cc884(0x22ae),'value':'busy'},{'name':_0x3cc884(0xcf6),'value':_0x3cc884(0x221)},{'name':_0x3cc884(0x18a3),'value':'congestion'},{'name':'AgentReject','value':_0x3cc884(0x18c0)}],_0x518ca9[_0x3cc884(0x9cb)]=_0xe72766,_0x518ca9[_0x3cc884(0x28b9)]=_0x16fceb,_0x518ca9['saveAllCondition']=_0x2b9b24,_0x518ca9[_0x3cc884(0x2226)]=_0x48e344,_0x518ca9[_0x3cc884(0x13f3)]=_0x126c49;function _0x1f07c6(){const _0x2818b2=_0x3cc884,_0x5a23bd=[{'id':0x191,'type':_0x2818b2(0x7b0)},{'id':0x5dd,'type':_0x2818b2(0xc9c)}];let _0x239b6f=null;_0x51e155['isAdmin']()?_0x1ee6ef['voiceQueue'][_0x2818b2(0x16b4)]({'fields':_0x2818b2(0x45e),'sort':_0x2818b2(0x19eb),'nolimit':_0x2818b2(0x1185)})[_0x2818b2(0x2945)][_0x2818b2(0x146b)](function(_0x1ba148){const _0x457a99=_0x2818b2;_0x518ca9[_0x457a99(0x1b32)]=_0x1ba148['rows']||[];})[_0x2818b2(0x129e)](function(_0x41e5ed){const _0x17187c=_0x2818b2;_0x470084[_0x17187c(0x1980)]({'title':_0x41e5ed[_0x17187c(0x107b)]?_0x17187c(0x262a)+_0x41e5ed[_0x17187c(0x107b)]+_0x17187c(0x1315)+_0x41e5ed[_0x17187c(0x167f)]:_0x17187c(0x12cf),'msg':_0x41e5ed[_0x17187c(0x524)]?JSON[_0x17187c(0x10bb)](_0x41e5ed[_0x17187c(0x524)]):_0x41e5ed[_0x17187c(0xd5f)]()});}):_0x1ee6ef[_0x2818b2(0x1446)]['get']({'fields':_0x2818b2(0x45e),'sort':_0x2818b2(0x19eb),'nolimit':_0x2818b2(0x1185)})[_0x2818b2(0x2945)][_0x2818b2(0x146b)](function(_0x3e53cb){const _0x456e7a=_0x2818b2;_0x239b6f=_0x3e53cb[_0x456e7a(0x19c7)]||[];})['then'](function(){const _0x3a640c=_0x2818b2,_0x49e16c=[];for(let _0x37188a=0x0;_0x37188a<_0x5a23bd[_0x3a640c(0x402)];_0x37188a++){_0x49e16c[_0x3a640c(0x1f47)](_0x1ee6ef[_0x3a640c(0x1366)][_0x3a640c(0x16b4)]({'userProfileId':_0x518ca9[_0x3a640c(0x2321)][_0x3a640c(0x209a)],'sectionId':_0x5a23bd[_0x37188a]['id']})[_0x3a640c(0x2945)]);}return _0x3052d5['all'](_0x49e16c);})[_0x2818b2(0x146b)](function(_0x2ce6aa){const _0x4c7086=_0x2818b2,_0x10958e=_0x3f65c0()[_0x4c7086(0x205)](_0x2ce6aa,function(_0x32b1da){const _0x3a1343=_0x4c7086;return _0x32b1da&&_0x32b1da[_0x3a1343(0x19c7)]?_0x32b1da[_0x3a1343(0x19c7)][0x0]:null;}),_0x4cdbd6=[];for(let _0x201864=0x0;_0x201864<_0x5a23bd[_0x4c7086(0x402)];_0x201864++){const _0x1e9b3e=_0x3f65c0()[_0x4c7086(0xc84)](_0x10958e,[_0x4c7086(0x631),_0x5a23bd[_0x201864]['id']]);if(_0x1e9b3e){if(!_0x1e9b3e['autoAssociation'])_0x4cdbd6[_0x4c7086(0x1f47)](_0x1ee6ef[_0x4c7086(0xdcc)][_0x4c7086(0x16b4)]({'sectionId':_0x1e9b3e['id']})[_0x4c7086(0x2945)]);else{const _0x54d551=[];_0x239b6f[_0x4c7086(0x1df5)](function(_0x566b1e){const _0x5c8699=_0x4c7086;_0x566b1e['type']===_0x5a23bd[_0x201864][_0x5c8699(0x1142)]&&_0x54d551['push'](_0x566b1e);}),_0x4cdbd6['push']({'rows':_0x54d551,'count':_0x54d551['length']});}}}return _0x3052d5[_0x4c7086(0x1be2)](_0x4cdbd6);})[_0x2818b2(0x146b)](function(_0x59dadf){const _0x3aaae6=_0x2818b2,_0x34c380=[],_0x2162a5=[];_0x518ca9[_0x3aaae6(0x49a)][_0x3aaae6(0x19c7)][_0x3aaae6(0x1df5)](function(_0x15aae0){const _0x238b5e=_0x3aaae6;if(_0x15aae0['field']===_0x238b5e(0x1c72)){const _0x521c5b=_0x3f65c0()[_0x238b5e(0xc84)](_0x239b6f,{'name':_0x15aae0[_0x238b5e(0x175d)]});_0x2162a5[_0x238b5e(0x1f47)](_0x521c5b);}});for(let _0x3c6767=0x0;_0x3c6767<_0x59dadf[_0x3aaae6(0x402)];_0x3c6767++){const _0x5e93e9=_0x59dadf[_0x3c6767][_0x3aaae6(0x19c7)]||[];_0x5e93e9[_0x3aaae6(0x1df5)](function(_0x45ccff){const _0x15b243=_0x3aaae6;_0x34c380[_0x15b243(0x1f47)](_0x45ccff);});}!_0x3f65c0()[_0x3aaae6(0x2635)](_0x2162a5)&&_0x2162a5[_0x3aaae6(0x1df5)](function(_0x2e32a1){const _0x51c5a0=_0x3aaae6;if(!_0x3f65c0()['some'](_0x34c380,[_0x51c5a0(0x18b8),_0x2e32a1['id']])){const _0x129ea7=_0x3f65c0()[_0x51c5a0(0xc84)](_0x239b6f,{'id':_0x2e32a1['id']});_0x129ea7['canSelect']=![],_0x34c380[_0x51c5a0(0x1f47)](_0x129ea7);}}),_0x518ca9[_0x3aaae6(0x1b32)]=_0x34c380;})[_0x2818b2(0x129e)](function(_0x1bca50){const _0x4b1a50=_0x2818b2;_0x470084[_0x4b1a50(0x1980)]({'title':_0x1bca50[_0x4b1a50(0x107b)]?_0x4b1a50(0x262a)+_0x1bca50['status']+_0x4b1a50(0x1315)+_0x1bca50[_0x4b1a50(0x167f)]:_0x4b1a50(0x22d8),'msg':_0x1bca50[_0x4b1a50(0x524)]?JSON[_0x4b1a50(0x10bb)](_0x1bca50[_0x4b1a50(0x524)]):_0x1bca50[_0x4b1a50(0xd5f)]()});});}function _0x34b003(){const _0x235eea=_0x3cc884;_0x51e155[_0x235eea(0x13ff)]()?_0x1ee6ef['voiceExtension'][_0x235eea(0x16b4)]({'fields':_0x235eea(0xd36),'type':_0x235eea(0xc9c),'VoiceExtensionId':'null','nolimit':'true'})[_0x235eea(0x2945)][_0x235eea(0x146b)](function(_0x17726e){const _0x4b8550=_0x235eea;_0x518ca9[_0x4b8550(0x966)]=_0x17726e[_0x4b8550(0x19c7)]||[];})[_0x235eea(0x129e)](function(_0x12d0d3){const _0x514d54=_0x235eea;_0x470084[_0x514d54(0x1980)]({'title':_0x12d0d3[_0x514d54(0x107b)]?'API:'+_0x12d0d3[_0x514d54(0x107b)]+_0x514d54(0x1315)+_0x12d0d3[_0x514d54(0x167f)]:_0x514d54(0x104f),'msg':_0x12d0d3['data']?JSON['stringify'](_0x12d0d3[_0x514d54(0x524)]):_0x12d0d3[_0x514d54(0xd5f)]()});}):_0x1ee6ef[_0x235eea(0xd47)][_0x235eea(0x16b4)]({'fields':_0x235eea(0xd36),'type':_0x235eea(0xc9c),'VoiceExtensionId':'null','nolimit':'true'})[_0x235eea(0x2945)][_0x235eea(0x146b)](function(_0x227cbf){const _0x42edcf=_0x235eea;_0x518ca9['outboundRoutes']=_0x227cbf[_0x42edcf(0x19c7)]||[];})[_0x235eea(0x146b)](function(){const _0x318f2d=_0x235eea;return _0x1ee6ef[_0x318f2d(0x1366)][_0x318f2d(0x16b4)]({'userProfileId':_0x518ca9['currentUser'][_0x318f2d(0x209a)],'sectionId':0x193})['$promise'];})[_0x235eea(0x146b)](function(_0x47055d){const _0x58df9f=_0x235eea,_0x442c85=_0x47055d&&_0x47055d[_0x58df9f(0x19c7)]?_0x47055d[_0x58df9f(0x19c7)][0x0]:null;if(!_0x442c85){const _0x3b9590=[],_0x123eb7=[];_0x518ca9[_0x58df9f(0x49a)][_0x58df9f(0x19c7)]['forEach'](function(_0x55da52){const _0x4f56ba=_0x58df9f;if(_0x55da52[_0x4f56ba(0x65c)]===_0x4f56ba(0x27c7)){const _0x5a0376=_0x3f65c0()[_0x4f56ba(0xc84)](_0x518ca9[_0x4f56ba(0x966)],{'id':Number(_0x55da52[_0x4f56ba(0x175d)])});_0x123eb7['push'](_0x5a0376);}});for(let _0x5cc11f=0x0;_0x5cc11f<_0x518ca9[_0x58df9f(0x966)][_0x58df9f(0x402)];_0x5cc11f++){const _0x1fb81c=_0x3f65c0()['some'](_0x123eb7,{'id':_0x518ca9[_0x58df9f(0x966)][_0x5cc11f]['id']});_0x1fb81c&&(_0x518ca9[_0x58df9f(0x966)][_0x5cc11f]['canSelect']=![],_0x3b9590[_0x58df9f(0x1f47)](_0x518ca9['outboundRoutes'][_0x5cc11f]));}_0x518ca9[_0x58df9f(0x966)]=_0x3b9590;}else{if(!_0x442c85[_0x58df9f(0x11d2)])return _0x1ee6ef['userProfileResource']['get']({'sectionId':_0x442c85['id']})['$promise'][_0x58df9f(0x146b)](function(_0x46cbcb){const _0x3607b2=_0x58df9f,_0x2621b7=_0x3f65c0()[_0x3607b2(0x205)](_0x46cbcb['rows'],function(_0x2dc39d){const _0xf675be=_0x3607b2;return _0x3f65c0()[_0xf675be(0xc84)](_0x518ca9[_0xf675be(0x966)],{'id':_0x2dc39d[_0xf675be(0x18b8)]});});let _0x105b9e=null;_0x105b9e=[],_0x518ca9[_0x3607b2(0x49a)][_0x3607b2(0x19c7)][_0x3607b2(0x1df5)](function(_0x45abee){const _0x2fc0b4=_0x3607b2;if(_0x45abee[_0x2fc0b4(0x65c)]===_0x2fc0b4(0x27c7)){const _0x26d8ef=_0x3f65c0()['find'](_0x518ca9['outboundRoutes'],{'id':Number(_0x45abee[_0x2fc0b4(0x175d)])});_0x105b9e[_0x2fc0b4(0x1f47)](_0x26d8ef);}}),!_0x3f65c0()['isEmpty'](_0x105b9e)&&_0x105b9e['forEach'](function(_0x3f873c){const _0x34ab56=_0x3607b2;if(!_0x3f65c0()['some'](_0x2621b7,['id',_0x3f873c['id']])){const _0x5543d5=_0x3f65c0()['find'](_0x518ca9['outboundRoutes'],{'id':_0x3f873c['id']});_0x5543d5[_0x34ab56(0x8ff)]=![],_0x2621b7[_0x34ab56(0x1f47)](_0x5543d5);}}),_0x518ca9['outboundRoutes']=_0x2621b7;});}})['catch'](function(_0x53fc17){const _0x33b680=_0x235eea;_0x470084['error']({'title':_0x53fc17[_0x33b680(0x107b)]?_0x33b680(0x262a)+_0x53fc17[_0x33b680(0x107b)]+_0x33b680(0x1315)+_0x53fc17[_0x33b680(0x167f)]:_0x33b680(0x104f),'msg':_0x53fc17[_0x33b680(0x524)]?JSON[_0x33b680(0x10bb)](_0x53fc17[_0x33b680(0x524)]):_0x53fc17[_0x33b680(0xd5f)]()});});}function _0x246c88(){const _0x39b96b=_0x3cc884;_0x51e155[_0x39b96b(0x13ff)]()?_0x1ee6ef[_0x39b96b(0xe7b)][_0x39b96b(0x16b4)]({'fields':_0x39b96b(0x12bf),'sort':_0x39b96b(0x19eb),'role':'agent','nolimit':_0x39b96b(0x1185)})[_0x39b96b(0x2945)][_0x39b96b(0x146b)](function(_0x2e8ec8){const _0x1da4ea=_0x39b96b;_0x518ca9[_0x1da4ea(0x23c0)]=_0x2e8ec8['rows']||[];})['catch'](function(_0x51c511){const _0x370b75=_0x39b96b;_0x470084[_0x370b75(0x1980)]({'title':_0x51c511[_0x370b75(0x107b)]?_0x370b75(0x262a)+_0x51c511[_0x370b75(0x107b)]+_0x370b75(0x1315)+_0x51c511[_0x370b75(0x167f)]:_0x370b75(0x557),'msg':_0x51c511[_0x370b75(0x524)]?JSON['stringify'](_0x51c511['data']):_0x51c511[_0x370b75(0xd5f)]()});}):_0x1ee6ef[_0x39b96b(0xe7b)]['get']({'fields':_0x39b96b(0x12bf),'sort':_0x39b96b(0x19eb),'role':'agent','nolimit':'true'})['$promise']['then'](function(_0x377b0d){const _0x505494=_0x39b96b;_0x518ca9['agents']=_0x377b0d[_0x505494(0x19c7)]||[];})[_0x39b96b(0x146b)](function(){const _0x3ef1b4=_0x39b96b;return _0x1ee6ef[_0x3ef1b4(0x1366)][_0x3ef1b4(0x16b4)]({'userProfileId':_0x518ca9[_0x3ef1b4(0x2321)][_0x3ef1b4(0x209a)],'sectionId':0xca})[_0x3ef1b4(0x2945)];})[_0x39b96b(0x146b)](function(_0x38099a){const _0x2774b6=_0x39b96b,_0x1a189c=_0x38099a&&_0x38099a[_0x2774b6(0x19c7)]?_0x38099a['rows'][0x0]:null;if(!_0x1a189c){const _0x52d7a4=[],_0x115d0c=[];_0x518ca9[_0x2774b6(0x49a)][_0x2774b6(0x19c7)]['forEach'](function(_0x472718){const _0x207155=_0x2774b6;if(_0x472718[_0x207155(0x65c)]===_0x207155(0x1755)){const _0x84705c=_0x3f65c0()[_0x207155(0xc84)](_0x518ca9[_0x207155(0x23c0)],{'name':_0x472718[_0x207155(0x175d)]});_0x115d0c[_0x207155(0x1f47)](_0x84705c);}});for(let _0x31cfed=0x0;_0x31cfed<_0x518ca9[_0x2774b6(0x23c0)][_0x2774b6(0x402)];_0x31cfed++){const _0x2ff6f4=_0x3f65c0()[_0x2774b6(0x1360)](_0x115d0c,{'id':_0x518ca9[_0x2774b6(0x23c0)][_0x31cfed]['id']});_0x2ff6f4&&(_0x518ca9[_0x2774b6(0x23c0)][_0x31cfed][_0x2774b6(0x8ff)]=![],_0x52d7a4[_0x2774b6(0x1f47)](_0x518ca9[_0x2774b6(0x23c0)][_0x31cfed]));}_0x518ca9[_0x2774b6(0x23c0)]=_0x52d7a4;}else{if(!_0x1a189c[_0x2774b6(0x11d2)])return _0x1ee6ef['userProfileResource']['get']({'sectionId':_0x1a189c['id']})[_0x2774b6(0x2945)][_0x2774b6(0x146b)](function(_0x51ea7b){const _0x17f099=_0x2774b6,_0x299956=_0x3f65c0()[_0x17f099(0x205)](_0x51ea7b[_0x17f099(0x19c7)],function(_0x3940c7){const _0x40c629=_0x17f099;return _0x3f65c0()[_0x40c629(0xc84)](_0x518ca9['agents'],{'id':_0x3940c7[_0x40c629(0x18b8)]});});let _0x2de448=null;_0x2de448=[],_0x518ca9[_0x17f099(0x49a)]['rows'][_0x17f099(0x1df5)](function(_0x3dd852){const _0x1654c5=_0x17f099;if(_0x3dd852['field']===_0x1654c5(0x1755)){const _0x4d274a=_0x3f65c0()[_0x1654c5(0xc84)](_0x518ca9['agents'],{'name':_0x3dd852[_0x1654c5(0x175d)]});_0x2de448[_0x1654c5(0x1f47)](_0x4d274a);}}),!_0x3f65c0()['isEmpty'](_0x2de448)&&_0x2de448[_0x17f099(0x1df5)](function(_0x426387){const _0x3875cc=_0x17f099;if(!_0x3f65c0()[_0x3875cc(0x1360)](_0x299956,['id',_0x426387['id']])){const _0x212a0f=_0x3f65c0()[_0x3875cc(0xc84)](_0x518ca9[_0x3875cc(0x23c0)],{'id':_0x426387['id']});_0x212a0f['canSelect']=![],_0x299956['push'](_0x212a0f);}}),_0x518ca9[_0x17f099(0x23c0)]=_0x299956;});}})[_0x39b96b(0x129e)](function(_0x2946e1){const _0x536ead=_0x39b96b;_0x470084[_0x536ead(0x1980)]({'title':_0x2946e1[_0x536ead(0x107b)]?_0x536ead(0x262a)+_0x2946e1[_0x536ead(0x107b)]+'\x20-\x20'+_0x2946e1[_0x536ead(0x167f)]:_0x536ead(0x557),'msg':_0x2946e1[_0x536ead(0x524)]?JSON[_0x536ead(0x10bb)](_0x2946e1[_0x536ead(0x524)]):_0x2946e1['toString']()});});}function _0x12c3de(){const _0x2576e1=_0x3cc884,_0x1de52f=_0x518ca9[_0x2576e1(0xd57)][_0x2576e1(0x2894)]+(_0x518ca9[_0x2576e1(0xd57)][_0x2576e1(0x2894)]===_0x2576e1(0xa7f)?'Website':'Account');let _0x263cf9;switch(_0x518ca9['trigger'][_0x2576e1(0x2894)]){case'chat':_0x263cf9=0x1f6;break;case _0x2576e1(0x22d9):_0x263cf9=0x386;break;case _0x2576e1(0x56b):_0x263cf9=0x25a;break;case _0x2576e1(0x7d9):_0x263cf9=0x322;break;case'sms':_0x263cf9=0x2be;break;case'whatsapp':_0x263cf9=0x836;break;}if(_0x51e155[_0x2576e1(0x13ff)]()){const _0x39959a=_0x518ca9[_0x2576e1(0xd57)]['channel'];_0x1ee6ef[_0x1de52f][_0x2576e1(0x16b4)]({'fields':'id,name','sort':'id','nolimit':_0x2576e1(0x1185)})['$promise'][_0x2576e1(0x146b)](function(_0x1b68b7){_0x518ca9['accounts']=_0x1b68b7['rows']||[];})[_0x2576e1(0x129e)](function(_0x469161){const _0x5c72a9=_0x2576e1;_0x470084[_0x5c72a9(0x1980)]({'title':_0x469161[_0x5c72a9(0x107b)]?_0x5c72a9(0x262a)+_0x469161['status']+'\x20-\x20'+_0x469161[_0x5c72a9(0x167f)]:_0x5c72a9(0x290e)+_0x39959a['toUpperCase']()+_0x39959a===_0x5c72a9(0xa7f)?_0x5c72a9(0x1256):'ACCOUNTS','msg':_0x469161[_0x5c72a9(0x524)]?JSON[_0x5c72a9(0x10bb)](_0x469161[_0x5c72a9(0x524)]):_0x469161['toString']()});});}else _0x1ee6ef[_0x1de52f]['get']({'fields':_0x2576e1(0x7a7),'sort':'id','nolimit':_0x2576e1(0x1185)})[_0x2576e1(0x2945)]['then'](function(_0x588a7f){const _0x1a861f=_0x2576e1;_0x518ca9[_0x1a861f(0x2de)]=_0x588a7f[_0x1a861f(0x19c7)]||[];})[_0x2576e1(0x146b)](function(){const _0x21ba69=_0x2576e1;return _0x1ee6ef['userProfileSection'][_0x21ba69(0x16b4)]({'userProfileId':_0x518ca9[_0x21ba69(0x2321)]['userProfileId'],'sectionId':_0x263cf9})[_0x21ba69(0x2945)];})['then'](function(_0x4081e8){const _0x23114d=_0x2576e1,_0xd99bad=_0x4081e8&&_0x4081e8[_0x23114d(0x19c7)]?_0x4081e8[_0x23114d(0x19c7)][0x0]:null;if(!_0xd99bad){const _0x4e547c=[],_0x54d76e=[];_0x518ca9[_0x23114d(0x49a)][_0x23114d(0x19c7)]['forEach'](function(_0x4bd297){const _0x3eaae5=_0x23114d;if(_0x4bd297[_0x3eaae5(0x65c)]===_0x3eaae5(0x1f8e)){const _0x4dba6b=_0x3f65c0()[_0x3eaae5(0xc84)](_0x518ca9[_0x3eaae5(0x2de)],{'id':Number(_0x4bd297[_0x3eaae5(0x175d)])});_0x54d76e['push'](_0x4dba6b);}});for(let _0x38ff03=0x0;_0x38ff03<_0x518ca9[_0x23114d(0x2de)][_0x23114d(0x402)];_0x38ff03++){const _0x3a563b=_0x3f65c0()[_0x23114d(0x1360)](_0x54d76e,{'id':_0x518ca9['accounts'][_0x38ff03]['id']});_0x3a563b&&(_0x518ca9[_0x23114d(0x2de)][_0x38ff03]['canSelect']=![],_0x4e547c[_0x23114d(0x1f47)](_0x518ca9[_0x23114d(0x2de)][_0x38ff03]));}_0x518ca9[_0x23114d(0x2de)]=_0x4e547c;}else{if(!_0xd99bad[_0x23114d(0x11d2)])return _0x1ee6ef[_0x23114d(0xdcc)][_0x23114d(0x16b4)]({'sectionId':_0xd99bad['id']})[_0x23114d(0x2945)][_0x23114d(0x146b)](function(_0x5da107){const _0x3fa089=_0x23114d,_0x573b7d=_0x3f65c0()[_0x3fa089(0x205)](_0x5da107[_0x3fa089(0x19c7)],function(_0x43bfaa){const _0x1ce594=_0x3fa089;return _0x3f65c0()['find'](_0x518ca9[_0x1ce594(0x2de)],{'id':_0x43bfaa[_0x1ce594(0x18b8)]});});let _0x3dbe32=null;_0x3dbe32=[],_0x518ca9['triggerallConditions'][_0x3fa089(0x19c7)][_0x3fa089(0x1df5)](function(_0x56d352){const _0x48b02c=_0x3fa089;if(_0x56d352[_0x48b02c(0x65c)]===_0x48b02c(0x1f8e)){const _0x23b1c7=_0x3f65c0()['find'](_0x518ca9['accounts'],{'id':Number(_0x56d352[_0x48b02c(0x175d)])});_0x3dbe32[_0x48b02c(0x1f47)](_0x23b1c7);}}),!_0x3f65c0()['isEmpty'](_0x3dbe32)&&_0x3dbe32['forEach'](function(_0x4d11b2){const _0x12bec2=_0x3fa089;if(!_0x3f65c0()[_0x12bec2(0x1360)](_0x573b7d,['id',_0x4d11b2['id']])){const _0x460f9a=_0x3f65c0()[_0x12bec2(0xc84)](_0x518ca9[_0x12bec2(0x2de)],{'id':_0x4d11b2['id']});_0x460f9a[_0x12bec2(0x8ff)]=![],_0x573b7d['push'](_0x460f9a);}}),_0x518ca9[_0x3fa089(0x2de)]=_0x573b7d;});}})['catch'](function(_0x377d65){const _0x5949c9=_0x2576e1,_0xcdbd42=_0x518ca9['trigger']['channel'];_0x470084[_0x5949c9(0x1980)]({'title':_0x377d65[_0x5949c9(0x107b)]?_0x5949c9(0x262a)+_0x377d65['status']+_0x5949c9(0x1315)+_0x377d65[_0x5949c9(0x167f)]:'SYSTEM:GET_'+_0xcdbd42['toUpperCase']()+_0xcdbd42==='chat'?_0x5949c9(0x1256):'ACCOUNTS','msg':_0x377d65[_0x5949c9(0x524)]?JSON['stringify'](_0x377d65[_0x5949c9(0x524)]):_0x377d65[_0x5949c9(0xd5f)]()});});}function _0x5d3822(){const _0xd0fa7d=_0x3cc884;switch(_0x518ca9['allCondition']['field']){case _0xd0fa7d(0x1c72):if(!_0x518ca9[_0xd0fa7d(0x1b32)])_0x1f07c6();break;case'routeId':if(!_0x518ca9[_0xd0fa7d(0x966)])_0x34b003();break;case _0xd0fa7d(0x1755):if(!_0x518ca9['agents'])_0x246c88();break;case'account':_0x12c3de();break;}}function _0xe72766(){_0x5d3822();}function _0x16fceb(){const _0x4fd192=_0x3cc884;_0x518ca9['errors']=[],_0x1ee6ef['trigger'][_0x4fd192(0x5ed)]({'id':_0x3936d2[_0x4fd192(0x16a)]['id']},_0x518ca9[_0x4fd192(0x20e6)])[_0x4fd192(0x2945)]['then'](function(_0x35f216){const _0x35e959=_0x4fd192;_0x518ca9[_0x35e959(0x6bc)]['unshift'](_0x35f216[_0x35e959(0x2488)]()),_0x470084[_0x35e959(0x1c75)]({'title':_0x45521d['instant']('TOOLS.NOTIFICATIONS.CONDITION_CREATED_SUCCESS_TITLE')}),_0x126c49(_0x518ca9[_0x35e959(0x6bc)]);})['catch'](function(_0x24724e){const _0x5c6788=_0x4fd192;if(_0x24724e[_0x5c6788(0x524)]&&_0x24724e['data'][_0x5c6788(0xcef)]&&_0x24724e[_0x5c6788(0x524)][_0x5c6788(0xcef)][_0x5c6788(0x402)]){_0x518ca9[_0x5c6788(0xcef)]=_0x24724e[_0x5c6788(0x524)][_0x5c6788(0xcef)]||[{'message':_0x24724e[_0x5c6788(0xd5f)](),'type':_0x5c6788(0x117c)}];for(let _0x3bdbda=0x0;_0x3bdbda<_0x24724e[_0x5c6788(0x524)]['errors'][_0x5c6788(0x402)];_0x3bdbda+=0x1){_0x470084['error']({'title':_0x24724e['data']['errors'][_0x3bdbda]['type'],'msg':_0x24724e['data'][_0x5c6788(0xcef)][_0x3bdbda]['message']});}}else _0x470084['error']({'title':_0x24724e[_0x5c6788(0x107b)]?_0x5c6788(0x262a)+_0x24724e[_0x5c6788(0x107b)]+_0x5c6788(0x1315)+_0x24724e['statusText']:'api.condition.save','msg':_0x24724e[_0x5c6788(0x524)]?JSON['stringify'](_0x24724e['data'][_0x5c6788(0x7fd)]):_0x24724e['toString']()});});}function _0x2b9b24(){const _0x5b4f89=_0x3cc884;_0x518ca9[_0x5b4f89(0xcef)]=[],_0x1ee6ef[_0x5b4f89(0x115f)][_0x5b4f89(0x18e1)]({'id':_0x518ca9['allCondition']['id']},_0x518ca9[_0x5b4f89(0x20e6)])[_0x5b4f89(0x2945)][_0x5b4f89(0x146b)](function(){const _0x201ce4=_0x5b4f89;_0x518ca9[_0x201ce4(0x6bc)]=_0x3f65c0()[_0x201ce4(0x205)](_0x518ca9['allConditions'],function(_0x8bed17){const _0x251d08=_0x201ce4;if(_0x8bed17['id']===_0x518ca9[_0x251d08(0x20e6)]['id'])return _0x518ca9[_0x251d08(0x20e6)];else return _0x8bed17;}),_0x470084['success']({'title':_0x45521d['instant'](_0x201ce4(0x23a2))}),_0x126c49(_0x518ca9['allConditions']);})[_0x5b4f89(0x129e)](function(_0x5713be){const _0x52e0e8=_0x5b4f89;if(_0x5713be[_0x52e0e8(0x524)]&&_0x5713be['data']['errors']&&_0x5713be[_0x52e0e8(0x524)][_0x52e0e8(0xcef)][_0x52e0e8(0x402)]){_0x518ca9[_0x52e0e8(0xcef)]=_0x5713be[_0x52e0e8(0x524)][_0x52e0e8(0xcef)]||[{'message':_0x5713be[_0x52e0e8(0xd5f)](),'type':_0x52e0e8(0x232f)}];for(let _0x88274=0x0;_0x88274<_0x5713be[_0x52e0e8(0x524)][_0x52e0e8(0xcef)][_0x52e0e8(0x402)];_0x88274++){_0x470084['error']({'title':_0x5713be[_0x52e0e8(0x524)][_0x52e0e8(0xcef)][_0x88274][_0x52e0e8(0x1142)],'msg':_0x5713be[_0x52e0e8(0x524)][_0x52e0e8(0xcef)][_0x88274][_0x52e0e8(0x7fd)]});}}else _0x470084[_0x52e0e8(0x1980)]({'title':_0x5713be[_0x52e0e8(0x107b)]?'API:'+_0x5713be[_0x52e0e8(0x107b)]+_0x52e0e8(0x1315)+_0x5713be[_0x52e0e8(0x167f)]:'api.condition.update','msg':_0x5713be[_0x52e0e8(0x524)]?JSON['stringify'](_0x5713be['data'][_0x52e0e8(0x7fd)]):_0x5713be[_0x52e0e8(0xd5f)]()});});}function _0x48e344(_0x2a4ba5){const _0x3f9104=_0x3cc884;_0x518ca9[_0x3f9104(0xcef)]=[];const _0x8f80a7=_0x45bded[_0x3f9104(0x1e8a)]({'skipHide':!![]})[_0x3f9104(0x1189)](_0x45521d[_0x3f9104(0xde)](_0x3f9104(0x1473)))[_0x3f9104(0x4bd)](_0x3f9104(0x2555))['ok'](_0x45521d[_0x3f9104(0xde)](_0x3f9104(0x747)))['cancel'](_0x45521d['instant'](_0x3f9104(0x1161)))[_0x3f9104(0x1f27)](_0x2a4ba5);_0x45bded['show'](_0x8f80a7)['then'](function(){const _0x3cac84=_0x3f9104;_0x1ee6ef[_0x3cac84(0x115f)][_0x3cac84(0x1fac)]({'id':_0x518ca9[_0x3cac84(0x20e6)]['id']})[_0x3cac84(0x2945)][_0x3cac84(0x146b)](function(){const _0x2f4990=_0x3cac84;_0x518ca9[_0x2f4990(0x6bc)]=_0x3f65c0()[_0x2f4990(0x1dd6)](_0x518ca9[_0x2f4990(0x6bc)],function(_0x1c7449){return _0x1c7449['id']!==_0x518ca9['allCondition']['id'];}),_0x470084[_0x2f4990(0x1c75)]({'title':_0x45521d['instant'](_0x2f4990(0xa50))}),_0x126c49(_0x518ca9[_0x2f4990(0x6bc)]);})[_0x3cac84(0x129e)](function(_0x294d11){const _0x49813d=_0x3cac84;if(_0x294d11[_0x49813d(0x524)]&&_0x294d11[_0x49813d(0x524)][_0x49813d(0xcef)]&&_0x294d11['data'][_0x49813d(0xcef)][_0x49813d(0x402)]){_0x518ca9[_0x49813d(0xcef)]=_0x294d11[_0x49813d(0x524)][_0x49813d(0xcef)]||[{'message':_0x294d11[_0x49813d(0xd5f)](),'type':_0x49813d(0x1cc9)}];for(let _0x15ade3=0x0;_0x15ade3<_0x294d11[_0x49813d(0x524)]['errors'][_0x49813d(0x402)];_0x15ade3++){_0x470084['error']({'title':_0x294d11[_0x49813d(0x524)][_0x49813d(0xcef)][_0x15ade3][_0x49813d(0x1142)],'msg':_0x294d11[_0x49813d(0x524)][_0x49813d(0xcef)][_0x15ade3][_0x49813d(0x7fd)]});}}else _0x470084[_0x49813d(0x1980)]({'title':_0x294d11['status']?_0x49813d(0x262a)+_0x294d11[_0x49813d(0x107b)]+'\x20-\x20'+_0x294d11[_0x49813d(0x167f)]:_0x49813d(0x1cc9),'msg':_0x294d11[_0x49813d(0x524)]?JSON[_0x49813d(0x10bb)](_0x294d11[_0x49813d(0x524)][_0x49813d(0x7fd)]):_0x294d11[_0x49813d(0x7fd)]||_0x294d11[_0x49813d(0xd5f)]()});});},function(){});}function _0x126c49(_0x5c2510){const _0x8001fa=_0x3cc884;_0x45bded[_0x8001fa(0x2458)](_0x5c2510);}}const _0x233055=_0x128f44;;_0x1c47c7[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),'$translate',_0x313a4d(0x2690),_0x313a4d(0x15b9),'api',_0x313a4d(0x1fe4),_0x313a4d(0xa87),'trigger',_0x313a4d(0x1366)];function _0x1c47c7(_0x45e16,_0x207159,_0x169e84,_0x36ed6a,_0x598c77,_0x277cf2,_0x4171d4,_0x27b5dc,_0x1348a0){const _0x139340=_0x313a4d,_0x3fa0c0=this;_0x3fa0c0[_0x139340(0x2321)]=_0x4171d4[_0x139340(0xb12)](),_0x3fa0c0[_0x139340(0x2690)]=_0x169e84,_0x3fa0c0[_0x139340(0x15b9)]=_0x36ed6a,_0x3fa0c0[_0x139340(0xd57)]=_0x27b5dc||_0x45e16[_0x139340(0x16a)]['trigger']||{},_0x3fa0c0[_0x139340(0x1b09)]=_0x45e16[_0x139340(0x16a)][_0x139340(0x13a3)]||0x0;_0x45e16[_0x139340(0x16a)]['crudPermissions']?_0x3fa0c0[_0x139340(0x2514)]=_0x45e16[_0x139340(0x16a)][_0x139340(0x2514)]:(_0x3fa0c0[_0x139340(0x1366)]=_0x1348a0&&_0x1348a0[_0x139340(0x51c)]==0x1?_0x1348a0[_0x139340(0x19c7)][0x0]:null,_0x3fa0c0[_0x139340(0x2514)]=_0x4171d4[_0x139340(0xe60)](_0x3fa0c0['userProfileSection']?_0x3fa0c0[_0x139340(0x1366)][_0x139340(0x2514)]:null));_0x3fa0c0[_0x139340(0x1c71)]=_0x3b5902,_0x3fa0c0[_0x139340(0x1239)]=_0x3104db;function _0x3b5902(){const _0x59d9d8=_0x139340;_0x45e16['go'](_0x59d9d8(0x719),{},{'reload':'app.tools.triggers'});}function _0x3104db(){const _0x370a41=_0x139340;_0x598c77[_0x370a41(0xd57)]['update']({'id':_0x3fa0c0[_0x370a41(0xd57)]['id']},_0x3fa0c0['trigger'])[_0x370a41(0x2945)][_0x370a41(0x146b)](function(){const _0x1d34a5=_0x370a41;_0x277cf2['success']({'title':_0x207159[_0x1d34a5(0xde)](_0x1d34a5(0x2243))});})['catch'](function(_0x38edf6){const _0x5affd9=_0x370a41;_0x277cf2['error']({'title':_0x38edf6[_0x5affd9(0x107b)]?_0x5affd9(0x262a)+_0x38edf6[_0x5affd9(0x107b)]+'\x20-\x20'+_0x38edf6[_0x5affd9(0x167f)]:'SYSTEM:GETtrigger','msg':_0x38edf6[_0x5affd9(0x524)]?JSON[_0x5affd9(0x10bb)](_0x38edf6[_0x5affd9(0x524)]):_0x38edf6[_0x5affd9(0xd5f)]()});});}}const _0x8a70ae=_0x1c47c7;;const _0x19f99a=_0x4acfac['p']+_0x313a4d(0x1e3c);;_0xb5ff00[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),'$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0x214b),_0x313a4d(0x231a),'userProfile','userProfileSection',_0x313a4d(0x247f),'toasty',_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0xb5ff00(_0x4fc72d,_0x536d14,_0x548e9f,_0x33b349,_0x502a03,_0x443a1f,_0x32872c,_0x2502a2,_0x31e56c,_0x15bcc5,_0x17081d,_0x3a5d7a,_0x45dc18,_0x20258e){const _0x359abf=_0x313a4d,_0x14868a=this;_0x14868a['license']=_0x45dc18,_0x14868a[_0x359abf(0x15b9)]=_0x20258e,_0x14868a[_0x359abf(0x2321)]=_0x3a5d7a['getCurrentUser'](),_0x14868a[_0x359abf(0x231a)]=_0x32872c||{'count':0x0,'rows':[]},_0x14868a[_0x359abf(0x26b6)]=_0x2502a2,_0x14868a[_0x359abf(0x1366)]=_0x31e56c&&_0x31e56c['count']==0x1?_0x31e56c[_0x359abf(0x19c7)][0x0]:null,_0x14868a[_0x359abf(0x2514)]=_0x3a5d7a['parseCrudPermissions'](_0x14868a[_0x359abf(0x1366)]?_0x14868a[_0x359abf(0x1366)][_0x359abf(0x2514)]:null),_0x14868a[_0x359abf(0x27d)]=[],_0x14868a[_0x359abf(0x1a56)]={'fields':_0x359abf(0xd94),'sort':_0x359abf(0x12f2),'limit':0xa,'page':0x1},_0x14868a['goToEditState']=_0xcb4bff,_0x14868a[_0x359abf(0x94a)]=_0x256934,_0x14868a[_0x359abf(0x1c75)]=_0x5361ed,_0x14868a['getTriggers']=_0x571549,_0x14868a['deleteconfirm']=_0x9c8db2,_0x14868a['exportSelectedTriggers']=_0x245d00,_0x14868a[_0x359abf(0x632)]=_0x1e7575,_0x14868a[_0x359abf(0x1d4b)]=_0x5eea1b,_0x14868a['selectAllTriggers']=_0x35abf0;function _0xcb4bff(_0x152f76){const _0x1fbc0c=_0x359abf;_0x536d14['go']('app.tools.triggers.edit',{'id':_0x152f76['id'],'trigger':_0x152f76,'crudPermissions':_0x14868a[_0x1fbc0c(0x2514)]});}function _0x256934(_0x11b153,_0x1de547){const _0x495ea4=_0x359abf;if(_0x14868a[_0x495ea4(0x2514)]['readOnly']||_0x14868a[_0x495ea4(0x2514)][_0x495ea4(0x1e66)]&&!_0x14868a[_0x495ea4(0x2514)]['canEdit']){_0xcb4bff(_0x1de547);return;}_0x548e9f[_0x495ea4(0x2615)]({'controller':'CreateOrEditTriggerDialogController','controllerAs':'vm','templateUrl':_0x19f99a,'parent':angular['element'](_0x33b349[_0x495ea4(0x2586)]),'targetEvent':_0x11b153,'clickOutsideToClose':!![],'locals':{'trigger':_0x1de547,'triggers':_0x14868a['triggers']['rows'],'license':_0x14868a[_0x495ea4(0x2690)],'setting':_0x14868a[_0x495ea4(0x15b9)],'crudPermissions':_0x14868a[_0x495ea4(0x2514)]}})[_0x495ea4(0x146b)](function(_0x996f28){const _0xddbb13=_0x495ea4;_0x996f28&&(_0x14868a['triggers']={'count':_0x996f28[_0xddbb13(0x402)],'rows':_0x996f28});});}function _0x5361ed(_0x32e1e2){const _0xb3bc06=_0x359abf;_0x14868a[_0xb3bc06(0x231a)]=_0x32e1e2||{'count':0x0,'rows':[]};}function _0x571549(){const _0xd23818=_0x359abf;_0x14868a['query']['offset']=(_0x14868a['query'][_0xd23818(0x844)]-0x1)*_0x14868a['query']['limit'],_0x3a5d7a['isAdmin']()?_0x14868a['promise']=_0x15bcc5['trigger'][_0xd23818(0x16b4)](_0x14868a['query'],_0x5361ed)[_0xd23818(0x2945)]:(_0x14868a[_0xd23818(0x1a56)]['id']=_0x14868a['userProfile']['id'],_0x14868a[_0xd23818(0x1a56)][_0xd23818(0x2146)]='Triggers',_0x14868a[_0xd23818(0xb9c)]=_0x15bcc5[_0xd23818(0x26b6)][_0xd23818(0x158f)](_0x14868a[_0xd23818(0x1a56)],_0x5361ed)[_0xd23818(0x2945)]);}function _0x9c8db2(_0x2aaead,_0x5b39d9){const _0x9679b3=_0x359abf,_0x193d5b=_0x548e9f[_0x9679b3(0x1e8a)]()[_0x9679b3(0x1189)](_0x443a1f[_0x9679b3(0xde)](_0x9679b3(0x13a2)))[_0x9679b3(0x80f)](_0x443a1f[_0x9679b3(0xde)](_0x9679b3(0x1653),{'name':_0x2aaead['name']}))[_0x9679b3(0x4bd)](_0x9679b3(0x1362))['targetEvent'](_0x5b39d9)['ok']('OK')['cancel'](_0x443a1f[_0x9679b3(0xde)]('APP.CANCEL'));_0x548e9f[_0x9679b3(0x2615)](_0x193d5b)[_0x9679b3(0x146b)](function(){_0x30f921(_0x2aaead,!![]);});}function _0x30f921(_0x32e638,_0x947b1c){const _0x11caba=_0x359abf;_0x15bcc5[_0x11caba(0xd57)][_0x11caba(0x1fac)]({'id':_0x32e638['id']})[_0x11caba(0x2945)][_0x11caba(0x146b)](function(){const _0x1861a5=_0x11caba;_0x571549(),_0x947b1c&&_0x17081d[_0x1861a5(0x1c75)]({'title':_0x443a1f['instant']('TOOLS.NOTIFICATIONS.TRIGGER_DELETED_SUCCESS_TITLE')});})['catch'](function(_0x4f9d54){const _0x25a786=_0x11caba;if(_0x4f9d54['data']&&_0x4f9d54[_0x25a786(0x524)][_0x25a786(0xcef)]&&_0x4f9d54[_0x25a786(0x524)][_0x25a786(0xcef)][_0x25a786(0x402)]){_0x14868a[_0x25a786(0xcef)]=_0x4f9d54[_0x25a786(0x524)][_0x25a786(0xcef)]||[{'message':_0x4f9d54[_0x25a786(0xd5f)](),'type':_0x25a786(0x14b9)}];for(let _0x3827ce=0x0;_0x3827ce<_0x4f9d54[_0x25a786(0x524)][_0x25a786(0xcef)]['length'];_0x3827ce++){_0x17081d['error']({'title':_0x4f9d54[_0x25a786(0x524)][_0x25a786(0xcef)][_0x3827ce][_0x25a786(0x1142)],'msg':_0x4f9d54[_0x25a786(0x524)][_0x25a786(0xcef)][_0x3827ce][_0x25a786(0x7fd)]});}}else _0x17081d[_0x25a786(0x1980)]({'title':_0x4f9d54[_0x25a786(0x107b)]?_0x25a786(0x262a)+_0x4f9d54['status']+_0x25a786(0x1315)+_0x4f9d54['statusText']:_0x25a786(0x14b9),'msg':_0x4f9d54[_0x25a786(0x524)]?JSON[_0x25a786(0x10bb)](_0x4f9d54[_0x25a786(0x524)][_0x25a786(0x7fd)]):_0x4f9d54['message']||_0x4f9d54[_0x25a786(0xd5f)]()});});}function _0x245d00(){const _0x3ee057=_0x359abf,_0x4703d0=angular[_0x3ee057(0x235a)](_0x14868a[_0x3ee057(0x27d)]);return _0x14868a[_0x3ee057(0x27d)]=[],_0x4703d0;}function _0x1e7575(_0x11189c){const _0x58765c=_0x359abf,_0x108f9d=_0x548e9f[_0x58765c(0x1e8a)]()[_0x58765c(0x1189)](_0x443a1f[_0x58765c(0xde)](_0x58765c(0x4d4)))[_0x58765c(0x80f)](_0x443a1f['instant'](_0x58765c(0x828),{'total':_0x14868a[_0x58765c(0x27d)]['length']}))[_0x58765c(0x4bd)](_0x58765c(0x1bc4))[_0x58765c(0x1f27)](_0x11189c)['ok']('OK')[_0x58765c(0x6c3)](_0x443a1f[_0x58765c(0xde)](_0x58765c(0x1161)));_0x548e9f['show'](_0x108f9d)[_0x58765c(0x146b)](function(){const _0x7cad6d=_0x58765c;_0x14868a[_0x7cad6d(0x27d)][_0x7cad6d(0x1df5)](function(_0x182f72){_0x30f921(_0x182f72);}),_0x14868a[_0x7cad6d(0x27d)]=[],_0x17081d[_0x7cad6d(0x1c75)]({'title':_0x443a1f[_0x7cad6d(0xde)](_0x7cad6d(0x103f))});});}function _0x5eea1b(){const _0x514262=_0x359abf;_0x14868a[_0x514262(0x27d)]=[];}function _0x35abf0(){const _0x1a7893=_0x359abf;_0x14868a['selectedTriggers']=_0x14868a[_0x1a7893(0x231a)][_0x1a7893(0x19c7)];}let _0x517225=!![],_0x1a2a07=0x1;_0x4fc72d[_0x359abf(0x21e8)](_0x359abf(0x2669),function(_0x49b5fb,_0x54a4ad){const _0x520b95=_0x359abf;_0x517225?_0x502a03(function(){_0x517225=![];}):(!_0x54a4ad&&(_0x1a2a07=_0x14868a[_0x520b95(0x1a56)][_0x520b95(0x844)]),_0x49b5fb!==_0x54a4ad&&(_0x14868a[_0x520b95(0x1a56)][_0x520b95(0x844)]=0x1),!_0x49b5fb&&(_0x14868a[_0x520b95(0x1a56)][_0x520b95(0x844)]=_0x1a2a07),_0x571549());});}const _0x3deb55=_0xb5ff00;;_0x139bbb[_0x313a4d(0x11c2)]=[_0x313a4d(0x921)];function _0x139bbb(_0x20a944){const _0x552668=_0x313a4d;_0x20a944[_0x552668(0x13d6)](_0x552668(0x719),{'url':_0x552668(0x1b1e),'views':{'content@app':{'templateUrl':_0x319dea,'controller':_0x552668(0x12ca)}},'resolve':{'triggers':[_0x552668(0x362),_0x552668(0xa87),function(_0x11078f,_0x14717e){const _0x2e63a5=_0x552668;return _0x14717e['hasRole']('admin')?_0x11078f[_0x2e63a5(0x2922)](_0x2e63a5(0x203),{'fields':_0x2e63a5(0xba4),'sort':_0x2e63a5(0x12f2),'limit':0xa,'offset':0x0}):_0x11078f[_0x2e63a5(0x2922)](_0x2e63a5(0x938),{'id':_0x14717e[_0x2e63a5(0xb12)]()[_0x2e63a5(0x209a)],'section':'Triggers','fields':_0x2e63a5(0xba4),'sort':'-updatedAt','limit':0xa,'offset':0x0});}],'userProfile':[_0x552668(0x362),_0x552668(0xa87),function(_0x18065a,_0x56cb96){const _0x2e88a2=_0x552668;return _0x56cb96['hasRole']('admin')?null:_0x18065a[_0x2e88a2(0x2922)](_0x2e88a2(0x119a),{'fields':_0x2e88a2(0x227),'id':_0x56cb96[_0x2e88a2(0xb12)]()[_0x2e88a2(0x209a)]});}],'userProfileSection':[_0x552668(0x362),_0x552668(0xa87),function(_0x24f4ef,_0x436b93){const _0x29b86c=_0x552668;return _0x436b93[_0x29b86c(0x23e0)]('admin')?null:_0x24f4ef['resolve']('userProfileSection@get',{'fields':_0x29b86c(0x1e64),'userProfileId':_0x436b93['getCurrentUser']()[_0x29b86c(0x209a)],'sectionId':0x3f2});}]},'authenticate':!![],'permissionId':0x3f2,'bodyClass':'tools'})[_0x552668(0x13d6)](_0x552668(0x1797),{'url':_0x552668(0x2028),'params':{'trigger':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x1aa402,'controller':'TriggerController\x20as\x20vm'}},'resolve':{'trigger':[_0x552668(0x362),_0x552668(0x28c8),function(_0x4d1dce,_0x543ecd){const _0x2d330c=_0x552668;return _0x4d1dce[_0x2d330c(0x2922)](_0x2d330c(0x203),{'fields':_0x2d330c(0xba4),'id':_0x543ecd['id']});}],'userProfileSection':[_0x552668(0x362),_0x552668(0xa87),function(_0x58dc3d,_0x2f3031){const _0x4d0ee1=_0x552668;return _0x58dc3d[_0x4d0ee1(0x2922)]('userProfileSection@get',{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x2f3031['getCurrentUser']()['userProfileId'],'sectionId':0x3f2});}]},'authenticate':!![],'permissionId':0x3f2,'bodyClass':_0x552668(0x11fe)});}angular['module']('app.tools.triggers',[])['config'](_0x139bbb)[_0x313a4d(0x28f0)](_0x313a4d(0x2e1),_0x2f2a57)[_0x313a4d(0x28f0)]('TriggerActionsController',_0xaf10e0)[_0x313a4d(0x28f0)]('CreateOrEditActionDialogController',_0x424422)[_0x313a4d(0x28f0)]('TriggerAllConditionsController',_0x3e8c20)['controller'](_0x313a4d(0x1081),_0x149230)[_0x313a4d(0x28f0)](_0x313a4d(0x1645),_0x5b2b53)[_0x313a4d(0x28f0)](_0x313a4d(0x210d),_0x233055)[_0x313a4d(0x28f0)](_0x313a4d(0x2131),_0x8a70ae)['controller'](_0x313a4d(0x21e7),_0x3deb55);;const _0x4e3d52=_0x4acfac['p']+_0x313a4d(0x347);;const _0x2a832b=_0x4acfac['p']+_0x313a4d(0xa94);;const _0x3c03ee=_0x4acfac['p']+_0x313a4d(0x231);;const _0x3cfbe2=_0x4acfac['p']+_0x313a4d(0x142b);;const _0x5109aa=_0x4acfac['p']+_0x313a4d(0x14b2);;const _0x4de650=_0x4acfac['p']+'src/js/modules/main/apps/tools/views/pauses/pauses.html/pauses.html';;const _0x5ab98f=_0x4acfac['p']+_0x313a4d(0x139c);;const _0x6b1dde=_0x4acfac['p']+_0x313a4d(0xc2b);;const _0x4af6e3=_0x4acfac['p']+'src/js/modules/main/apps/tools/views/tags/tags.html/tags.html';;const _0xc44bdf=_0x4acfac['p']+_0x313a4d(0x1902);;const _0x59596e=_0x4acfac['p']+'src/js/modules/main/apps/tools/views/trunks/trunks.html/trunks.html';;const _0x3afaf5=_0x4acfac['p']+_0x313a4d(0x513);;const _0x2e16b4=_0x4acfac['p']+_0x313a4d(0x1dd1);;const _0x2e4818=_0x4acfac['p']+_0x313a4d(0x187e);;_0xe8448f[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),'$state',_0x313a4d(0x15fe),'$mdDialog','$document',_0x313a4d(0x1abe),_0x313a4d(0x214b),_0x313a4d(0x803),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0xe8448f(_0x15aca5,_0x3d3919,_0x200d18,_0x83f219,_0x47b326,_0x2444ec,_0x24be30,_0x254e90,_0x19a8d1,_0x27e6b1,_0x1649eb,_0x4f1579,_0x762248,_0xad9911,_0x2d9cd1,_0x1fb01a,_0x469911){const _0x1065a7=_0x313a4d,_0x230c68=this;_0x230c68['license']=_0x1fb01a,_0x230c68[_0x1065a7(0x15b9)]=_0x469911,_0x230c68['currentUser']=_0x2d9cd1['getCurrentUser'](),_0x230c68[_0x1065a7(0x803)]=_0x19a8d1||{'count':0x0,'rows':[]},_0x230c68[_0x1065a7(0x26b6)]=_0x27e6b1,_0x230c68[_0x1065a7(0x1366)]=_0x1649eb&&_0x1649eb[_0x1065a7(0x51c)]==0x1?_0x1649eb[_0x1065a7(0x19c7)][0x0]:null,_0x230c68[_0x1065a7(0x2514)]=_0x2d9cd1[_0x1065a7(0xe60)](_0x230c68[_0x1065a7(0x1366)]?_0x230c68[_0x1065a7(0x1366)][_0x1065a7(0x2514)]:null),_0x230c68[_0x1065a7(0x768)]=_0x1065a7(0x803),_0x230c68[_0x1065a7(0x216a)]='',_0x230c68[_0x1065a7(0x214f)]=null,_0x230c68[_0x1065a7(0x1da9)]=[],_0x230c68['query']={'fields':'createdAt,updatedAt,id,key,value,description','sort':_0x1065a7(0x12f2),'MailAccountId':_0x1065a7(0x203c),'FaxAccountId':_0x1065a7(0x203c),'SmsAccountId':'null','OpenchannelAccountId':_0x1065a7(0x203c),'ChatWebsiteId':_0x1065a7(0x203c),'limit':0xa,'page':0x1},_0x230c68[_0x1065a7(0x2ed)]=_0x51ee6d,_0x230c68[_0x1065a7(0xf0a)]=_0x776c27,_0x230c68[_0x1065a7(0x1c75)]=_0x1101a4,_0x230c68[_0x1065a7(0x282a)]=_0x5e64e8,_0x230c68[_0x1065a7(0x1942)]=_0x5eb9b6,_0x230c68['deleteCannedAnswer']=_0x2561f9,_0x230c68['exportSelectedCannedAnswers']=_0x549860,_0x230c68['deleteSelectedCannedAnswers']=_0x436236,_0x230c68['deselectCannedAnswers']=_0x4d7305,_0x230c68[_0x1065a7(0xe16)]=_0x4ecb07;function _0x51ee6d(_0x381fde,_0x13ac58){const _0x3a6988=_0x1065a7;_0x47b326[_0x3a6988(0x2615)]({'controller':_0x3a6988(0x2359),'controllerAs':'vm','templateUrl':_0x2e4818,'parent':angular['element'](_0x2444ec[_0x3a6988(0x2586)]),'targetEvent':_0x13ac58,'clickOutsideToClose':!![],'locals':{'cannedAnswer':_0x381fde,'cannedAnswers':_0x230c68[_0x3a6988(0x803)][_0x3a6988(0x19c7)],'license':_0x230c68['license'],'setting':null,'crudPermissions':_0x230c68['crudPermissions']}});}function _0x776c27(_0x3a0b86,_0x3eb268){const _0x22e2bc=_0x1065a7,_0x5e8f45=_0x47b326[_0x22e2bc(0x1e8a)]()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20'+_0x3f65c0()[_0x22e2bc(0x20d1)]('cannedAnswer')+'?')[_0x22e2bc(0x1cbe)](_0x22e2bc(0x16d3)+(_0x3a0b86[_0x22e2bc(0x19eb)]||_0x22e2bc(0x1ada))+_0x22e2bc(0x252f)+_0x22e2bc(0xe01))[_0x22e2bc(0x4bd)]('delete\x20cannedAnswer')[_0x22e2bc(0x1f27)](_0x3eb268)['ok']('OK')[_0x22e2bc(0x6c3)]('CANCEL');_0x47b326[_0x22e2bc(0x2615)](_0x5e8f45)[_0x22e2bc(0x146b)](function(){_0x2561f9(_0x3a0b86);},function(){const _0x2b087f=_0x22e2bc;console['log'](_0x2b087f(0x39a));});}let _0x2f9200=!![],_0x3579df=0x1;_0x15aca5[_0x1065a7(0x21e8)](_0x1065a7(0x2669),function(_0x26bdcd,_0x5a8d64){const _0x3733dc=_0x1065a7;_0x2f9200?_0x24be30(function(){_0x2f9200=![];}):(!_0x5a8d64&&(_0x3579df=_0x230c68[_0x3733dc(0x1a56)][_0x3733dc(0x844)]),_0x26bdcd!==_0x5a8d64&&(_0x230c68[_0x3733dc(0x1a56)][_0x3733dc(0x844)]=0x1),!_0x26bdcd&&(_0x230c68[_0x3733dc(0x1a56)][_0x3733dc(0x844)]=_0x3579df),_0x230c68[_0x3733dc(0x282a)]());});function _0x1101a4(_0x4b652e){const _0x3c0a31=_0x1065a7;_0x230c68[_0x3c0a31(0x803)]=_0x4b652e||{'count':0x0,'rows':[]};}function _0x5e64e8(){const _0x20df81=_0x1065a7;_0x230c68[_0x20df81(0x1a56)][_0x20df81(0x145d)]=(_0x230c68[_0x20df81(0x1a56)][_0x20df81(0x844)]-0x1)*_0x230c68[_0x20df81(0x1a56)][_0x20df81(0x221e)],_0x2d9cd1[_0x20df81(0x23e0)]('admin')?_0x230c68[_0x20df81(0xb9c)]=_0x4f1579[_0x20df81(0x1ada)][_0x20df81(0x16b4)](_0x230c68['query'],_0x1101a4)[_0x20df81(0x2945)]:(_0x230c68[_0x20df81(0x1a56)]['id']=_0x230c68['userProfile']['id'],_0x230c68[_0x20df81(0x1a56)]['section']=_0x20df81(0x16c4),_0x230c68['promise']=_0x4f1579[_0x20df81(0x26b6)][_0x20df81(0x158f)](_0x230c68['query'],_0x1101a4)[_0x20df81(0x2945)]);}function _0x5eb9b6(_0x27e244,_0x382f9a){const _0x59826f=_0x1065a7;_0x47b326[_0x59826f(0x2615)]({'controller':_0x59826f(0x2359),'controllerAs':'vm','templateUrl':_0x2e4818,'parent':angular[_0x59826f(0x1853)](_0x2444ec[_0x59826f(0x2586)]),'targetEvent':_0x27e244,'clickOutsideToClose':!![],'locals':{'cannedAnswer':_0x382f9a,'cannedAnswers':_0x230c68[_0x59826f(0x803)][_0x59826f(0x19c7)],'license':_0x230c68[_0x59826f(0x2690)],'setting':_0x230c68['setting'],'crudPermissions':_0x230c68[_0x59826f(0x2514)]}});}function _0x2561f9(_0x8dc72e){const _0x52fe31=_0x1065a7;_0x4f1579[_0x52fe31(0x1ada)][_0x52fe31(0x1fac)]({'id':_0x8dc72e['id']})[_0x52fe31(0x2945)]['then'](function(){const _0x65afc5=_0x52fe31;_0x3f65c0()[_0x65afc5(0x2640)](_0x230c68[_0x65afc5(0x803)][_0x65afc5(0x19c7)],{'id':_0x8dc72e['id']}),_0x230c68[_0x65afc5(0x803)][_0x65afc5(0x51c)]-=0x1,!_0x230c68['cannedAnswers']['rows']['length']&&_0x230c68[_0x65afc5(0x282a)](),_0xad9911[_0x65afc5(0x1c75)]({'title':_0x3f65c0()[_0x65afc5(0x20d1)]('CannedAnswer')+'\x20deleted!','msg':_0x8dc72e['name']?_0x8dc72e['name']+_0x65afc5(0x23e3):''});})[_0x52fe31(0x129e)](function(_0x18620b){const _0x357375=_0x52fe31;if(_0x18620b[_0x357375(0x524)]&&_0x18620b['data']['errors']&&_0x18620b[_0x357375(0x524)][_0x357375(0xcef)][_0x357375(0x402)]){_0x230c68[_0x357375(0xcef)]=_0x18620b[_0x357375(0x524)][_0x357375(0xcef)]||[{'message':_0x18620b[_0x357375(0xd5f)](),'type':_0x357375(0x170f)}];for(let _0x4d6bbd=0x0;_0x4d6bbd<_0x18620b[_0x357375(0x524)][_0x357375(0xcef)]['length'];_0x4d6bbd++){_0xad9911['error']({'title':_0x18620b['data'][_0x357375(0xcef)][_0x4d6bbd]['type'],'msg':_0x18620b[_0x357375(0x524)][_0x357375(0xcef)][_0x4d6bbd][_0x357375(0x7fd)]});}}else _0xad9911[_0x357375(0x1980)]({'title':_0x18620b['status']?_0x357375(0x262a)+_0x18620b['status']+_0x357375(0x1315)+_0x18620b['statusText']:_0x357375(0x170f),'msg':_0x18620b['data']?JSON['stringify'](_0x18620b[_0x357375(0x524)][_0x357375(0x7fd)]):_0x18620b['message']||_0x18620b['toString']()});});}function _0x549860(){const _0x22b682=_0x1065a7,_0x4ba1b4=angular[_0x22b682(0x235a)](_0x230c68[_0x22b682(0x1da9)]);return _0x230c68['selectedCannedAnswers']=[],_0x4ba1b4;}function _0x436236(_0x1e18ab){const _0x5db474=_0x1065a7,_0x4c1204=_0x47b326['confirm']()[_0x5db474(0x1189)](_0x5db474(0x1157))[_0x5db474(0x1cbe)](_0x5db474(0x16d3)+_0x230c68['selectedCannedAnswers'][_0x5db474(0x402)]+'\x20selected
'+_0x5db474(0xe01))['ariaLabel'](_0x5db474(0x207f))[_0x5db474(0x1f27)](_0x1e18ab)['ok']('OK')['cancel'](_0x5db474(0x39a));_0x47b326[_0x5db474(0x2615)](_0x4c1204)[_0x5db474(0x146b)](function(){const _0x294e6b=_0x5db474;_0x230c68['selectedCannedAnswers']['forEach'](function(_0x1343dd){_0x2561f9(_0x1343dd);}),_0x230c68[_0x294e6b(0x1da9)]=[];});}function _0x4d7305(){const _0x28f364=_0x1065a7;_0x230c68[_0x28f364(0x1da9)]=[];}function _0x4ecb07(){const _0x1131c6=_0x1065a7;_0x230c68[_0x1131c6(0x1da9)]=_0x230c68['cannedAnswers']['rows'];}}const _0x7726c8=_0xe8448f;;_0x43b56e[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q','$translate','toasty','cannedAnswers',_0x313a4d(0x1ada),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x43b56e(_0x4fc4d1,_0x1abafc,_0x466e55,_0x1f0a07,_0x194339,_0x1ff52e,_0x263e54,_0xb2d2f2,_0x1288ce,_0x51cc57,_0x3ce15f,_0x225216,_0x2ec1e4,_0x3bed0f){const _0x3922cf=_0x313a4d,_0x1bffba=this;_0x1bffba['currentUser']=_0x3ce15f[_0x3922cf(0xb12)](),_0x1bffba['errors']=[],_0x1bffba[_0x3922cf(0x15b9)]=_0x2ec1e4,_0x1bffba[_0x3922cf(0x2690)]=_0x225216,_0x1bffba[_0x3922cf(0x2514)]=_0x3bed0f,_0x1bffba[_0x3922cf(0x855)]={},_0x1bffba['passwordPattern']=_0x1bffba[_0x3922cf(0x15b9)]&&_0x1bffba[_0x3922cf(0x15b9)][_0x3922cf(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x1bffba['title']=_0x3922cf(0x87a),_0x1bffba[_0x3922cf(0x1ada)]=angular[_0x3922cf(0x235a)](_0x1288ce),_0x1bffba[_0x3922cf(0x803)]=_0xb2d2f2,_0x1bffba[_0x3922cf(0x122a)]=![];!_0x1bffba[_0x3922cf(0x1ada)]&&(_0x1bffba[_0x3922cf(0x1ada)]={},_0x1bffba[_0x3922cf(0x1189)]='TOOLS.NEW_CANNEDANSWER',_0x1bffba[_0x3922cf(0x122a)]=!![]);_0x1bffba['addNewCannedAnswer']=_0x3e4b00,_0x1bffba[_0x3922cf(0x8ab)]=_0x2b76bb,_0x1bffba[_0x3922cf(0x3f2)]=_0x121c95,_0x1bffba['getDateFromString']=_0x43dff7,_0x1bffba[_0x3922cf(0x13f3)]=_0x14024b;function _0x3e4b00(){const _0x348420=_0x3922cf;_0x1bffba[_0x348420(0xcef)]=[],_0x51cc57[_0x348420(0x1ada)][_0x348420(0x1e3)](_0x1bffba[_0x348420(0x1ada)])[_0x348420(0x2945)][_0x348420(0x146b)](function(_0x263144){const _0x463f3a=_0x348420;_0x1bffba[_0x463f3a(0x803)][_0x463f3a(0xb3d)](_0x263144[_0x463f3a(0x2488)]()),_0x263e54[_0x463f3a(0x1c75)]({'title':'CannedAnswer\x20properly\x20created','msg':_0x1bffba[_0x463f3a(0x1ada)][_0x463f3a(0x19eb)]?_0x1bffba[_0x463f3a(0x1ada)][_0x463f3a(0x19eb)]+'\x20has\x20been\x20created!':''}),_0x14024b(_0x263144);})[_0x348420(0x129e)](function(_0x295969){const _0x55f51f=_0x348420;if(_0x295969[_0x55f51f(0x524)]&&_0x295969[_0x55f51f(0x524)]['errors']&&_0x295969[_0x55f51f(0x524)]['errors'][_0x55f51f(0x402)]){_0x1bffba[_0x55f51f(0xcef)]=_0x295969[_0x55f51f(0x524)][_0x55f51f(0xcef)]||[{'message':_0x295969['toString'](),'type':_0x55f51f(0x13f)}];for(let _0x453185=0x0;_0x453185<_0x295969[_0x55f51f(0x524)][_0x55f51f(0xcef)][_0x55f51f(0x402)];_0x453185+=0x1){_0x263e54[_0x55f51f(0x1980)]({'title':_0x295969[_0x55f51f(0x524)][_0x55f51f(0xcef)][_0x453185][_0x55f51f(0x1142)],'msg':_0x295969[_0x55f51f(0x524)][_0x55f51f(0xcef)][_0x453185][_0x55f51f(0x7fd)]});}}else _0x263e54[_0x55f51f(0x1980)]({'title':_0x295969[_0x55f51f(0x107b)]?_0x55f51f(0x262a)+_0x295969[_0x55f51f(0x107b)]+_0x55f51f(0x1315)+_0x295969[_0x55f51f(0x167f)]:'api.cannedAnswer.save','msg':_0x295969[_0x55f51f(0x524)]?JSON[_0x55f51f(0x10bb)](_0x295969[_0x55f51f(0x524)][_0x55f51f(0x7fd)]):_0x295969[_0x55f51f(0xd5f)]()});});}function _0x2b76bb(){const _0xb40db=_0x3922cf;_0x1bffba[_0xb40db(0xcef)]=[],_0x51cc57[_0xb40db(0x1ada)][_0xb40db(0x18e1)]({'id':_0x1bffba['cannedAnswer']['id']},_0x1bffba[_0xb40db(0x1ada)])['$promise'][_0xb40db(0x146b)](function(_0x433d1c){const _0x2204f8=_0xb40db,_0x9590eb=_0x3f65c0()['find'](_0x1bffba[_0x2204f8(0x803)],{'id':_0x433d1c['id']});_0x9590eb&&_0x3f65c0()[_0x2204f8(0x168d)](_0x9590eb,_0x3f65c0()[_0x2204f8(0x40e)](_0x433d1c[_0x2204f8(0x2488)](),_0x3f65c0()[_0x2204f8(0x627)](_0x9590eb))),_0x263e54[_0x2204f8(0x1c75)]({'title':'CannedAnswer\x20properly\x20saved!','msg':_0x1bffba['cannedAnswer'][_0x2204f8(0x19eb)]?_0x1bffba[_0x2204f8(0x1ada)]['name']+'\x20has\x20been\x20saved!':''}),_0x14024b(_0x433d1c);})['catch'](function(_0x5b525e){const _0x45da3f=_0xb40db;if(_0x5b525e[_0x45da3f(0x524)]&&_0x5b525e[_0x45da3f(0x524)]['errors']&&_0x5b525e['data'][_0x45da3f(0xcef)]['length']){_0x1bffba['errors']=_0x5b525e[_0x45da3f(0x524)][_0x45da3f(0xcef)]||[{'message':_0x5b525e[_0x45da3f(0xd5f)](),'type':_0x45da3f(0x11ab)}];for(let _0x2bb809=0x0;_0x2bb809<_0x5b525e[_0x45da3f(0x524)][_0x45da3f(0xcef)]['length'];_0x2bb809++){_0x263e54[_0x45da3f(0x1980)]({'title':_0x5b525e[_0x45da3f(0x524)][_0x45da3f(0xcef)][_0x2bb809][_0x45da3f(0x1142)],'msg':_0x5b525e[_0x45da3f(0x524)]['errors'][_0x2bb809][_0x45da3f(0x7fd)]});}}else _0x263e54[_0x45da3f(0x1980)]({'title':_0x5b525e[_0x45da3f(0x107b)]?_0x45da3f(0x262a)+_0x5b525e['status']+_0x45da3f(0x1315)+_0x5b525e[_0x45da3f(0x167f)]:_0x45da3f(0x11ab),'msg':_0x5b525e[_0x45da3f(0x524)]?JSON[_0x45da3f(0x10bb)](_0x5b525e['data'][_0x45da3f(0x7fd)]):_0x5b525e['toString']()});});}function _0x121c95(_0x31a538){const _0x43618c=_0x3922cf;_0x1bffba[_0x43618c(0xcef)]=[];const _0x484950=_0x1f0a07[_0x43618c(0x1e8a)]()[_0x43618c(0x1189)](_0x43618c(0x1d64))['content'](_0x43618c(0x5ea))[_0x43618c(0x4bd)](_0x43618c(0x114b))['ok'](_0x43618c(0x25de))[_0x43618c(0x6c3)](_0x43618c(0xcf0))[_0x43618c(0x1f27)](_0x31a538);_0x1f0a07['show'](_0x484950)[_0x43618c(0x146b)](function(){const _0x5dd9f0=_0x43618c;_0x51cc57[_0x5dd9f0(0x1ada)]['delete']({'id':_0x1bffba['cannedAnswer']['id']})[_0x5dd9f0(0x2945)][_0x5dd9f0(0x146b)](function(){const _0x3c32b4=_0x5dd9f0;_0x3f65c0()[_0x3c32b4(0x2640)](_0x1bffba[_0x3c32b4(0x803)],{'id':_0x1bffba[_0x3c32b4(0x1ada)]['id']}),_0x263e54[_0x3c32b4(0x1c75)]({'title':_0x3c32b4(0x7eb),'msg':(_0x1bffba[_0x3c32b4(0x1ada)][_0x3c32b4(0x19eb)]||_0x3c32b4(0x1ada))+_0x3c32b4(0x23e3)}),_0x14024b(_0x1bffba['cannedAnswer']);})[_0x5dd9f0(0x129e)](function(_0x105538){const _0x50e484=_0x5dd9f0;if(_0x105538['data']&&_0x105538[_0x50e484(0x524)]['errors']&&_0x105538['data'][_0x50e484(0xcef)][_0x50e484(0x402)]){_0x1bffba[_0x50e484(0xcef)]=_0x105538['data'][_0x50e484(0xcef)]||[{'message':_0x105538[_0x50e484(0xd5f)](),'type':_0x50e484(0xe6a)}];for(let _0x50e4cd=0x0;_0x50e4cd<_0x105538['data'][_0x50e484(0xcef)][_0x50e484(0x402)];_0x50e4cd++){_0x263e54['error']({'title':_0x105538[_0x50e484(0x524)][_0x50e484(0xcef)][_0x50e4cd][_0x50e484(0x1142)],'msg':_0x105538['data'][_0x50e484(0xcef)][_0x50e4cd][_0x50e484(0x7fd)]});}}else _0x263e54['error']({'title':_0x105538[_0x50e484(0x107b)]?_0x50e484(0x262a)+_0x105538['status']+_0x50e484(0x1315)+_0x105538[_0x50e484(0x167f)]:_0x50e484(0xe6a),'msg':_0x105538['data']?JSON[_0x50e484(0x10bb)](_0x105538[_0x50e484(0x524)][_0x50e484(0x7fd)]):_0x105538[_0x50e484(0x7fd)]||_0x105538['toString']()});});},function(){});}function _0x43dff7(_0x5dada2){return _0x5dada2===null?undefined:new Date(_0x5dada2);}function _0x14024b(_0x48e8f8){_0x1f0a07['hide'](_0x48e8f8);}}const _0x509dff=_0x43b56e;;_0x1d8d1f[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x1862),'$location',_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),'toasty',_0x313a4d(0x28a6),'customDashboard','api',_0x313a4d(0xa87),'license',_0x313a4d(0x15b9),'crudPermissions'];function _0x1d8d1f(_0x354c19,_0x1f0fab,_0x1bc163,_0xff43f,_0x47dd80,_0x164676,_0x44b856,_0x5a1be8,_0x3310ed,_0x27603d,_0x337de3,_0x245589,_0x41e1a5,_0x39042e){const _0x40969d=_0x313a4d,_0x495265=this;_0x495265[_0x40969d(0x2321)]=_0x337de3[_0x40969d(0xb12)](),_0x495265[_0x40969d(0xcef)]=[],_0x495265['setting']=_0x41e1a5,_0x495265[_0x40969d(0x2690)]=_0x245589,_0x495265['crudPermissions']=_0x39042e,_0x495265['hasModulePermissions']={},_0x495265[_0x40969d(0x2251)]=_0x495265['setting']&&_0x495265[_0x40969d(0x15b9)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x495265[_0x40969d(0x1189)]=_0x40969d(0x2089),_0x495265[_0x40969d(0x1d3b)]=angular[_0x40969d(0x235a)](_0x3310ed),_0x495265['customDashboards']=_0x5a1be8,_0x495265[_0x40969d(0x2340)]=![];!_0x495265['customDashboard']&&(_0x495265[_0x40969d(0x1d3b)]={},_0x495265[_0x40969d(0x1189)]=_0x40969d(0x1ef7),_0x495265[_0x40969d(0x2340)]=!![]);_0x495265[_0x40969d(0x9bd)]=_0x27a21a,_0x495265[_0x40969d(0xd6b)]=_0x261288,_0x495265[_0x40969d(0x226f)]=_0x3d89b4,_0x495265[_0x40969d(0xe73)]=_0x436399,_0x495265[_0x40969d(0x13f3)]=_0x36806d;function _0x27a21a(){const _0x3c0a5a=_0x40969d;_0x495265['errors']=[],_0x27603d['dashboard'][_0x3c0a5a(0x1e3)](_0x495265[_0x3c0a5a(0x1d3b)])[_0x3c0a5a(0x2945)][_0x3c0a5a(0x146b)](function(_0x28e2c4){const _0x5d52a8=_0x3c0a5a;_0x495265[_0x5d52a8(0x28a6)][_0x5d52a8(0xb3d)](_0x28e2c4[_0x5d52a8(0x2488)]()),_0x44b856['success']({'title':_0x5d52a8(0xcd8),'msg':_0x495265['customDashboard'][_0x5d52a8(0x19eb)]?_0x495265[_0x5d52a8(0x1d3b)][_0x5d52a8(0x19eb)]+_0x5d52a8(0x1386):''}),_0x36806d(_0x28e2c4);})['catch'](function(_0x5212d5){const _0x4e4d8a=_0x3c0a5a;if(_0x5212d5[_0x4e4d8a(0x524)]&&_0x5212d5[_0x4e4d8a(0x524)][_0x4e4d8a(0xcef)]&&_0x5212d5[_0x4e4d8a(0x524)][_0x4e4d8a(0xcef)][_0x4e4d8a(0x402)]){_0x495265[_0x4e4d8a(0xcef)]=_0x5212d5[_0x4e4d8a(0x524)][_0x4e4d8a(0xcef)]||[{'message':_0x5212d5[_0x4e4d8a(0xd5f)](),'type':_0x4e4d8a(0x270a)}];for(let _0x4625a9=0x0;_0x4625a9<_0x5212d5[_0x4e4d8a(0x524)][_0x4e4d8a(0xcef)][_0x4e4d8a(0x402)];_0x4625a9+=0x1){_0x44b856[_0x4e4d8a(0x1980)]({'title':_0x5212d5[_0x4e4d8a(0x524)]['errors'][_0x4625a9][_0x4e4d8a(0x1142)],'msg':_0x5212d5[_0x4e4d8a(0x524)][_0x4e4d8a(0xcef)][_0x4625a9][_0x4e4d8a(0x7fd)]});}}else _0x44b856[_0x4e4d8a(0x1980)]({'title':_0x5212d5[_0x4e4d8a(0x107b)]?_0x4e4d8a(0x262a)+_0x5212d5['status']+_0x4e4d8a(0x1315)+_0x5212d5[_0x4e4d8a(0x167f)]:_0x4e4d8a(0x270a),'msg':_0x5212d5[_0x4e4d8a(0x524)]?JSON[_0x4e4d8a(0x10bb)](_0x5212d5['data'][_0x4e4d8a(0x7fd)]):_0x5212d5[_0x4e4d8a(0xd5f)]()});});}function _0x261288(){const _0x42787d=_0x40969d;_0x495265[_0x42787d(0xcef)]=[],_0x27603d['dashboard'][_0x42787d(0x18e1)]({'id':_0x495265['customDashboard']['id']},_0x495265['customDashboard'])[_0x42787d(0x2945)][_0x42787d(0x146b)](function(_0x34456e){const _0x3b2fcd=_0x42787d,_0x45e614=_0x3f65c0()['find'](_0x495265[_0x3b2fcd(0x28a6)],{'id':_0x34456e['id']});_0x45e614&&_0x3f65c0()[_0x3b2fcd(0x168d)](_0x45e614,_0x3f65c0()[_0x3b2fcd(0x40e)](_0x34456e[_0x3b2fcd(0x2488)](),_0x3f65c0()[_0x3b2fcd(0x627)](_0x45e614))),_0x44b856[_0x3b2fcd(0x1c75)]({'title':_0x3b2fcd(0x30c),'msg':_0x495265[_0x3b2fcd(0x1d3b)]['name']?_0x495265['customDashboard'][_0x3b2fcd(0x19eb)]+_0x3b2fcd(0x24db):''}),_0x36806d(_0x34456e);})[_0x42787d(0x129e)](function(_0x543402){const _0x2ce1eb=_0x42787d;if(_0x543402[_0x2ce1eb(0x524)]&&_0x543402[_0x2ce1eb(0x524)][_0x2ce1eb(0xcef)]&&_0x543402[_0x2ce1eb(0x524)][_0x2ce1eb(0xcef)][_0x2ce1eb(0x402)]){_0x495265[_0x2ce1eb(0xcef)]=_0x543402[_0x2ce1eb(0x524)][_0x2ce1eb(0xcef)]||[{'message':_0x543402[_0x2ce1eb(0xd5f)](),'type':'api.dashboard.update'}];for(let _0x5c1ce1=0x0;_0x5c1ce1<_0x543402[_0x2ce1eb(0x524)]['errors'][_0x2ce1eb(0x402)];_0x5c1ce1++){_0x44b856['error']({'title':_0x543402['data'][_0x2ce1eb(0xcef)][_0x5c1ce1]['type'],'msg':_0x543402[_0x2ce1eb(0x524)]['errors'][_0x5c1ce1][_0x2ce1eb(0x7fd)]});}}else _0x44b856[_0x2ce1eb(0x1980)]({'title':_0x543402[_0x2ce1eb(0x107b)]?_0x2ce1eb(0x262a)+_0x543402[_0x2ce1eb(0x107b)]+_0x2ce1eb(0x1315)+_0x543402['statusText']:_0x2ce1eb(0x1018),'msg':_0x543402['data']?JSON[_0x2ce1eb(0x10bb)](_0x543402[_0x2ce1eb(0x524)][_0x2ce1eb(0x7fd)]):_0x543402[_0x2ce1eb(0xd5f)]()});});}function _0x3d89b4(_0x51a4da){const _0x301d57=_0x40969d;_0x495265['errors']=[];const _0x502cbd=_0xff43f[_0x301d57(0x1e8a)]()['title'](_0x301d57(0x1d64))[_0x301d57(0x80f)]('The\x20customDashboard\x20will\x20be\x20deleted.')[_0x301d57(0x4bd)](_0x301d57(0x2455))['ok'](_0x301d57(0x25de))[_0x301d57(0x6c3)](_0x301d57(0xcf0))['targetEvent'](_0x51a4da);_0xff43f[_0x301d57(0x2615)](_0x502cbd)['then'](function(){const _0x9dadfa=_0x301d57;_0x27603d[_0x9dadfa(0x130)][_0x9dadfa(0x1fac)]({'id':_0x495265[_0x9dadfa(0x1d3b)]['id']})[_0x9dadfa(0x2945)][_0x9dadfa(0x146b)](function(){const _0x5598cb=_0x9dadfa;_0x3f65c0()[_0x5598cb(0x2640)](_0x495265[_0x5598cb(0x28a6)],{'id':_0x495265[_0x5598cb(0x1d3b)]['id']}),_0x44b856['success']({'title':_0x5598cb(0x1b9a),'msg':(_0x495265[_0x5598cb(0x1d3b)]['name']||_0x5598cb(0x1d3b))+_0x5598cb(0x23e3)}),_0x36806d(_0x495265[_0x5598cb(0x1d3b)]);})['catch'](function(_0x1b015d){const _0x322af4=_0x9dadfa;if(_0x1b015d[_0x322af4(0x524)]&&_0x1b015d['data'][_0x322af4(0xcef)]&&_0x1b015d[_0x322af4(0x524)][_0x322af4(0xcef)]['length']){_0x495265[_0x322af4(0xcef)]=_0x1b015d[_0x322af4(0x524)]['errors']||[{'message':_0x1b015d['toString'](),'type':'api.dashboard.delete'}];for(let _0x47f909=0x0;_0x47f909<_0x1b015d[_0x322af4(0x524)]['errors'][_0x322af4(0x402)];_0x47f909++){_0x44b856[_0x322af4(0x1980)]({'title':_0x1b015d[_0x322af4(0x524)][_0x322af4(0xcef)][_0x47f909][_0x322af4(0x1142)],'msg':_0x1b015d['data'][_0x322af4(0xcef)][_0x47f909][_0x322af4(0x7fd)]});}}else _0x44b856[_0x322af4(0x1980)]({'title':_0x1b015d[_0x322af4(0x107b)]?_0x322af4(0x262a)+_0x1b015d[_0x322af4(0x107b)]+'\x20-\x20'+_0x1b015d['statusText']:_0x322af4(0xf70),'msg':_0x1b015d[_0x322af4(0x524)]?JSON['stringify'](_0x1b015d[_0x322af4(0x524)][_0x322af4(0x7fd)]):_0x1b015d[_0x322af4(0x7fd)]||_0x1b015d[_0x322af4(0xd5f)]()});});},function(){});}function _0x436399(_0x12efa4){return _0x12efa4===null?undefined:new Date(_0x12efa4);}function _0x36806d(_0x4bcd9a){_0xff43f['hide'](_0x4bcd9a);}}const _0xca20dd=_0x1d8d1f;;const _0x1b38fc=_0x4acfac['p']+_0x313a4d(0x10ad);;_0x30024f['$inject']=['$scope','$window',_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),'$translate',_0x313a4d(0x28a6),_0x313a4d(0x26b6),'userProfileSection',_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),'license',_0x313a4d(0x15b9)];function _0x30024f(_0x134f1d,_0x37b672,_0x1182d5,_0xd21ef5,_0xde3694,_0x392c2f,_0x27cd1b,_0x22d7fd,_0x3cd61e,_0x12543d,_0x4dbc7c,_0x15ecfb,_0x56e9b2,_0x1e9d6c,_0xebc1c,_0x4fa1b6,_0x12b395){const _0x141230=_0x313a4d,_0x53ea39=this;_0x53ea39['license']=_0x4fa1b6,_0x53ea39[_0x141230(0x15b9)]=_0x12b395,_0x53ea39[_0x141230(0x2321)]=_0xebc1c[_0x141230(0xb12)](),_0x53ea39[_0x141230(0x28a6)]=_0x3cd61e||{'count':0x0,'rows':[]},_0x53ea39[_0x141230(0x26b6)]=_0x12543d,_0x53ea39['userProfileSection']=_0x4dbc7c&&_0x4dbc7c[_0x141230(0x51c)]==0x1?_0x4dbc7c[_0x141230(0x19c7)][0x0]:null,_0x53ea39['crudPermissions']=_0xebc1c[_0x141230(0xe60)](_0x53ea39[_0x141230(0x1366)]?_0x53ea39['userProfileSection'][_0x141230(0x2514)]:null),_0x53ea39[_0x141230(0x768)]=_0x141230(0x28a6),_0x53ea39['listOrder']='',_0x53ea39[_0x141230(0x214f)]=null,_0x53ea39[_0x141230(0x1dde)]=[],_0x53ea39['query']={'fields':_0x141230(0x608),'sort':_0x141230(0x12f2),'limit':0xa,'page':0x1},_0x53ea39[_0x141230(0x23f9)]=_0x2c7ecb,_0x53ea39['gotodashboardgoto']=_0x3ca03e,_0x53ea39[_0x141230(0xf0a)]=_0x1569fc,_0x53ea39[_0x141230(0x1c75)]=_0x57042e,_0x53ea39[_0x141230(0x122)]=_0x20d182,_0x53ea39[_0x141230(0x1b83)]=_0x452eeb,_0x53ea39[_0x141230(0x226f)]=_0x2f44b5,_0x53ea39[_0x141230(0x1539)]=_0x50aeaa,_0x53ea39[_0x141230(0x26b)]=_0x9ce04c,_0x53ea39[_0x141230(0x23b4)]=_0x36969a,_0x53ea39[_0x141230(0xd34)]=_0x182ce2;function _0x2c7ecb(_0x14cdba){_0x1182d5['go']('app.tools.customDashboards.edit',{'id':_0x14cdba['id'],'customDashboard':_0x14cdba,'crudPermissions':_0x53ea39['crudPermissions']});}function _0x3ca03e(_0x5a2650){const _0x51cb0b=_0x141230;_0x1182d5['go'](_0x51cb0b(0x993),{'id':_0x5a2650['id']});}function _0x1569fc(_0x1dcaa6,_0x42b46e){const _0x5ddc37=_0x141230,_0xf67d5c=_0xde3694[_0x5ddc37(0x1e8a)]()['title'](_0x5ddc37(0xdb2)+_0x3f65c0()[_0x5ddc37(0x20d1)](_0x5ddc37(0x1d3b))+'?')['htmlContent'](_0x5ddc37(0x16d3)+(_0x1dcaa6[_0x5ddc37(0x19eb)]||_0x5ddc37(0x1d3b))+_0x5ddc37(0x252f)+_0x5ddc37(0xe01))['ariaLabel']('delete\x20customDashboard')[_0x5ddc37(0x1f27)](_0x42b46e)['ok']('OK')[_0x5ddc37(0x6c3)](_0x5ddc37(0x39a));_0xde3694[_0x5ddc37(0x2615)](_0xf67d5c)[_0x5ddc37(0x146b)](function(){_0x2f44b5(_0x1dcaa6);},function(){const _0x12b362=_0x5ddc37;console['log'](_0x12b362(0x39a));});}let _0x5483e6=!![],_0x394a09=0x1;_0x134f1d[_0x141230(0x21e8)]('vm.query.filter',function(_0x9bd5e5,_0xd62a3a){const _0x4ae89f=_0x141230;_0x5483e6?_0x27cd1b(function(){_0x5483e6=![];}):(!_0xd62a3a&&(_0x394a09=_0x53ea39[_0x4ae89f(0x1a56)][_0x4ae89f(0x844)]),_0x9bd5e5!==_0xd62a3a&&(_0x53ea39[_0x4ae89f(0x1a56)][_0x4ae89f(0x844)]=0x1),!_0x9bd5e5&&(_0x53ea39['query'][_0x4ae89f(0x844)]=_0x394a09),_0x53ea39[_0x4ae89f(0x122)]());});function _0x57042e(_0x1bdd0a){const _0x2241e5=_0x141230;_0x53ea39[_0x2241e5(0x28a6)]=_0x1bdd0a||{'count':0x0,'rows':[]};}function _0x20d182(){const _0x526b5d=_0x141230;_0x53ea39[_0x526b5d(0x1a56)][_0x526b5d(0x145d)]=(_0x53ea39['query'][_0x526b5d(0x844)]-0x1)*_0x53ea39[_0x526b5d(0x1a56)][_0x526b5d(0x221e)],_0xebc1c[_0x526b5d(0x23e0)](_0x526b5d(0x174b))?_0x53ea39['promise']=_0x15ecfb[_0x526b5d(0x130)][_0x526b5d(0x16b4)](_0x53ea39[_0x526b5d(0x1a56)],_0x57042e)[_0x526b5d(0x2945)]:(_0x53ea39['query']['id']=_0x53ea39[_0x526b5d(0x26b6)]['id'],_0x53ea39['query'][_0x526b5d(0x2146)]=_0x526b5d(0x907),_0x53ea39['promise']=_0x15ecfb[_0x526b5d(0x26b6)][_0x526b5d(0x158f)](_0x53ea39[_0x526b5d(0x1a56)],_0x57042e)[_0x526b5d(0x2945)]);}function _0x452eeb(_0x2f4e9d,_0x5453f2){const _0x36d4e8=_0x141230;_0xde3694[_0x36d4e8(0x2615)]({'controller':_0x36d4e8(0x5c6),'controllerAs':'vm','templateUrl':_0x1b38fc,'parent':angular['element'](_0x392c2f[_0x36d4e8(0x2586)]),'targetEvent':_0x2f4e9d,'clickOutsideToClose':!![],'locals':{'customDashboard':_0x5453f2,'customDashboards':_0x53ea39[_0x36d4e8(0x28a6)][_0x36d4e8(0x19c7)],'license':_0x53ea39['license'],'setting':_0x53ea39[_0x36d4e8(0x15b9)],'crudPermissions':_0x53ea39['crudPermissions']}});}function _0x2f44b5(_0x177a90){const _0x241de9=_0x141230;_0x15ecfb[_0x241de9(0x130)][_0x241de9(0x1fac)]({'id':_0x177a90['id']})[_0x241de9(0x2945)][_0x241de9(0x146b)](function(){const _0x51f786=_0x241de9;_0x3f65c0()[_0x51f786(0x2640)](_0x53ea39['customDashboards']['rows'],{'id':_0x177a90['id']}),_0x53ea39[_0x51f786(0x28a6)][_0x51f786(0x51c)]-=0x1,!_0x53ea39['customDashboards']['rows'][_0x51f786(0x402)]&&_0x53ea39[_0x51f786(0x122)](),_0x1e9d6c[_0x51f786(0x1c75)]({'title':_0x3f65c0()[_0x51f786(0x20d1)](_0x51f786(0x1f6d))+_0x51f786(0x201c),'msg':_0x177a90[_0x51f786(0x19eb)]?_0x177a90[_0x51f786(0x19eb)]+'\x20has\x20been\x20deleted!':''});})[_0x241de9(0x129e)](function(_0x5252e2){const _0xc83a99=_0x241de9;if(_0x5252e2['data']&&_0x5252e2['data'][_0xc83a99(0xcef)]&&_0x5252e2[_0xc83a99(0x524)][_0xc83a99(0xcef)][_0xc83a99(0x402)]){_0x53ea39[_0xc83a99(0xcef)]=_0x5252e2['data'][_0xc83a99(0xcef)]||[{'message':_0x5252e2['toString'](),'type':_0xc83a99(0x1ec7)}];for(let _0x54234f=0x0;_0x54234f<_0x5252e2[_0xc83a99(0x524)][_0xc83a99(0xcef)][_0xc83a99(0x402)];_0x54234f++){_0x1e9d6c['error']({'title':_0x5252e2[_0xc83a99(0x524)]['errors'][_0x54234f][_0xc83a99(0x1142)],'msg':_0x5252e2[_0xc83a99(0x524)][_0xc83a99(0xcef)][_0x54234f]['message']});}}else _0x1e9d6c['error']({'title':_0x5252e2['status']?_0xc83a99(0x262a)+_0x5252e2['status']+_0xc83a99(0x1315)+_0x5252e2[_0xc83a99(0x167f)]:_0xc83a99(0x1ec7),'msg':_0x5252e2[_0xc83a99(0x524)]?JSON['stringify'](_0x5252e2[_0xc83a99(0x524)]['message']):_0x5252e2['message']||_0x5252e2[_0xc83a99(0xd5f)]()});});}function _0x50aeaa(){const _0x470ec3=_0x141230,_0x43ccac=angular['copy'](_0x53ea39[_0x470ec3(0x1dde)]);return _0x53ea39[_0x470ec3(0x1dde)]=[],_0x43ccac;}function _0x9ce04c(_0x56f294){const _0x2cdf17=_0x141230,_0x435c6a=_0xde3694['confirm']()[_0x2cdf17(0x1189)](_0x2cdf17(0x76f))['htmlContent'](''+_0x53ea39['selectedCustomDashboards'][_0x2cdf17(0x402)]+_0x2cdf17(0x2452)+_0x2cdf17(0xe01))[_0x2cdf17(0x4bd)](_0x2cdf17(0x29d))[_0x2cdf17(0x1f27)](_0x56f294)['ok']('OK')[_0x2cdf17(0x6c3)](_0x2cdf17(0x39a));_0xde3694['show'](_0x435c6a)['then'](function(){const _0x3b4c03=_0x2cdf17;_0x53ea39['selectedCustomDashboards'][_0x3b4c03(0x1df5)](function(_0x9f09e){_0x2f44b5(_0x9f09e);}),_0x53ea39[_0x3b4c03(0x1dde)]=[];});}function _0x36969a(){const _0x2fcd96=_0x141230;_0x53ea39[_0x2fcd96(0x1dde)]=[];}function _0x182ce2(){const _0x4319b9=_0x141230;_0x53ea39[_0x4319b9(0x1dde)]=_0x53ea39[_0x4319b9(0x28a6)][_0x4319b9(0x19c7)];}}const _0x31c16c=_0x30024f;;_0x31534b[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$state',_0x313a4d(0x1fe4),'api',_0x313a4d(0x1d3b),'customDashboards',_0x313a4d(0x214b)];function _0x31534b(_0x3ac64f,_0x38f66f,_0x262dab,_0x3f40f1,_0x4b20eb,_0x10ed8e,_0x507788){const _0x397ee4=_0x313a4d,_0x39bd83=this;_0x39bd83[_0x397ee4(0x2340)]=!![],_0x39bd83[_0x397ee4(0x1d3b)]=angular[_0x397ee4(0x235a)](_0x4b20eb),_0x39bd83[_0x397ee4(0x28a6)]=_0x10ed8e,_0x39bd83[_0x397ee4(0x1189)]=_0x507788[_0x397ee4(0xde)](_0x397ee4(0x1d2c));_0x4b20eb&&_0x4b20eb[_0x397ee4(0x19eb)]&&(_0x39bd83[_0x397ee4(0x1189)]+=':\x20'+_0x4b20eb[_0x397ee4(0x19eb)]);_0x39bd83['cloneCustomDashboard']=_0x2866c7,_0x39bd83['closeDialog']=_0x32334a;function _0x2866c7(){const _0x2d02b2=_0x397ee4;return _0x3f40f1[_0x2d02b2(0x130)][_0x2d02b2(0x12cc)]({'id':_0x4b20eb['id'],'includeAll':!![]},_0x39bd83[_0x2d02b2(0x1d3b)])[_0x2d02b2(0x2945)]['then'](function(_0x34b123){const _0x56c761=_0x2d02b2;_0x39bd83[_0x56c761(0x28a6)][_0x56c761(0xb3d)](_0x34b123[_0x56c761(0x2488)]()),_0x262dab['success']({'title':_0x56c761(0x22fc),'msg':_0x39bd83[_0x56c761(0x1d3b)][_0x56c761(0x19eb)]?_0x39bd83[_0x56c761(0x1d3b)][_0x56c761(0x19eb)]+_0x56c761(0x1b63):''}),_0x38f66f['go'](_0x56c761(0x9d8),{'id':_0x34b123['id']}),_0x32334a(_0x34b123);})[_0x2d02b2(0x129e)](function(_0x12a3b5){const _0x4fdb25=_0x2d02b2;if(_0x12a3b5[_0x4fdb25(0x524)]&&_0x12a3b5[_0x4fdb25(0x524)]['errors']&&_0x12a3b5[_0x4fdb25(0x524)]['errors'][_0x4fdb25(0x402)]){_0x39bd83[_0x4fdb25(0xcef)]=_0x12a3b5[_0x4fdb25(0x524)][_0x4fdb25(0xcef)]||[{'message':_0x12a3b5[_0x4fdb25(0xd5f)](),'type':_0x4fdb25(0x555)}];for(let _0xcaee34=0x0;_0xcaee34<_0x12a3b5['data'][_0x4fdb25(0xcef)]['length'];_0xcaee34+=0x1){_0x262dab[_0x4fdb25(0x1980)]({'title':_0x12a3b5[_0x4fdb25(0x524)][_0x4fdb25(0xcef)][_0xcaee34][_0x4fdb25(0x1142)],'msg':_0x12a3b5[_0x4fdb25(0x524)]['errors'][_0xcaee34][_0x4fdb25(0x7fd)]});}}else _0x262dab[_0x4fdb25(0x1980)]({'title':_0x12a3b5[_0x4fdb25(0x107b)]?_0x4fdb25(0x262a)+_0x12a3b5[_0x4fdb25(0x107b)]+_0x4fdb25(0x1315)+_0x12a3b5[_0x4fdb25(0x167f)]:'api.dashboard.clone','msg':_0x12a3b5[_0x4fdb25(0x524)]?JSON[_0x4fdb25(0x10bb)](_0x12a3b5['data']['message']):_0x12a3b5[_0x4fdb25(0xd5f)]()});});}function _0x32334a(_0x5dd507){const _0x3a6f70=_0x397ee4;_0x3ac64f[_0x3a6f70(0x2458)](_0x5dd507);}}const _0xc6f0e9=_0x31534b;;const _0xad439e=_0x4acfac['p']+'src/js/modules/main/apps/tools/views/customDashboards/edit/dashboard/dialog.html/dialog.html';;_0x53fcc0[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x279d),_0x313a4d(0x1fe4),_0x313a4d(0x247f)];function _0x53fcc0(_0x31ec24,_0x13807e,_0x24dade,_0x8703a0,_0x4503d1){const _0x81f53a=_0x313a4d,_0x73f70a=this;_0x73f70a[_0x81f53a(0x1d3b)]={},_0x73f70a[_0x81f53a(0xa27)]=_0x4503d1[_0x81f53a(0x1af7)]['getVoiceQueues']({'fields':'id,name'}),_0x73f70a[_0x81f53a(0x5aa)]=_0x565ef8,_0x73f70a[_0x81f53a(0x6f5)]=_0x3fb0eb,_0x73f70a[_0x81f53a(0x1d52)]=_0x4ba822;function _0x565ef8(_0x557e6b,_0x13e5c3){const _0x2b21bd=_0x81f53a;return _0x73f70a[_0x2b21bd(0x2514)]=typeof _0x13e5c3!=='undefined'?_0x13e5c3:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x73f70a[_0x2b21bd(0x1d3b)]=_0x557e6b,_0x73f70a[_0x2b21bd(0x1d3b)][_0x2b21bd(0x2fe)]={'enabled':!![],'handle':_0x2b21bd(0x186d),'stop':_0x3db922},_0x73f70a['customDashboard'][_0x2b21bd(0x1d94)]={'enabled':!![],'handle':_0x2b21bd(0x20e1),'stop':_0x3db922},_0x73f70a[_0x2b21bd(0x1d3b)]['widgets']=[],_0x4503d1[_0x2b21bd(0x130)][_0x2b21bd(0xe92)]({'id':_0x557e6b['id']})[_0x2b21bd(0x2945)]['then'](function(_0xe046dc){const _0x4e5be4=_0x2b21bd;if(_0xe046dc&&_0xe046dc[_0x4e5be4(0x51c)]&&_0xe046dc[_0x4e5be4(0x19c7)])for(let _0x3e4557=0x0;_0x3e4557<_0xe046dc[_0x4e5be4(0x19c7)]['length'];_0x3e4557+=0x1){_0xe046dc['rows'][_0x3e4557][_0x4e5be4(0xa27)]=_0x73f70a[_0x4e5be4(0xa27)],_0xe046dc[_0x4e5be4(0x19c7)][_0x3e4557][_0x4e5be4(0x131b)]=_0x3f65c0()[_0x4e5be4(0x205)](_0x73f70a[_0x4e5be4(0xa27)]['rows'],'id'),_0xe046dc[_0x4e5be4(0x19c7)][_0x3e4557]['templateUrl']='app/core/directives/ms-widget-engine/ms-widget-engine-'+_0xe046dc[_0x4e5be4(0x19c7)][_0x3e4557][_0x4e5be4(0x1142)]+'/ms-widget-engine.'+_0xe046dc['rows'][_0x3e4557][_0x4e5be4(0x1142)]+_0x4e5be4(0x23d6),_0x73f70a['customDashboard'][_0x4e5be4(0x25f1)]['push'](_0xe046dc['rows'][_0x3e4557]);}})[_0x2b21bd(0x129e)](function(_0x51ccc8){const _0x5dc099=_0x2b21bd;console[_0x5dc099(0x1980)](_0x51ccc8);});}function _0x3fb0eb(_0x49d488){const _0x502b1c=_0x81f53a;if(_0x49d488&&_0x49d488[_0x502b1c(0x63a)])for(let _0xeeb528=0x0;_0xeeb528<_0x49d488['attrs'][_0x502b1c(0x402)];_0xeeb528+=0x1){_0x49d488['attrs'][_0xeeb528][_0x502b1c(0x19eb)]==='attrReport'&&(_0x49d488[_0x502b1c(0x22a)]=_0x49d488[_0x502b1c(0x63a)][_0xeeb528][_0x502b1c(0x1142)]),_0x49d488[_0x49d488[_0x502b1c(0x63a)][_0xeeb528][_0x502b1c(0x19eb)]]=_0x49d488[_0x502b1c(0x63a)][_0xeeb528][_0x502b1c(0x175d)];}_0x31ec24[_0x502b1c(0x2615)]({'controller':'CreateOrEditDashboardItemDialogController','controllerAs':'vm','templateUrl':_0xad439e,'parent':angular[_0x502b1c(0x1853)](_0x13807e[_0x502b1c(0x2586)]),'clickOutsideToClose':!![],'locals':{'dashboarditem':_0x49d488,'dashboard':_0x73f70a[_0x502b1c(0x1d3b)][_0x502b1c(0x25f1)],'license':null,'setting':null,'crudPermissions':_0x73f70a[_0x502b1c(0x2514)]}})[_0x502b1c(0x146b)](function(_0x21f302){const _0x355e83=_0x502b1c;if(_0x21f302)for(let _0x442c41=0x0;_0x442c41<_0x73f70a['customDashboard']['widgets'][_0x355e83(0x402)];_0x442c41+=0x1){_0x73f70a['customDashboard'][_0x355e83(0x25f1)][_0x442c41]['id']===_0x21f302['id']&&(_0x73f70a[_0x355e83(0x1d3b)]['widgets'][_0x442c41]['attrs']=_0x21f302['attrs'],_0x73f70a[_0x355e83(0x1d3b)][_0x355e83(0x25f1)][_0x442c41][_0x355e83(0xa27)]=_0x73f70a[_0x355e83(0xa27)],_0x73f70a[_0x355e83(0x1d3b)][_0x355e83(0x25f1)][_0x442c41][_0x355e83(0x131b)]=_0x3f65c0()['map'](_0x73f70a[_0x355e83(0xa27)]['rows'],'id'),_0x73f70a[_0x355e83(0x1d3b)][_0x355e83(0x25f1)][_0x442c41]['templateUrl']=_0x355e83(0xbb5)+_0x73f70a[_0x355e83(0x1d3b)][_0x355e83(0x25f1)][_0x442c41][_0x355e83(0x1142)]+_0x355e83(0x1cfb)+_0x73f70a[_0x355e83(0x1d3b)][_0x355e83(0x25f1)][_0x442c41][_0x355e83(0x1142)]+_0x355e83(0x23d6));}});}function _0x4ba822(_0x13f39f){const _0x412917=_0x81f53a,_0x116c2b=_0x31ec24[_0x412917(0x1e8a)]()[_0x412917(0x1189)]('Would\x20you\x20like\x20to\x20delete\x20'+_0x13f39f['title']+'?')['textContent']('')[_0x412917(0x4bd)]('Delete\x20Widget')['ok'](_0x412917(0x14c7))[_0x412917(0x6c3)]('NO');_0x31ec24['show'](_0x116c2b)[_0x412917(0x146b)](function(){const _0x2c0d19=_0x412917;return _0x4503d1[_0x2c0d19(0x1afa)][_0x2c0d19(0x1fac)]({'id':_0x13f39f['id']})[_0x2c0d19(0x2945)][_0x2c0d19(0x146b)](function(){const _0x314a76=_0x2c0d19;_0x3f65c0()[_0x314a76(0x2640)](_0x73f70a[_0x314a76(0x1d3b)][_0x314a76(0x25f1)],{'id':_0x13f39f['id']}),_0x8703a0['success']({'title':_0x314a76(0x1ba1),'msg':_0x13f39f['name']?_0x13f39f[_0x314a76(0x19eb)]+_0x314a76(0x23e3):_0x314a76(0x11d4)});})[_0x2c0d19(0x129e)](function(_0x84044){const _0x547d2a=_0x2c0d19;if(_0x84044[_0x547d2a(0x524)]&&_0x84044['data'][_0x547d2a(0xcef)]&&_0x84044[_0x547d2a(0x524)][_0x547d2a(0xcef)]['length']){_0x73f70a[_0x547d2a(0xcef)]=_0x84044['data'][_0x547d2a(0xcef)]||[{'message':_0x84044[_0x547d2a(0xd5f)](),'type':_0x547d2a(0x1c4)}];for(let _0x3ae4b0=0x0;_0x3ae4b0<_0x84044[_0x547d2a(0x524)][_0x547d2a(0xcef)][_0x547d2a(0x402)];_0x3ae4b0++){_0x8703a0[_0x547d2a(0x1980)]({'title':_0x84044[_0x547d2a(0x524)]['errors'][_0x3ae4b0][_0x547d2a(0x1142)],'msg':_0x84044[_0x547d2a(0x524)]['errors'][_0x3ae4b0][_0x547d2a(0x7fd)]});}}else _0x8703a0['error']({'title':_0x84044[_0x547d2a(0x107b)]?'API:'+_0x84044[_0x547d2a(0x107b)]+'\x20-\x20'+_0x84044['statusText']:_0x547d2a(0x1ec7),'msg':_0x84044[_0x547d2a(0x524)]?JSON[_0x547d2a(0x10bb)](_0x84044[_0x547d2a(0x524)][_0x547d2a(0x7fd)]):_0x84044['message']||_0x84044[_0x547d2a(0xd5f)]()});console[_0x547d2a(0x1980)](_0x84044);});});}function _0x3db922(_0xa9c56b,_0x4b9338,_0x191af8){const _0x3eaebb=_0x81f53a;return _0x4503d1[_0x3eaebb(0x1afa)][_0x3eaebb(0x18e1)](_0x191af8)[_0x3eaebb(0x2945)][_0x3eaebb(0x129e)](function(_0x1e7d3d){const _0x51a7d9=_0x3eaebb;console[_0x51a7d9(0x1980)](_0x1e7d3d);});}}const _0x19bcb7=_0x53fcc0;;const _0xc6b68d=_0x4acfac['p']+'src/js/modules/main/apps/tools/views/customDashboards/partialViews/reports/treeReports.html/treeReports.html';;_0x347343[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x130),_0x313a4d(0x5e4),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x347343(_0x7f9b7a,_0x428ffe,_0x1639cc,_0x1a2005,_0x460137,_0x459ca6,_0x50886d,_0x4db174,_0x5ab620,_0x5e246d,_0x380727,_0x884957,_0x4699ca,_0x297b6f){const _0x12e568=_0x313a4d,_0x496add=this;_0x496add[_0x12e568(0x2321)]=_0x380727[_0x12e568(0xb12)](),_0x496add[_0x12e568(0xcef)]=[],_0x496add['setting']=_0x4699ca,_0x496add['license']=_0x884957,_0x496add[_0x12e568(0x2514)]=_0x297b6f,_0x496add[_0x12e568(0x855)]={},_0x496add[_0x12e568(0x2251)]=_0x496add[_0x12e568(0x15b9)]&&_0x496add[_0x12e568(0x15b9)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x496add[_0x12e568(0x1189)]=_0x12e568(0x1fe),_0x496add[_0x12e568(0x5e4)]=angular[_0x12e568(0x235a)](_0x5ab620),_0x496add[_0x12e568(0x130)]=_0x4db174,_0x496add['newDashboardItem']=![],_0x496add[_0x12e568(0x1f30)]=[],_0x496add[_0x12e568(0x1a56)]={'fields':_0x12e568(0x25ce),'limit':0xa,'page':0x1,'sort':_0x12e568(0x12f2)};!_0x496add[_0x12e568(0x5e4)]?(_0x496add[_0x12e568(0x5e4)]={'type':_0x12e568(0x7f3),'attrUrl':_0x12e568(0xf69),'attrHourFormat':_0x12e568(0x14e),'attrTimezone':_0x12e568(0xb23),'attrMetric':'total','attrSerie1':'total','attrSerie2':_0x12e568(0x203c),'attrSerie3':_0x12e568(0x203c),'attrRefresh':0xa,'attrFontSize':0x14,'foreground':_0x12e568(0x171e),'background':'#ffffff'},_0x496add[_0x12e568(0x1189)]=_0x12e568(0x2049),_0x496add[_0x12e568(0x19a9)]=!![]):_0x496add[_0x12e568(0x5e4)][_0x12e568(0x1142)]==_0x12e568(0xb82)&&(_0x496add['apiName']=_0x496add[_0x12e568(0x5e4)][_0x12e568(0x22a)]===_0x12e568(0x1802)?_0x12e568(0x12a5):'analyticDefaultReport',_0x5e246d[_0x496add[_0x12e568(0x320)]][_0x12e568(0x16b4)]({'fields':_0x12e568(0x2134)})['$promise'][_0x12e568(0x146b)](function(_0x57dfc2){const _0xc7c3c2=_0x12e568;_0x496add[_0xc7c3c2(0x1cec)]=_0x3f65c0()['find'](_0x57dfc2[_0xc7c3c2(0x19c7)],{'id':_0x496add['dashboarditem']['attrReport']}),_0x496add[_0xc7c3c2(0x5e4)][_0xc7c3c2(0x1c9a)]=_0x496add[_0xc7c3c2(0x1cec)][_0xc7c3c2(0x19eb)],_0x496add[_0xc7c3c2(0x1a56)]['parent']=_0x496add[_0xc7c3c2(0x1cec)][_0xc7c3c2(0x3fd)];}));_0x496add[_0x12e568(0x23f3)]=_0x5d99df,_0x496add[_0x12e568(0x633)]=_0x978af1,_0x496add[_0x12e568(0x2687)]=_0x453e58,_0x496add[_0x12e568(0xe73)]=_0x363bc9,_0x496add[_0x12e568(0x12f7)]=_0x1e3a25,_0x496add[_0x12e568(0xfd7)]=_0x59e509,_0x496add['closeReportDialog']=_0x5749be,_0x496add['searchReport']=_0x1b178c,_0x496add[_0x12e568(0x13f3)]=_0x2ce68f,_0x5e246d[_0x12e568(0x888)][_0x12e568(0x16b4)]({})['$promise'][_0x12e568(0x146b)](function(_0x5e76e7){const _0x343b24=_0x12e568;_0x496add[_0x343b24(0x728)]=_0x5e76e7[_0x343b24(0x19c7)]||[];})['catch'](function(_0x427ca8){const _0x3a48fc=_0x12e568;_0x50886d[_0x3a48fc(0x1980)]({'title':_0x427ca8['status']?_0x3a48fc(0x262a)+_0x427ca8[_0x3a48fc(0x107b)]+_0x3a48fc(0x1315)+_0x427ca8[_0x3a48fc(0x167f)]:_0x3a48fc(0x1f8c),'msg':_0x427ca8['data']?JSON['stringify'](_0x427ca8[_0x3a48fc(0x524)]):_0x427ca8[_0x3a48fc(0xd5f)]()});});const _0x2dc38a=[{'id':0x191,'type':'inbound'},{'id':0x5dd,'type':_0x12e568(0xc9c)}];let _0x1550c9=null;_0x380727[_0x12e568(0x23e0)]('admin')?_0x5e246d[_0x12e568(0x1446)][_0x12e568(0x16b4)]({'fields':_0x12e568(0x45e),'sort':_0x12e568(0x19eb),'nolimit':_0x12e568(0x1185)})[_0x12e568(0x2945)][_0x12e568(0x146b)](function(_0x4e856c){const _0x655c87=_0x12e568;_0x496add[_0x655c87(0xa27)]=_0x4e856c[_0x655c87(0x19c7)]||[];})['catch'](function(_0x10b4ab){const _0x4e735e=_0x12e568;_0x50886d[_0x4e735e(0x1980)]({'title':_0x10b4ab[_0x4e735e(0x107b)]?_0x4e735e(0x262a)+_0x10b4ab[_0x4e735e(0x107b)]+_0x4e735e(0x1315)+_0x10b4ab[_0x4e735e(0x167f)]:_0x4e735e(0x12cf),'msg':_0x10b4ab[_0x4e735e(0x524)]?JSON[_0x4e735e(0x10bb)](_0x10b4ab[_0x4e735e(0x524)]):_0x10b4ab[_0x4e735e(0xd5f)]()});}):_0x5e246d[_0x12e568(0x1446)][_0x12e568(0x16b4)]({'fields':'id,name,type','sort':_0x12e568(0x19eb),'nolimit':'true'})['$promise']['then'](function(_0x5f0744){const _0x4553b2=_0x12e568;_0x1550c9=_0x5f0744[_0x4553b2(0x19c7)]||[];})[_0x12e568(0x146b)](function(){const _0x1096ce=_0x12e568,_0x15a6b4=[];for(let _0x250484=0x0;_0x250484<_0x2dc38a[_0x1096ce(0x402)];_0x250484++){_0x15a6b4['push'](_0x5e246d[_0x1096ce(0x1366)][_0x1096ce(0x16b4)]({'userProfileId':_0x496add[_0x1096ce(0x2321)][_0x1096ce(0x209a)],'sectionId':_0x2dc38a[_0x250484]['id']})[_0x1096ce(0x2945)]);}return _0x460137[_0x1096ce(0x1be2)](_0x15a6b4);})[_0x12e568(0x146b)](function(_0x3ae9d1){const _0x6071df=_0x12e568,_0x1db358=_0x3f65c0()[_0x6071df(0x205)](_0x3ae9d1,function(_0x2630ea){const _0x551d47=_0x6071df;return _0x2630ea&&_0x2630ea[_0x551d47(0x19c7)]?_0x2630ea[_0x551d47(0x19c7)][0x0]:null;}),_0xc38757=[];for(let _0xcfd214=0x0;_0xcfd214<_0x2dc38a[_0x6071df(0x402)];_0xcfd214++){const _0x18b0b4=_0x3f65c0()[_0x6071df(0xc84)](_0x1db358,[_0x6071df(0x631),_0x2dc38a[_0xcfd214]['id']]);if(_0x18b0b4){if(!_0x18b0b4['autoAssociation'])_0xc38757[_0x6071df(0x1f47)](_0x5e246d['userProfileResource'][_0x6071df(0x16b4)]({'sectionId':_0x18b0b4['id']})['$promise']);else{const _0x3dd364=[];_0x1550c9[_0x6071df(0x1df5)](function(_0x59cbe0){const _0x19568b=_0x6071df;_0x59cbe0[_0x19568b(0x1142)]===_0x2dc38a[_0xcfd214][_0x19568b(0x1142)]&&_0x3dd364[_0x19568b(0x1f47)](_0x59cbe0);}),_0xc38757[_0x6071df(0x1f47)]({'rows':_0x3dd364,'count':_0x3dd364[_0x6071df(0x402)]});}}}return _0x460137['all'](_0xc38757);})[_0x12e568(0x146b)](function(_0x3e2511){const _0x1ebc99=_0x12e568,_0x42aabe=[];let _0x35c4d3=null;_0x496add[_0x1ebc99(0x5e4)]&&(_0x35c4d3=_0x3f65c0()[_0x1ebc99(0xc84)](_0x1550c9,{'id':Number(_0x496add[_0x1ebc99(0x5e4)]['attrVoiceQueues'])}));for(let _0x35b5f9=0x0;_0x35b5f9<_0x3e2511[_0x1ebc99(0x402)];_0x35b5f9++){const _0x494fbb=_0x3e2511[_0x35b5f9][_0x1ebc99(0x19c7)]||[];_0x494fbb[_0x1ebc99(0x1df5)](function(_0x144142){const _0x25d72f=_0x1ebc99;_0x42aabe[_0x25d72f(0x1f47)](_0x144142);});}_0x35c4d3&&!_0x3f65c0()[_0x1ebc99(0x1360)](_0x42aabe,[_0x1ebc99(0x18b8),_0x35c4d3['id']])&&_0x1550c9['forEach'](function(_0x5eb788){const _0x37347b=_0x1ebc99;_0x5eb788['id']===_0x35c4d3['id']&&(_0x5eb788[_0x37347b(0x8ff)]=![],_0x42aabe['push'](_0x5eb788));}),_0x496add['voiceQueues']=_0x42aabe;})[_0x12e568(0x129e)](function(_0x368b7d){const _0x1495d3=_0x12e568;_0x50886d[_0x1495d3(0x1980)]({'title':_0x368b7d[_0x1495d3(0x107b)]?_0x1495d3(0x262a)+_0x368b7d[_0x1495d3(0x107b)]+_0x1495d3(0x1315)+_0x368b7d['statusText']:'SYSTEM:GETvoiceQueues','msg':_0x368b7d[_0x1495d3(0x524)]?JSON[_0x1495d3(0x10bb)](_0x368b7d[_0x1495d3(0x524)]):_0x368b7d[_0x1495d3(0xd5f)]()});});function _0x5d99df(){const _0x1ac67b=_0x12e568;_0x496add[_0x1ac67b(0xcef)]=[],_0x5e246d[_0x1ac67b(0x130)][_0x1ac67b(0x1e31)]({'id':_0x428ffe['params']['id']},_0x496add[_0x1ac67b(0x5e4)])[_0x1ac67b(0x2945)][_0x1ac67b(0x146b)](function(_0xe14503){const _0x13a5ff=_0x1ac67b;_0x496add[_0x13a5ff(0x130)][_0x13a5ff(0xb3d)](_0xe14503[_0x13a5ff(0x2488)]()),_0x50886d[_0x13a5ff(0x1c75)]({'title':_0x13a5ff(0x2351),'msg':_0x496add[_0x13a5ff(0x5e4)][_0x13a5ff(0x19eb)]?_0x496add[_0x13a5ff(0x5e4)][_0x13a5ff(0x19eb)]+_0x13a5ff(0x1386):''}),_0x2ce68f(_0xe14503);})['catch'](function(_0x41f258){const _0x4207e2=_0x1ac67b;if(_0x41f258[_0x4207e2(0x524)]&&_0x41f258[_0x4207e2(0x524)]['errors']&&_0x41f258[_0x4207e2(0x524)]['errors'][_0x4207e2(0x402)]){_0x496add[_0x4207e2(0xcef)]=_0x41f258['data']['errors']||[{'message':_0x41f258[_0x4207e2(0xd5f)](),'type':_0x4207e2(0x60c)}];for(let _0x1cfbca=0x0;_0x1cfbca<_0x41f258['data'][_0x4207e2(0xcef)][_0x4207e2(0x402)];_0x1cfbca+=0x1){_0x50886d[_0x4207e2(0x1980)]({'title':_0x41f258['data'][_0x4207e2(0xcef)][_0x1cfbca][_0x4207e2(0x1142)],'msg':_0x41f258[_0x4207e2(0x524)][_0x4207e2(0xcef)][_0x1cfbca][_0x4207e2(0x7fd)]});}}else _0x50886d[_0x4207e2(0x1980)]({'title':_0x41f258['status']?'API:'+_0x41f258['status']+_0x4207e2(0x1315)+_0x41f258[_0x4207e2(0x167f)]:'api.dashboardItem.save','msg':_0x41f258[_0x4207e2(0x524)]?JSON[_0x4207e2(0x10bb)](_0x41f258['data']['message']):_0x41f258[_0x4207e2(0xd5f)]()});});}function _0x978af1(){const _0x9867dc=_0x12e568;_0x496add['errors']=[],_0x5e246d['dashboardItem'][_0x9867dc(0x18e1)]({'id':_0x496add[_0x9867dc(0x5e4)]['id']},_0x496add[_0x9867dc(0x5e4)])[_0x9867dc(0x2945)][_0x9867dc(0x146b)](function(_0x3584af){const _0x378020=_0x9867dc,_0x13287b=_0x3f65c0()['find'](_0x496add[_0x378020(0x130)],{'id':_0x3584af['id']});_0x13287b&&_0x3f65c0()[_0x378020(0x168d)](_0x13287b,_0x3f65c0()[_0x378020(0x40e)](_0x3584af['toJSON'](),_0x3f65c0()[_0x378020(0x627)](_0x13287b))),_0x50886d[_0x378020(0x1c75)]({'title':_0x378020(0xd2b),'msg':_0x496add['dashboarditem'][_0x378020(0x19eb)]?_0x496add[_0x378020(0x5e4)][_0x378020(0x19eb)]+'\x20has\x20been\x20saved!':''}),_0x2ce68f(_0x3584af);})[_0x9867dc(0x129e)](function(_0x1cf7d2){const _0x330d03=_0x9867dc;if(_0x1cf7d2['data']&&_0x1cf7d2[_0x330d03(0x524)][_0x330d03(0xcef)]&&_0x1cf7d2['data'][_0x330d03(0xcef)][_0x330d03(0x402)]){_0x496add[_0x330d03(0xcef)]=_0x1cf7d2[_0x330d03(0x524)][_0x330d03(0xcef)]||[{'message':_0x1cf7d2[_0x330d03(0xd5f)](),'type':_0x330d03(0x1afd)}];for(let _0x486363=0x0;_0x486363<_0x1cf7d2[_0x330d03(0x524)][_0x330d03(0xcef)][_0x330d03(0x402)];_0x486363++){_0x50886d['error']({'title':_0x1cf7d2[_0x330d03(0x524)][_0x330d03(0xcef)][_0x486363][_0x330d03(0x1142)],'msg':_0x1cf7d2['data'][_0x330d03(0xcef)][_0x486363][_0x330d03(0x7fd)]});}}else _0x50886d[_0x330d03(0x1980)]({'title':_0x1cf7d2[_0x330d03(0x107b)]?_0x330d03(0x262a)+_0x1cf7d2[_0x330d03(0x107b)]+_0x330d03(0x1315)+_0x1cf7d2[_0x330d03(0x167f)]:'api.dashboardItem.update','msg':_0x1cf7d2[_0x330d03(0x524)]?JSON['stringify'](_0x1cf7d2[_0x330d03(0x524)]['message']):_0x1cf7d2['toString']()});});}function _0x453e58(_0x28953b){const _0x3152d4=_0x12e568;_0x496add['errors']=[];const _0x2c29f7=_0x1a2005[_0x3152d4(0x1e8a)]()['title'](_0x3152d4(0x1d64))[_0x3152d4(0x80f)]('The\x20dashboarditem\x20will\x20be\x20deleted.')[_0x3152d4(0x4bd)](_0x3152d4(0x1a99))['ok'](_0x3152d4(0x25de))['cancel'](_0x3152d4(0xcf0))[_0x3152d4(0x1f27)](_0x28953b);_0x1a2005[_0x3152d4(0x2615)](_0x2c29f7)['then'](function(){const _0x1a1de3=_0x3152d4;_0x5e246d[_0x1a1de3(0x1afa)][_0x1a1de3(0x1fac)]({'id':_0x496add[_0x1a1de3(0x5e4)]['id']})[_0x1a1de3(0x2945)]['then'](function(){const _0x26dfb3=_0x1a1de3;_0x3f65c0()[_0x26dfb3(0x2640)](_0x496add['dashboard'],{'id':_0x496add[_0x26dfb3(0x5e4)]['id']}),_0x50886d[_0x26dfb3(0x1c75)]({'title':'DashboardItem\x20properly\x20deleted!','msg':(_0x496add[_0x26dfb3(0x5e4)][_0x26dfb3(0x19eb)]||'dashboarditem')+_0x26dfb3(0x23e3)}),_0x2ce68f(_0x496add[_0x26dfb3(0x5e4)]);})[_0x1a1de3(0x129e)](function(_0x28db60){const _0x403c3a=_0x1a1de3;if(_0x28db60[_0x403c3a(0x524)]&&_0x28db60['data'][_0x403c3a(0xcef)]&&_0x28db60[_0x403c3a(0x524)][_0x403c3a(0xcef)][_0x403c3a(0x402)]){_0x496add[_0x403c3a(0xcef)]=_0x28db60[_0x403c3a(0x524)][_0x403c3a(0xcef)]||[{'message':_0x28db60[_0x403c3a(0xd5f)](),'type':_0x403c3a(0x22ea)}];for(let _0x147a95=0x0;_0x147a95<_0x28db60[_0x403c3a(0x524)][_0x403c3a(0xcef)][_0x403c3a(0x402)];_0x147a95++){_0x50886d[_0x403c3a(0x1980)]({'title':_0x28db60[_0x403c3a(0x524)][_0x403c3a(0xcef)][_0x147a95][_0x403c3a(0x1142)],'msg':_0x28db60['data'][_0x403c3a(0xcef)][_0x147a95]['message']});}}else _0x50886d[_0x403c3a(0x1980)]({'title':_0x28db60[_0x403c3a(0x107b)]?'API:'+_0x28db60[_0x403c3a(0x107b)]+_0x403c3a(0x1315)+_0x28db60[_0x403c3a(0x167f)]:_0x403c3a(0x22ea),'msg':_0x28db60[_0x403c3a(0x524)]?JSON[_0x403c3a(0x10bb)](_0x28db60[_0x403c3a(0x524)]['message']):_0x28db60[_0x403c3a(0x7fd)]||_0x28db60[_0x403c3a(0xd5f)]()});});},function(){});}function _0x35fd0c(){const _0x206ebd=_0x12e568,_0x40afbb={'core':{'multiple':![],'animation':!![],'error':function(_0x2216cd){const _0x773ec4=a0_0x3bb9;console[_0x773ec4(0x1980)](_0x773ec4(0x535)+angular[_0x773ec4(0x217d)](_0x2216cd));},'check_callback':!![],'worker':!![]},'version':0x1,'plugins':[_0x206ebd(0x5e6)]};return _0x496add[_0x206ebd(0x2321)][_0x206ebd(0xfb0)]!==_0x206ebd(0x174b)?_0x5e246d[_0x206ebd(0x1366)]['get']({'fields':_0x206ebd(0x1e64),'userProfileId':_0x496add[_0x206ebd(0x2321)][_0x206ebd(0x209a)],'sectionId':0x4b3})[_0x206ebd(0x2945)][_0x206ebd(0x146b)](function(_0x1c12d1){const _0x15c1e2=_0x206ebd;return _0x496add[_0x15c1e2(0x1366)]=_0x1c12d1&&_0x1c12d1[_0x15c1e2(0x51c)]==0x1?_0x1c12d1[_0x15c1e2(0x19c7)][0x0]:null,_0x40afbb;})[_0x206ebd(0x129e)](function(_0x49b7e7){const _0x293f05=_0x206ebd;_0x50886d[_0x293f05(0x1980)]({'title':_0x293f05(0x10f5),'msg':_0x49b7e7[_0x293f05(0x7fd)]});}):_0x40afbb;}function _0x59fe7e(_0x5a8c4b){return _0x5a8c4b?{'loaded':_0x5c2b8f,'select_node':_0x573b1d}:{'loaded':_0x26e13c,'select_node':_0x5978d7};}function _0x573b1d(_0x424c3e,_0x53842e){const _0xde7697=_0x12e568;_0x496add[_0xde7697(0x1d1a)][_0xde7697(0x7e1)](!![])['deselect_all'](),_0x496add[_0xde7697(0x1d1a)][_0xde7697(0x7e1)](!![])[_0xde7697(0x25fd)](),_0x496add['currentNode']=_0x53842e[_0xde7697(0x8f7)],_0x496add[_0xde7697(0x13cf)][_0xde7697(0x1802)]=!![],_0x496add[_0xde7697(0x25e4)]=_0x496add['treeCustomInstance']['jstree'](!![])[_0xde7697(0x11ba)](_0x496add[_0xde7697(0x13cf)])['join']('/\x20'),_0x496add[_0xde7697(0x320)]=_0xde7697(0x12a5),_0x496add[_0xde7697(0x1a56)]['parent']=_0x53842e[_0xde7697(0x8f7)]['id'],_0x1e3a25();}function _0x5978d7(_0x3634b4,_0x3bfa95){const _0x4ff482=_0x12e568;_0x496add['treeCustomInstance'][_0x4ff482(0x7e1)](!![])[_0x4ff482(0xe99)](),_0x496add[_0x4ff482(0x25f7)][_0x4ff482(0x7e1)](!![])['close_all'](),_0x496add[_0x4ff482(0x13cf)]=_0x3bfa95['node'],_0x496add[_0x4ff482(0x13cf)]['custom']=![],_0x496add[_0x4ff482(0x25e4)]=_0x496add[_0x4ff482(0x1d1a)]['jstree'](!![])[_0x4ff482(0x11ba)](_0x496add[_0x4ff482(0x13cf)])[_0x4ff482(0xb47)]('/\x20'),_0x496add[_0x4ff482(0x320)]=_0x4ff482(0x20fa),_0x496add[_0x4ff482(0x1a56)][_0x4ff482(0x3fd)]=_0x3bfa95[_0x4ff482(0x8f7)]['id'],_0x1e3a25();}function _0x5c2b8f(){const _0x86f114=_0x12e568;if(!_0x496add[_0x86f114(0x19a9)]&&_0x496add[_0x86f114(0x320)]==='analyticCustomReport'){const _0x5db21a=_0x496add[_0x86f114(0x25f7)][_0x86f114(0x7e1)](!![])[_0x86f114(0x1ba0)](_0x496add[_0x86f114(0x1a56)][_0x86f114(0x3fd)]);_0x573b1d(null,{'node':_0x5db21a,'selected':_0x5db21a['id']});}}function _0x26e13c(){const _0x59ce05=_0x12e568;if(!_0x496add['newDashboardItem']&&_0x496add[_0x59ce05(0x320)]==='analyticDefaultReport'){const _0x133ca8=_0x496add[_0x59ce05(0x1d1a)][_0x59ce05(0x7e1)](!![])[_0x59ce05(0x1ba0)](_0x496add['query'][_0x59ce05(0x3fd)]);_0x5978d7(null,{'node':_0x133ca8,'selected':_0x133ca8['id']});}}function _0x1e3a25(){const _0x3fe2d8=_0x12e568;_0x496add[_0x3fe2d8(0x1a56)][_0x3fe2d8(0x145d)]=(_0x496add[_0x3fe2d8(0x1a56)][_0x3fe2d8(0x844)]-0x1)*_0x496add['query'][_0x3fe2d8(0x221e)];if(_0x496add['apiName']){if(_0x496add[_0x3fe2d8(0x2321)][_0x3fe2d8(0xfb0)]===_0x3fe2d8(0x174b))_0x496add[_0x3fe2d8(0xb9c)]=_0x5e246d[_0x496add[_0x3fe2d8(0x320)]]['get'](_0x496add[_0x3fe2d8(0x1a56)],_0x5f1253)['$promise'];else{if(!_0x496add[_0x3fe2d8(0x1366)])return _0x5f1253(null);else{if(_0x496add[_0x3fe2d8(0x1366)]['autoAssociation'])_0x496add[_0x3fe2d8(0xb9c)]=_0x5e246d[_0x496add[_0x3fe2d8(0x320)]][_0x3fe2d8(0x16b4)](_0x496add['query'],_0x5f1253)[_0x3fe2d8(0x2945)];else{let _0x47e13f=[];_0x496add[_0x3fe2d8(0xb9c)]=_0x5e246d[_0x496add[_0x3fe2d8(0x320)]][_0x3fe2d8(0x16b4)](_0x496add[_0x3fe2d8(0x1a56)])['$promise']['then'](function(_0x19e57b){const _0x1214c1=_0x3fe2d8;return _0x47e13f=_0x19e57b&&_0x19e57b[_0x1214c1(0x19c7)]?_0x19e57b[_0x1214c1(0x19c7)]:[],_0x47e13f[_0x1214c1(0x402)]>0x0?_0x5e246d[_0x1214c1(0xdcc)][_0x1214c1(0x16b4)]({'sectionId':_0x496add['userProfileSection']['id'],'type':_0x496add[_0x1214c1(0x320)]===_0x1214c1(0x20fa)?'DefaultReports':_0x1214c1(0x193a)})[_0x1214c1(0x2945)][_0x1214c1(0x146b)](function(_0x506bc2){const _0x4d664f=_0x1214c1,_0xc81445=_0x506bc2&&_0x506bc2['rows']?_0x506bc2[_0x4d664f(0x19c7)]:[];if(_0xc81445['length']>0x0){const _0x301fa4=[];return _0x47e13f[_0x4d664f(0x1df5)](function(_0x242dbe){const _0x1a9540=_0x4d664f,_0x320bdd=_0x3f65c0()['find'](_0xc81445,[_0x1a9540(0x18b8),_0x242dbe['id']]);if(_0x320bdd)_0x301fa4['push'](_0x242dbe);}),_0x5f1253({'count':_0x301fa4[_0x4d664f(0x402)],'rows':_0x301fa4});}else return _0x5f1253(null);}):_0x5f1253(null);})[_0x3fe2d8(0x129e)](function(_0x33c890){const _0x1b2076=_0x3fe2d8;_0x50886d[_0x1b2076(0x1980)]({'title':_0x33c890[_0x1b2076(0x107b)]?_0x1b2076(0x262a)+_0x33c890[_0x1b2076(0x107b)]+_0x1b2076(0x1315)+_0x33c890[_0x1b2076(0x167f)]:'SYSTEM:GET_REPORTS','msg':_0x33c890[_0x1b2076(0x524)]?JSON[_0x1b2076(0x10bb)](_0x33c890[_0x1b2076(0x524)]):_0x33c890[_0x1b2076(0xd5f)]()});});}}}}}function _0x5f1253(_0x2806c2){const _0x5b48a0=_0x12e568;_0x496add[_0x5b48a0(0x2284)]=_0x2806c2||{'count':0x0,'rows':[]},!_0x496add[_0x5b48a0(0x19a9)]&&_0x496add[_0x5b48a0(0x1f30)][_0x5b48a0(0x1f47)](_0x3f65c0()['find'](_0x496add[_0x5b48a0(0x2284)]['rows'],{'id':_0x496add[_0x5b48a0(0x1cec)]['id']}));}function _0x1b178c(){const _0x21da55=_0x12e568;_0x496add[_0x21da55(0xc26)]=_0x496add[_0x21da55(0x728)][0x0]?angular['fromJson'](_0x496add[_0x21da55(0x728)][0x0][_0x21da55(0xf47)]):[],_0x496add[_0x21da55(0x282d)]=_0x496add[_0x21da55(0x728)][0x1]?angular[_0x21da55(0xb08)](_0x496add[_0x21da55(0x728)][0x1][_0x21da55(0xf47)]):[],_0x496add[_0x21da55(0xfb4)]=_0x35fd0c(),_0x496add[_0x21da55(0x2861)]=_0x59fe7e(![]),_0x496add[_0x21da55(0xf43)]=_0x59fe7e(!![]),_0x1a2005[_0x21da55(0x2615)]({'controller':function(){return _0x496add;},'controllerAs':'vm','templateUrl':_0xc6b68d,'autoWrap':!![],'skipHide':!![]});}function _0x59e509(){const _0x47dc1a=_0x12e568;_0x496add[_0x47dc1a(0x5e4)][_0x47dc1a(0x1c9a)]=_0x496add[_0x47dc1a(0x1f30)][0x0][_0x47dc1a(0x19eb)],_0x496add[_0x47dc1a(0x5e4)]['attrReport']=_0x496add[_0x47dc1a(0x1f30)][0x0]['id'],_0x496add[_0x47dc1a(0x5e4)][_0x47dc1a(0x22a)]=_0x496add['currentNode'][_0x47dc1a(0x1802)]?'custom':_0x47dc1a(0x13b1);if(!_0x496add[_0x47dc1a(0x19a9)]){const _0x586d39={'name':_0x47dc1a(0x23b1),'type':_0x496add[_0x47dc1a(0x5e4)][_0x47dc1a(0x22a)],'value':_0x496add[_0x47dc1a(0x1f30)][0x0]['id']};_0x496add[_0x47dc1a(0x5e4)][_0x47dc1a(0x63a)][_0x47dc1a(0x1f7d)](_0x3f65c0()[_0x47dc1a(0x99c)](_0x496add[_0x47dc1a(0x5e4)]['attrs'],0x1,_0x586d39));}_0x7f9b7a[_0x47dc1a(0x20c2)]['report'][_0x47dc1a(0x342)](),_0x1a2005['hide']();}function _0x5749be(){const _0x552c59=_0x12e568;_0x1a2005[_0x552c59(0x2458)]();}function _0x363bc9(_0x374cd8){return _0x374cd8===null?undefined:new Date(_0x374cd8);}function _0x2ce68f(_0x4fab47){const _0x2fba6b=_0x12e568;_0x1a2005[_0x2fba6b(0x2458)](_0x4fab47);}}const _0x1fafbd=_0x347343;;const _0x4f7d18=_0x4acfac['p']+_0x313a4d(0x3f4);;_0x28f309[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),'$location',_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x214b),'license',_0x313a4d(0x15b9),_0x313a4d(0x247f),_0x313a4d(0x1fe4),'Auth','customDashboard',_0x313a4d(0x1366)];function _0x28f309(_0x1416ef,_0xe3057,_0x1d9c79,_0x46965b,_0x511ec6,_0x3c3295,_0xed2be4,_0x55653f,_0x2ee4ae,_0x4c4af9,_0x1afe90,_0x102f1a){const _0x16b196=_0x313a4d,_0x503473=this;_0x503473[_0x16b196(0x2321)]=_0x4c4af9['getCurrentUser'](),_0x503473[_0x16b196(0x2690)]=_0x3c3295,_0x503473[_0x16b196(0x15b9)]=_0xed2be4,_0x503473['passwordPattern']=_0x503473['setting']['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x503473[_0x16b196(0x1002)]=_0xe3057[_0x16b196(0x2414)]()+'://'+_0xe3057[_0x16b196(0x148e)](),_0x503473[_0x16b196(0x1d3b)]=_0x1afe90||_0x1416ef[_0x16b196(0x16a)]['customDashboard']||{},_0x503473[_0x16b196(0x1366)]=_0x102f1a&&_0x102f1a[_0x16b196(0x51c)]==0x1?_0x102f1a['rows'][0x0]:null,_0x503473[_0x16b196(0x2514)]=_0x4c4af9['parseCrudPermissions'](_0x503473[_0x16b196(0x1366)]?_0x503473[_0x16b196(0x1366)]['crudPermissions']:null),_0x503473[_0x16b196(0x855)]={},_0x503473[_0x16b196(0x1b09)]=_0x1416ef[_0x16b196(0x16a)][_0x16b196(0x13a3)]||0x0,_0x503473[_0x16b196(0xa26)]=_0x1d73b6,_0x503473['gotogoto']=_0x2ce49c,_0x503473[_0x16b196(0x861)]=_0x2ee4ae[_0x16b196(0x271e)],_0x503473[_0x16b196(0x18dc)]=_0xbb7426,_0x503473[_0x16b196(0xd6b)]=_0x5aa046;function _0x1d73b6(_0x3dacbf,_0x16557c){const _0x2e7f9f=_0x16b196;_0x1d9c79[_0x2e7f9f(0x2615)]({'controller':_0x2e7f9f(0x88b),'controllerAs':'vm','templateUrl':_0x4f7d18,'parent':angular[_0x2e7f9f(0x1853)](_0x46965b['body']),'targetEvent':_0x16557c,'clickOutsideToClose':!![],'locals':{'customDashboard':_0x3dacbf,'customDashboards':_0x503473[_0x2e7f9f(0x28a6)]?_0x503473[_0x2e7f9f(0x28a6)][_0x2e7f9f(0x19c7)]:[],'crudPermissions':_0x503473[_0x2e7f9f(0x2514)]}});}function _0x2ce49c(_0x4fc513){const _0x36e7f4=_0x16b196;_0x1416ef['go'](_0x36e7f4(0x993),{'id':_0x4fc513['id']});}function _0xbb7426(){const _0x447233=_0x16b196;_0x1416ef['go'](_0x447233(0x1cc8),{},{'reload':_0x447233(0x1cc8)});}function _0x5aa046(){const _0xbb5c36=_0x16b196;_0x55653f[_0xbb5c36(0x130)][_0xbb5c36(0x18e1)]({'id':_0x503473[_0xbb5c36(0x1d3b)]['id']},_0x503473[_0xbb5c36(0x1d3b)])['$promise'][_0xbb5c36(0x146b)](function(){const _0x34760a=_0xbb5c36;_0x2ee4ae['success']({'title':_0x34760a(0x1065),'msg':_0x503473[_0x34760a(0x1d3b)][_0x34760a(0x19eb)]?_0x503473[_0x34760a(0x1d3b)][_0x34760a(0x19eb)]+_0x34760a(0x6b0):''});})[_0xbb5c36(0x129e)](function(_0x51a134){const _0x32e287=_0xbb5c36;_0x2ee4ae[_0x32e287(0x1980)]({'title':_0x51a134[_0x32e287(0x107b)]?_0x32e287(0x262a)+_0x51a134[_0x32e287(0x107b)]+_0x32e287(0x1315)+_0x51a134[_0x32e287(0x167f)]:'SYSTEM:GETdashboard','msg':_0x51a134['data']?JSON[_0x32e287(0x10bb)](_0x51a134[_0x32e287(0x524)]):_0x51a134[_0x32e287(0xd5f)]()});});}}const _0x5474ae=_0x28f309;;_0x4d554f[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),'$mdDialog','$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x278f),_0x313a4d(0x2765),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),'crudPermissions'];function _0x4d554f(_0x3bf9f9,_0x202266,_0x3b1163,_0x46bbdc,_0x88d8ad,_0x390974,_0x308572,_0x30d66a,_0x31e37a,_0x617e30,_0x15af54,_0x368b8f,_0x2eda3e,_0x53c86a){const _0x347c6c=_0x313a4d,_0xe1f1a1=this;_0xe1f1a1[_0x347c6c(0x2321)]=_0x15af54[_0x347c6c(0xb12)](),_0xe1f1a1[_0x347c6c(0xcef)]=[],_0xe1f1a1[_0x347c6c(0x15b9)]=_0x2eda3e,_0xe1f1a1[_0x347c6c(0x2690)]=_0x368b8f,_0xe1f1a1[_0x347c6c(0x2514)]=_0x53c86a,_0xe1f1a1['hasModulePermissions']={},_0xe1f1a1[_0x347c6c(0x2251)]=_0xe1f1a1[_0x347c6c(0x15b9)]&&_0xe1f1a1['setting'][_0x347c6c(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0xe1f1a1[_0x347c6c(0x1189)]=_0x347c6c(0x56d),_0xe1f1a1[_0x347c6c(0x2765)]=angular[_0x347c6c(0x235a)](_0x31e37a),_0xe1f1a1[_0x347c6c(0x278f)]=_0x30d66a,_0xe1f1a1[_0x347c6c(0xa76)]=![];!_0xe1f1a1[_0x347c6c(0x2765)]&&(_0xe1f1a1[_0x347c6c(0x2765)]={},_0xe1f1a1['title']='TOOLS.NEW_INTERVAL',_0xe1f1a1[_0x347c6c(0xa76)]=!![]);_0xe1f1a1[_0x347c6c(0x2f0)]=_0x2fe71f,_0xe1f1a1['saveInterval']=_0x2de3e5,_0xe1f1a1[_0x347c6c(0x1db1)]=_0x55d4c1,_0xe1f1a1[_0x347c6c(0xe73)]=_0x18d557,_0xe1f1a1['closeDialog']=_0x702dfc;function _0x2fe71f(){const _0x3f8720=_0x347c6c;_0xe1f1a1['errors']=[],_0x617e30['interval'][_0x3f8720(0x1e3)](_0xe1f1a1['interval'])[_0x3f8720(0x2945)][_0x3f8720(0x146b)](function(_0x15cc44){const _0x3640d6=_0x3f8720;_0xe1f1a1['intervals'][_0x3640d6(0xb3d)](_0x15cc44['toJSON']()),_0x308572['success']({'title':_0x3640d6(0x17f5),'msg':_0xe1f1a1[_0x3640d6(0x2765)]['name']?_0xe1f1a1['interval']['name']+_0x3640d6(0x1386):''}),_0x702dfc(_0x15cc44);})['catch'](function(_0x491763){const _0xc5c4ec=_0x3f8720;if(_0x491763[_0xc5c4ec(0x524)]&&_0x491763[_0xc5c4ec(0x524)][_0xc5c4ec(0xcef)]&&_0x491763[_0xc5c4ec(0x524)][_0xc5c4ec(0xcef)][_0xc5c4ec(0x402)]){_0xe1f1a1[_0xc5c4ec(0xcef)]=_0x491763[_0xc5c4ec(0x524)][_0xc5c4ec(0xcef)]||[{'message':_0x491763[_0xc5c4ec(0xd5f)](),'type':_0xc5c4ec(0x7e7)}];for(let _0x3dd948=0x0;_0x3dd948<_0x491763[_0xc5c4ec(0x524)][_0xc5c4ec(0xcef)][_0xc5c4ec(0x402)];_0x3dd948+=0x1){_0x308572[_0xc5c4ec(0x1980)]({'title':_0x491763[_0xc5c4ec(0x524)][_0xc5c4ec(0xcef)][_0x3dd948][_0xc5c4ec(0x1142)],'msg':_0x491763[_0xc5c4ec(0x524)][_0xc5c4ec(0xcef)][_0x3dd948]['message']});}}else _0x308572[_0xc5c4ec(0x1980)]({'title':_0x491763['status']?'API:'+_0x491763[_0xc5c4ec(0x107b)]+_0xc5c4ec(0x1315)+_0x491763[_0xc5c4ec(0x167f)]:'api.interval.save','msg':_0x491763[_0xc5c4ec(0x524)]?JSON[_0xc5c4ec(0x10bb)](_0x491763[_0xc5c4ec(0x524)]['message']):_0x491763[_0xc5c4ec(0xd5f)]()});});}function _0x2de3e5(){const _0x5a4791=_0x347c6c;_0xe1f1a1[_0x5a4791(0xcef)]=[],_0x617e30[_0x5a4791(0x2765)][_0x5a4791(0x18e1)]({'id':_0xe1f1a1['interval']['id']},_0xe1f1a1[_0x5a4791(0x2765)])[_0x5a4791(0x2945)][_0x5a4791(0x146b)](function(_0x358e6f){const _0x2ac39e=_0x5a4791,_0x28740e=_0x3f65c0()[_0x2ac39e(0xc84)](_0xe1f1a1[_0x2ac39e(0x278f)],{'id':_0x358e6f['id']});_0x28740e&&_0x3f65c0()[_0x2ac39e(0x168d)](_0x28740e,_0x3f65c0()[_0x2ac39e(0x40e)](_0x358e6f[_0x2ac39e(0x2488)](),_0x3f65c0()[_0x2ac39e(0x627)](_0x28740e))),_0x308572[_0x2ac39e(0x1c75)]({'title':_0x2ac39e(0x1d51),'msg':_0xe1f1a1[_0x2ac39e(0x2765)][_0x2ac39e(0x19eb)]?_0xe1f1a1['interval']['name']+_0x2ac39e(0x24db):''}),_0x702dfc(_0x358e6f);})[_0x5a4791(0x129e)](function(_0x19e561){const _0x323dcf=_0x5a4791;if(_0x19e561[_0x323dcf(0x524)]&&_0x19e561[_0x323dcf(0x524)]['errors']&&_0x19e561[_0x323dcf(0x524)][_0x323dcf(0xcef)][_0x323dcf(0x402)]){_0xe1f1a1[_0x323dcf(0xcef)]=_0x19e561['data'][_0x323dcf(0xcef)]||[{'message':_0x19e561['toString'](),'type':_0x323dcf(0x1fbe)}];for(let _0x2c7f10=0x0;_0x2c7f10<_0x19e561[_0x323dcf(0x524)]['errors'][_0x323dcf(0x402)];_0x2c7f10++){_0x308572[_0x323dcf(0x1980)]({'title':_0x19e561[_0x323dcf(0x524)]['errors'][_0x2c7f10]['type'],'msg':_0x19e561[_0x323dcf(0x524)][_0x323dcf(0xcef)][_0x2c7f10][_0x323dcf(0x7fd)]});}}else _0x308572[_0x323dcf(0x1980)]({'title':_0x19e561[_0x323dcf(0x107b)]?'API:'+_0x19e561[_0x323dcf(0x107b)]+_0x323dcf(0x1315)+_0x19e561[_0x323dcf(0x167f)]:'api.interval.update','msg':_0x19e561[_0x323dcf(0x524)]?JSON[_0x323dcf(0x10bb)](_0x19e561[_0x323dcf(0x524)][_0x323dcf(0x7fd)]):_0x19e561[_0x323dcf(0xd5f)]()});});}function _0x55d4c1(_0x4286f2){const _0x3ff19e=_0x347c6c;_0xe1f1a1['errors']=[];const _0x23bc75=_0x46bbdc[_0x3ff19e(0x1e8a)]()[_0x3ff19e(0x1189)](_0x3ff19e(0x1d64))[_0x3ff19e(0x80f)](_0x3ff19e(0xcae))['ariaLabel']('Delete\x20Interval')['ok'](_0x3ff19e(0x25de))[_0x3ff19e(0x6c3)](_0x3ff19e(0xcf0))['targetEvent'](_0x4286f2);_0x46bbdc[_0x3ff19e(0x2615)](_0x23bc75)[_0x3ff19e(0x146b)](function(){const _0x5560fb=_0x3ff19e;_0x617e30['interval'][_0x5560fb(0x1fac)]({'id':_0xe1f1a1[_0x5560fb(0x2765)]['id']})[_0x5560fb(0x2945)][_0x5560fb(0x146b)](function(){const _0x550555=_0x5560fb;_0x3f65c0()[_0x550555(0x2640)](_0xe1f1a1[_0x550555(0x278f)],{'id':_0xe1f1a1[_0x550555(0x2765)]['id']}),_0x308572['success']({'title':'Interval\x20properly\x20deleted!','msg':(_0xe1f1a1[_0x550555(0x2765)][_0x550555(0x19eb)]||_0x550555(0x2765))+_0x550555(0x23e3)}),_0x702dfc(_0xe1f1a1[_0x550555(0x2765)]);})[_0x5560fb(0x129e)](function(_0x3488b2){const _0x36b524=_0x5560fb;if(_0x3488b2[_0x36b524(0x524)]&&_0x3488b2[_0x36b524(0x524)]['errors']&&_0x3488b2[_0x36b524(0x524)][_0x36b524(0xcef)]['length']){_0xe1f1a1[_0x36b524(0xcef)]=_0x3488b2[_0x36b524(0x524)][_0x36b524(0xcef)]||[{'message':_0x3488b2[_0x36b524(0xd5f)](),'type':'api.interval.delete'}];for(let _0x533bda=0x0;_0x533bda<_0x3488b2['data'][_0x36b524(0xcef)][_0x36b524(0x402)];_0x533bda++){_0x308572[_0x36b524(0x1980)]({'title':_0x3488b2[_0x36b524(0x524)]['errors'][_0x533bda]['type'],'msg':_0x3488b2['data'][_0x36b524(0xcef)][_0x533bda][_0x36b524(0x7fd)]});}}else _0x308572['error']({'title':_0x3488b2[_0x36b524(0x107b)]?_0x36b524(0x262a)+_0x3488b2[_0x36b524(0x107b)]+_0x36b524(0x1315)+_0x3488b2[_0x36b524(0x167f)]:'api.interval.delete','msg':_0x3488b2['data']?JSON[_0x36b524(0x10bb)](_0x3488b2[_0x36b524(0x524)][_0x36b524(0x7fd)]):_0x3488b2[_0x36b524(0x7fd)]||_0x3488b2[_0x36b524(0xd5f)]()});});},function(){});}function _0x18d557(_0x426aac){return _0x426aac===null?undefined:new Date(_0x426aac);}function _0x702dfc(_0x45b664){const _0x217f94=_0x347c6c;_0x46bbdc[_0x217f94(0x2458)](_0x45b664);}}const _0x90faaf=_0x4d554f;;_0x3ec952[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),'$mdDialog',_0x313a4d(0x1c7e),'IndexFactory',_0x313a4d(0x2765),_0x313a4d(0x278f),_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x3ec952(_0x3c459d,_0x62323d,_0x5e005f,_0x6b6f01,_0x29d240,_0xb88d4f,_0x52c069,_0x294c75,_0x2ee1ca,_0x54cb50){const _0x5ac48f=_0x313a4d,_0x3d0b17=this;_0x3d0b17[_0x5ac48f(0x2321)]=_0x2ee1ca['getCurrentUser'](),_0x3d0b17[_0x5ac48f(0xcef)]=[],_0x3d0b17[_0x5ac48f(0x1189)]=_0x5ac48f(0x56d),_0x3d0b17['interval']=angular[_0x5ac48f(0x235a)](_0x29d240),_0x3d0b17['intervals']=_0xb88d4f,_0x3d0b17[_0x5ac48f(0xa76)]=![],_0x3d0b17[_0x5ac48f(0x1960)]=['always',_0x5ac48f(0x1802)],_0x3d0b17[_0x5ac48f(0x2514)]=_0x54cb50,_0x3d0b17[_0x5ac48f(0x1e35)]=_0x6b6f01[_0x5ac48f(0x2045)](),_0x3d0b17[_0x5ac48f(0x593)]=_0x6b6f01['getMonthNumber'](),_0x3d0b17[_0x5ac48f(0x2156)]=_0x6b6f01[_0x5ac48f(0x18b6)](),_0x3d0b17[_0x5ac48f(0x15f1)]=_0x6b6f01[_0x5ac48f(0x1421)]();if(!_0x3d0b17[_0x5ac48f(0x2765)])_0x3d0b17[_0x5ac48f(0x2765)]={'interval':_0x5ac48f(0x1559)},_0x3d0b17['type']='always',_0x3d0b17[_0x5ac48f(0x1189)]=_0x5ac48f(0x79c),_0x3d0b17[_0x5ac48f(0xa76)]=!![];else{if(_0x3d0b17['interval'][_0x5ac48f(0x2765)]!==_0x5ac48f(0x1559)){_0x3d0b17[_0x5ac48f(0x1142)]='custom';const _0x141629=_0x3d0b17['interval'][_0x5ac48f(0x2765)]['split'](','),_0x4a23c4=_0x141629[0x0],_0x496432=_0x141629[0x1],_0x64549e=_0x141629[0x2],_0x3fc69f=_0x141629[0x3];if(_0x4a23c4!=='*'){const _0x71f023=_0x4a23c4['split']('-')[0x0],_0x260644=_0x4a23c4[_0x5ac48f(0x10c8)]('-')[0x1];let _0xd43d6c;_0xd43d6c=new Date(),_0xd43d6c[_0x5ac48f(0x25cd)](Number(_0x71f023[_0x5ac48f(0x10c8)](':')[0x0])),_0xd43d6c['setMinutes'](Number(_0x71f023[_0x5ac48f(0x10c8)](':')[0x1])),_0x3d0b17[_0x5ac48f(0x2469)]=_0xd43d6c,_0xd43d6c=new Date(),_0xd43d6c[_0x5ac48f(0x25cd)](Number(_0x260644[_0x5ac48f(0x10c8)](':')[0x0])),_0xd43d6c[_0x5ac48f(0x2348)](Number(_0x260644[_0x5ac48f(0x10c8)](':')[0x1])),_0x3d0b17[_0x5ac48f(0x637)]=_0xd43d6c;}_0x496432!=='*'&&(_0x3d0b17[_0x5ac48f(0x1455)]=_0x496432[_0x5ac48f(0x10c8)]('-')[0x0],_0x3d0b17[_0x5ac48f(0x27a1)]=_0x496432[_0x5ac48f(0x10c8)]('-')[0x1]),_0x64549e!=='*'&&(_0x3d0b17['monthDayFrom']=_0x64549e[_0x5ac48f(0x10c8)]('-')[0x0],_0x3d0b17[_0x5ac48f(0x896)]=_0x64549e[_0x5ac48f(0x10c8)]('-')[0x1]),_0x3fc69f!=='*'&&(_0x3d0b17['monthFrom']=_0x3fc69f[_0x5ac48f(0x10c8)]('-')[0x0],_0x3d0b17['monthTo']=_0x3fc69f[_0x5ac48f(0x10c8)]('-')[0x1]);}else _0x3d0b17['type']=_0x5ac48f(0xd85);}_0x3c459d[_0x5ac48f(0x16a)]['id']&&!_0x3d0b17[_0x5ac48f(0x2765)]['application']&&(_0x3d0b17['interval'][_0x5ac48f(0x1ff2)]=_0x3c459d[_0x5ac48f(0x16a)]['id']);_0x3d0b17[_0x5ac48f(0x2765)][_0x5ac48f(0x1ff2)]&&_0x3d0b17['interval'][_0x5ac48f(0xea)]&&(_0x3d0b17[_0x5ac48f(0x1142)]=_0x5ac48f(0x25f4));_0x3d0b17['addNewInterval']=_0x148320,_0x3d0b17['saveInterval']=_0x55b950,_0x3d0b17[_0x5ac48f(0x13f3)]=_0x128e35;_0x3d0b17['interval']['application']&&(_0x2ee1ca[_0x5ac48f(0x23e0)](_0x5ac48f(0x174b))?_0x294c75[_0x5ac48f(0x2765)][_0x5ac48f(0x16b4)]({'fields':_0x5ac48f(0x648),'IntervalId':_0x5ac48f(0x203c),'nolimit':!![]})['$promise'][_0x5ac48f(0x146b)](function(_0x5077a4){const _0xc8f5a6=_0x5ac48f;_0x3d0b17[_0xc8f5a6(0x278f)]=_0x5077a4[_0xc8f5a6(0x19c7)]||[];})[_0x5ac48f(0x129e)](function(_0xa8092b){const _0x444457=_0x5ac48f;_0x52c069[_0x444457(0x1980)]({'title':_0xa8092b[_0x444457(0x107b)]?_0x444457(0x262a)+_0xa8092b[_0x444457(0x107b)]+_0x444457(0x1315)+_0xa8092b[_0x444457(0x167f)]:_0x444457(0x603),'msg':_0xa8092b[_0x444457(0x524)]?JSON[_0x444457(0x10bb)](_0xa8092b[_0x444457(0x524)]):_0xa8092b[_0x444457(0xd5f)]()});}):_0x294c75[_0x5ac48f(0x2765)]['get']({'fields':_0x5ac48f(0x648),'IntervalId':_0x5ac48f(0x203c),'nolimit':!![]})['$promise'][_0x5ac48f(0x146b)](function(_0x57def2){const _0x46b07b=_0x5ac48f;_0x3d0b17[_0x46b07b(0x278f)]=_0x57def2['rows']||[];})[_0x5ac48f(0x146b)](function(){const _0x54c33e=_0x5ac48f;return _0x294c75['userProfileSection']['get']({'userProfileId':_0x3d0b17[_0x54c33e(0x2321)]['userProfileId'],'sectionId':0x3ec})[_0x54c33e(0x2945)];})[_0x5ac48f(0x146b)](function(_0x5e9c6c){const _0x4f0ca6=_0x5ac48f,_0x113318=_0x5e9c6c&&_0x5e9c6c[_0x4f0ca6(0x19c7)]?_0x5e9c6c[_0x4f0ca6(0x19c7)][0x0]:null;if(!_0x113318)_0x3d0b17[_0x4f0ca6(0x278f)]=[];else{if(!_0x113318[_0x4f0ca6(0x11d2)])return _0x294c75[_0x4f0ca6(0xdcc)][_0x4f0ca6(0x16b4)]({'sectionId':_0x113318['id']})[_0x4f0ca6(0x2945)][_0x4f0ca6(0x146b)](function(_0x456782){const _0x3afdec=_0x4f0ca6,_0x5cfeb9=_0x456782&&_0x456782[_0x3afdec(0x19c7)]?_0x456782[_0x3afdec(0x19c7)]:[],_0x539a34=[];let _0x51487a=null;_0x3d0b17['interval']&&(_0x51487a=_0x3f65c0()[_0x3afdec(0xc84)](_0x3d0b17[_0x3afdec(0x278f)],{'name':_0x3d0b17[_0x3afdec(0x2765)]['IntervalId']})),_0x51487a&&!_0x3f65c0()[_0x3afdec(0x1360)](_0x5cfeb9,[_0x3afdec(0x18b8),_0x51487a['id']])&&_0x3d0b17[_0x3afdec(0x278f)]['forEach'](function(_0x55552a){const _0x85cbc=_0x3afdec;_0x55552a['id']===_0x51487a['id']&&(_0x55552a[_0x85cbc(0x8ff)]=![],_0x539a34[_0x85cbc(0x1f47)](_0x55552a));}),_0x3d0b17['intervals']=_0x539a34;});}})[_0x5ac48f(0x129e)](function(_0x5bfa1e){const _0x19223a=_0x5ac48f;_0x52c069[_0x19223a(0x1980)]({'title':_0x5bfa1e[_0x19223a(0x107b)]?_0x19223a(0x262a)+_0x5bfa1e[_0x19223a(0x107b)]+_0x19223a(0x1315)+_0x5bfa1e['statusText']:_0x19223a(0x603),'msg':_0x5bfa1e[_0x19223a(0x524)]?JSON[_0x19223a(0x10bb)](_0x5bfa1e['data']):_0x5bfa1e['toString']()});}));function _0x525954(){const _0x161287=_0x5ac48f;switch(_0x3d0b17['type']){case _0x161287(0xd85):case _0x161287(0x25f4):return'*,*,*,*';case _0x161287(0x1802):{const _0x2a0357=[];if(_0x3d0b17['timeRangeFrom']!=='*'&&_0x3d0b17[_0x161287(0x2469)]&&_0x3d0b17[_0x161287(0x637)]){const _0x1aa034=(_0x3d0b17[_0x161287(0x2469)][_0x161287(0x1d57)]()<0xa?'0':'')+_0x3d0b17['timeRangeFrom'][_0x161287(0x1d57)]()+':'+((_0x3d0b17['timeRangeFrom'][_0x161287(0x7fc)]()<0xa?'0':'')+_0x3d0b17['timeRangeFrom'][_0x161287(0x7fc)]()),_0x1d763b=(_0x3d0b17['timeRangeTo']['getHours']()<0xa?'0':'')+_0x3d0b17[_0x161287(0x637)][_0x161287(0x1d57)]()+':'+((_0x3d0b17['timeRangeTo']['getMinutes']()<0xa?'0':'')+_0x3d0b17[_0x161287(0x637)]['getMinutes']());_0x2a0357['push'](_0x1aa034+'-'+_0x1d763b);}else _0x2a0357['push']('*');return _0x3d0b17['dayOfWeekFrom']?_0x3d0b17['dayOfWeekTo']?_0x2a0357[_0x161287(0x1f47)](_0x3d0b17['dayOfWeekFrom']+'-'+_0x3d0b17['dayOfWeekTo']):_0x2a0357['push'](_0x3d0b17[_0x161287(0x1455)]):_0x2a0357[_0x161287(0x1f47)]('*'),_0x3d0b17[_0x161287(0xf0b)]?_0x3d0b17[_0x161287(0x896)]?_0x2a0357[_0x161287(0x1f47)](_0x3d0b17['monthDayFrom']+'-'+_0x3d0b17['monthDayTo']):_0x2a0357[_0x161287(0x1f47)](_0x3d0b17[_0x161287(0xf0b)]):_0x2a0357[_0x161287(0x1f47)]('*'),_0x3d0b17[_0x161287(0x167)]?_0x3d0b17[_0x161287(0x9c3)]?_0x2a0357[_0x161287(0x1f47)](_0x3d0b17['monthFrom']+'-'+_0x3d0b17[_0x161287(0x9c3)]):_0x2a0357['push'](_0x3d0b17['monthFrom']):_0x2a0357['push']('*'),_0x2a0357[_0x161287(0xb47)]();}}}function _0x148320(){const _0x1cda6a=_0x5ac48f;_0x3d0b17[_0x1cda6a(0xcef)]=[],_0x3d0b17[_0x1cda6a(0x2765)][_0x1cda6a(0x2765)]=_0x525954(),_0x294c75[_0x1cda6a(0x2765)][_0x1cda6a(0x1e3)](_0x3d0b17[_0x1cda6a(0x2765)])['$promise'][_0x1cda6a(0x146b)](function(_0x5c54a0){const _0x46dc0e=_0x1cda6a;_0x3d0b17['intervals'][_0x46dc0e(0x1f47)](_0x5c54a0),_0x52c069[_0x46dc0e(0x1c75)]({'title':_0x46dc0e(0x17f5),'msg':_0x3d0b17[_0x46dc0e(0x2765)][_0x46dc0e(0x19eb)]?_0x3d0b17[_0x46dc0e(0x2765)]['name']+'\x20has\x20been\x20created!':''}),_0x128e35();})['catch'](function(_0x1a071e){const _0xf90bb6=_0x1cda6a;console[_0xf90bb6(0x1980)](_0x1a071e),_0x3d0b17[_0xf90bb6(0xcef)]=_0x1a071e[_0xf90bb6(0x524)][_0xf90bb6(0xcef)]||[{'message':_0x1a071e['toString'](),'type':_0xf90bb6(0x7e7)}];});}function _0x55b950(){const _0x187753=_0x5ac48f;_0x3d0b17[_0x187753(0xcef)]=[],_0x3d0b17[_0x187753(0x2765)][_0x187753(0x2765)]=_0x525954(),_0x3d0b17[_0x187753(0x2765)][_0x187753(0xea)]?(_0x3d0b17[_0x187753(0x1142)]!==_0x187753(0x25f4)&&(_0x3d0b17['interval'][_0x187753(0x1ff2)]=null),_0x128e35(_0x3d0b17['interval'])):_0x294c75[_0x187753(0x2765)][_0x187753(0x18e1)]({'id':_0x3d0b17['interval']['id']},_0x3d0b17[_0x187753(0x2765)])[_0x187753(0x2945)]['then'](function(_0x1b36a2){const _0x288c6f=_0x187753,_0x2f587d=_0x3f65c0()[_0x288c6f(0xc84)](_0x3d0b17[_0x288c6f(0x278f)],{'id':_0x1b36a2['id']});_0x2f587d&&_0x3f65c0()['merge'](_0x2f587d,_0x1b36a2),_0x52c069[_0x288c6f(0x1c75)]({'title':_0x288c6f(0x1d51),'msg':_0x288c6f(0x97a)}),_0x128e35();})[_0x187753(0x129e)](function(_0x15fb2d){const _0x23e4c4=_0x187753;console[_0x23e4c4(0x1980)](_0x15fb2d),_0x3d0b17[_0x23e4c4(0xcef)]=_0x15fb2d['data'][_0x23e4c4(0xcef)]||[{'message':_0x15fb2d[_0x23e4c4(0xd5f)](),'type':_0x23e4c4(0x1fbe)}];});}function _0x128e35(_0x38d210){const _0x34d9b1=_0x5ac48f;_0x62323d[_0x34d9b1(0x2458)](_0x38d210);}}const _0x3db550=_0x3ec952;;const _0x37d08f=_0x4acfac['p']+_0x313a4d(0x2250);;_0x1c2104[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),_0x313a4d(0x910),_0x313a4d(0x1862),'$q','$translate',_0x313a4d(0x1abe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1fe4),'api',_0x313a4d(0xa87)];function _0x1c2104(_0x1f7966,_0x20a2e0,_0x585ae5,_0x154265,_0x18638a,_0x13070f,_0x67d606,_0x52531f,_0x1086d6,_0x94b495,_0x144594){const _0x3efcac=_0x313a4d,_0x154ff8=this;_0x154ff8[_0x3efcac(0x2321)]=_0x144594[_0x3efcac(0xb12)](),_0x154ff8[_0x3efcac(0x2765)]={},_0x154ff8[_0x3efcac(0x24a)]={'count':0x0,'rows':[]},_0x154ff8['selectedIntervalIntervals']=[],_0x154ff8[_0x3efcac(0x2514)],_0x154ff8['sortableTable']={'animation':0x64,'onSort':function(_0x2470c2){const _0x3b1459=_0x3efcac;_0x94b495[_0x3b1459(0x2765)][_0x3b1459(0x2847)]({'id':_0x154ff8[_0x3b1459(0x2765)]['id']},_0x2470c2['models'])[_0x3b1459(0x2945)]['then'](function(_0x4d4da6){const _0x1137cc=_0x3b1459;_0x154ff8['intervalIntervals'][_0x1137cc(0x19c7)]=_0x4d4da6;})[_0x3b1459(0x129e)](function(_0x2ea644){const _0x1069ad=_0x3b1459;_0x1086d6[_0x1069ad(0x1980)]({'title':_0x2ea644['status']?_0x1069ad(0x262a)+_0x2ea644[_0x1069ad(0x107b)]+_0x1069ad(0x1315)+_0x2ea644[_0x1069ad(0x167f)]:_0x1069ad(0x1a89),'msg':_0x2ea644[_0x1069ad(0x524)]?JSON[_0x1069ad(0x10bb)](_0x2ea644[_0x1069ad(0x524)]):_0x2ea644[_0x1069ad(0xd5f)]()});});}},_0x154ff8[_0x3efcac(0x1a56)]={'fields':'createdAt,updatedAt,id,name,interval','nolimit':'true','limit':0xa,'page':0x1},_0x154ff8[_0x3efcac(0x5aa)]=_0x405f72,_0x154ff8[_0x3efcac(0x19b4)]=_0xe5a6be,_0x154ff8['success']=_0x335f4d,_0x154ff8[_0x3efcac(0xdf8)]=_0x47a59f,_0x154ff8[_0x3efcac(0xdfb)]=_0x447d25,_0x154ff8[_0x3efcac(0xa18)]=_0x25cd22,_0x154ff8['deleteIntervalInterval']=_0x1f5c0b,_0x154ff8[_0x3efcac(0x6a3)]=_0x1aef4d;function _0x405f72(_0x1baca6,_0x1c2c28){const _0x217b07=_0x3efcac;_0x154ff8[_0x217b07(0x2765)]=_0x1baca6,_0x154ff8['crudPermissions']=typeof _0x1c2c28!==_0x217b07(0x2274)?_0x1c2c28:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x154ff8['sortableTable'][_0x217b07(0x2862)]=!_0x154ff8[_0x217b07(0x2514)][_0x217b07(0x15f4)]?!![]:![],_0x154ff8[_0x217b07(0x1a56)]['id']=_0x154ff8['interval']['id'],_0x47a59f();}function _0xe5a6be(_0x532047,_0x59aec9){const _0x5547a4=_0x3efcac,_0x2bb58a=_0x67d606[_0x5547a4(0x1e8a)]()[_0x5547a4(0x1189)](_0x5547a4(0x1f46))['htmlContent'](_0x5547a4(0x16d3)+(_0x532047['name']||_0x532047['id']&&_0x3f65c0()['upperFirst'](_0x5547a4(0xd75))+_0x532047['id']||_0x5547a4(0x2765))+_0x5547a4(0x252f)+_0x5547a4(0xe01))[_0x5547a4(0x4bd)](_0x5547a4(0xf53))[_0x5547a4(0x1f27)](_0x59aec9)['ok']('OK')['cancel'](_0x5547a4(0x39a));_0x67d606['show'](_0x2bb58a)[_0x5547a4(0x146b)](function(){_0x1f5c0b(_0x532047);},function(){const _0x57acf0=_0x5547a4;console[_0x57acf0(0x1a74)]('CANCEL');});}function _0x335f4d(_0x4347f4){_0x154ff8['intervalIntervals']=_0x4347f4||{'count':0x0,'rows':[]};}function _0x47a59f(){const _0x376e9c=_0x3efcac;_0x154ff8[_0x376e9c(0x1a56)][_0x376e9c(0x145d)]=(_0x154ff8[_0x376e9c(0x1a56)]['page']-0x1)*_0x154ff8[_0x376e9c(0x1a56)][_0x376e9c(0x221e)],_0x154ff8['promise']=_0x94b495[_0x376e9c(0x2765)]['getIntervals'](_0x154ff8[_0x376e9c(0x1a56)],_0x335f4d)[_0x376e9c(0x2945)];}function _0x447d25(_0x462c79,_0x11bd2e){const _0x1ce58d=_0x3efcac;_0x67d606[_0x1ce58d(0x2615)]({'controller':'EditAppintervalDialogController','controllerAs':'vm','templateUrl':_0x37d08f,'parent':angular[_0x1ce58d(0x1853)](_0x52531f[_0x1ce58d(0x2586)]),'targetEvent':_0x462c79,'clickOutsideToClose':!![],'locals':{'interval':_0x11bd2e,'intervals':_0x154ff8[_0x1ce58d(0x24a)][_0x1ce58d(0x19c7)],'license':null,'setting':null,'crudPermissions':_0x154ff8[_0x1ce58d(0x2514)]}});}function _0x1f5c0b(_0x1ccb0e){const _0x5157d4=_0x3efcac;_0x94b495[_0x5157d4(0x2765)][_0x5157d4(0x1fac)]({'id':_0x1ccb0e['id']})[_0x5157d4(0x2945)][_0x5157d4(0x146b)](function(){const _0xe8d4ae=_0x5157d4;_0x3f65c0()['remove'](_0x154ff8[_0xe8d4ae(0x24a)][_0xe8d4ae(0x19c7)],{'id':_0x1ccb0e['id']}),_0x154ff8[_0xe8d4ae(0x24a)]['count']-=0x1,!_0x154ff8[_0xe8d4ae(0x24a)]['rows'][_0xe8d4ae(0x402)]&&_0x47a59f(),_0x1086d6[_0xe8d4ae(0x1c75)]({'title':'Interval\x20deleted!','msg':_0x1ccb0e[_0xe8d4ae(0x19eb)]?_0x1ccb0e['name']+_0xe8d4ae(0x23e3):''});})[_0x5157d4(0x129e)](function(_0x1a4a53){const _0x5e73b5=_0x5157d4;if(_0x1a4a53['data']&&_0x1a4a53[_0x5e73b5(0x524)][_0x5e73b5(0xcef)]&&_0x1a4a53[_0x5e73b5(0x524)][_0x5e73b5(0xcef)][_0x5e73b5(0x402)]){_0x154ff8[_0x5e73b5(0xcef)]=_0x1a4a53['data'][_0x5e73b5(0xcef)]||[{'message':_0x1a4a53['toString'](),'type':_0x5e73b5(0x8b6)}];for(let _0x3a1605=0x0;_0x3a1605<_0x1a4a53['data']['errors'][_0x5e73b5(0x402)];_0x3a1605++){_0x1086d6[_0x5e73b5(0x1980)]({'title':_0x1a4a53['data'][_0x5e73b5(0xcef)][_0x3a1605][_0x5e73b5(0x1142)],'msg':_0x1a4a53['data'][_0x5e73b5(0xcef)][_0x3a1605][_0x5e73b5(0x7fd)]});}}else _0x1086d6[_0x5e73b5(0x1980)]({'title':_0x1a4a53['status']?'API:'+_0x1a4a53[_0x5e73b5(0x107b)]+_0x5e73b5(0x1315)+_0x1a4a53[_0x5e73b5(0x167f)]:_0x5e73b5(0x8b6),'msg':_0x1a4a53[_0x5e73b5(0x524)]?JSON[_0x5e73b5(0x10bb)](_0x1a4a53[_0x5e73b5(0x524)][_0x5e73b5(0x7fd)]):_0x1a4a53[_0x5e73b5(0x7fd)]||_0x1a4a53[_0x5e73b5(0xd5f)]()});});}function _0x25cd22(){const _0x750b6d=_0x3efcac,_0x571187=angular[_0x750b6d(0x235a)](_0x154ff8[_0x750b6d(0x92d)]);return _0x154ff8[_0x750b6d(0x92d)]=[],_0x571187;}function _0x1aef4d(_0x1c7bfd){const _0x3ae59b=_0x3efcac,_0x1b9739=_0x67d606['confirm']()['title'](_0x3ae59b(0xfee))[_0x3ae59b(0x1cbe)](''+_0x154ff8[_0x3ae59b(0x92d)][_0x3ae59b(0x402)]+'\x20selected'+'\x20will\x20be\x20deleted.')[_0x3ae59b(0x4bd)](_0x3ae59b(0x25f9))['targetEvent'](_0x1c7bfd)['ok']('OK')[_0x3ae59b(0x6c3)](_0x3ae59b(0x39a));_0x67d606['show'](_0x1b9739)[_0x3ae59b(0x146b)](function(){const _0x4b7d60=_0x3ae59b;_0x154ff8[_0x4b7d60(0x92d)][_0x4b7d60(0x1df5)](function(_0x3854b1){_0x1f5c0b(_0x3854b1);}),_0x154ff8[_0x4b7d60(0x92d)]=[];});}let _0x58aff4=!![],_0x27114c=0x1;_0x20a2e0[_0x3efcac(0x21e8)](_0x3efcac(0x117f),function(_0x4d5d8a,_0xc68a00){const _0x51b311=_0x3efcac;_0x58aff4?_0x13070f(function(){_0x58aff4=![];}):(!_0xc68a00&&(_0x27114c=_0x154ff8[_0x51b311(0x1a56)]['page']),_0x4d5d8a!==_0xc68a00&&(_0x154ff8[_0x51b311(0x1a56)]['page']=0x1),!_0x4d5d8a&&(_0x154ff8[_0x51b311(0x1a56)]['page']=_0x27114c),_0x47a59f());});}const _0x1ff921=_0x1c2104;;_0x162195[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),'$location',_0x313a4d(0x10e8),_0x313a4d(0x4d8),'$translate','license',_0x313a4d(0x15b9),'api',_0x313a4d(0x1fe4),'Auth',_0x313a4d(0x2765),_0x313a4d(0x1366)];function _0x162195(_0x52c2db,_0x2e17e8,_0x5e15a3,_0x23a600,_0x5b8f21,_0xa3f7d4,_0xcd82d7,_0x4a3dea,_0x39c3cb,_0x1d5f7f,_0x2d81da,_0x13f7e4){const _0x2e9c52=_0x313a4d,_0x23bedf=this;_0x23bedf[_0x2e9c52(0x2321)]=_0x1d5f7f[_0x2e9c52(0xb12)](),_0x23bedf[_0x2e9c52(0x2690)]=_0xa3f7d4,_0x23bedf[_0x2e9c52(0x15b9)]=_0xcd82d7,_0x23bedf[_0x2e9c52(0x2251)]=_0x23bedf[_0x2e9c52(0x15b9)][_0x2e9c52(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x23bedf[_0x2e9c52(0x1002)]=_0x2e17e8['protocol']()+_0x2e9c52(0xb0e)+_0x2e17e8[_0x2e9c52(0x148e)](),_0x23bedf['interval']=_0x2d81da||_0x52c2db[_0x2e9c52(0x16a)][_0x2e9c52(0x2765)]||{},_0x23bedf[_0x2e9c52(0x1366)]=_0x13f7e4&&_0x13f7e4[_0x2e9c52(0x51c)]==0x1?_0x13f7e4['rows'][0x0]:null,_0x23bedf[_0x2e9c52(0x2514)]=_0x1d5f7f[_0x2e9c52(0xe60)](_0x23bedf['userProfileSection']?_0x23bedf[_0x2e9c52(0x1366)][_0x2e9c52(0x2514)]:null),_0x23bedf[_0x2e9c52(0x855)]={},_0x23bedf[_0x2e9c52(0x1b09)]=_0x52c2db['params'][_0x2e9c52(0x13a3)]||0x0,_0x23bedf['alert']=_0x39c3cb[_0x2e9c52(0x271e)],_0x23bedf[_0x2e9c52(0xf35)]=_0x22a331,_0x23bedf['saveInterval']=_0x30860a;function _0x22a331(){const _0x26b39e=_0x2e9c52;_0x52c2db['go'](_0x26b39e(0x2378),{},{'reload':_0x26b39e(0x2378)});}function _0x30860a(){const _0x411941=_0x2e9c52;_0x4a3dea['interval'][_0x411941(0x18e1)]({'id':_0x23bedf['interval']['id']},_0x23bedf['interval'])['$promise'][_0x411941(0x146b)](function(){const _0x3f2613=_0x411941;_0x39c3cb[_0x3f2613(0x1c75)]({'title':_0x3f2613(0x1c16),'msg':_0x23bedf['interval']['name']?_0x23bedf['interval'][_0x3f2613(0x19eb)]+_0x3f2613(0x6b0):''});})[_0x411941(0x129e)](function(_0x341f45){const _0x415183=_0x411941;_0x39c3cb[_0x415183(0x1980)]({'title':_0x341f45[_0x415183(0x107b)]?_0x415183(0x262a)+_0x341f45[_0x415183(0x107b)]+_0x415183(0x1315)+_0x341f45[_0x415183(0x167f)]:_0x415183(0x8b6),'msg':_0x341f45[_0x415183(0x524)]?JSON[_0x415183(0x10bb)](_0x341f45[_0x415183(0x524)]):_0x341f45[_0x415183(0xd5f)]()});});}}const _0x45644c=_0x162195;;const _0x1fb7db=_0x4acfac['p']+'src/js/modules/main/apps/tools/views/intervals/create/dialog.html/dialog.html';;_0x243310[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$window',_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0x214b),'intervals',_0x313a4d(0x26b6),'userProfileSection','api','msUtils','toasty',_0x313a4d(0xa87),'license',_0x313a4d(0x15b9)];function _0x243310(_0x40fe7e,_0x590482,_0x1baa78,_0x4f6cfe,_0x247c2e,_0xf01d90,_0xc768ec,_0x25390c,_0x205860,_0x37cb43,_0x13c65b,_0x572155,_0x257839,_0x33d31c,_0x29009f,_0x49718a,_0x4e2098){const _0x322669=_0x313a4d,_0x1e6113=this;_0x1e6113[_0x322669(0x2690)]=_0x49718a,_0x1e6113['setting']=_0x4e2098,_0x1e6113[_0x322669(0x2321)]=_0x29009f['getCurrentUser'](),_0x1e6113['intervals']=_0x205860||{'count':0x0,'rows':[]},_0x1e6113[_0x322669(0x26b6)]=_0x37cb43,_0x1e6113[_0x322669(0x1366)]=_0x13c65b&&_0x13c65b[_0x322669(0x51c)]==0x1?_0x13c65b['rows'][0x0]:null,_0x1e6113[_0x322669(0x2514)]=_0x29009f[_0x322669(0xe60)](_0x1e6113[_0x322669(0x1366)]?_0x1e6113[_0x322669(0x1366)][_0x322669(0x2514)]:null),_0x1e6113[_0x322669(0x768)]='intervals',_0x1e6113[_0x322669(0x216a)]='',_0x1e6113['listOrderAsc']=null,_0x1e6113['selectedIntervals']=[],_0x1e6113[_0x322669(0x1a56)]={'fields':_0x322669(0x608),'sort':_0x322669(0x12f2),'IntervalId':_0x322669(0x203c),'limit':0xa,'page':0x1},_0x1e6113[_0x322669(0x23f9)]=_0x5e230c,_0x1e6113['deleteconfirm']=_0x221f26,_0x1e6113[_0x322669(0x1c75)]=_0x376d8c,_0x1e6113[_0x322669(0x1c1c)]=_0x24a7b0,_0x1e6113['createOrEditInterval']=_0xa3245a,_0x1e6113[_0x322669(0x1db1)]=_0x56a6e4,_0x1e6113[_0x322669(0x203f)]=_0x56ee31,_0x1e6113[_0x322669(0x1238)]=_0x460d30,_0x1e6113[_0x322669(0x1a70)]=_0x59c91a,_0x1e6113[_0x322669(0x4a2)]=_0xca827;function _0x5e230c(_0x339d01){const _0xa667e2=_0x322669;_0x1baa78['go'](_0xa667e2(0xc51),{'id':_0x339d01['id'],'interval':_0x339d01,'crudPermissions':_0x1e6113[_0xa667e2(0x2514)]});}function _0x221f26(_0x43431c,_0xc3cc77){const _0x5be853=_0x322669,_0x34f335=_0x247c2e[_0x5be853(0x1e8a)]()[_0x5be853(0x1189)](_0x5be853(0xdb2)+_0x3f65c0()['startCase'](_0x5be853(0x2765))+'?')['htmlContent'](''+(_0x43431c['name']||'interval')+''+_0x5be853(0xe01))[_0x5be853(0x4bd)](_0x5be853(0xf53))['targetEvent'](_0xc3cc77)['ok']('OK')[_0x5be853(0x6c3)]('CANCEL');_0x247c2e[_0x5be853(0x2615)](_0x34f335)['then'](function(){_0x56a6e4(_0x43431c);},function(){const _0x26faf0=_0x5be853;console[_0x26faf0(0x1a74)](_0x26faf0(0x39a));});}let _0x1968ec=!![],_0x503e5a=0x1;_0x40fe7e[_0x322669(0x21e8)]('vm.query.filter',function(_0x3310eb,_0x302f85){const _0x286c1b=_0x322669;_0x1968ec?_0xc768ec(function(){_0x1968ec=![];}):(!_0x302f85&&(_0x503e5a=_0x1e6113[_0x286c1b(0x1a56)]['page']),_0x3310eb!==_0x302f85&&(_0x1e6113['query'][_0x286c1b(0x844)]=0x1),!_0x3310eb&&(_0x1e6113[_0x286c1b(0x1a56)][_0x286c1b(0x844)]=_0x503e5a),_0x1e6113[_0x286c1b(0x1c1c)]());});function _0x376d8c(_0x1d6fa9){_0x1e6113['intervals']=_0x1d6fa9||{'count':0x0,'rows':[]};}function _0x24a7b0(){const _0x22b93d=_0x322669;_0x1e6113['query'][_0x22b93d(0x145d)]=(_0x1e6113[_0x22b93d(0x1a56)][_0x22b93d(0x844)]-0x1)*_0x1e6113[_0x22b93d(0x1a56)]['limit'],_0x29009f[_0x22b93d(0x23e0)](_0x22b93d(0x174b))?_0x1e6113['promise']=_0x572155[_0x22b93d(0x2765)][_0x22b93d(0x16b4)](_0x1e6113[_0x22b93d(0x1a56)],_0x376d8c)['$promise']:(_0x1e6113[_0x22b93d(0x1a56)]['id']=_0x1e6113[_0x22b93d(0x26b6)]['id'],_0x1e6113[_0x22b93d(0x1a56)][_0x22b93d(0x2146)]=_0x22b93d(0x162f),_0x1e6113['promise']=_0x572155[_0x22b93d(0x26b6)][_0x22b93d(0x158f)](_0x1e6113[_0x22b93d(0x1a56)],_0x376d8c)['$promise']);}function _0xa3245a(_0x4fc0a1,_0x5d464e){const _0x55e79d=_0x322669;_0x247c2e[_0x55e79d(0x2615)]({'controller':_0x55e79d(0x1eff),'controllerAs':'vm','templateUrl':_0x1fb7db,'parent':angular[_0x55e79d(0x1853)](_0xf01d90['body']),'targetEvent':_0x4fc0a1,'clickOutsideToClose':!![],'locals':{'interval':_0x5d464e,'intervals':_0x1e6113[_0x55e79d(0x278f)][_0x55e79d(0x19c7)],'license':_0x1e6113[_0x55e79d(0x2690)],'setting':_0x1e6113[_0x55e79d(0x15b9)],'crudPermissions':_0x1e6113[_0x55e79d(0x2514)]}});}function _0x56a6e4(_0x3d7714){const _0x3fc0ea=_0x322669;_0x572155[_0x3fc0ea(0x2765)][_0x3fc0ea(0x1fac)]({'id':_0x3d7714['id']})['$promise'][_0x3fc0ea(0x146b)](function(){const _0x58ad59=_0x3fc0ea;_0x3f65c0()[_0x58ad59(0x2640)](_0x1e6113[_0x58ad59(0x278f)][_0x58ad59(0x19c7)],{'id':_0x3d7714['id']}),_0x1e6113[_0x58ad59(0x278f)][_0x58ad59(0x51c)]-=0x1,!_0x1e6113[_0x58ad59(0x278f)][_0x58ad59(0x19c7)]['length']&&_0x1e6113[_0x58ad59(0x1c1c)](),_0x33d31c[_0x58ad59(0x1c75)]({'title':_0x3f65c0()[_0x58ad59(0x20d1)]('Interval')+_0x58ad59(0x201c),'msg':_0x3d7714[_0x58ad59(0x19eb)]?_0x3d7714[_0x58ad59(0x19eb)]+'\x20has\x20been\x20deleted!':''});})[_0x3fc0ea(0x129e)](function(_0x4d69cc){const _0x3925b7=_0x3fc0ea;if(_0x4d69cc[_0x3925b7(0x524)]&&_0x4d69cc[_0x3925b7(0x524)][_0x3925b7(0xcef)]&&_0x4d69cc[_0x3925b7(0x524)]['errors'][_0x3925b7(0x402)]){_0x1e6113['errors']=_0x4d69cc[_0x3925b7(0x524)]['errors']||[{'message':_0x4d69cc['toString'](),'type':'SYSTEM:DELETEinterval'}];for(let _0x2e8059=0x0;_0x2e8059<_0x4d69cc['data']['errors'][_0x3925b7(0x402)];_0x2e8059++){_0x33d31c['error']({'title':_0x4d69cc[_0x3925b7(0x524)][_0x3925b7(0xcef)][_0x2e8059][_0x3925b7(0x1142)],'msg':_0x4d69cc[_0x3925b7(0x524)][_0x3925b7(0xcef)][_0x2e8059][_0x3925b7(0x7fd)]});}}else _0x33d31c[_0x3925b7(0x1980)]({'title':_0x4d69cc[_0x3925b7(0x107b)]?'API:'+_0x4d69cc[_0x3925b7(0x107b)]+_0x3925b7(0x1315)+_0x4d69cc[_0x3925b7(0x167f)]:'SYSTEM:DELETEinterval','msg':_0x4d69cc[_0x3925b7(0x524)]?JSON['stringify'](_0x4d69cc[_0x3925b7(0x524)][_0x3925b7(0x7fd)]):_0x4d69cc[_0x3925b7(0x7fd)]||_0x4d69cc[_0x3925b7(0xd5f)]()});});}function _0x56ee31(){const _0x541859=_0x322669,_0x512a34=angular[_0x541859(0x235a)](_0x1e6113[_0x541859(0x13e9)]);return _0x1e6113[_0x541859(0x13e9)]=[],_0x512a34;}function _0x460d30(_0x2b8329){const _0x34b80d=_0x322669,_0x38689a=_0x247c2e['confirm']()[_0x34b80d(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20intervals?')[_0x34b80d(0x1cbe)](_0x34b80d(0x16d3)+_0x1e6113[_0x34b80d(0x13e9)][_0x34b80d(0x402)]+_0x34b80d(0x2452)+_0x34b80d(0xe01))[_0x34b80d(0x4bd)](_0x34b80d(0xe64))['targetEvent'](_0x2b8329)['ok']('OK')['cancel'](_0x34b80d(0x39a));_0x247c2e[_0x34b80d(0x2615)](_0x38689a)[_0x34b80d(0x146b)](function(){const _0x2fd4a6=_0x34b80d;_0x1e6113['selectedIntervals']['forEach'](function(_0x14a8af){_0x56a6e4(_0x14a8af);}),_0x1e6113[_0x2fd4a6(0x13e9)]=[];});}function _0x59c91a(){const _0x326d9a=_0x322669;_0x1e6113[_0x326d9a(0x13e9)]=[];}function _0xca827(){const _0x41dc20=_0x322669;_0x1e6113[_0x41dc20(0x13e9)]=_0x1e6113[_0x41dc20(0x278f)]['rows'];}}const _0x2d36df=_0x243310;;_0x23a3e0[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$state','$location',_0x313a4d(0x10e8),'$q','$translate','toasty',_0x313a4d(0x23bb),_0x313a4d(0x1e3e),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),'crudPermissions'];function _0x23a3e0(_0x431359,_0x3d2157,_0x5333e0,_0x3c161a,_0x26ddc3,_0x173158,_0x202647,_0x3e7e7f,_0x32a831,_0x52a813,_0x5d1c72,_0x4685af,_0x2a8d17,_0x1ed528){const _0x4fed54=_0x313a4d,_0x206e6e=this;_0x206e6e[_0x4fed54(0x2321)]=_0x5d1c72[_0x4fed54(0xb12)](),_0x206e6e[_0x4fed54(0xcef)]=[],_0x206e6e[_0x4fed54(0x15b9)]=_0x2a8d17,_0x206e6e[_0x4fed54(0x2690)]=_0x4685af,_0x206e6e[_0x4fed54(0x2514)]=_0x1ed528,_0x206e6e[_0x4fed54(0x855)]={},_0x206e6e[_0x4fed54(0x2251)]=_0x206e6e[_0x4fed54(0x15b9)]&&_0x206e6e[_0x4fed54(0x15b9)][_0x4fed54(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x206e6e[_0x4fed54(0x1189)]=_0x4fed54(0x236),_0x206e6e[_0x4fed54(0x1e3e)]=angular[_0x4fed54(0x235a)](_0x32a831),_0x206e6e[_0x4fed54(0x23bb)]=_0x3e7e7f,_0x206e6e[_0x4fed54(0x2086)]=![];!_0x206e6e[_0x4fed54(0x1e3e)]&&(_0x206e6e[_0x4fed54(0x1e3e)]={},_0x206e6e[_0x4fed54(0x1189)]='TOOLS.NEW_PAUSE',_0x206e6e[_0x4fed54(0x2086)]=!![]);_0x206e6e[_0x4fed54(0x139e)]=_0x356cb5,_0x206e6e['savePause']=_0x3403c5,_0x206e6e[_0x4fed54(0x95f)]=_0x14fbc0,_0x206e6e[_0x4fed54(0xe73)]=_0x2f51af,_0x206e6e[_0x4fed54(0x13f3)]=_0x3e5ee9;function _0x356cb5(){const _0x3e1bfd=_0x4fed54;_0x206e6e['errors']=[],_0x52a813[_0x3e1bfd(0x1e3e)][_0x3e1bfd(0x1e3)](_0x206e6e[_0x3e1bfd(0x1e3e)])[_0x3e1bfd(0x2945)][_0x3e1bfd(0x146b)](function(_0x51a27e){const _0x3214e8=_0x3e1bfd;_0x206e6e['pauses'][_0x3214e8(0xb3d)](_0x51a27e[_0x3214e8(0x2488)]()),_0x202647[_0x3214e8(0x1c75)]({'title':_0x3214e8(0x738),'msg':_0x206e6e[_0x3214e8(0x1e3e)]['name']?_0x206e6e['pause'][_0x3214e8(0x19eb)]+_0x3214e8(0x1386):''}),_0x3e5ee9(_0x51a27e);})[_0x3e1bfd(0x129e)](function(_0x544e09){const _0x1864c8=_0x3e1bfd;if(_0x544e09[_0x1864c8(0x524)]&&_0x544e09[_0x1864c8(0x524)][_0x1864c8(0xcef)]&&_0x544e09[_0x1864c8(0x524)][_0x1864c8(0xcef)][_0x1864c8(0x402)]){_0x206e6e[_0x1864c8(0xcef)]=_0x544e09[_0x1864c8(0x524)][_0x1864c8(0xcef)]||[{'message':_0x544e09[_0x1864c8(0xd5f)](),'type':'api.pause.save'}];for(let _0xf14d1c=0x0;_0xf14d1c<_0x544e09[_0x1864c8(0x524)][_0x1864c8(0xcef)][_0x1864c8(0x402)];_0xf14d1c+=0x1){_0x202647[_0x1864c8(0x1980)]({'title':_0x544e09[_0x1864c8(0x524)][_0x1864c8(0xcef)][_0xf14d1c][_0x1864c8(0x1142)],'msg':_0x544e09['data'][_0x1864c8(0xcef)][_0xf14d1c][_0x1864c8(0x7fd)]});}}else _0x202647[_0x1864c8(0x1980)]({'title':_0x544e09[_0x1864c8(0x107b)]?'API:'+_0x544e09[_0x1864c8(0x107b)]+_0x1864c8(0x1315)+_0x544e09[_0x1864c8(0x167f)]:_0x1864c8(0x25b2),'msg':_0x544e09[_0x1864c8(0x524)]?JSON[_0x1864c8(0x10bb)](_0x544e09['data'][_0x1864c8(0x7fd)]):_0x544e09[_0x1864c8(0xd5f)]()});});}function _0x3403c5(){const _0x4e0044=_0x4fed54;_0x206e6e[_0x4e0044(0xcef)]=[],_0x52a813[_0x4e0044(0x1e3e)][_0x4e0044(0x18e1)]({'id':_0x206e6e[_0x4e0044(0x1e3e)]['id']},_0x206e6e[_0x4e0044(0x1e3e)])['$promise'][_0x4e0044(0x146b)](function(_0x4fc4b8){const _0x3289a0=_0x4e0044,_0x441eaa=_0x3f65c0()[_0x3289a0(0xc84)](_0x206e6e[_0x3289a0(0x23bb)],{'id':_0x4fc4b8['id']});_0x441eaa&&_0x3f65c0()['merge'](_0x441eaa,_0x3f65c0()[_0x3289a0(0x40e)](_0x4fc4b8[_0x3289a0(0x2488)](),_0x3f65c0()[_0x3289a0(0x627)](_0x441eaa))),_0x202647[_0x3289a0(0x1c75)]({'title':_0x3289a0(0x2621),'msg':_0x206e6e[_0x3289a0(0x1e3e)]['name']?_0x206e6e[_0x3289a0(0x1e3e)][_0x3289a0(0x19eb)]+_0x3289a0(0x24db):''}),_0x3e5ee9(_0x4fc4b8);})['catch'](function(_0x4ddc75){const _0x517373=_0x4e0044;if(_0x4ddc75[_0x517373(0x524)]&&_0x4ddc75['data'][_0x517373(0xcef)]&&_0x4ddc75[_0x517373(0x524)][_0x517373(0xcef)][_0x517373(0x402)]){_0x206e6e[_0x517373(0xcef)]=_0x4ddc75[_0x517373(0x524)]['errors']||[{'message':_0x4ddc75['toString'](),'type':'api.pause.update'}];for(let _0x492c75=0x0;_0x492c75<_0x4ddc75[_0x517373(0x524)]['errors'][_0x517373(0x402)];_0x492c75++){_0x202647[_0x517373(0x1980)]({'title':_0x4ddc75[_0x517373(0x524)][_0x517373(0xcef)][_0x492c75]['type'],'msg':_0x4ddc75['data'][_0x517373(0xcef)][_0x492c75]['message']});}}else _0x202647[_0x517373(0x1980)]({'title':_0x4ddc75[_0x517373(0x107b)]?_0x517373(0x262a)+_0x4ddc75[_0x517373(0x107b)]+_0x517373(0x1315)+_0x4ddc75['statusText']:_0x517373(0xdd5),'msg':_0x4ddc75[_0x517373(0x524)]?JSON[_0x517373(0x10bb)](_0x4ddc75[_0x517373(0x524)]['message']):_0x4ddc75[_0x517373(0xd5f)]()});});}function _0x14fbc0(_0x5c4555){const _0xddebc7=_0x4fed54;_0x206e6e[_0xddebc7(0xcef)]=[];const _0x10f5e9=_0x3c161a[_0xddebc7(0x1e8a)]()[_0xddebc7(0x1189)](_0xddebc7(0x1d64))[_0xddebc7(0x80f)](_0xddebc7(0x277f))[_0xddebc7(0x4bd)]('Delete\x20Pause')['ok'](_0xddebc7(0x25de))['cancel'](_0xddebc7(0xcf0))['targetEvent'](_0x5c4555);_0x3c161a[_0xddebc7(0x2615)](_0x10f5e9)[_0xddebc7(0x146b)](function(){const _0x18e0ff=_0xddebc7;_0x52a813[_0x18e0ff(0x1e3e)]['delete']({'id':_0x206e6e['pause']['id']})[_0x18e0ff(0x2945)][_0x18e0ff(0x146b)](function(){const _0x21cd24=_0x18e0ff;_0x3f65c0()['remove'](_0x206e6e[_0x21cd24(0x23bb)],{'id':_0x206e6e['pause']['id']}),_0x202647['success']({'title':'Pause\x20properly\x20deleted!','msg':(_0x206e6e[_0x21cd24(0x1e3e)][_0x21cd24(0x19eb)]||'pause')+_0x21cd24(0x23e3)}),_0x3e5ee9(_0x206e6e[_0x21cd24(0x1e3e)]);})['catch'](function(_0x53441f){const _0x322b94=_0x18e0ff;if(_0x53441f[_0x322b94(0x524)]&&_0x53441f['data'][_0x322b94(0xcef)]&&_0x53441f[_0x322b94(0x524)][_0x322b94(0xcef)]['length']){_0x206e6e[_0x322b94(0xcef)]=_0x53441f[_0x322b94(0x524)][_0x322b94(0xcef)]||[{'message':_0x53441f[_0x322b94(0xd5f)](),'type':_0x322b94(0x2659)}];for(let _0x59e69e=0x0;_0x59e69e<_0x53441f[_0x322b94(0x524)]['errors'][_0x322b94(0x402)];_0x59e69e++){_0x202647[_0x322b94(0x1980)]({'title':_0x53441f['data'][_0x322b94(0xcef)][_0x59e69e][_0x322b94(0x1142)],'msg':_0x53441f['data'][_0x322b94(0xcef)][_0x59e69e][_0x322b94(0x7fd)]});}}else _0x202647[_0x322b94(0x1980)]({'title':_0x53441f[_0x322b94(0x107b)]?_0x322b94(0x262a)+_0x53441f[_0x322b94(0x107b)]+'\x20-\x20'+_0x53441f[_0x322b94(0x167f)]:_0x322b94(0x2659),'msg':_0x53441f[_0x322b94(0x524)]?JSON[_0x322b94(0x10bb)](_0x53441f['data'][_0x322b94(0x7fd)]):_0x53441f[_0x322b94(0x7fd)]||_0x53441f['toString']()});});},function(){});}function _0x2f51af(_0x14a0d9){return _0x14a0d9===null?undefined:new Date(_0x14a0d9);}function _0x3e5ee9(_0x1276e7){const _0x12688b=_0x4fed54;_0x3c161a[_0x12688b(0x2458)](_0x1276e7);}}const _0x467eb2=_0x23a3e0;;const _0x355510=_0x4acfac['p']+_0x313a4d(0x1026);;_0x58167a[_0x313a4d(0x11c2)]=['$scope','$window',_0x313a4d(0x1862),'$mdSidenav','$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0x214b),_0x313a4d(0x23bb),_0x313a4d(0x26b6),'userProfileSection','api',_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x2690),'setting'];function _0x58167a(_0x2a4222,_0x15c6bd,_0x108694,_0x2b0ba9,_0x35f266,_0x4927ea,_0x26b485,_0x4ed76e,_0x71d41c,_0x1b2389,_0x5c3905,_0x50fb75,_0x590090,_0x64ae36,_0x3eb490,_0x378327,_0x2956b5){const _0x43bd9d=_0x313a4d,_0x191460=this;_0x191460[_0x43bd9d(0x2690)]=_0x378327,_0x191460['setting']=_0x2956b5,_0x191460[_0x43bd9d(0x2321)]=_0x3eb490[_0x43bd9d(0xb12)](),_0x191460[_0x43bd9d(0x23bb)]=_0x71d41c||{'count':0x0,'rows':[]},_0x191460['userProfile']=_0x1b2389,_0x191460['userProfileSection']=_0x5c3905&&_0x5c3905[_0x43bd9d(0x51c)]==0x1?_0x5c3905[_0x43bd9d(0x19c7)][0x0]:null,_0x191460['crudPermissions']=_0x3eb490[_0x43bd9d(0xe60)](_0x191460[_0x43bd9d(0x1366)]?_0x191460[_0x43bd9d(0x1366)][_0x43bd9d(0x2514)]:null),_0x191460[_0x43bd9d(0x768)]=_0x43bd9d(0x23bb),_0x191460[_0x43bd9d(0x216a)]='',_0x191460['listOrderAsc']=null,_0x191460[_0x43bd9d(0x22e9)]=[],_0x191460[_0x43bd9d(0x1a56)]={'fields':_0x43bd9d(0x608),'sort':_0x43bd9d(0x12f2),'limit':0xa,'page':0x1},_0x191460[_0x43bd9d(0x2ed)]=_0x2eed45,_0x191460[_0x43bd9d(0xf0a)]=_0x324a05,_0x191460[_0x43bd9d(0x1c75)]=_0x1cb6bd,_0x191460[_0x43bd9d(0x494)]=_0x57f38f,_0x191460['createOrEditPause']=_0x583a9d,_0x191460[_0x43bd9d(0x95f)]=_0x54268a,_0x191460[_0x43bd9d(0x28bb)]=_0x1cba47,_0x191460[_0x43bd9d(0xeef)]=_0x5773d3,_0x191460[_0x43bd9d(0x23ed)]=_0x30cc95,_0x191460[_0x43bd9d(0xea9)]=_0x36137a;function _0x2eed45(_0x2b5e34,_0x4a1d3c){const _0x2fcf44=_0x43bd9d;_0x35f266['show']({'controller':_0x2fcf44(0x24be),'controllerAs':'vm','templateUrl':_0x355510,'parent':angular[_0x2fcf44(0x1853)](_0x4927ea[_0x2fcf44(0x2586)]),'targetEvent':_0x4a1d3c,'clickOutsideToClose':!![],'locals':{'pause':_0x2b5e34,'pauses':_0x191460[_0x2fcf44(0x23bb)][_0x2fcf44(0x19c7)],'license':_0x191460['license'],'setting':null,'crudPermissions':_0x191460['crudPermissions']}});}function _0x324a05(_0x1e6d94,_0x13e657){const _0x47204a=_0x43bd9d,_0x4654ae=_0x35f266['confirm']()[_0x47204a(0x1189)](_0x47204a(0xdb2)+_0x3f65c0()[_0x47204a(0x20d1)](_0x47204a(0x1e3e))+'?')[_0x47204a(0x1cbe)](_0x47204a(0x16d3)+(_0x1e6d94[_0x47204a(0x19eb)]||_0x47204a(0x1e3e))+_0x47204a(0x252f)+_0x47204a(0xe01))[_0x47204a(0x4bd)](_0x47204a(0x7d0))[_0x47204a(0x1f27)](_0x13e657)['ok']('OK')[_0x47204a(0x6c3)]('CANCEL');_0x35f266[_0x47204a(0x2615)](_0x4654ae)[_0x47204a(0x146b)](function(){_0x54268a(_0x1e6d94);},function(){const _0x517953=_0x47204a;console[_0x517953(0x1a74)]('CANCEL');});}let _0x12b0ae=!![],_0x3b65cd=0x1;_0x2a4222['$watch'](_0x43bd9d(0x2669),function(_0x12ba27,_0x341a05){const _0x4fe37d=_0x43bd9d;_0x12b0ae?_0x26b485(function(){_0x12b0ae=![];}):(!_0x341a05&&(_0x3b65cd=_0x191460[_0x4fe37d(0x1a56)][_0x4fe37d(0x844)]),_0x12ba27!==_0x341a05&&(_0x191460[_0x4fe37d(0x1a56)][_0x4fe37d(0x844)]=0x1),!_0x12ba27&&(_0x191460[_0x4fe37d(0x1a56)][_0x4fe37d(0x844)]=_0x3b65cd),_0x191460[_0x4fe37d(0x494)]());});function _0x1cb6bd(_0x2f2522){const _0x4c3718=_0x43bd9d;_0x191460[_0x4c3718(0x23bb)]=_0x2f2522||{'count':0x0,'rows':[]};}function _0x57f38f(){const _0x49cc4d=_0x43bd9d;_0x191460[_0x49cc4d(0x1a56)][_0x49cc4d(0x145d)]=(_0x191460[_0x49cc4d(0x1a56)][_0x49cc4d(0x844)]-0x1)*_0x191460['query'][_0x49cc4d(0x221e)],_0x3eb490[_0x49cc4d(0x23e0)](_0x49cc4d(0x174b))?_0x191460['promise']=_0x50fb75[_0x49cc4d(0x1e3e)]['get'](_0x191460[_0x49cc4d(0x1a56)],_0x1cb6bd)[_0x49cc4d(0x2945)]:(_0x191460[_0x49cc4d(0x1a56)]['id']=_0x191460[_0x49cc4d(0x26b6)]['id'],_0x191460[_0x49cc4d(0x1a56)]['section']=_0x49cc4d(0x197),_0x191460['promise']=_0x50fb75[_0x49cc4d(0x26b6)][_0x49cc4d(0x158f)](_0x191460['query'],_0x1cb6bd)[_0x49cc4d(0x2945)]);}function _0x583a9d(_0x3919d0,_0x10e57f){const _0x3a2739=_0x43bd9d;_0x35f266[_0x3a2739(0x2615)]({'controller':_0x3a2739(0x24be),'controllerAs':'vm','templateUrl':_0x355510,'parent':angular['element'](_0x4927ea[_0x3a2739(0x2586)]),'targetEvent':_0x3919d0,'clickOutsideToClose':!![],'locals':{'pause':_0x10e57f,'pauses':_0x191460[_0x3a2739(0x23bb)][_0x3a2739(0x19c7)],'license':_0x191460[_0x3a2739(0x2690)],'setting':_0x191460['setting'],'crudPermissions':_0x191460[_0x3a2739(0x2514)]}});}function _0x54268a(_0x891e4b){const _0x3f92b6=_0x43bd9d;_0x50fb75[_0x3f92b6(0x1e3e)][_0x3f92b6(0x1fac)]({'id':_0x891e4b['id']})[_0x3f92b6(0x2945)][_0x3f92b6(0x146b)](function(){const _0x591351=_0x3f92b6;_0x3f65c0()[_0x591351(0x2640)](_0x191460[_0x591351(0x23bb)][_0x591351(0x19c7)],{'id':_0x891e4b['id']}),_0x191460[_0x591351(0x23bb)][_0x591351(0x51c)]-=0x1,!_0x191460[_0x591351(0x23bb)][_0x591351(0x19c7)][_0x591351(0x402)]&&_0x191460[_0x591351(0x494)](),_0x64ae36[_0x591351(0x1c75)]({'title':_0x3f65c0()['startCase']('Pause')+_0x591351(0x201c),'msg':_0x891e4b[_0x591351(0x19eb)]?_0x891e4b['name']+_0x591351(0x23e3):''});})['catch'](function(_0x5428fe){const _0x9ce8dd=_0x3f92b6;if(_0x5428fe[_0x9ce8dd(0x524)]&&_0x5428fe[_0x9ce8dd(0x524)]['errors']&&_0x5428fe[_0x9ce8dd(0x524)][_0x9ce8dd(0xcef)][_0x9ce8dd(0x402)]){_0x191460[_0x9ce8dd(0xcef)]=_0x5428fe[_0x9ce8dd(0x524)]['errors']||[{'message':_0x5428fe[_0x9ce8dd(0xd5f)](),'type':_0x9ce8dd(0x24cd)}];for(let _0x1dc5eb=0x0;_0x1dc5eb<_0x5428fe['data'][_0x9ce8dd(0xcef)][_0x9ce8dd(0x402)];_0x1dc5eb++){_0x64ae36['error']({'title':_0x5428fe['data'][_0x9ce8dd(0xcef)][_0x1dc5eb][_0x9ce8dd(0x1142)],'msg':_0x5428fe[_0x9ce8dd(0x524)][_0x9ce8dd(0xcef)][_0x1dc5eb]['message']});}}else _0x64ae36[_0x9ce8dd(0x1980)]({'title':_0x5428fe[_0x9ce8dd(0x107b)]?_0x9ce8dd(0x262a)+_0x5428fe[_0x9ce8dd(0x107b)]+_0x9ce8dd(0x1315)+_0x5428fe[_0x9ce8dd(0x167f)]:_0x9ce8dd(0x24cd),'msg':_0x5428fe[_0x9ce8dd(0x524)]?JSON[_0x9ce8dd(0x10bb)](_0x5428fe[_0x9ce8dd(0x524)][_0x9ce8dd(0x7fd)]):_0x5428fe[_0x9ce8dd(0x7fd)]||_0x5428fe[_0x9ce8dd(0xd5f)]()});});}function _0x1cba47(){const _0x4a09c4=_0x43bd9d,_0x270a58=angular['copy'](_0x191460[_0x4a09c4(0x22e9)]);return _0x191460[_0x4a09c4(0x22e9)]=[],_0x270a58;}function _0x5773d3(_0x4bd35a){const _0x5a384a=_0x43bd9d,_0x29f19e=_0x35f266['confirm']()[_0x5a384a(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20pauses?')[_0x5a384a(0x1cbe)](_0x5a384a(0x16d3)+_0x191460[_0x5a384a(0x22e9)]['length']+_0x5a384a(0x2452)+_0x5a384a(0xe01))[_0x5a384a(0x4bd)](_0x5a384a(0x2087))['targetEvent'](_0x4bd35a)['ok']('OK')['cancel'](_0x5a384a(0x39a));_0x35f266[_0x5a384a(0x2615)](_0x29f19e)[_0x5a384a(0x146b)](function(){const _0x14673e=_0x5a384a;_0x191460[_0x14673e(0x22e9)]['forEach'](function(_0x1b5cb9){_0x54268a(_0x1b5cb9);}),_0x191460[_0x14673e(0x22e9)]=[];});}function _0x30cc95(){const _0x117e37=_0x43bd9d;_0x191460[_0x117e37(0x22e9)]=[];}function _0x36137a(){const _0x42571e=_0x43bd9d;_0x191460[_0x42571e(0x22e9)]=_0x191460[_0x42571e(0x23bb)][_0x42571e(0x19c7)];}}const _0xe0acd5=_0x58167a;;const _0x58b6e3=_0x4acfac['p']+_0x313a4d(0x2196);;_0x501b92['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),'$mdDialog','$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x1f36),'schedule',_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),'setting',_0x313a4d(0x2514)];function _0x501b92(_0x56d3e3,_0x37ae82,_0x2d63d4,_0x362f19,_0x5e2a49,_0x1a6a8f,_0x26e039,_0x29554,_0xc0b47c,_0x424f95,_0x439a59,_0x10d395,_0x2b6b98,_0x352251){const _0xf1cc66=_0x313a4d,_0x49c3c1=this;_0x49c3c1[_0xf1cc66(0x2321)]=_0x439a59[_0xf1cc66(0xb12)](),_0x49c3c1[_0xf1cc66(0xcef)]=[],_0x49c3c1['setting']=_0x2b6b98,_0x49c3c1[_0xf1cc66(0x2690)]=_0x10d395,_0x49c3c1[_0xf1cc66(0x2514)]=_0x352251,_0x49c3c1['hasModulePermissions']={},_0x49c3c1[_0xf1cc66(0x2251)]=_0x49c3c1[_0xf1cc66(0x15b9)]&&_0x49c3c1['setting'][_0xf1cc66(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x49c3c1['title']=_0xf1cc66(0xa98),_0x49c3c1[_0xf1cc66(0x4bf)]=angular[_0xf1cc66(0x235a)](_0xc0b47c),_0x49c3c1[_0xf1cc66(0x1f36)]=_0x29554,_0x49c3c1[_0xf1cc66(0x21c5)]=![],_0x49c3c1[_0xf1cc66(0x1f30)]=[],_0x49c3c1[_0xf1cc66(0x1a56)]={'fields':_0xf1cc66(0x25ce),'limit':0xa,'page':0x1,'sort':_0xf1cc66(0x12f2)};if(!_0x49c3c1[_0xf1cc66(0x4bf)])_0x49c3c1['schedule']={'output':_0xf1cc66(0x27a2),'active':!![],'startAt':new Date(),'endAt':new Date(Date['now']()+0x1e*0x18*0x3c*0x3c*0x3e8),'cron':_0xf1cc66(0x19fd),'subtractNumber':0x1,'subtractUnit':_0xf1cc66(0x13d7),'sendMail':![],'sendIfEmpty':!![]},_0x49c3c1[_0xf1cc66(0x1189)]=_0xf1cc66(0x27a4),_0x49c3c1[_0xf1cc66(0x21c5)]=!![];else{_0x49c3c1[_0xf1cc66(0x320)]=_0x49c3c1[_0xf1cc66(0x4bf)][_0xf1cc66(0x1148)]?'analyticDefaultReport':'analyticCustomReport';const _0x3025bd=_0x49c3c1[_0xf1cc66(0x4bf)][_0xf1cc66(0x1148)]?_0x49c3c1['schedule'][_0xf1cc66(0x1148)]:_0x49c3c1[_0xf1cc66(0x4bf)]['CustomReportId'];_0x424f95[_0x49c3c1[_0xf1cc66(0x320)]][_0xf1cc66(0x16b4)]({'fields':_0xf1cc66(0x2134)})[_0xf1cc66(0x2945)][_0xf1cc66(0x146b)](function(_0xcf444c){const _0x51b31d=_0xf1cc66;_0x49c3c1[_0x51b31d(0x1cec)]=_0x3f65c0()['find'](_0xcf444c[_0x51b31d(0x19c7)],{'id':_0x3025bd}),_0x49c3c1[_0x51b31d(0x4bf)][_0x51b31d(0x1c9a)]=_0x49c3c1[_0x51b31d(0x1cec)][_0x51b31d(0x19eb)],_0x49c3c1[_0x51b31d(0x1a56)]['parent']=_0x49c3c1[_0x51b31d(0x1cec)][_0x51b31d(0x3fd)];});}_0x49c3c1['addNewSchedule']=_0x36e0b2,_0x49c3c1[_0xf1cc66(0xcca)]=_0x1b980b,_0x49c3c1[_0xf1cc66(0x1ab9)]=_0x360bfb,_0x49c3c1[_0xf1cc66(0xe73)]=_0x30e58b,_0x49c3c1[_0xf1cc66(0x12f7)]=_0x2eb6eb,_0x49c3c1[_0xf1cc66(0xfd7)]=_0x4e95c7,_0x49c3c1[_0xf1cc66(0x19e8)]=_0x44e9bd,_0x49c3c1[_0xf1cc66(0x2109)]=_0x17b10b,_0x49c3c1[_0xf1cc66(0x13f3)]=_0x48653e,_0x424f95[_0xf1cc66(0x888)][_0xf1cc66(0x16b4)]({})[_0xf1cc66(0x2945)][_0xf1cc66(0x146b)](function(_0x286bc6){const _0x11e1c0=_0xf1cc66;_0x49c3c1[_0x11e1c0(0x728)]=_0x286bc6[_0x11e1c0(0x19c7)]||[];})[_0xf1cc66(0x129e)](function(_0xc215c2){const _0x2cc48d=_0xf1cc66;_0x26e039['error']({'title':_0xc215c2['status']?'API:'+_0xc215c2[_0x2cc48d(0x107b)]+'\x20-\x20'+_0xc215c2[_0x2cc48d(0x167f)]:'SYSTEM:GET_TREEREPORTS','msg':_0xc215c2[_0x2cc48d(0x524)]?JSON['stringify'](_0xc215c2[_0x2cc48d(0x524)]):_0xc215c2['toString']()});}),_0x439a59['hasRole'](_0xf1cc66(0x174b))?_0x424f95[_0xf1cc66(0x67d)][_0xf1cc66(0x16b4)]({'fields':'id,name','sort':_0xf1cc66(0x19eb)})[_0xf1cc66(0x2945)][_0xf1cc66(0x146b)](function(_0x21b4d5){const _0x317388=_0xf1cc66;_0x49c3c1[_0x317388(0x1593)]=_0x21b4d5[_0x317388(0x19c7)]||[];})['catch'](function(_0x3593a2){const _0x5be50a=_0xf1cc66;_0x26e039[_0x5be50a(0x1980)]({'title':_0x3593a2[_0x5be50a(0x107b)]?_0x5be50a(0x262a)+_0x3593a2[_0x5be50a(0x107b)]+_0x5be50a(0x1315)+_0x3593a2[_0x5be50a(0x167f)]:_0x5be50a(0x2898),'msg':_0x3593a2[_0x5be50a(0x524)]?JSON[_0x5be50a(0x10bb)](_0x3593a2[_0x5be50a(0x524)]):_0x3593a2[_0x5be50a(0xd5f)]()});}):_0x424f95[_0xf1cc66(0x67d)]['get']({'fields':_0xf1cc66(0x7a7),'sort':'name'})[_0xf1cc66(0x2945)][_0xf1cc66(0x146b)](function(_0x2eb6de){const _0x111b0e=_0xf1cc66;_0x49c3c1[_0x111b0e(0x1593)]=_0x2eb6de[_0x111b0e(0x19c7)]||[];})['then'](function(){const _0xea73ea=_0xf1cc66;return _0x424f95['userProfileSection'][_0xea73ea(0x16b4)]({'userProfileId':_0x49c3c1['currentUser'][_0xea73ea(0x209a)],'sectionId':0x25a})[_0xea73ea(0x2945)];})[_0xf1cc66(0x146b)](function(_0x33b3bb){const _0xd7cbcf=_0xf1cc66,_0x33a377=_0x33b3bb&&_0x33b3bb[_0xd7cbcf(0x19c7)]?_0x33b3bb[_0xd7cbcf(0x19c7)][0x0]:null;if(!_0x33a377){const _0x22611f=[];let _0x14a75b=null;_0x49c3c1[_0xd7cbcf(0x4bf)]&&(_0x14a75b=_0x3f65c0()['find'](_0x49c3c1['mailAccounts'],{'id':Number(_0x49c3c1['schedule'][_0xd7cbcf(0x658)])}));for(let _0x2cd0a7=0x0;_0x2cd0a7<_0x49c3c1[_0xd7cbcf(0x1593)][_0xd7cbcf(0x402)];_0x2cd0a7++){_0x14a75b&&_0x49c3c1[_0xd7cbcf(0x1593)][_0x2cd0a7]['id']===_0x14a75b['id']&&(_0x49c3c1['mailAccounts'][_0x2cd0a7]['canSelect']=![],_0x22611f[_0xd7cbcf(0x1f47)](_0x49c3c1[_0xd7cbcf(0x1593)][_0x2cd0a7]));}_0x49c3c1[_0xd7cbcf(0x1593)]=_0x22611f;}else{if(!_0x33a377[_0xd7cbcf(0x11d2)])return _0x424f95[_0xd7cbcf(0xdcc)][_0xd7cbcf(0x16b4)]({'sectionId':_0x33a377['id']})[_0xd7cbcf(0x2945)][_0xd7cbcf(0x146b)](function(_0x2af8e4){const _0x60aa2d=_0xd7cbcf,_0x417a43=_0x3f65c0()[_0x60aa2d(0x205)](_0x2af8e4[_0x60aa2d(0x19c7)],function(_0x3ca282){const _0x43b10d=_0x60aa2d;return _0x3f65c0()[_0x43b10d(0xc84)](_0x49c3c1['mailAccounts'],{'id':_0x3ca282[_0x43b10d(0x18b8)]});});let _0x1e1ffc=null;_0x49c3c1[_0x60aa2d(0x4bf)]&&(_0x1e1ffc=_0x3f65c0()[_0x60aa2d(0xc84)](_0x49c3c1[_0x60aa2d(0x1593)],{'id':Number(_0x49c3c1[_0x60aa2d(0x4bf)][_0x60aa2d(0x658)])}));if(_0x1e1ffc&&!_0x3f65c0()['some'](_0x417a43,['id',_0x1e1ffc['id']])){const _0x3f99b7=_0x3f65c0()['find'](_0x49c3c1['mailAccounts'],{'id':_0x1e1ffc['id']});_0x3f99b7['canSelect']=![],_0x417a43[_0x60aa2d(0x1f47)](_0x3f99b7);}_0x49c3c1[_0x60aa2d(0x1593)]=_0x417a43;});}})[_0xf1cc66(0x129e)](function(_0x52acd7){const _0x58bdef=_0xf1cc66;_0x26e039[_0x58bdef(0x1980)]({'title':_0x52acd7[_0x58bdef(0x107b)]?_0x58bdef(0x262a)+_0x52acd7[_0x58bdef(0x107b)]+_0x58bdef(0x1315)+_0x52acd7[_0x58bdef(0x167f)]:_0x58bdef(0x386),'msg':_0x52acd7[_0x58bdef(0x524)]?JSON['stringify'](_0x52acd7['data']):_0x52acd7[_0x58bdef(0xd5f)]()});});function _0x36e0b2(){const _0x2632e1=_0xf1cc66;_0x49c3c1['errors']=[],_0x424f95[_0x2632e1(0x4bf)][_0x2632e1(0x1e3)](_0x49c3c1[_0x2632e1(0x4bf)])[_0x2632e1(0x2945)][_0x2632e1(0x146b)](function(_0x57b37d){const _0x29de69=_0x2632e1;_0x49c3c1[_0x29de69(0x1f36)][_0x29de69(0xb3d)](_0x57b37d[_0x29de69(0x2488)]()),_0x26e039[_0x29de69(0x1c75)]({'title':_0x29de69(0x10db),'msg':_0x49c3c1['schedule'][_0x29de69(0x19eb)]?_0x49c3c1[_0x29de69(0x4bf)][_0x29de69(0x19eb)]+_0x29de69(0x1386):''}),_0x48653e(_0x57b37d);})[_0x2632e1(0x129e)](function(_0x5b4503){const _0x52a7a4=_0x2632e1;if(_0x5b4503[_0x52a7a4(0x524)]&&_0x5b4503[_0x52a7a4(0x524)][_0x52a7a4(0xcef)]&&_0x5b4503['data'][_0x52a7a4(0xcef)][_0x52a7a4(0x402)]){_0x49c3c1[_0x52a7a4(0xcef)]=_0x5b4503['data'][_0x52a7a4(0xcef)]||[{'message':_0x5b4503[_0x52a7a4(0xd5f)](),'type':_0x52a7a4(0x230f)}];for(let _0x4617f6=0x0;_0x4617f6<_0x5b4503[_0x52a7a4(0x524)][_0x52a7a4(0xcef)][_0x52a7a4(0x402)];_0x4617f6+=0x1){_0x26e039[_0x52a7a4(0x1980)]({'title':_0x5b4503[_0x52a7a4(0x524)][_0x52a7a4(0xcef)][_0x4617f6]['type'],'msg':_0x5b4503['data'][_0x52a7a4(0xcef)][_0x4617f6]['message']});}}else _0x26e039[_0x52a7a4(0x1980)]({'title':_0x5b4503[_0x52a7a4(0x107b)]?_0x52a7a4(0x262a)+_0x5b4503[_0x52a7a4(0x107b)]+'\x20-\x20'+_0x5b4503[_0x52a7a4(0x167f)]:_0x52a7a4(0x230f),'msg':_0x5b4503[_0x52a7a4(0x524)]?JSON['stringify'](_0x5b4503[_0x52a7a4(0x524)][_0x52a7a4(0x7fd)]):_0x5b4503['toString']()});});}function _0x1b980b(){const _0x4e100a=_0xf1cc66;_0x49c3c1[_0x4e100a(0xcef)]=[],_0x424f95[_0x4e100a(0x4bf)][_0x4e100a(0x18e1)]({'id':_0x49c3c1[_0x4e100a(0x4bf)]['id']},_0x49c3c1[_0x4e100a(0x4bf)])[_0x4e100a(0x2945)][_0x4e100a(0x146b)](function(_0xfc894e){const _0x408834=_0x4e100a,_0x5ec083=_0x3f65c0()[_0x408834(0xc84)](_0x49c3c1[_0x408834(0x1f36)],{'id':_0xfc894e['id']});_0x5ec083&&_0x3f65c0()[_0x408834(0x168d)](_0x5ec083,_0x3f65c0()[_0x408834(0x40e)](_0xfc894e[_0x408834(0x2488)](),_0x3f65c0()['keys'](_0x5ec083))),_0x26e039['success']({'title':'Schedule\x20properly\x20saved!','msg':_0x49c3c1[_0x408834(0x4bf)]['name']?_0x49c3c1['schedule'][_0x408834(0x19eb)]+'\x20has\x20been\x20saved!':''}),_0x48653e(_0xfc894e);})[_0x4e100a(0x129e)](function(_0x4676eb){const _0x104e12=_0x4e100a;if(_0x4676eb[_0x104e12(0x524)]&&_0x4676eb['data']['errors']&&_0x4676eb[_0x104e12(0x524)][_0x104e12(0xcef)][_0x104e12(0x402)]){_0x49c3c1[_0x104e12(0xcef)]=_0x4676eb[_0x104e12(0x524)][_0x104e12(0xcef)]||[{'message':_0x4676eb[_0x104e12(0xd5f)](),'type':_0x104e12(0x14e9)}];for(let _0x483e1f=0x0;_0x483e1f<_0x4676eb[_0x104e12(0x524)][_0x104e12(0xcef)][_0x104e12(0x402)];_0x483e1f++){_0x26e039[_0x104e12(0x1980)]({'title':_0x4676eb['data'][_0x104e12(0xcef)][_0x483e1f][_0x104e12(0x1142)],'msg':_0x4676eb[_0x104e12(0x524)][_0x104e12(0xcef)][_0x483e1f][_0x104e12(0x7fd)]});}}else _0x26e039[_0x104e12(0x1980)]({'title':_0x4676eb[_0x104e12(0x107b)]?_0x104e12(0x262a)+_0x4676eb[_0x104e12(0x107b)]+_0x104e12(0x1315)+_0x4676eb[_0x104e12(0x167f)]:_0x104e12(0x14e9),'msg':_0x4676eb['data']?JSON[_0x104e12(0x10bb)](_0x4676eb[_0x104e12(0x524)][_0x104e12(0x7fd)]):_0x4676eb[_0x104e12(0xd5f)]()});});}function _0x360bfb(_0x7c962c){const _0x3391aa=_0xf1cc66;_0x49c3c1[_0x3391aa(0xcef)]=[];const _0x24c28e=_0x362f19[_0x3391aa(0x1e8a)]()['title']('Are\x20you\x20sure?')['content'](_0x3391aa(0x22d3))['ariaLabel'](_0x3391aa(0x2219))['ok'](_0x3391aa(0x25de))[_0x3391aa(0x6c3)]('Cancel')[_0x3391aa(0x1f27)](_0x7c962c);_0x362f19[_0x3391aa(0x2615)](_0x24c28e)['then'](function(){const _0x1a467e=_0x3391aa;_0x424f95['schedule'][_0x1a467e(0x1fac)]({'id':_0x49c3c1['schedule']['id']})[_0x1a467e(0x2945)][_0x1a467e(0x146b)](function(){const _0x1030a5=_0x1a467e;_0x3f65c0()[_0x1030a5(0x2640)](_0x49c3c1[_0x1030a5(0x1f36)],{'id':_0x49c3c1['schedule']['id']}),_0x26e039[_0x1030a5(0x1c75)]({'title':_0x1030a5(0x805),'msg':(_0x49c3c1[_0x1030a5(0x4bf)][_0x1030a5(0x19eb)]||_0x1030a5(0x4bf))+'\x20has\x20been\x20deleted!'}),_0x48653e(_0x49c3c1['schedule']);})['catch'](function(_0x5908f1){const _0x211904=_0x1a467e;if(_0x5908f1[_0x211904(0x524)]&&_0x5908f1[_0x211904(0x524)][_0x211904(0xcef)]&&_0x5908f1[_0x211904(0x524)]['errors']['length']){_0x49c3c1[_0x211904(0xcef)]=_0x5908f1[_0x211904(0x524)][_0x211904(0xcef)]||[{'message':_0x5908f1[_0x211904(0xd5f)](),'type':_0x211904(0x2889)}];for(let _0x1f7325=0x0;_0x1f7325<_0x5908f1['data'][_0x211904(0xcef)][_0x211904(0x402)];_0x1f7325++){_0x26e039['error']({'title':_0x5908f1['data']['errors'][_0x1f7325][_0x211904(0x1142)],'msg':_0x5908f1['data'][_0x211904(0xcef)][_0x1f7325][_0x211904(0x7fd)]});}}else _0x26e039['error']({'title':_0x5908f1[_0x211904(0x107b)]?'API:'+_0x5908f1['status']+_0x211904(0x1315)+_0x5908f1[_0x211904(0x167f)]:_0x211904(0x2889),'msg':_0x5908f1[_0x211904(0x524)]?JSON[_0x211904(0x10bb)](_0x5908f1['data'][_0x211904(0x7fd)]):_0x5908f1[_0x211904(0x7fd)]||_0x5908f1[_0x211904(0xd5f)]()});});},function(){});}function _0x15d38b(){const _0x3e32e7=_0xf1cc66,_0x5f3143={'core':{'multiple':![],'animation':!![],'error':function(_0x2db03c){const _0x48369a=a0_0x3bb9;console[_0x48369a(0x1980)]('treeCtrl:\x20error\x20from\x20js\x20tree\x20-\x20'+angular[_0x48369a(0x217d)](_0x2db03c));},'check_callback':!![],'worker':!![]},'version':0x1,'plugins':[_0x3e32e7(0x5e6)]};return _0x49c3c1[_0x3e32e7(0x2321)][_0x3e32e7(0xfb0)]!==_0x3e32e7(0x174b)?_0x424f95[_0x3e32e7(0x1366)][_0x3e32e7(0x16b4)]({'fields':_0x3e32e7(0x1e64),'userProfileId':_0x49c3c1[_0x3e32e7(0x2321)][_0x3e32e7(0x209a)],'sectionId':0x4b3})[_0x3e32e7(0x2945)][_0x3e32e7(0x146b)](function(_0x441fc4){const _0x2c8d8d=_0x3e32e7;return _0x49c3c1[_0x2c8d8d(0x1366)]=_0x441fc4&&_0x441fc4[_0x2c8d8d(0x51c)]==0x1?_0x441fc4[_0x2c8d8d(0x19c7)][0x0]:null,_0x5f3143;})[_0x3e32e7(0x129e)](function(_0x4310e2){const _0x568292=_0x3e32e7;_0x26e039[_0x568292(0x1980)]({'title':_0x568292(0x10f5),'msg':_0x4310e2[_0x568292(0x7fd)]});}):_0x5f3143;}function _0x1963bc(_0x1723b9){return _0x1723b9?{'loaded':_0x5af296,'select_node':_0x481f25}:{'loaded':_0x3aa89c,'select_node':_0x228486};}function _0x481f25(_0x9b23d0,_0x5894fe){const _0x25c42d=_0xf1cc66;_0x49c3c1[_0x25c42d(0x1d1a)]['jstree'](!![])['deselect_all'](),_0x49c3c1[_0x25c42d(0x1d1a)][_0x25c42d(0x7e1)](!![])[_0x25c42d(0x25fd)](),_0x49c3c1[_0x25c42d(0x13cf)]=_0x5894fe[_0x25c42d(0x8f7)],_0x49c3c1[_0x25c42d(0x13cf)][_0x25c42d(0x1802)]=!![],_0x49c3c1[_0x25c42d(0x25e4)]=_0x49c3c1[_0x25c42d(0x25f7)][_0x25c42d(0x7e1)](!![])['get_path'](_0x49c3c1['currentNode'])[_0x25c42d(0xb47)]('/\x20'),_0x49c3c1[_0x25c42d(0x320)]='analyticCustomReport',_0x49c3c1[_0x25c42d(0x1a56)][_0x25c42d(0x3fd)]=_0x5894fe[_0x25c42d(0x8f7)]['id'],_0x2eb6eb();}function _0x228486(_0x486e4b,_0x125d99){const _0x5aa55f=_0xf1cc66;_0x49c3c1[_0x5aa55f(0x25f7)][_0x5aa55f(0x7e1)](!![])[_0x5aa55f(0xe99)](),_0x49c3c1[_0x5aa55f(0x25f7)][_0x5aa55f(0x7e1)](!![])[_0x5aa55f(0x25fd)](),_0x49c3c1['currentNode']=_0x125d99[_0x5aa55f(0x8f7)],_0x49c3c1['currentNode']['custom']=![],_0x49c3c1[_0x5aa55f(0x25e4)]=_0x49c3c1['treeDefaultInstance'][_0x5aa55f(0x7e1)](!![])[_0x5aa55f(0x11ba)](_0x49c3c1[_0x5aa55f(0x13cf)])[_0x5aa55f(0xb47)]('/\x20'),_0x49c3c1[_0x5aa55f(0x320)]=_0x5aa55f(0x20fa),_0x49c3c1[_0x5aa55f(0x1a56)][_0x5aa55f(0x3fd)]=_0x125d99[_0x5aa55f(0x8f7)]['id'],_0x2eb6eb();}function _0x5af296(){const _0xf9f0b9=_0xf1cc66;if(!_0x49c3c1[_0xf9f0b9(0x21c5)]&&_0x49c3c1[_0xf9f0b9(0x320)]===_0xf9f0b9(0x12a5)){const _0x47c8ad=_0x49c3c1[_0xf9f0b9(0x25f7)][_0xf9f0b9(0x7e1)](!![])['get_node'](_0x49c3c1[_0xf9f0b9(0x1a56)][_0xf9f0b9(0x3fd)]);_0x481f25(null,{'node':_0x47c8ad,'selected':_0x47c8ad['id']});}}function _0x3aa89c(){const _0x1f67b3=_0xf1cc66;if(!_0x49c3c1[_0x1f67b3(0x21c5)]&&_0x49c3c1['apiName']===_0x1f67b3(0x20fa)){const _0xcc27b6=_0x49c3c1[_0x1f67b3(0x1d1a)][_0x1f67b3(0x7e1)](!![])['get_node'](_0x49c3c1[_0x1f67b3(0x1a56)][_0x1f67b3(0x3fd)]);_0x228486(null,{'node':_0xcc27b6,'selected':_0xcc27b6['id']});}}function _0x2eb6eb(){const _0x56dc90=_0xf1cc66;_0x49c3c1[_0x56dc90(0x1a56)][_0x56dc90(0x145d)]=(_0x49c3c1[_0x56dc90(0x1a56)][_0x56dc90(0x844)]-0x1)*_0x49c3c1[_0x56dc90(0x1a56)]['limit'];if(_0x49c3c1[_0x56dc90(0x320)]){if(_0x49c3c1[_0x56dc90(0x2321)][_0x56dc90(0xfb0)]===_0x56dc90(0x174b))_0x49c3c1['promise']=_0x424f95[_0x49c3c1[_0x56dc90(0x320)]][_0x56dc90(0x16b4)](_0x49c3c1[_0x56dc90(0x1a56)],_0x37b9a3)['$promise'];else{if(!_0x49c3c1['userProfileSection'])return _0x37b9a3(null);else{if(_0x49c3c1['userProfileSection'][_0x56dc90(0x11d2)])_0x49c3c1[_0x56dc90(0xb9c)]=_0x424f95[_0x49c3c1[_0x56dc90(0x320)]][_0x56dc90(0x16b4)](_0x49c3c1[_0x56dc90(0x1a56)],_0x37b9a3)[_0x56dc90(0x2945)];else{let _0x19607f=[];_0x49c3c1['promise']=_0x424f95[_0x49c3c1[_0x56dc90(0x320)]][_0x56dc90(0x16b4)](_0x49c3c1['query'])[_0x56dc90(0x2945)][_0x56dc90(0x146b)](function(_0x57f99f){const _0x583f1b=_0x56dc90;return _0x19607f=_0x57f99f&&_0x57f99f['rows']?_0x57f99f[_0x583f1b(0x19c7)]:[],_0x19607f[_0x583f1b(0x402)]>0x0?_0x424f95[_0x583f1b(0xdcc)][_0x583f1b(0x16b4)]({'sectionId':_0x49c3c1[_0x583f1b(0x1366)]['id'],'type':_0x49c3c1[_0x583f1b(0x320)]===_0x583f1b(0x20fa)?_0x583f1b(0x3b0):_0x583f1b(0x193a)})['$promise']['then'](function(_0x12028c){const _0x56000d=_0x583f1b,_0x61cf1d=_0x12028c&&_0x12028c[_0x56000d(0x19c7)]?_0x12028c['rows']:[];if(_0x61cf1d[_0x56000d(0x402)]>0x0){const _0x444943=[];return _0x19607f[_0x56000d(0x1df5)](function(_0x1e63cd){const _0x3c390e=_0x56000d,_0x1f7a00=_0x3f65c0()['find'](_0x61cf1d,[_0x3c390e(0x18b8),_0x1e63cd['id']]);if(_0x1f7a00)_0x444943['push'](_0x1e63cd);}),_0x37b9a3({'count':_0x444943[_0x56000d(0x402)],'rows':_0x444943});}else return _0x37b9a3(null);}):_0x37b9a3(null);})[_0x56dc90(0x129e)](function(_0xba81eb){const _0xfb59f9=_0x56dc90;_0x26e039[_0xfb59f9(0x1980)]({'title':_0xba81eb[_0xfb59f9(0x107b)]?'API:'+_0xba81eb['status']+_0xfb59f9(0x1315)+_0xba81eb[_0xfb59f9(0x167f)]:'SYSTEM:GET_REPORTS','msg':_0xba81eb[_0xfb59f9(0x524)]?JSON['stringify'](_0xba81eb[_0xfb59f9(0x524)]):_0xba81eb[_0xfb59f9(0xd5f)]()});});}}}}}function _0x37b9a3(_0x407109){const _0x3f7e59=_0xf1cc66;_0x49c3c1['reports']=_0x407109||{'count':0x0,'rows':[]},!_0x49c3c1['newSchedule']&&_0x49c3c1[_0x3f7e59(0x1f30)]['push'](_0x3f65c0()[_0x3f7e59(0xc84)](_0x49c3c1[_0x3f7e59(0x2284)][_0x3f7e59(0x19c7)],{'id':_0x49c3c1[_0x3f7e59(0x1cec)]['id']}));}function _0x17b10b(){const _0x12425a=_0xf1cc66;_0x49c3c1['treeDefaultData']=_0x49c3c1[_0x12425a(0x728)][0x0]?angular[_0x12425a(0xb08)](_0x49c3c1[_0x12425a(0x728)][0x0][_0x12425a(0xf47)]):[],_0x49c3c1[_0x12425a(0x282d)]=_0x49c3c1[_0x12425a(0x728)][0x1]?angular['fromJson'](_0x49c3c1[_0x12425a(0x728)][0x1]['tree']):[],_0x49c3c1['treeConfig']=_0x15d38b(),_0x49c3c1[_0x12425a(0x2861)]=_0x1963bc(![]),_0x49c3c1[_0x12425a(0xf43)]=_0x1963bc(!![]),_0x362f19['show']({'controller':function(){return _0x49c3c1;},'controllerAs':'vm','templateUrl':_0x58b6e3,'autoWrap':!![],'skipHide':!![]});}function _0x4e95c7(){const _0x532c5c=_0xf1cc66;_0x49c3c1['schedule'][_0x532c5c(0x1c9a)]=_0x49c3c1[_0x532c5c(0x1f30)][0x0][_0x532c5c(0x19eb)],_0x49c3c1[_0x532c5c(0x13cf)][_0x532c5c(0x1802)]?(_0x49c3c1[_0x532c5c(0x4bf)][_0x532c5c(0x2728)]=_0x49c3c1[_0x532c5c(0x1f30)][0x0]['id'],delete _0x49c3c1['schedule'][_0x532c5c(0x1148)]):(_0x49c3c1[_0x532c5c(0x4bf)][_0x532c5c(0x1148)]=_0x49c3c1[_0x532c5c(0x1f30)][0x0]['id'],delete _0x49c3c1[_0x532c5c(0x4bf)][_0x532c5c(0x2728)]),_0x56d3e3['scheduleForm'][_0x532c5c(0x1c9a)]['$setDirty'](),_0x362f19[_0x532c5c(0x2458)]();}function _0x44e9bd(){const _0x1a549e=_0xf1cc66;_0x362f19[_0x1a549e(0x2458)]();}function _0x30e58b(_0x61a59d){return _0x61a59d===null?undefined:new Date(_0x61a59d);}function _0x48653e(_0x4dbfdd){const _0x54980e=_0xf1cc66;_0x362f19[_0x54980e(0x2458)](_0x4dbfdd);}}const _0x4b0c96=_0x501b92;;const _0x272900=_0x4acfac['p']+_0x313a4d(0x1a32);;_0x190faf[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$window',_0x313a4d(0x1862),_0x313a4d(0x15fe),'$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0x214b),_0x313a4d(0x1f36),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x190faf(_0x43e1da,_0x33382b,_0x49b8c4,_0x1210fd,_0xe9e77b,_0x27e8e7,_0x978eba,_0x15127e,_0x20251e,_0x1ac242,_0x3e3c98,_0x18070d,_0x4e8c18,_0x221d5d,_0x45b2b6,_0x3ef558,_0x818444){const _0x51380e=_0x313a4d,_0x487867=this;_0x487867[_0x51380e(0x2690)]=_0x3ef558,_0x487867['setting']=_0x818444,_0x487867['currentUser']=_0x45b2b6['getCurrentUser'](),_0x487867[_0x51380e(0x1f36)]=_0x20251e||{'count':0x0,'rows':[]},_0x487867[_0x51380e(0x26b6)]=_0x1ac242,_0x487867[_0x51380e(0x1366)]=_0x3e3c98&&_0x3e3c98['count']==0x1?_0x3e3c98[_0x51380e(0x19c7)][0x0]:null,_0x487867[_0x51380e(0x2514)]=_0x45b2b6[_0x51380e(0xe60)](_0x487867[_0x51380e(0x1366)]?_0x487867[_0x51380e(0x1366)][_0x51380e(0x2514)]:null),_0x487867[_0x51380e(0x768)]='schedules',_0x487867[_0x51380e(0x216a)]='',_0x487867[_0x51380e(0x214f)]=null,_0x487867[_0x51380e(0xf5)]=[],_0x487867['query']={'fields':_0x51380e(0x1a09),'sort':_0x51380e(0x12f2),'limit':0xa,'page':0x1},_0x487867[_0x51380e(0x1a8d)]=_0x3f65c0()[_0x51380e(0x194)]([{'option':_0x51380e(0xd24),'value':'\x27csv\x27'},{'option':_0x51380e(0x132a),'value':_0x51380e(0x2863)},{'option':_0x51380e(0x858),'value':_0x51380e(0x26b5)}],function(_0x5a7541){const _0x26efe7=_0x51380e;return _0x3f65c0()[_0x26efe7(0x5f4)](_0x5a7541['value'],new RegExp('\x27','g'),'');}),_0x487867['arraysubtractUnit']=_0x3f65c0()[_0x51380e(0x194)]([{'option':_0x51380e(0x20ea),'value':_0x51380e(0xa09)},{'option':_0x51380e(0x1ba7),'value':_0x51380e(0x27d4)},{'option':'Days','value':'\x27days\x27'},{'option':_0x51380e(0x2786),'value':_0x51380e(0x1528)},{'option':_0x51380e(0x351),'value':_0x51380e(0x137)},{'option':_0x51380e(0x305),'value':_0x51380e(0x24e)},{'option':_0x51380e(0x1c6a),'value':'\x27years\x27'}],function(_0x3d9959){const _0x3ad584=_0x51380e;return _0x3f65c0()[_0x3ad584(0x5f4)](_0x3d9959[_0x3ad584(0x175d)],new RegExp('\x27','g'),'');}),_0x487867[_0x51380e(0x2ed)]=_0x225f48,_0x487867[_0x51380e(0x379)]=_0x4d2790,_0x487867[_0x51380e(0xf0a)]=_0x3f069f,_0x487867[_0x51380e(0x1c75)]=_0x167e23,_0x487867[_0x51380e(0x1db8)]=_0x4c96ab,_0x487867['createOrEditSchedule']=_0x4a91b7,_0x487867[_0x51380e(0x1ab9)]=_0x561e30,_0x487867[_0x51380e(0x683)]=_0x398808,_0x487867[_0x51380e(0x1e14)]=_0x5d3a17,_0x487867['deselectScheduler']=_0x5d1cf2,_0x487867[_0x51380e(0x70c)]=_0x30779a,_0x18070d[_0x51380e(0x888)][_0x51380e(0x16b4)]({})[_0x51380e(0x2945)][_0x51380e(0x146b)](function(_0x130a80){const _0x5b8a7a=_0x51380e;_0x487867[_0x5b8a7a(0x728)]=_0x130a80[_0x5b8a7a(0x19c7)]||[];})['catch'](function(_0xffcf9e){const _0x55a341=_0x51380e;_0x221d5d[_0x55a341(0x1980)]({'title':_0xffcf9e[_0x55a341(0x107b)]?'API:'+_0xffcf9e[_0x55a341(0x107b)]+_0x55a341(0x1315)+_0xffcf9e[_0x55a341(0x167f)]:_0x55a341(0x1f8c),'msg':_0xffcf9e['data']?JSON[_0x55a341(0x10bb)](_0xffcf9e[_0x55a341(0x524)]):_0xffcf9e[_0x55a341(0xd5f)]()});}),_0x45b2b6[_0x51380e(0x23e0)](_0x51380e(0x174b))?_0x18070d[_0x51380e(0x67d)][_0x51380e(0x16b4)]({'fields':_0x51380e(0x7a7),'sort':'name'})[_0x51380e(0x2945)][_0x51380e(0x146b)](function(_0x1f5c64){const _0x4e9daa=_0x51380e;_0x487867[_0x4e9daa(0x1593)]=_0x1f5c64[_0x4e9daa(0x19c7)]||[];})[_0x51380e(0x129e)](function(_0x46b713){const _0x5f2309=_0x51380e;_0x221d5d['error']({'title':_0x46b713[_0x5f2309(0x107b)]?_0x5f2309(0x262a)+_0x46b713['status']+_0x5f2309(0x1315)+_0x46b713[_0x5f2309(0x167f)]:'SYSTEM:GET_MAILACCOUNTS','msg':_0x46b713[_0x5f2309(0x524)]?JSON[_0x5f2309(0x10bb)](_0x46b713[_0x5f2309(0x524)]):_0x46b713[_0x5f2309(0xd5f)]()});}):_0x18070d[_0x51380e(0x67d)]['get']({'fields':_0x51380e(0x7a7),'sort':'name'})[_0x51380e(0x2945)]['then'](function(_0x5174d7){const _0x3f9dd=_0x51380e;_0x487867['mailAccounts']=_0x5174d7[_0x3f9dd(0x19c7)]||[];})[_0x51380e(0x146b)](function(){const _0x1015b8=_0x51380e;return _0x18070d[_0x1015b8(0x1366)][_0x1015b8(0x16b4)]({'userProfileId':_0x487867['currentUser'][_0x1015b8(0x209a)],'sectionId':0x25a})[_0x1015b8(0x2945)];})[_0x51380e(0x146b)](function(_0x3316c8){const _0x592de8=_0x51380e,_0x5f027c=_0x3316c8&&_0x3316c8['rows']?_0x3316c8[_0x592de8(0x19c7)][0x0]:null;if(!_0x5f027c){const _0x5c82e8=[];let _0x177489=null;_0x487867['schedule']&&(_0x177489=_0x3f65c0()['find'](_0x487867['mailAccounts'],{'id':Number(_0x487867[_0x592de8(0x4bf)][_0x592de8(0x658)])}));for(let _0x5cdba6=0x0;_0x5cdba6<_0x487867[_0x592de8(0x1593)][_0x592de8(0x402)];_0x5cdba6++){_0x177489&&_0x487867[_0x592de8(0x1593)][_0x5cdba6]['id']===_0x177489['id']&&(_0x487867[_0x592de8(0x1593)][_0x5cdba6][_0x592de8(0x8ff)]=![],_0x5c82e8[_0x592de8(0x1f47)](_0x487867['mailAccounts'][_0x5cdba6]));}_0x487867[_0x592de8(0x1593)]=_0x5c82e8;}else{if(!_0x5f027c[_0x592de8(0x11d2)])return _0x18070d[_0x592de8(0xdcc)][_0x592de8(0x16b4)]({'sectionId':_0x5f027c['id']})['$promise'][_0x592de8(0x146b)](function(_0x11e075){const _0x10a73a=_0x592de8,_0x1aadba=_0x3f65c0()['map'](_0x11e075[_0x10a73a(0x19c7)],function(_0x2f5e8f){const _0x83cd2d=_0x10a73a;return _0x3f65c0()[_0x83cd2d(0xc84)](_0x487867[_0x83cd2d(0x1593)],{'id':_0x2f5e8f[_0x83cd2d(0x18b8)]});});let _0x1653df=null;_0x487867['schedule']&&(_0x1653df=_0x3f65c0()[_0x10a73a(0xc84)](_0x487867[_0x10a73a(0x1593)],{'id':Number(_0x487867['schedule'][_0x10a73a(0x658)])}));if(_0x1653df&&!_0x3f65c0()[_0x10a73a(0x1360)](_0x1aadba,['id',_0x1653df['id']])){const _0x39f977=_0x3f65c0()[_0x10a73a(0xc84)](_0x487867[_0x10a73a(0x1593)],{'id':_0x1653df['id']});_0x39f977[_0x10a73a(0x8ff)]=![],_0x1aadba[_0x10a73a(0x1f47)](_0x39f977);}_0x487867['mailAccounts']=_0x1aadba;});}})['catch'](function(_0x42fd72){const _0x1d39de=_0x51380e;_0x221d5d[_0x1d39de(0x1980)]({'title':_0x42fd72['status']?_0x1d39de(0x262a)+_0x42fd72[_0x1d39de(0x107b)]+_0x1d39de(0x1315)+_0x42fd72['statusText']:_0x1d39de(0x386),'msg':_0x42fd72['data']?JSON[_0x1d39de(0x10bb)](_0x42fd72[_0x1d39de(0x524)]):_0x42fd72['toString']()});});function _0x225f48(_0x30c4ee,_0xdec598){const _0x3a8c23=_0x51380e;_0xe9e77b[_0x3a8c23(0x2615)]({'controller':_0x3a8c23(0x65a),'controllerAs':'vm','templateUrl':_0x272900,'parent':angular[_0x3a8c23(0x1853)](_0x27e8e7[_0x3a8c23(0x2586)]),'targetEvent':_0xdec598,'clickOutsideToClose':!![],'locals':{'schedule':_0x30c4ee,'schedules':_0x487867['schedules'][_0x3a8c23(0x19c7)],'license':_0x487867[_0x3a8c23(0x2690)],'setting':null,'crudPermissions':_0x487867[_0x3a8c23(0x2514)]}});}function _0x4d2790(_0xac47b2,_0x460d56){const _0x265c11=_0x51380e,_0x470765=_0xe9e77b['confirm']()[_0x265c11(0x1189)](_0x265c11(0x1b8e))[_0x265c11(0x1cbe)](_0x265c11(0x16d3)+(_0xac47b2[_0x265c11(0x19eb)]||_0x265c11(0x4bf))+_0x265c11(0x252f)+_0x265c11(0x16f0))[_0x265c11(0x4bd)](_0x265c11(0x1352))['targetEvent'](_0x460d56)['ok']('OK')[_0x265c11(0x6c3)](_0x265c11(0x39a));_0xe9e77b[_0x265c11(0x2615)](_0x470765)['then'](function(){const _0x3c65ae=_0x265c11;return _0x18070d[_0x3c65ae(0x4bf)][_0x3c65ae(0x1caa)]({'id':_0xac47b2['id']})[_0x3c65ae(0x2945)];},function(){const _0x107647=_0x265c11;console['log'](_0x107647(0x39a));});}function _0x3f069f(_0x1527b2,_0xc53958){const _0x2c4eb8=_0x51380e,_0x38b446=_0xe9e77b[_0x2c4eb8(0x1e8a)]()[_0x2c4eb8(0x1189)](_0x2c4eb8(0xdb2)+_0x3f65c0()['startCase'](_0x2c4eb8(0x4bf))+'?')[_0x2c4eb8(0x1cbe)](_0x2c4eb8(0x16d3)+(_0x1527b2['name']||_0x2c4eb8(0x4bf))+''+_0x2c4eb8(0xe01))[_0x2c4eb8(0x4bd)](_0x2c4eb8(0x1352))['targetEvent'](_0xc53958)['ok']('OK')['cancel']('CANCEL');_0xe9e77b[_0x2c4eb8(0x2615)](_0x38b446)['then'](function(){_0x561e30(_0x1527b2);},function(){const _0x2b48a7=_0x2c4eb8;console[_0x2b48a7(0x1a74)]('CANCEL');});}let _0x13bbaf=!![],_0xf6bd74=0x1;_0x43e1da[_0x51380e(0x21e8)](_0x51380e(0x2669),function(_0x20bf6f,_0x1957a9){const _0x3337e3=_0x51380e;_0x13bbaf?_0x978eba(function(){_0x13bbaf=![];}):(!_0x1957a9&&(_0xf6bd74=_0x487867[_0x3337e3(0x1a56)][_0x3337e3(0x844)]),_0x20bf6f!==_0x1957a9&&(_0x487867[_0x3337e3(0x1a56)][_0x3337e3(0x844)]=0x1),!_0x20bf6f&&(_0x487867[_0x3337e3(0x1a56)]['page']=_0xf6bd74),_0x487867[_0x3337e3(0x1db8)]());});function _0x167e23(_0x2bf2c1){_0x487867['schedules']=_0x2bf2c1||{'count':0x0,'rows':[]};}function _0x4c96ab(){const _0xcd22ee=_0x51380e;_0x487867[_0xcd22ee(0x1a56)][_0xcd22ee(0x145d)]=(_0x487867[_0xcd22ee(0x1a56)]['page']-0x1)*_0x487867['query'][_0xcd22ee(0x221e)],_0x45b2b6[_0xcd22ee(0x23e0)]('admin')?_0x487867[_0xcd22ee(0xb9c)]=_0x18070d[_0xcd22ee(0x4bf)][_0xcd22ee(0x16b4)](_0x487867[_0xcd22ee(0x1a56)],_0x167e23)[_0xcd22ee(0x2945)]:(_0x487867[_0xcd22ee(0x1a56)]['id']=_0x487867[_0xcd22ee(0x26b6)]['id'],_0x487867[_0xcd22ee(0x1a56)][_0xcd22ee(0x2146)]='Scheduler',_0x487867[_0xcd22ee(0xb9c)]=_0x18070d[_0xcd22ee(0x26b6)][_0xcd22ee(0x158f)](_0x487867[_0xcd22ee(0x1a56)],_0x167e23)[_0xcd22ee(0x2945)]);}function _0x4a91b7(_0xc0bffe,_0x43fbee){const _0x376c77=_0x51380e;_0xe9e77b[_0x376c77(0x2615)]({'controller':_0x376c77(0x65a),'controllerAs':'vm','templateUrl':_0x272900,'parent':angular[_0x376c77(0x1853)](_0x27e8e7[_0x376c77(0x2586)]),'targetEvent':_0xc0bffe,'clickOutsideToClose':!![],'locals':{'schedule':_0x43fbee,'schedules':_0x487867['schedules'][_0x376c77(0x19c7)],'license':_0x487867[_0x376c77(0x2690)],'setting':_0x487867[_0x376c77(0x15b9)],'crudPermissions':_0x487867[_0x376c77(0x2514)]}});}function _0x561e30(_0x138719){const _0x3705f8=_0x51380e;_0x18070d[_0x3705f8(0x4bf)]['delete']({'id':_0x138719['id']})[_0x3705f8(0x2945)]['then'](function(){const _0x1df0f9=_0x3705f8;_0x3f65c0()['remove'](_0x487867[_0x1df0f9(0x1f36)][_0x1df0f9(0x19c7)],{'id':_0x138719['id']}),_0x487867['schedules']['count']-=0x1,!_0x487867['schedules'][_0x1df0f9(0x19c7)][_0x1df0f9(0x402)]&&_0x487867['getScheduler'](),_0x221d5d[_0x1df0f9(0x1c75)]({'title':_0x3f65c0()[_0x1df0f9(0x20d1)]('Schedule')+_0x1df0f9(0x201c),'msg':_0x138719[_0x1df0f9(0x19eb)]?_0x138719[_0x1df0f9(0x19eb)]+_0x1df0f9(0x23e3):''});})['catch'](function(_0x165e63){const _0x5d658f=_0x3705f8;if(_0x165e63[_0x5d658f(0x524)]&&_0x165e63[_0x5d658f(0x524)][_0x5d658f(0xcef)]&&_0x165e63[_0x5d658f(0x524)][_0x5d658f(0xcef)][_0x5d658f(0x402)]){_0x487867[_0x5d658f(0xcef)]=_0x165e63['data']['errors']||[{'message':_0x165e63[_0x5d658f(0xd5f)](),'type':_0x5d658f(0x1d06)}];for(let _0x2e4757=0x0;_0x2e4757<_0x165e63[_0x5d658f(0x524)]['errors'][_0x5d658f(0x402)];_0x2e4757++){_0x221d5d[_0x5d658f(0x1980)]({'title':_0x165e63[_0x5d658f(0x524)][_0x5d658f(0xcef)][_0x2e4757][_0x5d658f(0x1142)],'msg':_0x165e63[_0x5d658f(0x524)]['errors'][_0x2e4757][_0x5d658f(0x7fd)]});}}else _0x221d5d['error']({'title':_0x165e63[_0x5d658f(0x107b)]?_0x5d658f(0x262a)+_0x165e63[_0x5d658f(0x107b)]+'\x20-\x20'+_0x165e63['statusText']:_0x5d658f(0x1d06),'msg':_0x165e63[_0x5d658f(0x524)]?JSON[_0x5d658f(0x10bb)](_0x165e63[_0x5d658f(0x524)][_0x5d658f(0x7fd)]):_0x165e63[_0x5d658f(0x7fd)]||_0x165e63[_0x5d658f(0xd5f)]()});});}function _0x398808(){const _0x13ef8b=_0x51380e,_0x538dc6=angular[_0x13ef8b(0x235a)](_0x487867[_0x13ef8b(0xf5)]);return _0x487867[_0x13ef8b(0xf5)]=[],_0x538dc6;}function _0x5d3a17(_0x13b5f6){const _0x1f10e6=_0x51380e,_0x4cfe54=_0xe9e77b[_0x1f10e6(0x1e8a)]()[_0x1f10e6(0x1189)](_0x1f10e6(0x1c60))[_0x1f10e6(0x1cbe)](_0x1f10e6(0x16d3)+_0x487867[_0x1f10e6(0xf5)][_0x1f10e6(0x402)]+_0x1f10e6(0x2452)+_0x1f10e6(0xe01))[_0x1f10e6(0x4bd)]('delete\x20Schedules')[_0x1f10e6(0x1f27)](_0x13b5f6)['ok']('OK')[_0x1f10e6(0x6c3)](_0x1f10e6(0x39a));_0xe9e77b[_0x1f10e6(0x2615)](_0x4cfe54)[_0x1f10e6(0x146b)](function(){const _0x353098=_0x1f10e6;_0x487867[_0x353098(0xf5)]['forEach'](function(_0x55cdaf){_0x561e30(_0x55cdaf);}),_0x487867[_0x353098(0xf5)]=[];});}function _0x5d1cf2(){const _0x5568ad=_0x51380e;_0x487867[_0x5568ad(0xf5)]=[];}function _0x30779a(){const _0x3df196=_0x51380e;_0x487867[_0x3df196(0xf5)]=_0x487867[_0x3df196(0x1f36)][_0x3df196(0x19c7)];}}const _0x494803=_0x190faf;;_0x22dd99[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),'$location',_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0xb02),_0x313a4d(0x126d),_0x313a4d(0x247f),'Auth',_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x22dd99(_0x1a0627,_0xa6acb1,_0x4b80f6,_0x47f4d2,_0x53a028,_0x374a62,_0x10fbbf,_0x438342,_0x1a8eb5,_0x51b589,_0x1f73e1,_0xa7fa37,_0x38d2ed,_0x435827){const _0x2729e5=_0x313a4d,_0x26971f=this;_0x26971f[_0x2729e5(0x2321)]=_0x1f73e1[_0x2729e5(0xb12)](),_0x26971f[_0x2729e5(0xcef)]=[],_0x26971f[_0x2729e5(0x15b9)]=_0x38d2ed,_0x26971f[_0x2729e5(0x2690)]=_0xa7fa37,_0x26971f['crudPermissions']=_0x435827,_0x26971f[_0x2729e5(0x855)]={},_0x26971f[_0x2729e5(0x2251)]=_0x26971f['setting']&&_0x26971f['setting'][_0x2729e5(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x26971f[_0x2729e5(0x1189)]=_0x2729e5(0x1dbd),_0x26971f['sound']=angular[_0x2729e5(0x235a)](_0x1a8eb5),_0x26971f[_0x2729e5(0xb02)]=_0x438342,_0x26971f[_0x2729e5(0xa82)]=![];!_0x26971f['sound']&&(_0x26971f[_0x2729e5(0x126d)]={},_0x26971f['title']=_0x2729e5(0x5e5),_0x26971f[_0x2729e5(0xa82)]=!![]);_0x26971f[_0x2729e5(0x205f)]=_0x3d1142,_0x26971f[_0x2729e5(0x1137)]=_0x18229e,_0x26971f[_0x2729e5(0xd1d)]=_0x2ab844,_0x26971f['getDateFromString']=_0x3fe369,_0x26971f[_0x2729e5(0x13f3)]=_0x4200d6;function _0x3d1142(){const _0x31af7c=_0x2729e5;_0x26971f['errors']=[],_0x51b589['sound']['save'](_0x26971f['sound'])[_0x31af7c(0x2945)][_0x31af7c(0x146b)](function(_0x51a75c){const _0x451149=_0x31af7c;_0x26971f[_0x451149(0xb02)][_0x451149(0xb3d)](_0x51a75c[_0x451149(0x2488)]()),_0x10fbbf[_0x451149(0x1c75)]({'title':'Sound\x20properly\x20created','msg':_0x26971f[_0x451149(0x126d)][_0x451149(0x19eb)]?_0x26971f['sound'][_0x451149(0x19eb)]+_0x451149(0x1386):''}),_0x4200d6(_0x51a75c);})[_0x31af7c(0x129e)](function(_0x25ca23){const _0xb4e23d=_0x31af7c;if(_0x25ca23[_0xb4e23d(0x524)]&&_0x25ca23[_0xb4e23d(0x524)]['errors']&&_0x25ca23[_0xb4e23d(0x524)]['errors']['length']){_0x26971f[_0xb4e23d(0xcef)]=_0x25ca23[_0xb4e23d(0x524)][_0xb4e23d(0xcef)]||[{'message':_0x25ca23[_0xb4e23d(0xd5f)](),'type':_0xb4e23d(0xe56)}];for(let _0x29e1b5=0x0;_0x29e1b5<_0x25ca23[_0xb4e23d(0x524)][_0xb4e23d(0xcef)][_0xb4e23d(0x402)];_0x29e1b5+=0x1){_0x10fbbf['error']({'title':_0x25ca23['data'][_0xb4e23d(0xcef)][_0x29e1b5][_0xb4e23d(0x1142)],'msg':_0x25ca23[_0xb4e23d(0x524)][_0xb4e23d(0xcef)][_0x29e1b5]['message']});}}else _0x10fbbf[_0xb4e23d(0x1980)]({'title':_0x25ca23[_0xb4e23d(0x107b)]?_0xb4e23d(0x262a)+_0x25ca23[_0xb4e23d(0x107b)]+'\x20-\x20'+_0x25ca23[_0xb4e23d(0x167f)]:'api.sound.save','msg':_0x25ca23[_0xb4e23d(0x524)]?JSON[_0xb4e23d(0x10bb)](_0x25ca23['data'][_0xb4e23d(0x7fd)]):_0x25ca23['toString']()});});}function _0x18229e(){const _0x1ff0d8=_0x2729e5;_0x26971f['errors']=[],_0x51b589[_0x1ff0d8(0x126d)][_0x1ff0d8(0x18e1)]({'id':_0x26971f['sound']['id']},_0x26971f[_0x1ff0d8(0x126d)])[_0x1ff0d8(0x2945)][_0x1ff0d8(0x146b)](function(_0x56dbfa){const _0xe1e38f=_0x1ff0d8,_0xe4685d=_0x3f65c0()[_0xe1e38f(0xc84)](_0x26971f[_0xe1e38f(0xb02)],{'id':_0x56dbfa['id']});_0xe4685d&&_0x3f65c0()[_0xe1e38f(0x168d)](_0xe4685d,_0x3f65c0()[_0xe1e38f(0x40e)](_0x56dbfa[_0xe1e38f(0x2488)](),_0x3f65c0()[_0xe1e38f(0x627)](_0xe4685d))),_0x10fbbf[_0xe1e38f(0x1c75)]({'title':'Sound\x20properly\x20saved!','msg':_0x26971f['sound'][_0xe1e38f(0x19eb)]?_0x26971f[_0xe1e38f(0x126d)][_0xe1e38f(0x19eb)]+_0xe1e38f(0x24db):''}),_0x4200d6(_0x56dbfa);})[_0x1ff0d8(0x129e)](function(_0x48f589){const _0x1cfdf6=_0x1ff0d8;if(_0x48f589['data']&&_0x48f589[_0x1cfdf6(0x524)][_0x1cfdf6(0xcef)]&&_0x48f589['data']['errors'][_0x1cfdf6(0x402)]){_0x26971f[_0x1cfdf6(0xcef)]=_0x48f589[_0x1cfdf6(0x524)][_0x1cfdf6(0xcef)]||[{'message':_0x48f589[_0x1cfdf6(0xd5f)](),'type':_0x1cfdf6(0x2486)}];for(let _0x19b55a=0x0;_0x19b55a<_0x48f589[_0x1cfdf6(0x524)]['errors'][_0x1cfdf6(0x402)];_0x19b55a++){_0x10fbbf['error']({'title':_0x48f589[_0x1cfdf6(0x524)][_0x1cfdf6(0xcef)][_0x19b55a][_0x1cfdf6(0x1142)],'msg':_0x48f589[_0x1cfdf6(0x524)]['errors'][_0x19b55a][_0x1cfdf6(0x7fd)]});}}else _0x10fbbf[_0x1cfdf6(0x1980)]({'title':_0x48f589[_0x1cfdf6(0x107b)]?_0x1cfdf6(0x262a)+_0x48f589[_0x1cfdf6(0x107b)]+_0x1cfdf6(0x1315)+_0x48f589[_0x1cfdf6(0x167f)]:'api.sound.update','msg':_0x48f589[_0x1cfdf6(0x524)]?JSON[_0x1cfdf6(0x10bb)](_0x48f589[_0x1cfdf6(0x524)][_0x1cfdf6(0x7fd)]):_0x48f589[_0x1cfdf6(0xd5f)]()});});}function _0x2ab844(_0x1fe622){const _0x47bf94=_0x2729e5;_0x26971f[_0x47bf94(0xcef)]=[];const _0x52ce65=_0x47f4d2[_0x47bf94(0x1e8a)]()[_0x47bf94(0x1189)](_0x47bf94(0x1d64))['content']('The\x20sound\x20will\x20be\x20deleted.')['ariaLabel'](_0x47bf94(0x1f82))['ok']('Delete')['cancel'](_0x47bf94(0xcf0))['targetEvent'](_0x1fe622);_0x47f4d2[_0x47bf94(0x2615)](_0x52ce65)['then'](function(){const _0x388ffd=_0x47bf94;_0x51b589[_0x388ffd(0x126d)][_0x388ffd(0x1fac)]({'id':_0x26971f[_0x388ffd(0x126d)]['id']})[_0x388ffd(0x2945)][_0x388ffd(0x146b)](function(){const _0x2dcaca=_0x388ffd;_0x3f65c0()[_0x2dcaca(0x2640)](_0x26971f[_0x2dcaca(0xb02)],{'id':_0x26971f['sound']['id']}),_0x10fbbf[_0x2dcaca(0x1c75)]({'title':_0x2dcaca(0x281e),'msg':(_0x26971f[_0x2dcaca(0x126d)][_0x2dcaca(0x19eb)]||'sound')+_0x2dcaca(0x23e3)}),_0x4200d6(_0x26971f[_0x2dcaca(0x126d)]);})['catch'](function(_0x4dc074){const _0x47d19b=_0x388ffd;if(_0x4dc074[_0x47d19b(0x524)]&&_0x4dc074[_0x47d19b(0x524)][_0x47d19b(0xcef)]&&_0x4dc074['data'][_0x47d19b(0xcef)][_0x47d19b(0x402)]){_0x26971f[_0x47d19b(0xcef)]=_0x4dc074['data'][_0x47d19b(0xcef)]||[{'message':_0x4dc074[_0x47d19b(0xd5f)](),'type':_0x47d19b(0x21a)}];for(let _0x47f2b6=0x0;_0x47f2b6<_0x4dc074[_0x47d19b(0x524)]['errors'][_0x47d19b(0x402)];_0x47f2b6++){_0x10fbbf[_0x47d19b(0x1980)]({'title':_0x4dc074[_0x47d19b(0x524)][_0x47d19b(0xcef)][_0x47f2b6]['type'],'msg':_0x4dc074[_0x47d19b(0x524)][_0x47d19b(0xcef)][_0x47f2b6][_0x47d19b(0x7fd)]});}}else _0x10fbbf[_0x47d19b(0x1980)]({'title':_0x4dc074[_0x47d19b(0x107b)]?_0x47d19b(0x262a)+_0x4dc074[_0x47d19b(0x107b)]+_0x47d19b(0x1315)+_0x4dc074['statusText']:_0x47d19b(0x21a),'msg':_0x4dc074[_0x47d19b(0x524)]?JSON[_0x47d19b(0x10bb)](_0x4dc074['data'][_0x47d19b(0x7fd)]):_0x4dc074[_0x47d19b(0x7fd)]||_0x4dc074['toString']()});});},function(){});}function _0x3fe369(_0x47ee24){return _0x47ee24===null?undefined:new Date(_0x47ee24);}function _0x4200d6(_0x2484f7){const _0x33623e=_0x2729e5;_0x47f4d2[_0x33623e(0x2458)](_0x2484f7);}}const _0x564bc7=_0x22dd99;;const _0x1b262a=_0x4acfac['p']+'src/js/modules/main/apps/tools/views/sounds/create/dialog.html/dialog.html';;const _0x5093b8=_0x4acfac['p']+'src/js/modules/main/apps/tools/views/sounds/upload/dialog.html/dialog.html';;_0x326c93[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),'$document',_0x313a4d(0x1abe),_0x313a4d(0x214b),_0x313a4d(0xb02),_0x313a4d(0x26b6),'userProfileSection',_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x2690),'setting'];function _0x326c93(_0x3239d7,_0x36474f,_0x4e602b,_0x7e9538,_0x3edf22,_0x40f3cf,_0x576a43,_0x3b5e2e,_0x25f13c,_0x2fd5b5,_0x5224d3,_0x42c442,_0x2d8b27,_0x2fcf5a,_0x58e2ee,_0x678c95,_0x2bed10){const _0x2fef2b=_0x313a4d,_0x357fef=this;_0x357fef[_0x2fef2b(0x2690)]=_0x678c95,_0x357fef[_0x2fef2b(0x15b9)]=_0x2bed10,_0x357fef[_0x2fef2b(0x2321)]=_0x58e2ee[_0x2fef2b(0xb12)](),_0x357fef[_0x2fef2b(0xb02)]=_0x25f13c||{'count':0x0,'rows':[]},_0x357fef['userProfile']=_0x2fd5b5,_0x357fef[_0x2fef2b(0x1366)]=_0x5224d3&&_0x5224d3['count']==0x1?_0x5224d3[_0x2fef2b(0x19c7)][0x0]:null,_0x357fef[_0x2fef2b(0x2514)]=_0x58e2ee['parseCrudPermissions'](_0x357fef[_0x2fef2b(0x1366)]?_0x357fef[_0x2fef2b(0x1366)][_0x2fef2b(0x2514)]:null),_0x357fef[_0x2fef2b(0x768)]=_0x2fef2b(0xb02),_0x357fef[_0x2fef2b(0x216a)]='',_0x357fef[_0x2fef2b(0x214f)]=null,_0x357fef[_0x2fef2b(0x204b)]=[],_0x357fef[_0x2fef2b(0x1a56)]={'fields':'createdAt,updatedAt,id,save_name,converted_format,name,audio,description','sort':'-updatedAt','limit':0xa,'page':0x1},_0x357fef[_0x2fef2b(0x2ed)]=_0x2532e8,_0x357fef[_0x2fef2b(0xe49)]=_0x37069a,_0x357fef[_0x2fef2b(0xf0a)]=_0x5f6af2,_0x357fef[_0x2fef2b(0x1c75)]=_0x616fea,_0x357fef[_0x2fef2b(0x2208)]=_0x35601a,_0x357fef['createOrEditSound']=_0x3df48d,_0x357fef['deleteSound']=_0x28013c,_0x357fef[_0x2fef2b(0x2f2)]=_0x53b707,_0x357fef['deleteSelectedSounds']=_0x2305cd,_0x357fef[_0x2fef2b(0x135)]=_0x16c2a0,_0x357fef[_0x2fef2b(0xcd0)]=_0x590065,_0x357fef[_0x2fef2b(0x2771)]=_0x495e57;function _0x2532e8(_0xbf5cc0,_0x4cb1a2){const _0x534b37=_0x2fef2b;_0x3edf22[_0x534b37(0x2615)]({'controller':'CreateOrEditSoundDialogController','controllerAs':'vm','templateUrl':_0x1b262a,'parent':angular['element'](_0x40f3cf[_0x534b37(0x2586)]),'targetEvent':_0x4cb1a2,'clickOutsideToClose':!![],'locals':{'sound':_0xbf5cc0,'sounds':_0x357fef['sounds']['rows'],'license':_0x357fef[_0x534b37(0x2690)],'setting':null,'crudPermissions':_0x357fef['crudPermissions']}});}function _0x37069a(_0x324722){const _0xa6df69=_0x2fef2b;return _0x42c442['sound']['download']({'id':_0x324722['id']})[_0xa6df69(0x2945)]['then'](function(_0x5db06c){const _0x19ac3b=_0xa6df69,_0x108bf2=[_0x5db06c[_0x19ac3b(0x2eb)]];let _0x5ceacd='download';const _0x46102f=new Blob(_0x108bf2,{'type':_0x5db06c[_0x19ac3b(0x1142)]});_0x5ceacd=_0x324722['converted_format']?_0x324722[_0x19ac3b(0xa3d)]+'.'+_0x324722['converted_format']:_0x324722[_0x19ac3b(0xa3d)];const _0x2d03c7=window[_0x19ac3b(0xef3)][_0x19ac3b(0x24ec)]('a');_0x2d03c7[_0x19ac3b(0x1652)](_0x19ac3b(0x1b3c),URL[_0x19ac3b(0x1c58)](_0x46102f)),_0x2d03c7[_0x19ac3b(0x1652)](_0x19ac3b(0x15b1),_0x5ceacd),document['body'][_0x19ac3b(0x23e)](_0x2d03c7),_0x2d03c7['click']();})[_0xa6df69(0x129e)](function(_0x5cfa57){const _0x3ca5d5=_0xa6df69;if(_0x5cfa57[_0x3ca5d5(0x524)]&&_0x5cfa57[_0x3ca5d5(0x524)][_0x3ca5d5(0xcef)]&&_0x5cfa57[_0x3ca5d5(0x524)][_0x3ca5d5(0xcef)][_0x3ca5d5(0x402)])for(let _0x52c80d=0x0;_0x52c80d<_0x5cfa57['data'][_0x3ca5d5(0xcef)][_0x3ca5d5(0x402)];_0x52c80d++){_0x2fcf5a[_0x3ca5d5(0x1980)]({'title':_0x5cfa57['data'][_0x3ca5d5(0xcef)][_0x52c80d][_0x3ca5d5(0x1142)],'msg':_0x5cfa57[_0x3ca5d5(0x524)][_0x3ca5d5(0xcef)][_0x52c80d][_0x3ca5d5(0x7fd)]});}else _0x2fcf5a[_0x3ca5d5(0x1980)]({'title':_0x5cfa57[_0x3ca5d5(0x107b)]?_0x3ca5d5(0x262a)+_0x5cfa57[_0x3ca5d5(0x107b)]+'\x20-\x20'+_0x5cfa57['statusText']:_0x3ca5d5(0xe56),'msg':_0x5cfa57[_0x3ca5d5(0x524)]?JSON[_0x3ca5d5(0x10bb)](_0x5cfa57[_0x3ca5d5(0x524)][_0x3ca5d5(0x7fd)]):_0x5cfa57[_0x3ca5d5(0xd5f)]()});});}function _0x5f6af2(_0xe90578,_0x243a5f){const _0x3ce94d=_0x2fef2b,_0x5b8421=_0x3edf22[_0x3ce94d(0x1e8a)]()[_0x3ce94d(0x1189)](_0x3ce94d(0xdb2)+_0x3f65c0()[_0x3ce94d(0x20d1)](_0x3ce94d(0x126d))+'?')['htmlContent'](_0x3ce94d(0x16d3)+(_0xe90578[_0x3ce94d(0x19eb)]||_0x3ce94d(0x126d))+_0x3ce94d(0x252f)+'\x20will\x20be\x20deleted.')[_0x3ce94d(0x4bd)]('delete\x20sound')[_0x3ce94d(0x1f27)](_0x243a5f)['ok']('OK')[_0x3ce94d(0x6c3)](_0x3ce94d(0x39a));_0x3edf22['show'](_0x5b8421)[_0x3ce94d(0x146b)](function(){_0x28013c(_0xe90578);},function(){const _0x3ce8ab=_0x3ce94d;console[_0x3ce8ab(0x1a74)]('CANCEL');});}let _0x5ef2e9=!![],_0x63a500=0x1;_0x3239d7[_0x2fef2b(0x21e8)](_0x2fef2b(0x2669),function(_0xc31f39,_0x1433b9){const _0x4b11f7=_0x2fef2b;_0x5ef2e9?_0x576a43(function(){_0x5ef2e9=![];}):(!_0x1433b9&&(_0x63a500=_0x357fef[_0x4b11f7(0x1a56)][_0x4b11f7(0x844)]),_0xc31f39!==_0x1433b9&&(_0x357fef[_0x4b11f7(0x1a56)]['page']=0x1),!_0xc31f39&&(_0x357fef['query'][_0x4b11f7(0x844)]=_0x63a500),_0x357fef['getSounds']());});function _0x616fea(_0x48c00b){_0x357fef['sounds']=_0x48c00b||{'count':0x0,'rows':[]};}function _0x35601a(){const _0x22f532=_0x2fef2b;_0x357fef[_0x22f532(0x1a56)][_0x22f532(0x145d)]=(_0x357fef[_0x22f532(0x1a56)]['page']-0x1)*_0x357fef[_0x22f532(0x1a56)][_0x22f532(0x221e)],_0x58e2ee[_0x22f532(0x23e0)](_0x22f532(0x174b))?_0x357fef[_0x22f532(0xb9c)]=_0x42c442[_0x22f532(0x126d)][_0x22f532(0x16b4)](_0x357fef['query'],_0x616fea)['$promise']:(_0x357fef['query']['id']=_0x357fef[_0x22f532(0x26b6)]['id'],_0x357fef['query']['section']=_0x22f532(0x175f),_0x357fef[_0x22f532(0xb9c)]=_0x42c442[_0x22f532(0x26b6)][_0x22f532(0x158f)](_0x357fef['query'],_0x616fea)[_0x22f532(0x2945)]);}function _0x3df48d(_0x5374f5,_0x42819d){const _0x1e4850=_0x2fef2b;_0x3edf22[_0x1e4850(0x2615)]({'controller':_0x1e4850(0x2808),'controllerAs':'vm','templateUrl':_0x1b262a,'parent':angular[_0x1e4850(0x1853)](_0x40f3cf[_0x1e4850(0x2586)]),'targetEvent':_0x5374f5,'clickOutsideToClose':!![],'locals':{'sound':_0x42819d,'sounds':_0x357fef['sounds']['rows'],'license':_0x357fef['license'],'setting':_0x357fef[_0x1e4850(0x15b9)],'crudPermissions':_0x357fef[_0x1e4850(0x2514)]}});}function _0x28013c(_0x180b72){const _0x350012=_0x2fef2b;_0x42c442['sound'][_0x350012(0x1fac)]({'id':_0x180b72['id']})[_0x350012(0x2945)]['then'](function(){const _0x96f27c=_0x350012;_0x3f65c0()['remove'](_0x357fef[_0x96f27c(0xb02)][_0x96f27c(0x19c7)],{'id':_0x180b72['id']}),_0x357fef[_0x96f27c(0xb02)][_0x96f27c(0x51c)]-=0x1,!_0x357fef['sounds'][_0x96f27c(0x19c7)][_0x96f27c(0x402)]&&_0x357fef[_0x96f27c(0x2208)](),_0x2fcf5a[_0x96f27c(0x1c75)]({'title':_0x3f65c0()[_0x96f27c(0x20d1)](_0x96f27c(0x426))+_0x96f27c(0x201c),'msg':_0x180b72[_0x96f27c(0x19eb)]?_0x180b72[_0x96f27c(0x19eb)]+_0x96f27c(0x23e3):''});})[_0x350012(0x129e)](function(_0x463338){const _0x54db9d=_0x350012;if(_0x463338[_0x54db9d(0x524)]&&_0x463338['data']['errors']&&_0x463338[_0x54db9d(0x524)]['errors'][_0x54db9d(0x402)]){_0x357fef[_0x54db9d(0xcef)]=_0x463338[_0x54db9d(0x524)][_0x54db9d(0xcef)]||[{'message':_0x463338[_0x54db9d(0xd5f)](),'type':_0x54db9d(0xa85)}];for(let _0x2de3f1=0x0;_0x2de3f1<_0x463338[_0x54db9d(0x524)][_0x54db9d(0xcef)][_0x54db9d(0x402)];_0x2de3f1++){_0x2fcf5a[_0x54db9d(0x1980)]({'title':_0x463338['data'][_0x54db9d(0xcef)][_0x2de3f1][_0x54db9d(0x1142)],'msg':_0x463338[_0x54db9d(0x524)][_0x54db9d(0xcef)][_0x2de3f1][_0x54db9d(0x7fd)]});}}else _0x2fcf5a[_0x54db9d(0x1980)]({'title':_0x463338['status']?_0x54db9d(0x262a)+_0x463338[_0x54db9d(0x107b)]+_0x54db9d(0x1315)+_0x463338['statusText']:_0x54db9d(0xa85),'msg':_0x463338['data']?JSON[_0x54db9d(0x10bb)](_0x463338['data'][_0x54db9d(0x7fd)]):_0x463338[_0x54db9d(0x7fd)]||_0x463338[_0x54db9d(0xd5f)]()});});}function _0x53b707(){const _0x373e82=_0x2fef2b,_0x2f4364=angular[_0x373e82(0x235a)](_0x357fef[_0x373e82(0x204b)]);return _0x357fef['selectedSounds']=[],_0x2f4364;}function _0x2305cd(_0x273909){const _0x2aeef6=_0x2fef2b,_0x55e771=_0x3edf22[_0x2aeef6(0x1e8a)]()[_0x2aeef6(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20sounds?')[_0x2aeef6(0x1cbe)](_0x2aeef6(0x16d3)+_0x357fef['selectedSounds'][_0x2aeef6(0x402)]+_0x2aeef6(0x2452)+'\x20will\x20be\x20deleted.')[_0x2aeef6(0x4bd)](_0x2aeef6(0xbcb))['targetEvent'](_0x273909)['ok']('OK')[_0x2aeef6(0x6c3)]('CANCEL');_0x3edf22[_0x2aeef6(0x2615)](_0x55e771)['then'](function(){const _0x482d3f=_0x2aeef6;_0x357fef['selectedSounds'][_0x482d3f(0x1df5)](function(_0x34ee64){_0x28013c(_0x34ee64);}),_0x357fef[_0x482d3f(0x204b)]=[];});}function _0x16c2a0(){_0x357fef['selectedSounds']=[];}function _0x590065(){const _0x5717cd=_0x2fef2b;_0x357fef['selectedSounds']=_0x357fef[_0x5717cd(0xb02)][_0x5717cd(0x19c7)];}function _0x495e57(_0x46bdd5){const _0x56b776=_0x2fef2b;_0x3edf22[_0x56b776(0x2615)]({'controller':_0x56b776(0x63d),'controllerAs':'vm','templateUrl':_0x5093b8,'parent':angular['element'](_0x40f3cf[_0x56b776(0x2586)]),'targetEvent':_0x46bdd5,'clickOutsideToClose':!![],'locals':{'sounds':_0x357fef[_0x56b776(0xb02)][_0x56b776(0x19c7)]}});}}const _0x3de211=_0x326c93;;_0x1f87d6[_0x313a4d(0x11c2)]=['$cookies',_0x313a4d(0x10e8),_0x313a4d(0x1fe4),_0x313a4d(0xb02),_0x313a4d(0x247f),_0x313a4d(0xa87)];function _0x1f87d6(_0x21f3cd,_0x47a191,_0x66a36,_0x5bbbe6,_0x472278,_0x232bcd){const _0x4f90df=_0x313a4d,_0x10b155=this,_0xb351f5=0xf,_0x1a0757=_0xb351f5*0x400*0x400;_0x10b155[_0x4f90df(0x2321)]=_0x232bcd[_0x4f90df(0xb12)](),_0x10b155[_0x4f90df(0xcef)]=[],_0x10b155[_0x4f90df(0x1189)]=_0x4f90df(0x31e),_0x10b155[_0x4f90df(0xb02)]=_0x5bbbe6,_0x10b155['uploadSounds']=[],_0x10b155[_0x4f90df(0x211b)]=_0x4f90df(0x1642),_0x10b155[_0x4f90df(0x126d)]={},_0x10b155['ngFlowOptions']={'maxChunkRetries':0x1,'chunkSize':_0x1a0757,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![],'target':'api/sounds'},_0x10b155['ngFlow']={'flow':{}},_0x10b155[_0x4f90df(0x240d)]=![],_0x10b155[_0x4f90df(0x143d)]=_0x5ddc90,_0x10b155[_0x4f90df(0x1dc6)]=_0x2029a8,_0x10b155['fileSuccess']=_0x4dc968,_0x10b155[_0x4f90df(0x1897)]=_0x446b81,_0x10b155['uploadComplete']=_0x59dff2,_0x10b155['closeDialog']=_0x3a46ed;function _0x5ddc90(_0x1d48b0){const _0x24bd6f=_0x4f90df,_0x22a056=[_0x24bd6f(0x52d),_0x24bd6f(0x499),_0x24bd6f(0xdf0)];if(!_0x3f65c0()['includes'](_0x22a056,_0x1d48b0[_0x24bd6f(0x193f)]()))return _0x66a36[_0x24bd6f(0x1980)]({'title':'Invalid\x20extension:\x20'+_0x1d48b0[_0x24bd6f(0x193f)](),'msg':_0x24bd6f(0x208f)+_0x22a056['join']()}),![];if(_0x1d48b0[_0x24bd6f(0x4cc)]>_0x1a0757)return _0x66a36['error']({'title':'File\x20too\x20big','msg':_0x24bd6f(0x923)+_0xb351f5+'MB'}),![];return _0x10b155[_0x24bd6f(0x126d)]={'id':_0x1d48b0[_0x24bd6f(0x1276)],'file':_0x1d48b0},_0x10b155['uploadSounds'][_0x24bd6f(0xb3d)](_0x10b155[_0x24bd6f(0x126d)]),!![];}function _0x2029a8(){const _0x1b7d2f=_0x4f90df;_0x10b155[_0x1b7d2f(0x211b)]=_0x1b7d2f(0x159c),_0x10b155[_0x1b7d2f(0x1376)][_0x1b7d2f(0x963)]['opts'][_0x1b7d2f(0xa01)]={'X-Requested-With':'XMLHttpRequest','Authorization':_0x1b7d2f(0x2745)+_0x21f3cd['get'](_0x1b7d2f(0xe2d))},_0x10b155['ngFlow']['flow'][_0x1b7d2f(0x1da1)][_0x1b7d2f(0x1a56)]={'role':_0x10b155['currentUser'][_0x1b7d2f(0xfb0)],'userProfileId':_0x10b155[_0x1b7d2f(0x2321)][_0x1b7d2f(0x209a)]},_0x10b155[_0x1b7d2f(0x1376)][_0x1b7d2f(0x963)][_0x1b7d2f(0x1dc6)]();}function _0x4dc968(_0x143ffe,_0x5a05eb){const _0x1057ff=_0x4f90df;_0x10b155['sounds'][_0x1057ff(0xb3d)](JSON[_0x1057ff(0x975)](_0x5a05eb)),angular[_0x1057ff(0x1df5)](_0x10b155[_0x1057ff(0x13a5)],function(_0x3b11e8){const _0x53a1b7=_0x1057ff;if(_0x3b11e8['id']===_0x143ffe[_0x53a1b7(0x1276)]){const _0x396f47=new FileReader();_0x396f47[_0x53a1b7(0xa0c)](_0x3b11e8[_0x53a1b7(0x252e)]['file']),_0x396f47[_0x53a1b7(0x20f6)]=function(_0x74ef8c){const _0x48cbdf=_0x53a1b7;_0x3b11e8['url']=_0x74ef8c['target'][_0x48cbdf(0x61a)];};}});}function _0x446b81(){const _0x4c0839=_0x4f90df;_0x10b155['ngFlow'][_0x4c0839(0x963)]['cancel'](),_0x66a36['error']({'title':_0x4c0839(0x2645),'msg':_0x4c0839(0x1319)}),_0x10b155[_0x4c0839(0x211b)]=_0x4c0839(0x18de);}function _0x59dff2(){const _0xba5bc8=_0x4f90df;_0x10b155[_0xba5bc8(0x211b)]!=='failed'&&(_0x10b155[_0xba5bc8(0x211b)]=_0xba5bc8(0x1b62),_0x66a36[_0xba5bc8(0x1c75)]({'title':_0xba5bc8(0xd31),'msg':_0x10b155[_0xba5bc8(0x126d)]['file'][_0xba5bc8(0x19eb)]+'\x20uploaded\x20successfully'}));}function _0x3a46ed(){const _0x439dba=_0x4f90df;_0x47a191[_0x439dba(0x2458)]();}}const _0x33741a=_0x1f87d6;;_0x530126[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x1b86),'tag',_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),'crudPermissions'];function _0x530126(_0x1caaca,_0x4d4f8e,_0x5dec9f,_0x1f1441,_0x3c1ef6,_0x2d8760,_0x518ff5,_0x19919b,_0x181943,_0x4994d8,_0x38008d,_0x5c083b,_0x783fbd,_0x28c91f){const _0x1bf203=_0x313a4d,_0x31d022=this;_0x31d022[_0x1bf203(0x2321)]=_0x38008d[_0x1bf203(0xb12)](),_0x31d022[_0x1bf203(0xcef)]=[],_0x31d022[_0x1bf203(0x15b9)]=_0x783fbd,_0x31d022[_0x1bf203(0x2690)]=_0x5c083b,_0x31d022[_0x1bf203(0x2514)]=_0x28c91f,_0x31d022[_0x1bf203(0x855)]={},_0x31d022[_0x1bf203(0x2251)]=_0x31d022[_0x1bf203(0x15b9)]&&_0x31d022[_0x1bf203(0x15b9)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x31d022['title']=_0x1bf203(0x2355),_0x31d022['tag']=angular[_0x1bf203(0x235a)](_0x181943),_0x31d022['tags']=_0x19919b,_0x31d022['newTag']=![];!_0x31d022[_0x1bf203(0xa80)]&&(_0x31d022[_0x1bf203(0xa80)]={},_0x31d022['title']='TOOLS.NEW_TAG',_0x31d022['newTag']=!![]);_0x31d022[_0x1bf203(0x431)]=_0x3d1e0f,_0x31d022[_0x1bf203(0x236b)]=_0x3b5ccf,_0x31d022[_0x1bf203(0x7a6)]=_0x2e5c23,_0x31d022['getDateFromString']=_0x27e7fa,_0x31d022[_0x1bf203(0x13f3)]=_0x569906;function _0x3d1e0f(){const _0x592987=_0x1bf203;_0x31d022[_0x592987(0xcef)]=[],_0x4994d8[_0x592987(0xa80)][_0x592987(0x1e3)](_0x31d022[_0x592987(0xa80)])['$promise'][_0x592987(0x146b)](function(_0x1ff5c4){const _0x57eef=_0x592987;_0x31d022[_0x57eef(0x1b86)][_0x57eef(0xb3d)](_0x1ff5c4[_0x57eef(0x2488)]()),_0x518ff5[_0x57eef(0x1c75)]({'title':_0x57eef(0x24d3),'msg':_0x31d022[_0x57eef(0xa80)][_0x57eef(0x19eb)]?_0x31d022[_0x57eef(0xa80)][_0x57eef(0x19eb)]+_0x57eef(0x1386):''}),_0x569906(_0x1ff5c4);})['catch'](function(_0x4d1083){const _0x2d2414=_0x592987;if(_0x4d1083[_0x2d2414(0x524)]&&_0x4d1083[_0x2d2414(0x524)][_0x2d2414(0xcef)]&&_0x4d1083[_0x2d2414(0x524)][_0x2d2414(0xcef)][_0x2d2414(0x402)]){_0x31d022[_0x2d2414(0xcef)]=_0x4d1083[_0x2d2414(0x524)][_0x2d2414(0xcef)]||[{'message':_0x4d1083[_0x2d2414(0xd5f)](),'type':_0x2d2414(0x1ba9)}];for(let _0xf1269f=0x0;_0xf1269f<_0x4d1083['data']['errors']['length'];_0xf1269f+=0x1){_0x518ff5['error']({'title':_0x4d1083[_0x2d2414(0x524)][_0x2d2414(0xcef)][_0xf1269f][_0x2d2414(0x1142)],'msg':_0x4d1083[_0x2d2414(0x524)][_0x2d2414(0xcef)][_0xf1269f]['message']});}}else _0x518ff5[_0x2d2414(0x1980)]({'title':_0x4d1083[_0x2d2414(0x107b)]?_0x2d2414(0x262a)+_0x4d1083[_0x2d2414(0x107b)]+_0x2d2414(0x1315)+_0x4d1083['statusText']:'api.tag.save','msg':_0x4d1083[_0x2d2414(0x524)]?JSON['stringify'](_0x4d1083['data']['message']):_0x4d1083[_0x2d2414(0xd5f)]()});});}function _0x3b5ccf(){const _0x2839d1=_0x1bf203;_0x31d022[_0x2839d1(0xcef)]=[],_0x4994d8['tag'][_0x2839d1(0x18e1)]({'id':_0x31d022[_0x2839d1(0xa80)]['id']},_0x31d022[_0x2839d1(0xa80)])[_0x2839d1(0x2945)][_0x2839d1(0x146b)](function(_0x2aa12b){const _0x5f0891=_0x2839d1,_0x409907=_0x3f65c0()[_0x5f0891(0xc84)](_0x31d022[_0x5f0891(0x1b86)],{'id':_0x2aa12b['id']});_0x409907&&_0x3f65c0()['merge'](_0x409907,_0x3f65c0()[_0x5f0891(0x40e)](_0x2aa12b[_0x5f0891(0x2488)](),_0x3f65c0()['keys'](_0x409907))),_0x518ff5[_0x5f0891(0x1c75)]({'title':_0x5f0891(0x234),'msg':_0x31d022['tag'][_0x5f0891(0x19eb)]?_0x31d022['tag'][_0x5f0891(0x19eb)]+_0x5f0891(0x24db):''}),_0x569906(_0x2aa12b);})[_0x2839d1(0x129e)](function(_0x11eaf5){const _0x3bb271=_0x2839d1;if(_0x11eaf5['data']&&_0x11eaf5['data'][_0x3bb271(0xcef)]&&_0x11eaf5[_0x3bb271(0x524)][_0x3bb271(0xcef)][_0x3bb271(0x402)]){_0x31d022['errors']=_0x11eaf5[_0x3bb271(0x524)][_0x3bb271(0xcef)]||[{'message':_0x11eaf5['toString'](),'type':_0x3bb271(0x1af4)}];for(let _0x1e24b4=0x0;_0x1e24b4<_0x11eaf5[_0x3bb271(0x524)][_0x3bb271(0xcef)]['length'];_0x1e24b4++){_0x518ff5['error']({'title':_0x11eaf5[_0x3bb271(0x524)][_0x3bb271(0xcef)][_0x1e24b4][_0x3bb271(0x1142)],'msg':_0x11eaf5[_0x3bb271(0x524)][_0x3bb271(0xcef)][_0x1e24b4]['message']});}}else _0x518ff5[_0x3bb271(0x1980)]({'title':_0x11eaf5[_0x3bb271(0x107b)]?'API:'+_0x11eaf5[_0x3bb271(0x107b)]+_0x3bb271(0x1315)+_0x11eaf5['statusText']:'api.tag.update','msg':_0x11eaf5[_0x3bb271(0x524)]?JSON[_0x3bb271(0x10bb)](_0x11eaf5[_0x3bb271(0x524)][_0x3bb271(0x7fd)]):_0x11eaf5[_0x3bb271(0xd5f)]()});});}function _0x2e5c23(_0x11b45e){const _0x1edaed=_0x1bf203;_0x31d022[_0x1edaed(0xcef)]=[];const _0x3e043f=_0x1f1441['confirm']()[_0x1edaed(0x1189)](_0x1edaed(0x1d64))[_0x1edaed(0x80f)]('The\x20tag\x20will\x20be\x20deleted.')['ariaLabel']('Delete\x20Tag')['ok'](_0x1edaed(0x25de))[_0x1edaed(0x6c3)]('Cancel')[_0x1edaed(0x1f27)](_0x11b45e);_0x1f1441['show'](_0x3e043f)['then'](function(){const _0x2b51ba=_0x1edaed;_0x4994d8[_0x2b51ba(0xa80)][_0x2b51ba(0x1fac)]({'id':_0x31d022[_0x2b51ba(0xa80)]['id']})[_0x2b51ba(0x2945)][_0x2b51ba(0x146b)](function(){const _0x5f4f83=_0x2b51ba;_0x3f65c0()[_0x5f4f83(0x2640)](_0x31d022['tags'],{'id':_0x31d022[_0x5f4f83(0xa80)]['id']}),_0x518ff5[_0x5f4f83(0x1c75)]({'title':_0x5f4f83(0x16c),'msg':(_0x31d022['tag'][_0x5f4f83(0x19eb)]||_0x5f4f83(0xa80))+_0x5f4f83(0x23e3)}),_0x569906(_0x31d022['tag']);})['catch'](function(_0x17f339){const _0x11692c=_0x2b51ba;if(_0x17f339[_0x11692c(0x524)]&&_0x17f339[_0x11692c(0x524)][_0x11692c(0xcef)]&&_0x17f339[_0x11692c(0x524)][_0x11692c(0xcef)][_0x11692c(0x402)]){_0x31d022['errors']=_0x17f339[_0x11692c(0x524)][_0x11692c(0xcef)]||[{'message':_0x17f339[_0x11692c(0xd5f)](),'type':'api.tag.delete'}];for(let _0x284e3d=0x0;_0x284e3d<_0x17f339[_0x11692c(0x524)][_0x11692c(0xcef)]['length'];_0x284e3d++){_0x518ff5[_0x11692c(0x1980)]({'title':_0x17f339[_0x11692c(0x524)][_0x11692c(0xcef)][_0x284e3d][_0x11692c(0x1142)],'msg':_0x17f339[_0x11692c(0x524)][_0x11692c(0xcef)][_0x284e3d]['message']});}}else _0x518ff5['error']({'title':_0x17f339[_0x11692c(0x107b)]?_0x11692c(0x262a)+_0x17f339[_0x11692c(0x107b)]+_0x11692c(0x1315)+_0x17f339[_0x11692c(0x167f)]:_0x11692c(0x1e7d),'msg':_0x17f339[_0x11692c(0x524)]?JSON[_0x11692c(0x10bb)](_0x17f339[_0x11692c(0x524)][_0x11692c(0x7fd)]):_0x17f339[_0x11692c(0x7fd)]||_0x17f339[_0x11692c(0xd5f)]()});});},function(){});}function _0x27e7fa(_0x1b8289){return _0x1b8289===null?undefined:new Date(_0x1b8289);}function _0x569906(_0x3ba413){const _0x485f8b=_0x1bf203;_0x1f1441[_0x485f8b(0x2458)](_0x3ba413);}}const _0x54b692=_0x530126;;const _0x3a5178=_0x4acfac['p']+_0x313a4d(0x1dec);;_0x317766['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0x214b),_0x313a4d(0x1b86),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),'msUtils',_0x313a4d(0x1fe4),'Auth',_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x317766(_0x3ef373,_0x58051a,_0x348549,_0x234e82,_0x32ea12,_0x32d335,_0x10fde6,_0x273b62,_0xf73169,_0x58940b,_0x1fb94d,_0x28a55e,_0x266481,_0x4f9b2a,_0x2b5c40,_0x4504fa,_0x31b95c){const _0x2e74b4=_0x313a4d,_0x3ddb42=this;_0x3ddb42[_0x2e74b4(0x2690)]=_0x4504fa,_0x3ddb42[_0x2e74b4(0x15b9)]=_0x31b95c,_0x3ddb42[_0x2e74b4(0x2321)]=_0x2b5c40[_0x2e74b4(0xb12)](),_0x3ddb42['tags']=_0xf73169||{'count':0x0,'rows':[]},_0x3ddb42[_0x2e74b4(0x26b6)]=_0x58940b,_0x3ddb42[_0x2e74b4(0x1366)]=_0x1fb94d&&_0x1fb94d[_0x2e74b4(0x51c)]==0x1?_0x1fb94d[_0x2e74b4(0x19c7)][0x0]:null,_0x3ddb42[_0x2e74b4(0x2514)]=_0x2b5c40[_0x2e74b4(0xe60)](_0x3ddb42[_0x2e74b4(0x1366)]?_0x3ddb42[_0x2e74b4(0x1366)]['crudPermissions']:null),_0x3ddb42[_0x2e74b4(0x768)]=_0x2e74b4(0x1b86),_0x3ddb42['listOrder']='',_0x3ddb42['listOrderAsc']=null,_0x3ddb42[_0x2e74b4(0x2323)]=[],_0x3ddb42[_0x2e74b4(0x1a56)]={'fields':_0x2e74b4(0x1099),'sort':'-updatedAt','limit':0xa,'page':0x1},_0x3ddb42[_0x2e74b4(0x2ed)]=_0xd52029,_0x3ddb42[_0x2e74b4(0xf0a)]=_0x20a968,_0x3ddb42[_0x2e74b4(0x1c75)]=_0x3d9f99,_0x3ddb42[_0x2e74b4(0x16ee)]=_0x2367d1,_0x3ddb42[_0x2e74b4(0x16db)]=_0x3914fd,_0x3ddb42[_0x2e74b4(0x7a6)]=_0x37c67a,_0x3ddb42[_0x2e74b4(0x194b)]=_0x142593,_0x3ddb42[_0x2e74b4(0x1e80)]=_0x3af905,_0x3ddb42[_0x2e74b4(0xfc8)]=_0x34eebc,_0x3ddb42['selectAllTags']=_0x500999;function _0xd52029(_0x1a828c,_0x34b667){const _0x109449=_0x2e74b4;_0x32ea12[_0x109449(0x2615)]({'controller':'CreateOrEditTagDialogController','controllerAs':'vm','templateUrl':_0x3a5178,'parent':angular[_0x109449(0x1853)](_0x32d335[_0x109449(0x2586)]),'targetEvent':_0x34b667,'clickOutsideToClose':!![],'locals':{'tag':_0x1a828c,'tags':_0x3ddb42[_0x109449(0x1b86)][_0x109449(0x19c7)],'license':_0x3ddb42['license'],'setting':null,'crudPermissions':_0x3ddb42['crudPermissions']}});}function _0x20a968(_0x23ee44,_0x58dda8){const _0x43f4c6=_0x2e74b4,_0x45e4ac=_0x32ea12[_0x43f4c6(0x1e8a)]()[_0x43f4c6(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20'+_0x3f65c0()['startCase'](_0x43f4c6(0xa80))+'?')['htmlContent'](_0x43f4c6(0x16d3)+(_0x23ee44[_0x43f4c6(0x19eb)]||_0x43f4c6(0xa80))+_0x43f4c6(0x252f)+_0x43f4c6(0xe01))[_0x43f4c6(0x4bd)](_0x43f4c6(0xae5))[_0x43f4c6(0x1f27)](_0x58dda8)['ok']('OK')[_0x43f4c6(0x6c3)](_0x43f4c6(0x39a));_0x32ea12[_0x43f4c6(0x2615)](_0x45e4ac)[_0x43f4c6(0x146b)](function(){_0x37c67a(_0x23ee44);},function(){const _0x55971a=_0x43f4c6;console[_0x55971a(0x1a74)](_0x55971a(0x39a));});}let _0x4b1a8e=!![],_0x520eeb=0x1;_0x3ef373[_0x2e74b4(0x21e8)]('vm.query.filter',function(_0x3639fb,_0x1719f2){const _0x4a0d69=_0x2e74b4;_0x4b1a8e?_0x10fde6(function(){_0x4b1a8e=![];}):(!_0x1719f2&&(_0x520eeb=_0x3ddb42[_0x4a0d69(0x1a56)][_0x4a0d69(0x844)]),_0x3639fb!==_0x1719f2&&(_0x3ddb42[_0x4a0d69(0x1a56)][_0x4a0d69(0x844)]=0x1),!_0x3639fb&&(_0x3ddb42['query'][_0x4a0d69(0x844)]=_0x520eeb),_0x3ddb42[_0x4a0d69(0x16ee)]());});function _0x3d9f99(_0x561317){const _0xf2b759=_0x2e74b4;_0x3ddb42[_0xf2b759(0x1b86)]=_0x561317||{'count':0x0,'rows':[]};}function _0x2367d1(){const _0x2c6d01=_0x2e74b4;_0x3ddb42[_0x2c6d01(0x1a56)]['offset']=(_0x3ddb42['query'][_0x2c6d01(0x844)]-0x1)*_0x3ddb42[_0x2c6d01(0x1a56)]['limit'],_0x2b5c40[_0x2c6d01(0x23e0)](_0x2c6d01(0x174b))?_0x3ddb42[_0x2c6d01(0xb9c)]=_0x28a55e[_0x2c6d01(0xa80)][_0x2c6d01(0x16b4)](_0x3ddb42[_0x2c6d01(0x1a56)],_0x3d9f99)['$promise']:(_0x3ddb42[_0x2c6d01(0x1a56)]['id']=_0x3ddb42[_0x2c6d01(0x26b6)]['id'],_0x3ddb42['query'][_0x2c6d01(0x2146)]='Tags',_0x3ddb42['promise']=_0x28a55e[_0x2c6d01(0x26b6)]['getResources'](_0x3ddb42['query'],_0x3d9f99)[_0x2c6d01(0x2945)]);}function _0x3914fd(_0x225d86,_0x3fb34f){const _0x17711d=_0x2e74b4;_0x32ea12[_0x17711d(0x2615)]({'controller':_0x17711d(0x1c86),'controllerAs':'vm','templateUrl':_0x3a5178,'parent':angular[_0x17711d(0x1853)](_0x32d335[_0x17711d(0x2586)]),'targetEvent':_0x225d86,'clickOutsideToClose':!![],'locals':{'tag':_0x3fb34f,'tags':_0x3ddb42['tags']['rows'],'license':_0x3ddb42[_0x17711d(0x2690)],'setting':_0x3ddb42[_0x17711d(0x15b9)],'crudPermissions':_0x3ddb42['crudPermissions']}});}function _0x37c67a(_0x1de143){const _0x326ec4=_0x2e74b4;_0x28a55e[_0x326ec4(0xa80)][_0x326ec4(0x1fac)]({'id':_0x1de143['id']})['$promise']['then'](function(){const _0x532ecf=_0x326ec4;_0x3f65c0()[_0x532ecf(0x2640)](_0x3ddb42[_0x532ecf(0x1b86)][_0x532ecf(0x19c7)],{'id':_0x1de143['id']}),_0x3ddb42['tags'][_0x532ecf(0x51c)]-=0x1,!_0x3ddb42[_0x532ecf(0x1b86)][_0x532ecf(0x19c7)][_0x532ecf(0x402)]&&_0x3ddb42['getTags'](),_0x4f9b2a[_0x532ecf(0x1c75)]({'title':_0x3f65c0()[_0x532ecf(0x20d1)](_0x532ecf(0x23a8))+_0x532ecf(0x201c),'msg':_0x1de143[_0x532ecf(0x19eb)]?_0x1de143[_0x532ecf(0x19eb)]+_0x532ecf(0x23e3):''});})[_0x326ec4(0x129e)](function(_0x128764){const _0x2e1ece=_0x326ec4;if(_0x128764[_0x2e1ece(0x524)]&&_0x128764['data'][_0x2e1ece(0xcef)]&&_0x128764[_0x2e1ece(0x524)][_0x2e1ece(0xcef)][_0x2e1ece(0x402)]){_0x3ddb42[_0x2e1ece(0xcef)]=_0x128764[_0x2e1ece(0x524)][_0x2e1ece(0xcef)]||[{'message':_0x128764['toString'](),'type':_0x2e1ece(0x186a)}];for(let _0xe995c2=0x0;_0xe995c2<_0x128764['data'][_0x2e1ece(0xcef)][_0x2e1ece(0x402)];_0xe995c2++){_0x4f9b2a[_0x2e1ece(0x1980)]({'title':_0x128764[_0x2e1ece(0x524)]['errors'][_0xe995c2]['type'],'msg':_0x128764[_0x2e1ece(0x524)][_0x2e1ece(0xcef)][_0xe995c2][_0x2e1ece(0x7fd)]});}}else _0x4f9b2a['error']({'title':_0x128764[_0x2e1ece(0x107b)]?_0x2e1ece(0x262a)+_0x128764[_0x2e1ece(0x107b)]+_0x2e1ece(0x1315)+_0x128764['statusText']:_0x2e1ece(0x186a),'msg':_0x128764[_0x2e1ece(0x524)]?JSON[_0x2e1ece(0x10bb)](_0x128764[_0x2e1ece(0x524)]['message']):_0x128764[_0x2e1ece(0x7fd)]||_0x128764['toString']()});});}function _0x142593(){const _0x3c12c2=_0x2e74b4,_0x203f86=angular[_0x3c12c2(0x235a)](_0x3ddb42[_0x3c12c2(0x2323)]);return _0x3ddb42['selectedTags']=[],_0x203f86;}function _0x3af905(_0x4baee8){const _0x27037e=_0x2e74b4,_0x21592c=_0x32ea12[_0x27037e(0x1e8a)]()['title'](_0x27037e(0x1dc7))[_0x27037e(0x1cbe)](_0x27037e(0x16d3)+_0x3ddb42[_0x27037e(0x2323)][_0x27037e(0x402)]+_0x27037e(0x2452)+_0x27037e(0xe01))[_0x27037e(0x4bd)]('delete\x20Tags')[_0x27037e(0x1f27)](_0x4baee8)['ok']('OK')['cancel']('CANCEL');_0x32ea12[_0x27037e(0x2615)](_0x21592c)[_0x27037e(0x146b)](function(){const _0x208cb5=_0x27037e;_0x3ddb42[_0x208cb5(0x2323)][_0x208cb5(0x1df5)](function(_0x46c21f){_0x37c67a(_0x46c21f);}),_0x3ddb42['selectedTags']=[];});}function _0x34eebc(){const _0x4b1149=_0x2e74b4;_0x3ddb42[_0x4b1149(0x2323)]=[];}function _0x500999(){const _0x5d0822=_0x2e74b4;_0x3ddb42[_0x5d0822(0x2323)]=_0x3ddb42[_0x5d0822(0x1b86)][_0x5d0822(0x19c7)];}}const _0x452b4d=_0x317766;;_0x4f20b2[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$state',_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q','$translate',_0x313a4d(0x1fe4),_0x313a4d(0x2841),_0x313a4d(0x1928),_0x313a4d(0x247f),'Auth',_0x313a4d(0x2690),_0x313a4d(0x15b9),'crudPermissions'];function _0x4f20b2(_0x507135,_0x927dbf,_0x2eeb47,_0x16ec6,_0x186821,_0x4c5a3e,_0x21347c,_0x572065,_0x31af9f,_0x338a32,_0x489f57,_0xb19224,_0x21362f,_0x1be0d2){const _0x46802f=_0x313a4d,_0x5198b9=this;_0x5198b9['currentUser']=_0x489f57['getCurrentUser'](),_0x5198b9[_0x46802f(0xcef)]=[],_0x5198b9[_0x46802f(0x15b9)]=_0x21362f,_0x5198b9[_0x46802f(0x2690)]=_0xb19224,_0x5198b9['crudPermissions']=_0x1be0d2,_0x5198b9['hasModulePermissions']={},_0x5198b9[_0x46802f(0x2251)]=_0x5198b9['setting']&&_0x5198b9[_0x46802f(0x15b9)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x5198b9['title']='TOOLS.EDIT_TEMPLATE',_0x5198b9[_0x46802f(0x1928)]=angular[_0x46802f(0x235a)](_0x31af9f),_0x5198b9[_0x46802f(0x2841)]=_0x572065,_0x5198b9[_0x46802f(0xeeb)]=![];!_0x5198b9[_0x46802f(0x1928)]&&(_0x5198b9[_0x46802f(0x1928)]={},_0x5198b9[_0x46802f(0x1189)]='TOOLS.NEW_TEMPLATE',_0x5198b9[_0x46802f(0xeeb)]=!![]);_0x5198b9[_0x46802f(0xd3c)]=_0x2ac991,_0x5198b9['saveTemplate']=_0x33c26c,_0x5198b9['deleteTemplate']=_0x45158f,_0x5198b9[_0x46802f(0xe73)]=_0x5d4c73,_0x5198b9[_0x46802f(0x13f3)]=_0x33ef06;function _0x2ac991(){const _0x4edefa=_0x46802f;_0x5198b9[_0x4edefa(0xcef)]=[],_0x338a32['template']['save'](_0x5198b9['template'])[_0x4edefa(0x2945)][_0x4edefa(0x146b)](function(_0x20a8f7){const _0x2618b5=_0x4edefa;_0x5198b9[_0x2618b5(0x2841)][_0x2618b5(0xb3d)](_0x20a8f7['toJSON']()),_0x21347c[_0x2618b5(0x1c75)]({'title':_0x2618b5(0x569),'msg':_0x5198b9[_0x2618b5(0x1928)][_0x2618b5(0x19eb)]?_0x5198b9['template'][_0x2618b5(0x19eb)]+'\x20has\x20been\x20created!':''}),_0x33ef06(_0x20a8f7);})[_0x4edefa(0x129e)](function(_0x3d2ac0){const _0x707d3a=_0x4edefa;if(_0x3d2ac0[_0x707d3a(0x524)]&&_0x3d2ac0[_0x707d3a(0x524)][_0x707d3a(0xcef)]&&_0x3d2ac0['data'][_0x707d3a(0xcef)]['length']){_0x5198b9[_0x707d3a(0xcef)]=_0x3d2ac0[_0x707d3a(0x524)]['errors']||[{'message':_0x3d2ac0[_0x707d3a(0xd5f)](),'type':_0x707d3a(0x6eb)}];for(let _0x1ff10c=0x0;_0x1ff10c<_0x3d2ac0[_0x707d3a(0x524)][_0x707d3a(0xcef)][_0x707d3a(0x402)];_0x1ff10c+=0x1){_0x21347c[_0x707d3a(0x1980)]({'title':_0x3d2ac0[_0x707d3a(0x524)]['errors'][_0x1ff10c][_0x707d3a(0x1142)],'msg':_0x3d2ac0[_0x707d3a(0x524)][_0x707d3a(0xcef)][_0x1ff10c]['message']});}}else _0x21347c['error']({'title':_0x3d2ac0[_0x707d3a(0x107b)]?_0x707d3a(0x262a)+_0x3d2ac0[_0x707d3a(0x107b)]+_0x707d3a(0x1315)+_0x3d2ac0[_0x707d3a(0x167f)]:_0x707d3a(0x6eb),'msg':_0x3d2ac0[_0x707d3a(0x524)]?JSON[_0x707d3a(0x10bb)](_0x3d2ac0[_0x707d3a(0x524)]['message']):_0x3d2ac0['toString']()});});}function _0x33c26c(){const _0x412682=_0x46802f;_0x5198b9['errors']=[],_0x338a32[_0x412682(0x1928)][_0x412682(0x18e1)]({'id':_0x5198b9[_0x412682(0x1928)]['id']},_0x5198b9[_0x412682(0x1928)])[_0x412682(0x2945)][_0x412682(0x146b)](function(_0x57b1e2){const _0x14854b=_0x412682,_0x3a06d6=_0x3f65c0()[_0x14854b(0xc84)](_0x5198b9[_0x14854b(0x2841)],{'id':_0x57b1e2['id']});_0x3a06d6&&_0x3f65c0()[_0x14854b(0x168d)](_0x3a06d6,_0x3f65c0()[_0x14854b(0x40e)](_0x57b1e2[_0x14854b(0x2488)](),_0x3f65c0()[_0x14854b(0x627)](_0x3a06d6))),_0x21347c[_0x14854b(0x1c75)]({'title':_0x14854b(0x9f6),'msg':_0x5198b9[_0x14854b(0x1928)]['name']?_0x5198b9[_0x14854b(0x1928)]['name']+'\x20has\x20been\x20saved!':''}),_0x33ef06(_0x57b1e2);})['catch'](function(_0x5e5276){const _0x166dcc=_0x412682;if(_0x5e5276[_0x166dcc(0x524)]&&_0x5e5276[_0x166dcc(0x524)][_0x166dcc(0xcef)]&&_0x5e5276[_0x166dcc(0x524)][_0x166dcc(0xcef)][_0x166dcc(0x402)]){_0x5198b9[_0x166dcc(0xcef)]=_0x5e5276[_0x166dcc(0x524)][_0x166dcc(0xcef)]||[{'message':_0x5e5276[_0x166dcc(0xd5f)](),'type':_0x166dcc(0xf93)}];for(let _0x24ac17=0x0;_0x24ac17<_0x5e5276['data'][_0x166dcc(0xcef)]['length'];_0x24ac17++){_0x21347c[_0x166dcc(0x1980)]({'title':_0x5e5276[_0x166dcc(0x524)][_0x166dcc(0xcef)][_0x24ac17]['type'],'msg':_0x5e5276[_0x166dcc(0x524)][_0x166dcc(0xcef)][_0x24ac17][_0x166dcc(0x7fd)]});}}else _0x21347c[_0x166dcc(0x1980)]({'title':_0x5e5276[_0x166dcc(0x107b)]?_0x166dcc(0x262a)+_0x5e5276[_0x166dcc(0x107b)]+_0x166dcc(0x1315)+_0x5e5276[_0x166dcc(0x167f)]:_0x166dcc(0xf93),'msg':_0x5e5276[_0x166dcc(0x524)]?JSON['stringify'](_0x5e5276[_0x166dcc(0x524)]['message']):_0x5e5276['toString']()});});}function _0x45158f(_0x2b26e1){const _0x14f57e=_0x46802f;_0x5198b9[_0x14f57e(0xcef)]=[];const _0x42c29f=_0x16ec6[_0x14f57e(0x1e8a)]()['title'](_0x14f57e(0x1d64))[_0x14f57e(0x80f)](_0x14f57e(0x105d))[_0x14f57e(0x4bd)]('Delete\x20Template')['ok'](_0x14f57e(0x25de))[_0x14f57e(0x6c3)](_0x14f57e(0xcf0))['targetEvent'](_0x2b26e1);_0x16ec6[_0x14f57e(0x2615)](_0x42c29f)[_0x14f57e(0x146b)](function(){const _0x5ecdba=_0x14f57e;_0x338a32[_0x5ecdba(0x1928)]['delete']({'id':_0x5198b9[_0x5ecdba(0x1928)]['id']})[_0x5ecdba(0x2945)][_0x5ecdba(0x146b)](function(){const _0x467c0e=_0x5ecdba;_0x3f65c0()[_0x467c0e(0x2640)](_0x5198b9['templates'],{'id':_0x5198b9[_0x467c0e(0x1928)]['id']}),_0x21347c[_0x467c0e(0x1c75)]({'title':_0x467c0e(0x1cb2),'msg':(_0x5198b9[_0x467c0e(0x1928)]['name']||_0x467c0e(0x1928))+_0x467c0e(0x23e3)}),_0x33ef06(_0x5198b9[_0x467c0e(0x1928)]);})['catch'](function(_0x23c1bb){const _0x254b51=_0x5ecdba;if(_0x23c1bb[_0x254b51(0x524)]&&_0x23c1bb[_0x254b51(0x524)][_0x254b51(0xcef)]&&_0x23c1bb[_0x254b51(0x524)][_0x254b51(0xcef)][_0x254b51(0x402)]){_0x5198b9[_0x254b51(0xcef)]=_0x23c1bb[_0x254b51(0x524)][_0x254b51(0xcef)]||[{'message':_0x23c1bb[_0x254b51(0xd5f)](),'type':_0x254b51(0x8e0)}];for(let _0x29c1f4=0x0;_0x29c1f4<_0x23c1bb['data'][_0x254b51(0xcef)][_0x254b51(0x402)];_0x29c1f4++){_0x21347c[_0x254b51(0x1980)]({'title':_0x23c1bb[_0x254b51(0x524)][_0x254b51(0xcef)][_0x29c1f4]['type'],'msg':_0x23c1bb[_0x254b51(0x524)][_0x254b51(0xcef)][_0x29c1f4]['message']});}}else _0x21347c[_0x254b51(0x1980)]({'title':_0x23c1bb[_0x254b51(0x107b)]?'API:'+_0x23c1bb[_0x254b51(0x107b)]+'\x20-\x20'+_0x23c1bb['statusText']:_0x254b51(0x8e0),'msg':_0x23c1bb[_0x254b51(0x524)]?JSON[_0x254b51(0x10bb)](_0x23c1bb[_0x254b51(0x524)][_0x254b51(0x7fd)]):_0x23c1bb[_0x254b51(0x7fd)]||_0x23c1bb[_0x254b51(0xd5f)]()});});},function(){});}function _0x5d4c73(_0x53cfca){return _0x53cfca===null?undefined:new Date(_0x53cfca);}function _0x33ef06(_0x3cd7eb){const _0x352842=_0x46802f;_0x16ec6[_0x352842(0x2458)](_0x3cd7eb);}}const _0x45270a=_0x4f20b2;;const _0x2af230=_0x4acfac['p']+_0x313a4d(0x1911);;_0x519502[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),'$document','$timeout',_0x313a4d(0x214b),_0x313a4d(0x2841),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),'license',_0x313a4d(0x15b9)];function _0x519502(_0x14725a,_0xe9c5b1,_0x170f9c,_0x20657d,_0x1990d2,_0x277712,_0x2ac403,_0xdffe3f,_0x4aee08,_0x5bbd7d,_0x5dd503,_0x492970,_0x2ce71c,_0x49487d,_0x21716f,_0x5b7b5e,_0x49a32c){const _0x4f0d7d=_0x313a4d,_0x2117d3=this;_0x2117d3[_0x4f0d7d(0x2690)]=_0x5b7b5e,_0x2117d3[_0x4f0d7d(0x15b9)]=_0x49a32c,_0x2117d3['currentUser']=_0x21716f[_0x4f0d7d(0xb12)](),_0x2117d3[_0x4f0d7d(0x2841)]=_0x4aee08||{'count':0x0,'rows':[]},_0x2117d3[_0x4f0d7d(0x26b6)]=_0x5bbd7d,_0x2117d3['userProfileSection']=_0x5dd503&&_0x5dd503[_0x4f0d7d(0x51c)]==0x1?_0x5dd503[_0x4f0d7d(0x19c7)][0x0]:null,_0x2117d3[_0x4f0d7d(0x2514)]=_0x21716f[_0x4f0d7d(0xe60)](_0x2117d3[_0x4f0d7d(0x1366)]?_0x2117d3[_0x4f0d7d(0x1366)]['crudPermissions']:null),_0x2117d3[_0x4f0d7d(0x768)]='templates',_0x2117d3[_0x4f0d7d(0x216a)]='',_0x2117d3[_0x4f0d7d(0x214f)]=null,_0x2117d3['selectedTemplates']=[],_0x2117d3[_0x4f0d7d(0x1a56)]={'fields':_0x4f0d7d(0x1378),'sort':_0x4f0d7d(0x12f2),'limit':0xa,'page':0x1},_0x2117d3[_0x4f0d7d(0x2ed)]=_0x5cbcb4,_0x2117d3['deleteconfirm']=_0x12c359,_0x2117d3[_0x4f0d7d(0x1c75)]=_0x3e8c41,_0x2117d3[_0x4f0d7d(0x17c6)]=_0x237d10,_0x2117d3[_0x4f0d7d(0x2277)]=_0x41f88c,_0x2117d3[_0x4f0d7d(0x128b)]=_0x5ec34a,_0x2117d3[_0x4f0d7d(0x22a8)]=_0x6fb46b,_0x2117d3[_0x4f0d7d(0xc09)]=_0x17a6ef,_0x2117d3['deselectTemplates']=_0x392604,_0x2117d3['selectAllTemplates']=_0x546095;function _0x5cbcb4(_0x329f46,_0x5f5642){const _0x234031=_0x4f0d7d;_0x1990d2[_0x234031(0x2615)]({'controller':_0x234031(0x1ab1),'controllerAs':'vm','templateUrl':_0x2af230,'parent':angular[_0x234031(0x1853)](_0x277712[_0x234031(0x2586)]),'targetEvent':_0x5f5642,'clickOutsideToClose':!![],'locals':{'template':_0x329f46,'templates':_0x2117d3[_0x234031(0x2841)][_0x234031(0x19c7)],'license':_0x2117d3[_0x234031(0x2690)],'setting':null,'crudPermissions':_0x2117d3[_0x234031(0x2514)]}});}function _0x12c359(_0x2d6f13,_0x38fd27){const _0x225987=_0x4f0d7d,_0x471f84=_0x1990d2[_0x225987(0x1e8a)]()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20'+_0x3f65c0()['startCase'](_0x225987(0x1928))+'?')['htmlContent'](''+(_0x2d6f13[_0x225987(0x19eb)]||_0x225987(0x1928))+_0x225987(0x252f)+_0x225987(0xe01))[_0x225987(0x4bd)](_0x225987(0x12a2))[_0x225987(0x1f27)](_0x38fd27)['ok']('OK')[_0x225987(0x6c3)](_0x225987(0x39a));_0x1990d2['show'](_0x471f84)['then'](function(){_0x5ec34a(_0x2d6f13);},function(){console['log']('CANCEL');});}let _0x56fe83=!![],_0x522994=0x1;_0x14725a[_0x4f0d7d(0x21e8)]('vm.query.filter',function(_0x327fc7,_0x46e60c){const _0x58df90=_0x4f0d7d;_0x56fe83?_0x2ac403(function(){_0x56fe83=![];}):(!_0x46e60c&&(_0x522994=_0x2117d3[_0x58df90(0x1a56)][_0x58df90(0x844)]),_0x327fc7!==_0x46e60c&&(_0x2117d3['query'][_0x58df90(0x844)]=0x1),!_0x327fc7&&(_0x2117d3[_0x58df90(0x1a56)]['page']=_0x522994),_0x2117d3[_0x58df90(0x17c6)]());});function _0x3e8c41(_0xf6b013){const _0x1f6487=_0x4f0d7d;_0x2117d3[_0x1f6487(0x2841)]=_0xf6b013||{'count':0x0,'rows':[]};}function _0x237d10(){const _0x3aa284=_0x4f0d7d;_0x2117d3['query'][_0x3aa284(0x145d)]=(_0x2117d3[_0x3aa284(0x1a56)][_0x3aa284(0x844)]-0x1)*_0x2117d3[_0x3aa284(0x1a56)]['limit'],_0x21716f[_0x3aa284(0x23e0)](_0x3aa284(0x174b))?_0x2117d3[_0x3aa284(0xb9c)]=_0x492970[_0x3aa284(0x1928)]['get'](_0x2117d3[_0x3aa284(0x1a56)],_0x3e8c41)[_0x3aa284(0x2945)]:(_0x2117d3[_0x3aa284(0x1a56)]['id']=_0x2117d3[_0x3aa284(0x26b6)]['id'],_0x2117d3[_0x3aa284(0x1a56)][_0x3aa284(0x2146)]=_0x3aa284(0x7ff),_0x2117d3['promise']=_0x492970[_0x3aa284(0x26b6)][_0x3aa284(0x158f)](_0x2117d3[_0x3aa284(0x1a56)],_0x3e8c41)[_0x3aa284(0x2945)]);}function _0x41f88c(_0x1d3447,_0x5bcfcf){const _0x5a41a3=_0x4f0d7d;_0x1990d2[_0x5a41a3(0x2615)]({'controller':_0x5a41a3(0x1ab1),'controllerAs':'vm','templateUrl':_0x2af230,'parent':angular[_0x5a41a3(0x1853)](_0x277712['body']),'targetEvent':_0x1d3447,'clickOutsideToClose':!![],'locals':{'template':_0x5bcfcf,'templates':_0x2117d3[_0x5a41a3(0x2841)][_0x5a41a3(0x19c7)],'license':_0x2117d3['license'],'setting':_0x2117d3[_0x5a41a3(0x15b9)],'crudPermissions':_0x2117d3[_0x5a41a3(0x2514)]}});}function _0x5ec34a(_0x3cc030){const _0x24dd98=_0x4f0d7d;_0x492970[_0x24dd98(0x1928)][_0x24dd98(0x1fac)]({'id':_0x3cc030['id']})[_0x24dd98(0x2945)][_0x24dd98(0x146b)](function(){const _0x7b9113=_0x24dd98;_0x3f65c0()['remove'](_0x2117d3[_0x7b9113(0x2841)][_0x7b9113(0x19c7)],{'id':_0x3cc030['id']}),_0x2117d3[_0x7b9113(0x2841)][_0x7b9113(0x51c)]-=0x1,!_0x2117d3[_0x7b9113(0x2841)][_0x7b9113(0x19c7)][_0x7b9113(0x402)]&&_0x2117d3[_0x7b9113(0x17c6)](),_0x49487d[_0x7b9113(0x1c75)]({'title':_0x3f65c0()[_0x7b9113(0x20d1)]('Template')+_0x7b9113(0x201c),'msg':_0x3cc030[_0x7b9113(0x19eb)]?_0x3cc030[_0x7b9113(0x19eb)]+'\x20has\x20been\x20deleted!':''});})[_0x24dd98(0x129e)](function(_0x4f6300){const _0x4b642c=_0x24dd98;if(_0x4f6300[_0x4b642c(0x524)]&&_0x4f6300[_0x4b642c(0x524)][_0x4b642c(0xcef)]&&_0x4f6300['data'][_0x4b642c(0xcef)][_0x4b642c(0x402)]){_0x2117d3[_0x4b642c(0xcef)]=_0x4f6300[_0x4b642c(0x524)][_0x4b642c(0xcef)]||[{'message':_0x4f6300['toString'](),'type':_0x4b642c(0x273e)}];for(let _0x1b30bf=0x0;_0x1b30bf<_0x4f6300[_0x4b642c(0x524)][_0x4b642c(0xcef)][_0x4b642c(0x402)];_0x1b30bf++){_0x49487d[_0x4b642c(0x1980)]({'title':_0x4f6300['data'][_0x4b642c(0xcef)][_0x1b30bf][_0x4b642c(0x1142)],'msg':_0x4f6300['data'][_0x4b642c(0xcef)][_0x1b30bf][_0x4b642c(0x7fd)]});}}else _0x49487d[_0x4b642c(0x1980)]({'title':_0x4f6300[_0x4b642c(0x107b)]?_0x4b642c(0x262a)+_0x4f6300['status']+_0x4b642c(0x1315)+_0x4f6300[_0x4b642c(0x167f)]:_0x4b642c(0x273e),'msg':_0x4f6300[_0x4b642c(0x524)]?JSON['stringify'](_0x4f6300[_0x4b642c(0x524)][_0x4b642c(0x7fd)]):_0x4f6300[_0x4b642c(0x7fd)]||_0x4f6300[_0x4b642c(0xd5f)]()});});}function _0x6fb46b(){const _0x30cbef=_0x4f0d7d,_0x25e1f4=angular[_0x30cbef(0x235a)](_0x2117d3['selectedTemplates']);return _0x2117d3[_0x30cbef(0x2310)]=[],_0x25e1f4;}function _0x17a6ef(_0x30107c){const _0x40081f=_0x4f0d7d,_0x5b9ef8=_0x1990d2['confirm']()[_0x40081f(0x1189)](_0x40081f(0x1518))['htmlContent'](_0x40081f(0x16d3)+_0x2117d3[_0x40081f(0x2310)][_0x40081f(0x402)]+_0x40081f(0x2452)+_0x40081f(0xe01))[_0x40081f(0x4bd)](_0x40081f(0x43d))[_0x40081f(0x1f27)](_0x30107c)['ok']('OK')[_0x40081f(0x6c3)](_0x40081f(0x39a));_0x1990d2[_0x40081f(0x2615)](_0x5b9ef8)['then'](function(){const _0x34b5ba=_0x40081f;_0x2117d3[_0x34b5ba(0x2310)][_0x34b5ba(0x1df5)](function(_0x48362a){_0x5ec34a(_0x48362a);}),_0x2117d3[_0x34b5ba(0x2310)]=[];});}function _0x392604(){const _0x42c717=_0x4f0d7d;_0x2117d3[_0x42c717(0x2310)]=[];}function _0x546095(){const _0x56275e=_0x4f0d7d;_0x2117d3[_0x56275e(0x2310)]=_0x2117d3[_0x56275e(0x2841)][_0x56275e(0x19c7)];}}const _0x23b193=_0x519502;;_0x4acdf1[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q','$translate',_0x313a4d(0x1fe4),_0x313a4d(0x2433),_0x313a4d(0x279f),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),'crudPermissions'];function _0x4acdf1(_0x23b24f,_0x58272d,_0x2e915a,_0xa276a4,_0x332c83,_0x3f664e,_0x33d394,_0x47bd05,_0x47f7bf,_0x413657,_0x5d76ff,_0x38199c,_0x2bd2df,_0x2d4adb){const _0x1728a2=_0x313a4d,_0xbe9cba=this;_0xbe9cba['currentUser']=_0x5d76ff[_0x1728a2(0xb12)](),_0xbe9cba[_0x1728a2(0xcef)]=[],_0xbe9cba[_0x1728a2(0x15b9)]=_0x2bd2df,_0xbe9cba[_0x1728a2(0x2690)]=_0x38199c,_0xbe9cba[_0x1728a2(0x2514)]=_0x2d4adb,_0xbe9cba[_0x1728a2(0x855)]={},_0xbe9cba[_0x1728a2(0x2251)]=_0xbe9cba[_0x1728a2(0x15b9)]&&_0xbe9cba[_0x1728a2(0x15b9)][_0x1728a2(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0xbe9cba[_0x1728a2(0x1189)]=_0x1728a2(0x1d74),_0xbe9cba[_0x1728a2(0x279f)]=angular['copy'](_0x47f7bf),_0xbe9cba[_0x1728a2(0x2433)]=_0x47bd05,_0xbe9cba['newTrunk']=![];!_0xbe9cba[_0x1728a2(0x279f)]&&(_0xbe9cba[_0x1728a2(0x279f)]={'active':!![],'type':_0x1728a2(0x2169),'dtmfmode':_0x1728a2(0x14d8),'qualify':_0x1728a2(0x18e3),'t38pt_udptl':'no'},_0xbe9cba[_0x1728a2(0x1189)]='TOOLS.NEW_TRUNK',_0xbe9cba[_0x1728a2(0x25d7)]=!![]);_0xbe9cba['addNewTrunk']=_0xe9265e,_0xbe9cba[_0x1728a2(0x2211)]=_0x53eb4a,_0xbe9cba['deleteTrunk']=_0x237d18,_0xbe9cba[_0x1728a2(0xe73)]=_0x39ec1f,_0xbe9cba[_0x1728a2(0x13f3)]=_0x1f4573;function _0xe9265e(){const _0x39edcd=_0x1728a2;_0xbe9cba[_0x39edcd(0xcef)]=[],_0x413657[_0x39edcd(0x279f)][_0x39edcd(0x1e3)](_0xbe9cba[_0x39edcd(0x279f)])[_0x39edcd(0x2945)][_0x39edcd(0x146b)](function(_0xd4e15d){const _0x2b5a64=_0x39edcd;_0xbe9cba['trunks'][_0x2b5a64(0xb3d)](_0xd4e15d[_0x2b5a64(0x2488)]()),_0x33d394[_0x2b5a64(0x1c75)]({'title':'Trunk\x20properly\x20created','msg':_0xbe9cba[_0x2b5a64(0x279f)][_0x2b5a64(0x19eb)]?_0xbe9cba[_0x2b5a64(0x279f)][_0x2b5a64(0x19eb)]+_0x2b5a64(0x1386):''}),_0x1f4573(_0xd4e15d);})[_0x39edcd(0x129e)](function(_0x2cfad8){const _0x4df62e=_0x39edcd;if(_0x2cfad8[_0x4df62e(0x524)]&&_0x2cfad8[_0x4df62e(0x524)][_0x4df62e(0xcef)]&&_0x2cfad8[_0x4df62e(0x524)]['errors'][_0x4df62e(0x402)]){_0xbe9cba[_0x4df62e(0xcef)]=_0x2cfad8[_0x4df62e(0x524)]['errors']||[{'message':_0x2cfad8[_0x4df62e(0xd5f)](),'type':_0x4df62e(0x2420)}];for(let _0x351985=0x0;_0x351985<_0x2cfad8[_0x4df62e(0x524)]['errors'][_0x4df62e(0x402)];_0x351985+=0x1){_0x33d394[_0x4df62e(0x1980)]({'title':_0x2cfad8[_0x4df62e(0x524)]['errors'][_0x351985][_0x4df62e(0x1142)],'msg':_0x2cfad8[_0x4df62e(0x524)][_0x4df62e(0xcef)][_0x351985][_0x4df62e(0x7fd)]});}}else _0x33d394[_0x4df62e(0x1980)]({'title':_0x2cfad8['status']?_0x4df62e(0x262a)+_0x2cfad8['status']+_0x4df62e(0x1315)+_0x2cfad8[_0x4df62e(0x167f)]:'api.trunk.save','msg':_0x2cfad8[_0x4df62e(0x524)]?JSON[_0x4df62e(0x10bb)](_0x2cfad8[_0x4df62e(0x524)][_0x4df62e(0x7fd)]):_0x2cfad8[_0x4df62e(0xd5f)]()});});}function _0x53eb4a(){const _0x193633=_0x1728a2;_0xbe9cba[_0x193633(0xcef)]=[],_0x413657[_0x193633(0x279f)]['update']({'id':_0xbe9cba[_0x193633(0x279f)]['id']},_0xbe9cba[_0x193633(0x279f)])['$promise'][_0x193633(0x146b)](function(_0x25e82c){const _0x52a7be=_0x193633,_0x3429a1=_0x3f65c0()[_0x52a7be(0xc84)](_0xbe9cba[_0x52a7be(0x2433)],{'id':_0x25e82c['id']});_0x3429a1&&_0x3f65c0()[_0x52a7be(0x168d)](_0x3429a1,_0x3f65c0()['pick'](_0x25e82c['toJSON'](),_0x3f65c0()[_0x52a7be(0x627)](_0x3429a1))),_0x33d394[_0x52a7be(0x1c75)]({'title':_0x52a7be(0x9c6),'msg':_0xbe9cba[_0x52a7be(0x279f)][_0x52a7be(0x19eb)]?_0xbe9cba['trunk'][_0x52a7be(0x19eb)]+_0x52a7be(0x24db):''}),_0x1f4573(_0x25e82c);})['catch'](function(_0x372453){const _0x2858bd=_0x193633;if(_0x372453['data']&&_0x372453[_0x2858bd(0x524)]['errors']&&_0x372453[_0x2858bd(0x524)][_0x2858bd(0xcef)][_0x2858bd(0x402)]){_0xbe9cba['errors']=_0x372453[_0x2858bd(0x524)][_0x2858bd(0xcef)]||[{'message':_0x372453[_0x2858bd(0xd5f)](),'type':_0x2858bd(0xd25)}];for(let _0x4591d0=0x0;_0x4591d0<_0x372453[_0x2858bd(0x524)]['errors'][_0x2858bd(0x402)];_0x4591d0++){_0x33d394[_0x2858bd(0x1980)]({'title':_0x372453[_0x2858bd(0x524)]['errors'][_0x4591d0][_0x2858bd(0x1142)],'msg':_0x372453[_0x2858bd(0x524)][_0x2858bd(0xcef)][_0x4591d0]['message']});}}else _0x33d394[_0x2858bd(0x1980)]({'title':_0x372453[_0x2858bd(0x107b)]?'API:'+_0x372453[_0x2858bd(0x107b)]+_0x2858bd(0x1315)+_0x372453['statusText']:_0x2858bd(0xd25),'msg':_0x372453[_0x2858bd(0x524)]?JSON[_0x2858bd(0x10bb)](_0x372453['data']['message']):_0x372453[_0x2858bd(0xd5f)]()});});}function _0x237d18(_0x1e105b){const _0x128253=_0x1728a2;_0xbe9cba['errors']=[];const _0x5b8f78=_0xa276a4[_0x128253(0x1e8a)]()['title'](_0x128253(0x1d64))[_0x128253(0x80f)](_0x128253(0xd9f))[_0x128253(0x4bd)]('Delete\x20Trunk')['ok'](_0x128253(0x25de))[_0x128253(0x6c3)](_0x128253(0xcf0))[_0x128253(0x1f27)](_0x1e105b);_0xa276a4[_0x128253(0x2615)](_0x5b8f78)[_0x128253(0x146b)](function(){const _0x371271=_0x128253;_0x413657['trunk'][_0x371271(0x1fac)]({'id':_0xbe9cba[_0x371271(0x279f)]['id']})['$promise'][_0x371271(0x146b)](function(){const _0x3745f5=_0x371271;_0x3f65c0()[_0x3745f5(0x2640)](_0xbe9cba[_0x3745f5(0x2433)],{'id':_0xbe9cba[_0x3745f5(0x279f)]['id']}),_0x33d394[_0x3745f5(0x1c75)]({'title':_0x3745f5(0x245),'msg':(_0xbe9cba[_0x3745f5(0x279f)][_0x3745f5(0x19eb)]||_0x3745f5(0x279f))+'\x20has\x20been\x20deleted!'}),_0x1f4573(_0xbe9cba[_0x3745f5(0x279f)]);})['catch'](function(_0x1ab8de){const _0x323c0a=_0x371271;if(_0x1ab8de[_0x323c0a(0x524)]&&_0x1ab8de['data'][_0x323c0a(0xcef)]&&_0x1ab8de['data'][_0x323c0a(0xcef)][_0x323c0a(0x402)]){_0xbe9cba[_0x323c0a(0xcef)]=_0x1ab8de[_0x323c0a(0x524)][_0x323c0a(0xcef)]||[{'message':_0x1ab8de[_0x323c0a(0xd5f)](),'type':_0x323c0a(0xb3f)}];for(let _0xaa9645=0x0;_0xaa9645<_0x1ab8de['data']['errors'][_0x323c0a(0x402)];_0xaa9645++){_0x33d394[_0x323c0a(0x1980)]({'title':_0x1ab8de[_0x323c0a(0x524)][_0x323c0a(0xcef)][_0xaa9645][_0x323c0a(0x1142)],'msg':_0x1ab8de[_0x323c0a(0x524)]['errors'][_0xaa9645][_0x323c0a(0x7fd)]});}}else _0x33d394['error']({'title':_0x1ab8de[_0x323c0a(0x107b)]?_0x323c0a(0x262a)+_0x1ab8de[_0x323c0a(0x107b)]+_0x323c0a(0x1315)+_0x1ab8de['statusText']:_0x323c0a(0xb3f),'msg':_0x1ab8de[_0x323c0a(0x524)]?JSON['stringify'](_0x1ab8de[_0x323c0a(0x524)]['message']):_0x1ab8de[_0x323c0a(0x7fd)]||_0x1ab8de[_0x323c0a(0xd5f)]()});});},function(){});}function _0x39ec1f(_0x549c18){return _0x549c18===null?undefined:new Date(_0x549c18);}function _0x1f4573(_0x571ed1){const _0x4f9a85=_0x1728a2;_0xa276a4[_0x4f9a85(0x2458)](_0x571ed1);}}const _0x5550bc=_0x4acdf1;;_0x3864ac['$inject']=[_0x313a4d(0x10e8),_0x313a4d(0x1862),_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0x279f),'trunks',_0x313a4d(0x214b)];function _0x3864ac(_0xc62a43,_0x5849ca,_0x45ff47,_0x583275,_0x2df812,_0x29b3f4,_0x2ce72f){const _0x3504c0=_0x313a4d,_0x318a15=this;_0x318a15[_0x3504c0(0x25d7)]=!![],_0x318a15[_0x3504c0(0x279f)]=angular[_0x3504c0(0x235a)](_0x2df812),_0x318a15[_0x3504c0(0x2433)]=_0x29b3f4,_0x318a15[_0x3504c0(0x1189)]=_0x2ce72f['instant'](_0x3504c0(0x18ea));_0x2df812&&_0x2df812[_0x3504c0(0x19eb)]&&(_0x318a15[_0x3504c0(0x1189)]+=':\x20'+_0x2df812[_0x3504c0(0x19eb)]);_0x318a15['cloneTrunk']=_0x2f4256,_0x318a15[_0x3504c0(0x13f3)]=_0x369418;function _0x2f4256(){const _0x15a177=_0x3504c0;return _0x583275[_0x15a177(0x279f)][_0x15a177(0x12cc)]({'id':_0x2df812['id']},_0x318a15[_0x15a177(0x279f)])[_0x15a177(0x2945)][_0x15a177(0x146b)](function(_0x813af9){const _0x59f62b=_0x15a177;_0x318a15[_0x59f62b(0x2433)]['unshift'](_0x813af9[_0x59f62b(0x2488)]()),_0x45ff47[_0x59f62b(0x1c75)]({'title':_0x59f62b(0xde1),'msg':_0x318a15['trunk'][_0x59f62b(0x19eb)]?_0x318a15['trunk']['name']+_0x59f62b(0x1b63):''}),_0x5849ca['go'](_0x59f62b(0x5f0),{'id':_0x813af9['id']}),_0x369418(_0x813af9);})[_0x15a177(0x129e)](function(_0x1a508e){const _0x172326=_0x15a177;if(_0x1a508e[_0x172326(0x524)]&&_0x1a508e[_0x172326(0x524)][_0x172326(0xcef)]&&_0x1a508e[_0x172326(0x524)][_0x172326(0xcef)]['length']){_0x318a15['errors']=_0x1a508e[_0x172326(0x524)][_0x172326(0xcef)]||[{'message':_0x1a508e['toString'](),'type':_0x172326(0x30b)}];for(let _0x205a10=0x0;_0x205a10<_0x1a508e['data'][_0x172326(0xcef)]['length'];_0x205a10+=0x1){_0x45ff47['error']({'title':_0x1a508e['data']['errors'][_0x205a10][_0x172326(0x1142)],'msg':_0x1a508e[_0x172326(0x524)]['errors'][_0x205a10]['message']});}}else _0x45ff47['error']({'title':_0x1a508e[_0x172326(0x107b)]?_0x172326(0x262a)+_0x1a508e[_0x172326(0x107b)]+'\x20-\x20'+_0x1a508e[_0x172326(0x167f)]:_0x172326(0x30b),'msg':_0x1a508e[_0x172326(0x524)]?JSON[_0x172326(0x10bb)](_0x1a508e[_0x172326(0x524)][_0x172326(0x7fd)]):_0x1a508e[_0x172326(0xd5f)]()});});}function _0x369418(_0x214ab6){const _0x3e39e7=_0x3504c0;_0xc62a43[_0x3e39e7(0x2458)](_0x214ab6);}}const _0x378d44=_0x3864ac;;const _0x4bd866=_0x4acfac['p']+_0x313a4d(0x1c66);;_0x42a277[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$document',_0x313a4d(0x214b),'license',_0x313a4d(0x15b9),_0x313a4d(0x247f),'toasty',_0x313a4d(0xa87),_0x313a4d(0x279f),_0x313a4d(0x1366)];function _0x42a277(_0x4217ad,_0x2d86e5,_0x4c7090,_0x527d41,_0x1c2981,_0xdcabbe,_0x1dd3a8,_0x36fd0b,_0x203f0f,_0x510de9,_0x267fee,_0x5d0e61){const _0x33711c=_0x313a4d,_0x17386d=this;_0x17386d[_0x33711c(0x2321)]=_0x510de9['getCurrentUser'](),_0x17386d[_0x33711c(0x2690)]=_0xdcabbe,_0x17386d[_0x33711c(0x15b9)]=_0x1dd3a8,_0x17386d[_0x33711c(0x2251)]=_0x17386d['setting'][_0x33711c(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x17386d['location']=_0x2d86e5[_0x33711c(0x2414)]()+'://'+_0x2d86e5['host'](),_0x17386d[_0x33711c(0x279f)]=_0x267fee||_0x4217ad['params']['trunk']||{},_0x17386d[_0x33711c(0x1366)]=_0x5d0e61&&_0x5d0e61['count']==0x1?_0x5d0e61[_0x33711c(0x19c7)][0x0]:null,_0x17386d[_0x33711c(0x2514)]=_0x510de9[_0x33711c(0xe60)](_0x17386d[_0x33711c(0x1366)]?_0x17386d[_0x33711c(0x1366)][_0x33711c(0x2514)]:null),_0x17386d[_0x33711c(0x855)]={},_0x17386d[_0x33711c(0x1b09)]=_0x4217ad[_0x33711c(0x16a)][_0x33711c(0x13a3)]||0x0,_0x17386d[_0x33711c(0x3a9)]=_0x5a668b,_0x17386d['clonedialog']=_0x413979,_0x17386d[_0x33711c(0x861)]=_0x203f0f['info'],_0x17386d['gotoTrunks']=_0x431f90,_0x17386d[_0x33711c(0x2211)]=_0x54fa18,_0x510de9['hasRole'](_0x33711c(0x174b))?_0x36fd0b[_0x33711c(0x1b82)][_0x33711c(0x16b4)]({'fields':'id,name','sort':_0x33711c(0x19eb)})['$promise']['then'](function(_0x3ae1a0){const _0x537c49=_0x33711c;_0x17386d[_0x537c49(0xfe9)]=_0x3ae1a0[_0x537c49(0x19c7)]||[];})[_0x33711c(0x129e)](function(_0x2df2f9){const _0x1df81d=_0x33711c;_0x203f0f[_0x1df81d(0x1980)]({'title':_0x2df2f9['status']?_0x1df81d(0x262a)+_0x2df2f9[_0x1df81d(0x107b)]+_0x1df81d(0x1315)+_0x2df2f9[_0x1df81d(0x167f)]:_0x1df81d(0x2742),'msg':_0x2df2f9['data']?JSON[_0x1df81d(0x10bb)](_0x2df2f9[_0x1df81d(0x524)]):_0x2df2f9[_0x1df81d(0xd5f)]()});}):_0x36fd0b[_0x33711c(0x1b82)]['get']({'fields':_0x33711c(0x7a7),'sort':_0x33711c(0x19eb)})[_0x33711c(0x2945)]['then'](function(_0x4da4d0){const _0x1ed9e7=_0x33711c;_0x17386d[_0x1ed9e7(0xfe9)]=_0x4da4d0[_0x1ed9e7(0x19c7)]||[];})[_0x33711c(0x146b)](function(){const _0xf6df58=_0x33711c;return _0x36fd0b[_0xf6df58(0x1366)][_0xf6df58(0x16b4)]({'userProfileId':_0x17386d[_0xf6df58(0x2321)]['userProfileId'],'sectionId':0x195})[_0xf6df58(0x2945)];})[_0x33711c(0x146b)](function(_0x3527dc){const _0x4b90f1=_0x33711c,_0x3770a0=_0x3527dc&&_0x3527dc[_0x4b90f1(0x19c7)]?_0x3527dc[_0x4b90f1(0x19c7)][0x0]:null;if(!_0x3770a0)return _0x36fd0b['voiceContext']['get']({'fields':_0x4b90f1(0x7a7),'sort':'name','defaultEntry':0x1})[_0x4b90f1(0x2945)][_0x4b90f1(0x146b)](function(_0x4ec286){const _0x296436=_0x4b90f1;_0x17386d[_0x296436(0xfe9)]=_0x4ec286['rows']||[];});else{if(!_0x3770a0[_0x4b90f1(0x11d2)])return _0x36fd0b['userProfileResource'][_0x4b90f1(0x16b4)]({'sectionId':_0x3770a0['id']})[_0x4b90f1(0x2945)][_0x4b90f1(0x146b)](function(_0x3b3788){const _0x2f187e=_0x4b90f1,_0x48c376=_0x3f65c0()[_0x2f187e(0x205)](_0x3b3788['rows'],function(_0x2a39b1){const _0x345a25=_0x2f187e;return _0x3f65c0()[_0x345a25(0xc84)](_0x17386d['contexts'],{'id':_0x2a39b1[_0x345a25(0x18b8)]});});let _0xb91a6d=null;_0x17386d[_0x2f187e(0x279f)]&&(_0xb91a6d=_0x3f65c0()[_0x2f187e(0xc84)](_0x17386d['contexts'],{'name':_0x17386d['trunk'][_0x2f187e(0x1ac1)]}));if(_0xb91a6d&&!_0x3f65c0()[_0x2f187e(0x1360)](_0x48c376,['id',_0xb91a6d['id']])){const _0x419016=_0x3f65c0()[_0x2f187e(0xc84)](_0x17386d[_0x2f187e(0xfe9)],{'id':_0xb91a6d['id']});_0x419016[_0x2f187e(0x8ff)]=![],_0x48c376[_0x2f187e(0x1f47)](_0x419016);}_0x17386d[_0x2f187e(0xfe9)]=_0x48c376;});}})[_0x33711c(0x129e)](function(_0x1f4df8){const _0x26e93f=_0x33711c;_0x203f0f['error']({'title':_0x1f4df8['status']?'API:'+_0x1f4df8[_0x26e93f(0x107b)]+_0x26e93f(0x1315)+_0x1f4df8[_0x26e93f(0x167f)]:_0x26e93f(0x155e),'msg':_0x1f4df8[_0x26e93f(0x524)]?JSON[_0x26e93f(0x10bb)](_0x1f4df8[_0x26e93f(0x524)]):_0x1f4df8[_0x26e93f(0xd5f)]()});});function _0x5a668b(){const _0x465881=_0x33711c;if(_0x510de9[_0x465881(0x23e0)](_0x465881(0x174b)))_0x4217ad['go']('app.voice.realtime.trunks',{});else return _0x36fd0b[_0x465881(0x1366)][_0x465881(0x16b4)]({'userProfileId':_0x510de9['getCurrentUser']()['userProfileId'],'sectionId':0x19b})[_0x465881(0x2945)][_0x465881(0x146b)](function(_0x2e0ab2){const _0x12fbfb=_0x465881,_0xe67348=_0x2e0ab2&&_0x2e0ab2[_0x12fbfb(0x19c7)]?_0x2e0ab2[_0x12fbfb(0x19c7)][0x0]:null;_0xe67348&&_0xe67348[_0x12fbfb(0x193e)]?_0x4217ad['go']('app.voice.realtime.trunks',{}):_0x203f0f['info']({'title':_0x1c2981[_0x12fbfb(0xde)](_0x12fbfb(0xb27)),'msg':_0x1c2981[_0x12fbfb(0xde)](_0x12fbfb(0x174a))});})[_0x465881(0x129e)](function(_0x13afea){const _0x5c48e9=_0x465881;_0x203f0f[_0x5c48e9(0x1980)]({'title':_0x13afea[_0x5c48e9(0x107b)]?_0x5c48e9(0x262a)+_0x13afea[_0x5c48e9(0x107b)]+_0x5c48e9(0x1315)+_0x13afea[_0x5c48e9(0x167f)]:'USERPROFILE:GET_SECTION','msg':_0x13afea[_0x5c48e9(0x107b)]?JSON[_0x5c48e9(0x10bb)](_0x13afea[_0x5c48e9(0x524)]):_0x13afea[_0x5c48e9(0xd5f)]()});});}function _0x413979(_0x22591d,_0x329b94){const _0x51ba87=_0x33711c;_0x4c7090[_0x51ba87(0x2615)]({'controller':_0x51ba87(0x1974),'controllerAs':'vm','templateUrl':_0x4bd866,'parent':angular[_0x51ba87(0x1853)](_0x527d41['body']),'targetEvent':_0x329b94,'clickOutsideToClose':!![],'locals':{'trunk':_0x22591d,'trunks':_0x17386d[_0x51ba87(0x2433)]?_0x17386d[_0x51ba87(0x2433)][_0x51ba87(0x19c7)]:[],'crudPermissions':_0x17386d[_0x51ba87(0x2514)]}});}function _0x431f90(){const _0x34686b=_0x33711c;_0x4217ad['go'](_0x34686b(0x20ab),{},{'reload':_0x34686b(0x20ab)});}function _0x54fa18(){const _0x4a6e27=_0x33711c;_0x36fd0b[_0x4a6e27(0x279f)]['update']({'id':_0x17386d[_0x4a6e27(0x279f)]['id']},_0x17386d[_0x4a6e27(0x279f)])[_0x4a6e27(0x2945)][_0x4a6e27(0x146b)](function(){const _0x5afd1b=_0x4a6e27;_0x203f0f['success']({'title':_0x5afd1b(0xb0f),'msg':_0x17386d[_0x5afd1b(0x279f)][_0x5afd1b(0x19eb)]?_0x17386d[_0x5afd1b(0x279f)]['name']+'\x20has\x20been\x20updated!':''});})[_0x4a6e27(0x129e)](function(_0x308347){const _0xec3e92=_0x4a6e27;_0x203f0f[_0xec3e92(0x1980)]({'title':_0x308347[_0xec3e92(0x107b)]?_0xec3e92(0x262a)+_0x308347[_0xec3e92(0x107b)]+_0xec3e92(0x1315)+_0x308347['statusText']:_0xec3e92(0xc4d),'msg':_0x308347[_0xec3e92(0x524)]?JSON['stringify'](_0x308347[_0xec3e92(0x524)]):_0x308347[_0xec3e92(0xd5f)]()});});}}const _0xedc4a7=_0x42a277;;const _0xbd6438=_0x4acfac['p']+_0x313a4d(0x285d);;_0x4bf818[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0x214b),_0x313a4d(0x2433),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),'license',_0x313a4d(0x15b9)];function _0x4bf818(_0x33c0d4,_0x5be458,_0x15bda7,_0xc502f9,_0x707ede,_0x38b152,_0x1dab28,_0x2dc8ca,_0x354b4d,_0x2f1948,_0x542660,_0x9a364f,_0x15739e,_0xedc330,_0x32eb48,_0x4db39b,_0x9f9af0){const _0x2d3280=_0x313a4d,_0x59e064=this;_0x59e064[_0x2d3280(0x2690)]=_0x4db39b,_0x59e064['setting']=_0x9f9af0,_0x59e064['currentUser']=_0x32eb48['getCurrentUser'](),_0x59e064[_0x2d3280(0x2433)]=_0x354b4d||{'count':0x0,'rows':[]},_0x59e064['userProfile']=_0x2f1948,_0x59e064[_0x2d3280(0x1366)]=_0x542660&&_0x542660[_0x2d3280(0x51c)]==0x1?_0x542660[_0x2d3280(0x19c7)][0x0]:null,_0x59e064[_0x2d3280(0x2514)]=_0x32eb48[_0x2d3280(0xe60)](_0x59e064[_0x2d3280(0x1366)]?_0x59e064['userProfileSection']['crudPermissions']:null),_0x59e064[_0x2d3280(0x768)]=_0x2d3280(0x2433),_0x59e064[_0x2d3280(0x216a)]='',_0x59e064[_0x2d3280(0x214f)]=null,_0x59e064['selectedTrunks']=[],_0x59e064[_0x2d3280(0x1a56)]={'fields':_0x2d3280(0x16b0),'sort':_0x2d3280(0x12f2),'limit':0xa,'page':0x1},_0x59e064[_0x2d3280(0x11da)]=_0x3f65c0()[_0x2d3280(0x194)]([{'option':'Friend','value':'\x27friend\x27'},{'option':_0x2d3280(0x2393),'value':_0x2d3280(0x232e)},{'option':_0x2d3280(0xd9c),'value':_0x2d3280(0x1e47)}],function(_0x21c0bf){const _0x1285d4=_0x2d3280;return _0x3f65c0()[_0x1285d4(0x5f4)](_0x21c0bf['value'],new RegExp('\x27','g'),'');}),_0x59e064[_0x2d3280(0x270)]=_0x3f65c0()[_0x2d3280(0x194)]([{'option':_0x2d3280(0x14d8),'value':_0x2d3280(0x136e)},{'option':_0x2d3280(0x271e),'value':_0x2d3280(0xd91)},{'option':_0x2d3280(0xd04),'value':_0x2d3280(0x574)},{'option':_0x2d3280(0xbb1),'value':_0x2d3280(0xa88)},{'option':_0x2d3280(0x1b08),'value':_0x2d3280(0x1461)}],function(_0x31345b){const _0x3b3759=_0x2d3280;return _0x3f65c0()[_0x3b3759(0x5f4)](_0x31345b[_0x3b3759(0x175d)],new RegExp('\x27','g'),'');}),_0x59e064['arraynat']=_0x3f65c0()[_0x2d3280(0x194)]([{'option':_0x2d3280(0x22e7),'value':'\x27force_rport\x27'},{'option':_0x2d3280(0x113b),'value':_0x2d3280(0x73c)},{'option':_0x2d3280(0x18e3),'value':_0x2d3280(0x2339)},{'option':'no','value':'\x27no\x27'},{'option':_0x2d3280(0x6d2),'value':_0x2d3280(0xc7b)},{'option':_0x2d3280(0xdb0),'value':_0x2d3280(0xd9a)}],function(_0x22e02b){const _0x595912=_0x2d3280;return _0x3f65c0()[_0x595912(0x5f4)](_0x22e02b[_0x595912(0x175d)],new RegExp('\x27','g'),'');}),_0x59e064[_0x2d3280(0x11a4)]=_0x3f65c0()[_0x2d3280(0x194)]([{'option':_0x2d3280(0x18e3),'value':_0x2d3280(0x2339)},{'option':'no','value':_0x2d3280(0x74f)}],function(_0x1b240c){const _0xf9aa27=_0x2d3280;return _0x3f65c0()[_0xf9aa27(0x5f4)](_0x1b240c[_0xf9aa27(0x175d)],new RegExp('\x27','g'),'');}),_0x59e064[_0x2d3280(0x1ee2)]=_0x3f65c0()[_0x2d3280(0x194)]([{'option':_0x2d3280(0x8c3),'value':_0x2d3280(0x1f6f)},{'option':_0x2d3280(0x10ea),'value':_0x2d3280(0x174d)},{'option':_0x2d3280(0xdf0),'value':_0x2d3280(0x5a3)},{'option':_0x2d3280(0x8fd),'value':'\x27g723\x27'},{'option':_0x2d3280(0x2269),'value':_0x2d3280(0xc36)},{'option':_0x2d3280(0x2453),'value':_0x2d3280(0x1d33)},{'option':_0x2d3280(0xe90),'value':_0x2d3280(0x1bf3)},{'option':'ilbc','value':_0x2d3280(0x1d18)},{'option':'opus','value':_0x2d3280(0x1a7f)},{'option':_0x2d3280(0x1c87),'value':_0x2d3280(0x559)}],function(_0x437608){const _0x36a3bc=_0x2d3280;return _0x3f65c0()[_0x36a3bc(0x5f4)](_0x437608['value'],new RegExp('\x27','g'),'');}),_0x59e064[_0x2d3280(0x17dd)]=_0x3f65c0()['keyBy']([{'option':_0x2d3280(0x1553),'value':_0x2d3280(0x1860)},{'option':_0x2d3280(0x1bfc),'value':_0x2d3280(0x1b26)},{'option':_0x2d3280(0x1547),'value':_0x2d3280(0xab2)}],function(_0x5f22c8){const _0x41f492=_0x2d3280;return _0x3f65c0()[_0x41f492(0x5f4)](_0x5f22c8['value'],new RegExp('\x27','g'),'');}),_0x59e064[_0x2d3280(0x2207)]=_0x3f65c0()['keyBy']([{'option':_0x2d3280(0x18e3),'value':'\x27yes\x27'},{'option':'no','value':'\x27no\x27'},{'option':_0x2d3280(0x28cb),'value':_0x2d3280(0xe20)},{'option':'update','value':_0x2d3280(0x21f1)}],function(_0x3e2c10){const _0x592db9=_0x2d3280;return _0x3f65c0()[_0x592db9(0x5f4)](_0x3e2c10[_0x592db9(0x175d)],new RegExp('\x27','g'),'');}),_0x59e064[_0x2d3280(0x1dca)]=_0x3f65c0()[_0x2d3280(0x194)]([{'option':_0x2d3280(0x18e3),'value':_0x2d3280(0x2339)},{'option':'no','value':_0x2d3280(0x74f)}],function(_0x22da33){const _0x514405=_0x2d3280;return _0x3f65c0()[_0x514405(0x5f4)](_0x22da33[_0x514405(0x175d)],new RegExp('\x27','g'),'');}),_0x59e064[_0x2d3280(0xeb4)]=_0x3f65c0()[_0x2d3280(0x194)]([{'option':_0x2d3280(0x18e3),'value':_0x2d3280(0x2339)},{'option':'no','value':_0x2d3280(0x74f)}],function(_0x1069a4){const _0x19cab8=_0x2d3280;return _0x3f65c0()[_0x19cab8(0x5f4)](_0x1069a4[_0x19cab8(0x175d)],new RegExp('\x27','g'),'');}),_0x59e064[_0x2d3280(0xe05)]=_0x3f65c0()[_0x2d3280(0x194)]([{'option':_0x2d3280(0x18e3),'value':'\x27yes\x27'},{'option':'no','value':_0x2d3280(0x74f)}],function(_0x52ace9){const _0x56cd73=_0x2d3280;return _0x3f65c0()[_0x56cd73(0x5f4)](_0x52ace9[_0x56cd73(0x175d)],new RegExp('\x27','g'),'');}),_0x59e064[_0x2d3280(0x228c)]=_0x3f65c0()['keyBy']([{'option':'yes','value':_0x2d3280(0x2339)},{'option':'no','value':_0x2d3280(0x74f)},{'option':_0x2d3280(0x11ac),'value':'\x27pai\x27'}],function(_0x5dbe61){const _0x2e1e3a=_0x2d3280;return _0x3f65c0()[_0x2e1e3a(0x5f4)](_0x5dbe61[_0x2e1e3a(0x175d)],new RegExp('\x27','g'),'');}),_0x59e064[_0x2d3280(0x6dd)]=_0x3f65c0()[_0x2d3280(0x194)]([{'option':_0x2d3280(0x18e3),'value':_0x2d3280(0x2339)},{'option':'no','value':_0x2d3280(0x74f)}],function(_0x582a72){const _0x5037f2=_0x2d3280;return _0x3f65c0()[_0x5037f2(0x5f4)](_0x582a72['value'],new RegExp('\x27','g'),'');}),_0x59e064['arraytransport']=_0x3f65c0()[_0x2d3280(0x194)]([{'option':'udp','value':_0x2d3280(0x21bd)},{'option':_0x2d3280(0x1701),'value':_0x2d3280(0x1992)},{'option':'ws','value':_0x2d3280(0x9ae)},{'option':'wss','value':_0x2d3280(0x222c)},{'option':_0x2d3280(0xcbe),'value':_0x2d3280(0x17ca)}],function(_0x153a49){return _0x3f65c0()['replace'](_0x153a49['value'],new RegExp('\x27','g'),'');}),_0x59e064[_0x2d3280(0xc95)]=_0x3f65c0()[_0x2d3280(0x194)]([{'option':_0x2d3280(0x1b97),'value':'\x27yes\x27'},{'option':'No','value':_0x2d3280(0x74f)},{'option':_0x2d3280(0x132f),'value':'\x27always\x27'}],function(_0x41186f){const _0x7e1888=_0x2d3280;return _0x3f65c0()[_0x7e1888(0x5f4)](_0x41186f[_0x7e1888(0x175d)],new RegExp('\x27','g'),'');}),_0x59e064[_0x2d3280(0x23f9)]=_0x4ba9ac,_0x59e064[_0x2d3280(0xa26)]=_0x5cdbbf,_0x59e064[_0x2d3280(0x138d)]=_0x575d16,_0x59e064[_0x2d3280(0xf0a)]=_0x1e997a,_0x59e064[_0x2d3280(0x1c75)]=_0x191365,_0x59e064[_0x2d3280(0x1cd4)]=_0x14cf2c,_0x59e064[_0x2d3280(0xb69)]=_0x21d623,_0x59e064[_0x2d3280(0x1fc5)]=_0x17fb12,_0x59e064[_0x2d3280(0x183b)]=_0x1b1716,_0x59e064['deleteSelectedTrunks']=_0x57b1da,_0x59e064[_0x2d3280(0x14fd)]=_0x174b02,_0x59e064[_0x2d3280(0x122d)]=_0x5411be;function _0x4ba9ac(_0x37bd7b){const _0x3702ac=_0x2d3280;_0x15bda7['go']('app.tools.trunks.edit',{'id':_0x37bd7b['id'],'trunk':_0x37bd7b,'crudPermissions':_0x59e064[_0x3702ac(0x2514)]});}function _0x5cdbbf(_0x48d85b,_0x54c95c){const _0x450ab0=_0x2d3280;_0x707ede['show']({'controller':_0x450ab0(0x1974),'controllerAs':'vm','templateUrl':_0x4bd866,'parent':angular[_0x450ab0(0x1853)](_0x38b152['body']),'targetEvent':_0x54c95c,'clickOutsideToClose':!![],'locals':{'trunk':_0x48d85b,'trunks':_0x59e064[_0x450ab0(0x2433)]?_0x59e064[_0x450ab0(0x2433)][_0x450ab0(0x19c7)]:[],'crudPermissions':_0x59e064[_0x450ab0(0x2514)]}});}function _0x575d16(){const _0x25031f=_0x2d3280;if(_0x32eb48[_0x25031f(0x23e0)](_0x25031f(0x174b)))_0x15bda7['go'](_0x25031f(0x146),{});else return _0x9a364f['userProfileSection'][_0x25031f(0x16b4)]({'userProfileId':_0x32eb48[_0x25031f(0xb12)]()['userProfileId'],'sectionId':0x19b})[_0x25031f(0x2945)][_0x25031f(0x146b)](function(_0x12b321){const _0x43d967=_0x25031f,_0x476eea=_0x12b321&&_0x12b321[_0x43d967(0x19c7)]?_0x12b321[_0x43d967(0x19c7)][0x0]:null;_0x476eea&&_0x476eea[_0x43d967(0x193e)]?_0x15bda7['go']('app.voice.realtime.trunks',{}):_0xedc330[_0x43d967(0x271e)]({'title':_0x2dc8ca['instant'](_0x43d967(0xb27)),'msg':_0x2dc8ca[_0x43d967(0xde)](_0x43d967(0x174a))});})[_0x25031f(0x129e)](function(_0x1ec2a4){const _0x4c4f40=_0x25031f;_0xedc330['error']({'title':_0x1ec2a4[_0x4c4f40(0x107b)]?_0x4c4f40(0x262a)+_0x1ec2a4[_0x4c4f40(0x107b)]+_0x4c4f40(0x1315)+_0x1ec2a4[_0x4c4f40(0x167f)]:_0x4c4f40(0x698),'msg':_0x1ec2a4[_0x4c4f40(0x107b)]?JSON['stringify'](_0x1ec2a4[_0x4c4f40(0x524)]):_0x1ec2a4[_0x4c4f40(0xd5f)]()});});}function _0x1e997a(_0xc9927e,_0x2a4cab){const _0x567ddc=_0x2d3280,_0x3fb0cd=_0x707ede['confirm']()[_0x567ddc(0x1189)](_0x567ddc(0xdb2)+_0x3f65c0()[_0x567ddc(0x20d1)]('trunk')+'?')[_0x567ddc(0x1cbe)](_0x567ddc(0x16d3)+(_0xc9927e['name']||'trunk')+_0x567ddc(0x252f)+_0x567ddc(0xe01))[_0x567ddc(0x4bd)](_0x567ddc(0x21f3))[_0x567ddc(0x1f27)](_0x2a4cab)['ok']('OK')['cancel'](_0x567ddc(0x39a));_0x707ede[_0x567ddc(0x2615)](_0x3fb0cd)[_0x567ddc(0x146b)](function(){_0x17fb12(_0xc9927e);},function(){const _0x804a74=_0x567ddc;console['log'](_0x804a74(0x39a));});}let _0x5d9fec=!![],_0x4c0770=0x1;_0x33c0d4['$watch'](_0x2d3280(0x2669),function(_0x382ebb,_0x1716b9){const _0x1478a0=_0x2d3280;_0x5d9fec?_0x1dab28(function(){_0x5d9fec=![];}):(!_0x1716b9&&(_0x4c0770=_0x59e064['query'][_0x1478a0(0x844)]),_0x382ebb!==_0x1716b9&&(_0x59e064['query'][_0x1478a0(0x844)]=0x1),!_0x382ebb&&(_0x59e064[_0x1478a0(0x1a56)][_0x1478a0(0x844)]=_0x4c0770),_0x59e064['getTrunks']());});function _0x191365(_0x3a53bf){const _0x40dbfa=_0x2d3280;_0x59e064[_0x40dbfa(0x2433)]=_0x3a53bf||{'count':0x0,'rows':[]};}function _0x14cf2c(){const _0x52462f=_0x2d3280;_0x59e064[_0x52462f(0x1a56)][_0x52462f(0x145d)]=(_0x59e064[_0x52462f(0x1a56)]['page']-0x1)*_0x59e064[_0x52462f(0x1a56)]['limit'],_0x32eb48[_0x52462f(0x23e0)]('admin')?_0x59e064['promise']=_0x9a364f['trunk'][_0x52462f(0x16b4)](_0x59e064[_0x52462f(0x1a56)],_0x191365)[_0x52462f(0x2945)]:(_0x59e064[_0x52462f(0x1a56)]['id']=_0x59e064[_0x52462f(0x26b6)]['id'],_0x59e064['query'][_0x52462f(0x2146)]='Trunks',_0x59e064['promise']=_0x9a364f[_0x52462f(0x26b6)][_0x52462f(0x158f)](_0x59e064['query'],_0x191365)[_0x52462f(0x2945)]);}function _0x21d623(_0x292010,_0x50d5f6){const _0x32ebca=_0x2d3280;_0x707ede['show']({'controller':_0x32ebca(0x95a),'controllerAs':'vm','templateUrl':_0xbd6438,'parent':angular[_0x32ebca(0x1853)](_0x38b152[_0x32ebca(0x2586)]),'targetEvent':_0x292010,'clickOutsideToClose':!![],'locals':{'trunk':_0x50d5f6,'trunks':_0x59e064[_0x32ebca(0x2433)]['rows'],'license':_0x59e064[_0x32ebca(0x2690)],'setting':_0x59e064['setting'],'crudPermissions':_0x59e064[_0x32ebca(0x2514)]}});}function _0x17fb12(_0x2739e3){const _0x269ec9=_0x2d3280;_0x9a364f[_0x269ec9(0x279f)]['delete']({'id':_0x2739e3['id']})[_0x269ec9(0x2945)][_0x269ec9(0x146b)](function(){const _0x550139=_0x269ec9;_0x3f65c0()[_0x550139(0x2640)](_0x59e064['trunks'][_0x550139(0x19c7)],{'id':_0x2739e3['id']}),_0x59e064[_0x550139(0x2433)]['count']-=0x1,!_0x59e064[_0x550139(0x2433)][_0x550139(0x19c7)][_0x550139(0x402)]&&_0x59e064[_0x550139(0x1cd4)](),_0xedc330[_0x550139(0x1c75)]({'title':_0x3f65c0()[_0x550139(0x20d1)]('Trunk')+_0x550139(0x201c),'msg':_0x2739e3[_0x550139(0x19eb)]?_0x2739e3[_0x550139(0x19eb)]+'\x20has\x20been\x20deleted!':''});})[_0x269ec9(0x129e)](function(_0x475774){const _0x62672a=_0x269ec9;if(_0x475774['data']&&_0x475774[_0x62672a(0x524)][_0x62672a(0xcef)]&&_0x475774[_0x62672a(0x524)]['errors']['length']){_0x59e064[_0x62672a(0xcef)]=_0x475774[_0x62672a(0x524)][_0x62672a(0xcef)]||[{'message':_0x475774[_0x62672a(0xd5f)](),'type':'SYSTEM:DELETEtrunk'}];for(let _0x34fd71=0x0;_0x34fd71<_0x475774[_0x62672a(0x524)][_0x62672a(0xcef)][_0x62672a(0x402)];_0x34fd71++){_0xedc330[_0x62672a(0x1980)]({'title':_0x475774['data'][_0x62672a(0xcef)][_0x34fd71][_0x62672a(0x1142)],'msg':_0x475774[_0x62672a(0x524)][_0x62672a(0xcef)][_0x34fd71][_0x62672a(0x7fd)]});}}else _0xedc330[_0x62672a(0x1980)]({'title':_0x475774[_0x62672a(0x107b)]?_0x62672a(0x262a)+_0x475774[_0x62672a(0x107b)]+_0x62672a(0x1315)+_0x475774[_0x62672a(0x167f)]:_0x62672a(0xc34),'msg':_0x475774['data']?JSON['stringify'](_0x475774[_0x62672a(0x524)][_0x62672a(0x7fd)]):_0x475774[_0x62672a(0x7fd)]||_0x475774[_0x62672a(0xd5f)]()});});}function _0x1b1716(){const _0x46883b=_0x2d3280,_0x10fed2=angular[_0x46883b(0x235a)](_0x59e064[_0x46883b(0x2806)]);return _0x59e064[_0x46883b(0x2806)]=[],_0x10fed2;}function _0x57b1da(_0x1d415e){const _0x58a0b2=_0x2d3280,_0x360a05=_0x707ede['confirm']()[_0x58a0b2(0x1189)](_0x58a0b2(0x1010))['htmlContent'](_0x58a0b2(0x16d3)+_0x59e064[_0x58a0b2(0x2806)]['length']+_0x58a0b2(0x2452)+'\x20will\x20be\x20deleted.')[_0x58a0b2(0x4bd)](_0x58a0b2(0xa81))[_0x58a0b2(0x1f27)](_0x1d415e)['ok']('OK')[_0x58a0b2(0x6c3)](_0x58a0b2(0x39a));_0x707ede[_0x58a0b2(0x2615)](_0x360a05)['then'](function(){const _0x118522=_0x58a0b2;_0x59e064[_0x118522(0x2806)]['forEach'](function(_0x38ab7c){_0x17fb12(_0x38ab7c);}),_0x59e064[_0x118522(0x2806)]=[];});}function _0x174b02(){const _0x287ca2=_0x2d3280;_0x59e064[_0x287ca2(0x2806)]=[];}function _0x5411be(){const _0x280953=_0x2d3280;_0x59e064[_0x280953(0x2806)]=_0x59e064['trunks'][_0x280953(0x19c7)];}}const _0x4308cd=_0x4bf818;;_0x8b7b74[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x85b),_0x313a4d(0x212),'api',_0x313a4d(0xa87),'license',_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x8b7b74(_0x26390c,_0x3864c4,_0x19eb03,_0x492a31,_0x4b24e9,_0x381db1,_0x30a42d,_0x27f50a,_0x22683b,_0x26cb75,_0x191f39,_0x430c67,_0x59476d,_0x45e19f){const _0x2ae5d4=_0x313a4d,_0x46a90a=this;_0x46a90a['currentUser']=_0x191f39[_0x2ae5d4(0xb12)](),_0x46a90a['errors']=[],_0x46a90a[_0x2ae5d4(0x15b9)]=_0x59476d,_0x46a90a['license']=_0x430c67,_0x46a90a['crudPermissions']=_0x45e19f,_0x46a90a[_0x2ae5d4(0x855)]={},_0x46a90a[_0x2ae5d4(0x2251)]=_0x46a90a['setting']&&_0x46a90a['setting'][_0x2ae5d4(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x46a90a[_0x2ae5d4(0x1189)]=_0x2ae5d4(0x1330),_0x46a90a[_0x2ae5d4(0x212)]=angular[_0x2ae5d4(0x235a)](_0x22683b),_0x46a90a[_0x2ae5d4(0x85b)]=_0x27f50a,_0x46a90a['newVariable']=![];!_0x46a90a[_0x2ae5d4(0x212)]&&(_0x46a90a[_0x2ae5d4(0x212)]={},_0x46a90a[_0x2ae5d4(0x1189)]=_0x2ae5d4(0x189b),_0x46a90a[_0x2ae5d4(0x10ff)]=!![]);_0x46a90a[_0x2ae5d4(0x1b92)]=_0x6894c3,_0x46a90a[_0x2ae5d4(0x1382)]=_0x2706f1,_0x46a90a[_0x2ae5d4(0x26d2)]=_0x21146f,_0x46a90a[_0x2ae5d4(0xe73)]=_0x177d19,_0x46a90a[_0x2ae5d4(0x13f3)]=_0x23b47b;function _0x6894c3(){const _0x513241=_0x2ae5d4;_0x46a90a[_0x513241(0xcef)]=[],_0x26cb75[_0x513241(0x212)][_0x513241(0x1e3)](_0x46a90a[_0x513241(0x212)])['$promise'][_0x513241(0x146b)](function(_0x4ffb67){const _0x42b0ef=_0x513241;_0x46a90a[_0x42b0ef(0x85b)][_0x42b0ef(0xb3d)](_0x4ffb67[_0x42b0ef(0x2488)]()),_0x30a42d[_0x42b0ef(0x1c75)]({'title':_0x42b0ef(0x129c),'msg':_0x46a90a[_0x42b0ef(0x212)][_0x42b0ef(0x19eb)]?_0x46a90a[_0x42b0ef(0x212)][_0x42b0ef(0x19eb)]+_0x42b0ef(0x1386):''}),_0x23b47b(_0x4ffb67);})['catch'](function(_0x9478ea){const _0x53c45a=_0x513241;if(_0x9478ea[_0x53c45a(0x524)]&&_0x9478ea[_0x53c45a(0x524)][_0x53c45a(0xcef)]&&_0x9478ea['data'][_0x53c45a(0xcef)][_0x53c45a(0x402)]){_0x46a90a[_0x53c45a(0xcef)]=_0x9478ea['data'][_0x53c45a(0xcef)]||[{'message':_0x9478ea[_0x53c45a(0xd5f)](),'type':'api.variable.save'}];for(let _0x202987=0x0;_0x202987<_0x9478ea[_0x53c45a(0x524)][_0x53c45a(0xcef)][_0x53c45a(0x402)];_0x202987+=0x1){_0x30a42d[_0x53c45a(0x1980)]({'title':_0x9478ea[_0x53c45a(0x524)]['errors'][_0x202987][_0x53c45a(0x1142)],'msg':_0x9478ea['data']['errors'][_0x202987][_0x53c45a(0x7fd)]});}}else _0x30a42d['error']({'title':_0x9478ea['status']?'API:'+_0x9478ea['status']+_0x53c45a(0x1315)+_0x9478ea[_0x53c45a(0x167f)]:'api.variable.save','msg':_0x9478ea[_0x53c45a(0x524)]?JSON[_0x53c45a(0x10bb)](_0x9478ea['data']['message']):_0x9478ea[_0x53c45a(0xd5f)]()});});}function _0x2706f1(){const _0xf8b2cb=_0x2ae5d4;_0x46a90a[_0xf8b2cb(0xcef)]=[],_0x26cb75['variable'][_0xf8b2cb(0x18e1)]({'id':_0x46a90a['variable']['id']},_0x46a90a['variable'])['$promise']['then'](function(_0x48e541){const _0x505662=_0xf8b2cb,_0x1354e0=_0x3f65c0()[_0x505662(0xc84)](_0x46a90a[_0x505662(0x85b)],{'id':_0x48e541['id']});_0x1354e0&&_0x3f65c0()[_0x505662(0x168d)](_0x1354e0,_0x3f65c0()['pick'](_0x48e541['toJSON'](),_0x3f65c0()['keys'](_0x1354e0))),_0x30a42d[_0x505662(0x1c75)]({'title':_0x505662(0x88a),'msg':_0x46a90a[_0x505662(0x212)][_0x505662(0x19eb)]?_0x46a90a[_0x505662(0x212)][_0x505662(0x19eb)]+_0x505662(0x24db):''}),_0x23b47b(_0x48e541);})['catch'](function(_0x343c1b){const _0x103651=_0xf8b2cb;if(_0x343c1b[_0x103651(0x524)]&&_0x343c1b[_0x103651(0x524)][_0x103651(0xcef)]&&_0x343c1b[_0x103651(0x524)]['errors'][_0x103651(0x402)]){_0x46a90a['errors']=_0x343c1b[_0x103651(0x524)]['errors']||[{'message':_0x343c1b[_0x103651(0xd5f)](),'type':_0x103651(0x343)}];for(let _0x279e55=0x0;_0x279e55<_0x343c1b[_0x103651(0x524)][_0x103651(0xcef)][_0x103651(0x402)];_0x279e55++){_0x30a42d[_0x103651(0x1980)]({'title':_0x343c1b[_0x103651(0x524)]['errors'][_0x279e55][_0x103651(0x1142)],'msg':_0x343c1b[_0x103651(0x524)][_0x103651(0xcef)][_0x279e55][_0x103651(0x7fd)]});}}else _0x30a42d['error']({'title':_0x343c1b[_0x103651(0x107b)]?_0x103651(0x262a)+_0x343c1b[_0x103651(0x107b)]+'\x20-\x20'+_0x343c1b['statusText']:_0x103651(0x343),'msg':_0x343c1b[_0x103651(0x524)]?JSON[_0x103651(0x10bb)](_0x343c1b['data'][_0x103651(0x7fd)]):_0x343c1b[_0x103651(0xd5f)]()});});}function _0x21146f(_0x3faefe){const _0x3ff597=_0x2ae5d4;_0x46a90a['errors']=[];const _0x13d32a=_0x492a31['confirm']()[_0x3ff597(0x1189)](_0x3ff597(0x1d64))[_0x3ff597(0x80f)](_0x3ff597(0xf1d))[_0x3ff597(0x4bd)](_0x3ff597(0x2306))['ok'](_0x3ff597(0x25de))[_0x3ff597(0x6c3)](_0x3ff597(0xcf0))['targetEvent'](_0x3faefe);_0x492a31[_0x3ff597(0x2615)](_0x13d32a)[_0x3ff597(0x146b)](function(){const _0x4c280b=_0x3ff597;_0x26cb75[_0x4c280b(0x212)][_0x4c280b(0x1fac)]({'id':_0x46a90a[_0x4c280b(0x212)]['id']})[_0x4c280b(0x2945)]['then'](function(){const _0x3829d1=_0x4c280b;_0x3f65c0()['remove'](_0x46a90a['variables'],{'id':_0x46a90a['variable']['id']}),_0x30a42d[_0x3829d1(0x1c75)]({'title':_0x3829d1(0xcb9),'msg':(_0x46a90a[_0x3829d1(0x212)][_0x3829d1(0x19eb)]||_0x3829d1(0x212))+_0x3829d1(0x23e3)}),_0x23b47b(_0x46a90a['variable']);})['catch'](function(_0x2de4ed){const _0x162e0d=_0x4c280b;if(_0x2de4ed[_0x162e0d(0x524)]&&_0x2de4ed[_0x162e0d(0x524)][_0x162e0d(0xcef)]&&_0x2de4ed[_0x162e0d(0x524)]['errors'][_0x162e0d(0x402)]){_0x46a90a[_0x162e0d(0xcef)]=_0x2de4ed[_0x162e0d(0x524)][_0x162e0d(0xcef)]||[{'message':_0x2de4ed[_0x162e0d(0xd5f)](),'type':_0x162e0d(0xe19)}];for(let _0x1c219a=0x0;_0x1c219a<_0x2de4ed[_0x162e0d(0x524)][_0x162e0d(0xcef)]['length'];_0x1c219a++){_0x30a42d[_0x162e0d(0x1980)]({'title':_0x2de4ed[_0x162e0d(0x524)][_0x162e0d(0xcef)][_0x1c219a][_0x162e0d(0x1142)],'msg':_0x2de4ed[_0x162e0d(0x524)][_0x162e0d(0xcef)][_0x1c219a]['message']});}}else _0x30a42d[_0x162e0d(0x1980)]({'title':_0x2de4ed['status']?_0x162e0d(0x262a)+_0x2de4ed[_0x162e0d(0x107b)]+'\x20-\x20'+_0x2de4ed[_0x162e0d(0x167f)]:'api.variable.delete','msg':_0x2de4ed['data']?JSON[_0x162e0d(0x10bb)](_0x2de4ed['data'][_0x162e0d(0x7fd)]):_0x2de4ed['message']||_0x2de4ed[_0x162e0d(0xd5f)]()});});},function(){});}function _0x177d19(_0x346abb){return _0x346abb===null?undefined:new Date(_0x346abb);}function _0x23b47b(_0x3b29b0){_0x492a31['hide'](_0x3b29b0);}}const _0x1d6e77=_0x8b7b74;;const _0x2c833f=_0x4acfac['p']+'src/js/modules/main/apps/tools/views/variables/create/dialog.html/dialog.html';;_0x230127['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1aa9),'$state','$mdSidenav',_0x313a4d(0x10e8),'$document','$timeout',_0x313a4d(0x214b),_0x313a4d(0x85b),'userProfile',_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),'license',_0x313a4d(0x15b9)];function _0x230127(_0x37d831,_0x12e90c,_0x1aae08,_0x28002c,_0x51e808,_0x5438de,_0x9dc7a,_0x167b3c,_0x3137a8,_0x3203b8,_0x4a759b,_0xec9b3a,_0x58abeb,_0x5828b0,_0x2867c7,_0x33cef4,_0x29c2f7){const _0x30c3da=_0x313a4d,_0x126785=this;_0x126785[_0x30c3da(0x2690)]=_0x33cef4,_0x126785[_0x30c3da(0x15b9)]=_0x29c2f7,_0x126785[_0x30c3da(0x2321)]=_0x2867c7[_0x30c3da(0xb12)](),_0x126785[_0x30c3da(0x85b)]=_0x3137a8||{'count':0x0,'rows':[]},_0x126785[_0x30c3da(0x26b6)]=_0x3203b8,_0x126785[_0x30c3da(0x1366)]=_0x4a759b&&_0x4a759b[_0x30c3da(0x51c)]==0x1?_0x4a759b['rows'][0x0]:null,_0x126785[_0x30c3da(0x2514)]=_0x2867c7[_0x30c3da(0xe60)](_0x126785['userProfileSection']?_0x126785[_0x30c3da(0x1366)][_0x30c3da(0x2514)]:null),_0x126785['table']=_0x30c3da(0x85b),_0x126785[_0x30c3da(0x216a)]='',_0x126785[_0x30c3da(0x214f)]=null,_0x126785[_0x30c3da(0x1e4f)]=[],_0x126785[_0x30c3da(0x1a56)]={'fields':_0x30c3da(0x608),'sort':'-updatedAt','limit':0xa,'page':0x1},_0x126785[_0x30c3da(0x2ed)]=_0x395b1a,_0x126785[_0x30c3da(0xf0a)]=_0x2919c1,_0x126785[_0x30c3da(0x1c75)]=_0x9c9fa2,_0x126785['getVariables']=_0x24e170,_0x126785['createOrEditVariable']=_0x41e533,_0x126785[_0x30c3da(0x26d2)]=_0x5cf363,_0x126785[_0x30c3da(0x1466)]=_0x1f8531,_0x126785['deleteSelectedVariables']=_0x8bb3b9,_0x126785[_0x30c3da(0x1d6e)]=_0x32a7bd,_0x126785[_0x30c3da(0x2438)]=_0x2ea98a;function _0x395b1a(_0x10aaf7,_0x37b82d){const _0x40cb7b=_0x30c3da;_0x51e808[_0x40cb7b(0x2615)]({'controller':_0x40cb7b(0x1a4a),'controllerAs':'vm','templateUrl':_0x2c833f,'parent':angular[_0x40cb7b(0x1853)](_0x5438de[_0x40cb7b(0x2586)]),'targetEvent':_0x37b82d,'clickOutsideToClose':!![],'locals':{'variable':_0x10aaf7,'variables':_0x126785['variables']['rows'],'license':_0x126785[_0x40cb7b(0x2690)],'setting':null,'crudPermissions':_0x126785['crudPermissions']}});}function _0x2919c1(_0x560c77,_0x47147f){const _0x10d08e=_0x30c3da,_0x23b769=_0x51e808[_0x10d08e(0x1e8a)]()[_0x10d08e(0x1189)](_0x10d08e(0xdb2)+_0x3f65c0()[_0x10d08e(0x20d1)](_0x10d08e(0x212))+'?')['htmlContent'](_0x10d08e(0x16d3)+(_0x560c77[_0x10d08e(0x19eb)]||'variable')+_0x10d08e(0x252f)+_0x10d08e(0xe01))[_0x10d08e(0x4bd)](_0x10d08e(0x246a))[_0x10d08e(0x1f27)](_0x47147f)['ok']('OK')['cancel'](_0x10d08e(0x39a));_0x51e808[_0x10d08e(0x2615)](_0x23b769)[_0x10d08e(0x146b)](function(){_0x5cf363(_0x560c77);},function(){const _0x1aed58=_0x10d08e;console[_0x1aed58(0x1a74)](_0x1aed58(0x39a));});}let _0x248d37=!![],_0x5d5c9f=0x1;_0x37d831['$watch'](_0x30c3da(0x2669),function(_0x286e34,_0x151324){const _0x4dd42f=_0x30c3da;_0x248d37?_0x9dc7a(function(){_0x248d37=![];}):(!_0x151324&&(_0x5d5c9f=_0x126785['query'][_0x4dd42f(0x844)]),_0x286e34!==_0x151324&&(_0x126785['query'][_0x4dd42f(0x844)]=0x1),!_0x286e34&&(_0x126785[_0x4dd42f(0x1a56)][_0x4dd42f(0x844)]=_0x5d5c9f),_0x126785[_0x4dd42f(0x1840)]());});function _0x9c9fa2(_0x448848){_0x126785['variables']=_0x448848||{'count':0x0,'rows':[]};}function _0x24e170(){const _0x1b1cb8=_0x30c3da;_0x126785[_0x1b1cb8(0x1a56)][_0x1b1cb8(0x145d)]=(_0x126785[_0x1b1cb8(0x1a56)][_0x1b1cb8(0x844)]-0x1)*_0x126785[_0x1b1cb8(0x1a56)][_0x1b1cb8(0x221e)],_0x2867c7[_0x1b1cb8(0x23e0)](_0x1b1cb8(0x174b))?_0x126785[_0x1b1cb8(0xb9c)]=_0xec9b3a[_0x1b1cb8(0x212)][_0x1b1cb8(0x16b4)](_0x126785[_0x1b1cb8(0x1a56)],_0x9c9fa2)[_0x1b1cb8(0x2945)]:(_0x126785[_0x1b1cb8(0x1a56)]['id']=_0x126785['userProfile']['id'],_0x126785[_0x1b1cb8(0x1a56)][_0x1b1cb8(0x2146)]=_0x1b1cb8(0x18ce),_0x126785[_0x1b1cb8(0xb9c)]=_0xec9b3a[_0x1b1cb8(0x26b6)]['getResources'](_0x126785['query'],_0x9c9fa2)['$promise']);}function _0x41e533(_0x512e5f,_0x4196c0){const _0x52dee6=_0x30c3da;_0x51e808[_0x52dee6(0x2615)]({'controller':_0x52dee6(0x1a4a),'controllerAs':'vm','templateUrl':_0x2c833f,'parent':angular[_0x52dee6(0x1853)](_0x5438de[_0x52dee6(0x2586)]),'targetEvent':_0x512e5f,'clickOutsideToClose':!![],'locals':{'variable':_0x4196c0,'variables':_0x126785[_0x52dee6(0x85b)][_0x52dee6(0x19c7)],'license':_0x126785[_0x52dee6(0x2690)],'setting':_0x126785['setting'],'crudPermissions':_0x126785[_0x52dee6(0x2514)]}});}function _0x5cf363(_0x520028){const _0x15ef10=_0x30c3da;_0xec9b3a[_0x15ef10(0x212)]['delete']({'id':_0x520028['id']})['$promise'][_0x15ef10(0x146b)](function(){const _0x177141=_0x15ef10;_0x3f65c0()[_0x177141(0x2640)](_0x126785['variables'][_0x177141(0x19c7)],{'id':_0x520028['id']}),_0x126785['variables'][_0x177141(0x51c)]-=0x1,!_0x126785['variables'][_0x177141(0x19c7)][_0x177141(0x402)]&&_0x126785[_0x177141(0x1840)](),_0x5828b0[_0x177141(0x1c75)]({'title':_0x3f65c0()[_0x177141(0x20d1)](_0x177141(0x182))+_0x177141(0x201c),'msg':_0x520028[_0x177141(0x19eb)]?_0x520028[_0x177141(0x19eb)]+_0x177141(0x23e3):''});})['catch'](function(_0x46ac28){const _0x4f07c7=_0x15ef10;if(_0x46ac28[_0x4f07c7(0x524)]&&_0x46ac28['data'][_0x4f07c7(0xcef)]&&_0x46ac28[_0x4f07c7(0x524)][_0x4f07c7(0xcef)][_0x4f07c7(0x402)]){_0x126785[_0x4f07c7(0xcef)]=_0x46ac28['data'][_0x4f07c7(0xcef)]||[{'message':_0x46ac28[_0x4f07c7(0xd5f)](),'type':'SYSTEM:DELETEvariable'}];for(let _0x4236ee=0x0;_0x4236ee<_0x46ac28[_0x4f07c7(0x524)][_0x4f07c7(0xcef)][_0x4f07c7(0x402)];_0x4236ee++){_0x5828b0[_0x4f07c7(0x1980)]({'title':_0x46ac28[_0x4f07c7(0x524)][_0x4f07c7(0xcef)][_0x4236ee][_0x4f07c7(0x1142)],'msg':_0x46ac28[_0x4f07c7(0x524)][_0x4f07c7(0xcef)][_0x4236ee][_0x4f07c7(0x7fd)]});}}else _0x5828b0[_0x4f07c7(0x1980)]({'title':_0x46ac28[_0x4f07c7(0x107b)]?_0x4f07c7(0x262a)+_0x46ac28['status']+'\x20-\x20'+_0x46ac28[_0x4f07c7(0x167f)]:_0x4f07c7(0x1249),'msg':_0x46ac28[_0x4f07c7(0x524)]?JSON[_0x4f07c7(0x10bb)](_0x46ac28[_0x4f07c7(0x524)][_0x4f07c7(0x7fd)]):_0x46ac28[_0x4f07c7(0x7fd)]||_0x46ac28['toString']()});});}function _0x1f8531(){const _0x3ccfbc=_0x30c3da,_0x49966e=angular[_0x3ccfbc(0x235a)](_0x126785[_0x3ccfbc(0x1e4f)]);return _0x126785[_0x3ccfbc(0x1e4f)]=[],_0x49966e;}function _0x8bb3b9(_0x25601b){const _0xd203cf=_0x30c3da,_0x1fb9e1=_0x51e808[_0xd203cf(0x1e8a)]()[_0xd203cf(0x1189)](_0xd203cf(0xe4d))['htmlContent'](_0xd203cf(0x16d3)+_0x126785['selectedVariables'][_0xd203cf(0x402)]+_0xd203cf(0x2452)+_0xd203cf(0xe01))[_0xd203cf(0x4bd)](_0xd203cf(0x10a5))[_0xd203cf(0x1f27)](_0x25601b)['ok']('OK')[_0xd203cf(0x6c3)]('CANCEL');_0x51e808[_0xd203cf(0x2615)](_0x1fb9e1)[_0xd203cf(0x146b)](function(){const _0x11a7cc=_0xd203cf;_0x126785['selectedVariables'][_0x11a7cc(0x1df5)](function(_0x95a06a){_0x5cf363(_0x95a06a);}),_0x126785[_0x11a7cc(0x1e4f)]=[];});}function _0x32a7bd(){const _0x592982=_0x30c3da;_0x126785[_0x592982(0x1e4f)]=[];}function _0x2ea98a(){const _0x2358a2=_0x30c3da;_0x126785[_0x2358a2(0x1e4f)]=_0x126785['variables'][_0x2358a2(0x19c7)];}}const _0x7c9e26=_0x230127;;_0x1c967d[_0x313a4d(0x11c2)]=[_0x313a4d(0x921),_0x313a4d(0x695)];function _0x1c967d(_0x2d0e62,_0x25e6d7){const _0xabdd61=_0x313a4d;_0x2d0e62[_0xabdd61(0x13d6)](_0xabdd61(0xe84),{'abstract':!![],'url':_0xabdd61(0x18d6)})[_0xabdd61(0x13d6)](_0xabdd61(0x1f7c),{'url':_0xabdd61(0x506),'views':{'content@app':{'templateUrl':_0x4e3d52,'controller':_0xabdd61(0x164d)}},'resolve':{'cannedAnswers':[_0xabdd61(0x362),_0xabdd61(0xa87),function(_0x329311,_0x5ba33d){const _0x3962b1=_0xabdd61;return _0x5ba33d[_0x3962b1(0x23e0)](_0x3962b1(0x174b))?_0x329311['resolve'](_0x3962b1(0x2d6),{'fields':_0x3962b1(0x241e),'sort':_0x3962b1(0x12f2),'MailAccountId':_0x3962b1(0x203c),'FaxAccountId':_0x3962b1(0x203c),'SmsAccountId':_0x3962b1(0x203c),'OpenchannelAccountId':_0x3962b1(0x203c),'ChatWebsiteId':'null','limit':0xa,'offset':0x0}):_0x329311[_0x3962b1(0x2922)](_0x3962b1(0x938),{'id':_0x5ba33d[_0x3962b1(0xb12)]()[_0x3962b1(0x209a)],'section':_0x3962b1(0x16c4),'fields':_0x3962b1(0x241e),'sort':_0x3962b1(0x12f2),'MailAccountId':_0x3962b1(0x203c),'FaxAccountId':'null','SmsAccountId':_0x3962b1(0x203c),'OpenchannelAccountId':_0x3962b1(0x203c),'ChatWebsiteId':_0x3962b1(0x203c),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver','Auth',function(_0x560d7b,_0xab187b){const _0x516324=_0xabdd61;return _0xab187b[_0x516324(0x23e0)](_0x516324(0x174b))?null:_0x560d7b[_0x516324(0x2922)](_0x516324(0x119a),{'fields':_0x516324(0x227),'id':_0xab187b['getCurrentUser']()[_0x516324(0x209a)]});}],'userProfileSection':[_0xabdd61(0x362),'Auth',function(_0x3c6a56,_0x58cb62){const _0x4a1551=_0xabdd61;return _0x58cb62['hasRole'](_0x4a1551(0x174b))?null:_0x3c6a56[_0x4a1551(0x2922)](_0x4a1551(0x27be),{'fields':_0x4a1551(0x1e64),'userProfileId':_0x58cb62['getCurrentUser']()[_0x4a1551(0x209a)],'sectionId':0x3e9});}]},'authenticate':!![],'permissionId':0x3e9,'bodyClass':_0xabdd61(0x11fe)})[_0xabdd61(0x13d6)](_0xabdd61(0x1cc8),{'url':'/customDashboards','views':{'content@app':{'templateUrl':_0x2a832b,'controller':'CustomDashboardsController\x20as\x20vm'}},'resolve':{'customDashboards':[_0xabdd61(0x362),_0xabdd61(0xa87),function(_0x257c34,_0x2cdbb0){const _0x4b976b=_0xabdd61;return _0x2cdbb0['hasRole'](_0x4b976b(0x174b))?_0x257c34['resolve'](_0x4b976b(0x6d1),{'fields':_0x4b976b(0x608),'sort':_0x4b976b(0x12f2),'limit':0xa,'offset':0x0}):_0x257c34[_0x4b976b(0x2922)]('userProfile@getResources',{'id':_0x2cdbb0[_0x4b976b(0xb12)]()['userProfileId'],'section':'CustomDashboards','fields':_0x4b976b(0x608),'sort':_0x4b976b(0x12f2),'limit':0xa,'offset':0x0});}],'userProfile':[_0xabdd61(0x362),_0xabdd61(0xa87),function(_0x29ddc0,_0x23ac91){const _0xd419de=_0xabdd61;return _0x23ac91[_0xd419de(0x23e0)](_0xd419de(0x174b))?null:_0x29ddc0[_0xd419de(0x2922)](_0xd419de(0x119a),{'fields':_0xd419de(0x227),'id':_0x23ac91[_0xd419de(0xb12)]()[_0xd419de(0x209a)]});}],'userProfileSection':[_0xabdd61(0x362),'Auth',function(_0xb16d28,_0x93b5b4){const _0x552363=_0xabdd61;return _0x93b5b4['hasRole']('admin')?null:_0xb16d28[_0x552363(0x2922)](_0x552363(0x27be),{'fields':_0x552363(0x1e64),'userProfileId':_0x93b5b4[_0x552363(0xb12)]()[_0x552363(0x209a)],'sectionId':0x3eb});}]},'authenticate':!![],'permissionId':0x3eb,'bodyClass':_0xabdd61(0x11fe)})[_0xabdd61(0x13d6)](_0xabdd61(0x9d8),{'url':_0xabdd61(0x2028),'params':{'customDashboard':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x3c03ee,'controller':'CustomDashboardController\x20as\x20vm'}},'resolve':{'customDashboard':[_0xabdd61(0x362),'$stateParams',function(_0x43fe90,_0x2f73be){const _0x55ddea=_0xabdd61;return _0x43fe90[_0x55ddea(0x2922)]('dashboard@get',{'fields':_0x55ddea(0x608),'id':_0x2f73be['id']});}],'userProfileSection':[_0xabdd61(0x362),'Auth',function(_0x5ce079,_0x3560db){const _0x505481=_0xabdd61;return _0x5ce079[_0x505481(0x2922)]('userProfileSection@get',{'fields':_0x505481(0x1e64),'userProfileId':_0x3560db[_0x505481(0xb12)]()[_0x505481(0x209a)],'sectionId':0x3eb});}]},'authenticate':!![],'permissionId':0x3eb,'bodyClass':_0xabdd61(0x11fe)})[_0xabdd61(0x13d6)](_0xabdd61(0x2378),{'url':_0xabdd61(0x1067),'views':{'content@app':{'templateUrl':_0x3cfbe2,'controller':'IntervalsController\x20as\x20vm'}},'resolve':{'intervals':[_0xabdd61(0x362),_0xabdd61(0xa87),function(_0x40a5d8,_0x7d85e5){const _0x43b4de=_0xabdd61;return _0x7d85e5['hasRole'](_0x43b4de(0x174b))?_0x40a5d8[_0x43b4de(0x2922)](_0x43b4de(0x2270),{'fields':_0x43b4de(0x608),'sort':_0x43b4de(0x12f2),'IntervalId':'null','limit':0xa,'offset':0x0}):_0x40a5d8['resolve'](_0x43b4de(0x938),{'id':_0x7d85e5[_0x43b4de(0xb12)]()[_0x43b4de(0x209a)],'section':_0x43b4de(0x162f),'fields':_0x43b4de(0x608),'sort':'-updatedAt','IntervalId':_0x43b4de(0x203c),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver',_0xabdd61(0xa87),function(_0x42defa,_0x49d3e0){const _0x4c7642=_0xabdd61;return _0x49d3e0[_0x4c7642(0x23e0)](_0x4c7642(0x174b))?null:_0x42defa[_0x4c7642(0x2922)]('userProfile@get',{'fields':_0x4c7642(0x227),'id':_0x49d3e0['getCurrentUser']()['userProfileId']});}],'userProfileSection':[_0xabdd61(0x362),_0xabdd61(0xa87),function(_0x52d7fc,_0x59f65c){const _0x20b0d0=_0xabdd61;return _0x59f65c[_0x20b0d0(0x23e0)](_0x20b0d0(0x174b))?null:_0x52d7fc[_0x20b0d0(0x2922)](_0x20b0d0(0x27be),{'fields':_0x20b0d0(0x1e64),'userProfileId':_0x59f65c[_0x20b0d0(0xb12)]()[_0x20b0d0(0x209a)],'sectionId':0x3ec});}]},'authenticate':!![],'permissionId':0x3ec,'bodyClass':'tools'})[_0xabdd61(0x13d6)](_0xabdd61(0xc51),{'url':'/:id?tab','params':{'interval':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x5109aa,'controller':_0xabdd61(0x748)}},'resolve':{'interval':[_0xabdd61(0x362),'$stateParams',function(_0x34b589,_0x2c8046){const _0x425851=_0xabdd61;return _0x34b589[_0x425851(0x2922)](_0x425851(0x2270),{'fields':_0x425851(0x608),'id':_0x2c8046['id']});}],'userProfileSection':[_0xabdd61(0x362),'Auth',function(_0x386afc,_0x5bd4d2){const _0x3c43e7=_0xabdd61;return _0x386afc[_0x3c43e7(0x2922)](_0x3c43e7(0x27be),{'fields':_0x3c43e7(0x1e64),'userProfileId':_0x5bd4d2['getCurrentUser']()[_0x3c43e7(0x209a)],'sectionId':0x3ec});}]},'authenticate':!![],'permissionId':0x3ec,'bodyClass':_0xabdd61(0x11fe)})[_0xabdd61(0x13d6)](_0xabdd61(0x179e),{'url':_0xabdd61(0x149a),'views':{'content@app':{'templateUrl':_0x4de650,'controller':_0xabdd61(0xb6d)}},'resolve':{'pauses':['apiResolver',_0xabdd61(0xa87),function(_0x27fc2d,_0x13d628){const _0x935736=_0xabdd61;return _0x13d628[_0x935736(0x23e0)](_0x935736(0x174b))?_0x27fc2d['resolve'](_0x935736(0x3f6),{'fields':_0x935736(0x608),'sort':'-updatedAt','limit':0xa,'offset':0x0}):_0x27fc2d[_0x935736(0x2922)](_0x935736(0x938),{'id':_0x13d628['getCurrentUser']()[_0x935736(0x209a)],'section':_0x935736(0x197),'fields':_0x935736(0x608),'sort':_0x935736(0x12f2),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver','Auth',function(_0x5de80c,_0x53a55e){const _0x4b7512=_0xabdd61;return _0x53a55e[_0x4b7512(0x23e0)](_0x4b7512(0x174b))?null:_0x5de80c['resolve'](_0x4b7512(0x119a),{'fields':_0x4b7512(0x227),'id':_0x53a55e[_0x4b7512(0xb12)]()[_0x4b7512(0x209a)]});}],'userProfileSection':[_0xabdd61(0x362),_0xabdd61(0xa87),function(_0x2e9ff6,_0x3371e3){const _0x40260d=_0xabdd61;return _0x3371e3['hasRole'](_0x40260d(0x174b))?null:_0x2e9ff6[_0x40260d(0x2922)](_0x40260d(0x27be),{'fields':_0x40260d(0x1e64),'userProfileId':_0x3371e3[_0x40260d(0xb12)]()[_0x40260d(0x209a)],'sectionId':0x3ed});}]},'authenticate':!![],'permissionId':0x3ed,'bodyClass':_0xabdd61(0x11fe)})[_0xabdd61(0x13d6)](_0xabdd61(0x1578),{'url':'/schedules','views':{'content@app':{'templateUrl':_0x5ab98f,'controller':_0xabdd61(0x2061)}},'resolve':{'schedules':['apiResolver',_0xabdd61(0xa87),function(_0x24e589,_0x46a7a7){const _0x264dce=_0xabdd61;return _0x46a7a7['hasRole'](_0x264dce(0x174b))?_0x24e589[_0x264dce(0x2922)](_0x264dce(0x1b0),{'fields':_0x264dce(0x1a09),'sort':_0x264dce(0x12f2),'limit':0xa,'offset':0x0}):_0x24e589[_0x264dce(0x2922)]('userProfile@getResources',{'id':_0x46a7a7[_0x264dce(0xb12)]()[_0x264dce(0x209a)],'section':'Scheduler','fields':'createdAt,updatedAt,id,name,CustomReportId,DefaultReportId,output,active,startAt,endAt,cron,subtractNumber,subtractUnit,sendMail,MailAccountId,email,cc,bcc,sendIfEmpty,description','sort':'-updatedAt','limit':0xa,'offset':0x0});}],'userProfile':[_0xabdd61(0x362),_0xabdd61(0xa87),function(_0xf6907a,_0x540536){const _0x32f9b4=_0xabdd61;return _0x540536['hasRole'](_0x32f9b4(0x174b))?null:_0xf6907a[_0x32f9b4(0x2922)](_0x32f9b4(0x119a),{'fields':'id,name,crudPermissions','id':_0x540536[_0x32f9b4(0xb12)]()[_0x32f9b4(0x209a)]});}],'userProfileSection':[_0xabdd61(0x362),_0xabdd61(0xa87),function(_0x440a8c,_0x5382e6){const _0x51e6b5=_0xabdd61;return _0x5382e6[_0x51e6b5(0x23e0)](_0x51e6b5(0x174b))?null:_0x440a8c['resolve'](_0x51e6b5(0x27be),{'fields':_0x51e6b5(0x1e64),'userProfileId':_0x5382e6[_0x51e6b5(0xb12)]()['userProfileId'],'sectionId':0x3ee});}]},'authenticate':!![],'permissionId':0x3ee,'bodyClass':_0xabdd61(0x11fe)})[_0xabdd61(0x13d6)](_0xabdd61(0x90a),{'url':_0xabdd61(0x102a),'views':{'content@app':{'templateUrl':_0x6b1dde,'controller':'SoundsController\x20as\x20vm'}},'resolve':{'sounds':[_0xabdd61(0x362),_0xabdd61(0xa87),function(_0x23db3c,_0x153d84){const _0x3e1de5=_0xabdd61;return _0x153d84[_0x3e1de5(0x23e0)]('admin')?_0x23db3c[_0x3e1de5(0x2922)](_0x3e1de5(0x537),{'fields':_0x3e1de5(0x21d),'sort':_0x3e1de5(0x12f2),'limit':0xa,'offset':0x0}):_0x23db3c[_0x3e1de5(0x2922)](_0x3e1de5(0x938),{'id':_0x153d84[_0x3e1de5(0xb12)]()[_0x3e1de5(0x209a)],'section':'Sounds','fields':_0x3e1de5(0x21d),'sort':'-updatedAt','limit':0xa,'offset':0x0});}],'userProfile':[_0xabdd61(0x362),_0xabdd61(0xa87),function(_0x454cc4,_0x5d0e46){const _0x1f6a82=_0xabdd61;return _0x5d0e46[_0x1f6a82(0x23e0)]('admin')?null:_0x454cc4['resolve'](_0x1f6a82(0x119a),{'fields':_0x1f6a82(0x227),'id':_0x5d0e46[_0x1f6a82(0xb12)]()['userProfileId']});}],'userProfileSection':['apiResolver',_0xabdd61(0xa87),function(_0x29dfe7,_0x3579c4){const _0x370d4a=_0xabdd61;return _0x3579c4['hasRole']('admin')?null:_0x29dfe7[_0x370d4a(0x2922)](_0x370d4a(0x27be),{'fields':_0x370d4a(0x1e64),'userProfileId':_0x3579c4[_0x370d4a(0xb12)]()[_0x370d4a(0x209a)],'sectionId':0x3ef});}]},'authenticate':!![],'permissionId':0x3ef,'bodyClass':_0xabdd61(0x11fe)})[_0xabdd61(0x13d6)]('app.tools.tags',{'url':_0xabdd61(0x580),'views':{'content@app':{'templateUrl':_0x4af6e3,'controller':_0xabdd61(0xcf2)}},'resolve':{'tags':[_0xabdd61(0x362),_0xabdd61(0xa87),function(_0x1d57a9,_0x1ec1de){const _0x498e6a=_0xabdd61;return _0x1ec1de[_0x498e6a(0x23e0)]('admin')?_0x1d57a9[_0x498e6a(0x2922)](_0x498e6a(0x2552),{'fields':_0x498e6a(0x1099),'sort':_0x498e6a(0x12f2),'limit':0xa,'offset':0x0}):_0x1d57a9['resolve']('userProfile@getResources',{'id':_0x1ec1de[_0x498e6a(0xb12)]()['userProfileId'],'section':_0x498e6a(0x2331),'fields':'createdAt,updatedAt,id,name,description,color','sort':'-updatedAt','limit':0xa,'offset':0x0});}],'userProfile':[_0xabdd61(0x362),_0xabdd61(0xa87),function(_0x2a1dba,_0x3b745e){const _0x38e3dd=_0xabdd61;return _0x3b745e[_0x38e3dd(0x23e0)](_0x38e3dd(0x174b))?null:_0x2a1dba[_0x38e3dd(0x2922)]('userProfile@get',{'fields':_0x38e3dd(0x227),'id':_0x3b745e[_0x38e3dd(0xb12)]()[_0x38e3dd(0x209a)]});}],'userProfileSection':[_0xabdd61(0x362),_0xabdd61(0xa87),function(_0x31b416,_0x1ff9f2){const _0x1d297d=_0xabdd61;return _0x1ff9f2[_0x1d297d(0x23e0)](_0x1d297d(0x174b))?null:_0x31b416[_0x1d297d(0x2922)](_0x1d297d(0x27be),{'fields':_0x1d297d(0x1e64),'userProfileId':_0x1ff9f2['getCurrentUser']()['userProfileId'],'sectionId':0x3f0});}]},'authenticate':!![],'permissionId':0x3f0,'bodyClass':_0xabdd61(0x11fe)})[_0xabdd61(0x13d6)]('app.tools.templates',{'url':_0xabdd61(0x2294),'views':{'content@app':{'templateUrl':_0xc44bdf,'controller':_0xabdd61(0x2874)}},'resolve':{'templates':[_0xabdd61(0x362),_0xabdd61(0xa87),function(_0x10a0f9,_0x517c0a){const _0x22b1cf=_0xabdd61;return _0x517c0a[_0x22b1cf(0x23e0)]('admin')?_0x10a0f9['resolve'](_0x22b1cf(0x16da),{'fields':'createdAt,updatedAt,id,name,html,description','sort':_0x22b1cf(0x12f2),'limit':0xa,'offset':0x0}):_0x10a0f9['resolve'](_0x22b1cf(0x938),{'id':_0x517c0a['getCurrentUser']()[_0x22b1cf(0x209a)],'section':'Templates','fields':_0x22b1cf(0x1378),'sort':_0x22b1cf(0x12f2),'limit':0xa,'offset':0x0});}],'userProfile':[_0xabdd61(0x362),_0xabdd61(0xa87),function(_0x212a27,_0x3670d3){const _0x390555=_0xabdd61;return _0x3670d3[_0x390555(0x23e0)]('admin')?null:_0x212a27[_0x390555(0x2922)](_0x390555(0x119a),{'fields':_0x390555(0x227),'id':_0x3670d3[_0x390555(0xb12)]()[_0x390555(0x209a)]});}],'userProfileSection':[_0xabdd61(0x362),_0xabdd61(0xa87),function(_0x3e4b9a,_0x3ed890){const _0x1ed8ea=_0xabdd61;return _0x3ed890['hasRole']('admin')?null:_0x3e4b9a[_0x1ed8ea(0x2922)](_0x1ed8ea(0x27be),{'fields':_0x1ed8ea(0x1e64),'userProfileId':_0x3ed890[_0x1ed8ea(0xb12)]()[_0x1ed8ea(0x209a)],'sectionId':0x3f1});}]},'authenticate':!![],'permissionId':0x3f1,'bodyClass':_0xabdd61(0x11fe)})[_0xabdd61(0x13d6)](_0xabdd61(0x20ab),{'url':'/trunks','views':{'content@app':{'templateUrl':_0x59596e,'controller':_0xabdd61(0x219a)}},'resolve':{'trunks':[_0xabdd61(0x362),_0xabdd61(0xa87),function(_0x4f6d54,_0x1081e3){const _0x51fec8=_0xabdd61;return _0x1081e3[_0x51fec8(0x23e0)](_0x51fec8(0x174b))?_0x4f6d54['resolve']('trunk@get',{'fields':_0x51fec8(0x16b0),'sort':_0x51fec8(0x12f2),'limit':0xa,'offset':0x0}):_0x4f6d54[_0x51fec8(0x2922)]('userProfile@getResources',{'id':_0x1081e3[_0x51fec8(0xb12)]()[_0x51fec8(0x209a)],'section':_0x51fec8(0x110f),'fields':_0x51fec8(0x16b0),'sort':_0x51fec8(0x12f2),'limit':0xa,'offset':0x0});}],'userProfile':[_0xabdd61(0x362),_0xabdd61(0xa87),function(_0x1dfe1a,_0x794eee){const _0x4a1775=_0xabdd61;return _0x794eee[_0x4a1775(0x23e0)](_0x4a1775(0x174b))?null:_0x1dfe1a[_0x4a1775(0x2922)]('userProfile@get',{'fields':_0x4a1775(0x227),'id':_0x794eee[_0x4a1775(0xb12)]()[_0x4a1775(0x209a)]});}],'userProfileSection':[_0xabdd61(0x362),'Auth',function(_0x2cabac,_0x297f71){const _0x530b25=_0xabdd61;return _0x297f71[_0x530b25(0x23e0)](_0x530b25(0x174b))?null:_0x2cabac[_0x530b25(0x2922)](_0x530b25(0x27be),{'fields':_0x530b25(0x1e64),'userProfileId':_0x297f71['getCurrentUser']()[_0x530b25(0x209a)],'sectionId':0x3f3});}]},'authenticate':!![],'permissionId':0x3f3,'bodyClass':'tools'})[_0xabdd61(0x13d6)]('app.tools.trunks.edit',{'url':_0xabdd61(0x2028),'params':{'trunk':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x3afaf5,'controller':'TrunkController\x20as\x20vm'}},'resolve':{'trunk':[_0xabdd61(0x362),_0xabdd61(0x28c8),function(_0x4b2a74,_0x41e910){const _0x3d7135=_0xabdd61;return _0x4b2a74[_0x3d7135(0x2922)]('trunk@get',{'fields':_0x3d7135(0x16b0),'id':_0x41e910['id']});}],'userProfileSection':[_0xabdd61(0x362),_0xabdd61(0xa87),function(_0x1e5a12,_0x51639a){const _0x429518=_0xabdd61;return _0x1e5a12[_0x429518(0x2922)](_0x429518(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x51639a[_0x429518(0xb12)]()[_0x429518(0x209a)],'sectionId':0x3f3});}]},'authenticate':!![],'permissionId':0x3f3,'bodyClass':_0xabdd61(0x11fe)})[_0xabdd61(0x13d6)]('app.tools.variables',{'url':_0xabdd61(0x20d3),'views':{'content@app':{'templateUrl':_0x2e16b4,'controller':_0xabdd61(0x1445)}},'resolve':{'variables':[_0xabdd61(0x362),'Auth',function(_0x19dc19,_0x2e7fe0){const _0x3532ee=_0xabdd61;return _0x2e7fe0['hasRole'](_0x3532ee(0x174b))?_0x19dc19[_0x3532ee(0x2922)]('variable@get',{'fields':_0x3532ee(0x608),'sort':_0x3532ee(0x12f2),'limit':0xa,'offset':0x0}):_0x19dc19[_0x3532ee(0x2922)](_0x3532ee(0x938),{'id':_0x2e7fe0['getCurrentUser']()[_0x3532ee(0x209a)],'section':_0x3532ee(0x18ce),'fields':'createdAt,updatedAt,id,name,description','sort':_0x3532ee(0x12f2),'limit':0xa,'offset':0x0});}],'userProfile':[_0xabdd61(0x362),_0xabdd61(0xa87),function(_0x3a1c5f,_0x1c3938){const _0x3cc9f4=_0xabdd61;return _0x1c3938[_0x3cc9f4(0x23e0)](_0x3cc9f4(0x174b))?null:_0x3a1c5f['resolve'](_0x3cc9f4(0x119a),{'fields':_0x3cc9f4(0x227),'id':_0x1c3938[_0x3cc9f4(0xb12)]()[_0x3cc9f4(0x209a)]});}],'userProfileSection':['apiResolver',_0xabdd61(0xa87),function(_0x3539a7,_0x3ac623){const _0xd06786=_0xabdd61;return _0x3ac623[_0xd06786(0x23e0)]('admin')?null:_0x3539a7[_0xd06786(0x2922)](_0xd06786(0x27be),{'fields':_0xd06786(0x1e64),'userProfileId':_0x3ac623[_0xd06786(0xb12)]()[_0xd06786(0x209a)],'sectionId':0x3f4});}]},'authenticate':!![],'permissionId':0x3f4,'bodyClass':_0xabdd61(0x11fe)}),_0x25e6d7[_0xabdd61(0x15bf)]('app/main/apps/tools');}angular[_0x313a4d(0x2528)](_0x313a4d(0xe84),[_0x313a4d(0xdad),_0x313a4d(0x962),_0x313a4d(0x23c7),'flow',_0x313a4d(0x19e2),_0x313a4d(0x27c1),_0x313a4d(0x195b),'ngAria',_0x313a4d(0xc86),_0x313a4d(0x1f5f),_0x313a4d(0x28a8),_0x313a4d(0xfb2),_0x313a4d(0x1e57),_0x313a4d(0x581),_0x313a4d(0x1bd2),_0x313a4d(0x1792),_0x313a4d(0x1b65),_0x313a4d(0x1626),_0x313a4d(0x2713),_0x313a4d(0x11df),'app.tools.dispositions','app.tools.triggers'])[_0x313a4d(0x989)](_0x1c967d)[_0x313a4d(0x28f0)](_0x313a4d(0x2295),_0x7726c8)['controller']('CreateOrEditCannedAnswerDialogController',_0x509dff)[_0x313a4d(0x28f0)](_0x313a4d(0x5c6),_0xca20dd)[_0x313a4d(0x28f0)]('CustomDashboardsController',_0x31c16c)[_0x313a4d(0x28f0)](_0x313a4d(0x88b),_0xc6f0e9)[_0x313a4d(0x28f0)](_0x313a4d(0x134b),_0x19bcb7)[_0x313a4d(0x28f0)](_0x313a4d(0x75b),_0x1fafbd)[_0x313a4d(0x28f0)](_0x313a4d(0x470),_0x5474ae)[_0x313a4d(0x28f0)](_0x313a4d(0x1eff),_0x90faaf)[_0x313a4d(0x28f0)](_0x313a4d(0x238),_0x3db550)['controller'](_0x313a4d(0x47f),_0x1ff921)[_0x313a4d(0x28f0)](_0x313a4d(0xdd2),_0x45644c)[_0x313a4d(0x28f0)](_0x313a4d(0xb6f),_0x2d36df)[_0x313a4d(0x28f0)](_0x313a4d(0x24be),_0x467eb2)[_0x313a4d(0x28f0)](_0x313a4d(0x24c5),_0xe0acd5)[_0x313a4d(0x28f0)]('CreateOrEditScheduleDialogController',_0x4b0c96)[_0x313a4d(0x28f0)](_0x313a4d(0x398),_0x494803)[_0x313a4d(0x28f0)](_0x313a4d(0x2808),_0x564bc7)[_0x313a4d(0x28f0)]('SoundsController',_0x3de211)[_0x313a4d(0x28f0)](_0x313a4d(0x63d),_0x33741a)[_0x313a4d(0x28f0)](_0x313a4d(0x1c86),_0x54b692)[_0x313a4d(0x28f0)](_0x313a4d(0x1716),_0x452b4d)[_0x313a4d(0x28f0)](_0x313a4d(0x1ab1),_0x45270a)[_0x313a4d(0x28f0)](_0x313a4d(0x12f1),_0x23b193)['controller']('CreateOrEditTrunkDialogController',_0x5550bc)['controller'](_0x313a4d(0x1974),_0x378d44)[_0x313a4d(0x28f0)](_0x313a4d(0x1f0d),_0xedc4a7)[_0x313a4d(0x28f0)]('TrunksController',_0x4308cd)[_0x313a4d(0x28f0)]('CreateOrEditVariableDialogController',_0x1d6e77)[_0x313a4d(0x28f0)](_0x313a4d(0x102),_0x7c9e26);;const _0x3becc7=_0x4acfac['p']+_0x313a4d(0x235f);;const _0x190e89=_0x4acfac['p']+_0x313a4d(0xd06);;_0x27458a[_0x313a4d(0x11c2)]=['$mdDialog',_0x313a4d(0x1aa9),_0x313a4d(0x1862),'$q',_0x313a4d(0xa87),_0x313a4d(0x1fe4),_0x313a4d(0x1991),_0x313a4d(0x9e0),_0x313a4d(0x247f),_0x313a4d(0x1bd6),_0x313a4d(0x2514)];function _0x27458a(_0x1ac788,_0x2b79f2,_0x2359f0,_0x16c026,_0x143b7c,_0x5dce83,_0xa96eb3,_0x3dac02,_0x9fd87e,_0xb5f1d1,_0x57f0be){const _0x3218b8=_0x313a4d,_0x3eb139=this;_0x3eb139[_0x3218b8(0xcef)]=[],_0x3eb139[_0x3218b8(0x1189)]=_0x3218b8(0x1dd5),_0x3eb139[_0x3218b8(0x2514)]=_0x57f0be,_0x3eb139[_0x3218b8(0x9e0)]=angular[_0x3218b8(0x235a)](_0x3dac02),_0x3eb139[_0x3218b8(0x2691)]=!![],_0x3eb139[_0x3218b8(0x1991)]=_0xa96eb3,_0x3eb139['newProject']=![],_0x3eb139[_0x3218b8(0x1bd6)]=_0xb5f1d1;!_0x3eb139[_0x3218b8(0x9e0)]&&(_0x3eb139[_0x3218b8(0x9e0)]={'name':'','description':''},_0x3eb139['title']=_0x3218b8(0x423),_0x3eb139['newProject']=!![]);_0x3eb139[_0x3218b8(0x8ad)]=_0x1bc15e,_0x3eb139[_0x3218b8(0x1994)]=_0x29d13a,_0x3eb139[_0x3218b8(0x767)]=_0x4c78d4,_0x3eb139[_0x3218b8(0x13f3)]=_0x3da842;function _0x1bc15e(){const _0xf7e44a=_0x3218b8;_0x3eb139[_0xf7e44a(0xcef)]=[],_0x9fd87e[_0xf7e44a(0x9b1)]['save'](_0x3eb139['project'])[_0xf7e44a(0x2945)][_0xf7e44a(0x146b)](function(_0x241411){const _0x42dd72=_0xf7e44a;return _0x9fd87e[_0x42dd72(0xe7b)][_0x42dd72(0x156a)]({'id':_0x143b7c[_0x42dd72(0xb12)]()['id'],'ids':[_0x241411['id']]})[_0x42dd72(0x2945)],_0x241411;})['then'](function(_0x3e0459){const _0xa32c72=_0xf7e44a;_0x3eb139['projects']['unshift'](_0x3e0459),_0x5dce83[_0xa32c72(0x1c75)]({'title':_0xa32c72(0x1266),'msg':_0x3eb139[_0xa32c72(0x9e0)][_0xa32c72(0x19eb)]?_0x3eb139[_0xa32c72(0x9e0)][_0xa32c72(0x19eb)]+_0xa32c72(0x1386):''}),_0x3da842(_0x3e0459),_0x3eb139[_0xa32c72(0x1bd6)]&&(_0x3eb139[_0xa32c72(0x2691)]?_0x2b79f2[_0xa32c72(0x217a)](_0xa32c72(0x28c0)+_0x3e0459['id'],_0xa32c72(0x20f1)):_0x2359f0['go'](_0xa32c72(0x257),{'id':_0x3e0459['id']}));})[_0xf7e44a(0x129e)](function(_0x2772c3){const _0x55fc7f=_0xf7e44a;if(_0x2772c3[_0x55fc7f(0x524)]&&_0x2772c3['data']['errors']&&_0x2772c3[_0x55fc7f(0x524)][_0x55fc7f(0xcef)][_0x55fc7f(0x402)]){_0x3eb139[_0x55fc7f(0xcef)]=_0x2772c3[_0x55fc7f(0x524)][_0x55fc7f(0xcef)]||[{'message':_0x2772c3[_0x55fc7f(0xd5f)](),'type':_0x55fc7f(0x181c)}];for(let _0x579dd4=0x0;_0x579dd4<_0x2772c3[_0x55fc7f(0x524)][_0x55fc7f(0xcef)][_0x55fc7f(0x402)];_0x579dd4++){_0x5dce83[_0x55fc7f(0x1980)]({'title':_0x2772c3[_0x55fc7f(0x524)][_0x55fc7f(0xcef)][_0x579dd4][_0x55fc7f(0x1142)],'msg':_0x2772c3[_0x55fc7f(0x524)]['errors'][_0x579dd4][_0x55fc7f(0x7fd)]});}}else _0x5dce83[_0x55fc7f(0x1980)]({'title':_0x2772c3['status']?_0x55fc7f(0x262a)+_0x2772c3[_0x55fc7f(0x107b)]+_0x55fc7f(0x1315)+_0x2772c3[_0x55fc7f(0x167f)]:_0x55fc7f(0x181c),'msg':_0x2772c3[_0x55fc7f(0x524)]?JSON[_0x55fc7f(0x10bb)](_0x2772c3[_0x55fc7f(0x524)][_0x55fc7f(0x7fd)]):_0x2772c3['toString']()});});}function _0x29d13a(){const _0x36ddce=_0x3218b8;_0x3eb139[_0x36ddce(0xcef)]=[],_0x9fd87e[_0x36ddce(0x9b1)][_0x36ddce(0x18e1)]({'id':_0x3eb139[_0x36ddce(0x9e0)]['id']},_0x3eb139[_0x36ddce(0x9e0)])[_0x36ddce(0x2945)][_0x36ddce(0x146b)](function(_0x19be59){const _0x222b2d=_0x36ddce,_0x55aaaa=_0x3f65c0()['find'](_0x3eb139[_0x222b2d(0x1991)],{'id':_0x19be59['id']});_0x55aaaa&&_0x3f65c0()[_0x222b2d(0x168d)](_0x55aaaa,_0x19be59),_0x5dce83[_0x222b2d(0x1c75)]({'title':_0x222b2d(0x81e),'msg':_0x3eb139[_0x222b2d(0x9e0)][_0x222b2d(0x19eb)]?_0x3eb139[_0x222b2d(0x9e0)][_0x222b2d(0x19eb)]+'\x20has\x20been\x20saved!':''}),_0x3da842(_0x19be59);})['catch'](function(_0x1ad01d){const _0x1c9f8b=_0x36ddce;if(_0x1ad01d[_0x1c9f8b(0x524)]&&_0x1ad01d[_0x1c9f8b(0x524)][_0x1c9f8b(0xcef)]&&_0x1ad01d['data'][_0x1c9f8b(0xcef)][_0x1c9f8b(0x402)]){_0x3eb139['errors']=_0x1ad01d['data'][_0x1c9f8b(0xcef)]||[{'message':_0x1ad01d['toString'](),'type':'api.squareProject.update'}];for(let _0x247a54=0x0;_0x247a54<_0x1ad01d['data'][_0x1c9f8b(0xcef)][_0x1c9f8b(0x402)];_0x247a54++){_0x5dce83[_0x1c9f8b(0x1980)]({'title':_0x1ad01d['data'][_0x1c9f8b(0xcef)][_0x247a54][_0x1c9f8b(0x1142)],'msg':_0x1ad01d[_0x1c9f8b(0x524)][_0x1c9f8b(0xcef)][_0x247a54][_0x1c9f8b(0x7fd)]});}}else _0x5dce83[_0x1c9f8b(0x1980)]({'title':_0x1ad01d[_0x1c9f8b(0x107b)]?_0x1c9f8b(0x262a)+_0x1ad01d[_0x1c9f8b(0x107b)]+_0x1c9f8b(0x1315)+_0x1ad01d[_0x1c9f8b(0x167f)]:_0x1c9f8b(0x19fc),'msg':_0x1ad01d['data']?JSON[_0x1c9f8b(0x10bb)](_0x1ad01d[_0x1c9f8b(0x524)][_0x1c9f8b(0x7fd)]):_0x1ad01d[_0x1c9f8b(0xd5f)]()});});}function _0x4c78d4(){const _0x4c6bd8=_0x3218b8;_0x3eb139['errors']=[];const _0x204f0b=_0x1ac788[_0x4c6bd8(0x1e8a)]()[_0x4c6bd8(0x1189)](_0x4c6bd8(0x1d64))[_0x4c6bd8(0x80f)](_0x4c6bd8(0x2826))['ariaLabel']('Delete\x20Project')['ok'](_0x4c6bd8(0x25de))[_0x4c6bd8(0x6c3)](_0x4c6bd8(0xcf0))[_0x4c6bd8(0x1f27)](event);_0x1ac788[_0x4c6bd8(0x2615)](_0x204f0b)[_0x4c6bd8(0x146b)](function(){const _0x5b2499=_0x4c6bd8;_0x9fd87e[_0x5b2499(0x9b1)][_0x5b2499(0x1fac)]({'id':_0x3eb139[_0x5b2499(0x9e0)]['id']})[_0x5b2499(0x2945)][_0x5b2499(0x146b)](function(){const _0x3b09c8=_0x5b2499;return _0x9fd87e[_0x3b09c8(0x9b1)][_0x3b09c8(0x275b)]({'id':_0x3eb139[_0x3b09c8(0x9e0)]['id']})[_0x3b09c8(0x2945)];})['then'](function(_0x23c01f){const _0x2eb554=_0x5b2499,_0x53728c=[],_0x2f3eab=_0x23c01f['rows'];for(let _0x546f3a=0x0;_0x546f3a<_0x2f3eab[_0x2eb554(0x402)];_0x546f3a++){_0x53728c[_0x2eb554(0x1f47)](_0x9fd87e[_0x2eb554(0xe7b)][_0x2eb554(0x72a)]({'id':_0x143b7c['getCurrentUser']()['id'],'ids':[_0x3eb139[_0x2eb554(0x9e0)]['id']]})['$promise']);}return _0x16c026[_0x2eb554(0x1be2)](_0x53728c);})[_0x5b2499(0x146b)](function(){const _0x43e026=_0x5b2499;_0x3f65c0()['remove'](_0x3eb139['projects'],{'id':_0x3eb139['project']['id']}),_0x5dce83['success']({'title':_0x43e026(0x182f),'msg':_0x3eb139[_0x43e026(0x9e0)][_0x43e026(0x19eb)]?_0x3eb139[_0x43e026(0x9e0)][_0x43e026(0x19eb)]+'\x20has\x20been\x20deleted!':''}),_0x3da842(_0x3eb139[_0x43e026(0x9e0)]);})[_0x5b2499(0x129e)](function(_0x57e0a1){const _0x33fe8c=_0x5b2499;console[_0x33fe8c(0x1980)](_0x57e0a1),_0x3eb139['errors']=_0x57e0a1['data'][_0x33fe8c(0xcef)]||[{'message':_0x57e0a1['toString'](),'type':_0x33fe8c(0x1813)}];});},function(){});}function _0x3da842(_0xe382d9){const _0x396670=_0x3218b8;_0x1ac788[_0x396670(0x2458)](_0xe382d9);}}const _0x586c4f=_0x27458a;;_0x5d5898[_0x313a4d(0x11c2)]=['$mdDialog',_0x313a4d(0x247f),'toasty',_0x313a4d(0x2875),_0x313a4d(0x6c9),_0x313a4d(0xc37),_0x313a4d(0x33d),_0x313a4d(0xeec),_0x313a4d(0xa25),_0x313a4d(0x1995),'trunks',_0x313a4d(0x1b32),_0x313a4d(0xb02),_0x313a4d(0x85b),_0x313a4d(0x22c8),_0x313a4d(0x1991),_0x313a4d(0xfe9),_0x313a4d(0x278f),_0x313a4d(0x1593),_0x313a4d(0x2841),_0x313a4d(0x1324),_0x313a4d(0x1165),_0x313a4d(0x121d),_0x313a4d(0x17b5),'awsPollyRegion',_0x313a4d(0x1c34),_0x313a4d(0x23bb),_0x313a4d(0xae0),_0x313a4d(0x82d),_0x313a4d(0x7f7),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x5d5898(_0xba038,_0xd0de25,_0x150ca7,_0x402077,_0x263965,_0x57078e,_0x3ae456,_0x5f38bb,_0x381525,_0x224d10,_0x15397f,_0x40d8f5,_0x4128d8,_0x2fa478,_0x252953,_0x40773e,_0x4cbab4,_0x395231,_0x35c3de,_0x4ae713,_0x3ab55b,_0x8f86e0,_0x2bbff3,_0x45a137,_0x5ebf28,_0x3f5304,_0x122398,_0x384aa2,_0x302710,_0x5bacff,_0x38c27f,_0x2cc159){const _0xa58fa6=_0x313a4d,_0x5c709a=this,_0x376bfb={'playback':[_0xa58fa6(0x845)],'answer':[_0xa58fa6(0xa9c)],'menu':['file_id',_0xa58fa6(0xc21),_0xa58fa6(0x2712),_0xa58fa6(0x20d8)],'getdigits':[_0xa58fa6(0x845),_0xa58fa6(0x1fe2),'mindigit',_0xa58fa6(0x15c4),_0xa58fa6(0x20d8)],'getsecretdigits':[_0xa58fa6(0x845),_0xa58fa6(0x1fe2),_0xa58fa6(0x2400),_0xa58fa6(0x15c4),'hiddendigitsnum',_0xa58fa6(0x20d8)],'vswitch':['variable_id'],'database':[_0xa58fa6(0x1ad2),_0xa58fa6(0x1a56)],'ext_dial':[_0xa58fa6(0xc90),_0xa58fa6(0x1d55),_0xa58fa6(0xa9c)],'set':[_0xa58fa6(0x1fe2)],'math':['variable_id'],'gotoc':[_0xa58fa6(0x1ac1),_0xa58fa6(0x1bc6),_0xa58fa6(0xa6a)],'system':[_0xa58fa6(0x23e9)],'agi':[_0xa58fa6(0x23e9)],'gotoif':[_0xa58fa6(0x115f)],'saydigits':['digits'],'saynumber':['number'],'sayphonetic':[_0xa58fa6(0xa8d)],'record':[_0xa58fa6(0x252e),_0xa58fa6(0xa9c)],'goal':[_0xa58fa6(0x1346)],'dial':[_0xa58fa6(0x1c85),_0xa58fa6(0xa9c)],'queue':['queue_id',_0xa58fa6(0xa9c)],'callback':[_0xa58fa6(0x1a36),_0xa58fa6(0x19eb),'phone','delay'],'gotoiftime':[_0xa58fa6(0x12f6)],'voicemail':[_0xa58fa6(0x1cc5)],'subproject':[_0xa58fa6(0x2675)],'sendSMS':['sms_account_id','to',_0xa58fa6(0xd80)],'sendMail':[_0xa58fa6(0x1dc3),'to'],'sendFax':['account_id','to',_0xa58fa6(0x1a1c)],'google_cloud_tts':[_0xa58fa6(0x25b9),_0xa58fa6(0x106f),'text'],'tts':['text'],'ispeechtts':['text',_0xa58fa6(0x2293),'speed'],'ispeechasr':[_0xa58fa6(0x2293),_0xa58fa6(0xa9c)],'custom_app':[_0xa58fa6(0xea)],'googleasr':[_0xa58fa6(0x2293),_0xa58fa6(0xa9c)],'awspolly':[_0xa58fa6(0x231f),_0xa58fa6(0x27b1),_0xa58fa6(0xa8d),'aws_text_type'],'lumenvoxtts':[_0xa58fa6(0xa8d)],'sestektts':[_0xa58fa6(0xa8d)],'lumenvoxasr':[_0xa58fa6(0x124)],'sestekasr':[_0xa58fa6(0x124)],'tildeasr':['appid',_0xa58fa6(0xfa7),_0xa58fa6(0x1e73)],'login':['findBy'],'pause':[_0xa58fa6(0x1ceb),_0xa58fa6(0x1ab7)],'unpause':['findBy'],'logout':[_0xa58fa6(0x1ceb)],'dialogflow':[_0xa58fa6(0x2293),_0xa58fa6(0xa8d),'dialogflow_language'],'awslex':[_0xa58fa6(0x231f),'aws_secret_access_key',_0xa58fa6(0xf8b),_0xa58fa6(0x1eed),_0xa58fa6(0xa8d)],'sesteknda':[_0xa58fa6(0xa8d),'ndauth_url',_0xa58fa6(0x14b7),_0xa58fa6(0xf37),_0xa58fa6(0x2499)],'restapi':[_0xa58fa6(0x20cc),_0xa58fa6(0x17f0)],'mrcpsynth':[_0xa58fa6(0xa8d)],'mrcprecog':[_0xa58fa6(0x124)],'dialogflowV2':[_0xa58fa6(0x2db),_0xa58fa6(0x190),_0xa58fa6(0x24ae),_0xa58fa6(0x191e),'text']},_0x5bd16a={'queue':[_0xa58fa6(0x845)],'database':[_0xa58fa6(0x1fe2)],'pause':[_0xa58fa6(0x1ab7)]},_0x465a53={'sendMail':['to','cc',_0xa58fa6(0x26f3)],'voicemail':['mailbox']};_0x5c709a[_0xa58fa6(0x24bf)]=_0x8f86e0[_0xa58fa6(0x8bf)],_0x5c709a[_0xa58fa6(0x130d)]=_0x3f65c0()[_0xa58fa6(0x205)](_0x8f86e0[_0xa58fa6(0x130d)],function(_0x3e129b){const _0x20dafc=_0xa58fa6;return{'name':_0x3e129b[_0x20dafc(0x19eb)],'value':_0x3e129b[_0x20dafc(0x175d)],'defaultValue':_0x5bd16a[_0x5c709a[_0x20dafc(0x24bf)]]&&_0x3f65c0()[_0x20dafc(0xa4e)](_0x5bd16a[_0x5c709a[_0x20dafc(0x24bf)]],_0x3e129b['name']),'required':_0x376bfb[_0x5c709a[_0x20dafc(0x24bf)]]&&_0x3f65c0()[_0x20dafc(0xa4e)](_0x376bfb[_0x5c709a[_0x20dafc(0x24bf)]],_0x3e129b[_0x20dafc(0x19eb)]),'help':_0x465a53[_0x5c709a[_0x20dafc(0x24bf)]]&&_0x3f65c0()[_0x20dafc(0xa4e)](_0x465a53[_0x5c709a[_0x20dafc(0x24bf)]],_0x3e129b[_0x20dafc(0x19eb)])};}),_0x5c709a[_0xa58fa6(0x81b)]={'authMethod':[{'value':_0xa58fa6(0x258),'option':_0xa58fa6(0x1257)},{'value':'hmac','option':_0xa58fa6(0xf31)}],'answer':[{'value':_0xa58fa6(0x18e3),'option':'Yes'},{'value':'no','option':'No'}],'ndagenerate_audio':[{'value':0x1,'option':_0xa58fa6(0x1b97)},{'value':0x0,'option':'No'}],'ndaactivity_type':[{'value':'message','option':_0xa58fa6(0xe2)},{'value':_0xa58fa6(0x10b0),'option':'Conversation\x20Update'},{'value':_0xa58fa6(0x338),'option':_0xa58fa6(0x4e5)},{'value':'endOfConversation','option':_0xa58fa6(0x167a)}],'hiddendigitspos':[{'value':_0xa58fa6(0xb68),'option':_0xa58fa6(0x7e6)},{'value':_0xa58fa6(0x22f2),'option':_0xa58fa6(0x2245)}],'recordingFormat':[{'value':_0xa58fa6(0x52d),'option':_0xa58fa6(0x2782)},{'value':_0xa58fa6(0xdf0),'option':_0xa58fa6(0xa9e)}],'intkey':[{'value':'#','option':'#'},{'value':'*','option':'*'}],'beep':[{'value':_0xa58fa6(0xfe7),'option':_0xa58fa6(0x1b97)},{'value':_0xa58fa6(0x23f7),'option':'No'}],'model':_0x402077,'ispeech_asr_language':_0x263965,'ispeech_tts_language':_0x3ae456,'languageCode':_0x5f38bb,'ssmlGender':[{'value':_0xa58fa6(0x3f3),'option':_0xa58fa6(0x18df)},{'value':_0xa58fa6(0x274e),'option':_0xa58fa6(0x5b5)}],'google_tts_language':_0x381525,'google_asr_language':_0x57078e,'google_cloud_tts_text_type':[{'value':'text','option':_0xa58fa6(0x23d7)},{'value':_0xa58fa6(0x15d2),'option':_0xa58fa6(0x1b23)}],'aws_polly_region':_0x5ebf28,'aws_polly_voice':_0x45a137,'aws_text_type':[{'value':'text','option':'Text'},{'value':_0xa58fa6(0x15d2),'option':_0xa58fa6(0x1b23)}],'callback_priority':[{'option':_0xa58fa6(0xa73),'value':0x4},{'option':_0xa58fa6(0x25c5),'value':0x3},{'option':_0xa58fa6(0x6c4),'value':0x2},{'option':'Low','value':0x1},{'option':_0xa58fa6(0x2188),'value':0x0}],'findBy':[{'value':_0xa58fa6(0x113f),'option':'Internal'},{'value':_0xa58fa6(0x1d55),'option':_0xa58fa6(0x1184)},{'value':'mobile','option':_0xa58fa6(0x33c)}],'dialogflow_language':_0x384aa2,'dialogflowV2_language':_0x302710,'aws_lex_region':_0x5bacff,'method':[{'value':_0xa58fa6(0xe5d),'option':_0xa58fa6(0xe5d)},{'value':'POST','option':'POST'},{'value':_0xa58fa6(0x1213),'option':'PUT'},{'value':_0xa58fa6(0x10df),'option':'DELETE'}]},_0x5c709a['crudPermissions']=_0x2cc159,_0x5c709a[_0xa58fa6(0x3d4)]=_0x2c1fd4,_0x5c709a[_0xa58fa6(0x13f3)]=_0x12c3e9,_0x5c709a[_0xa58fa6(0x2372)]=_0x8df94a;function _0x2c1fd4(){const _0x24846a=_0xa58fa6;_0x38c27f[_0x24846a(0x23e0)](_0x24846a(0x174b))?_0xb39fb8():_0x545302();}function _0x8df94a(_0xd21e68,_0xbf864c,_0x300f43,_0x277304){return _0x3f65c0()['map'](_0xd21e68,function(_0x264220){const _0x2db93b=a0_0x3bb9;return{'value':_0x264220[_0xbf864c],'option':_0x264220[_0x300f43],'group':_0x277304?_0x264220[_0x277304]:undefined,'canSelect':typeof _0x264220[_0x2db93b(0x8ff)]!==_0x2db93b(0x2274)?_0x264220[_0x2db93b(0x8ff)]:!![]};});}function _0x57ecc3(_0x1a2ada,_0x214d77,_0x514ec4,_0x1778f7){const _0x39d915=_0xa58fa6,_0x2aa8c3=_0x3f65c0()['filter'](_0x1a2ada,function(_0x1bf05a){return _0x1bf05a[_0x1778f7]===null;}),_0x2a3ca7=[];return _0x3f65c0()[_0x39d915(0x1df5)](_0x2aa8c3,function(_0x3c0973){const _0x1d47af=_0x39d915;_0x2a3ca7['push']({'value':_0x3c0973[_0x214d77],'option':_0x3c0973[_0x514ec4]});const _0x11d99=_0x3f65c0()[_0x1d47af(0x1dd6)](_0x1a2ada,function(_0x1771f6){return _0x1771f6[_0x1778f7]===_0x3c0973['id'];});_0x3f65c0()['forEach'](_0x11d99,function(_0x932687){const _0x3f4f71=_0x1d47af;_0x2a3ca7[_0x3f4f71(0x1f47)]({'value':_0x932687[_0x214d77],'option':_0x3f4f71(0x1315)+_0x932687[_0x514ec4]});});}),_0x2a3ca7;}function _0xb39fb8(){const _0x1b8374=_0xa58fa6,_0xb7a6c7={'sip_id':_0x8df94a(_0x224d10,'id',_0x1b8374(0x286a),_0x1b8374(0xfb0)),'trunk_name':_0x8df94a(_0x15397f,_0x1b8374(0x19eb),_0x1b8374(0x19eb)),'trunk_id':_0x8df94a(_0x15397f,'id',_0x1b8374(0x19eb)),'queue_id':_0x8df94a(_0x40d8f5,'id','name'),'file_id':_0x8df94a(_0x4128d8,'id',_0x1b8374(0x19eb)),'variable_id':_0x8df94a(_0x2fa478,'id','name'),'odbc_id':_0x8df94a(_0x252953,'id',_0x1b8374(0x19eb)),'project_id':_0x8df94a(_0x40773e,'id','name'),'context':_0x8df94a(_0x4cbab4,'id','name'),'interval_id':_0x57ecc3(_0x395231,'id',_0x1b8374(0x19eb),_0x1b8374(0x1ff2)),'account_id':_0x8df94a(_0x35c3de,'id',_0x1b8374(0x19eb)),'template_id':_0x8df94a(_0x4ae713,'id',_0x1b8374(0x19eb)),'list_id':_0x8df94a(_0x3ab55b,'id',_0x1b8374(0x19eb)),'sms_account_id':_0x8df94a(_0x3f5304,'id',_0x1b8374(0x19eb)),'pause_id':_0x8df94a(_0x122398,'id',_0x1b8374(0x19eb))},_0x336581=_0x3f65c0()[_0x1b8374(0x168d)](_0x5c709a[_0x1b8374(0x81b)],_0xb7a6c7);_0x5c709a[_0x1b8374(0x81b)]=_0x336581;}function _0x545302(){const _0x578767=_0xa58fa6,_0x365be5=['sip_id',_0x578767(0xc90),_0x578767(0xe43),_0x578767(0x1c2a),_0x578767(0x845),_0x578767(0x1fe2),'odbc_id','project_id',_0x578767(0x1ac1),'interval_id',_0x578767(0x1dc3),_0x578767(0x16d8),_0x578767(0x1a36),_0x578767(0x17e7),_0x578767(0x1ab7)];for(let _0x4ffac6=0x0;_0x4ffac6<_0x5c709a['attributes'][_0x578767(0x402)];_0x4ffac6++){if(_0x3f65c0()['includes'](_0x365be5,_0x5c709a[_0x578767(0x130d)][_0x4ffac6][_0x578767(0x19eb)]))switch(_0x5c709a['attributes'][_0x4ffac6][_0x578767(0x19eb)]){case'sip_id':_0x2c56f1();break;case _0x578767(0xc90):_0x2edaee();break;case _0x578767(0xe43):_0x25bd60();break;case'queue_id':_0x547362();break;case _0x578767(0x845):_0x45de91();break;case _0x578767(0x1fe2):_0x4ff19a();break;case _0x578767(0x1ad2):_0x3384a9();break;case _0x578767(0x2675):_0x143412();break;case'context':_0x54d186();break;case _0x578767(0x12f6):_0x51fc26();break;case _0x578767(0x1dc3):_0x49afe2();break;case _0x578767(0x16d8):_0x2226af();break;case _0x578767(0x1a36):_0x36c5c5();break;case'sms_account_id':_0x1ec868();break;case _0x578767(0x1ab7):_0x381b40();break;}}}function _0x2c56f1(){const _0x8fb67=_0xa58fa6,_0x14dd5d=Number(_0x3f65c0()['find'](_0x5c709a[_0x8fb67(0x130d)],{'name':'sip_id'})['value']),_0x246365=_0x3f65c0()[_0x8fb67(0x1360)](_0x224d10,{'id':_0x14dd5d});if(!_0x246365&&_0x14dd5d>0x0)return _0xd0de25[_0x8fb67(0xe7b)][_0x8fb67(0x16b4)]({'id':_0x14dd5d,'fields':_0x8fb67(0x1f6)})[_0x8fb67(0x2945)][_0x8fb67(0x146b)](function(_0x40bf10){const _0x4de464=_0x8fb67,_0x1cf5b7=_0x40bf10['toJSON']();_0x1cf5b7['canSelect']=![],_0x224d10['push'](_0x1cf5b7),_0x5c709a[_0x4de464(0x81b)]['sip_id']=_0x8df94a(_0x224d10,'id',_0x4de464(0x286a),_0x4de464(0xfb0));})[_0x8fb67(0x129e)](function(_0x2b94af){const _0x464f02=_0x8fb67;_0x150ca7[_0x464f02(0x1980)]({'title':_0x2b94af[_0x464f02(0x107b)]?_0x464f02(0x262a)+_0x2b94af['status']+'\x20-\x20'+_0x2b94af[_0x464f02(0x167f)]:_0x464f02(0x1af9),'msg':_0x2b94af[_0x464f02(0x524)]?JSON['stringify'](_0x2b94af['data']['message']):_0x2b94af[_0x464f02(0xd5f)]()});});else _0x5c709a[_0x8fb67(0x81b)]['sip_id']=_0x8df94a(_0x224d10,'id',_0x8fb67(0x286a),_0x8fb67(0xfb0));}function _0x36c5c5(){const _0x307de0=_0xa58fa6,_0x1854fa=Number(_0x3f65c0()[_0x307de0(0xc84)](_0x5c709a['attributes'],{'name':'list_id'})[_0x307de0(0x175d)]),_0x2b1836=_0x3f65c0()[_0x307de0(0x1360)](_0x3ab55b,{'id':_0x1854fa});if(!_0x2b1836&&_0x1854fa>0x0)return _0xd0de25[_0x307de0(0xf03)]['get']({'id':_0x1854fa,'fields':_0x307de0(0x7a7)})[_0x307de0(0x2945)][_0x307de0(0x146b)](function(_0x3a3cc2){const _0x210304=_0x307de0,_0x3a1102=_0x3a3cc2['toJSON']();_0x3a1102['canSelect']=![],_0x3ab55b[_0x210304(0x1f47)](_0x3a1102),_0x5c709a[_0x210304(0x81b)][_0x210304(0x1a36)]=_0x8df94a(_0x3ab55b,'id',_0x210304(0x19eb));})['catch'](function(_0x134665){const _0x206182=_0x307de0;_0x150ca7['error']({'title':_0x134665[_0x206182(0x107b)]?_0x206182(0x262a)+_0x134665[_0x206182(0x107b)]+_0x206182(0x1315)+_0x134665[_0x206182(0x167f)]:_0x206182(0x1af3),'msg':_0x134665['data']?JSON[_0x206182(0x10bb)](_0x134665[_0x206182(0x524)]['message']):_0x134665['toString']()});});else _0x5c709a[_0x307de0(0x81b)]['list_id']=_0x8df94a(_0x3ab55b,'id',_0x307de0(0x19eb));}function _0x25bd60(){const _0x55f67b=_0xa58fa6,_0x4d5d16=Number(_0x3f65c0()[_0x55f67b(0xc84)](_0x5c709a[_0x55f67b(0x130d)],{'name':_0x55f67b(0xe43)})[_0x55f67b(0x175d)]),_0x3b2e6c=_0x3f65c0()[_0x55f67b(0x1360)](_0x15397f,{'id':_0x4d5d16});if(!_0x3b2e6c&&_0x4d5d16>0x0)return _0xd0de25[_0x55f67b(0x279f)]['get']({'id':_0x4d5d16,'fields':_0x55f67b(0x7a7)})['$promise'][_0x55f67b(0x146b)](function(_0x104d31){const _0xba698a=_0x55f67b,_0xaaa03a=_0x104d31[_0xba698a(0x2488)]();_0xaaa03a[_0xba698a(0x8ff)]=![],_0x15397f[_0xba698a(0x1f47)](_0xaaa03a),_0x5c709a[_0xba698a(0x81b)][_0xba698a(0xe43)]=_0x8df94a(_0x15397f,'id',_0xba698a(0x19eb));})['catch'](function(_0x5106fc){const _0x4043aa=_0x55f67b;_0x150ca7[_0x4043aa(0x1980)]({'title':_0x5106fc[_0x4043aa(0x107b)]?_0x4043aa(0x262a)+_0x5106fc[_0x4043aa(0x107b)]+_0x4043aa(0x1315)+_0x5106fc[_0x4043aa(0x167f)]:_0x4043aa(0x172a),'msg':_0x5106fc[_0x4043aa(0x524)]?JSON['stringify'](_0x5106fc[_0x4043aa(0x524)][_0x4043aa(0x7fd)]):_0x5106fc[_0x4043aa(0xd5f)]()});});else _0x5c709a['values'][_0x55f67b(0xe43)]=_0x8df94a(_0x15397f,'id',_0x55f67b(0x19eb));}function _0x2edaee(){const _0x25384a=_0xa58fa6,_0x409493=_0x3f65c0()[_0x25384a(0xc84)](_0x5c709a[_0x25384a(0x130d)],{'name':_0x25384a(0xc90)})[_0x25384a(0x175d)],_0x13535c=_0x3f65c0()[_0x25384a(0x1360)](_0x15397f,{'name':_0x409493});if(!_0x13535c&&_0x409493)return _0xd0de25['trunk'][_0x25384a(0x16b4)]({'name':_0x409493,'fields':_0x25384a(0x7a7)})[_0x25384a(0x2945)][_0x25384a(0x146b)](function(_0x2a431b){const _0x12efb5=_0x25384a;if(_0x2a431b[_0x12efb5(0x51c)]>0x0&&_0x2a431b[_0x12efb5(0x19c7)][_0x12efb5(0x402)]>0x0){const _0x4b28ec=_0x2a431b[_0x12efb5(0x19c7)][0x0];_0x4b28ec['canSelect']=![],_0x15397f[_0x12efb5(0x1f47)](_0x4b28ec),_0x5c709a[_0x12efb5(0x81b)][_0x12efb5(0xc90)]=_0x8df94a(_0x15397f,_0x12efb5(0x19eb),_0x12efb5(0x19eb));}})[_0x25384a(0x129e)](function(_0x285ead){const _0xd42aaf=_0x25384a;_0x150ca7[_0xd42aaf(0x1980)]({'title':_0x285ead[_0xd42aaf(0x107b)]?_0xd42aaf(0x262a)+_0x285ead[_0xd42aaf(0x107b)]+_0xd42aaf(0x1315)+_0x285ead[_0xd42aaf(0x167f)]:_0xd42aaf(0x172a),'msg':_0x285ead[_0xd42aaf(0x524)]?JSON[_0xd42aaf(0x10bb)](_0x285ead[_0xd42aaf(0x524)][_0xd42aaf(0x7fd)]):_0x285ead[_0xd42aaf(0xd5f)]()});});else _0x5c709a['values'][_0x25384a(0xc90)]=_0x8df94a(_0x15397f,_0x25384a(0x19eb),_0x25384a(0x19eb));}function _0x547362(){const _0x29781d=_0xa58fa6,_0x510ef4=Number(_0x3f65c0()[_0x29781d(0xc84)](_0x5c709a[_0x29781d(0x130d)],{'name':_0x29781d(0x1c2a)})[_0x29781d(0x175d)]),_0x322a7e=_0x3f65c0()[_0x29781d(0x1360)](_0x40d8f5,{'id':_0x510ef4});if(!_0x322a7e&&_0x510ef4>0x0)return _0xd0de25['voiceQueue'][_0x29781d(0x16b4)]({'id':_0x510ef4,'fields':'id,name'})[_0x29781d(0x2945)][_0x29781d(0x146b)](function(_0x38d8fc){const _0x10610d=_0x29781d,_0x515e92=_0x38d8fc[_0x10610d(0x2488)]();_0x515e92['canSelect']=![],_0x40d8f5[_0x10610d(0x1f47)](_0x515e92),_0x5c709a[_0x10610d(0x81b)][_0x10610d(0x1c2a)]=_0x8df94a(_0x40d8f5,'id',_0x10610d(0x19eb));})[_0x29781d(0x129e)](function(_0x3e3ec7){const _0x24937c=_0x29781d;_0x150ca7[_0x24937c(0x1980)]({'title':_0x3e3ec7[_0x24937c(0x107b)]?_0x24937c(0x262a)+_0x3e3ec7[_0x24937c(0x107b)]+'\x20-\x20'+_0x3e3ec7[_0x24937c(0x167f)]:_0x24937c(0x13cc),'msg':_0x3e3ec7[_0x24937c(0x524)]?JSON[_0x24937c(0x10bb)](_0x3e3ec7[_0x24937c(0x524)][_0x24937c(0x7fd)]):_0x3e3ec7['toString']()});});else _0x5c709a[_0x29781d(0x81b)][_0x29781d(0x1c2a)]=_0x8df94a(_0x40d8f5,'id',_0x29781d(0x19eb));}function _0x45de91(){const _0x1ed72a=_0xa58fa6,_0x617e7a=Number(_0x3f65c0()[_0x1ed72a(0xc84)](_0x5c709a[_0x1ed72a(0x130d)],{'name':_0x1ed72a(0x845)})[_0x1ed72a(0x175d)]),_0x44cefd=_0x3f65c0()[_0x1ed72a(0x1360)](_0x4128d8,{'id':_0x617e7a});if(!_0x44cefd&&_0x617e7a>0x0)return _0xd0de25['sound'][_0x1ed72a(0x16b4)]({'id':_0x617e7a,'fields':_0x1ed72a(0x7a7)})['$promise'][_0x1ed72a(0x146b)](function(_0x19ae1d){const _0x2676d9=_0x1ed72a,_0x58b654=_0x19ae1d[_0x2676d9(0x2488)]();_0x58b654[_0x2676d9(0x8ff)]=![],_0x4128d8[_0x2676d9(0x1f47)](_0x58b654),_0x5c709a[_0x2676d9(0x81b)]['file_id']=_0x8df94a(_0x4128d8,'id','name');})['catch'](function(_0x1cc690){const _0x2b6d9e=_0x1ed72a;_0x150ca7['error']({'title':_0x1cc690['status']?_0x2b6d9e(0x262a)+_0x1cc690['status']+_0x2b6d9e(0x1315)+_0x1cc690[_0x2b6d9e(0x167f)]:'GET_SOUND','msg':_0x1cc690[_0x2b6d9e(0x524)]?JSON[_0x2b6d9e(0x10bb)](_0x1cc690['data']['message']):_0x1cc690[_0x2b6d9e(0xd5f)]()});});else _0x5c709a[_0x1ed72a(0x81b)][_0x1ed72a(0x845)]=_0x8df94a(_0x4128d8,'id',_0x1ed72a(0x19eb));}function _0x4ff19a(){const _0x550c16=_0xa58fa6,_0x26928f=Number(_0x3f65c0()[_0x550c16(0xc84)](_0x5c709a['attributes'],{'name':_0x550c16(0x1fe2)})[_0x550c16(0x175d)]),_0x4fe4fc=_0x3f65c0()[_0x550c16(0x1360)](_0x2fa478,{'id':_0x26928f});if(!_0x4fe4fc&&_0x26928f>0x0)return _0xd0de25['variable']['get']({'id':_0x26928f,'fields':_0x550c16(0x7a7)})[_0x550c16(0x2945)][_0x550c16(0x146b)](function(_0x58209a){const _0x53f12e=_0x550c16,_0x59fdc0=_0x58209a[_0x53f12e(0x2488)]();_0x59fdc0['canSelect']=![],_0x2fa478[_0x53f12e(0x1f47)](_0x59fdc0),_0x5c709a[_0x53f12e(0x81b)][_0x53f12e(0x1fe2)]=_0x8df94a(_0x2fa478,'id',_0x53f12e(0x19eb));})[_0x550c16(0x129e)](function(_0x487f28){const _0x1de6a3=_0x550c16;_0x150ca7[_0x1de6a3(0x1980)]({'title':_0x487f28[_0x1de6a3(0x107b)]?_0x1de6a3(0x262a)+_0x487f28[_0x1de6a3(0x107b)]+'\x20-\x20'+_0x487f28[_0x1de6a3(0x167f)]:_0x1de6a3(0x1f0b),'msg':_0x487f28[_0x1de6a3(0x524)]?JSON[_0x1de6a3(0x10bb)](_0x487f28[_0x1de6a3(0x524)]['message']):_0x487f28[_0x1de6a3(0xd5f)]()});});else _0x5c709a[_0x550c16(0x81b)][_0x550c16(0x1fe2)]=_0x8df94a(_0x2fa478,'id',_0x550c16(0x19eb));}function _0x3384a9(){const _0x1ea0ee=_0xa58fa6,_0x24238f=Number(_0x3f65c0()[_0x1ea0ee(0xc84)](_0x5c709a[_0x1ea0ee(0x130d)],{'name':_0x1ea0ee(0x1ad2)})[_0x1ea0ee(0x175d)]),_0x492f3b=_0x3f65c0()[_0x1ea0ee(0x1360)](_0x252953,{'id':_0x24238f});if(!_0x492f3b&&_0x24238f>0x0)return _0xd0de25[_0x1ea0ee(0xbf8)][_0x1ea0ee(0x16b4)]({'id':_0x24238f,'fields':_0x1ea0ee(0x7a7)})[_0x1ea0ee(0x2945)][_0x1ea0ee(0x146b)](function(_0x38bc6e){const _0x61d36b=_0x1ea0ee,_0x105eef=_0x38bc6e[_0x61d36b(0x2488)]();_0x105eef[_0x61d36b(0x8ff)]=![],_0x252953[_0x61d36b(0x1f47)](_0x105eef),_0x5c709a[_0x61d36b(0x81b)][_0x61d36b(0x1ad2)]=_0x8df94a(_0x252953,'id',_0x61d36b(0x19eb));})['catch'](function(_0x4b9d11){const _0x12c6c7=_0x1ea0ee;_0x150ca7[_0x12c6c7(0x1980)]({'title':_0x4b9d11[_0x12c6c7(0x107b)]?'API:'+_0x4b9d11[_0x12c6c7(0x107b)]+_0x12c6c7(0x1315)+_0x4b9d11[_0x12c6c7(0x167f)]:_0x12c6c7(0x18af),'msg':_0x4b9d11[_0x12c6c7(0x524)]?JSON[_0x12c6c7(0x10bb)](_0x4b9d11[_0x12c6c7(0x524)][_0x12c6c7(0x7fd)]):_0x4b9d11[_0x12c6c7(0xd5f)]()});});else _0x5c709a['values'][_0x1ea0ee(0x1ad2)]=_0x8df94a(_0x252953,'id','name');}function _0x143412(){const _0x111ec8=_0xa58fa6,_0x55a645=Number(_0x3f65c0()[_0x111ec8(0xc84)](_0x5c709a[_0x111ec8(0x130d)],{'name':_0x111ec8(0x2675)})['value']),_0x1e3e82=_0x3f65c0()[_0x111ec8(0x1360)](_0x40773e,{'id':_0x55a645});if(!_0x1e3e82&&_0x55a645>0x0)return _0xd0de25['squareProject'][_0x111ec8(0x16b4)]({'id':_0x55a645,'fields':_0x111ec8(0x7a7)})['$promise'][_0x111ec8(0x146b)](function(_0x56ac5a){const _0x31b004=_0x111ec8,_0x3b0e41=_0x56ac5a[_0x31b004(0x2488)]();_0x3b0e41[_0x31b004(0x8ff)]=![],_0x40773e[_0x31b004(0x1f47)](_0x3b0e41),_0x5c709a[_0x31b004(0x81b)]['project_id']=_0x8df94a(_0x40773e,'id','name');})[_0x111ec8(0x129e)](function(_0xf4988e){const _0x3762fc=_0x111ec8;_0x150ca7[_0x3762fc(0x1980)]({'title':_0xf4988e['status']?_0x3762fc(0x262a)+_0xf4988e[_0x3762fc(0x107b)]+_0x3762fc(0x1315)+_0xf4988e[_0x3762fc(0x167f)]:_0x3762fc(0x27a0),'msg':_0xf4988e[_0x3762fc(0x524)]?JSON[_0x3762fc(0x10bb)](_0xf4988e[_0x3762fc(0x524)][_0x3762fc(0x7fd)]):_0xf4988e[_0x3762fc(0xd5f)]()});});else _0x5c709a[_0x111ec8(0x81b)]['project_id']=_0x8df94a(_0x40773e,'id','name');}function _0x54d186(){const _0x288a0e=_0xa58fa6,_0x180842=Number(_0x3f65c0()[_0x288a0e(0xc84)](_0x5c709a[_0x288a0e(0x130d)],{'name':_0x288a0e(0x1ac1)})['value']),_0x128355=_0x3f65c0()[_0x288a0e(0x1360)](_0x4cbab4,{'id':_0x180842});if(!_0x128355&&_0x180842>0x0)return _0xd0de25[_0x288a0e(0x1b82)][_0x288a0e(0x16b4)]({'id':_0x180842,'fields':'id,name'})[_0x288a0e(0x2945)][_0x288a0e(0x146b)](function(_0x5b5805){const _0x1809e4=_0x288a0e,_0x29844b=_0x5b5805[_0x1809e4(0x2488)]();_0x29844b['canSelect']=![],_0x4cbab4[_0x1809e4(0x1f47)](_0x29844b),_0x5c709a[_0x1809e4(0x81b)]['context']=_0x8df94a(_0x4cbab4,'id',_0x1809e4(0x19eb));})[_0x288a0e(0x129e)](function(_0x488ca7){const _0x957960=_0x288a0e;_0x150ca7[_0x957960(0x1980)]({'title':_0x488ca7[_0x957960(0x107b)]?_0x957960(0x262a)+_0x488ca7[_0x957960(0x107b)]+_0x957960(0x1315)+_0x488ca7['statusText']:_0x957960(0x1742),'msg':_0x488ca7[_0x957960(0x524)]?JSON[_0x957960(0x10bb)](_0x488ca7['data'][_0x957960(0x7fd)]):_0x488ca7[_0x957960(0xd5f)]()});});else _0x5c709a[_0x288a0e(0x81b)][_0x288a0e(0x1ac1)]=_0x8df94a(_0x4cbab4,'id',_0x288a0e(0x19eb));}function _0x51fc26(){const _0x5f1776=_0xa58fa6,_0x56a81f=Number(_0x3f65c0()['find'](_0x5c709a[_0x5f1776(0x130d)],{'name':'interval_id'})['value']),_0x42917b=_0x3f65c0()['some'](_0x395231,{'id':_0x56a81f});if(!_0x42917b&&_0x56a81f>0x0)return _0xd0de25[_0x5f1776(0x2765)][_0x5f1776(0x16b4)]({'id':_0x56a81f,'fields':_0x5f1776(0x775)})[_0x5f1776(0x2945)][_0x5f1776(0x146b)](function(_0x56eed7){const _0x1e0ece=_0x5f1776,_0x486a75=_0x56eed7[_0x1e0ece(0x2488)]();_0x486a75[_0x1e0ece(0x8ff)]=![],_0x395231[_0x1e0ece(0x1f47)](_0x486a75),_0x5c709a[_0x1e0ece(0x81b)][_0x1e0ece(0x12f6)]=_0x8df94a(_0x395231,'id',_0x1e0ece(0x19eb),_0x1e0ece(0x1ff2));})['catch'](function(_0x127555){const _0x55bf64=_0x5f1776;_0x150ca7[_0x55bf64(0x1980)]({'title':_0x127555[_0x55bf64(0x107b)]?_0x55bf64(0x262a)+_0x127555[_0x55bf64(0x107b)]+_0x55bf64(0x1315)+_0x127555[_0x55bf64(0x167f)]:_0x55bf64(0x9c2),'msg':_0x127555[_0x55bf64(0x524)]?JSON[_0x55bf64(0x10bb)](_0x127555[_0x55bf64(0x524)][_0x55bf64(0x7fd)]):_0x127555[_0x55bf64(0xd5f)]()});});else _0x5c709a['values']['interval_id']=_0x8df94a(_0x395231,'id',_0x5f1776(0x19eb),_0x5f1776(0x1ff2));}function _0x49afe2(){const _0x2881e1=_0xa58fa6,_0x2c64a4=Number(_0x3f65c0()[_0x2881e1(0xc84)](_0x5c709a[_0x2881e1(0x130d)],{'name':_0x2881e1(0x1dc3)})['value']),_0x41c51b=_0x3f65c0()[_0x2881e1(0x1360)](_0x35c3de,{'id':_0x2c64a4});if(!_0x41c51b&&_0x2c64a4>0x0)return _0xd0de25['mailAccount']['get']({'id':_0x2c64a4,'fields':_0x2881e1(0x7a7)})['$promise'][_0x2881e1(0x146b)](function(_0x24db62){const _0x387f39=_0x2881e1,_0x5009db=_0x24db62[_0x387f39(0x2488)]();_0x5009db[_0x387f39(0x8ff)]=![],_0x35c3de[_0x387f39(0x1f47)](_0x5009db),_0x5c709a[_0x387f39(0x81b)][_0x387f39(0x1dc3)]=_0x8df94a(_0x35c3de,'id',_0x387f39(0x19eb));})[_0x2881e1(0x129e)](function(_0x542e3f){const _0x38a64e=_0x2881e1;_0x150ca7[_0x38a64e(0x1980)]({'title':_0x542e3f[_0x38a64e(0x107b)]?_0x38a64e(0x262a)+_0x542e3f[_0x38a64e(0x107b)]+'\x20-\x20'+_0x542e3f[_0x38a64e(0x167f)]:_0x38a64e(0xbc6),'msg':_0x542e3f[_0x38a64e(0x524)]?JSON[_0x38a64e(0x10bb)](_0x542e3f['data']['message']):_0x542e3f['toString']()});});else _0x5c709a['values']['account_id']=_0x8df94a(_0x35c3de,'id',_0x2881e1(0x19eb));}function _0x1ec868(){const _0x3e3861=_0xa58fa6,_0x1ec804=Number(_0x3f65c0()[_0x3e3861(0xc84)](_0x5c709a[_0x3e3861(0x130d)],{'name':_0x3e3861(0x17e7)})[_0x3e3861(0x175d)]),_0x3ca7fb=_0x3f65c0()[_0x3e3861(0x1360)](_0x3f5304,{'id':_0x1ec804});if(!_0x3ca7fb&&_0x1ec804>0x0)return _0xd0de25[_0x3e3861(0x2209)][_0x3e3861(0x16b4)]({'id':_0x1ec804,'fields':_0x3e3861(0x7a7)})[_0x3e3861(0x2945)][_0x3e3861(0x146b)](function(_0x5d2656){const _0x56d2ec=_0x3e3861,_0x4d931f=_0x5d2656[_0x56d2ec(0x2488)]();_0x4d931f[_0x56d2ec(0x8ff)]=![],_0x3f5304[_0x56d2ec(0x1f47)](_0x4d931f),_0x5c709a[_0x56d2ec(0x81b)][_0x56d2ec(0x17e7)]=_0x8df94a(_0x3f5304,'id',_0x56d2ec(0x19eb));})['catch'](function(_0x2a5fb1){const _0x175477=_0x3e3861;_0x150ca7['error']({'title':_0x2a5fb1[_0x175477(0x107b)]?_0x175477(0x262a)+_0x2a5fb1[_0x175477(0x107b)]+_0x175477(0x1315)+_0x2a5fb1['statusText']:_0x175477(0x1afb),'msg':_0x2a5fb1['data']?JSON['stringify'](_0x2a5fb1[_0x175477(0x524)]['message']):_0x2a5fb1[_0x175477(0xd5f)]()});});else _0x5c709a[_0x3e3861(0x81b)][_0x3e3861(0x17e7)]=_0x8df94a(_0x3f5304,'id',_0x3e3861(0x19eb));}function _0x2226af(){const _0x4b2c40=_0xa58fa6,_0x1e9e1f=Number(_0x3f65c0()[_0x4b2c40(0xc84)](_0x5c709a[_0x4b2c40(0x130d)],{'name':_0x4b2c40(0x16d8)})[_0x4b2c40(0x175d)]),_0x104a16=_0x3f65c0()[_0x4b2c40(0x1360)](_0x4ae713,{'id':_0x1e9e1f});if(!_0x104a16&&_0x1e9e1f>0x0)return _0xd0de25[_0x4b2c40(0x1928)]['get']({'id':_0x1e9e1f,'fields':_0x4b2c40(0x7a7)})[_0x4b2c40(0x2945)][_0x4b2c40(0x146b)](function(_0xc68bf0){const _0x26e13d=_0x4b2c40,_0x4c4a42=_0xc68bf0['toJSON']();_0x4c4a42[_0x26e13d(0x8ff)]=![],_0x4ae713[_0x26e13d(0x1f47)](_0x4c4a42),_0x5c709a[_0x26e13d(0x81b)][_0x26e13d(0x16d8)]=_0x8df94a(_0x4ae713,'id','name');})[_0x4b2c40(0x129e)](function(_0x5dc096){const _0x48ec4b=_0x4b2c40;_0x150ca7[_0x48ec4b(0x1980)]({'title':_0x5dc096[_0x48ec4b(0x107b)]?'API:'+_0x5dc096['status']+'\x20-\x20'+_0x5dc096[_0x48ec4b(0x167f)]:_0x48ec4b(0x701),'msg':_0x5dc096[_0x48ec4b(0x524)]?JSON['stringify'](_0x5dc096['data'][_0x48ec4b(0x7fd)]):_0x5dc096['toString']()});});else _0x5c709a[_0x4b2c40(0x81b)]['template_id']=_0x8df94a(_0x4ae713,'id',_0x4b2c40(0x19eb));}function _0x381b40(){const _0x2f321d=_0xa58fa6,_0x4f1d58=Number(_0x3f65c0()['find'](_0x5c709a[_0x2f321d(0x130d)],{'name':'pause_id'})[_0x2f321d(0x175d)]),_0x4762b5=_0x3f65c0()[_0x2f321d(0x1360)](_0x122398,{'id':_0x4f1d58});if(!_0x4762b5&&_0x4f1d58>0x0)return _0xd0de25['pause']['get']({'id':_0x4f1d58,'fields':_0x2f321d(0x7a7)})[_0x2f321d(0x2945)][_0x2f321d(0x146b)](function(_0x52ecf6){const _0x4d944a=_0x2f321d,_0x263fc6=_0x52ecf6[_0x4d944a(0x2488)]();_0x263fc6['canSelect']=![],_0x122398[_0x4d944a(0x1f47)](_0x263fc6),_0x5c709a[_0x4d944a(0x81b)]['pause_id']=_0x8df94a(_0x122398,'id',_0x4d944a(0x19eb));})[_0x2f321d(0x129e)](function(_0x2dccda){const _0x356186=_0x2f321d;_0x150ca7[_0x356186(0x1980)]({'title':_0x2dccda[_0x356186(0x107b)]?_0x356186(0x262a)+_0x2dccda['status']+_0x356186(0x1315)+_0x2dccda[_0x356186(0x167f)]:'GET_PAUSE','msg':_0x2dccda['data']?JSON['stringify'](_0x2dccda[_0x356186(0x524)][_0x356186(0x7fd)]):_0x2dccda[_0x356186(0xd5f)]()});});else _0x5c709a[_0x2f321d(0x81b)][_0x2f321d(0x1ab7)]=_0x8df94a(_0x122398,'id',_0x2f321d(0x19eb));}function _0x12c3e9(_0x41396b){const _0x499309=_0xa58fa6;_0x41396b&&_0x2bbff3(_0x5c709a[_0x499309(0x130d)]),_0xba038[_0x499309(0x2458)]();}}const _0x50edeb=_0x5d5898;;function _0xcb6900(){const _0xd0d7c7=_0x313a4d;return{'require':_0xd0d7c7(0x213f),'link':function(_0x1dfeac,_0x568609,_0x347f4b,_0x4fd1af){const _0x48f821=_0xd0d7c7;_0x4fd1af['$parsers'][_0x48f821(0x1f47)](function(_0x460fb5){return''+_0x460fb5;}),_0x4fd1af['$formatters']['push'](function(_0x3d31b4){return parseFloat(_0x3d31b4);});}};}const _0x4a723d=_0xcb6900;;function _0x5d06ec(){const _0x575f85=_0x313a4d;return[{'value':'da','option':_0x575f85(0x3d5)},{'value':'en','option':_0x575f85(0x1ce8)},{'value':'de','option':_0x575f85(0x592)},{'value':'it','option':_0x575f85(0x98f)},{'value':'es','option':'Spanish'},{'value':'fr','option':_0x575f85(0x12ea)},{'value':'id','option':_0x575f85(0x12f)},{'value':'ja','option':_0x575f85(0xf90)},{'value':'ko','option':_0x575f85(0x11cd)},{'value':'nl','option':_0x575f85(0x1d32)},{'value':'no','option':_0x575f85(0xcb4)},{'value':'pt','option':_0x575f85(0x119b)},{'value':_0x575f85(0x315),'option':_0x575f85(0x1e34)},{'value':'ru','option':_0x575f85(0x25d4)},{'value':'sv','option':_0x575f85(0x2081)},{'value':'th','option':_0x575f85(0x1449)},{'value':'uk','option':_0x575f85(0x13bf)},{'value':_0x575f85(0xc56),'option':_0x575f85(0x8d3)},{'value':'zh-HK','option':_0x575f85(0x1f35)},{'value':_0x575f85(0xf12),'option':_0x575f85(0x1cde)}];}function _0x556a3e(){const _0x50761c=_0x313a4d;return[{'value':_0x50761c(0x13c6),'option':_0x50761c(0x196f)},{'value':'zh-CN','option':_0x50761c(0x8d3)},{'value':_0x50761c(0xf12),'option':_0x50761c(0x1cde)},{'value':'da','option':_0x50761c(0x3d5)},{'value':'nl','option':'Dutch'},{'value':'en','option':_0x50761c(0x1ce8)},{'value':_0x50761c(0x1beb),'option':'English\x20(Australia)'},{'value':'en-CA','option':_0x50761c(0x425)},{'value':'en-GB','option':_0x50761c(0x3c9)},{'value':_0x50761c(0xef0),'option':_0x50761c(0x688)},{'value':'en-US','option':_0x50761c(0x4e8)},{'value':'fr','option':'French'},{'value':'fr-CA','option':_0x50761c(0x3e1)},{'value':_0x50761c(0x110a),'option':_0x50761c(0x9a0)},{'value':'de','option':_0x50761c(0x592)},{'value':'hi','option':_0x50761c(0x28c1)},{'value':'id','option':_0x50761c(0x12f)},{'value':'it','option':_0x50761c(0x98f)},{'value':'ja','option':_0x50761c(0xf90)},{'value':'ko','option':_0x50761c(0x1dc)},{'value':'no','option':_0x50761c(0xcb4)},{'value':'pl','option':_0x50761c(0xe06)},{'value':_0x50761c(0x2566),'option':_0x50761c(0x1aaf)},{'value':_0x50761c(0x23f5),'option':'Portuguese\x20(Portugal)'},{'value':'ru','option':_0x50761c(0x25d4)},{'value':'es','option':'Spanish'},{'value':'es-419','option':'Spanish\x20(Latin\x20America)'},{'value':_0x50761c(0xd8c),'option':_0x50761c(0x13ad)},{'value':'sw','option':_0x50761c(0x2081)},{'value':'th','option':_0x50761c(0x1449)},{'value':'tr','option':_0x50761c(0x15a8)},{'value':'uk','option':'Ukrainian'}];}function _0x265aca(){const _0xdd176e=_0x313a4d;return[{'value':'ar-XA','option':_0xdd176e(0x1123)},{'value':_0xdd176e(0x27b0),'option':_0xdd176e(0x23dd)},{'value':_0xdd176e(0x2009),'option':_0xdd176e(0x328)},{'value':_0xdd176e(0x2203),'option':'Dutch\x20(Netherlands)'},{'value':'el-GR','option':_0xdd176e(0x11c9)},{'value':_0xdd176e(0x1beb),'option':_0xdd176e(0x3aa)},{'value':_0xdd176e(0xef0),'option':'English\x20(India)'},{'value':_0xdd176e(0x7b3),'option':_0xdd176e(0x32a)},{'value':_0xdd176e(0x146d),'option':_0xdd176e(0x4e8)},{'value':'fi-FI','option':_0xdd176e(0x1998)},{'value':_0xdd176e(0x2748),'option':_0xdd176e(0x1f21)},{'value':_0xdd176e(0x267e),'option':_0xdd176e(0x3e1)},{'value':'fr-FR','option':'French\x20(France)'},{'value':'hi-IN','option':'Hindi\x20(India)'},{'value':_0xdd176e(0x66a),'option':'Hungarian\x20(Hungary)'},{'value':_0xdd176e(0x108f),'option':_0xdd176e(0x1e76)},{'value':'it-IT','option':_0xdd176e(0x1b8d)},{'value':_0xdd176e(0x27bd),'option':_0xdd176e(0xf4c)},{'value':'ko-KR','option':_0xdd176e(0x11cd)},{'value':_0xdd176e(0x19d1),'option':_0xdd176e(0x1817)},{'value':_0xdd176e(0x15a0),'option':_0xdd176e(0x52b)},{'value':_0xdd176e(0x904),'option':'Polish\x20(Poland)'},{'value':_0xdd176e(0x2566),'option':_0xdd176e(0x1aaf)},{'value':_0xdd176e(0x23f5),'option':_0xdd176e(0x1d1)},{'value':_0xdd176e(0x912),'option':'Russian\x20(Russia)'},{'value':_0xdd176e(0x14ac),'option':_0xdd176e(0x263f)},{'value':_0xdd176e(0xd8c),'option':_0xdd176e(0x13ad)},{'value':_0xdd176e(0x1038),'option':_0xdd176e(0x14bf)},{'value':_0xdd176e(0x1aa8),'option':'Turkish\x20(Turkey)'},{'value':_0xdd176e(0x125a),'option':_0xdd176e(0x17e8)},{'value':_0xdd176e(0x1bfb),'option':'Vietnamese\x20(Vietnam)'}];}function _0x29c46f(){const _0x3733f6=_0x313a4d;return[{'value':'af','option':_0x3733f6(0xc18)},{'value':'sq','option':_0x3733f6(0x27fd)},{'value':'am','option':'Amharic'},{'value':'ar','option':_0x3733f6(0x1123)},{'value':'hy','option':_0x3733f6(0x273a)},{'value':'az','option':_0x3733f6(0x4b0)},{'value':'eu','option':'Basque'},{'value':'be','option':'Belarusian'},{'value':'bn','option':'Bengali'},{'value':'bh','option':_0x3733f6(0x28a3)},{'value':'bs','option':_0x3733f6(0x27ef)},{'value':'br','option':_0x3733f6(0x1a3b)},{'value':'bg','option':'Bulgarian'},{'value':'km','option':'Cambodian'},{'value':'ca','option':_0x3733f6(0x20cd)},{'value':_0x3733f6(0xc56),'option':_0x3733f6(0x8d3)},{'value':_0x3733f6(0xf12),'option':_0x3733f6(0x1cde)},{'value':'co','option':_0x3733f6(0x24d)},{'value':'hr','option':'Croatian'},{'value':'cs','option':_0x3733f6(0xf6d)},{'value':'da','option':'Danish'},{'value':'nl','option':_0x3733f6(0x1d32)},{'value':'en','option':_0x3733f6(0x1ce8)},{'value':'eo','option':'Esperanto'},{'value':'et','option':_0x3733f6(0x9aa)},{'value':'fo','option':_0x3733f6(0x26bb)},{'value':'tl','option':'Filipino'},{'value':'fi','option':_0x3733f6(0x1229)},{'value':'fr','option':_0x3733f6(0x12ea)},{'value':'fy','option':'Frisian'},{'value':'gl','option':_0x3733f6(0x1ec)},{'value':'ka','option':'Georgian'},{'value':'de','option':_0x3733f6(0x592)},{'value':'el','option':_0x3733f6(0x1286)},{'value':'gn','option':_0x3733f6(0x1020)},{'value':'gu','option':_0x3733f6(0xdf9)},{'value':_0x3733f6(0x1833),'option':'Hacker'},{'value':'ha','option':_0x3733f6(0x1a7a)},{'value':'iw','option':_0x3733f6(0x24a9)},{'value':'hi','option':_0x3733f6(0x28c1)},{'value':'hu','option':_0x3733f6(0x42b)},{'value':'is','option':_0x3733f6(0x2033)},{'value':'id','option':_0x3733f6(0x12f)},{'value':'ia','option':_0x3733f6(0xfb5)},{'value':'ga','option':_0x3733f6(0x17c0)},{'value':'it','option':'Italian'},{'value':'jw','option':_0x3733f6(0x8eb)},{'value':'ja','option':'Japanese'},{'value':'kn','option':'Kannada'},{'value':'kk','option':'Kazakh'},{'value':'rw','option':_0x3733f6(0x16d5)},{'value':'rn','option':'Kirundi'},{'value':_0x3733f6(0x1dee),'option':_0x3733f6(0x1163)},{'value':'ku','option':_0x3733f6(0x1c48)},{'value':'ko','option':'Korean'},{'value':'ky','option':_0x3733f6(0x19d4)},{'value':'lo','option':_0x3733f6(0x13ef)},{'value':'la','option':_0x3733f6(0x1cbb)},{'value':'lv','option':_0x3733f6(0x1ebd)},{'value':'ln','option':_0x3733f6(0x280d)},{'value':'lt','option':_0x3733f6(0x23b0)},{'value':'mk','option':_0x3733f6(0x10de)},{'value':'mg','option':_0x3733f6(0x246b)},{'value':'ml','option':_0x3733f6(0xca4)},{'value':'mt','option':_0x3733f6(0x24a5)},{'value':'mi','option':'Maori'},{'value':'mr','option':_0x3733f6(0x14ea)},{'value':'mo','option':_0x3733f6(0x7f6)},{'value':'mn','option':'Mongolian'},{'value':'sr-ME','option':_0x3733f6(0xc50)},{'value':'ne','option':_0x3733f6(0x2504)},{'value':'no','option':_0x3733f6(0xcb4)},{'value':'nn','option':_0x3733f6(0x22f0)},{'value':'oc','option':_0x3733f6(0x227e)},{'value':'or','option':_0x3733f6(0x1543)},{'value':'om','option':_0x3733f6(0x2692)},{'value':'ps','option':_0x3733f6(0x2199)},{'value':'fa','option':'Persian'},{'value':_0x3733f6(0x16df),'option':_0x3733f6(0x28ac)},{'value':'pl','option':_0x3733f6(0xe06)},{'value':_0x3733f6(0x2566),'option':'Portuguese\x20(Brazil)'},{'value':_0x3733f6(0x23f5),'option':_0x3733f6(0x1d1)},{'value':'pa','option':'Punjabi'},{'value':'qu','option':_0x3733f6(0x16f9)},{'value':'ro','option':_0x3733f6(0x652)},{'value':'rm','option':_0x3733f6(0x1fcf)},{'value':'ru','option':_0x3733f6(0x25d4)},{'value':'gd','option':_0x3733f6(0x21d3)},{'value':'sr','option':'Serbian'},{'value':'sh','option':'Serbo-Croatian'},{'value':'st','option':'Sesotho'},{'value':'sn','option':'Shona'},{'value':'sd','option':_0x3733f6(0xd40)},{'value':'si','option':_0x3733f6(0x1fdc)},{'value':'sk','option':_0x3733f6(0xe7f)},{'value':'sl','option':_0x3733f6(0xbbd)},{'value':'so','option':_0x3733f6(0xef8)},{'value':'es','option':_0x3733f6(0xae7)},{'value':'su','option':_0x3733f6(0x1786)},{'value':'sw','option':_0x3733f6(0x2280)},{'value':'sv','option':'Swedish'},{'value':'tg','option':'Tajik'},{'value':'ta','option':_0x3733f6(0xd83)},{'value':'tt','option':_0x3733f6(0x1896)},{'value':'te','option':_0x3733f6(0x243e)},{'value':'th','option':_0x3733f6(0x1449)},{'value':'ti','option':_0x3733f6(0x18f8)},{'value':'to','option':_0x3733f6(0x14a6)},{'value':'tr','option':_0x3733f6(0x15a8)},{'value':'tk','option':_0x3733f6(0x100c)},{'value':'tw','option':'Twi'},{'value':'ug','option':_0x3733f6(0x291f)},{'value':'uk','option':'Ukrainian'},{'value':'ur','option':_0x3733f6(0x26bd)},{'value':'uz','option':_0x3733f6(0x21ab)},{'value':'vi','option':_0x3733f6(0x27b)},{'value':'cy','option':_0x3733f6(0x54a)},{'value':'xh','option':_0x3733f6(0x4b2)},{'value':'yi','option':_0x3733f6(0x1e56)},{'value':'yo','option':_0x3733f6(0x18fb)},{'value':'zu','option':'Zulu'}];}function _0x29750e(){const _0x58cab3=_0x313a4d;return[{'value':'af-ZA','option':_0x58cab3(0xd7f)},{'value':'am-ET','option':_0x58cab3(0x1613)},{'value':'hy-AM','option':'Armenian\x20(Armenia)'},{'value':'az-AZ','option':'Azerbaijani\x20(Azerbaijan)'},{'value':_0x58cab3(0x108f),'option':'Indonesian\x20(Indonesia)'},{'value':_0x58cab3(0xce4),'option':_0x58cab3(0x1aa)},{'value':_0x58cab3(0x1731),'option':_0x58cab3(0x5e8)},{'value':_0x58cab3(0x72f),'option':_0x58cab3(0x1321)},{'value':_0x58cab3(0x582),'option':'Catalan\x20(Spain)'},{'value':_0x58cab3(0x27b0),'option':'Czech\x20(Czech\x20Republic)'},{'value':_0x58cab3(0x2009),'option':_0x58cab3(0x328)},{'value':_0x58cab3(0x2203),'option':_0x58cab3(0x11bf)},{'value':_0x58cab3(0x1beb),'option':_0x58cab3(0x24ea)},{'value':'en-CA','option':_0x58cab3(0x425)},{'value':_0x58cab3(0x18e6),'option':'English\x20(Ghana)'},{'value':_0x58cab3(0x7b3),'option':_0x58cab3(0x129f)},{'value':_0x58cab3(0xef0),'option':_0x58cab3(0x688)},{'value':'en-IE','option':_0x58cab3(0x2183)},{'value':_0x58cab3(0x1bf0),'option':_0x58cab3(0x261f)},{'value':_0x58cab3(0x1dd),'option':_0x58cab3(0xee3)},{'value':_0x58cab3(0x1aa4),'option':'English\x20(Nigeria)'},{'value':'en-PH','option':_0x58cab3(0x2f1)},{'value':'en-ZA','option':'English\x20(South\x20Africa)'},{'value':'en-TZ','option':_0x58cab3(0x24f6)},{'value':_0x58cab3(0x146d),'option':_0x58cab3(0x236a)},{'value':_0x58cab3(0xd90),'option':_0x58cab3(0x12ec)},{'value':_0x58cab3(0x38e),'option':_0x58cab3(0x8d2)},{'value':_0x58cab3(0x2473),'option':'Spanish\x20(Chile)'},{'value':'es-CO','option':_0x58cab3(0xd27)},{'value':'es-CR','option':_0x58cab3(0x8f9)},{'value':_0x58cab3(0x24d5),'option':_0x58cab3(0x20e0)},{'value':'es-SV','option':_0x58cab3(0x67c)},{'value':_0x58cab3(0xd8c),'option':_0x58cab3(0x13ad)},{'value':'es-US','option':_0x58cab3(0x1199)},{'value':_0x58cab3(0xedf),'option':_0x58cab3(0x147c)},{'value':'es-HN','option':_0x58cab3(0x1e3a)},{'value':_0x58cab3(0x19e),'option':_0x58cab3(0x3c2)},{'value':'es-NI','option':_0x58cab3(0x2150)},{'value':_0x58cab3(0x771),'option':_0x58cab3(0x171d)},{'value':_0x58cab3(0x290b),'option':_0x58cab3(0x9bc)},{'value':_0x58cab3(0x186f),'option':'Spanish\x20(Peru)'},{'value':_0x58cab3(0x273c),'option':_0x58cab3(0x1a80)},{'value':_0x58cab3(0x24b4),'option':_0x58cab3(0x15c5)},{'value':'es-UY','option':_0x58cab3(0x1bad)},{'value':_0x58cab3(0x19b7),'option':_0x58cab3(0x4e1)},{'value':_0x58cab3(0xf9),'option':_0x58cab3(0xf0e)},{'value':_0x58cab3(0x2748),'option':_0x58cab3(0x1f21)},{'value':_0x58cab3(0x267e),'option':_0x58cab3(0x3e1)},{'value':_0x58cab3(0x110a),'option':_0x58cab3(0x1e2b)},{'value':'gl-ES','option':_0x58cab3(0x80a)},{'value':_0x58cab3(0x20f),'option':_0x58cab3(0x215a)},{'value':'gu-IN','option':_0x58cab3(0xcf4)},{'value':_0x58cab3(0x1d41),'option':'Croatian\x20(Croatia)'},{'value':_0x58cab3(0xe27),'option':_0x58cab3(0xb28)},{'value':_0x58cab3(0xddb),'option':_0x58cab3(0x149e)},{'value':'it-IT','option':_0x58cab3(0x1b8d)},{'value':_0x58cab3(0x1b91),'option':_0x58cab3(0xd37)},{'value':_0x58cab3(0x619),'option':_0x58cab3(0x708)},{'value':_0x58cab3(0x2489),'option':_0x58cab3(0x12e8)},{'value':_0x58cab3(0x15fc),'option':_0x58cab3(0xaf9)},{'value':_0x58cab3(0xd35),'option':_0x58cab3(0x5e0)},{'value':_0x58cab3(0xba2),'option':_0x58cab3(0x1563)},{'value':_0x58cab3(0x66a),'option':_0x58cab3(0xe5f)},{'value':_0x58cab3(0x1bd7),'option':_0x58cab3(0x12ab)},{'value':_0x58cab3(0x16bf),'option':_0x58cab3(0xd11)},{'value':_0x58cab3(0x303),'option':_0x58cab3(0xfb9)},{'value':_0x58cab3(0x480),'option':_0x58cab3(0x145b)},{'value':'nb-NO','option':_0x58cab3(0x20ae)},{'value':_0x58cab3(0x904),'option':_0x58cab3(0x1c26)},{'value':_0x58cab3(0x2566),'option':_0x58cab3(0x1aaf)},{'value':_0x58cab3(0x23f5),'option':_0x58cab3(0x1d1)},{'value':'ro-RO','option':_0x58cab3(0x2696)},{'value':'si-LK','option':_0x58cab3(0xa05)},{'value':'sk-SK','option':'Slovak\x20(Slovakia)'},{'value':_0x58cab3(0x1ae4),'option':_0x58cab3(0x13c7)},{'value':_0x58cab3(0x202a),'option':_0x58cab3(0x527)},{'value':_0x58cab3(0xfa6),'option':_0x58cab3(0x2056)},{'value':'sw-KE','option':'Swahili\x20(Kenya)'},{'value':'fi-FI','option':_0x58cab3(0x1998)},{'value':_0x58cab3(0x1038),'option':_0x58cab3(0x14bf)},{'value':_0x58cab3(0xf9c),'option':_0x58cab3(0xb9e)},{'value':_0x58cab3(0x1df1),'option':_0x58cab3(0xefb)},{'value':'ta-LK','option':_0x58cab3(0xf3a)},{'value':'ta-MY','option':'Tamil\x20(Malaysia)'},{'value':_0x58cab3(0x7d7),'option':_0x58cab3(0x121c)},{'value':_0x58cab3(0x1bfb),'option':_0x58cab3(0x14dd)},{'value':_0x58cab3(0x1aa8),'option':_0x58cab3(0x191a)},{'value':_0x58cab3(0x1d6),'option':_0x58cab3(0x6e5)},{'value':'ur-IN','option':_0x58cab3(0x8c9)},{'value':_0x58cab3(0x61c),'option':'Greek\x20(Greece)'},{'value':'bg-BG','option':_0x58cab3(0x9c0)},{'value':_0x58cab3(0x912),'option':_0x58cab3(0x1282)},{'value':_0x58cab3(0xc82),'option':_0x58cab3(0x101a)},{'value':_0x58cab3(0x125a),'option':_0x58cab3(0x17e8)},{'value':_0x58cab3(0x4a7),'option':_0x58cab3(0x2429)},{'value':'ar-IL','option':_0x58cab3(0xa16)},{'value':_0x58cab3(0x204e),'option':_0x58cab3(0x2558)},{'value':_0x58cab3(0x133c),'option':_0x58cab3(0x2c2)},{'value':_0x58cab3(0x1ef4),'option':_0x58cab3(0xbae)},{'value':_0x58cab3(0xa7b),'option':_0x58cab3(0xf01)},{'value':_0x58cab3(0xa77),'option':_0x58cab3(0xf13)},{'value':_0x58cab3(0x238f),'option':_0x58cab3(0xbb8)},{'value':_0x58cab3(0x532),'option':'Arabic\x20(Kuwait)'},{'value':_0x58cab3(0x107),'option':_0x58cab3(0xb16)},{'value':_0x58cab3(0x28da),'option':_0x58cab3(0x1089)},{'value':_0x58cab3(0x1725),'option':'Arabic\x20(Oman)'},{'value':'ar-PS','option':_0x58cab3(0x27d6)},{'value':_0x58cab3(0x1bdd),'option':_0x58cab3(0x224a)},{'value':'ar-LB','option':_0x58cab3(0x1915)},{'value':_0x58cab3(0x1c2c),'option':_0x58cab3(0x3ac)},{'value':_0x58cab3(0x2589),'option':'Persian\x20(Iran)'},{'value':_0x58cab3(0x18a6),'option':_0x58cab3(0x179d)},{'value':_0x58cab3(0x1523),'option':_0x58cab3(0x1679)},{'value':_0x58cab3(0x2259),'option':_0x58cab3(0x11cd)},{'value':'cmn-Hant-TW','option':'Chinese,\x20Mandarin\x20(Traditional,\x20Taiwan)'},{'value':_0x58cab3(0x5dd),'option':_0x58cab3(0x1866)},{'value':_0x58cab3(0x27bd),'option':_0x58cab3(0xf4c)},{'value':_0x58cab3(0x436),'option':_0x58cab3(0x2107)},{'value':_0x58cab3(0xd3b),'option':'Chinese,\x20Mandarin\x20(Simplified,\x20China)'}];}function _0x436663(){const _0x432ac1=_0x313a4d;return[{'value':_0x432ac1(0x209b),'option':'US\x20English\x20Female'},{'value':_0x432ac1(0x1139),'option':_0x432ac1(0xc9d)},{'value':_0x432ac1(0x183a),'option':_0x432ac1(0x14f9)},{'value':'ukenglishmale','option':_0x432ac1(0x293f)},{'value':_0x432ac1(0xad2),'option':_0x432ac1(0x1e2d)},{'value':_0x432ac1(0x106d),'option':_0x432ac1(0x1c24)},{'value':_0x432ac1(0x656),'option':_0x432ac1(0x2759)},{'value':'chchinesefemale','option':_0x432ac1(0x1771)},{'value':_0x432ac1(0x4f9),'option':'Chinese\x20Male'},{'value':_0x432ac1(0x1d15),'option':_0x432ac1(0x2540)},{'value':_0x432ac1(0x1b67),'option':_0x432ac1(0x1149)},{'value':'jpjapanesefemale','option':_0x432ac1(0x20a3)},{'value':_0x432ac1(0x19aa),'option':'Japanese\x20Male'},{'value':_0x432ac1(0x2064),'option':_0x432ac1(0x2788)},{'value':'krkoreanmale','option':'Korean\x20Male'},{'value':_0x432ac1(0x242),'option':_0x432ac1(0x2100)},{'value':_0x432ac1(0x6e8),'option':_0x432ac1(0x7f8)},{'value':_0x432ac1(0x1df),'option':_0x432ac1(0x276f)},{'value':_0x432ac1(0x272f),'option':_0x432ac1(0x14d6)},{'value':_0x432ac1(0xb25),'option':_0x432ac1(0x1eae)},{'value':_0x432ac1(0x5eb),'option':_0x432ac1(0xbb6)},{'value':_0x432ac1(0x174c),'option':_0x432ac1(0xff5)},{'value':_0x432ac1(0x2051),'option':_0x432ac1(0x132e)},{'value':'eurczechfemale','option':_0x432ac1(0xdcb)},{'value':_0x432ac1(0xeb7),'option':_0x432ac1(0x311)},{'value':_0x432ac1(0x1bcb),'option':_0x432ac1(0x23a4)},{'value':_0x432ac1(0x169b),'option':_0x432ac1(0x8a0)},{'value':_0x432ac1(0x188),'option':'European\x20French\x20Male'},{'value':'eurnorwegianfemale','option':_0x432ac1(0x137e)},{'value':'eurdutchfemale','option':'European\x20Dutch\x20Female'},{'value':_0x432ac1(0x129a),'option':'European\x20Polish\x20Female'},{'value':_0x432ac1(0xd6a),'option':'European\x20Italian\x20Female'},{'value':_0x432ac1(0x26ce),'option':'European\x20Italian\x20Male'},{'value':'eurturkishfemale','option':_0x432ac1(0x25e9)},{'value':_0x432ac1(0x1cd),'option':_0x432ac1(0x1ed3)},{'value':'eurgermanfemale','option':'European\x20German\x20Female'},{'value':'eurgermanmale','option':_0x432ac1(0x10b9)},{'value':_0x432ac1(0x11d8),'option':'Russian\x20Female'},{'value':_0x432ac1(0x26a9),'option':_0x432ac1(0x4ca)},{'value':'swswedishfemale','option':_0x432ac1(0x1e36)},{'value':_0x432ac1(0x18ab),'option':_0x432ac1(0x18b5)},{'value':_0x432ac1(0x8e9),'option':_0x432ac1(0xb8a)}];}function _0x941e76(){const _0xc137ff=_0x313a4d;return[{'value':_0xc137ff(0x146d),'option':'English\x20(US)'},{'value':_0xc137ff(0x19f7),'option':'English\x20(Canada)'},{'value':_0xc137ff(0x7b3),'option':_0xc137ff(0x32a)},{'value':'en-AU','option':_0xc137ff(0x24ea)},{'value':_0xc137ff(0xd8c),'option':'Spanish\x20(Spain)'},{'value':_0xc137ff(0x19e),'option':_0xc137ff(0x3c2)},{'value':_0xc137ff(0x26a1),'option':_0xc137ff(0x26da)},{'value':_0xc137ff(0x110a),'option':_0xc137ff(0x11bf)},{'value':'fr-CA','option':'French\x20(Canada)'},{'value':_0xc137ff(0x904),'option':_0xc137ff(0x1c26)},{'value':'pt-PT','option':_0xc137ff(0x1d1)},{'value':_0xc137ff(0x582),'option':_0xc137ff(0x2518)},{'value':_0xc137ff(0xf12),'option':_0xc137ff(0x148a)},{'value':'da-DK','option':_0xc137ff(0x328)},{'value':_0xc137ff(0x27bd),'option':_0xc137ff(0xf4c)},{'value':'ko-KR','option':'Korean\x20(Korea)'},{'value':_0xc137ff(0x303),'option':'Dutch\x20(Netherlands)'},{'value':_0xc137ff(0x15a0),'option':_0xc137ff(0x52b)},{'value':_0xc137ff(0x2566),'option':_0xc137ff(0x1aaf)},{'value':_0xc137ff(0x912),'option':'Russian\x20(Russia)'},{'value':'sv-SE','option':_0xc137ff(0x14bf)},{'value':_0xc137ff(0xc56),'option':'Chinese\x20(China)'},{'value':_0xc137ff(0x13c6),'option':_0xc137ff(0x1f35)}];}function _0x5e4037(){const _0x5db81b=_0x313a4d;return[{'value':'0','option':'Default'},{'value':_0x5db81b(0x1a10),'option':_0x5db81b(0x2882)},{'value':_0x5db81b(0xf9d),'option':_0x5db81b(0x26a)},{'value':'numbersto9','option':'Numbers\x20to\x209'},{'value':'numbersto99','option':'Numbers\x20to\x2099'},{'value':_0x5db81b(0x213b),'option':_0x5db81b(0x183)},{'value':_0x5db81b(0x1df0),'option':_0x5db81b(0x1ae8)},{'value':'phonenumber','option':_0x5db81b(0x26fe)},{'value':_0x5db81b(0x2bd),'option':_0x5db81b(0x11f6)},{'value':_0x5db81b(0x1050),'option':_0x5db81b(0x518)}];}function _0x17525a(){const _0x839286=_0x313a4d;return[{'value':'Amy','option':_0x839286(0xd18)},{'value':_0x839286(0x1c25),'option':_0x839286(0x730)},{'value':_0x839286(0x26d9),'option':_0x839286(0xced)},{'value':'Carla','option':'Carla\x20(Italian,\x20Female)'},{'value':'Carmen','option':'Carmen\x20(Romanian,\x20Female)'},{'value':_0x839286(0x1ff6),'option':_0x839286(0x1478)},{'value':'Chantal','option':_0x839286(0x1843)},{'value':_0x839286(0x1a79),'option':_0x839286(0x17f8)},{'value':_0x839286(0x11a6),'option':_0x839286(0x117b)},{'value':_0x839286(0x2781),'option':'Dora\x20(Icelandic,\x20Female)'},{'value':_0x839286(0x2848),'option':_0x839286(0x6a0)},{'value':'Enrique','option':_0x839286(0xeb6)},{'value':_0x839286(0x2162),'option':_0x839286(0x1a01)},{'value':_0x839286(0x20db),'option':_0x839286(0x4e6)},{'value':_0x839286(0x28dd),'option':_0x839286(0x1307)},{'value':_0x839286(0x1b5),'option':_0x839286(0x15ba)},{'value':'Gwineth','option':_0x839286(0x14cb)},{'value':_0x839286(0xe9e),'option':_0x839286(0x20be)},{'value':_0x839286(0x1296),'option':_0x839286(0x12c3)},{'value':_0x839286(0x2ac),'option':_0x839286(0xa66)},{'value':_0x839286(0x617),'option':_0x839286(0x243)},{'value':'Jan','option':_0x839286(0xd0a)},{'value':_0x839286(0x28a9),'option':_0x839286(0x125e)},{'value':_0x839286(0x1fef),'option':'Joey\x20(English,\x20US,\x20Male)'},{'value':_0x839286(0x205d),'option':'Justin\x20(English,\x20US,\x20Male)'},{'value':_0x839286(0x6ad),'option':'Karl\x20(Icelandic,\x20Male)'},{'value':'Kendra','option':_0x839286(0x23e1)},{'value':'Kimberly','option':_0x839286(0x1983)},{'value':'Liv','option':'Liv\x20(Norwegian,\x20Female)'},{'value':_0x839286(0x205e),'option':_0x839286(0x260c)},{'value':_0x839286(0x1b61),'option':'Mads\x20(Danish,\x20Male)'},{'value':_0x839286(0x67e),'option':_0x839286(0xb2e)},{'value':'Marlene','option':'Marlene\x20(German,\x20Female)'},{'value':_0x839286(0xf54),'option':_0x839286(0xb75)},{'value':'Maxim','option':_0x839286(0x5d2)},{'value':'Miguel','option':_0x839286(0xfdb)},{'value':_0x839286(0x7e0),'option':_0x839286(0x808)},{'value':_0x839286(0x10b8),'option':_0x839286(0x13bc)},{'value':_0x839286(0xb7e),'option':_0x839286(0xa3a)},{'value':_0x839286(0xea3),'option':_0x839286(0x2828)},{'value':_0x839286(0x15cd),'option':'Raveena\x20(English,\x20Indian,\x20Female)'},{'value':_0x839286(0x84c),'option':'Ricardo\x20(Portuguese,\x20Brazilian,\x20Male)'},{'value':_0x839286(0x1ea7),'option':_0x839286(0x1a53)},{'value':'Russell','option':_0x839286(0x7b9)},{'value':_0x839286(0x2290),'option':_0x839286(0xf8c)},{'value':_0x839286(0xe6c),'option':'Tatyana\x20(Russian,\x20Female)'},{'value':_0x839286(0x335),'option':_0x839286(0x1986)}];}function _0x4976e8(){const _0x32af34=_0x313a4d;return[{'value':_0x32af34(0x20a9),'option':_0x32af34(0x18e2)},{'value':_0x32af34(0x10d9),'option':_0x32af34(0x60f)},{'value':_0x32af34(0x2273),'option':_0x32af34(0xa96)},{'value':_0x32af34(0x7c7),'option':_0x32af34(0x1ff5)},{'value':_0x32af34(0x1849),'option':_0x32af34(0x259b)},{'value':_0x32af34(0x228f),'option':_0x32af34(0x6a8)},{'value':_0x32af34(0x257b),'option':_0x32af34(0x26d7)},{'value':'eu-west-2','option':_0x32af34(0x9fc)},{'value':_0x32af34(0xd4c),'option':_0x32af34(0x266)},{'value':_0x32af34(0x21fc),'option':_0x32af34(0x1b8b)},{'value':_0x32af34(0x2824),'option':'Asia\x20Pacific\x20(Sydney)'},{'value':_0x32af34(0x15b4),'option':'Asia\x20Pacific\x20(Seoul)'},{'value':_0x32af34(0x1b70),'option':_0x32af34(0x20bb)},{'value':_0x32af34(0x1ba),'option':_0x32af34(0xf0f)},{'value':'sa-east-1','option':_0x32af34(0x2261)}];}function _0x7adcbe(){const _0x523787=_0x313a4d;return[{'value':_0x523787(0x20a9),'option':_0x523787(0x18e2)},{'value':'us-west-2','option':_0x523787(0x1ff5)},{'value':_0x523787(0x228f),'option':_0x523787(0x6a8)},{'value':'ap-southeast-2','option':_0x523787(0x13d9)}];};_0x510003[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'toasty','currentXML','saveCallback'];function _0x510003(_0x4a45a0,_0x311f72,_0x2c7fbd,_0x1e5094){const _0x28e5c8=_0x313a4d,_0x2ce1c5=this;_0x2ce1c5[_0x28e5c8(0x9e0)]={'preproduction':_0x2c7fbd};function _0x379632(_0x385af8){const _0x3432a9=_0x28e5c8;_0x385af8?(_0x1e5094(_0x2ce1c5[_0x3432a9(0x9e0)]['preproduction']),_0x4a45a0['hide'](_0x2ce1c5[_0x3432a9(0x9e0)][_0x3432a9(0x276b)])):_0x4a45a0[_0x3432a9(0x2458)]();}_0x2ce1c5[_0x28e5c8(0x13f3)]=_0x379632;}const _0x3e610e=_0x510003;;_0x8888d3[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),_0x313a4d(0x121d)];function _0x8888d3(_0x4b531d,_0x24c85f){const _0x3b4e7f=_0x313a4d,_0x1b9c32=this,_0x5288fb=0x5,_0x1c27cc=_0x5288fb*0x400*0x400;_0x1b9c32[_0x3b4e7f(0xcef)]=[],_0x1b9c32[_0x3b4e7f(0x4c3)]={'singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0x1c27cc,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x1b9c32['ngFlow']={'flow':{}},_0x1b9c32['dropping']=![],_0x1b9c32[_0x3b4e7f(0x13f3)]=_0x32ef00,_0x1b9c32[_0x3b4e7f(0x143d)]=_0x599548;function _0x599548(_0x327a98){const _0x505046=_0x3b4e7f;_0x1b9c32[_0x505046(0xcef)]=[];const _0x29a576=[_0x505046(0x2415)];!_0x3f65c0()[_0x505046(0xa4e)](_0x29a576,_0x327a98[_0x505046(0x193f)]())&&_0x1b9c32[_0x505046(0xcef)][_0x505046(0x1f47)]({'message':_0x505046(0x21a7)+_0x327a98[_0x505046(0x193f)]()+_0x505046(0x248e)});_0x327a98[_0x505046(0x4cc)]>_0x1c27cc&&_0x1b9c32[_0x505046(0xcef)][_0x505046(0x1f47)]({'message':_0x505046(0xd20)+_0x5288fb+'MB'});if(!_0x1b9c32[_0x505046(0xcef)][_0x505046(0x402)]){const _0x53d36a=new FileReader();_0x53d36a[_0x505046(0x20f6)]=function(_0x352532){const _0xa11d54=_0x505046;_0x32ef00(_0x352532[_0xa11d54(0xe1d)][_0xa11d54(0x61a)]);},_0x53d36a['readAsText'](_0x327a98[_0x505046(0x252e)]);}}function _0x32ef00(_0x3243e9){_0x3243e9?(_0x24c85f(_0x3243e9),_0x4b531d['hide'](_0x3243e9)):_0x4b531d['hide']();}}const _0xf68ade=_0x8888d3;;_0x345e01['$inject']=[_0x313a4d(0x10e8),_0x313a4d(0x1fe4),_0x313a4d(0x9e0),_0x313a4d(0x247f)];function _0x345e01(_0x207ab5,_0xadc5ff,_0x452144,_0xb9f762){const _0x587b1d=_0x313a4d,_0x36dcf2=this;_0x36dcf2[_0x587b1d(0x9e0)]=_0x3f65c0()[_0x587b1d(0x12cc)](_0x452144);function _0x43bcae(){const _0x4dd175=_0x587b1d;_0x36dcf2['errors']=[],_0xb9f762[_0x4dd175(0x9b1)]['update']({'id':_0x36dcf2[_0x4dd175(0x9e0)]['id']},{'notes':_0x36dcf2[_0x4dd175(0x9e0)][_0x4dd175(0x1e13)],'description':_0x36dcf2[_0x4dd175(0x9e0)][_0x4dd175(0xb17)]})[_0x4dd175(0x2945)][_0x4dd175(0x146b)](function(_0xb4105e){const _0x47b58a=_0x4dd175;_0xadc5ff['success']({'title':_0x47b58a(0x987),'msg':_0x36dcf2[_0x47b58a(0x9e0)][_0x47b58a(0x19eb)]?_0x36dcf2[_0x47b58a(0x9e0)][_0x47b58a(0x19eb)]+_0x47b58a(0x6b0):''}),_0x207ab5[_0x47b58a(0x2458)](_0xb4105e);})[_0x4dd175(0x129e)](function(_0x18ef55){const _0x511d4d=_0x4dd175;console[_0x511d4d(0x1980)](_0x18ef55),_0x36dcf2['errors']=_0x18ef55['data']['errors']||[{'message':_0x18ef55['toString'](),'type':_0x511d4d(0x19fc)}];});}function _0x2cf81a(){_0x207ab5['hide']();}_0x36dcf2[_0x587b1d(0x13f3)]=_0x2cf81a,_0x36dcf2[_0x587b1d(0x1e3)]=_0x43bcae;}const _0xdd0d4d=_0x345e01;;_0x1e4638[_0x313a4d(0x11c2)]=['$mdDialog',_0x313a4d(0x1fe4),_0x313a4d(0x1991),'$window',_0x313a4d(0x1862)];function _0x1e4638(_0x5b1d33,_0x4525b7,_0x54b293,_0x1ddc1e,_0x1a3085){const _0x41c88=_0x313a4d,_0x274b46=this;_0x274b46[_0x41c88(0x1991)]=_0x54b293,_0x274b46['id']='',_0x274b46['newWindow']=!![];function _0xe0662b(_0x1906ff){const _0x1fb894=_0x41c88;_0x274b46['errors']=[],_0x1906ff?(_0x5b1d33['hide'](),_0x274b46[_0x1fb894(0x2691)]?_0x1ddc1e['open'](_0x1fb894(0x28c0)+_0x274b46['id'],'_blank'):_0x1a3085['go'](_0x1fb894(0x257),{'id':_0x274b46['id']})):_0x5b1d33[_0x1fb894(0x2458)]();}_0x274b46['closeDialog']=_0xe0662b;}const _0x8252d8=_0x1e4638;;_0x314335['$inject']=['$mdDialog','toasty',_0x313a4d(0x247f),_0x313a4d(0x9e0),'projects','$translate'];function _0x314335(_0x2889b6,_0x21fd34,_0x4b9aad,_0x5b4caf,_0x42d23e,_0x59eda1){const _0x40c78f=_0x313a4d,_0x1dccfa=this;_0x1dccfa['project']=_0x5b4caf,_0x1dccfa['penalty']=0x0,_0x1dccfa[_0x40c78f(0x1fd6)]=[],_0x1dccfa[_0x40c78f(0x1ecf)]=[],_0x1dccfa[_0x40c78f(0x13f3)]=_0x48f1f8,_0x1dccfa[_0x40c78f(0x1f8a)]={'readOnly':![],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x40c78f(0x19eb),'line1':_0x40c78f(0x286a),'line2':[_0x40c78f(0x19eb),_0x40c78f(0x113f)],'line3':_0x40c78f(0x188d),'labelAll':_0x59eda1[_0x40c78f(0xde)](_0x40c78f(0x2335)),'labelSelected':_0x59eda1[_0x40c78f(0xde)](_0x40c78f(0x27ab)),'transferCallback':function(_0x336d66,_0x1a5941){const _0x4cf57f=_0x40c78f;_0x4b9aad[_0x4cf57f(0x9b1)][_0x1a5941?'removeUsers':_0x4cf57f(0x19ea)]({'id':_0x1dccfa[_0x4cf57f(0x9e0)]['id'],'ids':_0x3f65c0()[_0x4cf57f(0x205)](_0x336d66,'id'),'penalty':_0x1dccfa[_0x4cf57f(0x188d)]||0x0})[_0x4cf57f(0x2945)][_0x4cf57f(0x146b)](function(){const _0x573b74=_0x4cf57f;_0x21fd34[_0x573b74(0x1c75)]({'title':_0x573b74(0x5bb)+(_0x1a5941?_0x573b74(0x1618):'added'),'msg':_0x573b74(0x529)+(_0x1a5941?'removed':_0x573b74(0x3f5))+'!'}),!_0x1a5941&&_0x478955();})[_0x4cf57f(0x129e)](function(_0x429464){const _0x202f50=_0x4cf57f;_0x21fd34['error']({'title':_0x429464[_0x202f50(0x107b)]?'API:'+_0x429464[_0x202f50(0x107b)]+_0x202f50(0x1315)+_0x429464['statusText']:'SYSTEM:squareProjects.ADDUSERS','msg':_0x429464[_0x202f50(0x107b)]?JSON[_0x202f50(0x10bb)](_0x429464['data']):_0x429464[_0x202f50(0xd5f)]()});});}};function _0x478955(){const _0x444ba0=_0x40c78f;return _0x4b9aad[_0x444ba0(0x9b1)]['getUsers']({'id':_0x1dccfa['project']['id'],'fields':_0x444ba0(0x1d1c),'nolimit':!![],'role':_0x444ba0(0xe7b)})['$promise'][_0x444ba0(0x146b)](function(_0x232af2){const _0x10fe33=_0x444ba0;return _0x1dccfa['selectedItems']=_0x232af2[_0x10fe33(0x19c7)]?_0x3f65c0()[_0x10fe33(0x205)](_0x232af2[_0x10fe33(0x19c7)],function(_0x1cb3bd){return _0x1cb3bd;}):[],_0x1dccfa[_0x10fe33(0x1f8a)][_0x10fe33(0x1ecf)]=_0x1dccfa['selectedItems'],_0x4b9aad[_0x10fe33(0xe7b)][_0x10fe33(0x16b4)]({'fields':_0x10fe33(0xc33),'role':_0x10fe33(0xe7b),'nolimit':!![]})[_0x10fe33(0x2945)];})['then'](function(_0x33f350){const _0x2dd702=_0x444ba0;_0x1dccfa[_0x2dd702(0x1fd6)]=_0x33f350[_0x2dd702(0x19c7)]?_0x3f65c0()[_0x2dd702(0x205)](_0x33f350[_0x2dd702(0x19c7)],function(_0x58c7f6){return _0x58c7f6;}):[],_0x1dccfa[_0x2dd702(0x1f8a)]['items']=_0x3f65c0()[_0x2dd702(0x2796)](_0x1dccfa[_0x2dd702(0x1fd6)],_0x1dccfa[_0x2dd702(0x1ecf)],'id');})[_0x444ba0(0x129e)](function(_0x295009){const _0x326fa5=_0x444ba0;_0x21fd34[_0x326fa5(0x1980)]({'title':_0x295009[_0x326fa5(0x107b)]?_0x326fa5(0x262a)+_0x295009['status']+_0x326fa5(0x1315)+_0x295009[_0x326fa5(0x167f)]:_0x326fa5(0x4fc),'msg':_0x295009[_0x326fa5(0x107b)]?JSON['stringify'](_0x295009[_0x326fa5(0x524)]):_0x295009[_0x326fa5(0xd5f)]()});});}function _0x48f1f8(){const _0x17de0d=_0x40c78f;_0x2889b6[_0x17de0d(0x2458)]();}_0x478955();}const _0x38d484=_0x314335;;_0x10205e[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),_0x313a4d(0x1fe4),_0x313a4d(0x9e0),_0x313a4d(0x2753),_0x313a4d(0x247f),_0x313a4d(0x1aa9),'$state'];function _0x10205e(_0x14e2bc,_0x4b9ac9,_0xaff8cf,_0xb98ebc,_0x2473c9,_0x40d764,_0x2e4dad){const _0x1d4349=_0x313a4d,_0x2d8769=this;_0x2d8769[_0x1d4349(0x9e0)]=angular[_0x1d4349(0x235a)](_0xaff8cf),_0x2d8769[_0x1d4349(0x9e0)][_0x1d4349(0x19eb)]+=_0x1d4349(0x2787),_0x2d8769[_0x1d4349(0x9e0)]['preproduction']=_0xb98ebc,_0x2d8769['newWindow']=!![];function _0x2bd573(){const _0x1b470e=_0x1d4349;delete _0x2d8769[_0x1b470e(0x9e0)]['id'],_0x2473c9[_0x1b470e(0x9b1)][_0x1b470e(0x1e3)](_0x2d8769[_0x1b470e(0x9e0)])[_0x1b470e(0x2945)][_0x1b470e(0x146b)](function(_0x20a657){const _0x89a48b=_0x1b470e;_0x4b9ac9['success']({'title':_0x89a48b(0x1266),'msg':_0x2d8769[_0x89a48b(0x9e0)][_0x89a48b(0x19eb)]?_0x2d8769['project'][_0x89a48b(0x19eb)]+'\x20has\x20been\x20created!':''}),_0x14e2bc[_0x89a48b(0x2458)](_0x20a657),_0x2d8769[_0x89a48b(0x2691)]?_0x40d764[_0x89a48b(0x217a)](_0x89a48b(0x28c0)+_0x20a657['id'],_0x89a48b(0x20f1)):_0x2e4dad['go']('app.callysquare.projects.edit',{'id':_0x20a657['id']});})['catch'](function(_0xbb96f4){const _0x2a1ca8=_0x1b470e;console['error'](_0xbb96f4),_0x2d8769[_0x2a1ca8(0xcef)]=_0xbb96f4[_0x2a1ca8(0x524)]['errors']||[{'message':_0xbb96f4[_0x2a1ca8(0xd5f)](),'type':_0x2a1ca8(0x181c)}];});}function _0x46d384(){const _0x3b7693=_0x1d4349;_0x14e2bc[_0x3b7693(0x2458)]();}_0x2d8769[_0x1d4349(0x13f3)]=_0x46d384,_0x2d8769[_0x1d4349(0x1e3)]=_0x2bd573;}const _0x5733e4=_0x10205e;;const _0x406baf=_0x4acfac['p']+_0x313a4d(0x10f3);;const _0xfd129a=_0x4acfac['p']+'src/js/modules/main/apps/callysquare/views/projects/create/dialog.html/dialog.html';;const _0x46bb01=_0x4acfac['p']+_0x313a4d(0x1c7);;const _0x49868b=_0x4acfac['p']+_0x313a4d(0xded);;const _0x551572=_0x4acfac['p']+'src/js/modules/main/apps/callysquare/views/projects/edit/info/info.html/info.html';;const _0x1bddf1=_0x4acfac['p']+_0x313a4d(0x1acb);;const _0x373bb7=_0x4acfac['p']+'src/js/modules/main/apps/callysquare/views/projects/edit/import/import.html/import.html';;_0x5f4d2a[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),_0x313a4d(0x4d8),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0x9e0),_0x313a4d(0x1995),'trunks','queues','sounds',_0x313a4d(0x85b),_0x313a4d(0x22c8),'contexts','projects',_0x313a4d(0x278f),'mailAccounts',_0x313a4d(0x2841),_0x313a4d(0x1324),_0x313a4d(0x1c34),_0x313a4d(0x23bb),'userProfileSection','Auth'];const _0x3adcc3=window[_0x313a4d(0x27c0)],_0x32ac34=window[_0x313a4d(0x954)];function _0x5f4d2a(_0x3ac158,_0x2a8116,_0x330cb5,_0x16c30f,_0x56bc97,_0x26362c,_0x475ab2,_0x15a98d,_0x5e791b,_0x197ccf,_0x51d5c5,_0x296da2,_0x5adaee,_0x28a729,_0x4ca449,_0x58b71c,_0x4db49d,_0x253333,_0x598c9a,_0x26fdb8,_0x5eeba7,_0x350b9d,_0x811b3f,_0x1e57db){const _0xbb7715=_0x313a4d,_0x1b0b3e=this;_0x1b0b3e[_0xbb7715(0x2321)]=_0x1e57db[_0xbb7715(0xb12)](),_0x1b0b3e[_0xbb7715(0x9e0)]=_0x15a98d||{},_0x1b0b3e[_0xbb7715(0x1366)]=_0x811b3f&&_0x811b3f[_0xbb7715(0x51c)]==0x1?_0x811b3f[_0xbb7715(0x19c7)][0x0]:null,_0x1b0b3e[_0xbb7715(0x2514)]=_0x1e57db['parseCrudPermissions'](_0x1b0b3e[_0xbb7715(0x1366)]?_0x1b0b3e[_0xbb7715(0x1366)][_0xbb7715(0x2514)]:null),_0x1b0b3e['onInit']=_0xebb5e6,_0x1b0b3e[_0xbb7715(0x2303)]=_0x33cb80,_0x1b0b3e[_0xbb7715(0x8e6)]=_0x319e62,_0x1b0b3e[_0xbb7715(0x125c)]=_0x2bc97b,_0x1b0b3e['openProject']=_0x129f6e,_0x1b0b3e['saveProjectAs']=_0x8d17c5,_0x1b0b3e[_0xbb7715(0x10ff)]=_0x516fda,_0x1b0b3e[_0xbb7715(0x160f)]=_0x23faaa,_0x1b0b3e['editXML']=_0x5e06f6,_0x1b0b3e[_0xbb7715(0x23de)]=_0x547ded,_0x1b0b3e[_0xbb7715(0x2634)]=_0x5013f7;function _0xebb5e6(){const _0x2148a8=_0xbb7715,_0x3fe472=new _0x3adcc3(_0x1b0b3e,new _0x32ac34(),document[_0x2148a8(0x156b)](_0x2148a8(0x24b5)));_0x3fe472['openString'](_0x1b0b3e['project'][_0x2148a8(0x276b)],_0x1b0b3e[_0x2148a8(0x9e0)]['name'],_0x1b0b3e[_0x2148a8(0x9e0)]);}function _0x319e62(_0x26e89b,_0x5cd660){const _0x50d10b=_0xbb7715;_0x330cb5[_0x50d10b(0x2615)]({'controller':_0x50d10b(0xea5),'controllerAs':'vm','templateUrl':_0x406baf,'parent':angular[_0x50d10b(0x1853)](_0x2a8116[_0x50d10b(0x2586)]),'clickOutsideToClose':!![],'locals':{'users':_0x5e791b[_0x50d10b(0x19c7)]||[],'trunks':_0x197ccf[_0x50d10b(0x19c7)]||[],'queues':_0x51d5c5[_0x50d10b(0x19c7)]||[],'sounds':_0x296da2[_0x50d10b(0x19c7)]||[],'variables':_0x5adaee[_0x50d10b(0x19c7)]||[],'databases':_0x28a729[_0x50d10b(0x19c7)]||[],'contexts':_0x4ca449[_0x50d10b(0x19c7)]||[],'projects':_0x58b71c['rows']||[],'intervals':_0x4db49d[_0x50d10b(0x19c7)]||[],'mailAccounts':_0x253333['rows']||[],'smsAccounts':_0x5eeba7['rows']||[],'pauses':_0x350b9d['rows']||[],'templates':_0x598c9a[_0x50d10b(0x19c7)]||[],'lists':_0x26fdb8['rows']||[],'cell':_0x26e89b,'saveCallback':_0x5cd660,'crudPermissions':_0x1b0b3e[_0x50d10b(0x2514)]}});}function _0x2bc97b(_0x4c8d50){const _0x63298f=_0xbb7715;_0x330cb5[_0x63298f(0x2615)]({'controller':_0x63298f(0x2864),'controllerAs':'vm','templateUrl':_0xfd129a,'parent':angular['element'](_0x2a8116[_0x63298f(0x2586)]),'clickOutsideToClose':!![],'locals':{'project':_0x4c8d50,'projects':_0x58b71c[_0x63298f(0x19c7)],'openFromEditor':!![],'license':null,'setting':null,'crudPermissions':_0x1b0b3e[_0x63298f(0x2514)]}});}function _0x129f6e(){const _0x1be9d5=_0xbb7715;_0x330cb5[_0x1be9d5(0x2615)]({'controller':_0x1be9d5(0x841),'controllerAs':'vm','templateUrl':_0x46bb01,'parent':angular[_0x1be9d5(0x1853)](_0x2a8116[_0x1be9d5(0x2586)]),'clickOutsideToClose':!![],'locals':{'projects':_0x58b71c[_0x1be9d5(0x19c7)]||[]}});}function _0x8d17c5(_0x206f97){const _0x5498b2=_0xbb7715;_0x330cb5['show']({'controller':_0x5498b2(0x28d4),'controllerAs':'vm','templateUrl':_0x49868b,'parent':angular[_0x5498b2(0x1853)](_0x2a8116[_0x5498b2(0x2586)]),'clickOutsideToClose':!![],'locals':{'project':_0x1b0b3e['project'],'currentXML':_0x206f97}})[_0x5498b2(0x146b)](function(_0x56d277){const _0x475492=_0x5498b2;_0x56d277&&_0x58b71c['rows'][_0x475492(0x1f47)](_0x56d277);});}function _0x452ec9(){return _0x16c30f(function(_0x5872e5,_0x33812c){const _0x36b163=a0_0x3bb9;return _0x475ab2[_0x36b163(0x1366)][_0x36b163(0x16b4)]({'userProfileId':_0x1b0b3e[_0x36b163(0x2321)][_0x36b163(0x209a)],'sectionId':0x3f4})[_0x36b163(0x2945)]['then'](function(_0x4bdf15){const _0x1b4a3f=_0x36b163,_0x1e422c=_0x4bdf15[_0x1b4a3f(0x19c7)]?_0x4bdf15[_0x1b4a3f(0x19c7)][0x0]:null,_0x4fc269=_0x1e57db[_0x1b4a3f(0xe60)](_0x1e422c?_0x1e422c['crudPermissions']:null);_0x5872e5(_0x4fc269);})[_0x36b163(0x129e)](function(_0x51bce3){_0x33812c(_0x51bce3);});});}function _0x516fda(_0x5e6f9e){const _0x3ef582=_0xbb7715;return _0x452ec9()[_0x3ef582(0x146b)](function(_0xf735b9){const _0x2a27d9=_0x3ef582;_0xf735b9[_0x2a27d9(0x15f4)]?_0x330cb5['show']({'controller':_0x2a27d9(0x1a4a),'controllerAs':'vm','templateUrl':_0x2c833f,'parent':angular[_0x2a27d9(0x1853)](_0x2a8116['body']),'clickOutsideToClose':!![],'locals':{'variable':_0x5e6f9e,'variables':_0x5adaee[_0x2a27d9(0x19c7)],'license':null,'setting':null,'crudPermissions':_0xf735b9}}):_0x26362c['info']({'title':_0x56bc97[_0x2a27d9(0xde)]('STAFF.PERMISSIONS_UNAUTHORIZED_REDIRECT_TITLE'),'msg':_0x56bc97[_0x2a27d9(0xde)](_0x2a27d9(0x1a78))});})[_0x3ef582(0x129e)](function(_0x40412d){const _0x1c4f45=_0x3ef582;_0x26362c[_0x1c4f45(0x1980)]({'title':_0x40412d['status']?'API:'+_0x40412d[_0x1c4f45(0x107b)]+_0x1c4f45(0x1315)+_0x40412d[_0x1c4f45(0x167f)]:'API:NEW_VARIABLE','msg':_0x40412d['data']?JSON[_0x1c4f45(0x10bb)](_0x40412d[_0x1c4f45(0x524)]):_0x40412d[_0x1c4f45(0xd5f)]()});});}function _0x23faaa(_0x16036f){const _0x3192ce=_0xbb7715;_0x330cb5[_0x3192ce(0x2615)]({'controller':_0x3192ce(0xb36),'controllerAs':'vm','templateUrl':_0x551572,'parent':angular[_0x3192ce(0x1853)](_0x2a8116[_0x3192ce(0x2586)]),'targetEvent':_0x16036f,'clickOutsideToClose':!![],'locals':{'project':_0x1b0b3e[_0x3192ce(0x9e0)]}})[_0x3192ce(0x146b)](function(_0x2a8c9a){const _0x48aa1f=_0x3192ce;_0x2a8c9a&&_0x3f65c0()[_0x48aa1f(0x168d)](_0x1b0b3e[_0x48aa1f(0x9e0)],_0x2a8c9a);});}function _0x5e06f6(_0x2a5519,_0x333473){const _0x27ec7b=_0xbb7715;_0x330cb5[_0x27ec7b(0x2615)]({'controller':_0x27ec7b(0xf24),'controllerAs':'vm','templateUrl':_0x1bddf1,'parent':angular[_0x27ec7b(0x1853)](_0x2a8116['body']),'clickOutsideToClose':!![],'locals':{'currentXML':_0x2a5519,'saveCallback':_0x333473}})[_0x27ec7b(0x146b)](function(_0x5adecc){const _0x3588c4=_0x27ec7b;_0x5adecc&&(_0x1b0b3e[_0x3588c4(0x9e0)][_0x3588c4(0x276b)]=_0x5adecc);});}function _0x547ded(_0x4969e0){const _0x522e3e=_0xbb7715;_0x330cb5[_0x522e3e(0x2615)]({'controller':_0x522e3e(0x137a),'controllerAs':'vm','templateUrl':_0x373bb7,'parent':angular['element'](_0x2a8116[_0x522e3e(0x2586)]),'clickOutsideToClose':!![],'locals':{'saveCallback':_0x4969e0}})[_0x522e3e(0x146b)](function(_0x3f9312){const _0x8d3ddf=_0x522e3e;_0x3f9312&&(_0x1b0b3e[_0x8d3ddf(0x9e0)][_0x8d3ddf(0x276b)]=_0x3f9312);});}function _0x33cb80(_0x4526c9,_0x31650c){const _0x28eac8=_0xbb7715,_0x15def4={'preproduction':_0x4526c9};_0x31650c&&(_0x15def4[_0x28eac8(0x7f2)]=_0x4526c9),_0x475ab2[_0x28eac8(0x9b1)][_0x28eac8(0x18e1)]({'id':_0x1b0b3e['project']['id']},_0x15def4)[_0x28eac8(0x2945)][_0x28eac8(0x146b)](function(_0x450fa4){const _0x49c969=_0x28eac8;_0x26362c[_0x49c969(0x1c75)]({'title':'Project\x20'+(_0x31650c?_0x49c969(0x1f50):_0x49c969(0x1a2e))+'!','msg':_0x1b0b3e[_0x49c969(0x9e0)][_0x49c969(0x19eb)]?_0x1b0b3e[_0x49c969(0x9e0)]['name']+_0x49c969(0x8db)+(_0x31650c?'published':_0x49c969(0x1a2e))+'!':''}),_0x1b0b3e[_0x49c969(0x9e0)][_0x49c969(0x276b)]=_0x450fa4[_0x49c969(0x276b)];})[_0x28eac8(0x129e)](function(_0x44c9e6){const _0x5ddbb1=_0x28eac8;_0x26362c[_0x5ddbb1(0x1980)]({'title':_0x44c9e6[_0x5ddbb1(0x107b)]?_0x5ddbb1(0x262a)+_0x44c9e6[_0x5ddbb1(0x107b)]+_0x5ddbb1(0x1315)+_0x44c9e6['statusText']:_0x5ddbb1(0x123d),'msg':_0x44c9e6[_0x5ddbb1(0x524)]?JSON[_0x5ddbb1(0x10bb)](_0x44c9e6[_0x5ddbb1(0x524)]):_0x44c9e6[_0x5ddbb1(0xd5f)]()});});}function _0x5013f7(){const _0x2d81b5=_0xbb7715;_0x3ac158['go'](_0x2d81b5(0x14d0),{},{'reload':_0x2d81b5(0x14d0)});}}const _0x1d8345=_0x5f4d2a;;_0x23cc12[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$state',_0x313a4d(0x15fe),_0x313a4d(0x1991),_0x313a4d(0x26b6),'userProfileSection','api',_0x313a4d(0x216c),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0x1fe4),_0x313a4d(0xa87)];function _0x23cc12(_0x45a862,_0x3fa8bf,_0x21aa61,_0x2ef225,_0xb6560e,_0x219fce,_0x32364f,_0x5d231e,_0x50acb4,_0x3a5e74,_0x17ec40,_0x1e8cca,_0x5e3527){const _0x3145aa=_0x313a4d,_0x1b16e9=this;_0x1b16e9[_0x3145aa(0x2321)]=_0x5e3527['getCurrentUser'](),_0x1b16e9[_0x3145aa(0x1991)]=_0x2ef225||{'count':0x0,'rows':[]},_0x1b16e9[_0x3145aa(0x26b6)]=_0xb6560e,_0x1b16e9['userProfileSection']=_0x219fce&&_0x219fce['count']==0x1?_0x219fce[_0x3145aa(0x19c7)][0x0]:null,_0x1b16e9[_0x3145aa(0x2514)]=_0x5e3527[_0x3145aa(0xe60)](_0x1b16e9[_0x3145aa(0x1366)]?_0x1b16e9[_0x3145aa(0x1366)][_0x3145aa(0x2514)]:null),_0x1b16e9['table']=_0x3145aa(0x1991),_0x1b16e9[_0x3145aa(0x216a)]='',_0x1b16e9['listOrderAsc']=null,_0x1b16e9['selectedProjects']=[],_0x1b16e9['query']={'fields':'id,name,description,notes','sort':_0x3145aa(0x12f2),'limit':0xa,'page':0x1},_0x1b16e9[_0x3145aa(0x23f9)]=_0x475beb,_0x1b16e9[_0x3145aa(0xf0a)]=_0x51da49,_0x1b16e9[_0x3145aa(0x1c75)]=_0x4424cf,_0x1b16e9[_0x3145aa(0x2858)]=_0xa4ba60,_0x1b16e9[_0x3145aa(0x1a1b)]=_0x2e5daa,_0x1b16e9['deleteProject']=_0x41d2f1,_0x1b16e9['exportSelectedProjects']=_0xa37665,_0x1b16e9[_0x3145aa(0x647)]=_0x62cf1e,_0x1b16e9[_0x3145aa(0x1188)]=_0xf78d46,_0x1b16e9['selectAllProjects']=_0x5466e5;function _0x475beb(_0x3c4f0c){const _0xcbf24a=_0x3145aa;_0x3fa8bf['go'](_0xcbf24a(0x257),{'id':_0x3c4f0c['id'],'crudPermissions':_0x1b16e9[_0xcbf24a(0x2514)]});}function _0x51da49(_0x1b31b0,_0xd67690){const _0x5c6dc4=_0x3145aa,_0x334585=_0x50acb4[_0x5c6dc4(0x1e8a)]()['title'](_0x5c6dc4(0x79f))[_0x5c6dc4(0x1cbe)](_0x5c6dc4(0x16d3)+_0x1b31b0[_0x5c6dc4(0x19eb)]+_0x5c6dc4(0x252f)+_0x5c6dc4(0xe01))[_0x5c6dc4(0x4bd)](_0x5c6dc4(0x2813))[_0x5c6dc4(0x1f27)](_0xd67690)['ok']('OK')['cancel'](_0x5c6dc4(0x39a));_0x50acb4[_0x5c6dc4(0x2615)](_0x334585)[_0x5c6dc4(0x146b)](function(){_0x41d2f1(_0x1b31b0);},function(){const _0x357e6d=_0x5c6dc4;console[_0x357e6d(0x1a74)](_0x357e6d(0x39a));});}let _0x12f17c=!![],_0x32faeb=0x1;_0x45a862[_0x3145aa(0x21e8)](_0x3145aa(0x2669),function(_0x579247,_0x5c96df){const _0x20546d=_0x3145aa;_0x12f17c?_0x17ec40(function(){_0x12f17c=![];}):(!_0x5c96df&&(_0x32faeb=_0x1b16e9[_0x20546d(0x1a56)][_0x20546d(0x844)]),_0x579247!==_0x5c96df&&(_0x1b16e9[_0x20546d(0x1a56)]['page']=0x1),!_0x579247&&(_0x1b16e9[_0x20546d(0x1a56)]['page']=_0x32faeb),_0x1b16e9[_0x20546d(0x2858)]());});function _0x4424cf(_0x207861){const _0x4911eb=_0x3145aa;_0x1b16e9[_0x4911eb(0x1991)]=_0x207861||{'count':0x0,'rows':[]};}function _0xa4ba60(){const _0x466620=_0x3145aa;_0x1b16e9['query'][_0x466620(0x145d)]=(_0x1b16e9[_0x466620(0x1a56)]['page']-0x1)*_0x1b16e9[_0x466620(0x1a56)]['limit'],_0x5e3527[_0x466620(0x23e0)]('admin')?_0x1b16e9[_0x466620(0xb9c)]=_0x32364f[_0x466620(0x9b1)][_0x466620(0x16b4)](_0x1b16e9[_0x466620(0x1a56)],_0x4424cf)[_0x466620(0x2945)]:(_0x1b16e9[_0x466620(0x1a56)]['id']=_0x1b16e9['userProfile']['id'],_0x1b16e9[_0x466620(0x1a56)]['section']=_0x466620(0x14e8),_0x1b16e9[_0x466620(0xb9c)]=_0x32364f[_0x466620(0x26b6)][_0x466620(0x158f)](_0x1b16e9['query'],_0x4424cf)[_0x466620(0x2945)]);}function _0x2e5daa(_0x12e092,_0x299bf5){const _0x2f25b1=_0x3145aa;_0x50acb4['show']({'controller':_0x2f25b1(0x2864),'controllerAs':'vm','templateUrl':_0xfd129a,'parent':angular[_0x2f25b1(0x1853)](_0x3a5e74[_0x2f25b1(0x2586)]),'targetEvent':_0x12e092,'clickOutsideToClose':!![],'locals':{'project':_0x299bf5,'projects':_0x1b16e9['projects']['rows'],'openFromEditor':null,'setting':null,'crudPermissions':_0x1b16e9[_0x2f25b1(0x2514)]}});}function _0x41d2f1(_0x153a44){const _0x2c9b90=_0x3145aa;_0x32364f[_0x2c9b90(0x9b1)][_0x2c9b90(0x1fac)]({'id':_0x153a44['id']})[_0x2c9b90(0x2945)][_0x2c9b90(0x146b)](function(){const _0x5dc075=_0x2c9b90;_0x3f65c0()[_0x5dc075(0x2640)](_0x1b16e9['projects'][_0x5dc075(0x19c7)],{'id':_0x153a44['id']}),_0x1b16e9[_0x5dc075(0x1991)]['count']-=0x1,!_0x1b16e9[_0x5dc075(0x1991)][_0x5dc075(0x19c7)][_0x5dc075(0x402)]&&_0x1b16e9['getProjects'](),_0x1e8cca['success']({'title':_0x5dc075(0x291d),'msg':_0x153a44['name']?_0x153a44[_0x5dc075(0x19eb)]+_0x5dc075(0x23e3):''});})[_0x2c9b90(0x129e)](function(_0x15ba5b){const _0x386917=_0x2c9b90;if(_0x15ba5b['data']&&_0x15ba5b[_0x386917(0x524)][_0x386917(0xcef)]&&_0x15ba5b[_0x386917(0x524)][_0x386917(0xcef)][_0x386917(0x402)]){_0x1b16e9['errors']=_0x15ba5b[_0x386917(0x524)][_0x386917(0xcef)]||[{'message':_0x15ba5b[_0x386917(0xd5f)](),'type':'api.project.delete'}];for(let _0x59968e=0x0;_0x59968e<_0x15ba5b[_0x386917(0x524)][_0x386917(0xcef)][_0x386917(0x402)];_0x59968e++){_0x1e8cca[_0x386917(0x1980)]({'title':_0x15ba5b[_0x386917(0x524)]['errors'][_0x59968e][_0x386917(0x1142)],'msg':_0x15ba5b['data'][_0x386917(0xcef)][_0x59968e][_0x386917(0x7fd)]});}}else _0x1e8cca['error']({'title':_0x15ba5b[_0x386917(0x107b)]?_0x386917(0x262a)+_0x15ba5b[_0x386917(0x107b)]+_0x386917(0x1315)+_0x15ba5b[_0x386917(0x167f)]:_0x386917(0x26d1),'msg':_0x15ba5b[_0x386917(0x524)]?JSON['stringify'](_0x15ba5b[_0x386917(0x524)][_0x386917(0x7fd)]):_0x15ba5b[_0x386917(0x7fd)]||_0x15ba5b[_0x386917(0xd5f)]()});});}function _0xa37665(){const _0x2ed23f=_0x3145aa,_0x1189ec=angular['copy'](_0x1b16e9[_0x2ed23f(0x26f7)]);return _0x1b16e9[_0x2ed23f(0x26f7)]=[],_0x1189ec;}function _0x62cf1e(_0x3f5a49){const _0x4a3392=_0x3145aa,_0x31e597=_0x50acb4[_0x4a3392(0x1e8a)]()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20projects?')[_0x4a3392(0x1cbe)](_0x4a3392(0x16d3)+_0x1b16e9[_0x4a3392(0x26f7)][_0x4a3392(0x402)]+_0x4a3392(0x2452)+_0x4a3392(0xe01))[_0x4a3392(0x4bd)](_0x4a3392(0x674))[_0x4a3392(0x1f27)](_0x3f5a49)['ok']('OK')[_0x4a3392(0x6c3)](_0x4a3392(0x39a));_0x50acb4[_0x4a3392(0x2615)](_0x31e597)[_0x4a3392(0x146b)](function(){const _0xdaca4f=_0x4a3392;_0x1b16e9[_0xdaca4f(0x26f7)][_0xdaca4f(0x1df5)](function(_0x5243a3){_0x41d2f1(_0x5243a3);}),_0x1b16e9['selectedProjects']=[];});}function _0xf78d46(){const _0x1383fc=_0x3145aa;_0x1b16e9[_0x1383fc(0x26f7)]=[];}function _0x5466e5(){const _0x38fa2e=_0x3145aa;_0x1b16e9['selectedProjects']=_0x1b16e9[_0x38fa2e(0x1991)][_0x38fa2e(0x19c7)];}}const _0x1b9200=_0x23cc12;;_0x3caa17[_0x313a4d(0x11c2)]=['$stateProvider'];function _0x3caa17(_0x5931c7){const _0x2e55cd=_0x313a4d;_0x5931c7[_0x2e55cd(0x13d6)](_0x2e55cd(0x14d0),{'url':_0x2e55cd(0x165f),'views':{'content@app':{'templateUrl':_0x3becc7,'controller':'ProjectsController\x20as\x20vm'}},'resolve':{'projects':[_0x2e55cd(0x362),_0x2e55cd(0xa87),function(_0x523ec6,_0x3722d5){const _0x59d0ce=_0x2e55cd;return _0x3722d5[_0x59d0ce(0x23e0)](_0x59d0ce(0x174b))?_0x523ec6['resolve']('squareProject@get',{'fields':_0x59d0ce(0x140),'sort':_0x59d0ce(0x19eb),'limit':0xa,'offset':0x0}):_0x523ec6[_0x59d0ce(0x2922)](_0x59d0ce(0x938),{'id':_0x3722d5[_0x59d0ce(0xb12)]()['userProfileId'],'section':_0x59d0ce(0x14e8),'fields':_0x59d0ce(0x140),'sort':_0x59d0ce(0x19eb),'limit':0xa,'offset':0x0});}],'userProfile':[_0x2e55cd(0x362),_0x2e55cd(0xa87),function(_0xaee4b3,_0x53f3c5){const _0x59d76a=_0x2e55cd;return _0xaee4b3[_0x59d76a(0x2922)](_0x59d76a(0x119a),{'fields':_0x59d76a(0x227),'id':_0x53f3c5[_0x59d76a(0xb12)]()[_0x59d76a(0x209a)]});}],'userProfileSection':['apiResolver','Auth',function(_0xf186c9,_0x2d4824){const _0x361ce3=_0x2e55cd;return _0xf186c9['resolve'](_0x361ce3(0x27be),{'fields':_0x361ce3(0x1e64),'userProfileId':_0x2d4824[_0x361ce3(0xb12)]()[_0x361ce3(0x209a)],'sectionId':0x44f});}]},'authenticate':!![],'permissionId':0x44f,'bodyClass':'callysquare'})[_0x2e55cd(0x13d6)](_0x2e55cd(0x257),{'url':_0x2e55cd(0x5e9),'views':{'content@app':{'templateUrl':_0x190e89,'controller':_0x2e55cd(0x777)}},'params':{'crudPermissions':undefined},'resolve':{'project':['apiResolver',_0x2e55cd(0x28c8),function(_0x5b07b2,_0x567bbb){const _0x3fcbd8=_0x2e55cd;return _0x5b07b2['resolve'](_0x3fcbd8(0x14d1),{'id':_0x567bbb['id']});}],'users':[_0x2e55cd(0x362),_0x2e55cd(0xa87),function(_0x14ec44,_0x1fc6a2){const _0x58aa2e=_0x2e55cd;return _0x1fc6a2[_0x58aa2e(0x23e0)]('admin')?_0x14ec44[_0x58aa2e(0x2922)](_0x58aa2e(0x2484),{'fields':_0x58aa2e(0x1f6),'sort':_0x58aa2e(0x19eb),'nolimit':!![]}):_0x14ec44[_0x58aa2e(0x2922)]('userProfile@getResources',{'id':_0x1fc6a2[_0x58aa2e(0xb12)]()[_0x58aa2e(0x209a)],'section':'Users','mergeResources':!![],'fields':_0x58aa2e(0x1f6),'sort':_0x58aa2e(0x19eb),'nolimit':!![]});}],'trunks':['apiResolver',_0x2e55cd(0xa87),function(_0x8353,_0xff2fd8){const _0x19e20c=_0x2e55cd;return _0xff2fd8['hasRole'](_0x19e20c(0x174b))?_0x8353['resolve'](_0x19e20c(0x244b),{'fields':'id,name','sort':_0x19e20c(0x19eb),'nolimit':!![]}):_0x8353[_0x19e20c(0x2922)]('userProfile@getResources',{'id':_0xff2fd8[_0x19e20c(0xb12)]()[_0x19e20c(0x209a)],'section':'Trunks','fields':_0x19e20c(0x7a7),'sort':_0x19e20c(0x19eb),'nolimit':!![]});}],'queues':[_0x2e55cd(0x362),_0x2e55cd(0xa87),function(_0x569e31,_0x5cae10){const _0x1608a5=_0x2e55cd;return _0x5cae10['hasRole']('admin')?_0x569e31['resolve'](_0x1608a5(0x5c0),{'type':'inbound','fields':_0x1608a5(0x7a7),'sort':_0x1608a5(0x19eb),'nolimit':!![]}):_0x569e31['resolve'](_0x1608a5(0x938),{'id':_0x5cae10[_0x1608a5(0xb12)]()[_0x1608a5(0x209a)],'section':'VoiceQueues','type':'inbound','fields':_0x1608a5(0x7a7),'sort':_0x1608a5(0x19eb),'nolimit':!![]});}],'sounds':[_0x2e55cd(0x362),_0x2e55cd(0xa87),function(_0x59f645,_0x17474c){const _0x4c3936=_0x2e55cd;return _0x17474c[_0x4c3936(0x23e0)](_0x4c3936(0x174b))?_0x59f645[_0x4c3936(0x2922)](_0x4c3936(0x537),{'fields':_0x4c3936(0x7a7),'sort':_0x4c3936(0x19eb),'nolimit':!![]}):_0x59f645['resolve'](_0x4c3936(0x938),{'id':_0x17474c[_0x4c3936(0xb12)]()[_0x4c3936(0x209a)],'section':_0x4c3936(0x175f),'fields':_0x4c3936(0x7a7),'sort':_0x4c3936(0x19eb),'nolimit':!![]});}],'variables':[_0x2e55cd(0x362),_0x2e55cd(0xa87),function(_0x3a4360,_0x3bd60c){const _0x3d1320=_0x2e55cd;return _0x3bd60c[_0x3d1320(0x23e0)](_0x3d1320(0x174b))?_0x3a4360[_0x3d1320(0x2922)]('variable@get',{'fields':_0x3d1320(0x7a7),'sort':_0x3d1320(0x19eb),'nolimit':!![]}):_0x3a4360[_0x3d1320(0x2922)](_0x3d1320(0x938),{'id':_0x3bd60c['getCurrentUser']()['userProfileId'],'section':_0x3d1320(0x18ce),'fields':_0x3d1320(0x7a7),'sort':_0x3d1320(0x19eb),'nolimit':!![]});}],'databases':[_0x2e55cd(0x362),_0x2e55cd(0xa87),function(_0x2c1de7,_0x565347){const _0x4db446=_0x2e55cd;return _0x565347[_0x4db446(0x23e0)](_0x4db446(0x174b))?_0x2c1de7[_0x4db446(0x2922)]('squareOdbc@get',{'fields':_0x4db446(0x7a7),'sort':_0x4db446(0x19eb),'nolimit':!![]}):_0x2c1de7[_0x4db446(0x2922)](_0x4db446(0x938),{'id':_0x565347[_0x4db446(0xb12)]()[_0x4db446(0x209a)],'section':'ODBC','fields':_0x4db446(0x7a7),'sort':_0x4db446(0x19eb),'nolimit':!![]});}],'projects':[_0x2e55cd(0x362),'Auth',function(_0x2445fe,_0x1dd446){const _0x4e26e4=_0x2e55cd;return _0x1dd446[_0x4e26e4(0x23e0)](_0x4e26e4(0x174b))?_0x2445fe['resolve']('squareProject@get',{'fields':_0x4e26e4(0x7a7),'sort':_0x4e26e4(0x19eb),'nolimit':!![]}):_0x2445fe['resolve']('userProfile@getResources',{'id':_0x1dd446[_0x4e26e4(0xb12)]()[_0x4e26e4(0x209a)],'section':_0x4e26e4(0x14e8),'fields':_0x4e26e4(0x7a7),'sort':'name','nolimit':!![]});}],'contexts':[_0x2e55cd(0x362),_0x2e55cd(0xa87),function(_0x35892d,_0x492431){const _0x214fb8=_0x2e55cd;return _0x492431['hasRole'](_0x214fb8(0x174b))?_0x35892d[_0x214fb8(0x2922)]('voiceContext@get',{'fields':_0x214fb8(0x7a7),'sort':_0x214fb8(0x19eb),'nolimit':!![]}):_0x35892d[_0x214fb8(0x2922)](_0x214fb8(0x938),{'id':_0x492431[_0x214fb8(0xb12)]()['userProfileId'],'section':_0x214fb8(0x275),'fields':'id,name','sort':_0x214fb8(0x19eb),'nolimit':!![]});}],'intervals':[_0x2e55cd(0x362),_0x2e55cd(0xa87),function(_0x549214,_0x100068){const _0x93f702=_0x2e55cd;return _0x100068[_0x93f702(0x23e0)]('admin')?_0x549214[_0x93f702(0x2922)](_0x93f702(0x2270),{'fields':'id,name,IntervalId','sort':_0x93f702(0x19eb),'nolimit':!![]}):_0x549214[_0x93f702(0x2922)](_0x93f702(0x938),{'id':_0x100068[_0x93f702(0xb12)]()['userProfileId'],'section':_0x93f702(0x162f),'fields':_0x93f702(0x775),'sort':'name','nolimit':!![]});}],'mailAccounts':[_0x2e55cd(0x362),_0x2e55cd(0xa87),function(_0x3e0381,_0x4a9396){const _0x191848=_0x2e55cd;return _0x4a9396[_0x191848(0x23e0)]('admin')?_0x3e0381[_0x191848(0x2922)](_0x191848(0x541),{'fields':_0x191848(0x7a7),'sort':_0x191848(0x19eb),'nolimit':!![]}):_0x3e0381['resolve'](_0x191848(0x938),{'id':_0x4a9396[_0x191848(0xb12)]()['userProfileId'],'section':_0x191848(0xbf0),'fields':_0x191848(0x7a7),'sort':_0x191848(0x19eb),'nolimit':!![]});}],'templates':[_0x2e55cd(0x362),_0x2e55cd(0xa87),function(_0x443979,_0x2d5dee){const _0x5c117b=_0x2e55cd;return _0x2d5dee[_0x5c117b(0x23e0)](_0x5c117b(0x174b))?_0x443979[_0x5c117b(0x2922)]('template@get',{'fields':_0x5c117b(0x7a7),'sort':_0x5c117b(0x19eb),'nolimit':!![]}):_0x443979[_0x5c117b(0x2922)](_0x5c117b(0x938),{'id':_0x2d5dee[_0x5c117b(0xb12)]()['userProfileId'],'section':_0x5c117b(0x7ff),'fields':_0x5c117b(0x7a7),'sort':_0x5c117b(0x19eb),'nolimit':!![]});}],'lists':['apiResolver',_0x2e55cd(0xa87),function(_0x523c18,_0x8523f0){const _0x1aff97=_0x2e55cd;return _0x8523f0[_0x1aff97(0x23e0)](_0x1aff97(0x174b))?_0x523c18[_0x1aff97(0x2922)](_0x1aff97(0xd64),{'fields':'id,name','sort':'name','nolimit':!![]}):_0x523c18[_0x1aff97(0x2922)](_0x1aff97(0x938),{'id':_0x8523f0[_0x1aff97(0xb12)]()[_0x1aff97(0x209a)],'section':_0x1aff97(0x174f),'fields':'id,name','sort':_0x1aff97(0x19eb),'nolimit':!![]});}],'smsAccounts':['apiResolver','Auth',function(_0x14e3d6,_0x46b9ed){const _0x3b7d98=_0x2e55cd;return _0x46b9ed[_0x3b7d98(0x23e0)](_0x3b7d98(0x174b))?_0x14e3d6[_0x3b7d98(0x2922)](_0x3b7d98(0xe51),{'fields':_0x3b7d98(0x7a7),'sort':_0x3b7d98(0x19eb),'nolimit':!![]}):_0x14e3d6['resolve'](_0x3b7d98(0x938),{'id':_0x46b9ed[_0x3b7d98(0xb12)]()[_0x3b7d98(0x209a)],'section':_0x3b7d98(0xda3),'fields':_0x3b7d98(0x7a7),'sort':_0x3b7d98(0x19eb),'nolimit':!![]});}],'pauses':[_0x2e55cd(0x362),_0x2e55cd(0xa87),function(_0x15154a,_0x37ef5f){const _0x24be23=_0x2e55cd;return _0x37ef5f[_0x24be23(0x23e0)](_0x24be23(0x174b))?_0x15154a[_0x24be23(0x2922)](_0x24be23(0x3f6),{'fields':_0x24be23(0x7a7),'sort':'name','nolimit':!![]}):_0x15154a['resolve'](_0x24be23(0x938),{'id':_0x37ef5f[_0x24be23(0xb12)]()[_0x24be23(0x209a)],'section':'Pauses','fields':_0x24be23(0x7a7),'sort':_0x24be23(0x19eb),'nolimit':!![]});}],'userProfileSection':[_0x2e55cd(0x362),_0x2e55cd(0xa87),function(_0x1c5660,_0x29d0ae){const _0x56ef95=_0x2e55cd;return _0x1c5660['resolve']('userProfileSection@get',{'fields':_0x56ef95(0x1e64),'userProfileId':_0x29d0ae[_0x56ef95(0xb12)]()[_0x56ef95(0x209a)],'sectionId':0x44f});}]},'authenticate':!![],'permissionId':0x44f,'bodyClass':_0x2e55cd(0x1611)});}angular[_0x313a4d(0x2528)]('app.callysquare.projects',[])['config'](_0x3caa17)[_0x313a4d(0x28f0)](_0x313a4d(0x2864),_0x586c4f)[_0x313a4d(0x28f0)](_0x313a4d(0xea5),_0x50edeb)[_0x313a4d(0x2ba)](_0x313a4d(0x577),_0x4a723d)['factory']('ispeechAsrModel',_0x5e4037)[_0x313a4d(0x1750)]('ispeechAsrLanguage',_0x941e76)[_0x313a4d(0x1750)](_0x313a4d(0x33d),_0x436663)[_0x313a4d(0x1750)]('googleCloudTtslanguageCode',_0x265aca)[_0x313a4d(0x1750)]('googleTtsLanguage',_0x29c46f)[_0x313a4d(0x1750)](_0x313a4d(0xc37),_0x29750e)[_0x313a4d(0x1750)](_0x313a4d(0x17b5),_0x17525a)[_0x313a4d(0x1750)](_0x313a4d(0x17f3),_0x4976e8)[_0x313a4d(0x1750)](_0x313a4d(0x7f7),_0x7adcbe)[_0x313a4d(0x1750)](_0x313a4d(0xae0),_0x5d06ec)['factory'](_0x313a4d(0x82d),_0x556a3e)[_0x313a4d(0x28f0)](_0x313a4d(0xf24),_0x3e610e)[_0x313a4d(0x28f0)](_0x313a4d(0x137a),_0xf68ade)[_0x313a4d(0x28f0)](_0x313a4d(0xb36),_0xdd0d4d)[_0x313a4d(0x28f0)]('MxGraphOpenProjectController',_0x8252d8)[_0x313a4d(0x28f0)](_0x313a4d(0x2603),_0x38d484)[_0x313a4d(0x28f0)]('MxGraphSaveProjectAsController',_0x5733e4)['controller'](_0x313a4d(0x1d11),_0x1d8345)[_0x313a4d(0x28f0)](_0x313a4d(0xc25),_0x1b9200);;const _0x1e5790=_0x4acfac['p']+'src/js/modules/main/apps/callysquare/views/odbcs/odbcs.html/odbcs.html';;const _0x3edf68=_0x4acfac['p']+_0x313a4d(0x6d5);;_0x4450ec[_0x313a4d(0x11c2)]=['$scope','$state',_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q','$translate',_0x313a4d(0x1fe4),'odbcs',_0x313a4d(0x1770),_0x313a4d(0x247f),'Auth',_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x4450ec(_0x243365,_0xb14173,_0x5a0450,_0x2c8734,_0x267af9,_0x172e97,_0x358214,_0x1aea9b,_0x10549,_0x1f4556,_0x3c04f9,_0x53285a,_0x5bc3ff,_0x3e2cc4){const _0x5b53e0=_0x313a4d,_0x1975d3=this;_0x1975d3['currentUser']=_0x3c04f9[_0x5b53e0(0xb12)](),_0x1975d3[_0x5b53e0(0xcef)]=[],_0x1975d3[_0x5b53e0(0x15b9)]=_0x5bc3ff,_0x1975d3[_0x5b53e0(0x2690)]=_0x53285a,_0x1975d3[_0x5b53e0(0x2514)]=_0x3e2cc4,_0x1975d3[_0x5b53e0(0x855)]={},_0x1975d3['passwordPattern']=_0x1975d3[_0x5b53e0(0x15b9)]&&_0x1975d3['setting'][_0x5b53e0(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x1975d3['title']=_0x5b53e0(0x27f),_0x1975d3[_0x5b53e0(0x1770)]=angular[_0x5b53e0(0x235a)](_0x10549),_0x1975d3[_0x5b53e0(0x1cf2)]=_0x1aea9b,_0x1975d3['newOdbc']=![];!_0x1975d3[_0x5b53e0(0x1770)]&&(_0x1975d3[_0x5b53e0(0x1770)]={},_0x1975d3[_0x5b53e0(0x1189)]='CALLYSQUARE.NEW_ODBC',_0x1975d3[_0x5b53e0(0xee5)]=!![]);_0x1975d3['addNewOdbc']=_0x5378fe,_0x1975d3['saveOdbc']=_0x1ad1e4,_0x1975d3[_0x5b53e0(0x416)]=_0x12e5bf,_0x1975d3[_0x5b53e0(0xe73)]=_0x2c22fd,_0x1975d3[_0x5b53e0(0x13f3)]=_0x3a8876;function _0x5378fe(){const _0x505d5b=_0x5b53e0;_0x1975d3[_0x505d5b(0xcef)]=[],_0x1f4556[_0x505d5b(0xbf8)][_0x505d5b(0x1e3)](_0x1975d3[_0x505d5b(0x1770)])[_0x505d5b(0x2945)][_0x505d5b(0x146b)](function(_0x269f7b){const _0x355e0a=_0x505d5b;_0x1975d3['odbcs'][_0x355e0a(0xb3d)](_0x269f7b[_0x355e0a(0x2488)]()),_0x358214[_0x355e0a(0x1c75)]({'title':'Odbc\x20properly\x20created','msg':_0x1975d3[_0x355e0a(0x1770)]['name']?_0x1975d3[_0x355e0a(0x1770)][_0x355e0a(0x19eb)]+_0x355e0a(0x1386):''}),_0x3a8876(_0x269f7b);})[_0x505d5b(0x129e)](function(_0x5ad1bc){const _0x2393c9=_0x505d5b;if(_0x5ad1bc[_0x2393c9(0x524)]&&_0x5ad1bc['data'][_0x2393c9(0xcef)]&&_0x5ad1bc[_0x2393c9(0x524)][_0x2393c9(0xcef)][_0x2393c9(0x402)]){_0x1975d3[_0x2393c9(0xcef)]=_0x5ad1bc[_0x2393c9(0x524)][_0x2393c9(0xcef)]||[{'message':_0x5ad1bc[_0x2393c9(0xd5f)](),'type':_0x2393c9(0x23d5)}];for(let _0x2c7155=0x0;_0x2c7155<_0x5ad1bc['data']['errors'][_0x2393c9(0x402)];_0x2c7155+=0x1){_0x358214[_0x2393c9(0x1980)]({'title':_0x5ad1bc[_0x2393c9(0x524)]['errors'][_0x2c7155][_0x2393c9(0x1142)],'msg':_0x5ad1bc['data'][_0x2393c9(0xcef)][_0x2c7155][_0x2393c9(0x7fd)]});}}else _0x358214['error']({'title':_0x5ad1bc[_0x2393c9(0x107b)]?'API:'+_0x5ad1bc[_0x2393c9(0x107b)]+_0x2393c9(0x1315)+_0x5ad1bc[_0x2393c9(0x167f)]:_0x2393c9(0x23d5),'msg':_0x5ad1bc['data']?JSON[_0x2393c9(0x10bb)](_0x5ad1bc[_0x2393c9(0x524)][_0x2393c9(0x7fd)]):_0x5ad1bc[_0x2393c9(0xd5f)]()});});}function _0x1ad1e4(){const _0x1d2d4e=_0x5b53e0;_0x1975d3[_0x1d2d4e(0xcef)]=[],_0x1f4556[_0x1d2d4e(0xbf8)][_0x1d2d4e(0x18e1)]({'id':_0x1975d3['odbc']['id']},_0x1975d3[_0x1d2d4e(0x1770)])[_0x1d2d4e(0x2945)][_0x1d2d4e(0x146b)](function(_0x3f583b){const _0x4eaba7=_0x1d2d4e,_0x4be5e5=_0x3f65c0()[_0x4eaba7(0xc84)](_0x1975d3['odbcs'],{'id':_0x3f583b['id']});_0x4be5e5&&_0x3f65c0()[_0x4eaba7(0x168d)](_0x4be5e5,_0x3f65c0()[_0x4eaba7(0x40e)](_0x3f583b[_0x4eaba7(0x2488)](),_0x3f65c0()[_0x4eaba7(0x627)](_0x4be5e5))),_0x358214[_0x4eaba7(0x1c75)]({'title':'Odbc\x20properly\x20saved!','msg':_0x1975d3[_0x4eaba7(0x1770)][_0x4eaba7(0x19eb)]?_0x1975d3[_0x4eaba7(0x1770)]['name']+_0x4eaba7(0x24db):''}),_0x3a8876(_0x3f583b);})[_0x1d2d4e(0x129e)](function(_0x50710b){const _0x5e900c=_0x1d2d4e;if(_0x50710b[_0x5e900c(0x524)]&&_0x50710b[_0x5e900c(0x524)][_0x5e900c(0xcef)]&&_0x50710b[_0x5e900c(0x524)][_0x5e900c(0xcef)][_0x5e900c(0x402)]){_0x1975d3[_0x5e900c(0xcef)]=_0x50710b[_0x5e900c(0x524)][_0x5e900c(0xcef)]||[{'message':_0x50710b['toString'](),'type':'api.squareOdbc.update'}];for(let _0x393aed=0x0;_0x393aed<_0x50710b[_0x5e900c(0x524)][_0x5e900c(0xcef)]['length'];_0x393aed++){_0x358214[_0x5e900c(0x1980)]({'title':_0x50710b[_0x5e900c(0x524)][_0x5e900c(0xcef)][_0x393aed][_0x5e900c(0x1142)],'msg':_0x50710b[_0x5e900c(0x524)]['errors'][_0x393aed][_0x5e900c(0x7fd)]});}}else _0x358214[_0x5e900c(0x1980)]({'title':_0x50710b['status']?_0x5e900c(0x262a)+_0x50710b[_0x5e900c(0x107b)]+_0x5e900c(0x1315)+_0x50710b['statusText']:'api.squareOdbc.update','msg':_0x50710b[_0x5e900c(0x524)]?JSON[_0x5e900c(0x10bb)](_0x50710b[_0x5e900c(0x524)][_0x5e900c(0x7fd)]):_0x50710b[_0x5e900c(0xd5f)]()});});}function _0x12e5bf(_0x2ef53a){const _0x2cc222=_0x5b53e0;_0x1975d3[_0x2cc222(0xcef)]=[];const _0x3b6124=_0x2c8734[_0x2cc222(0x1e8a)]()[_0x2cc222(0x1189)](_0x2cc222(0x1d64))[_0x2cc222(0x80f)](_0x2cc222(0x1e0f))[_0x2cc222(0x4bd)](_0x2cc222(0x1a87))['ok']('Delete')[_0x2cc222(0x6c3)](_0x2cc222(0xcf0))[_0x2cc222(0x1f27)](_0x2ef53a);_0x2c8734[_0x2cc222(0x2615)](_0x3b6124)[_0x2cc222(0x146b)](function(){const _0x2410a0=_0x2cc222;_0x1f4556[_0x2410a0(0xbf8)][_0x2410a0(0x1fac)]({'id':_0x1975d3['odbc']['id']})[_0x2410a0(0x2945)][_0x2410a0(0x146b)](function(){const _0x3d20d6=_0x2410a0;_0x3f65c0()[_0x3d20d6(0x2640)](_0x1975d3[_0x3d20d6(0x1cf2)],{'id':_0x1975d3[_0x3d20d6(0x1770)]['id']}),_0x358214[_0x3d20d6(0x1c75)]({'title':_0x3d20d6(0x27e7),'msg':(_0x1975d3['odbc']['name']||_0x3d20d6(0x1770))+_0x3d20d6(0x23e3)}),_0x3a8876(_0x1975d3[_0x3d20d6(0x1770)]);})[_0x2410a0(0x129e)](function(_0x1a672a){const _0x2338df=_0x2410a0;if(_0x1a672a[_0x2338df(0x524)]&&_0x1a672a['data'][_0x2338df(0xcef)]&&_0x1a672a[_0x2338df(0x524)]['errors'][_0x2338df(0x402)]){_0x1975d3[_0x2338df(0xcef)]=_0x1a672a[_0x2338df(0x524)][_0x2338df(0xcef)]||[{'message':_0x1a672a[_0x2338df(0xd5f)](),'type':_0x2338df(0x10ac)}];for(let _0x43e222=0x0;_0x43e222<_0x1a672a[_0x2338df(0x524)][_0x2338df(0xcef)][_0x2338df(0x402)];_0x43e222++){_0x358214[_0x2338df(0x1980)]({'title':_0x1a672a['data']['errors'][_0x43e222][_0x2338df(0x1142)],'msg':_0x1a672a[_0x2338df(0x524)][_0x2338df(0xcef)][_0x43e222][_0x2338df(0x7fd)]});}}else _0x358214['error']({'title':_0x1a672a[_0x2338df(0x107b)]?_0x2338df(0x262a)+_0x1a672a[_0x2338df(0x107b)]+_0x2338df(0x1315)+_0x1a672a['statusText']:_0x2338df(0x10ac),'msg':_0x1a672a[_0x2338df(0x524)]?JSON[_0x2338df(0x10bb)](_0x1a672a[_0x2338df(0x524)][_0x2338df(0x7fd)]):_0x1a672a[_0x2338df(0x7fd)]||_0x1a672a[_0x2338df(0xd5f)]()});});},function(){});}function _0x2c22fd(_0x51e8d4){return _0x51e8d4===null?undefined:new Date(_0x51e8d4);}function _0x3a8876(_0x2e845a){_0x2c8734['hide'](_0x2e845a);}}const _0x5b4941=_0x4450ec;;const _0x41176c=_0x4acfac['p']+_0x313a4d(0xd7e);;_0x2ae451['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),'$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x1abe),'$translate','odbcs',_0x313a4d(0x26b6),'userProfileSection',_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x2ae451(_0x4e0d9b,_0x1b76de,_0x227b8e,_0x45d8ef,_0x17d571,_0x4eb02a,_0x1ea821,_0x4e71cb,_0x96a75c,_0x15686e,_0x13d8b3,_0x55a22f,_0x1ba118,_0x548e20,_0x4d2706,_0x4455f2,_0x1d9326){const _0x592e94=_0x313a4d,_0x122058=this;_0x122058[_0x592e94(0x2690)]=_0x4455f2,_0x122058[_0x592e94(0x15b9)]=_0x1d9326,_0x122058[_0x592e94(0x2321)]=_0x4d2706[_0x592e94(0xb12)](),_0x122058[_0x592e94(0x1cf2)]=_0x96a75c||{'count':0x0,'rows':[]},_0x122058['userProfile']=_0x15686e,_0x122058['userProfileSection']=_0x13d8b3&&_0x13d8b3[_0x592e94(0x51c)]==0x1?_0x13d8b3[_0x592e94(0x19c7)][0x0]:null,_0x122058['crudPermissions']=_0x4d2706[_0x592e94(0xe60)](_0x122058[_0x592e94(0x1366)]?_0x122058[_0x592e94(0x1366)][_0x592e94(0x2514)]:null),_0x122058[_0x592e94(0x768)]='odbcs',_0x122058[_0x592e94(0x216a)]='',_0x122058['listOrderAsc']=null,_0x122058['selectedODBC']=[],_0x122058[_0x592e94(0x1a56)]={'fields':_0x592e94(0x18cf),'sort':_0x592e94(0x12f2),'limit':0xa,'page':0x1},_0x122058[_0x592e94(0x2ed)]=_0x147adf,_0x122058[_0x592e94(0x746)]=_0x4bfab3,_0x122058[_0x592e94(0xf0a)]=_0x3681ca,_0x122058[_0x592e94(0x1c75)]=_0x3b6c52,_0x122058[_0x592e94(0x15eb)]=_0x31a58b,_0x122058[_0x592e94(0x2267)]=_0x1a0fc8,_0x122058[_0x592e94(0x416)]=_0x225696,_0x122058[_0x592e94(0x1878)]=_0x38f3e0,_0x122058[_0x592e94(0x177e)]=_0x39a107,_0x122058[_0x592e94(0x27f5)]=_0x116ba5,_0x122058['selectAllODBC']=_0x282aca;function _0x147adf(_0x376ec9,_0x2b49d0){const _0x55965f=_0x592e94;_0x17d571[_0x55965f(0x2615)]({'controller':_0x55965f(0x9f2),'controllerAs':'vm','templateUrl':_0x41176c,'parent':angular['element'](_0x4eb02a[_0x55965f(0x2586)]),'targetEvent':_0x2b49d0,'clickOutsideToClose':!![],'locals':{'odbc':_0x376ec9,'odbcs':_0x122058[_0x55965f(0x1cf2)]['rows'],'license':_0x122058['license'],'setting':null,'crudPermissions':_0x122058[_0x55965f(0x2514)]}});}function _0x4bfab3(_0x3bb30b){const _0x17f542=_0x592e94;return _0x55a22f['squareOdbc']['test']({'id':_0x3bb30b['id']})[_0x17f542(0x2945)][_0x17f542(0x146b)](function(){const _0x440ecb=_0x17f542;_0x548e20[_0x440ecb(0x1c75)]({'title':_0x440ecb(0x9e5),'msg':_0x440ecb(0x1893)});})[_0x17f542(0x129e)](function(_0x4ddbec){const _0x51925e=_0x17f542;_0x548e20[_0x51925e(0x1980)]({'title':_0x51925e(0x9e5),'msg':_0x4ddbec[_0x51925e(0x524)]?JSON['stringify'](_0x4ddbec['data']):_0x4ddbec['toString']()});});}function _0x3681ca(_0x4e325f,_0x580b12){const _0x473a51=_0x592e94,_0x3bf48d=_0x17d571['confirm']()['title'](_0x473a51(0xdb2)+_0x3f65c0()['startCase']('odbc')+'?')['htmlContent'](_0x473a51(0x16d3)+(_0x4e325f[_0x473a51(0x19eb)]||_0x473a51(0x1770))+_0x473a51(0x252f)+_0x473a51(0xe01))[_0x473a51(0x4bd)](_0x473a51(0xf81))[_0x473a51(0x1f27)](_0x580b12)['ok']('OK')[_0x473a51(0x6c3)](_0x473a51(0x39a));_0x17d571[_0x473a51(0x2615)](_0x3bf48d)[_0x473a51(0x146b)](function(){_0x225696(_0x4e325f);},function(){const _0x32b668=_0x473a51;console[_0x32b668(0x1a74)](_0x32b668(0x39a));});}let _0x5a3848=!![],_0x24e10b=0x1;_0x4e0d9b[_0x592e94(0x21e8)](_0x592e94(0x2669),function(_0x1f0432,_0x551130){const _0x3b9636=_0x592e94;_0x5a3848?_0x1ea821(function(){_0x5a3848=![];}):(!_0x551130&&(_0x24e10b=_0x122058['query'][_0x3b9636(0x844)]),_0x1f0432!==_0x551130&&(_0x122058[_0x3b9636(0x1a56)][_0x3b9636(0x844)]=0x1),!_0x1f0432&&(_0x122058[_0x3b9636(0x1a56)][_0x3b9636(0x844)]=_0x24e10b),_0x122058[_0x3b9636(0x15eb)]());});function _0x3b6c52(_0x244523){_0x122058['odbcs']=_0x244523||{'count':0x0,'rows':[]};}function _0x31a58b(){const _0x4c0df6=_0x592e94;_0x122058[_0x4c0df6(0x1a56)][_0x4c0df6(0x145d)]=(_0x122058[_0x4c0df6(0x1a56)]['page']-0x1)*_0x122058['query']['limit'],_0x4d2706[_0x4c0df6(0x23e0)]('admin')?_0x122058[_0x4c0df6(0xb9c)]=_0x55a22f[_0x4c0df6(0xbf8)][_0x4c0df6(0x16b4)](_0x122058[_0x4c0df6(0x1a56)],_0x3b6c52)[_0x4c0df6(0x2945)]:(_0x122058[_0x4c0df6(0x1a56)]['id']=_0x122058[_0x4c0df6(0x26b6)]['id'],_0x122058[_0x4c0df6(0x1a56)][_0x4c0df6(0x2146)]=_0x4c0df6(0xa4d),_0x122058[_0x4c0df6(0xb9c)]=_0x55a22f[_0x4c0df6(0x26b6)][_0x4c0df6(0x158f)](_0x122058[_0x4c0df6(0x1a56)],_0x3b6c52)[_0x4c0df6(0x2945)]);}function _0x1a0fc8(_0x3014d1,_0x313be3){const _0x2446aa=_0x592e94;_0x17d571[_0x2446aa(0x2615)]({'controller':'CreateOrEditOdbcDialogController','controllerAs':'vm','templateUrl':_0x41176c,'parent':angular[_0x2446aa(0x1853)](_0x4eb02a[_0x2446aa(0x2586)]),'targetEvent':_0x3014d1,'clickOutsideToClose':!![],'locals':{'odbc':_0x313be3,'odbcs':_0x122058[_0x2446aa(0x1cf2)]['rows'],'license':_0x122058[_0x2446aa(0x2690)],'setting':_0x122058[_0x2446aa(0x15b9)],'crudPermissions':_0x122058['crudPermissions']}});}function _0x225696(_0x4f638c){const _0x55c185=_0x592e94;_0x55a22f[_0x55c185(0xbf8)]['delete']({'id':_0x4f638c['id']})[_0x55c185(0x2945)][_0x55c185(0x146b)](function(){const _0x53f088=_0x55c185;_0x3f65c0()['remove'](_0x122058[_0x53f088(0x1cf2)][_0x53f088(0x19c7)],{'id':_0x4f638c['id']}),_0x122058[_0x53f088(0x1cf2)]['count']-=0x1,!_0x122058[_0x53f088(0x1cf2)][_0x53f088(0x19c7)]['length']&&_0x122058[_0x53f088(0x15eb)](),_0x548e20[_0x53f088(0x1c75)]({'title':_0x3f65c0()[_0x53f088(0x20d1)](_0x53f088(0x1b52))+'\x20deleted!','msg':_0x4f638c[_0x53f088(0x19eb)]?_0x4f638c['name']+'\x20has\x20been\x20deleted!':''});})['catch'](function(_0x5b523b){const _0x44d033=_0x55c185;if(_0x5b523b[_0x44d033(0x524)]&&_0x5b523b[_0x44d033(0x524)]['errors']&&_0x5b523b[_0x44d033(0x524)][_0x44d033(0xcef)]['length']){_0x122058[_0x44d033(0xcef)]=_0x5b523b[_0x44d033(0x524)][_0x44d033(0xcef)]||[{'message':_0x5b523b[_0x44d033(0xd5f)](),'type':_0x44d033(0x1fa9)}];for(let _0x424fc6=0x0;_0x424fc6<_0x5b523b[_0x44d033(0x524)][_0x44d033(0xcef)][_0x44d033(0x402)];_0x424fc6++){_0x548e20[_0x44d033(0x1980)]({'title':_0x5b523b[_0x44d033(0x524)][_0x44d033(0xcef)][_0x424fc6]['type'],'msg':_0x5b523b['data'][_0x44d033(0xcef)][_0x424fc6]['message']});}}else _0x548e20[_0x44d033(0x1980)]({'title':_0x5b523b[_0x44d033(0x107b)]?_0x44d033(0x262a)+_0x5b523b[_0x44d033(0x107b)]+_0x44d033(0x1315)+_0x5b523b[_0x44d033(0x167f)]:'SYSTEM:DELETEsquareOdbc','msg':_0x5b523b[_0x44d033(0x524)]?JSON[_0x44d033(0x10bb)](_0x5b523b['data'][_0x44d033(0x7fd)]):_0x5b523b['message']||_0x5b523b[_0x44d033(0xd5f)]()});});}function _0x38f3e0(){const _0x381fa4=_0x592e94,_0x38a68e=angular[_0x381fa4(0x235a)](_0x122058[_0x381fa4(0x185c)]);return _0x122058[_0x381fa4(0x185c)]=[],_0x38a68e;}function _0x39a107(_0x256c44){const _0x31120d=_0x592e94,_0x546842=_0x17d571[_0x31120d(0x1e8a)]()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20odbcs?')['htmlContent'](''+_0x122058[_0x31120d(0x185c)][_0x31120d(0x402)]+_0x31120d(0x2452)+'\x20will\x20be\x20deleted.')[_0x31120d(0x4bd)](_0x31120d(0x15e))[_0x31120d(0x1f27)](_0x256c44)['ok']('OK')[_0x31120d(0x6c3)](_0x31120d(0x39a));_0x17d571[_0x31120d(0x2615)](_0x546842)['then'](function(){const _0x3c60ad=_0x31120d;_0x122058['selectedODBC'][_0x3c60ad(0x1df5)](function(_0x220280){_0x225696(_0x220280);}),_0x122058['selectedODBC']=[];});}function _0x116ba5(){const _0xc56a47=_0x592e94;_0x122058[_0xc56a47(0x185c)]=[];}function _0x282aca(){const _0x21263f=_0x592e94;_0x122058['selectedODBC']=_0x122058[_0x21263f(0x1cf2)][_0x21263f(0x19c7)];}}const _0x44ab16=_0x2ae451;;_0x3435d2[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x17fc),_0x313a4d(0x2222),'api',_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x3435d2(_0x4f36a1,_0x34d4c5,_0x11a68a,_0x576ede,_0xddd377,_0x5d9b42,_0x18224b,_0x55beb5,_0x2b560e,_0x146952,_0x10a4de,_0xb75539,_0x127159,_0x800429){const _0x1273ad=_0x313a4d,_0x22d05e=this;_0x22d05e[_0x1273ad(0x2321)]=_0x10a4de[_0x1273ad(0xb12)](),_0x22d05e[_0x1273ad(0xcef)]=[],_0x22d05e[_0x1273ad(0x15b9)]=_0x127159,_0x22d05e[_0x1273ad(0x2690)]=_0xb75539,_0x22d05e['crudPermissions']=_0x800429,_0x22d05e[_0x1273ad(0x855)]={},_0x22d05e[_0x1273ad(0x2251)]=_0x22d05e[_0x1273ad(0x15b9)]&&_0x22d05e[_0x1273ad(0x15b9)][_0x1273ad(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x22d05e[_0x1273ad(0x1189)]=_0x1273ad(0x724),_0x22d05e[_0x1273ad(0x2222)]=angular['copy'](_0x2b560e),_0x22d05e['squareRecordings']=_0x55beb5,_0x22d05e[_0x1273ad(0x46c)]=![];!_0x22d05e[_0x1273ad(0x2222)]&&(_0x22d05e['squareRecording']={},_0x22d05e[_0x1273ad(0x1189)]='CALLYSQUARE.NEW_SQUARERECORDING',_0x22d05e[_0x1273ad(0x46c)]=!![]);_0x22d05e['addNewSquareRecording']=_0x580b9e,_0x22d05e[_0x1273ad(0x1d6c)]=_0x50ee2d,_0x22d05e['deleteSquareRecording']=_0x39eaab,_0x22d05e['getDateFromString']=_0x56a381,_0x22d05e[_0x1273ad(0x13f3)]=_0x3776dc;function _0x580b9e(){const _0x54ad9a=_0x1273ad;_0x22d05e[_0x54ad9a(0xcef)]=[],_0x146952[_0x54ad9a(0x2222)][_0x54ad9a(0x1e3)](_0x22d05e['squareRecording'])[_0x54ad9a(0x2945)][_0x54ad9a(0x146b)](function(_0x1a144d){const _0xce3491=_0x54ad9a;_0x22d05e[_0xce3491(0x17fc)][_0xce3491(0xb3d)](_0x1a144d[_0xce3491(0x2488)]()),_0x18224b['success']({'title':_0xce3491(0x2388),'msg':_0x22d05e['squareRecording']['name']?_0x22d05e[_0xce3491(0x2222)][_0xce3491(0x19eb)]+_0xce3491(0x1386):''}),_0x3776dc(_0x1a144d);})[_0x54ad9a(0x129e)](function(_0x5ab5ff){const _0x408c19=_0x54ad9a;if(_0x5ab5ff['data']&&_0x5ab5ff[_0x408c19(0x524)]['errors']&&_0x5ab5ff[_0x408c19(0x524)]['errors'][_0x408c19(0x402)]){_0x22d05e[_0x408c19(0xcef)]=_0x5ab5ff[_0x408c19(0x524)][_0x408c19(0xcef)]||[{'message':_0x5ab5ff[_0x408c19(0xd5f)](),'type':_0x408c19(0x546)}];for(let _0xbf5200=0x0;_0xbf5200<_0x5ab5ff[_0x408c19(0x524)][_0x408c19(0xcef)]['length'];_0xbf5200+=0x1){_0x18224b[_0x408c19(0x1980)]({'title':_0x5ab5ff['data']['errors'][_0xbf5200][_0x408c19(0x1142)],'msg':_0x5ab5ff[_0x408c19(0x524)][_0x408c19(0xcef)][_0xbf5200][_0x408c19(0x7fd)]});}}else _0x18224b[_0x408c19(0x1980)]({'title':_0x5ab5ff[_0x408c19(0x107b)]?_0x408c19(0x262a)+_0x5ab5ff[_0x408c19(0x107b)]+_0x408c19(0x1315)+_0x5ab5ff[_0x408c19(0x167f)]:_0x408c19(0x546),'msg':_0x5ab5ff['data']?JSON['stringify'](_0x5ab5ff[_0x408c19(0x524)][_0x408c19(0x7fd)]):_0x5ab5ff['toString']()});});}function _0x50ee2d(){const _0x18c642=_0x1273ad;_0x22d05e['errors']=[],_0x146952[_0x18c642(0x2222)]['update']({'id':_0x22d05e['squareRecording']['id']},_0x22d05e['squareRecording'])[_0x18c642(0x2945)][_0x18c642(0x146b)](function(_0xcb865f){const _0x542179=_0x18c642,_0x901d4d=_0x3f65c0()[_0x542179(0xc84)](_0x22d05e[_0x542179(0x17fc)],{'id':_0xcb865f['id']});_0x901d4d&&_0x3f65c0()[_0x542179(0x168d)](_0x901d4d,_0x3f65c0()[_0x542179(0x40e)](_0xcb865f['toJSON'](),_0x3f65c0()[_0x542179(0x627)](_0x901d4d))),_0x18224b['success']({'title':_0x542179(0x62a),'msg':_0x22d05e['squareRecording'][_0x542179(0x19eb)]?_0x22d05e[_0x542179(0x2222)][_0x542179(0x19eb)]+_0x542179(0x24db):''}),_0x3776dc(_0xcb865f);})[_0x18c642(0x129e)](function(_0x34cbb){const _0x3ffed6=_0x18c642;if(_0x34cbb[_0x3ffed6(0x524)]&&_0x34cbb[_0x3ffed6(0x524)]['errors']&&_0x34cbb[_0x3ffed6(0x524)][_0x3ffed6(0xcef)][_0x3ffed6(0x402)]){_0x22d05e[_0x3ffed6(0xcef)]=_0x34cbb[_0x3ffed6(0x524)][_0x3ffed6(0xcef)]||[{'message':_0x34cbb[_0x3ffed6(0xd5f)](),'type':_0x3ffed6(0x21b6)}];for(let _0x2b1e35=0x0;_0x2b1e35<_0x34cbb[_0x3ffed6(0x524)]['errors'][_0x3ffed6(0x402)];_0x2b1e35++){_0x18224b['error']({'title':_0x34cbb['data']['errors'][_0x2b1e35][_0x3ffed6(0x1142)],'msg':_0x34cbb['data'][_0x3ffed6(0xcef)][_0x2b1e35][_0x3ffed6(0x7fd)]});}}else _0x18224b[_0x3ffed6(0x1980)]({'title':_0x34cbb[_0x3ffed6(0x107b)]?_0x3ffed6(0x262a)+_0x34cbb['status']+_0x3ffed6(0x1315)+_0x34cbb[_0x3ffed6(0x167f)]:_0x3ffed6(0x21b6),'msg':_0x34cbb[_0x3ffed6(0x524)]?JSON['stringify'](_0x34cbb[_0x3ffed6(0x524)][_0x3ffed6(0x7fd)]):_0x34cbb[_0x3ffed6(0xd5f)]()});});}function _0x39eaab(_0x32fed8){const _0x3e8c42=_0x1273ad;_0x22d05e[_0x3e8c42(0xcef)]=[];const _0x453f13=_0x576ede[_0x3e8c42(0x1e8a)]()[_0x3e8c42(0x1189)](_0x3e8c42(0x1d64))[_0x3e8c42(0x80f)](_0x3e8c42(0x2055))[_0x3e8c42(0x4bd)]('Delete\x20SquareRecording')['ok']('Delete')[_0x3e8c42(0x6c3)](_0x3e8c42(0xcf0))[_0x3e8c42(0x1f27)](_0x32fed8);_0x576ede[_0x3e8c42(0x2615)](_0x453f13)[_0x3e8c42(0x146b)](function(){const _0x194386=_0x3e8c42;_0x146952[_0x194386(0x2222)]['delete']({'id':_0x22d05e['squareRecording']['id']})[_0x194386(0x2945)]['then'](function(){const _0x19be78=_0x194386;_0x3f65c0()['remove'](_0x22d05e[_0x19be78(0x17fc)],{'id':_0x22d05e[_0x19be78(0x2222)]['id']}),_0x18224b[_0x19be78(0x1c75)]({'title':_0x19be78(0x26e1),'msg':(_0x22d05e['squareRecording'][_0x19be78(0x19eb)]||_0x19be78(0x2222))+_0x19be78(0x23e3)}),_0x3776dc(_0x22d05e['squareRecording']);})[_0x194386(0x129e)](function(_0x2643d1){const _0x1924b1=_0x194386;if(_0x2643d1['data']&&_0x2643d1['data'][_0x1924b1(0xcef)]&&_0x2643d1[_0x1924b1(0x524)][_0x1924b1(0xcef)]['length']){_0x22d05e[_0x1924b1(0xcef)]=_0x2643d1[_0x1924b1(0x524)]['errors']||[{'message':_0x2643d1[_0x1924b1(0xd5f)](),'type':'api.squareRecording.delete'}];for(let _0x25c2f6=0x0;_0x25c2f6<_0x2643d1[_0x1924b1(0x524)][_0x1924b1(0xcef)]['length'];_0x25c2f6++){_0x18224b[_0x1924b1(0x1980)]({'title':_0x2643d1[_0x1924b1(0x524)][_0x1924b1(0xcef)][_0x25c2f6][_0x1924b1(0x1142)],'msg':_0x2643d1['data'][_0x1924b1(0xcef)][_0x25c2f6]['message']});}}else _0x18224b[_0x1924b1(0x1980)]({'title':_0x2643d1[_0x1924b1(0x107b)]?_0x1924b1(0x262a)+_0x2643d1['status']+_0x1924b1(0x1315)+_0x2643d1[_0x1924b1(0x167f)]:_0x1924b1(0x28b3),'msg':_0x2643d1[_0x1924b1(0x524)]?JSON[_0x1924b1(0x10bb)](_0x2643d1[_0x1924b1(0x524)][_0x1924b1(0x7fd)]):_0x2643d1[_0x1924b1(0x7fd)]||_0x2643d1[_0x1924b1(0xd5f)]()});});},function(){});}function _0x56a381(_0x4edd27){return _0x4edd27===null?undefined:new Date(_0x4edd27);}function _0x3776dc(_0xd87ff2){const _0x134210=_0x1273ad;_0x576ede[_0x134210(0x2458)](_0xd87ff2);}}const _0x4c94ea=_0x3435d2;;const _0x4d7120=_0x4acfac['p']+_0x313a4d(0x174e);;_0x3ae7bc[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),'$translate',_0x313a4d(0x17fc),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),'msUtils',_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x3ae7bc(_0x307f34,_0x392ccb,_0x5c9bcc,_0x2a985e,_0x4020e4,_0xce2cf0,_0xc82cda,_0x3ee931,_0x45201c,_0x1dc998,_0x3f3d29,_0x44bafb,_0x1600ae,_0x296692,_0x1a89bc,_0x512048,_0x4652a6){const _0x3adce7=_0x313a4d,_0x317029=this;_0x317029['license']=_0x512048,_0x317029[_0x3adce7(0x15b9)]=_0x4652a6,_0x317029['currentUser']=_0x1a89bc[_0x3adce7(0xb12)](),_0x317029[_0x3adce7(0x17fc)]=_0x45201c||{'count':0x0,'rows':[]},_0x317029['userProfile']=_0x1dc998,_0x317029['userProfileSection']=_0x3f3d29&&_0x3f3d29[_0x3adce7(0x51c)]==0x1?_0x3f3d29[_0x3adce7(0x19c7)][0x0]:null,_0x317029['crudPermissions']=_0x1a89bc['parseCrudPermissions'](_0x317029[_0x3adce7(0x1366)]?_0x317029['userProfileSection'][_0x3adce7(0x2514)]:null),_0x317029[_0x3adce7(0x768)]=_0x3adce7(0x17fc),_0x317029[_0x3adce7(0x216a)]='',_0x317029[_0x3adce7(0x214f)]=null,_0x317029[_0x3adce7(0x417)]=[],_0x317029[_0x3adce7(0x1a56)]={'fields':_0x3adce7(0xab1),'sort':_0x3adce7(0x12f2),'limit':0xa,'page':0x1},_0x317029[_0x3adce7(0xe49)]=_0x1c4553,_0x317029['deleteconfirm']=_0x4e37b9,_0x317029[_0x3adce7(0x1c75)]=_0x5d8310,_0x317029['getSquareRecordings']=_0x374055,_0x317029[_0x3adce7(0x5ee)]=_0x4259b6,_0x317029['deleteSquareRecording']=_0xacc1c3,_0x317029[_0x3adce7(0x1c18)]=_0x2baa35,_0x317029[_0x3adce7(0x250b)]=_0x5bf892,_0x317029[_0x3adce7(0x1855)]=_0x4bb83d,_0x317029[_0x3adce7(0x121e)]=_0x3e20ad;function _0x1c4553(_0x4ac2bd){const _0x5e0832=_0x3adce7;return _0x44bafb['squareRecording'][_0x5e0832(0x15b1)]({'id':_0x4ac2bd['id']})['$promise'][_0x5e0832(0x146b)](function(_0x24571e){const _0x3dc437=_0x5e0832,_0x5a7d8f=[_0x24571e[_0x3dc437(0x2eb)]];let _0x6162fc=_0x3dc437(0x15b1);const _0x4d8548=new Blob(_0x5a7d8f,{'type':_0x24571e[_0x3dc437(0x1142)]});_0x6162fc=_0x4ac2bd[_0x3dc437(0x4f8)]+_0x4ac2bd[_0x3dc437(0x22b0)];const _0x442090=window[_0x3dc437(0xef3)]['createElement']('a');_0x442090[_0x3dc437(0x1652)](_0x3dc437(0x1b3c),URL[_0x3dc437(0x1c58)](_0x4d8548)),_0x442090['setAttribute'](_0x3dc437(0x15b1),_0x6162fc),document[_0x3dc437(0x2586)][_0x3dc437(0x23e)](_0x442090),_0x442090['click']();})[_0x5e0832(0x129e)](function(_0x4cf36f){const _0xea36d9=_0x5e0832;if(_0x4cf36f[_0xea36d9(0x524)]&&_0x4cf36f['data'][_0xea36d9(0xcef)]&&_0x4cf36f[_0xea36d9(0x524)][_0xea36d9(0xcef)][_0xea36d9(0x402)])for(let _0x4c1239=0x0;_0x4c1239<_0x4cf36f[_0xea36d9(0x524)]['errors'][_0xea36d9(0x402)];_0x4c1239++){_0x296692[_0xea36d9(0x1980)]({'title':_0x4cf36f[_0xea36d9(0x524)][_0xea36d9(0xcef)][_0x4c1239][_0xea36d9(0x1142)],'msg':_0x4cf36f[_0xea36d9(0x524)][_0xea36d9(0xcef)][_0x4c1239][_0xea36d9(0x7fd)]});}else _0x296692[_0xea36d9(0x1980)]({'title':_0x4cf36f[_0xea36d9(0x107b)]?'API:'+_0x4cf36f[_0xea36d9(0x107b)]+_0xea36d9(0x1315)+_0x4cf36f[_0xea36d9(0x167f)]:'api.squareRecording.save','msg':_0x4cf36f[_0xea36d9(0x524)]?JSON[_0xea36d9(0x10bb)](_0x4cf36f[_0xea36d9(0x524)][_0xea36d9(0x7fd)]):_0x4cf36f[_0xea36d9(0xd5f)]()});});}function _0x4e37b9(_0x191086,_0x4cfa42){const _0x2a724c=_0x3adce7,_0x259224=_0x4020e4[_0x2a724c(0x1e8a)]()[_0x2a724c(0x1189)](_0x2a724c(0xdb2)+_0x3f65c0()[_0x2a724c(0x20d1)](_0x2a724c(0x2222))+'?')[_0x2a724c(0x1cbe)](_0x2a724c(0x16d3)+(_0x191086[_0x2a724c(0x19eb)]||_0x2a724c(0x2222))+_0x2a724c(0x252f)+_0x2a724c(0xe01))[_0x2a724c(0x4bd)]('delete\x20squareRecording')[_0x2a724c(0x1f27)](_0x4cfa42)['ok']('OK')[_0x2a724c(0x6c3)](_0x2a724c(0x39a));_0x4020e4[_0x2a724c(0x2615)](_0x259224)[_0x2a724c(0x146b)](function(){_0xacc1c3(_0x191086);},function(){const _0x49ae78=_0x2a724c;console['log'](_0x49ae78(0x39a));});}let _0x2a4332=!![],_0x4e57cc=0x1;_0x307f34[_0x3adce7(0x21e8)](_0x3adce7(0x2669),function(_0x25a59d,_0x2c3153){const _0xdd0fa2=_0x3adce7;_0x2a4332?_0xc82cda(function(){_0x2a4332=![];}):(!_0x2c3153&&(_0x4e57cc=_0x317029[_0xdd0fa2(0x1a56)][_0xdd0fa2(0x844)]),_0x25a59d!==_0x2c3153&&(_0x317029[_0xdd0fa2(0x1a56)]['page']=0x1),!_0x25a59d&&(_0x317029[_0xdd0fa2(0x1a56)][_0xdd0fa2(0x844)]=_0x4e57cc),_0x317029[_0xdd0fa2(0x1fc1)]());});function _0x5d8310(_0x5ca319){const _0x3e460c=_0x3adce7;_0x317029[_0x3e460c(0x17fc)]=_0x5ca319||{'count':0x0,'rows':[]};}function _0x374055(){const _0x2fa52e=_0x3adce7;_0x317029[_0x2fa52e(0x1a56)]['offset']=(_0x317029[_0x2fa52e(0x1a56)][_0x2fa52e(0x844)]-0x1)*_0x317029[_0x2fa52e(0x1a56)]['limit'],_0x1a89bc[_0x2fa52e(0x23e0)]('admin')?_0x317029['promise']=_0x44bafb[_0x2fa52e(0x2222)]['get'](_0x317029[_0x2fa52e(0x1a56)],_0x5d8310)[_0x2fa52e(0x2945)]:(_0x317029[_0x2fa52e(0x1a56)]['id']=_0x317029[_0x2fa52e(0x26b6)]['id'],_0x317029['query']['section']=_0x2fa52e(0x10e3),_0x317029['promise']=_0x44bafb['userProfile'][_0x2fa52e(0x158f)](_0x317029[_0x2fa52e(0x1a56)],_0x5d8310)[_0x2fa52e(0x2945)]);}function _0x4259b6(_0x3c406b,_0x563343){const _0x4d6f88=_0x3adce7;_0x4020e4[_0x4d6f88(0x2615)]({'controller':_0x4d6f88(0x810),'controllerAs':'vm','templateUrl':_0x4d7120,'parent':angular[_0x4d6f88(0x1853)](_0xce2cf0[_0x4d6f88(0x2586)]),'targetEvent':_0x3c406b,'clickOutsideToClose':!![],'locals':{'squareRecording':_0x563343,'squareRecordings':_0x317029[_0x4d6f88(0x17fc)]['rows'],'license':_0x317029['license'],'setting':_0x317029[_0x4d6f88(0x15b9)],'crudPermissions':_0x317029[_0x4d6f88(0x2514)]}});}function _0xacc1c3(_0x348cef){const _0x19bd59=_0x3adce7;_0x44bafb[_0x19bd59(0x2222)]['delete']({'id':_0x348cef['id']})[_0x19bd59(0x2945)][_0x19bd59(0x146b)](function(){const _0x42eec1=_0x19bd59;_0x3f65c0()[_0x42eec1(0x2640)](_0x317029[_0x42eec1(0x17fc)]['rows'],{'id':_0x348cef['id']}),_0x317029[_0x42eec1(0x17fc)]['count']-=0x1,!_0x317029['squareRecordings'][_0x42eec1(0x19c7)]['length']&&_0x317029[_0x42eec1(0x1fc1)](),_0x296692[_0x42eec1(0x1c75)]({'title':_0x3f65c0()[_0x42eec1(0x20d1)](_0x42eec1(0x14a8))+_0x42eec1(0x201c),'msg':_0x348cef[_0x42eec1(0x19eb)]?_0x348cef[_0x42eec1(0x19eb)]+_0x42eec1(0x23e3):''});})['catch'](function(_0x1354ae){const _0x5d27ba=_0x19bd59;if(_0x1354ae[_0x5d27ba(0x524)]&&_0x1354ae[_0x5d27ba(0x524)]['errors']&&_0x1354ae[_0x5d27ba(0x524)][_0x5d27ba(0xcef)][_0x5d27ba(0x402)]){_0x317029['errors']=_0x1354ae[_0x5d27ba(0x524)][_0x5d27ba(0xcef)]||[{'message':_0x1354ae[_0x5d27ba(0xd5f)](),'type':_0x5d27ba(0x10f1)}];for(let _0x28ec56=0x0;_0x28ec56<_0x1354ae['data'][_0x5d27ba(0xcef)][_0x5d27ba(0x402)];_0x28ec56++){_0x296692['error']({'title':_0x1354ae['data']['errors'][_0x28ec56][_0x5d27ba(0x1142)],'msg':_0x1354ae[_0x5d27ba(0x524)]['errors'][_0x28ec56][_0x5d27ba(0x7fd)]});}}else _0x296692[_0x5d27ba(0x1980)]({'title':_0x1354ae[_0x5d27ba(0x107b)]?'API:'+_0x1354ae[_0x5d27ba(0x107b)]+_0x5d27ba(0x1315)+_0x1354ae['statusText']:_0x5d27ba(0x10f1),'msg':_0x1354ae[_0x5d27ba(0x524)]?JSON[_0x5d27ba(0x10bb)](_0x1354ae[_0x5d27ba(0x524)][_0x5d27ba(0x7fd)]):_0x1354ae[_0x5d27ba(0x7fd)]||_0x1354ae[_0x5d27ba(0xd5f)]()});});}function _0x2baa35(){const _0x698c4e=_0x3adce7,_0x356986=angular[_0x698c4e(0x235a)](_0x317029[_0x698c4e(0x417)]);return _0x317029[_0x698c4e(0x417)]=[],_0x356986;}function _0x5bf892(_0x4e5078){const _0x4287e0=_0x3adce7,_0x3504d1=_0x4020e4[_0x4287e0(0x1e8a)]()['title'](_0x4287e0(0x287e))[_0x4287e0(0x1cbe)](_0x4287e0(0x16d3)+_0x317029[_0x4287e0(0x417)][_0x4287e0(0x402)]+'\x20selected'+_0x4287e0(0xe01))[_0x4287e0(0x4bd)]('delete\x20SquareRecordings')['targetEvent'](_0x4e5078)['ok']('OK')[_0x4287e0(0x6c3)]('CANCEL');_0x4020e4[_0x4287e0(0x2615)](_0x3504d1)['then'](function(){const _0x57a359=_0x4287e0;_0x317029[_0x57a359(0x417)][_0x57a359(0x1df5)](function(_0x263a36){_0xacc1c3(_0x263a36);}),_0x317029[_0x57a359(0x417)]=[];});}function _0x4bb83d(){_0x317029['selectedSquareRecordings']=[];}function _0x3e20ad(){const _0x5c7b6b=_0x3adce7;_0x317029['selectedSquareRecordings']=_0x317029[_0x5c7b6b(0x17fc)]['rows'];}}const _0x296c48=_0x3ae7bc;;_0x278088[_0x313a4d(0x11c2)]=[_0x313a4d(0x921),_0x313a4d(0x695)];function _0x278088(_0x5a69b5,_0x147011){const _0x5b00b5=_0x313a4d;_0x5a69b5[_0x5b00b5(0x13d6)](_0x5b00b5(0xea4),{'abstract':!![],'url':_0x5b00b5(0x21e)})[_0x5b00b5(0x13d6)](_0x5b00b5(0xa1f),{'url':_0x5b00b5(0x2662),'views':{'content@app':{'templateUrl':_0x1e5790,'controller':_0x5b00b5(0x2325)}},'resolve':{'odbcs':[_0x5b00b5(0x362),_0x5b00b5(0xa87),function(_0x393c45,_0x14fa37){const _0x4b45bd=_0x5b00b5;return _0x14fa37['hasRole'](_0x4b45bd(0x174b))?_0x393c45[_0x4b45bd(0x2922)]('squareOdbc@get',{'fields':_0x4b45bd(0x18cf),'sort':_0x4b45bd(0x12f2),'limit':0xa,'offset':0x0}):_0x393c45[_0x4b45bd(0x2922)](_0x4b45bd(0x938),{'id':_0x14fa37[_0x4b45bd(0xb12)]()['userProfileId'],'section':'ODBC','fields':'createdAt,updatedAt,id,name,dsn,description','sort':'-updatedAt','limit':0xa,'offset':0x0});}],'userProfile':[_0x5b00b5(0x362),_0x5b00b5(0xa87),function(_0x234955,_0x1cab17){const _0x21aeed=_0x5b00b5;return _0x1cab17[_0x21aeed(0x23e0)](_0x21aeed(0x174b))?null:_0x234955[_0x21aeed(0x2922)]('userProfile@get',{'fields':_0x21aeed(0x227),'id':_0x1cab17[_0x21aeed(0xb12)]()[_0x21aeed(0x209a)]});}],'userProfileSection':[_0x5b00b5(0x362),_0x5b00b5(0xa87),function(_0xd9ef41,_0x3d7620){const _0x37de13=_0x5b00b5;return _0x3d7620[_0x37de13(0x23e0)](_0x37de13(0x174b))?null:_0xd9ef41[_0x37de13(0x2922)](_0x37de13(0x27be),{'fields':_0x37de13(0x1e64),'userProfileId':_0x3d7620[_0x37de13(0xb12)]()[_0x37de13(0x209a)],'sectionId':0x44d});}]},'authenticate':!![],'permissionId':0x44d,'bodyClass':'callysquare'})[_0x5b00b5(0x13d6)](_0x5b00b5(0x1638),{'url':_0x5b00b5(0x703),'views':{'content@app':{'templateUrl':_0x3edf68,'controller':_0x5b00b5(0xf1)}},'resolve':{'squareRecordings':['apiResolver',_0x5b00b5(0xa87),function(_0x456127,_0x46cb56){const _0x41fddb=_0x5b00b5;return _0x46cb56['hasRole'](_0x41fddb(0x174b))?_0x456127[_0x41fddb(0x2922)]('squareRecording@get',{'fields':_0x41fddb(0xab1),'sort':_0x41fddb(0x12f2),'limit':0xa,'offset':0x0}):_0x456127[_0x41fddb(0x2922)](_0x41fddb(0x938),{'id':_0x46cb56[_0x41fddb(0xb12)]()['userProfileId'],'section':_0x41fddb(0x10e3),'fields':_0x41fddb(0xab1),'sort':'-updatedAt','limit':0xa,'offset':0x0});}],'userProfile':[_0x5b00b5(0x362),'Auth',function(_0x5b7921,_0x512665){const _0x5c89da=_0x5b00b5;return _0x512665[_0x5c89da(0x23e0)](_0x5c89da(0x174b))?null:_0x5b7921[_0x5c89da(0x2922)](_0x5c89da(0x119a),{'fields':_0x5c89da(0x227),'id':_0x512665[_0x5c89da(0xb12)]()[_0x5c89da(0x209a)]});}],'userProfileSection':[_0x5b00b5(0x362),'Auth',function(_0x3ba5fd,_0x1be375){const _0x2a7fe3=_0x5b00b5;return _0x1be375[_0x2a7fe3(0x23e0)](_0x2a7fe3(0x174b))?null:_0x3ba5fd['resolve'](_0x2a7fe3(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x1be375['getCurrentUser']()['userProfileId'],'sectionId':0x44e});}]},'authenticate':!![],'permissionId':0x44e,'bodyClass':_0x5b00b5(0x1611)}),_0x147011[_0x5b00b5(0x15bf)](_0x5b00b5(0x14a3));}angular['module'](_0x313a4d(0xea4),[_0x313a4d(0xdad),_0x313a4d(0x962),_0x313a4d(0x23c7),'flow',_0x313a4d(0x19e2),_0x313a4d(0x27c1),_0x313a4d(0x195b),_0x313a4d(0x15ef),_0x313a4d(0xc86),_0x313a4d(0x1f5f),_0x313a4d(0x28a8),_0x313a4d(0xfb2),_0x313a4d(0x1e57),_0x313a4d(0x581),_0x313a4d(0x1bd2),_0x313a4d(0x1792),_0x313a4d(0x1b65),_0x313a4d(0x1626),'chart.js','angular.filter',_0x313a4d(0x14d0)])['config'](_0x278088)['controller'](_0x313a4d(0x9f2),_0x5b4941)[_0x313a4d(0x28f0)](_0x313a4d(0xd50),_0x44ab16)[_0x313a4d(0x28f0)]('CreateOrEditSquareRecordingDialogController',_0x4c94ea)[_0x313a4d(0x28f0)](_0x313a4d(0xbfa),_0x296c48);;const _0x4ae842=_0x4acfac['p']+_0x313a4d(0x2592);;const _0x1991b9=_0x4acfac['p']+'src/js/modules/main/apps/analytics/views/extractedReports/extractedReports.html/extractedReports.html';;const _0x1da429=_0x4acfac['p']+'src/js/modules/main/apps/analytics/views/reports/reports.html/reports.html';;const _0x112a3a=_0x4acfac['p']+'src/js/modules/main/apps/analytics/views/reports/edit/view.html/view.html';var _0x33fe96=_0x4acfac(0xd5a);;_0x3ba500[_0x313a4d(0x11c2)]=[_0x313a4d(0xd08),_0x313a4d(0x10e8),'toasty','report',_0x313a4d(0x247f),'apiName',_0x313a4d(0x282d)];function _0x3ba500(_0x58719b,_0x3e2b3d,_0x1bbe9e,_0x3b1da4,_0x4241c7,_0x2a50f1,_0x5de2d2){const _0x29eff5=_0x313a4d,_0x1618ad=this;_0x1618ad[_0x29eff5(0xcef)]=[],_0x1618ad[_0x29eff5(0x1c9a)]=angular['copy'](_0x3b1da4),_0x1618ad['report'][_0x29eff5(0x19eb)]+=_0x29eff5(0x118e),_0x1618ad['treeCustomData']=_0x5de2d2,_0x1618ad[_0x29eff5(0x178f)]={'core':{'multiple':![],'animation':!![],'error':function(_0x12d5f6){const _0x2dd624=_0x29eff5;console[_0x2dd624(0x1980)](_0x2dd624(0x535)+angular[_0x2dd624(0x217d)](_0x12d5f6));},'check_callback':!![],'worker':!![]},'version':0x1,'plugins':[_0x29eff5(0x5e6)]},_0x1618ad[_0x29eff5(0xf43)]={'select_node':_0x877cc5},_0x1618ad[_0x29eff5(0x833)]=_0x3b9f3f,_0x1618ad[_0x29eff5(0x13f3)]=_0x5c751a;function _0x3b9f3f(){const _0xf74a24=_0x29eff5;_0x1618ad['errors']=[];let _0x411b9b;_0x4241c7['analyticCustomReport'][_0xf74a24(0x1e3)]({'name':_0x1618ad[_0xf74a24(0x1c9a)][_0xf74a24(0x19eb)],'description':_0x1618ad[_0xf74a24(0x1c9a)][_0xf74a24(0xb17)],'table':_0x1618ad[_0xf74a24(0x1c9a)][_0xf74a24(0x768)],'conditions':_0x1618ad[_0xf74a24(0x1c9a)][_0xf74a24(0x1072)],'joins':_0x1618ad[_0xf74a24(0x1c9a)][_0xf74a24(0x219e)],'parent':_0x1618ad[_0xf74a24(0x13cf)]['id']})[_0xf74a24(0x2945)][_0xf74a24(0x146b)](function(_0x182a1f){const _0x54c36a=_0xf74a24;_0x411b9b=_0x182a1f,_0x1bbe9e[_0x54c36a(0x1c75)]({'title':_0x54c36a(0x18b9),'msg':_0x1618ad[_0x54c36a(0x1c9a)][_0x54c36a(0x19eb)]?_0x1618ad[_0x54c36a(0x1c9a)][_0x54c36a(0x19eb)]+_0x54c36a(0x4f6):''});const _0x2d6520={'fields':_0x54c36a(0x1cfd),'nolimit':!![]};return _0x2d6520[_0x2a50f1===_0x54c36a(0x12a5)?_0x54c36a(0x2728):_0x54c36a(0x1148)]=_0x1618ad[_0x54c36a(0x1c9a)]['id'],_0x4241c7[_0x54c36a(0x1f56)][_0x54c36a(0x16b4)](_0x2d6520)[_0x54c36a(0x2945)];})[_0xf74a24(0x146b)](function(_0x13b271){const _0x425a40=_0xf74a24,_0xf89182=_0x3f65c0()[_0x425a40(0x205)](_0x13b271[_0x425a40(0x19c7)],function(_0x13fbc1){return _0x3f65c0()['extend']({},_0x13fbc1,{'CustomReportId':_0x411b9b['id']});});return _0x4241c7[_0x425a40(0x1f56)]['bulkCreate'](_0xf89182)[_0x425a40(0x2945)];})['then'](function(){const _0x74b69c=_0xf74a24;_0x1bbe9e[_0x74b69c(0x1c75)]({'title':_0x74b69c(0x23ca),'msg':'Fields\x20has\x20been\x20copied!'}),_0x5c751a();})[_0xf74a24(0x129e)](function(_0x1b57d8){const _0x5175c0=_0xf74a24;_0x1bbe9e[_0x5175c0(0x1980)]({'title':_0x1b57d8[_0x5175c0(0x107b)]?'API:'+_0x1b57d8[_0x5175c0(0x107b)]+_0x5175c0(0x1315)+_0x1b57d8['statusText']:_0x5175c0(0x3d3),'msg':_0x1b57d8[_0x5175c0(0x524)]?JSON['stringify'](_0x1b57d8[_0x5175c0(0x524)][_0x5175c0(0x7fd)]):_0x1b57d8['toString']()});});}function _0x877cc5(_0x58970c,_0x330f3a){const _0x10d8ff=_0x29eff5;_0x1618ad[_0x10d8ff(0x13cf)]=_0x330f3a['node'];}function _0x5c751a(){const _0x1d9454=_0x29eff5;_0x3e2b3d[_0x1d9454(0x2458)]();}}const _0x4bf0eb=_0x3ba500;;_0x55b9d4[_0x313a4d(0x11c2)]=[_0x313a4d(0xd08),'$mdDialog','toasty',_0x313a4d(0x2284),'report','api',_0x313a4d(0x320),'currentNode',_0x313a4d(0x1997),_0x313a4d(0x2514)];function _0x55b9d4(_0x5742a2,_0x2eb6bd,_0x583472,_0xb6269d,_0x4301f5,_0x53b05e,_0x12ab5e,_0x5de7dd,_0x40fa74,_0x4dbcf1){const _0x13d02a=_0x313a4d,_0x257216=this;_0x257216[_0x13d02a(0xcef)]=[],_0x257216[_0x13d02a(0x101)]=[],_0x257216[_0x13d02a(0x1189)]=_0x13d02a(0x2385),_0x257216[_0x13d02a(0x2514)]=_0x4dbcf1,_0x257216[_0x13d02a(0x1c9a)]=angular['copy'](_0x4301f5),_0x257216[_0x13d02a(0x2284)]=_0xb6269d,_0x257216['newReport']=![],_0x257216[_0x13d02a(0x1c7d)]=_0x40fa74[_0x13d02a(0x2372)](),_0x257216['joinTypes']=[{'key':_0x13d02a(0xb47),'value':_0x13d02a(0xce9)},{'key':'left_join','value':_0x13d02a(0x2522)},{'key':_0x13d02a(0x1e08),'value':'Right\x20Join'},{'key':'cross_join','value':_0x13d02a(0x1167)}];!_0x257216['report']&&(_0x257216[_0x13d02a(0x1c9a)]={'name':'','table':'report_agent','description':'','parent':_0x5de7dd['id']},_0x257216[_0x13d02a(0x1189)]=_0x13d02a(0x11aa),_0x257216[_0x13d02a(0x1552)]=!![]);_0x257216['addNewReport']=_0x218271,_0x257216['saveReport']=_0x6e903,_0x257216['deleteReport']=_0x3b2daa,_0x257216[_0x13d02a(0x13f3)]=_0x4c9f77,_0x257216[_0x13d02a(0xaa8)]=_0xdc601c,_0x257216[_0x13d02a(0x15dd)]=_0x2bae69,_0x257216[_0x13d02a(0x12ff)]=_0x434dfb;function _0x2bae69(_0x21de34){const _0x10e82e=_0x13d02a;_0x257216[_0x10e82e(0x101)][_0x10e82e(0x1f7d)](_0x21de34,0x1);}function _0x434dfb(_0x4ba5bd,_0x21db5a,_0x1d34e1){const _0x1e4269=_0x13d02a,_0x5a55d0=_0x3f65c0()[_0x1e4269(0xc84)](_0x257216[_0x1e4269(0x1c7d)],{'name':_0x1d34e1});_0x5a55d0&&_0x5a55d0[_0x1e4269(0x247f)]&&_0x53b05e[_0x5a55d0['api']][_0x1e4269(0x1ea2)]()['$promise'][_0x1e4269(0x146b)](function(_0xdc4dff){const _0x5ddd0d=_0x1e4269;_0x4ba5bd[_0x21db5a]=_0x3f65c0()[_0x5ddd0d(0xa62)](_0x3f65c0()[_0x5ddd0d(0x627)](_0xdc4dff),[_0x5ddd0d(0x2945),_0x5ddd0d(0x26f2)]);})['catch'](function(_0x18a447){console['error'](_0x18a447);});}function _0xdc601c(_0x1e48fd){const _0x5156e9=_0x13d02a;_0x1e48fd&&(delete _0x257216['selectedItem'],_0x257216[_0x5156e9(0x101)]['push'](_0x1e48fd));}function _0x218271(){const _0x2f84d4=_0x13d02a;_0x257216[_0x2f84d4(0xcef)]=[],_0x257216[_0x2f84d4(0x1c9a)][_0x2f84d4(0x219e)]=_0x3f65c0()[_0x2f84d4(0x205)](_0x257216['joinTables']||[],function(_0x19867b){const _0x373d30=_0x2f84d4;return{'parentKey':_0x19867b['parentKey'],'foreignTable':_0x19867b[_0x373d30(0x19eb)],'foreignKey':_0x19867b[_0x373d30(0x23ff)],'type':_0x19867b[_0x373d30(0x1142)][_0x373d30(0x256e)]()};}),_0x257216[_0x2f84d4(0x1c9a)][_0x2f84d4(0x219e)]=JSON[_0x2f84d4(0x10bb)](_0x257216['report'][_0x2f84d4(0x219e)]),_0x53b05e[_0x12ab5e][_0x2f84d4(0x1e3)](_0x257216[_0x2f84d4(0x1c9a)])[_0x2f84d4(0x2945)][_0x2f84d4(0x146b)](function(_0x1aa923){const _0x289abf=_0x2f84d4;_0x257216['reports'][_0x289abf(0xb3d)](_0x1aa923),_0x583472[_0x289abf(0x1c75)]({'title':_0x289abf(0x970),'msg':_0x257216['report'][_0x289abf(0x19eb)]?_0x257216[_0x289abf(0x1c9a)][_0x289abf(0x19eb)]+'\x20has\x20been\x20created!':''}),_0x4c9f77();})[_0x2f84d4(0x129e)](function(_0x8fdc5){const _0x9934ce=_0x2f84d4;if(_0x8fdc5[_0x9934ce(0x524)]&&_0x8fdc5['data'][_0x9934ce(0xcef)]&&_0x8fdc5[_0x9934ce(0x524)][_0x9934ce(0xcef)]['length']){_0x257216[_0x9934ce(0xcef)]=_0x8fdc5[_0x9934ce(0x524)][_0x9934ce(0xcef)]||[{'message':_0x8fdc5[_0x9934ce(0xd5f)](),'type':_0x9934ce(0x1ec9)}];for(let _0x598e0e=0x0;_0x598e0e<_0x8fdc5[_0x9934ce(0x524)]['errors'][_0x9934ce(0x402)];_0x598e0e++){_0x583472['error']({'title':_0x8fdc5[_0x9934ce(0x524)][_0x9934ce(0xcef)][_0x598e0e][_0x9934ce(0x1142)],'msg':_0x8fdc5[_0x9934ce(0x524)][_0x9934ce(0xcef)][_0x598e0e]['message']});}}else _0x583472[_0x9934ce(0x1980)]({'title':_0x8fdc5[_0x9934ce(0x107b)]?_0x9934ce(0x262a)+_0x8fdc5[_0x9934ce(0x107b)]+'\x20-\x20'+_0x8fdc5[_0x9934ce(0x167f)]:'api.analyticReport.save','msg':_0x8fdc5['data']?JSON[_0x9934ce(0x10bb)](_0x8fdc5[_0x9934ce(0x524)][_0x9934ce(0x7fd)]):_0x8fdc5['toString']()});});}function _0x6e903(){const _0x19a153=_0x13d02a;_0x257216[_0x19a153(0xcef)]=[],_0x53b05e[_0x12ab5e][_0x19a153(0x18e1)]({'id':_0x257216[_0x19a153(0x1c9a)]['id']},_0x257216['report'])[_0x19a153(0x2945)][_0x19a153(0x146b)](function(_0x455a04){const _0x4d8fe9=_0x19a153,_0x248aa1=_0x3f65c0()[_0x4d8fe9(0xc84)](_0x257216[_0x4d8fe9(0x2284)],{'id':_0x455a04['id']});_0x248aa1&&_0x3f65c0()[_0x4d8fe9(0x168d)](_0x248aa1,_0x455a04),_0x583472['success']({'title':_0x4d8fe9(0x94b),'msg':_0x257216[_0x4d8fe9(0x1c9a)]['name']?_0x257216[_0x4d8fe9(0x1c9a)][_0x4d8fe9(0x19eb)]+_0x4d8fe9(0x24db):''}),_0x4c9f77();})['catch'](function(_0x25c589){const _0x3e1f5e=_0x19a153;if(_0x25c589['data']&&_0x25c589[_0x3e1f5e(0x524)][_0x3e1f5e(0xcef)]&&_0x25c589[_0x3e1f5e(0x524)][_0x3e1f5e(0xcef)][_0x3e1f5e(0x402)]){_0x257216[_0x3e1f5e(0xcef)]=_0x25c589['data'][_0x3e1f5e(0xcef)]||[{'message':_0x25c589[_0x3e1f5e(0xd5f)](),'type':_0x3e1f5e(0x613)}];for(let _0x397a18=0x0;_0x397a18<_0x25c589[_0x3e1f5e(0x524)]['errors'][_0x3e1f5e(0x402)];_0x397a18++){_0x583472['error']({'title':_0x25c589[_0x3e1f5e(0x524)][_0x3e1f5e(0xcef)][_0x397a18][_0x3e1f5e(0x1142)],'msg':_0x25c589[_0x3e1f5e(0x524)]['errors'][_0x397a18]['message']});}}else _0x583472[_0x3e1f5e(0x1980)]({'title':_0x25c589[_0x3e1f5e(0x107b)]?_0x3e1f5e(0x262a)+_0x25c589[_0x3e1f5e(0x107b)]+'\x20-\x20'+_0x25c589[_0x3e1f5e(0x167f)]:_0x3e1f5e(0x613),'msg':_0x25c589['data']?JSON['stringify'](_0x25c589['data'][_0x3e1f5e(0x7fd)]):_0x25c589['toString']()});});}function _0x3b2daa(){const _0x15487e=_0x13d02a;_0x257216[_0x15487e(0xcef)]=[];const _0x1cdc08=_0x2eb6bd[_0x15487e(0x1e8a)]()[_0x15487e(0x1189)](_0x15487e(0x1d64))[_0x15487e(0x80f)]('The\x20report\x20will\x20be\x20deleted.')[_0x15487e(0x4bd)](_0x15487e(0x40a))['ok'](_0x15487e(0x25de))[_0x15487e(0x6c3)](_0x15487e(0xcf0))[_0x15487e(0x1f27)](event);_0x2eb6bd[_0x15487e(0x2615)](_0x1cdc08)[_0x15487e(0x146b)](function(){const _0x47da75=_0x15487e;_0x53b05e[_0x12ab5e][_0x47da75(0x1fac)]({'id':_0x257216[_0x47da75(0x1c9a)]['id']})['$promise'][_0x47da75(0x146b)](function(){const _0x4a3e27=_0x47da75;_0x3f65c0()[_0x4a3e27(0x2640)](_0x257216['reports'],{'id':_0x257216[_0x4a3e27(0x1c9a)]['id']}),_0x583472[_0x4a3e27(0x1c75)]({'title':_0x4a3e27(0x18ac),'msg':_0x257216['report'][_0x4a3e27(0x19eb)]?_0x257216[_0x4a3e27(0x1c9a)][_0x4a3e27(0x19eb)]+_0x4a3e27(0x23e3):''}),_0x4c9f77();})['catch'](function(_0x2eefe0){const _0x37a018=_0x47da75;_0x257216['errors']=_0x2eefe0[_0x37a018(0x524)]['errors']||[{'message':_0x2eefe0['toString'](),'type':_0x37a018(0x1e8)}];});},function(){});}function _0x4c9f77(){_0x2eb6bd['hide']();}}const _0x3913e2=_0x55b9d4;;_0x1bbdb[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),_0x313a4d(0x1997),_0x313a4d(0x1fe4),_0x313a4d(0xc07)];function _0x1bbdb(_0x220170,_0xbd7c35,_0x209ad4,_0x1d86e1){const _0x57ce62=_0x313a4d,_0x3e5d1b=this;_0x3e5d1b[_0x57ce62(0x1c9a)]={},_0x3e5d1b[_0x57ce62(0x1072)]=_0x1d86e1[_0x57ce62(0x16bb)],_0x3e5d1b['init']=_0x4fb1dc,_0x3e5d1b[_0x57ce62(0x1b84)]=_0x2c652a,_0x3e5d1b['addGroup']=_0x21b5b6,_0x3e5d1b[_0x57ce62(0x19b4)]=_0xc0ba48,_0x3e5d1b[_0x57ce62(0x4d5)]=_0x161afb;function _0x4fb1dc(_0x2a18f6,_0x31c22e){const _0x1243f0=_0x57ce62;_0x3e5d1b[_0x1243f0(0x1c9a)]=_0x2a18f6,_0x3e5d1b[_0x1243f0(0x1c9a)][_0x1243f0(0x115f)]=angular['fromJson'](_0x2a18f6[_0x1243f0(0x1072)])||{'group':{'operator':'AND','rules':[]}},_0x3e5d1b[_0x1243f0(0x2514)]=typeof _0x31c22e!=='undefined'?_0x31c22e:{'readOnly':!![],'canEdit':![],'canDelete':![]};for(let _0x5b1f61=0x0;_0x5b1f61<_0x3e5d1b[_0x1243f0(0x1c9a)][_0x1243f0(0x115f)]['group'][_0x1243f0(0x644)][_0x1243f0(0x402)];_0x5b1f61++){if(_0x3e5d1b['report'][_0x1243f0(0x115f)][_0x1243f0(0x1b12)]['rules'][_0x5b1f61]['field']){const _0x19964c=_0x3e5d1b['report'][_0x1243f0(0x115f)][_0x1243f0(0x1b12)][_0x1243f0(0x644)][_0x5b1f61][_0x1243f0(0x65c)][_0x1243f0(0x10c8)]('.');_0x19964c[_0x1243f0(0x402)]===0x1&&(_0x3e5d1b['report']['condition'][_0x1243f0(0x1b12)][_0x1243f0(0x644)][_0x5b1f61][_0x1243f0(0x65c)]=_0x3e5d1b[_0x1243f0(0x1c9a)][_0x1243f0(0x768)]+'.'+_0x3e5d1b[_0x1243f0(0x1c9a)][_0x1243f0(0x115f)][_0x1243f0(0x1b12)][_0x1243f0(0x644)][_0x5b1f61][_0x1243f0(0x65c)]);}}_0xbd7c35['getColumns'](_0x3e5d1b[_0x1243f0(0x1c9a)][_0x1243f0(0x768)])[_0x1243f0(0x146b)](function(_0x27430b){const _0x206ee5=_0x1243f0;_0x3e5d1b[_0x206ee5(0x194f)]=_0x3f65c0()[_0x206ee5(0xa62)](_0x3f65c0()[_0x206ee5(0x627)](_0x27430b),[_0x206ee5(0x2945),'$resolved',_0x206ee5(0xc68),_0x206ee5(0x144f)]);})[_0x1243f0(0x129e)](function(_0x438e92){const _0x34d74f=_0x1243f0;_0x209ad4[_0x34d74f(0x1980)]({'title':_0x438e92['status']?_0x34d74f(0x262a)+_0x438e92[_0x34d74f(0x107b)]+_0x34d74f(0x1315)+_0x438e92['statusText']:_0x34d74f(0x11ee),'msg':_0x438e92[_0x34d74f(0x524)]?JSON[_0x34d74f(0x10bb)](_0x438e92[_0x34d74f(0x524)]):_0x438e92['toString']()});});}function _0x2c652a(_0x5f4ff0){_0x5f4ff0['unshift']({'condition':'=','field':'','value':''});}function _0x21b5b6(_0x34bfc5){const _0x59d06d=_0x57ce62;_0x34bfc5[_0x59d06d(0x1f47)]({'group':{'operator':_0x59d06d(0x2006),'rules':[]}});}function _0xc0ba48(_0x126a32,_0x3d4571,_0x56657e){const _0x46189a=_0x57ce62,_0x1f61e5=_0x220170[_0x46189a(0x1e8a)]()[_0x46189a(0x1189)](_0x46189a(0x2416))[_0x46189a(0x1cbe)](_0x46189a(0x10dd))[_0x46189a(0x4bd)](_0x46189a(0x7ec))['targetEvent'](_0x56657e)['ok']('OK')[_0x46189a(0x6c3)](_0x46189a(0x39a));_0x220170[_0x46189a(0x2615)](_0x1f61e5)[_0x46189a(0x146b)](function(){const _0x5a0635=_0x46189a;_0x126a32[_0x5a0635(0x1f7d)](_0x3d4571,0x1);},function(){const _0x22c3b4=_0x46189a;console[_0x22c3b4(0x1a74)](_0x22c3b4(0x39a));});}function _0x161afb(_0x40157c){const _0x11070a=_0x57ce62;return!_0x3f65c0()[_0x11070a(0xa4e)]([_0x11070a(0x1bfa),_0x11070a(0x3e8),_0x11070a(0x628),_0x11070a(0xf2d)],_0x40157c);}}const _0x57f371=_0x1bbdb;;_0xc6a19[_0x313a4d(0x11c2)]=['api'];function _0xc6a19(_0x12c16a){const _0x4c3b9f=_0x313a4d,_0x2d6268={'cm_companies':_0x4c3b9f(0x134d),'cm_contacts':_0x4c3b9f(0x18c3),'cm_hopper':'cmHopper','cm_hopper_final':_0x4c3b9f(0x2018),'cm_hopper_history':_0x4c3b9f(0x22e3),'cm_lists':_0x4c3b9f(0xf03),'chat_websites':_0x4c3b9f(0x189e),'chat_queues':'chatQueue','chat_interactions':_0x4c3b9f(0xc9f),'chat_messages':'chatMessage','chat_offline_messages':_0x4c3b9f(0x147e),'report_chat_queue':_0x4c3b9f(0x11f2),'report_chat_transfer':'chatTransferReport','mail_accounts':_0x4c3b9f(0x67d),'mail_queues':'mailQueue','mail_interactions':'mailInteraction','mail_messages':_0x4c3b9f(0x213),'report_mail_queue':'mailQueueReport','report_mail_transfer':_0x4c3b9f(0x1000),'openchannel_accounts':_0x4c3b9f(0xbd7),'openchannel_queues':_0x4c3b9f(0x2755),'openchannel_interactions':_0x4c3b9f(0x119),'openchannel_messages':_0x4c3b9f(0x105e),'report_openchannel_queue':'openchannelQueueReport','report_openchannel_transfer':'openchannelTransferReport','sms_accounts':_0x4c3b9f(0x2209),'sms_queues':_0x4c3b9f(0xf80),'sms_interactions':'smsInteraction','sms_messages':_0x4c3b9f(0xb24),'report_sms_queue':_0x4c3b9f(0x64a),'report_sms_transfer':'smsTransferReport','fax_accounts':_0x4c3b9f(0x178),'fax_queues':_0x4c3b9f(0x999),'fax_interactions':_0x4c3b9f(0xe5b),'fax_messages':'faxMessage','report_fax_transfer':_0x4c3b9f(0x377),'report_fax_queue':_0x4c3b9f(0x1c30),'report_call':_0x4c3b9f(0x629),'report_agent':_0x4c3b9f(0x1cb3),'report_square':'squareReport','report_member':_0x4c3b9f(0x2721),'report_queue':'voiceQueueReport','report_square_details':_0x4c3b9f(0x27c3),'report_dial':_0x4c3b9f(0x160),'report_jscripty_sessions':_0x4c3b9f(0x468),'report_jscripty_questions':_0x4c3b9f(0x2526),'report_jscripty_answers':_0x4c3b9f(0x1641),'users':_0x4c3b9f(0xe7b),'voice_recordings':_0x4c3b9f(0x1688),'cdr':_0x4c3b9f(0x138f),'whatsapp_accounts':_0x4c3b9f(0x815),'whatsapp_queues':'whatsappQueue','whatsapp_interactions':'whatsappInteraction','whatsapp_messages':_0x4c3b9f(0x812),'report_whatsapp_queue':_0x4c3b9f(0x448),'report_whatsapp_transfer':'whatsappTransferReport'};return{'getMap':function(){const _0x10bf6c=_0x4c3b9f,_0x331903=[];return _0x3f65c0()[_0x10bf6c(0x1a04)](_0x2d6268,function(_0x1014e1,_0x9fe4ad){const _0x11a36c=_0x10bf6c;_0x331903[_0x11a36c(0x1f47)]({'name':_0x9fe4ad,'api':_0x1014e1});}),_0x331903;},'getColumns':function(_0x185b75){const _0x358272=_0x4c3b9f,_0x1e37a0=_0x2d6268[_0x185b75];return _0x12c16a[_0x1e37a0][_0x358272(0x1ea2)]()['$promise'];}};}const _0x8a0bc9=_0xc6a19;;_0x270623[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0xd08),'$mdDialog',_0x313a4d(0x1fe4),_0x313a4d(0x1c9a),_0x313a4d(0xed7),_0x313a4d(0x1aef),_0x313a4d(0x210e),'columns',_0x313a4d(0xc07),'api','crudPermissions'];function _0x270623(_0x434c34,_0x158c4f,_0x355df0,_0x2298e7,_0x99e986,_0x456cfc,_0x125456,_0x5976e7,_0x23f535,_0x1f857e,_0x10d5f4,_0x14d12e){const _0x4ff147=_0x313a4d,_0x25de23=this;_0x25de23[_0x4ff147(0xcef)]=[],_0x25de23[_0x4ff147(0x1189)]=_0x4ff147(0xb42),_0x25de23[_0x4ff147(0x1c9a)]=_0x99e986,_0x25de23[_0x4ff147(0x1aef)]=angular[_0x4ff147(0x235a)](_0x125456),_0x25de23[_0x4ff147(0xed7)]=_0x456cfc,_0x25de23[_0x4ff147(0x210e)]=_0x5976e7,_0x25de23[_0x4ff147(0x194f)]=_0x23f535,_0x25de23[_0x4ff147(0xc07)]=_0x1f857e,_0x25de23['newReportField']=![],_0x25de23[_0x4ff147(0x2514)]=_0x14d12e;if(!_0x25de23[_0x4ff147(0x1aef)])_0x25de23[_0x4ff147(0x1aef)]={'type':_0x4ff147(0x1dbb),'function':null,'format':null,'groupBy':![],'orderBy':null},_0x25de23['title']=_0x4ff147(0xf7d),_0x25de23[_0x4ff147(0x5fa)]=!![];else{_0x25de23[_0x4ff147(0x1aef)][_0x4ff147(0x1142)]=_0x25de23['reportField'][_0x4ff147(0x1802)]?_0x4ff147(0x1802):_0x25de23[_0x4ff147(0x1aef)][_0x4ff147(0x9e9)]?_0x4ff147(0x16c6):_0x4ff147(0x1dbb);if(_0x25de23['reportField'][_0x4ff147(0x65c)]&&!_0x25de23[_0x4ff147(0x1aef)][_0x4ff147(0x1802)]&&_0x3f65c0()['isNil'](_0x25de23['reportField'][_0x4ff147(0x9e9)])){const _0x27b740=_0x25de23[_0x4ff147(0x1aef)][_0x4ff147(0x65c)][_0x4ff147(0x10c8)]('.');_0x27b740['length']===0x1&&(_0x25de23[_0x4ff147(0x1aef)][_0x4ff147(0x65c)]=_0x25de23[_0x4ff147(0x1c9a)][_0x4ff147(0x768)]+'.'+_0x25de23['reportField'][_0x4ff147(0x65c)]);}}_0x25de23[_0x4ff147(0x1b47)]=_0x5aee21,_0x25de23['saveReportField']=_0x45583b,_0x25de23[_0x4ff147(0x121f)]=_0x49f22d,_0x25de23[_0x4ff147(0x13f3)]=_0x441fe9;function _0x5aee21(){const _0x30cf40=_0x4ff147;_0x25de23[_0x30cf40(0xcef)]=[],_0x25de23[_0x30cf40(0x1aef)][_0x30cf40(0x1802)]=_0x25de23[_0x30cf40(0x1aef)][_0x30cf40(0x1142)]==='custom',_0x25de23[_0x30cf40(0x1aef)][_0x30cf40(0x9e9)]=_0x25de23[_0x30cf40(0x1aef)][_0x30cf40(0x1142)]===_0x30cf40(0x16c6)?_0x25de23['reportField'][_0x30cf40(0x9e9)]:null,_0x25de23['reportField']['CustomReportId']=_0x25de23[_0x30cf40(0x1c9a)]['id'],_0x10d5f4[_0x30cf40(0x1f56)][_0x30cf40(0x1e3)](_0x25de23['reportField'])['$promise'][_0x30cf40(0x146b)](function(_0x116c2c){const _0x40c2cf=_0x30cf40;_0x25de23[_0x40c2cf(0xed7)]['push'](_0x116c2c),_0x2298e7[_0x40c2cf(0x1c75)]({'title':_0x40c2cf(0x846),'msg':_0x25de23[_0x40c2cf(0x1aef)][_0x40c2cf(0x65c)]?_0x25de23[_0x40c2cf(0x1aef)][_0x40c2cf(0x65c)]+_0x40c2cf(0x1386):_0x25de23[_0x40c2cf(0x1aef)]['type']+_0x40c2cf(0x1386)}),_0x441fe9();})[_0x30cf40(0x129e)](function(_0x130fb7){const _0x52279f=_0x30cf40;_0x25de23['errors']=_0x130fb7['data']['errors']||[{'message':_0x130fb7[_0x52279f(0xd5f)](),'type':_0x52279f(0x1894)}];});}function _0x45583b(){const _0x1f6144=_0x4ff147;_0x25de23[_0x1f6144(0xcef)]=[],_0x25de23[_0x1f6144(0x1aef)][_0x1f6144(0x1802)]=_0x25de23[_0x1f6144(0x1aef)]['type']===_0x1f6144(0x1802),_0x25de23[_0x1f6144(0x1aef)][_0x1f6144(0x9e9)]=_0x25de23['reportField'][_0x1f6144(0x1142)]===_0x1f6144(0x16c6)?_0x25de23[_0x1f6144(0x1aef)]['MetricId']:null,_0x10d5f4[_0x1f6144(0x1f56)]['update']({'id':_0x25de23[_0x1f6144(0x1aef)]['id']},_0x25de23[_0x1f6144(0x1aef)])[_0x1f6144(0x2945)][_0x1f6144(0x146b)](function(_0x469f02){const _0x3accc3=_0x1f6144,_0x48f8fc=_0x3f65c0()[_0x3accc3(0xc84)](_0x25de23[_0x3accc3(0xed7)],{'id':_0x469f02['id']});_0x48f8fc&&_0x3f65c0()[_0x3accc3(0x168d)](_0x48f8fc,_0x469f02),_0x2298e7[_0x3accc3(0x1c75)]({'title':_0x3accc3(0x19ff),'msg':_0x25de23['reportField'][_0x3accc3(0x65c)]?_0x25de23[_0x3accc3(0x1aef)][_0x3accc3(0x65c)]+_0x3accc3(0x24db):''}),_0x441fe9();})[_0x1f6144(0x129e)](function(_0x4acd8c){const _0xb6ab9b=_0x1f6144;_0x25de23['errors']=_0x4acd8c['data']['errors']||[{'message':_0x4acd8c[_0xb6ab9b(0xd5f)](),'type':'api.analyticFieldReport.update'}];});}function _0x49f22d(_0x1bf0a1){const _0x3b0bd6=_0x4ff147;_0x25de23[_0x3b0bd6(0xcef)]=[];const _0x12de84=_0x355df0['confirm']()[_0x3b0bd6(0x1189)]('Are\x20you\x20sure?')['content']('The\x20field\x20will\x20be\x20deleted.')[_0x3b0bd6(0x4bd)]('Delete\x20Report')['ok'](_0x3b0bd6(0x25de))[_0x3b0bd6(0x6c3)](_0x3b0bd6(0xcf0))[_0x3b0bd6(0x1f27)](_0x1bf0a1);_0x355df0[_0x3b0bd6(0x2615)](_0x12de84)['then'](function(){const _0x42c0ac=_0x3b0bd6;_0x10d5f4[_0x42c0ac(0x1f56)][_0x42c0ac(0x1fac)]({'id':_0x25de23[_0x42c0ac(0x1aef)]['id']})['$promise'][_0x42c0ac(0x146b)](function(){const _0x55713c=_0x42c0ac;_0x3f65c0()['remove'](_0x25de23[_0x55713c(0xed7)],{'id':_0x25de23[_0x55713c(0x1aef)]['id']}),_0x2298e7[_0x55713c(0x1c75)]({'title':_0x55713c(0x268c),'msg':_0x25de23[_0x55713c(0x1aef)][_0x55713c(0x65c)]?_0x25de23[_0x55713c(0x1aef)][_0x55713c(0x65c)]+'\x20has\x20been\x20deleted!':''}),_0x441fe9();})['catch'](function(_0x380208){const _0x33482c=_0x42c0ac;_0x25de23[_0x33482c(0xcef)]=_0x380208[_0x33482c(0x524)][_0x33482c(0xcef)]||[{'message':_0x380208['toString'](),'type':_0x33482c(0x9c1)}];});},function(){});}function _0x441fe9(){const _0xdae766=_0x4ff147;_0x355df0[_0xdae766(0x2458)]();}}const _0x26e060=_0x270623;;const _0x22dbb6=_0x4acfac['p']+_0x313a4d(0x26e3);;_0x2c9e1c[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),_0x313a4d(0x910),_0x313a4d(0x1abe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0x1997),_0x313a4d(0xc07),_0x313a4d(0x214b)];function _0x2c9e1c(_0x51cb30,_0x5d9439,_0x35e911,_0x98f54,_0x1edec7,_0x4c4008,_0x223329,_0x58e348,_0x498209,_0x3709e9){const _0x53f222=_0x313a4d,_0x4b8c71=this;_0x4b8c71[_0x53f222(0x1c9a)]={},_0x4b8c71[_0x53f222(0xed7)]={'count':0x0,'rows':[]},_0x4b8c71['functions']=_0x3f65c0()[_0x53f222(0x194)](_0x498209[_0x53f222(0xcda)],_0x53f222(0x175d)),_0x4b8c71['formats']=_0x3f65c0()[_0x53f222(0x194)](_0x498209[_0x53f222(0x25b3)],_0x53f222(0x175d)),_0x4b8c71['groupBy']=_0x3f65c0()[_0x53f222(0x194)](_0x498209['getGroupBy'],_0x53f222(0x175d)),_0x4b8c71[_0x53f222(0x979)]=_0x3f65c0()['keyBy'](_0x498209[_0x53f222(0x15e7)],'value'),_0x4b8c71[_0x53f222(0x274d)]=[],_0x4b8c71['query']={'fields':_0x53f222(0x705),'nolimit':!![]},_0x4b8c71[_0x53f222(0x161d)]={'animation':0x64,'onSort':function(_0x23d0c9){const _0x56e26f=_0x53f222;_0x223329[_0x56e26f(0x1f56)]['addFields']({'id':_0x4b8c71[_0x56e26f(0x1c9a)]['id']},_0x23d0c9[_0x56e26f(0x2885)])[_0x56e26f(0x2945)][_0x56e26f(0x146b)](function(_0x5c7170){const _0x5a25c3=_0x56e26f;_0x4b8c71['reportFields'][_0x5a25c3(0x19c7)]=_0x5c7170;})['catch'](function(_0x297237){const _0x213175=_0x56e26f;_0x4c4008[_0x213175(0x1980)]({'title':_0x297237['status']?_0x213175(0x262a)+_0x297237[_0x213175(0x107b)]+'\x20-\x20'+_0x297237['statusText']:_0x213175(0x229f)});});}},_0x4b8c71[_0x53f222(0x5aa)]=_0x580e1a,_0x4b8c71[_0x53f222(0x19b4)]=_0x2012ae,_0x4b8c71[_0x53f222(0x1c75)]=_0x2b8b50,_0x4b8c71['getReportFields']=_0x1f9e35,_0x4b8c71[_0x53f222(0x1345)]=_0x337742,_0x4b8c71['deleteReportField']=_0x44474e,_0x4b8c71['deleteSelectedReportFields']=_0x293465,_0x4b8c71[_0x53f222(0x1d9c)]=_0x52859c,_0x4b8c71[_0x53f222(0x2e7)]=_0x42a08d;function _0x580e1a(_0x1ef30d,_0x5def97){const _0x3b6482=_0x53f222;_0x4b8c71['report']=_0x1ef30d,_0x4b8c71[_0x3b6482(0x1a56)][_0x3b6482(0x2728)]=_0x4b8c71['report']['id'],_0x4b8c71[_0x3b6482(0x2514)]=typeof _0x5def97!==_0x3b6482(0x2274)?_0x5def97:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x4b8c71[_0x3b6482(0x161d)][_0x3b6482(0x2862)]=!_0x4b8c71[_0x3b6482(0x2514)]['canEdit']?!![]:![],_0x58e348[_0x3b6482(0x9dc)](_0x4b8c71[_0x3b6482(0x1c9a)][_0x3b6482(0x768)])[_0x3b6482(0x146b)](function(_0x3a3228){const _0x213346=_0x3b6482;return _0x4b8c71[_0x213346(0x194f)]=_0x3f65c0()['difference'](_0x3f65c0()['keys'](_0x3a3228),[_0x213346(0x2945),_0x213346(0x26f2)]),_0x223329[_0x213346(0x1f01)][_0x213346(0x16b4)]({'fields':_0x213346(0xc31),'nolimit':!![]})[_0x213346(0x2945)];})[_0x3b6482(0x146b)](function(_0x1db7bf){const _0xf1fb7b=_0x3b6482;_0x4b8c71['metrics']=_0x1db7bf[_0xf1fb7b(0x19c7)];})[_0x3b6482(0x129e)](function(_0x151d4c){const _0x4bd87a=_0x3b6482;_0x4c4008['error']({'title':_0x151d4c[_0x4bd87a(0x107b)]?_0x4bd87a(0x262a)+_0x151d4c[_0x4bd87a(0x107b)]+_0x4bd87a(0x1315)+_0x151d4c[_0x4bd87a(0x167f)]:_0x4bd87a(0x11ee),'msg':_0x151d4c[_0x4bd87a(0x524)]?JSON['stringify'](_0x151d4c[_0x4bd87a(0x524)]):_0x151d4c[_0x4bd87a(0xd5f)]()});}),_0x4b8c71[_0x3b6482(0x2104)]();}function _0x2012ae(_0x5a04bb,_0x946d2b){const _0x5f1b2a=_0x53f222,_0x255ecc=_0x98f54[_0x5f1b2a(0x1e8a)]()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20field?')[_0x5f1b2a(0x1cbe)](_0x5f1b2a(0x16d3)+_0x5a04bb[_0x5f1b2a(0x65c)]+''+_0x5f1b2a(0xe01))[_0x5f1b2a(0x4bd)](_0x5f1b2a(0x2487))[_0x5f1b2a(0x1f27)](_0x946d2b)['ok']('OK')[_0x5f1b2a(0x6c3)](_0x5f1b2a(0x39a));_0x98f54['show'](_0x255ecc)[_0x5f1b2a(0x146b)](function(){_0x44474e(_0x5a04bb);},function(){const _0x3a1a4c=_0x5f1b2a;console['log'](_0x3a1a4c(0x39a));});}let _0x52f4d3=!![];_0x5d9439[_0x53f222(0x21e8)]('vm_rf.query.filter',function(){_0x52f4d3?_0x35e911(function(){_0x52f4d3=![];}):_0x4b8c71['getReportFields']();});function _0x2b8b50(_0x2deebb){_0x4b8c71['reportFields']=_0x2deebb||{'count':0x0,'rows':[]};}function _0x1f9e35(){const _0x5ab481=_0x53f222;_0x4b8c71[_0x5ab481(0xb9c)]=_0x223329[_0x5ab481(0x1f56)]['get'](_0x4b8c71['query'],_0x2b8b50)[_0x5ab481(0x2945)];}function _0x337742(_0x3328f9,_0x11c4de){const _0x3b8a0e=_0x53f222;_0x98f54['show']({'controller':_0x3b8a0e(0x1657),'controllerAs':'vm','templateUrl':_0x22dbb6,'parent':angular[_0x3b8a0e(0x1853)](_0x1edec7[_0x3b8a0e(0x2586)]),'targetEvent':_0x3328f9,'clickOutsideToClose':!![],'locals':{'report':_0x4b8c71['report'],'reportField':_0x11c4de,'reportFields':_0x4b8c71[_0x3b8a0e(0xed7)]['rows'],'metrics':_0x4b8c71['metrics'],'columns':_0x4b8c71[_0x3b8a0e(0x194f)],'setting':null,'crudPermissions':_0x4b8c71['crudPermissions']}});}function _0x44474e(_0x1a7922){const _0x59e455=_0x53f222;_0x223329[_0x59e455(0x1f56)][_0x59e455(0x1fac)]({'id':_0x1a7922['id']})[_0x59e455(0x2945)]['then'](function(){const _0x3d5361=_0x59e455;_0x4b8c71[_0x3d5361(0x274d)]=[],_0x3f65c0()['remove'](_0x4b8c71[_0x3d5361(0xed7)][_0x3d5361(0x19c7)],{'id':_0x1a7922['id']}),_0x4b8c71[_0x3d5361(0xed7)][_0x3d5361(0x51c)]-=0x1,!_0x4b8c71['reportFields']['rows'][_0x3d5361(0x402)]&&_0x4b8c71[_0x3d5361(0x2104)](),_0x4c4008[_0x3d5361(0x1c75)]({'title':_0x3d5361(0x24ce),'msg':_0x1a7922[_0x3d5361(0x65c)]?_0x1a7922['field']+_0x3d5361(0x23e3):''});})['catch'](function(_0x1b59bf){const _0x59aafe=_0x59e455;_0x4c4008[_0x59aafe(0x1980)]({'title':_0x1b59bf['status']?_0x59aafe(0x262a)+_0x1b59bf[_0x59aafe(0x107b)]+_0x59aafe(0x1315)+_0x1b59bf[_0x59aafe(0x167f)]:_0x59aafe(0x10eb),'msg':_0x1b59bf[_0x59aafe(0x524)]?JSON['stringify'](_0x1b59bf[_0x59aafe(0x524)]):_0x1b59bf[_0x59aafe(0xd5f)]()});});}function _0x293465(_0x2328de){const _0x270df9=_0x53f222,_0x3cc7eb=_0x98f54[_0x270df9(0x1e8a)]()[_0x270df9(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20fields?')[_0x270df9(0x1cbe)](_0x270df9(0x16d3)+_0x4b8c71[_0x270df9(0x274d)]['length']+_0x270df9(0x2452)+'\x20will\x20be\x20deleted.')[_0x270df9(0x4bd)](_0x270df9(0x176a))[_0x270df9(0x1f27)](_0x2328de)['ok']('OK')[_0x270df9(0x6c3)]('CANCEL');_0x98f54[_0x270df9(0x2615)](_0x3cc7eb)['then'](function(){const _0x336ed5=_0x270df9;_0x4b8c71[_0x336ed5(0x274d)]['forEach'](function(_0x2c4e2f){_0x44474e(_0x2c4e2f);}),_0x4b8c71[_0x336ed5(0x274d)]=[];});}function _0x52859c(_0x1a0a36){const _0x5c343d=_0x53f222,_0x5614c2=_0x3f65c0()[_0x5c343d(0xc84)](_0x4b8c71[_0x5c343d(0x210e)],{'id':_0x1a0a36});return _0x5614c2?_0x5614c2['name']:_0x3709e9['instant'](_0x5c343d(0x1426));}function _0x42a08d(_0x2c0937){const _0x4941b1=_0x53f222,_0x1c2638=_0x3f65c0()[_0x4941b1(0xc84)](_0x4b8c71[_0x4941b1(0x210e)],{'id':_0x2c0937});return _0x1c2638?_0x1c2638[_0x4941b1(0x16c6)]:_0x3709e9['instant'](_0x4941b1(0x1426));}}const _0x4b6735=_0x2c9e1c;;function _0x27036c(){const _0x17323b=_0x313a4d,_0x304021=[{'value':'SUM','option':function(_0x5b321a){const _0x428518=a0_0x3bb9;return _0x428518(0x24f9)+_0x5b321a+')';}},{'value':_0x17323b(0x1aac),'option':function(_0x4e0e1f){const _0x345c8e=_0x17323b;return _0x345c8e(0xa64)+_0x4e0e1f+')';}},{'value':_0x17323b(0x2431),'option':function(_0x4d9ce5){const _0x4630d0=_0x17323b;return _0x4630d0(0x2596)+_0x4d9ce5+')';}},{'value':'MAX','option':function(_0x2f0124){const _0xd84bc2=_0x17323b;return _0xd84bc2(0xb6e)+_0x2f0124+')';}},{'value':_0x17323b(0x159b),'option':function(_0x1284eb){const _0x58ec85=_0x17323b;return _0x58ec85(0xd10)+_0x1284eb+')';}},{'value':_0x17323b(0x195f),'option':function(_0xf9f407){const _0x17f6e2=_0x17323b;return _0x17f6e2(0x1454)+_0xf9f407+')';}},{'value':'GROUP_CONCAT','option':function(_0x50a757){const _0x17cecf=_0x17323b;return _0x17cecf(0x170a)+_0x50a757+')';}},{'value':'GROUP_CONCAT\x20ASC','option':function(_0x5d32a8){const _0x1024b9=_0x17323b;return'GROUP_CONCAT\x20('+_0x5d32a8+_0x1024b9(0x38a)+_0x5d32a8+_0x1024b9(0x1407);}},{'value':'GROUP_CONCAT\x20DESC','option':function(_0x48bee7){const _0x34a1d4=_0x17323b;return _0x34a1d4(0x170a)+_0x48bee7+'\x20ORDER\x20BY\x20'+_0x48bee7+_0x34a1d4(0x3cf);}}],_0x8cf16=[{'value':_0x17323b(0x2594),'option':function(_0x4b8fb9){const _0x1d6329=_0x17323b;return _0x1d6329(0x1f32)+_0x4b8fb9+')';}},{'value':'DATE','option':function(_0x41215d){const _0x1e95c9=_0x17323b;return _0x1e95c9(0x1565)+_0x41215d+')';}},{'value':_0x17323b(0x2656),'option':function(_0x239774){return'HOUR\x20('+_0x239774+')';}},{'value':_0x17323b(0xfba),'option':function(_0x5d3131){return'ROUND\x20('+_0x5d3131+')';}},{'value':_0x17323b(0x7d4),'option':function(_0xb23244){const _0xff77a6=_0x17323b;return _0xff77a6(0xaf6)+_0xb23244+')';}}],_0x27ca43=[{'value':![],'option':function(){return'No';}},{'value':!![],'option':function(_0x539745){const _0x202aef=_0x17323b;return _0x202aef(0x289d)+_0x539745;}}],_0x1c82c8=[{'value':_0x17323b(0x1734),'option':function(_0x56bd2a){const _0x3fe4fc=_0x17323b;return _0x3fe4fc(0x222)+_0x56bd2a+'\x20ASC';}},{'value':_0x17323b(0x40b),'option':function(_0x342f28){const _0x4e2730=_0x17323b;return _0x4e2730(0x222)+_0x342f28+_0x4e2730(0x1b29);}}],_0x37f05b=['=','!=','<','<=','>','>=',_0x17323b(0x2591),_0x17323b(0x1f8),_0x17323b(0x1bfa),_0x17323b(0x3e8),_0x17323b(0x628),_0x17323b(0xf2d)];return{'getFunctions':_0x304021,'getFormats':_0x8cf16,'getGroupBy':_0x27ca43,'getOrderBy':_0x1c82c8,'getConditions':_0x37f05b};}const _0x411b5a=_0x27036c;;_0x22d168[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),_0x313a4d(0x1fe4),_0x313a4d(0x247f),'report','Auth',_0x313a4d(0x1366)];function _0x22d168(_0x4b8f4b,_0x52ee73,_0x123cfc,_0x54f2ad,_0xa2c0f5,_0x577405){const _0x3ea740=_0x313a4d,_0x5db485=this;_0x5db485[_0x3ea740(0x1c9a)]=_0x54f2ad||{},_0x5db485[_0x3ea740(0x1366)]=_0x577405&&_0x577405['count']==0x1?_0x577405[_0x3ea740(0x19c7)][0x0]:null,_0x5db485[_0x3ea740(0x2514)]=_0xa2c0f5[_0x3ea740(0xe60)](_0x5db485[_0x3ea740(0x1366)]?_0x5db485['userProfileSection']['crudPermissions']:null),_0x5db485[_0x3ea740(0x1b09)]=_0x4b8f4b['params'][_0x3ea740(0x13a3)]||0x0,_0x5db485[_0x3ea740(0x15e6)]=_0x5f5946,_0x5db485[_0x3ea740(0x10cf)]=_0x3dac9e,_0x5db485[_0x3ea740(0x723)]=_0x240d85,_0x5db485[_0x3ea740(0xa72)]=_0xe1e185,_0x5db485['mapArray']=_0xb077b6,_0x5db485[_0x3ea740(0x1bbb)]=_0x2cf841,_0x5db485[_0x3ea740(0x969)]=_0x1ab4de;function _0x5f5946(){const _0x5c16d4=_0x3ea740;_0x4b8f4b['go'](_0x5c16d4(0x25c0),{},{'reload':_0x5c16d4(0x25c0)});}function _0x3dac9e(){const _0x5aba48=_0x3ea740;_0x5db485['report'][_0x5aba48(0x1072)]=angular['toJson'](_0x5db485[_0x5aba48(0x1c9a)][_0x5aba48(0x115f)]),_0x123cfc[_0x5aba48(0x12a5)][_0x5aba48(0x18e1)]({'id':_0x5db485[_0x5aba48(0x1c9a)]['id']},_0x3f65c0()[_0x5aba48(0x2432)](_0x5db485[_0x5aba48(0x1c9a)],_0x5aba48(0x219e)))[_0x5aba48(0x2945)][_0x5aba48(0x146b)](function(){const _0x1c351f=_0x5aba48;_0x52ee73[_0x1c351f(0x1c75)]({'title':_0x1c351f(0x160a),'msg':_0x5db485[_0x1c351f(0x1c9a)][_0x1c351f(0x19eb)]?_0x5db485[_0x1c351f(0x1c9a)][_0x1c351f(0x19eb)]+_0x1c351f(0x6b0):''});})['catch'](function(_0xf1d1f1){const _0x81efcc=_0x5aba48;_0x52ee73['error']({'title':_0xf1d1f1['status']?_0x81efcc(0x262a)+_0xf1d1f1['status']+_0x81efcc(0x1315)+_0xf1d1f1[_0x81efcc(0x167f)]:_0x81efcc(0x12ae),'msg':_0xf1d1f1['data']?JSON[_0x81efcc(0x10bb)](_0xf1d1f1[_0x81efcc(0x524)]):_0xf1d1f1[_0x81efcc(0xd5f)]()});});}function _0x240d85(){const _0x4141a6=_0x3ea740;_0x5db485[_0x4141a6(0x194f)]=[],_0x5db485[_0x4141a6(0x19c7)]=[],_0x5db485[_0x4141a6(0x1980)]=![],_0x123cfc[_0x4141a6(0x1f56)][_0x4141a6(0x16b4)]({'fields':_0x4141a6(0x2545),'nolimit':!![],'CustomReportId':_0x5db485[_0x4141a6(0x1c9a)]['id']})['$promise']['then'](function(_0x148331){const _0xb10ae5=_0x4141a6;return _0x5db485[_0xb10ae5(0x194f)]=_0x148331?_0x148331[_0xb10ae5(0x19c7)]:[],_0x123cfc[_0xb10ae5(0x12a5)][_0xb10ae5(0x17d7)]({'id':_0x5db485[_0xb10ae5(0x1c9a)]['id']})[_0xb10ae5(0x2945)];})[_0x4141a6(0x146b)](function(_0x7d79b){const _0x15665d=_0x4141a6;_0x5db485[_0x15665d(0x19c7)]=_0x7d79b;})[_0x4141a6(0x129e)](function(_0x5183dc){const _0x202d97=_0x4141a6;console[_0x202d97(0x1a74)](_0x5183dc),_0x5db485[_0x202d97(0x1980)]={'title':_0x5183dc[_0x202d97(0x107b)]?_0x202d97(0x262a)+_0x5183dc[_0x202d97(0x107b)]+'\x20-\x20'+_0x5183dc[_0x202d97(0x167f)]:'SYSTEM:analyticFieldReport','msg':_0x5183dc[_0x202d97(0x524)]?_0x5183dc[_0x202d97(0x524)][_0x202d97(0x7fd)]:_0x5183dc[_0x202d97(0xd5f)](),'sql':_0x5183dc[_0x202d97(0x524)]?_0x5183dc['data']['parent']?_0x5183dc[_0x202d97(0x524)][_0x202d97(0x3fd)][_0x202d97(0xbf7)]:'NO\x20QUERY':'NO\x20QUERY'},_0x52ee73[_0x202d97(0x1980)](_0x5db485['error']);});}function _0x1ab4de(_0xd36b83,_0x1ed4ee){const _0x9cef64=_0x3ea740;return _0x2deec6()(_0x1ed4ee,_0x9cef64(0x11c6),!![])['isValid']()&&(_0x1ed4ee=_0x2deec6()(_0x1ed4ee,'')['format']('YYYY-MM-DD\x20HH:mm:ss')),_0x1ed4ee;}function _0xe1e185(){const _0x2a0645=_0x3ea740;return _0x5db485[_0x2a0645(0x98d)]='Loading...',_0x123cfc[_0x2a0645(0x12a5)][_0x2a0645(0x1a56)]({'id':_0x5db485[_0x2a0645(0x1c9a)]['id']})[_0x2a0645(0x2945)]['then'](function(_0x19b746){const _0x861bc3=_0x2a0645;_0x5db485['queryResult']=_0x19b746[_0x861bc3(0xbf7)];})[_0x2a0645(0x129e)](function(_0x51b55e){const _0x3d6854=_0x2a0645;_0x5db485[_0x3d6854(0x98d)]='',console['log'](_0x51b55e),_0x5db485[_0x3d6854(0x1980)]={'title':_0x51b55e[_0x3d6854(0x107b)]?_0x3d6854(0x262a)+_0x51b55e[_0x3d6854(0x107b)]+_0x3d6854(0x1315)+_0x51b55e[_0x3d6854(0x167f)]:'SYSTEM:analyticFieldReport','msg':_0x51b55e[_0x3d6854(0x524)]?_0x51b55e[_0x3d6854(0x524)][_0x3d6854(0x7fd)]:_0x51b55e['toString'](),'sql':_0x51b55e[_0x3d6854(0x524)]?_0x51b55e['data']['parent']?_0x51b55e[_0x3d6854(0x524)][_0x3d6854(0x3fd)]['sql']:_0x3d6854(0x278a):_0x3d6854(0x278a)},_0x52ee73[_0x3d6854(0x1980)](_0x5db485['error']);});}function _0xb077b6(_0x6593cd,_0x4c4985){const _0x2e74d2=_0x3ea740;if(_0x2cf841(_0x6593cd))return _0x3f65c0()[_0x2e74d2(0x205)](_0x6593cd,_0x4c4985)[_0x2e74d2(0xb47)](',');return'';}function _0x2cf841(_0x56aaba){const _0x52de84=_0x3ea740;return _0x3f65c0()[_0x52de84(0x1bbb)](_0x56aaba);}}const _0x3acde8=_0x22d168;;_0x43075a['$inject']=[_0x313a4d(0xd08),_0x313a4d(0x10e8),_0x313a4d(0x1fe4),_0x313a4d(0x1c9a),'api','apiName'];function _0x43075a(_0x112192,_0x31c814,_0x556b1d,_0x2c4ed4,_0x4d126f,_0x5160c9){const _0x1d3e6a=_0x313a4d,_0x5b54f0=this;_0x5b54f0[_0x1d3e6a(0xcef)]=[],_0x5b54f0['report']=angular['copy'](_0x2c4ed4),_0x5b54f0[_0x1d3e6a(0x969)]=_0x2d3cac,_0x5b54f0[_0x1d3e6a(0x13f3)]=_0x10ddfc,_0x348d5c();function _0x348d5c(){const _0x2483cf=_0x1d3e6a;_0x5b54f0[_0x2483cf(0x194f)]=[],_0x5b54f0[_0x2483cf(0x19c7)]=[],_0x5b54f0[_0x2483cf(0x1980)]=![];const _0x4eb27d={'fields':_0x2483cf(0x2545),'nolimit':!![]};_0x4eb27d[_0x5160c9===_0x2483cf(0x12a5)?_0x2483cf(0x2728):_0x2483cf(0x1148)]=_0x5b54f0['report']['id'],_0x5b54f0['promise']=_0x4d126f[_0x2483cf(0x1f56)][_0x2483cf(0x16b4)](_0x4eb27d)['$promise']['then'](function(_0x54b40f){const _0x3345a5=_0x2483cf;return _0x5b54f0[_0x3345a5(0x194f)]=_0x54b40f?_0x54b40f[_0x3345a5(0x19c7)]:[],_0x4d126f[_0x5160c9][_0x3345a5(0x17d7)]({'id':_0x5b54f0[_0x3345a5(0x1c9a)]['id']})[_0x3345a5(0x2945)];})[_0x2483cf(0x146b)](function(_0x405ff1){const _0x5f195b=_0x2483cf;_0x5b54f0[_0x5f195b(0x19c7)]=_0x405ff1;})[_0x2483cf(0x129e)](function(_0x2423d7){const _0x29b723=_0x2483cf;console[_0x29b723(0x1a74)](_0x2423d7),_0x5b54f0['error']={'title':_0x2423d7[_0x29b723(0x107b)]?_0x29b723(0x262a)+_0x2423d7[_0x29b723(0x107b)]+_0x29b723(0x1315)+_0x2423d7[_0x29b723(0x167f)]:_0x29b723(0x1154),'msg':_0x2423d7[_0x29b723(0x524)]?_0x2423d7['data'][_0x29b723(0x7fd)]:_0x2423d7['toString'](),'sql':_0x2423d7[_0x29b723(0x524)]?_0x2423d7[_0x29b723(0x524)][_0x29b723(0x3fd)]?_0x2423d7[_0x29b723(0x524)][_0x29b723(0x3fd)][_0x29b723(0xbf7)]:_0x29b723(0x278a):'NO\x20QUERY'},_0x556b1d[_0x29b723(0x1980)](_0x5b54f0[_0x29b723(0x1980)]);});}function _0x2d3cac(_0xcd64fb,_0x26f38b){const _0x776ae0=_0x1d3e6a;return _0x2deec6()(_0x26f38b,_0x776ae0(0x11c6),!![])[_0x776ae0(0x146f)]()&&(_0x26f38b=_0x2deec6()(_0x26f38b,'')[_0x776ae0(0x22b0)]('YYYY-MM-DD\x20HH:mm:ss')),_0x26f38b;}function _0x10ddfc(){const _0x2a5528=_0x1d3e6a;_0x31c814[_0x2a5528(0x2458)]();}}const _0x32ed71=_0x43075a;;const _0x33b9cb=_0x4acfac['p']+_0x313a4d(0x1004);;const _0x546b3b=_0x4acfac['p']+_0x313a4d(0x1b13);;const _0x200042=_0x4acfac['p']+_0x313a4d(0xc76);;const _0x4bfa8c=_0x4acfac['p']+_0x313a4d(0xda6);;_0x3be4b8['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1abe),_0x313a4d(0x4d8),_0x313a4d(0x10e8),_0x313a4d(0x1862),_0x313a4d(0x1aa9),_0x313a4d(0x214b),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x728),_0x313a4d(0x1fe4),_0x313a4d(0xa87)];function _0x3be4b8(_0x2a4b43,_0x43794a,_0xb49c5f,_0x369cb4,_0x20cc29,_0x4e6eaf,_0x41277d,_0x19fb25,_0x11d16f,_0x1080a9,_0x8c313d,_0x4efc2f,_0x565d39){const _0x314180=_0x313a4d,_0x5d1a3c=this;_0x5d1a3c[_0x314180(0x2321)]=_0x565d39[_0x314180(0xb12)](),_0x5d1a3c[_0x314180(0x2284)]={'count':0x0,'rows':[]},_0x5d1a3c['userProfile']=_0x19fb25,_0x5d1a3c[_0x314180(0x1366)]=_0x11d16f&&_0x11d16f['count']==0x1?_0x11d16f[_0x314180(0x19c7)][0x0]:null,_0x5d1a3c[_0x314180(0x2514)]=_0x565d39[_0x314180(0xe60)](_0x5d1a3c[_0x314180(0x1366)]?_0x5d1a3c['userProfileSection'][_0x314180(0x2514)]:null),_0x5d1a3c[_0x314180(0x249f)]=[],_0x5d1a3c[_0x314180(0x1a56)]={'fields':_0x314180(0x25ce),'limit':0xa,'page':0x1,'sort':'-updatedAt'},_0x5d1a3c['apiName']=null,_0x5d1a3c[_0x314180(0x25e4)]='',_0x5d1a3c['customTree']=!![],_0x5d1a3c[_0x314180(0x23f9)]=_0x213e01,_0x5d1a3c[_0x314180(0x48c)]=_0x447b0b,_0x5d1a3c[_0x314180(0x1be0)]=_0x502e1e,_0x5d1a3c[_0x314180(0x28e5)]=_0x34c070,_0x5d1a3c['downloadfile']=_0x1b332d,_0x5d1a3c[_0x314180(0xf0a)]=_0x12eec3,_0x5d1a3c[_0x314180(0x1c75)]=_0x47f026,_0x5d1a3c[_0x314180(0x12f7)]=_0x4f31fc,_0x5d1a3c['createOrEditReport']=_0x117324,_0x5d1a3c[_0x314180(0x39b)]=_0x23e102,_0x5d1a3c[_0x314180(0xa90)]=_0x31da1b,_0x5d1a3c[_0x314180(0xbfc)]=_0x2b354e,_0x5d1a3c[_0x314180(0x10e2)]=_0x5a92e5,_0x5d1a3c['deselectReports']=_0x6a7824,_0x5d1a3c[_0x314180(0xc39)]=_0x1d0f6,_0x5d1a3c[_0x314180(0xc26)]=_0x8c313d['rows'][0x0]?angular[_0x314180(0xb08)](_0x8c313d['rows'][0x0][_0x314180(0xf47)]):[],_0x5d1a3c[_0x314180(0x282d)]=_0x8c313d[_0x314180(0x19c7)][0x1]?angular['fromJson'](_0x8c313d[_0x314180(0x19c7)][0x1]['tree']):[],_0x5d1a3c[_0x314180(0xfe2)]=_0x3e837c(![]),_0x5d1a3c[_0x314180(0x178f)]=_0x3e837c(!![]),_0x5d1a3c[_0x314180(0x2861)]=_0x2766e6(![]),_0x5d1a3c['treeCustomEvents']=_0x2766e6(!![]);function _0x213e01(_0x27bcd0){const _0xc879a=_0x314180;_0x20cc29['go'](_0xc879a(0xfb1),{'id':_0x27bcd0['id'],'crudPermissions':_0x5d1a3c['crudPermissions']});}function _0x447b0b(_0xd8e046,_0x36ccca){const _0xdce9aa=_0x314180;_0x369cb4[_0xdce9aa(0x2615)]({'controller':_0xdce9aa(0x18e8),'controllerAs':'vm','templateUrl':_0x33b9cb,'parent':angular[_0xdce9aa(0x1853)](_0xb49c5f[_0xdce9aa(0x2586)]),'targetEvent':_0x36ccca,'clickOutsideToClose':!![],'locals':{'report':_0xd8e046,'apiName':_0x5d1a3c[_0xdce9aa(0x320)],'treeCustomData':_0x5d1a3c[_0xdce9aa(0x25f7)]['jstree'](!![])[_0xdce9aa(0x14cc)]('#')}})['finally'](function(){});}function _0x502e1e(_0x1fd52f,_0x9adb66){const _0x30b8af=_0x314180;_0x369cb4[_0x30b8af(0x2615)]({'controller':_0x30b8af(0x27ea),'controllerAs':'vm','templateUrl':_0x546b3b,'parent':angular[_0x30b8af(0x1853)](_0xb49c5f[_0x30b8af(0x2586)]),'targetEvent':_0x9adb66,'clickOutsideToClose':!![],'locals':{'report':_0x1fd52f,'apiName':_0x5d1a3c['apiName']}});}function _0x34c070(_0x53cb48,_0x14aeab){const _0x321105=_0x314180;_0x369cb4[_0x321105(0x2615)]({'controller':_0x321105(0x2b3),'controllerAs':'vm','templateUrl':_0x200042,'parent':angular[_0x321105(0x1853)](_0xb49c5f[_0x321105(0x2586)]),'targetEvent':_0x14aeab,'clickOutsideToClose':!![],'locals':{'report':_0x53cb48,'apiName':_0x5d1a3c['apiName'],'currentPath':_0x5d1a3c['currentPath']}});}function _0x1b332d(_0x46082e){const _0x137e8a=_0x314180;let _0x3b4a1d;_0x1080a9['analyticMetric'][_0x137e8a(0x16b4)]({'fields':_0x137e8a(0xc31),'nolimit':!![]})['$promise'][_0x137e8a(0x146b)](function(_0x2f9c51){const _0x3b7687=_0x137e8a;_0x3b4a1d=_0x3f65c0()[_0x3b7687(0x194)](_0x2f9c51[_0x3b7687(0x19c7)],'id');const _0x47d99d={'fields':_0x3b7687(0x1cfd),'nolimit':!![]};return _0x47d99d[_0x5d1a3c['apiName']===_0x3b7687(0x12a5)?_0x3b7687(0x2728):'DefaultReportId']=_0x46082e['id'],_0x1080a9['analyticFieldReport'][_0x3b7687(0x16b4)](_0x47d99d)[_0x3b7687(0x2945)];})[_0x137e8a(0x146b)](function(_0xe515f){const _0x591211=_0x137e8a;for(let _0x2c2c56=0x0;_0x2c2c56<_0xe515f[_0x591211(0x19c7)]['length'];_0x2c2c56+=0x1){_0xe515f['rows'][_0x2c2c56][_0x591211(0x9e9)]&&(_0xe515f[_0x591211(0x19c7)][_0x2c2c56][_0x591211(0x65c)]=_0x3b4a1d[_0xe515f['rows'][_0x2c2c56][_0x591211(0x9e9)]][_0x591211(0x16c6)],_0xe515f[_0x591211(0x19c7)][_0x2c2c56][_0x591211(0x1802)]=!![]),delete _0xe515f[_0x591211(0x19c7)][_0x2c2c56][_0x591211(0x9e9)];}const _0x4131fe=_0x4e6eaf[_0x591211(0xef3)][_0x591211(0x24ec)]('a');_0x4131fe['href']=_0x591211(0x1d4e)+_0x4e6eaf['encodeURIComponent'](angular[_0x591211(0x217d)]({'name':_0x46082e[_0x591211(0x19eb)],'description':_0x46082e[_0x591211(0xb17)],'table':_0x46082e[_0x591211(0x768)],'conditions':_0x46082e['conditions'],'joins':_0x46082e[_0x591211(0x219e)],'fields':_0xe515f[_0x591211(0x19c7)]})),_0x4131fe[_0x591211(0x15b1)]=_0x46082e['name']+_0x591211(0xd61),_0x4131fe[_0x591211(0xe1d)]=_0x591211(0x20cb),_0x4131fe['click'](),_0x4efc2f[_0x591211(0x1c75)]({'title':'Report\x20downloaded!','msg':_0x46082e[_0x591211(0x19eb)]?_0x46082e['name']+_0x591211(0x27b9):''});})[_0x137e8a(0x129e)](function(_0x20521d){const _0x4de630=_0x137e8a;_0x4efc2f[_0x4de630(0x1980)]({'title':_0x20521d[_0x4de630(0x107b)]?_0x4de630(0x262a)+_0x20521d[_0x4de630(0x107b)]+_0x4de630(0x1315)+_0x20521d['statusText']:_0x4de630(0x2811),'msg':_0x20521d[_0x4de630(0x524)]?JSON['stringify'](_0x20521d[_0x4de630(0x524)]):_0x20521d[_0x4de630(0xd5f)]()});});}function _0x12eec3(_0x201274,_0x45a8d6){const _0x21dd3f=_0x314180,_0x56123e=_0x369cb4[_0x21dd3f(0x1e8a)]()[_0x21dd3f(0x1189)](_0x21dd3f(0x26f))[_0x21dd3f(0x1cbe)](_0x21dd3f(0x16d3)+_0x201274[_0x21dd3f(0x19eb)]+_0x21dd3f(0x252f)+_0x21dd3f(0xe01))[_0x21dd3f(0x4bd)](_0x21dd3f(0x1707))[_0x21dd3f(0x1f27)](_0x45a8d6)['ok']('OK')[_0x21dd3f(0x6c3)](_0x21dd3f(0x39a));_0x369cb4['show'](_0x56123e)['then'](function(){_0x31da1b(_0x201274);},function(){const _0x16b943=_0x21dd3f;console[_0x16b943(0x1a74)](_0x16b943(0x39a));});}let _0x3ef86f=!![],_0x285930=0x1;_0x2a4b43['$watch'](_0x314180(0x2669),function(_0x4bb748,_0x462666){const _0x2e62c9=_0x314180;_0x3ef86f?_0x43794a(function(){_0x3ef86f=![];}):(!_0x462666&&(_0x285930=_0x5d1a3c['query']['page']),_0x4bb748!==_0x462666&&(_0x5d1a3c['query'][_0x2e62c9(0x844)]=0x1),!_0x4bb748&&(_0x5d1a3c[_0x2e62c9(0x1a56)]['page']=_0x285930),_0x5d1a3c[_0x2e62c9(0x12f7)]());}),_0x2a4b43[_0x314180(0x21e8)](_0x314180(0x116a),function(_0x553a5a){const _0x282795=_0x314180;_0x5d1a3c[_0x282795(0x1d1a)]&&_0x5d1a3c['treeDefaultInstance'][_0x282795(0x7e1)](!![])['search'](_0x553a5a),_0x5d1a3c[_0x282795(0x25f7)]&&_0x5d1a3c[_0x282795(0x25f7)][_0x282795(0x7e1)](!![])[_0x282795(0x21da)](_0x553a5a);});function _0x47f026(_0x4831e6){const _0x11fe94=_0x314180;_0x5d1a3c[_0x11fe94(0x2284)]=_0x4831e6||{'count':0x0,'rows':[]};}function _0x4f31fc(){const _0x3ed8cc=_0x314180;_0x5d1a3c[_0x3ed8cc(0x1a56)][_0x3ed8cc(0x145d)]=(_0x5d1a3c['query']['page']-0x1)*_0x5d1a3c[_0x3ed8cc(0x1a56)][_0x3ed8cc(0x221e)];if(_0x5d1a3c[_0x3ed8cc(0x320)]){if(_0x5d1a3c[_0x3ed8cc(0x2321)][_0x3ed8cc(0xfb0)]==='admin'||_0x5d1a3c[_0x3ed8cc(0x1366)]['autoAssociation'])_0x5d1a3c[_0x3ed8cc(0xb9c)]=_0x1080a9[_0x5d1a3c['apiName']][_0x3ed8cc(0x16b4)](_0x5d1a3c[_0x3ed8cc(0x1a56)],_0x47f026)[_0x3ed8cc(0x2945)];else{let _0x1322de=[];_0x5d1a3c['promise']=_0x1080a9[_0x5d1a3c[_0x3ed8cc(0x320)]][_0x3ed8cc(0x16b4)](_0x5d1a3c[_0x3ed8cc(0x1a56)])[_0x3ed8cc(0x2945)][_0x3ed8cc(0x146b)](function(_0x4087f0){const _0x263c1b=_0x3ed8cc;return _0x1322de=_0x4087f0&&_0x4087f0[_0x263c1b(0x19c7)]?_0x4087f0[_0x263c1b(0x19c7)]:[],_0x1322de[_0x263c1b(0x402)]>0x0?_0x1080a9[_0x263c1b(0xdcc)][_0x263c1b(0x16b4)]({'sectionId':_0x5d1a3c[_0x263c1b(0x1366)]['id'],'type':_0x5d1a3c['apiName']==='analyticDefaultReport'?_0x263c1b(0x3b0):'CustomReports'})[_0x263c1b(0x2945)]['then'](function(_0x5b65a4){const _0x52cb38=_0x263c1b,_0x12750f=_0x5b65a4&&_0x5b65a4['rows']?_0x5b65a4[_0x52cb38(0x19c7)]:[];if(_0x12750f[_0x52cb38(0x402)]>0x0){const _0x1558ee=[];return _0x1322de[_0x52cb38(0x1df5)](function(_0x6fee49){const _0x4fa7a3=_0x3f65c0()['find'](_0x12750f,['resourceId',_0x6fee49['id']]);if(_0x4fa7a3)_0x1558ee['push'](_0x6fee49);}),_0x47f026({'count':_0x1558ee['length'],'rows':_0x1558ee});}else return _0x47f026(null);}):_0x47f026(null);});}}}function _0x117324(_0x313705,_0x32875a){const _0x3b5638=_0x314180;_0x369cb4[_0x3b5638(0x2615)]({'controller':_0x3b5638(0xfed),'controllerAs':'vm','templateUrl':_0x4bfa8c,'parent':angular['element'](_0xb49c5f[_0x3b5638(0x2586)]),'targetEvent':_0x313705,'clickOutsideToClose':!![],'locals':{'report':_0x32875a,'reports':_0x5d1a3c[_0x3b5638(0x2284)][_0x3b5638(0x19c7)],'apiName':_0x5d1a3c[_0x3b5638(0x320)],'currentNode':_0x5d1a3c['currentNode'],'setting':null,'crudPermissions':_0x5d1a3c[_0x3b5638(0x2514)]}});}function _0x23e102(_0x121ef5){const _0x47c029=_0x314180;if(_0x121ef5[_0x47c029(0x252e)][_0x47c029(0x1142)]===_0x47c029(0x8d1)){const _0x20c8c4=new FileReader();_0x20c8c4[_0x47c029(0x20f6)]=function(_0x547abb){const _0x70d472=_0x47c029;console[_0x70d472(0x1a74)](_0x547abb[_0x70d472(0xe1d)][_0x70d472(0x61a)]);try{let _0x33eb1d=atob(_0x547abb[_0x70d472(0xe1d)]['result']['split'](',')[0x1]);_0x33eb1d=angular[_0x70d472(0xb08)](_0x33eb1d),_0x1080a9['analyticCustomReport']['save']({'name':_0x33eb1d['name'],'description':_0x33eb1d[_0x70d472(0xb17)],'table':_0x33eb1d[_0x70d472(0x768)],'conditions':_0x33eb1d[_0x70d472(0x1072)],'joins':_0x33eb1d[_0x70d472(0x219e)],'parent':_0x5d1a3c[_0x70d472(0x13cf)]['id']})[_0x70d472(0x2945)][_0x70d472(0x146b)](function(_0x2e6557){const _0x4355e5=_0x70d472;_0x5d1a3c[_0x4355e5(0x2284)][_0x4355e5(0x19c7)]['unshift'](_0x2e6557),_0x4efc2f['success']({'title':_0x4355e5(0x57d),'msg':_0x2e6557['name']?_0x2e6557[_0x4355e5(0x19eb)]+'\x20has\x20been\x20saved!':''});const _0x13229d=_0x3f65c0()[_0x4355e5(0x205)](_0x33eb1d['fields'],function(_0x47c595){const _0x36b273=_0x4355e5;return _0x3f65c0()[_0x36b273(0xd9b)]({},_0x47c595,{'CustomReportId':_0x2e6557['id']});});return _0x1080a9[_0x4355e5(0x1f56)][_0x4355e5(0x1cc4)](_0x13229d)['$promise'];})[_0x70d472(0x146b)](function(){const _0x55054f=_0x70d472;_0x4efc2f[_0x55054f(0x1c75)]({'title':_0x55054f(0x2177),'msg':_0x55054f(0x12f5)});})[_0x70d472(0x129e)](function(_0x496eb9){const _0x59a815=_0x70d472;_0x4efc2f[_0x59a815(0x1980)]({'title':_0x496eb9[_0x59a815(0x107b)]?_0x59a815(0x262a)+_0x496eb9[_0x59a815(0x107b)]+_0x59a815(0x1315)+_0x496eb9[_0x59a815(0x167f)]:'SYSTEM:GETanalyticReport','msg':_0x496eb9[_0x59a815(0x524)]?JSON[_0x59a815(0x10bb)](_0x496eb9[_0x59a815(0x524)]):_0x496eb9['toString']()});});}catch(_0x5dba26){console[_0x70d472(0x1980)](_0x5dba26),_0x4efc2f[_0x70d472(0x1980)]({'title':_0x70d472(0xb04),'msg':_0x5dba26[_0x70d472(0xd5f)]()});}},_0x20c8c4[_0x47c029(0xa0c)](_0x121ef5[_0x47c029(0x252e)]);}else _0x4efc2f[_0x47c029(0x1980)]({'title':'Format\x20Error','msg':_0x47c029(0x1e0d)});}function _0x31da1b(_0x37ef80){const _0x3e8f09=_0x314180;_0x5d1a3c['apiName']&&_0x1080a9[_0x5d1a3c[_0x3e8f09(0x320)]]['delete']({'id':_0x37ef80['id']})['$promise'][_0x3e8f09(0x146b)](function(){const _0x28d9ad=_0x3e8f09;_0x3f65c0()[_0x28d9ad(0x2640)](_0x5d1a3c[_0x28d9ad(0x2284)][_0x28d9ad(0x19c7)],{'id':_0x37ef80['id']}),_0x5d1a3c[_0x28d9ad(0x2284)][_0x28d9ad(0x51c)]-=0x1,!_0x5d1a3c[_0x28d9ad(0x2284)][_0x28d9ad(0x19c7)][_0x28d9ad(0x402)]&&_0x5d1a3c[_0x28d9ad(0x12f7)](),_0x4efc2f[_0x28d9ad(0x1c75)]({'title':_0x28d9ad(0x11e),'msg':_0x37ef80['name']?_0x37ef80[_0x28d9ad(0x19eb)]+'\x20has\x20been\x20deleted!':''});})[_0x3e8f09(0x129e)](function(_0x1658b4){const _0x4dfbee=_0x3e8f09;if(_0x1658b4[_0x4dfbee(0x524)]&&_0x1658b4[_0x4dfbee(0x524)][_0x4dfbee(0xcef)]&&_0x1658b4[_0x4dfbee(0x524)][_0x4dfbee(0xcef)][_0x4dfbee(0x402)]){_0x5d1a3c[_0x4dfbee(0xcef)]=_0x1658b4['data']['errors']||[{'message':_0x1658b4[_0x4dfbee(0xd5f)](),'type':_0x4dfbee(0x1571)}];for(let _0x524096=0x0;_0x524096<_0x1658b4[_0x4dfbee(0x524)][_0x4dfbee(0xcef)][_0x4dfbee(0x402)];_0x524096++){_0x4efc2f[_0x4dfbee(0x1980)]({'title':_0x1658b4[_0x4dfbee(0x524)][_0x4dfbee(0xcef)][_0x524096][_0x4dfbee(0x1142)],'msg':_0x1658b4[_0x4dfbee(0x524)][_0x4dfbee(0xcef)][_0x524096]['message']});}}else _0x4efc2f[_0x4dfbee(0x1980)]({'title':_0x1658b4['status']?_0x4dfbee(0x262a)+_0x1658b4[_0x4dfbee(0x107b)]+'\x20-\x20'+_0x1658b4['statusText']:_0x4dfbee(0x1571),'msg':_0x1658b4[_0x4dfbee(0x524)]?JSON['stringify'](_0x1658b4[_0x4dfbee(0x524)]['message']):_0x1658b4[_0x4dfbee(0x7fd)]||_0x1658b4[_0x4dfbee(0xd5f)]()});});}function _0x2b354e(){const _0x536530=_0x314180,_0x37d900=angular['copy'](_0x5d1a3c['selectedReports']);return _0x5d1a3c[_0x536530(0x249f)]=[],_0x37d900;}function _0x5a92e5(_0x40c8b7){const _0x1e7a06=_0x314180,_0x2db10a=_0x369cb4[_0x1e7a06(0x1e8a)]()[_0x1e7a06(0x1189)](_0x1e7a06(0x1ccf))['htmlContent'](''+_0x5d1a3c[_0x1e7a06(0x249f)]['length']+_0x1e7a06(0x2452)+_0x1e7a06(0xe01))['ariaLabel'](_0x1e7a06(0x1627))[_0x1e7a06(0x1f27)](_0x40c8b7)['ok']('OK')[_0x1e7a06(0x6c3)]('CANCEL');_0x369cb4[_0x1e7a06(0x2615)](_0x2db10a)[_0x1e7a06(0x146b)](function(){const _0x2b5d85=_0x1e7a06;_0x5d1a3c['selectedReports'][_0x2b5d85(0x1df5)](function(_0x52e62c){_0x31da1b(_0x52e62c);}),_0x5d1a3c[_0x2b5d85(0x249f)]=[];});}function _0x6a7824(){const _0x238b70=_0x314180;_0x5d1a3c[_0x238b70(0x249f)]=[];}function _0x1d0f6(){const _0x4c53eb=_0x314180;_0x5d1a3c[_0x4c53eb(0x249f)]=_0x5d1a3c[_0x4c53eb(0x2284)]['rows'];}function _0x1cf028(_0x44f16f,_0xd987d5){const _0x398148=_0x314180;_0x5d1a3c[_0x398148(0x1d1a)][_0x398148(0x7e1)](!![])['deselect_all'](),_0x5d1a3c[_0x398148(0x1d1a)]['jstree'](!![])[_0x398148(0x25fd)](),_0x5d1a3c[_0x398148(0x13cf)]=_0xd987d5[_0x398148(0x8f7)],_0x5d1a3c[_0x398148(0x13cf)][_0x398148(0x1802)]=!![],_0x5d1a3c[_0x398148(0x25e4)]=_0x5d1a3c[_0x398148(0x25f7)][_0x398148(0x7e1)](!![])[_0x398148(0x11ba)](_0x5d1a3c['currentNode'])['join']('/\x20'),_0x5d1a3c[_0x398148(0x320)]=_0x398148(0x12a5),_0x5d1a3c[_0x398148(0x1a56)][_0x398148(0x3fd)]=_0xd987d5['node']['id'],_0x4f31fc();}function _0xb66d85(_0x2a158f,_0x49f1bd){const _0x2c1764=_0x314180;_0x5d1a3c[_0x2c1764(0x25f7)][_0x2c1764(0x7e1)](!![])['deselect_all'](),_0x5d1a3c[_0x2c1764(0x25f7)]['jstree'](!![])['close_all'](),_0x5d1a3c['currentNode']=_0x49f1bd[_0x2c1764(0x8f7)],_0x5d1a3c[_0x2c1764(0x13cf)][_0x2c1764(0x1802)]=![],_0x5d1a3c['currentPath']=_0x5d1a3c[_0x2c1764(0x1d1a)][_0x2c1764(0x7e1)](!![])['get_path'](_0x5d1a3c[_0x2c1764(0x13cf)])[_0x2c1764(0xb47)]('/\x20'),_0x5d1a3c[_0x2c1764(0x320)]=_0x2c1764(0x20fa),_0x5d1a3c[_0x2c1764(0x1a56)][_0x2c1764(0x3fd)]=_0x49f1bd[_0x2c1764(0x8f7)]['id'],_0x4f31fc();}function _0x3f6e42(_0x54c4ed,_0xdc087d){const _0x26f0e4=_0x314180,_0x85e8ba=angular['toJson'](_0x5d1a3c['treeCustomInstance'][_0x26f0e4(0x7e1)](!![])['get_json']('#'));_0x1080a9[_0x26f0e4(0x888)][_0x26f0e4(0x18e1)]({'id':0x2,'tree':_0x85e8ba})[_0x26f0e4(0x2945)][_0x26f0e4(0x146b)](function(){const _0x3389ca=_0x26f0e4;_0x8c313d['rows'][0x1]&&(_0x8c313d[_0x3389ca(0x19c7)][0x1]['tree']=_0x85e8ba),_0x4efc2f[_0x3389ca(0x1c75)]({'title':_0x3389ca(0x1972),'msg':_0xdc087d[_0x3389ca(0x8f7)][_0x3389ca(0xa8d)]?_0xdc087d[_0x3389ca(0x8f7)][_0x3389ca(0xa8d)]+_0x3389ca(0x6b0):_0x3389ca(0x89e)});})['catch'](function(_0x139453){const _0x2ea089=_0x26f0e4;_0x4efc2f['error']({'title':_0x139453['status']?_0x2ea089(0x262a)+_0x139453[_0x2ea089(0x107b)]+_0x2ea089(0x1315)+_0x139453[_0x2ea089(0x167f)]:_0x2ea089(0x256f),'msg':_0x139453[_0x2ea089(0x524)]?JSON['stringify'](_0x139453[_0x2ea089(0x524)]):_0x139453['toString']()});});}function _0x3e837c(_0x2178f3){const _0x46f38b=_0x314180;return{'core':{'multiple':![],'animation':!![],'error':function(_0x485e22){const _0x202811=a0_0x3bb9;console['error'](_0x202811(0x535)+angular[_0x202811(0x217d)](_0x485e22));},'check_callback':!![],'worker':!![]},'version':0x1,'plugins':_0x2178f3?[_0x46f38b(0x2b8),_0x46f38b(0xc1e),_0x46f38b(0x21da),'state','wholerow']:[_0x46f38b(0x21da),'state',_0x46f38b(0x5e6)],'contextmenu':{'items':function(_0x13adff){const _0x155209=_0x46f38b,_0x48d66c={};return _0x5d1a3c[_0x155209(0x2514)][_0x155209(0x15f4)]&&_0x3f65c0()[_0x155209(0x255a)](_0x48d66c,{'create':{'label':_0x41277d[_0x155209(0xde)](_0x155209(0x17d0)),'action':function(){const _0x773637=_0x155209;_0x13adff=_0x5d1a3c[_0x773637(0x25f7)][_0x773637(0x7e1)](!![])[_0x773637(0xeed)](_0x13adff),_0x5d1a3c['treeCustomInstance'][_0x773637(0x7e1)](!![])[_0x773637(0x129)](_0x13adff);}},'rename':{'_disabled':_0x13adff['parent']==='#','label':_0x41277d[_0x155209(0xde)](_0x155209(0x1186)),'action':function(){const _0x3ae087=_0x155209;_0x5d1a3c[_0x3ae087(0x25f7)][_0x3ae087(0x7e1)](!![])['edit'](_0x13adff);}}}),_0x5d1a3c['crudPermissions']['canDelete']&&_0x3f65c0()['assign'](_0x48d66c,{'remove':{'_disabled':_0x13adff['parent']==='#','label':_0x41277d['instant'](_0x155209(0x1937)),'action':function(){const _0x35e404=_0x155209,_0xe9b14e=_0x369cb4[_0x35e404(0x1e8a)]()['title'](_0x35e404(0x1d2e))[_0x35e404(0x1cbe)](_0x35e404(0x16d3)+(_0x13adff[_0x35e404(0xa8d)]?_0x13adff[_0x35e404(0xa8d)]:_0x35e404(0x23b))+_0x35e404(0x252f)+_0x35e404(0x1da))[_0x35e404(0x4bd)](_0x35e404(0x2660))['ok']('OK')[_0x35e404(0x6c3)](_0x35e404(0x39a));_0x369cb4[_0x35e404(0x2615)](_0xe9b14e)[_0x35e404(0x146b)](function(){const _0x412af7=_0x35e404;let _0xfc954a=_0x5d1a3c['treeCustomInstance']['jstree'](!![])[_0x412af7(0x1f06)](_0x13adff);_0xfc954a=_0x5d1a3c[_0x412af7(0x25f7)][_0x412af7(0x7e1)](!![])[_0x412af7(0x1ba0)](_0xfc954a),_0x5d1a3c[_0x412af7(0x25f7)][_0x412af7(0x7e1)](!![])[_0x412af7(0x2220)](_0x13adff),_0xb19db8([_0x13adff['id']][_0x412af7(0x163c)](_0x13adff[_0x412af7(0x2503)]||[])),_0x5d1a3c[_0x412af7(0x25f7)][_0x412af7(0x7e1)](!![])[_0x412af7(0x1648)](_0xfc954a);});}}}),_0x48d66c;}}};}function _0xb19db8(_0x2866f6){const _0x165724=_0x314180;return _0x1080a9[_0x165724(0x12a5)][_0x165724(0x16b4)]({'parent':_0x2866f6[_0x165724(0xb47)](','),'fields':_0x165724(0x7a7)})[_0x165724(0x2945)][_0x165724(0x146b)](function(_0xa80487){const _0x1492aa=_0x165724;_0xa80487&&_0xa80487[_0x1492aa(0x19c7)]&&_0xa80487[_0x1492aa(0x19c7)][_0x1492aa(0x1df5)](function(_0x186599){_0x31da1b(_0x186599);});})['catch'](function(_0x5a9f65){const _0x5946ee=_0x165724;_0x4efc2f[_0x5946ee(0x1980)]({'title':_0x5a9f65[_0x5946ee(0x107b)]?_0x5946ee(0x262a)+_0x5a9f65[_0x5946ee(0x107b)]+'\x20-\x20'+_0x5a9f65[_0x5946ee(0x167f)]:_0x5946ee(0x27e4),'msg':_0x5a9f65[_0x5946ee(0x524)]?JSON[_0x5946ee(0x10bb)](_0x5a9f65['data']):_0x5a9f65[_0x5946ee(0xd5f)]()});});}function _0x2766e6(_0x4c3706){return _0x4c3706?{'create_node':_0x3f6e42,'rename_node':_0x3f6e42,'move_node':_0x3f6e42,'delete_node':_0x3f6e42,'select_node':_0x1cf028}:{'select_node':_0xb66d85};}}const _0x383249=_0x3be4b8;;const _0x4db675=_0x4acfac['p']+_0x313a4d(0x21a3);;_0x4805f4[_0x313a4d(0x11c2)]=['$location',_0x313a4d(0x10e8),_0x313a4d(0x1fe4),_0x313a4d(0x1c9a),_0x313a4d(0x247f),_0x313a4d(0x320),'$document',_0x313a4d(0x25e4)];function _0x4805f4(_0x1c0969,_0xf23a72,_0x180626,_0x21951f,_0x1f8f3e,_0x27bfb0,_0x1598c1,_0x4cb968){const _0x20b1a3=_0x313a4d,_0x2a67d6=this;_0x2a67d6[_0x20b1a3(0xcef)]=[],_0x2a67d6[_0x20b1a3(0x1c9a)]=angular[_0x20b1a3(0x235a)](_0x21951f),_0x2a67d6[_0x20b1a3(0x20a6)]={},_0x2a67d6[_0x20b1a3(0x1b1b)]=_0x5ac0f,_0x2a67d6[_0x20b1a3(0x13f3)]=_0x81934a,_0x2a67d6['refreshDate']=_0x5c0516,_0x5c0516();function _0x5c0516(){const _0x573871=_0x20b1a3;_0x2a67d6['export']={'id':_0x2a67d6[_0x573871(0x1c9a)]['id'],'name':_0x2a67d6['report'][_0x573871(0x19eb)],'startDate':new Date(_0x2deec6()()['startOf'](_0x573871(0x1066))),'endDate':new Date(_0x2deec6()()[_0x573871(0x824)](_0x573871(0x1066))),'output':_0x573871(0x27a2),'fullPath':_0x4cb968?_0x4cb968+'/'+_0x2a67d6['report'][_0x573871(0x19eb)]:_0x2a67d6[_0x573871(0x1c9a)][_0x573871(0x19eb)]};}function _0x5ac0f(_0x17ffc8){const _0x3758cc=_0x20b1a3;_0x2a67d6[_0x3758cc(0xcef)]=[],_0x2a67d6['exportDate']=_0x3f65c0()[_0x3758cc(0x255a)]({},_0x2a67d6[_0x3758cc(0x20a6)],{'startDate':_0x2deec6()(_0x2a67d6[_0x3758cc(0x20a6)][_0x3758cc(0x1dd4)])[_0x3758cc(0x22b0)](_0x3758cc(0x1ce2)),'endDate':_0x2deec6()(_0x2a67d6[_0x3758cc(0x20a6)][_0x3758cc(0x1b1c)])['format']('YYYY-MM-DD\x20HH:mm:ss')}),_0x1f8f3e[_0x27bfb0][_0x3758cc(0x1caa)](_0x2a67d6[_0x3758cc(0x15f5)])['$promise'][_0x3758cc(0x146b)](function(_0x550fa3){const _0x3d8a2c=_0x3758cc;_0x2a67d6[_0x3d8a2c(0x20a6)][_0x3d8a2c(0x3de)]===_0x3d8a2c(0x1c63)?_0xf23a72['show']({'controller':_0x3d8a2c(0x134a),'controllerAs':'vm','templateUrl':_0x4db675,'parent':angular[_0x3d8a2c(0x1853)](_0x1598c1[_0x3d8a2c(0x2586)]),'targetEvent':_0x17ffc8,'skipHide':!![],'locals':{'apiName':_0x27bfb0,'exportDate':_0x2a67d6[_0x3d8a2c(0x15f5)],'results':_0x550fa3},'resolve':{'columns':[_0x3d8a2c(0x362),function(_0x3e630){const _0x331182=_0x3d8a2c,_0x9f119f={'fields':_0x331182(0x2545),'nolimit':!![]};return _0x9f119f[_0x27bfb0===_0x331182(0x12a5)?_0x331182(0x2728):'DefaultReportId']=_0x2a67d6[_0x331182(0x1c9a)]['id'],_0x3e630[_0x331182(0x2922)]('analyticFieldReport@get',_0x9f119f);}]}}):(_0x180626[_0x3d8a2c(0x1c75)]({'title':_0x3d8a2c(0xcd5),'msg':_0x2a67d6[_0x3d8a2c(0x1c9a)][_0x3d8a2c(0x19eb)]?_0x2a67d6[_0x3d8a2c(0x1c9a)][_0x3d8a2c(0x19eb)]+_0x3d8a2c(0xbe2):''}),_0x81934a());})[_0x3758cc(0x129e)](function(_0x33663b){const _0x3590d0=_0x3758cc;_0x180626[_0x3590d0(0x1980)]({'title':_0x33663b['status']?'API:'+_0x33663b['status']+_0x3590d0(0x1315)+_0x33663b['statusText']:'SYSTEM:DESCRIBE','msg':_0x33663b[_0x3590d0(0x524)]?JSON[_0x3590d0(0x10bb)](_0x33663b[_0x3590d0(0x524)][_0x3590d0(0x7fd)]):_0x33663b[_0x3590d0(0xd5f)]()});});}function _0x81934a(){const _0x39b590=_0x20b1a3;_0xf23a72[_0x39b590(0x2458)]();}}const _0x5394af=_0x4805f4;;_0x12f8f2[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'toasty',_0x313a4d(0x247f),_0x313a4d(0x194f),_0x313a4d(0x943),_0x313a4d(0x320),_0x313a4d(0x15f5)];function _0x12f8f2(_0x24d531,_0x39eef9,_0x5dcb5d,_0x393482,_0x5d4a8f,_0xe7c269,_0x7f8e6d){const _0x1a0118=_0x313a4d,_0xf964d4=this;_0xf964d4[_0x1a0118(0xcef)]=[],_0xf964d4['columns']=_0x393482?_0x393482[_0x1a0118(0x19c7)]:[],_0xf964d4[_0x1a0118(0x943)]=_0x5d4a8f||{'rows':[],'count':0x0},_0xf964d4[_0x1a0118(0x1a56)]={'limit':0xa,'page':0x1},_0xf964d4['closeDialog']=_0x4d25fc,_0xf964d4['getResults']=_0x45e95b,_0xf964d4['valueReplacer']=_0x593708;function _0x45e95b(){const _0xe71f21=_0x1a0118;_0x7f8e6d[_0xe71f21(0x145d)]=(_0xf964d4[_0xe71f21(0x1a56)][_0xe71f21(0x844)]-0x1)*_0xf964d4[_0xe71f21(0x1a56)][_0xe71f21(0x221e)],_0x7f8e6d[_0xe71f21(0x221e)]=_0xf964d4[_0xe71f21(0x1a56)][_0xe71f21(0x221e)],_0xf964d4[_0xe71f21(0xb9c)]=_0x5dcb5d[_0xe7c269][_0xe71f21(0x1caa)](_0x7f8e6d,_0x50c96c)[_0xe71f21(0x2945)];}function _0x593708(_0x35d564,_0x53e244){const _0x4f02aa=_0x1a0118;return _0x2deec6()(_0x53e244,_0x4f02aa(0x11c6),!![])[_0x4f02aa(0x146f)]()&&(_0x53e244=_0x2deec6()(_0x53e244,'')[_0x4f02aa(0x22b0)](_0x4f02aa(0x1ce2))),_0x53e244;}function _0x50c96c(_0x1323ab){const _0x3c69e4=_0x1a0118;_0xf964d4[_0x3c69e4(0x943)]=_0x1323ab||{'count':0x0,'rows':[]};}function _0x4d25fc(){const _0x35aaff=_0x1a0118;_0x24d531[_0x35aaff(0x2458)]();}}const _0x68d417=_0x12f8f2;;_0xcce723[_0x313a4d(0x11c2)]=[_0x313a4d(0x921)];function _0xcce723(_0x41cc09){const _0x2ff16f=_0x313a4d;_0x41cc09[_0x2ff16f(0x13d6)]('app.analytics.reports',{'url':_0x2ff16f(0x1310),'views':{'content@app':{'templateUrl':_0x1da429,'controller':'ReportsController\x20as\x20vm'}},'resolve':{'treeReports':['apiResolver',function(_0x3301b5){const _0x11ec32=_0x2ff16f;return _0x3301b5[_0x11ec32(0x2922)]('analyticTreeReport@get',{'fields':_0x11ec32(0x26e8),'limit':0xa,'offset':0x0});}],'userProfile':[_0x2ff16f(0x362),'Auth',function(_0x3416eb,_0x2c2450){const _0x17dcde=_0x2ff16f;return _0x3416eb['resolve'](_0x17dcde(0x119a),{'fields':_0x17dcde(0x227),'id':_0x2c2450[_0x17dcde(0xb12)]()[_0x17dcde(0x209a)]});}],'userProfileSection':[_0x2ff16f(0x362),_0x2ff16f(0xa87),function(_0x3a299f,_0x1433da){const _0x271d67=_0x2ff16f;return _0x3a299f[_0x271d67(0x2922)](_0x271d67(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x1433da['getCurrentUser']()[_0x271d67(0x209a)],'sectionId':0x4b3});}]},'authenticate':!![],'permissionId':0x4b3,'bodyClass':_0x2ff16f(0xf5c)})[_0x2ff16f(0x13d6)](_0x2ff16f(0xfb1),{'url':'/:id?tab','views':{'content@app':{'templateUrl':_0x112a3a,'controller':'ReportController\x20as\x20vm'}},'resolve':{'report':['apiResolver','$stateParams','$q',_0x2ff16f(0x1997),function(_0x593410,_0x42887a,_0x4f92a2,_0x4f9fa2){const _0x84406d=_0x2ff16f;let _0x544b82;return _0x593410[_0x84406d(0x2922)](_0x84406d(0x15e0),{'fields':'createdAt,updatedAt,id,name,description,table,conditions,joins','id':_0x42887a['id']})[_0x84406d(0x146b)](function(_0x50b7d1){const _0x497160=_0x84406d,_0x3f770a=[];_0x544b82=_0x50b7d1;if(_0x544b82['joins'])try{_0x544b82[_0x497160(0x219e)]=JSON[_0x497160(0x975)](_0x544b82[_0x497160(0x219e)]);for(let _0x32674e=0x0;_0x32674e<_0x544b82[_0x497160(0x219e)][_0x497160(0x402)];_0x32674e+=0x1){_0x3f770a[_0x497160(0x1f47)](_0x4f9fa2[_0x497160(0x9dc)](_0x544b82[_0x497160(0x219e)][_0x32674e]['foreignTable']));}}catch(_0x38e7f5){console[_0x497160(0x1a74)](_0x38e7f5);}return _0x4f92a2[_0x497160(0x1be2)](_0x3f770a);})['then'](function(_0x2511ac){const _0x11ccc8=_0x84406d;for(let _0x10547b=0x0;_0x10547b<_0x2511ac[_0x11ccc8(0x402)];_0x10547b+=0x1){_0x544b82[_0x11ccc8(0x219e)][_0x10547b][_0x11ccc8(0x2880)]=_0x3f65c0()[_0x11ccc8(0xa62)](_0x3f65c0()['keys'](_0x2511ac[_0x10547b]),['$promise',_0x11ccc8(0x26f2)]);}return _0x544b82;});}],'userProfileSection':[_0x2ff16f(0x362),_0x2ff16f(0xa87),function(_0x331588,_0x11dc0f){const _0x5d69b2=_0x2ff16f;return _0x331588[_0x5d69b2(0x2922)]('userProfileSection@get',{'fields':_0x5d69b2(0x1e64),'userProfileId':_0x11dc0f[_0x5d69b2(0xb12)]()[_0x5d69b2(0x209a)],'sectionId':0x4b3});}]},'authenticate':!![],'permissionId':0x4b3,'bodyClass':_0x2ff16f(0xf5c)});}angular[_0x313a4d(0x2528)](_0x313a4d(0x25c0),[_0x313a4d(0x2737)])[_0x313a4d(0x989)](_0xcce723)[_0x313a4d(0x28f0)]('CopyReportDialogController',_0x4bf0eb)[_0x313a4d(0x28f0)](_0x313a4d(0xfed),_0x3913e2)[_0x313a4d(0x28f0)](_0x313a4d(0x15ed),_0x57f371)[_0x313a4d(0x1750)]('describeTable',_0x8a0bc9)[_0x313a4d(0x28f0)]('CreateOrEditReportFieldDialogController',_0x26e060)[_0x313a4d(0x28f0)](_0x313a4d(0x1dae),_0x4b6735)[_0x313a4d(0x1750)](_0x313a4d(0xc07),_0x411b5a)[_0x313a4d(0x28f0)](_0x313a4d(0x291b),_0x3acde8)[_0x313a4d(0x28f0)](_0x313a4d(0x27ea),_0x32ed71)['controller'](_0x313a4d(0x17cd),_0x383249)[_0x313a4d(0x28f0)](_0x313a4d(0x2b3),_0x5394af)[_0x313a4d(0x28f0)]('WebReportDialogController',_0x68d417);;_0x15508c['$inject']=[_0x313a4d(0x910),'$state',_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q','$translate',_0x313a4d(0x1fe4),_0x313a4d(0x127a),'extractedReport',_0x313a4d(0x247f),_0x313a4d(0xa87),'license',_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x15508c(_0x269e47,_0x1b1cb3,_0x32633f,_0xaf7d32,_0x175cf5,_0x3bb15a,_0x4b2230,_0x5091a2,_0x454570,_0x5ef7b2,_0xe2d37f,_0x15cdd0,_0x2a50d5,_0x203140){const _0x1c8500=_0x313a4d,_0x4bcbcd=this;_0x4bcbcd[_0x1c8500(0x2321)]=_0xe2d37f[_0x1c8500(0xb12)](),_0x4bcbcd['errors']=[],_0x4bcbcd['setting']=_0x2a50d5,_0x4bcbcd[_0x1c8500(0x2690)]=_0x15cdd0,_0x4bcbcd[_0x1c8500(0x2514)]=_0x203140,_0x4bcbcd[_0x1c8500(0x855)]={},_0x4bcbcd['passwordPattern']=_0x4bcbcd[_0x1c8500(0x15b9)]&&_0x4bcbcd[_0x1c8500(0x15b9)][_0x1c8500(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x4bcbcd[_0x1c8500(0x1189)]=_0x1c8500(0x2709),_0x4bcbcd[_0x1c8500(0x22c0)]=angular[_0x1c8500(0x235a)](_0x454570),_0x4bcbcd[_0x1c8500(0x127a)]=_0x5091a2,_0x4bcbcd[_0x1c8500(0x1f3)]=![];!_0x4bcbcd[_0x1c8500(0x22c0)]&&(_0x4bcbcd['extractedReport']={},_0x4bcbcd[_0x1c8500(0x1189)]=_0x1c8500(0x199),_0x4bcbcd[_0x1c8500(0x1f3)]=!![]);_0x4bcbcd['addNewExtractedReport']=_0x2f9061,_0x4bcbcd[_0x1c8500(0x1b6b)]=_0x6f6c45,_0x4bcbcd['deleteExtractedReport']=_0x496cc4,_0x4bcbcd[_0x1c8500(0xe73)]=_0x283a45,_0x4bcbcd[_0x1c8500(0x13f3)]=_0x315012;function _0x2f9061(){const _0x240316=_0x1c8500;_0x4bcbcd[_0x240316(0xcef)]=[],_0x5ef7b2['analyticExtractedReport']['save'](_0x4bcbcd['extractedReport'])[_0x240316(0x2945)][_0x240316(0x146b)](function(_0x5858b4){const _0x52d84e=_0x240316;_0x4bcbcd[_0x52d84e(0x127a)][_0x52d84e(0xb3d)](_0x5858b4['toJSON']()),_0x4b2230[_0x52d84e(0x1c75)]({'title':_0x52d84e(0x131d),'msg':_0x4bcbcd[_0x52d84e(0x22c0)][_0x52d84e(0x19eb)]?_0x4bcbcd[_0x52d84e(0x22c0)]['name']+_0x52d84e(0x1386):''}),_0x315012(_0x5858b4);})[_0x240316(0x129e)](function(_0x1f08f2){const _0x439342=_0x240316;if(_0x1f08f2[_0x439342(0x524)]&&_0x1f08f2[_0x439342(0x524)][_0x439342(0xcef)]&&_0x1f08f2[_0x439342(0x524)]['errors'][_0x439342(0x402)]){_0x4bcbcd[_0x439342(0xcef)]=_0x1f08f2[_0x439342(0x524)][_0x439342(0xcef)]||[{'message':_0x1f08f2[_0x439342(0xd5f)](),'type':_0x439342(0x1253)}];for(let _0x456dc2=0x0;_0x456dc2<_0x1f08f2[_0x439342(0x524)][_0x439342(0xcef)][_0x439342(0x402)];_0x456dc2+=0x1){_0x4b2230[_0x439342(0x1980)]({'title':_0x1f08f2['data'][_0x439342(0xcef)][_0x456dc2][_0x439342(0x1142)],'msg':_0x1f08f2[_0x439342(0x524)][_0x439342(0xcef)][_0x456dc2]['message']});}}else _0x4b2230[_0x439342(0x1980)]({'title':_0x1f08f2[_0x439342(0x107b)]?'API:'+_0x1f08f2['status']+'\x20-\x20'+_0x1f08f2[_0x439342(0x167f)]:'api.analyticExtractedReport.save','msg':_0x1f08f2[_0x439342(0x524)]?JSON[_0x439342(0x10bb)](_0x1f08f2[_0x439342(0x524)][_0x439342(0x7fd)]):_0x1f08f2[_0x439342(0xd5f)]()});});}function _0x6f6c45(){const _0x60911f=_0x1c8500;_0x4bcbcd[_0x60911f(0xcef)]=[],_0x5ef7b2['analyticExtractedReport'][_0x60911f(0x18e1)]({'id':_0x4bcbcd[_0x60911f(0x22c0)]['id']},_0x4bcbcd[_0x60911f(0x22c0)])[_0x60911f(0x2945)][_0x60911f(0x146b)](function(_0x201fde){const _0x599ab1=_0x60911f,_0x55bae3=_0x3f65c0()['find'](_0x4bcbcd[_0x599ab1(0x127a)],{'id':_0x201fde['id']});_0x55bae3&&_0x3f65c0()['merge'](_0x55bae3,_0x3f65c0()[_0x599ab1(0x40e)](_0x201fde[_0x599ab1(0x2488)](),_0x3f65c0()[_0x599ab1(0x627)](_0x55bae3))),_0x4b2230[_0x599ab1(0x1c75)]({'title':_0x599ab1(0x181a),'msg':_0x4bcbcd[_0x599ab1(0x22c0)][_0x599ab1(0x19eb)]?_0x4bcbcd[_0x599ab1(0x22c0)][_0x599ab1(0x19eb)]+_0x599ab1(0x24db):''}),_0x315012(_0x201fde);})['catch'](function(_0x4d9599){const _0x4517ce=_0x60911f;if(_0x4d9599[_0x4517ce(0x524)]&&_0x4d9599['data'][_0x4517ce(0xcef)]&&_0x4d9599[_0x4517ce(0x524)]['errors'][_0x4517ce(0x402)]){_0x4bcbcd[_0x4517ce(0xcef)]=_0x4d9599['data'][_0x4517ce(0xcef)]||[{'message':_0x4d9599[_0x4517ce(0xd5f)](),'type':_0x4517ce(0xc7a)}];for(let _0x2c973f=0x0;_0x2c973f<_0x4d9599[_0x4517ce(0x524)][_0x4517ce(0xcef)][_0x4517ce(0x402)];_0x2c973f++){_0x4b2230['error']({'title':_0x4d9599['data'][_0x4517ce(0xcef)][_0x2c973f][_0x4517ce(0x1142)],'msg':_0x4d9599[_0x4517ce(0x524)]['errors'][_0x2c973f][_0x4517ce(0x7fd)]});}}else _0x4b2230['error']({'title':_0x4d9599['status']?'API:'+_0x4d9599[_0x4517ce(0x107b)]+_0x4517ce(0x1315)+_0x4d9599[_0x4517ce(0x167f)]:'api.analyticExtractedReport.update','msg':_0x4d9599[_0x4517ce(0x524)]?JSON[_0x4517ce(0x10bb)](_0x4d9599[_0x4517ce(0x524)][_0x4517ce(0x7fd)]):_0x4d9599[_0x4517ce(0xd5f)]()});});}function _0x496cc4(_0x14282d){const _0x2c5502=_0x1c8500;_0x4bcbcd[_0x2c5502(0xcef)]=[];const _0x3a724d=_0xaf7d32[_0x2c5502(0x1e8a)]()['title']('Are\x20you\x20sure?')['content']('The\x20extractedReport\x20will\x20be\x20deleted.')[_0x2c5502(0x4bd)](_0x2c5502(0x2548))['ok'](_0x2c5502(0x25de))['cancel'](_0x2c5502(0xcf0))[_0x2c5502(0x1f27)](_0x14282d);_0xaf7d32[_0x2c5502(0x2615)](_0x3a724d)[_0x2c5502(0x146b)](function(){const _0x5469ab=_0x2c5502;_0x5ef7b2[_0x5469ab(0xc5d)][_0x5469ab(0x1fac)]({'id':_0x4bcbcd[_0x5469ab(0x22c0)]['id']})[_0x5469ab(0x2945)][_0x5469ab(0x146b)](function(){const _0x6c756e=_0x5469ab;_0x3f65c0()['remove'](_0x4bcbcd['extractedReports'],{'id':_0x4bcbcd[_0x6c756e(0x22c0)]['id']}),_0x4b2230[_0x6c756e(0x1c75)]({'title':_0x6c756e(0x19b5),'msg':(_0x4bcbcd['extractedReport'][_0x6c756e(0x19eb)]||_0x6c756e(0x22c0))+_0x6c756e(0x23e3)}),_0x315012(_0x4bcbcd[_0x6c756e(0x22c0)]);})[_0x5469ab(0x129e)](function(_0x4e06e2){const _0x53ce41=_0x5469ab;if(_0x4e06e2[_0x53ce41(0x524)]&&_0x4e06e2[_0x53ce41(0x524)]['errors']&&_0x4e06e2['data']['errors'][_0x53ce41(0x402)]){_0x4bcbcd[_0x53ce41(0xcef)]=_0x4e06e2[_0x53ce41(0x524)][_0x53ce41(0xcef)]||[{'message':_0x4e06e2[_0x53ce41(0xd5f)](),'type':_0x53ce41(0x25aa)}];for(let _0x1b3694=0x0;_0x1b3694<_0x4e06e2[_0x53ce41(0x524)]['errors']['length'];_0x1b3694++){_0x4b2230['error']({'title':_0x4e06e2[_0x53ce41(0x524)]['errors'][_0x1b3694][_0x53ce41(0x1142)],'msg':_0x4e06e2[_0x53ce41(0x524)][_0x53ce41(0xcef)][_0x1b3694][_0x53ce41(0x7fd)]});}}else _0x4b2230[_0x53ce41(0x1980)]({'title':_0x4e06e2[_0x53ce41(0x107b)]?_0x53ce41(0x262a)+_0x4e06e2[_0x53ce41(0x107b)]+'\x20-\x20'+_0x4e06e2[_0x53ce41(0x167f)]:_0x53ce41(0x25aa),'msg':_0x4e06e2[_0x53ce41(0x524)]?JSON[_0x53ce41(0x10bb)](_0x4e06e2[_0x53ce41(0x524)][_0x53ce41(0x7fd)]):_0x4e06e2['message']||_0x4e06e2['toString']()});});},function(){});}function _0x283a45(_0x24e1d4){return _0x24e1d4===null?undefined:new Date(_0x24e1d4);}function _0x315012(_0x5930e0){const _0x334241=_0x1c8500;_0xaf7d32[_0x334241(0x2458)](_0x5930e0);}}const _0x5e634c=_0x15508c;;const _0x36571c=_0x4acfac['p']+'src/js/modules/main/apps/analytics/views/extractedReports/create/dialog.html/dialog.html';;_0x221991[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),'$state',_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),'$translate',_0x313a4d(0x127a),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),'msUtils',_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x221991(_0x447ace,_0x42d7f7,_0x44d11d,_0x47da5d,_0x4c557a,_0x26d357,_0x26e734,_0x35f1dc,_0x15b1ba,_0x35d2c3,_0x5031d1,_0x3ac532,_0x205031,_0x47633e,_0x597f0d,_0x4f863a,_0xc5a07e){const _0x20fa6b=_0x313a4d,_0x4a6d9a=this;_0x4a6d9a[_0x20fa6b(0x2690)]=_0x4f863a,_0x4a6d9a[_0x20fa6b(0x15b9)]=_0xc5a07e,_0x4a6d9a[_0x20fa6b(0x2321)]=_0x597f0d[_0x20fa6b(0xb12)](),_0x4a6d9a[_0x20fa6b(0x127a)]=_0x15b1ba||{'count':0x0,'rows':[]},_0x4a6d9a[_0x20fa6b(0x26b6)]=_0x35d2c3,_0x4a6d9a[_0x20fa6b(0x1366)]=_0x5031d1&&_0x5031d1['count']==0x1?_0x5031d1[_0x20fa6b(0x19c7)][0x0]:null,_0x4a6d9a[_0x20fa6b(0x2514)]=_0x597f0d[_0x20fa6b(0xe60)](_0x4a6d9a[_0x20fa6b(0x1366)]?_0x4a6d9a[_0x20fa6b(0x1366)][_0x20fa6b(0x2514)]:null),_0x4a6d9a['table']=_0x20fa6b(0x127a),_0x4a6d9a[_0x20fa6b(0x216a)]='',_0x4a6d9a['listOrderAsc']=null,_0x4a6d9a['selectedExtractedReports']=[],_0x4a6d9a[_0x20fa6b(0x1a56)]={'fields':_0x20fa6b(0x1a71),'sort':_0x20fa6b(0x12f2),'limit':0xa,'page':0x1},_0x4a6d9a['editdialog']=_0xfd4666,_0x4a6d9a[_0x20fa6b(0xe49)]=_0x34df8d,_0x4a6d9a[_0x20fa6b(0xf0a)]=_0x3f1201,_0x4a6d9a[_0x20fa6b(0x1c75)]=_0x2abc00,_0x4a6d9a[_0x20fa6b(0x16a9)]=_0x366e0f,_0x4a6d9a[_0x20fa6b(0x1d7f)]=_0x49fecd,_0x4a6d9a[_0x20fa6b(0x77a)]=_0x2cb0db,_0x4a6d9a[_0x20fa6b(0x46a)]=_0x482603,_0x4a6d9a[_0x20fa6b(0x615)]=_0x11fb0f,_0x4a6d9a[_0x20fa6b(0x1b4c)]=_0x497b02,_0x4a6d9a['selectAllExtractedReports']=_0x32308a;function _0xfd4666(_0x4b8969,_0x464b47){const _0x4de06d=_0x20fa6b;_0x4c557a['show']({'controller':'CreateOrEditExtractedReportDialogController','controllerAs':'vm','templateUrl':_0x36571c,'parent':angular[_0x4de06d(0x1853)](_0x26d357[_0x4de06d(0x2586)]),'targetEvent':_0x464b47,'clickOutsideToClose':!![],'locals':{'extractedReport':_0x4b8969,'extractedReports':_0x4a6d9a[_0x4de06d(0x127a)][_0x4de06d(0x19c7)],'license':_0x4a6d9a[_0x4de06d(0x2690)],'setting':null,'crudPermissions':_0x4a6d9a[_0x4de06d(0x2514)]}});}function _0x34df8d(_0x32e886){const _0x320941=_0x20fa6b;return _0x3ac532[_0x320941(0xc5d)][_0x320941(0x15b1)]({'id':_0x32e886['id']})[_0x320941(0x2945)][_0x320941(0x146b)](function(_0x3f462e){const _0x4ee6ac=_0x320941,_0x394360=[_0x3f462e[_0x4ee6ac(0x2eb)]];let _0x536ea9='download';const _0x7a8fd=new Blob(_0x394360,{'type':_0x3f462e['type']});_0x536ea9=_0x32e886[_0x4ee6ac(0x1211)];const _0x7552aa=window[_0x4ee6ac(0xef3)]['createElement']('a');_0x7552aa['setAttribute'](_0x4ee6ac(0x1b3c),URL[_0x4ee6ac(0x1c58)](_0x7a8fd)),_0x7552aa[_0x4ee6ac(0x1652)](_0x4ee6ac(0x15b1),_0x536ea9),document['body'][_0x4ee6ac(0x23e)](_0x7552aa),_0x7552aa[_0x4ee6ac(0x1fa5)]();})['catch'](function(_0x445350){const _0x5861fa=_0x320941;if(_0x445350['data']&&_0x445350[_0x5861fa(0x524)][_0x5861fa(0xcef)]&&_0x445350['data'][_0x5861fa(0xcef)][_0x5861fa(0x402)])for(let _0x475c01=0x0;_0x475c01<_0x445350[_0x5861fa(0x524)][_0x5861fa(0xcef)][_0x5861fa(0x402)];_0x475c01++){_0x47633e[_0x5861fa(0x1980)]({'title':_0x445350[_0x5861fa(0x524)][_0x5861fa(0xcef)][_0x475c01]['type'],'msg':_0x445350['data'][_0x5861fa(0xcef)][_0x475c01][_0x5861fa(0x7fd)]});}else _0x47633e[_0x5861fa(0x1980)]({'title':_0x445350['status']?_0x5861fa(0x262a)+_0x445350['status']+_0x5861fa(0x1315)+_0x445350[_0x5861fa(0x167f)]:_0x5861fa(0x1253),'msg':_0x445350[_0x5861fa(0x524)]?JSON[_0x5861fa(0x10bb)](_0x445350[_0x5861fa(0x524)][_0x5861fa(0x7fd)]):_0x445350[_0x5861fa(0xd5f)]()});});}function _0x3f1201(_0x2b6b9d,_0x102a72){const _0x4c8b85=_0x20fa6b,_0x3ea4dd=_0x4c557a[_0x4c8b85(0x1e8a)]()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20'+_0x3f65c0()['startCase']('extractedReport')+'?')[_0x4c8b85(0x1cbe)](_0x4c8b85(0x16d3)+(_0x2b6b9d[_0x4c8b85(0x19eb)]||_0x4c8b85(0x22c0))+_0x4c8b85(0x252f)+_0x4c8b85(0xe01))['ariaLabel'](_0x4c8b85(0x2774))['targetEvent'](_0x102a72)['ok']('OK')[_0x4c8b85(0x6c3)]('CANCEL');_0x4c557a[_0x4c8b85(0x2615)](_0x3ea4dd)[_0x4c8b85(0x146b)](function(){_0x2cb0db(_0x2b6b9d);},function(){const _0x232eba=_0x4c8b85;console[_0x232eba(0x1a74)]('CANCEL');});}let _0x2d4372=!![],_0x1d65ea=0x1;_0x447ace[_0x20fa6b(0x21e8)]('vm.query.filter',function(_0x5efacd,_0x17c9e0){const _0x21715d=_0x20fa6b;_0x2d4372?_0x26e734(function(){_0x2d4372=![];}):(!_0x17c9e0&&(_0x1d65ea=_0x4a6d9a[_0x21715d(0x1a56)]['page']),_0x5efacd!==_0x17c9e0&&(_0x4a6d9a[_0x21715d(0x1a56)][_0x21715d(0x844)]=0x1),!_0x5efacd&&(_0x4a6d9a[_0x21715d(0x1a56)][_0x21715d(0x844)]=_0x1d65ea),_0x4a6d9a[_0x21715d(0x16a9)]());});function _0x2abc00(_0x3e1e14){const _0x53ed7a=_0x20fa6b;_0x4a6d9a[_0x53ed7a(0x127a)]=_0x3e1e14||{'count':0x0,'rows':[]};}function _0x366e0f(){const _0x18f93d=_0x20fa6b;_0x4a6d9a['query'][_0x18f93d(0x145d)]=(_0x4a6d9a[_0x18f93d(0x1a56)][_0x18f93d(0x844)]-0x1)*_0x4a6d9a['query'][_0x18f93d(0x221e)],_0x597f0d[_0x18f93d(0x23e0)](_0x18f93d(0x174b))?_0x4a6d9a[_0x18f93d(0xb9c)]=_0x3ac532['analyticExtractedReport'][_0x18f93d(0x16b4)](_0x4a6d9a[_0x18f93d(0x1a56)],_0x2abc00)['$promise']:(_0x4a6d9a[_0x18f93d(0x1a56)]['id']=_0x4a6d9a['userProfile']['id'],_0x4a6d9a['query']['section']=_0x18f93d(0x1d35),_0x4a6d9a['promise']=_0x3ac532[_0x18f93d(0x26b6)]['getResources'](_0x4a6d9a[_0x18f93d(0x1a56)],_0x2abc00)[_0x18f93d(0x2945)]);}function _0x49fecd(_0xfe38d5,_0x3c5948){const _0x181768=_0x20fa6b;_0x4c557a[_0x181768(0x2615)]({'controller':_0x181768(0x1c45),'controllerAs':'vm','templateUrl':_0x36571c,'parent':angular[_0x181768(0x1853)](_0x26d357[_0x181768(0x2586)]),'targetEvent':_0xfe38d5,'clickOutsideToClose':!![],'locals':{'extractedReport':_0x3c5948,'extractedReports':_0x4a6d9a[_0x181768(0x127a)][_0x181768(0x19c7)],'license':_0x4a6d9a[_0x181768(0x2690)],'setting':_0x4a6d9a['setting'],'crudPermissions':_0x4a6d9a['crudPermissions']}});}function _0x2cb0db(_0x5f5c15){const _0x26f050=_0x20fa6b;_0x3ac532[_0x26f050(0xc5d)][_0x26f050(0x1fac)]({'id':_0x5f5c15['id']})[_0x26f050(0x2945)]['then'](function(){const _0x28ce38=_0x26f050;_0x3f65c0()['remove'](_0x4a6d9a[_0x28ce38(0x127a)][_0x28ce38(0x19c7)],{'id':_0x5f5c15['id']}),_0x4a6d9a[_0x28ce38(0x127a)][_0x28ce38(0x51c)]-=0x1,!_0x4a6d9a[_0x28ce38(0x127a)][_0x28ce38(0x19c7)]['length']&&_0x4a6d9a[_0x28ce38(0x16a9)](),_0x47633e[_0x28ce38(0x1c75)]({'title':_0x3f65c0()[_0x28ce38(0x20d1)](_0x28ce38(0x32c))+_0x28ce38(0x201c),'msg':_0x5f5c15[_0x28ce38(0x19eb)]?_0x5f5c15['name']+_0x28ce38(0x23e3):''});})[_0x26f050(0x129e)](function(_0x3fc69e){const _0x14a4c0=_0x26f050;if(_0x3fc69e[_0x14a4c0(0x524)]&&_0x3fc69e[_0x14a4c0(0x524)]['errors']&&_0x3fc69e[_0x14a4c0(0x524)][_0x14a4c0(0xcef)]['length']){_0x4a6d9a[_0x14a4c0(0xcef)]=_0x3fc69e[_0x14a4c0(0x524)]['errors']||[{'message':_0x3fc69e[_0x14a4c0(0xd5f)](),'type':'SYSTEM:DELETEanalyticExtractedReport'}];for(let _0x323dff=0x0;_0x323dff<_0x3fc69e[_0x14a4c0(0x524)][_0x14a4c0(0xcef)][_0x14a4c0(0x402)];_0x323dff++){_0x47633e[_0x14a4c0(0x1980)]({'title':_0x3fc69e[_0x14a4c0(0x524)][_0x14a4c0(0xcef)][_0x323dff]['type'],'msg':_0x3fc69e[_0x14a4c0(0x524)][_0x14a4c0(0xcef)][_0x323dff][_0x14a4c0(0x7fd)]});}}else _0x47633e['error']({'title':_0x3fc69e[_0x14a4c0(0x107b)]?_0x14a4c0(0x262a)+_0x3fc69e['status']+_0x14a4c0(0x1315)+_0x3fc69e[_0x14a4c0(0x167f)]:'SYSTEM:DELETEanalyticExtractedReport','msg':_0x3fc69e[_0x14a4c0(0x524)]?JSON['stringify'](_0x3fc69e[_0x14a4c0(0x524)]['message']):_0x3fc69e[_0x14a4c0(0x7fd)]||_0x3fc69e[_0x14a4c0(0xd5f)]()});});}function _0x482603(){const _0x592347=_0x20fa6b,_0x5e32ad=angular[_0x592347(0x235a)](_0x4a6d9a[_0x592347(0x1704)]);return _0x4a6d9a[_0x592347(0x1704)]=[],_0x5e32ad;}function _0x11fb0f(_0x266c2d){const _0x23092a=_0x20fa6b,_0x366b5e=_0x4c557a[_0x23092a(0x1e8a)]()['title'](_0x23092a(0x6db))[_0x23092a(0x1cbe)](''+_0x4a6d9a[_0x23092a(0x1704)][_0x23092a(0x402)]+'\x20selected'+_0x23092a(0xe01))[_0x23092a(0x4bd)](_0x23092a(0x24b0))['targetEvent'](_0x266c2d)['ok']('OK')[_0x23092a(0x6c3)](_0x23092a(0x39a));_0x4c557a[_0x23092a(0x2615)](_0x366b5e)[_0x23092a(0x146b)](function(){const _0x481848=_0x23092a;_0x4a6d9a[_0x481848(0x1704)][_0x481848(0x1df5)](function(_0x192e6f){_0x2cb0db(_0x192e6f);}),_0x4a6d9a[_0x481848(0x1704)]=[];});}function _0x497b02(){const _0x21b591=_0x20fa6b;_0x4a6d9a[_0x21b591(0x1704)]=[];}function _0x32308a(){const _0x1c35c0=_0x20fa6b;_0x4a6d9a[_0x1c35c0(0x1704)]=_0x4a6d9a[_0x1c35c0(0x127a)][_0x1c35c0(0x19c7)];}}const _0x1f19a8=_0x221991;;_0x19f0be[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x1862),'$location',_0x313a4d(0x10e8),'$q','$translate',_0x313a4d(0x1fe4),'metrics','metric',_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x19f0be(_0x34e9ea,_0x3efc2b,_0x203a3b,_0x537c44,_0x4331e8,_0xbefcb6,_0x1c4d99,_0x195b29,_0x5e179f,_0x3dbc30,_0x2f4aa3,_0x4a1485,_0xf69922,_0x804d4f){const _0x5b2b5e=_0x313a4d,_0x366530=this;_0x366530[_0x5b2b5e(0x2321)]=_0x2f4aa3['getCurrentUser'](),_0x366530[_0x5b2b5e(0xcef)]=[],_0x366530[_0x5b2b5e(0x15b9)]=_0xf69922,_0x366530[_0x5b2b5e(0x2690)]=_0x4a1485,_0x366530[_0x5b2b5e(0x2514)]=_0x804d4f,_0x366530[_0x5b2b5e(0x855)]={},_0x366530[_0x5b2b5e(0x2251)]=_0x366530[_0x5b2b5e(0x15b9)]&&_0x366530[_0x5b2b5e(0x15b9)][_0x5b2b5e(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x366530[_0x5b2b5e(0x1189)]=_0x5b2b5e(0x7ac),_0x366530[_0x5b2b5e(0x16c6)]=angular['copy'](_0x5e179f),_0x366530[_0x5b2b5e(0x210e)]=_0x195b29,_0x366530[_0x5b2b5e(0x233e)]=![];!_0x366530[_0x5b2b5e(0x16c6)]&&(_0x366530[_0x5b2b5e(0x16c6)]={'table':'report_agent'},_0x366530[_0x5b2b5e(0x1189)]=_0x5b2b5e(0x10ec),_0x366530[_0x5b2b5e(0x233e)]=!![]);_0x366530[_0x5b2b5e(0x960)]=_0x457b40,_0x366530[_0x5b2b5e(0x1a26)]=_0x1f6676,_0x366530[_0x5b2b5e(0xc3a)]=_0x20cb6a,_0x366530[_0x5b2b5e(0xe73)]=_0x50e8c3,_0x366530[_0x5b2b5e(0x13f3)]=_0x43148b;function _0x457b40(){const _0x415fef=_0x5b2b5e;_0x366530[_0x415fef(0xcef)]=[],_0x3dbc30[_0x415fef(0x1f01)][_0x415fef(0x1e3)](_0x366530[_0x415fef(0x16c6)])['$promise'][_0x415fef(0x146b)](function(_0x3ddf79){const _0x4467b2=_0x415fef;_0x366530[_0x4467b2(0x210e)]['unshift'](_0x3ddf79[_0x4467b2(0x2488)]()),_0x1c4d99[_0x4467b2(0x1c75)]({'title':'Metric\x20properly\x20created','msg':_0x366530[_0x4467b2(0x16c6)][_0x4467b2(0x19eb)]?_0x366530[_0x4467b2(0x16c6)][_0x4467b2(0x19eb)]+_0x4467b2(0x1386):''}),_0x43148b(_0x3ddf79);})['catch'](function(_0x1e4d3d){const _0x1ca328=_0x415fef;if(_0x1e4d3d[_0x1ca328(0x524)]&&_0x1e4d3d[_0x1ca328(0x524)][_0x1ca328(0xcef)]&&_0x1e4d3d[_0x1ca328(0x524)][_0x1ca328(0xcef)]['length']){_0x366530['errors']=_0x1e4d3d[_0x1ca328(0x524)][_0x1ca328(0xcef)]||[{'message':_0x1e4d3d['toString'](),'type':'api.analyticMetric.save'}];for(let _0x588b32=0x0;_0x588b32<_0x1e4d3d[_0x1ca328(0x524)][_0x1ca328(0xcef)]['length'];_0x588b32+=0x1){_0x1c4d99['error']({'title':_0x1e4d3d[_0x1ca328(0x524)][_0x1ca328(0xcef)][_0x588b32]['type'],'msg':_0x1e4d3d[_0x1ca328(0x524)][_0x1ca328(0xcef)][_0x588b32][_0x1ca328(0x7fd)]});}}else _0x1c4d99[_0x1ca328(0x1980)]({'title':_0x1e4d3d['status']?_0x1ca328(0x262a)+_0x1e4d3d[_0x1ca328(0x107b)]+_0x1ca328(0x1315)+_0x1e4d3d[_0x1ca328(0x167f)]:_0x1ca328(0x1cd6),'msg':_0x1e4d3d[_0x1ca328(0x524)]?JSON[_0x1ca328(0x10bb)](_0x1e4d3d[_0x1ca328(0x524)]['message']):_0x1e4d3d['toString']()});});}function _0x1f6676(){const _0x57b8c1=_0x5b2b5e;_0x366530[_0x57b8c1(0xcef)]=[],_0x3dbc30[_0x57b8c1(0x1f01)][_0x57b8c1(0x18e1)]({'id':_0x366530[_0x57b8c1(0x16c6)]['id']},_0x366530[_0x57b8c1(0x16c6)])['$promise'][_0x57b8c1(0x146b)](function(_0x1590a6){const _0x50569d=_0x57b8c1,_0x10715a=_0x3f65c0()[_0x50569d(0xc84)](_0x366530[_0x50569d(0x210e)],{'id':_0x1590a6['id']});_0x10715a&&_0x3f65c0()['merge'](_0x10715a,_0x3f65c0()[_0x50569d(0x40e)](_0x1590a6[_0x50569d(0x2488)](),_0x3f65c0()['keys'](_0x10715a))),_0x1c4d99[_0x50569d(0x1c75)]({'title':_0x50569d(0x1d0c),'msg':_0x366530[_0x50569d(0x16c6)][_0x50569d(0x19eb)]?_0x366530[_0x50569d(0x16c6)][_0x50569d(0x19eb)]+'\x20has\x20been\x20saved!':''}),_0x43148b(_0x1590a6);})[_0x57b8c1(0x129e)](function(_0x270485){const _0x576a2b=_0x57b8c1;if(_0x270485[_0x576a2b(0x524)]&&_0x270485['data'][_0x576a2b(0xcef)]&&_0x270485[_0x576a2b(0x524)][_0x576a2b(0xcef)]['length']){_0x366530[_0x576a2b(0xcef)]=_0x270485['data'][_0x576a2b(0xcef)]||[{'message':_0x270485['toString'](),'type':_0x576a2b(0x13ec)}];for(let _0x213fa1=0x0;_0x213fa1<_0x270485[_0x576a2b(0x524)][_0x576a2b(0xcef)][_0x576a2b(0x402)];_0x213fa1++){_0x1c4d99[_0x576a2b(0x1980)]({'title':_0x270485['data'][_0x576a2b(0xcef)][_0x213fa1][_0x576a2b(0x1142)],'msg':_0x270485[_0x576a2b(0x524)]['errors'][_0x213fa1]['message']});}}else _0x1c4d99[_0x576a2b(0x1980)]({'title':_0x270485[_0x576a2b(0x107b)]?'API:'+_0x270485[_0x576a2b(0x107b)]+'\x20-\x20'+_0x270485[_0x576a2b(0x167f)]:'api.analyticMetric.update','msg':_0x270485[_0x576a2b(0x524)]?JSON[_0x576a2b(0x10bb)](_0x270485['data'][_0x576a2b(0x7fd)]):_0x270485[_0x576a2b(0xd5f)]()});});}function _0x20cb6a(_0x6b8dcc){const _0x4e9612=_0x5b2b5e;_0x366530['errors']=[];const _0x4d3d5b=_0x537c44['confirm']()[_0x4e9612(0x1189)]('Are\x20you\x20sure?')[_0x4e9612(0x80f)](_0x4e9612(0xd8b))[_0x4e9612(0x4bd)](_0x4e9612(0x17da))['ok'](_0x4e9612(0x25de))[_0x4e9612(0x6c3)](_0x4e9612(0xcf0))['targetEvent'](_0x6b8dcc);_0x537c44[_0x4e9612(0x2615)](_0x4d3d5b)['then'](function(){const _0x4f572b=_0x4e9612;_0x3dbc30[_0x4f572b(0x1f01)][_0x4f572b(0x1fac)]({'id':_0x366530[_0x4f572b(0x16c6)]['id']})[_0x4f572b(0x2945)][_0x4f572b(0x146b)](function(){const _0x77fe62=_0x4f572b;_0x3f65c0()[_0x77fe62(0x2640)](_0x366530[_0x77fe62(0x210e)],{'id':_0x366530['metric']['id']}),_0x1c4d99[_0x77fe62(0x1c75)]({'title':_0x77fe62(0x1e70),'msg':(_0x366530['metric'][_0x77fe62(0x19eb)]||_0x77fe62(0x16c6))+_0x77fe62(0x23e3)}),_0x43148b(_0x366530[_0x77fe62(0x16c6)]);})[_0x4f572b(0x129e)](function(_0x2fbe6a){const _0x3bc045=_0x4f572b;if(_0x2fbe6a[_0x3bc045(0x524)]&&_0x2fbe6a[_0x3bc045(0x524)][_0x3bc045(0xcef)]&&_0x2fbe6a[_0x3bc045(0x524)][_0x3bc045(0xcef)]['length']){_0x366530[_0x3bc045(0xcef)]=_0x2fbe6a[_0x3bc045(0x524)]['errors']||[{'message':_0x2fbe6a[_0x3bc045(0xd5f)](),'type':_0x3bc045(0x1656)}];for(let _0x6207ae=0x0;_0x6207ae<_0x2fbe6a[_0x3bc045(0x524)]['errors'][_0x3bc045(0x402)];_0x6207ae++){_0x1c4d99[_0x3bc045(0x1980)]({'title':_0x2fbe6a[_0x3bc045(0x524)][_0x3bc045(0xcef)][_0x6207ae][_0x3bc045(0x1142)],'msg':_0x2fbe6a[_0x3bc045(0x524)]['errors'][_0x6207ae][_0x3bc045(0x7fd)]});}}else _0x1c4d99[_0x3bc045(0x1980)]({'title':_0x2fbe6a[_0x3bc045(0x107b)]?_0x3bc045(0x262a)+_0x2fbe6a['status']+_0x3bc045(0x1315)+_0x2fbe6a['statusText']:_0x3bc045(0x1656),'msg':_0x2fbe6a[_0x3bc045(0x524)]?JSON[_0x3bc045(0x10bb)](_0x2fbe6a[_0x3bc045(0x524)][_0x3bc045(0x7fd)]):_0x2fbe6a[_0x3bc045(0x7fd)]||_0x2fbe6a[_0x3bc045(0xd5f)]()});});},function(){});}function _0x50e8c3(_0x4bd890){return _0x4bd890===null?undefined:new Date(_0x4bd890);}function _0x43148b(_0x2f110f){const _0x2ee6eb=_0x5b2b5e;_0x537c44[_0x2ee6eb(0x2458)](_0x2f110f);}}const _0x18613c=_0x19f0be;;const _0x2528fd=_0x4acfac['p']+'src/js/modules/main/apps/analytics/views/metrics/create/dialog.html/dialog.html';;_0x5c778c[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$window',_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0x214b),_0x313a4d(0x210e),_0x313a4d(0x26b6),_0x313a4d(0x1366),'api',_0x313a4d(0x216c),'toasty','Auth','license','setting'];function _0x5c778c(_0x243b32,_0x2a714f,_0x3e0016,_0x4dd90c,_0x34adeb,_0x17b501,_0x48bf94,_0x52a631,_0x4f7d69,_0x112811,_0x25c4ae,_0x1a26fe,_0x41aacc,_0x358cdc,_0x2120d6,_0x2a5d5b,_0x3c233e){const _0x40dc28=_0x313a4d,_0x1de390=this;_0x1de390[_0x40dc28(0x2690)]=_0x2a5d5b,_0x1de390[_0x40dc28(0x15b9)]=_0x3c233e,_0x1de390[_0x40dc28(0x2321)]=_0x2120d6[_0x40dc28(0xb12)](),_0x1de390['metrics']=_0x4f7d69||{'count':0x0,'rows':[]},_0x1de390[_0x40dc28(0x26b6)]=_0x112811,_0x1de390[_0x40dc28(0x1366)]=_0x25c4ae&&_0x25c4ae['count']==0x1?_0x25c4ae[_0x40dc28(0x19c7)][0x0]:null,_0x1de390[_0x40dc28(0x2514)]=_0x2120d6[_0x40dc28(0xe60)](_0x1de390[_0x40dc28(0x1366)]?_0x1de390[_0x40dc28(0x1366)]['crudPermissions']:null),_0x1de390[_0x40dc28(0x768)]=_0x40dc28(0x210e),_0x1de390['listOrder']='',_0x1de390[_0x40dc28(0x214f)]=null,_0x1de390[_0x40dc28(0xa43)]=[],_0x1de390[_0x40dc28(0x1a56)]={'fields':'createdAt,updatedAt,id,name,table,metric,description','sort':_0x40dc28(0x12f2),'limit':0xa,'page':0x1},_0x1de390[_0x40dc28(0x1ea0)]=_0x3f65c0()[_0x40dc28(0x194)]([{'option':_0x40dc28(0x7e5),'value':_0x40dc28(0x1738)},{'option':_0x40dc28(0x224d),'value':_0x40dc28(0x1de5)},{'option':_0x40dc28(0x28e3),'value':_0x40dc28(0x21ff)},{'option':_0x40dc28(0x23ad),'value':'\x27chat_websites\x27'},{'option':_0x40dc28(0x1403),'value':'\x27chat_interactions\x27'},{'option':_0x40dc28(0x22e1),'value':_0x40dc28(0x21b4)},{'option':_0x40dc28(0x528),'value':'\x27chat_offline_messages\x27'},{'option':'Chat\x20Queues','value':_0x40dc28(0x2821)},{'option':'Chat\x20Report\x20Queue','value':_0x40dc28(0x84e)},{'option':'Chat\x20Report\x20Transfer','value':_0x40dc28(0x1d37)},{'option':_0x40dc28(0x1a5e),'value':'\x27cm_companies\x27'},{'option':_0x40dc28(0x1efa),'value':'\x27cm_contacts\x27'},{'option':_0x40dc28(0xe04),'value':_0x40dc28(0x19d8)},{'option':_0x40dc28(0x26ad),'value':'\x27report_square_details\x27'},{'option':'Dial\x20Report','value':_0x40dc28(0x13f9)},{'option':_0x40dc28(0x2212),'value':_0x40dc28(0x43e)},{'option':_0x40dc28(0x1661),'value':_0x40dc28(0xee0)},{'option':'Dialer\x20Hopper\x20Final','value':'\x27cm_hopper_final\x27'},{'option':'Email\x20Account','value':_0x40dc28(0x1b04)},{'option':_0x40dc28(0x1459),'value':_0x40dc28(0x15c8)},{'option':_0x40dc28(0x1fd3),'value':_0x40dc28(0x26c)},{'option':_0x40dc28(0x13ca),'value':_0x40dc28(0x370)},{'option':_0x40dc28(0xab3),'value':_0x40dc28(0x22ef)},{'option':_0x40dc28(0x12a8),'value':_0x40dc28(0x5ab)},{'option':_0x40dc28(0x26e7),'value':_0x40dc28(0x19a7)},{'option':_0x40dc28(0x1303),'value':_0x40dc28(0x27d3)},{'option':_0x40dc28(0x1516),'value':_0x40dc28(0x4e7)},{'option':_0x40dc28(0x2524),'value':_0x40dc28(0x2096)},{'option':_0x40dc28(0x155b),'value':'\x27report_fax_queue\x27'},{'option':_0x40dc28(0x18fe),'value':'\x27report_fax_transfer\x27'},{'option':_0x40dc28(0x23a0),'value':_0x40dc28(0x1adc)},{'option':_0x40dc28(0x397),'value':_0x40dc28(0x18cc)},{'option':_0x40dc28(0x2f9),'value':'\x27report_jscripty_answers\x27'},{'option':_0x40dc28(0x174f),'value':_0x40dc28(0x1a62)},{'option':_0x40dc28(0xb8d),'value':'\x27report_member\x27'},{'option':_0x40dc28(0x23fe),'value':_0x40dc28(0x1e81)},{'option':'Openchannel\x20Interaction','value':'\x27openchannel_interactions\x27'},{'option':_0x40dc28(0x160d),'value':_0x40dc28(0x1615)},{'option':_0x40dc28(0xf7),'value':_0x40dc28(0x262)},{'option':_0x40dc28(0x1962),'value':_0x40dc28(0x43a)},{'option':_0x40dc28(0x72c),'value':_0x40dc28(0x11b0)},{'option':_0x40dc28(0x55e),'value':_0x40dc28(0x1d99)},{'option':'SMS\x20Account','value':_0x40dc28(0x1534)},{'option':_0x40dc28(0x1329),'value':'\x27sms_interactions\x27'},{'option':'SMS\x20Messages','value':_0x40dc28(0x2090)},{'option':_0x40dc28(0x1c2b),'value':_0x40dc28(0x1331)},{'option':'SMS\x20Report\x20Queue','value':'\x27report_sms_queue\x27'},{'option':'SMS\x20Report\x20Transfer','value':_0x40dc28(0x12f9)},{'option':_0x40dc28(0x3c4),'value':_0x40dc28(0xa1b)},{'option':'Voice\x20Recordings','value':_0x40dc28(0x168f)},{'option':_0x40dc28(0x1c0),'value':_0x40dc28(0x9e3)},{'option':'Whatsapp\x20Interaction','value':_0x40dc28(0x27e3)},{'option':_0x40dc28(0x10ab),'value':_0x40dc28(0x216f)},{'option':_0x40dc28(0x2319),'value':_0x40dc28(0x1c01)},{'option':'Whatsapp\x20Report\x20Queue','value':_0x40dc28(0x11d9)},{'option':_0x40dc28(0x1a61),'value':_0x40dc28(0x25b1)}],function(_0x9badcb){const _0x3d92e4=_0x40dc28;return _0x3f65c0()[_0x3d92e4(0x5f4)](_0x9badcb[_0x3d92e4(0x175d)],new RegExp('\x27','g'),'');}),_0x1de390[_0x40dc28(0x2ed)]=_0x539806,_0x1de390[_0x40dc28(0xf0a)]=_0x2c315b,_0x1de390[_0x40dc28(0x1c75)]=_0x17422c,_0x1de390[_0x40dc28(0x508)]=_0x3e58c0,_0x1de390[_0x40dc28(0x194c)]=_0x34039e,_0x1de390['deleteMetric']=_0xfa59ad,_0x1de390['exportSelectedMetrics']=_0x241dde,_0x1de390[_0x40dc28(0xec0)]=_0x34c232,_0x1de390['deselectMetrics']=_0x37a07f,_0x1de390[_0x40dc28(0xa6d)]=_0x2d5e9e;function _0x539806(_0x3af289,_0x544a59){const _0x4d8714=_0x40dc28;_0x34adeb[_0x4d8714(0x2615)]({'controller':_0x4d8714(0x255),'controllerAs':'vm','templateUrl':_0x2528fd,'parent':angular[_0x4d8714(0x1853)](_0x17b501[_0x4d8714(0x2586)]),'targetEvent':_0x544a59,'clickOutsideToClose':!![],'locals':{'metric':_0x3af289,'metrics':_0x1de390[_0x4d8714(0x210e)][_0x4d8714(0x19c7)],'license':_0x1de390['license'],'setting':null,'crudPermissions':_0x1de390[_0x4d8714(0x2514)]}});}function _0x2c315b(_0x18dd7f,_0x1d2c41){const _0x342471=_0x40dc28,_0x1ba39c=_0x34adeb[_0x342471(0x1e8a)]()[_0x342471(0x1189)](_0x342471(0xdb2)+_0x3f65c0()[_0x342471(0x20d1)](_0x342471(0x16c6))+'?')[_0x342471(0x1cbe)](_0x342471(0x16d3)+(_0x18dd7f[_0x342471(0x19eb)]||_0x342471(0x16c6))+''+_0x342471(0xe01))[_0x342471(0x4bd)](_0x342471(0xa3b))['targetEvent'](_0x1d2c41)['ok']('OK')[_0x342471(0x6c3)](_0x342471(0x39a));_0x34adeb[_0x342471(0x2615)](_0x1ba39c)[_0x342471(0x146b)](function(){_0xfa59ad(_0x18dd7f);},function(){const _0x282c6e=_0x342471;console['log'](_0x282c6e(0x39a));});}let _0x5104fe=!![],_0xc28a0d=0x1;_0x243b32[_0x40dc28(0x21e8)](_0x40dc28(0x2669),function(_0x321fd5,_0x3b2d89){const _0x354ed7=_0x40dc28;_0x5104fe?_0x48bf94(function(){_0x5104fe=![];}):(!_0x3b2d89&&(_0xc28a0d=_0x1de390['query']['page']),_0x321fd5!==_0x3b2d89&&(_0x1de390[_0x354ed7(0x1a56)]['page']=0x1),!_0x321fd5&&(_0x1de390[_0x354ed7(0x1a56)][_0x354ed7(0x844)]=_0xc28a0d),_0x1de390['getMetrics']());});function _0x17422c(_0x72ac9c){const _0x218cf1=_0x40dc28;_0x1de390[_0x218cf1(0x210e)]=_0x72ac9c||{'count':0x0,'rows':[]};}function _0x3e58c0(){const _0xcf0a15=_0x40dc28;_0x1de390[_0xcf0a15(0x1a56)]['offset']=(_0x1de390['query'][_0xcf0a15(0x844)]-0x1)*_0x1de390[_0xcf0a15(0x1a56)][_0xcf0a15(0x221e)],_0x2120d6[_0xcf0a15(0x23e0)](_0xcf0a15(0x174b))?_0x1de390[_0xcf0a15(0xb9c)]=_0x1a26fe[_0xcf0a15(0x1f01)][_0xcf0a15(0x16b4)](_0x1de390['query'],_0x17422c)[_0xcf0a15(0x2945)]:(_0x1de390['query']['id']=_0x1de390[_0xcf0a15(0x26b6)]['id'],_0x1de390[_0xcf0a15(0x1a56)][_0xcf0a15(0x2146)]=_0xcf0a15(0x24d8),_0x1de390[_0xcf0a15(0xb9c)]=_0x1a26fe[_0xcf0a15(0x26b6)]['getResources'](_0x1de390[_0xcf0a15(0x1a56)],_0x17422c)['$promise']);}function _0x34039e(_0x295717,_0x427e86){const _0x3431c4=_0x40dc28;_0x34adeb[_0x3431c4(0x2615)]({'controller':_0x3431c4(0x255),'controllerAs':'vm','templateUrl':_0x2528fd,'parent':angular[_0x3431c4(0x1853)](_0x17b501[_0x3431c4(0x2586)]),'targetEvent':_0x295717,'clickOutsideToClose':!![],'locals':{'metric':_0x427e86,'metrics':_0x1de390[_0x3431c4(0x210e)][_0x3431c4(0x19c7)],'license':_0x1de390[_0x3431c4(0x2690)],'setting':_0x1de390['setting'],'crudPermissions':_0x1de390['crudPermissions']}});}function _0xfa59ad(_0x1a979d){const _0x3b02a5=_0x40dc28;_0x1a26fe['analyticMetric'][_0x3b02a5(0x1fac)]({'id':_0x1a979d['id']})[_0x3b02a5(0x2945)][_0x3b02a5(0x146b)](function(){const _0x4174e2=_0x3b02a5;_0x3f65c0()[_0x4174e2(0x2640)](_0x1de390['metrics'][_0x4174e2(0x19c7)],{'id':_0x1a979d['id']}),_0x1de390['metrics'][_0x4174e2(0x51c)]-=0x1,!_0x1de390['metrics']['rows'][_0x4174e2(0x402)]&&_0x1de390['getMetrics'](),_0x358cdc[_0x4174e2(0x1c75)]({'title':_0x3f65c0()[_0x4174e2(0x20d1)](_0x4174e2(0x253b))+_0x4174e2(0x201c),'msg':_0x1a979d['name']?_0x1a979d[_0x4174e2(0x19eb)]+_0x4174e2(0x23e3):''});})['catch'](function(_0x535586){const _0x24bc8e=_0x3b02a5;if(_0x535586[_0x24bc8e(0x524)]&&_0x535586[_0x24bc8e(0x524)][_0x24bc8e(0xcef)]&&_0x535586[_0x24bc8e(0x524)]['errors']['length']){_0x1de390[_0x24bc8e(0xcef)]=_0x535586['data'][_0x24bc8e(0xcef)]||[{'message':_0x535586[_0x24bc8e(0xd5f)](),'type':_0x24bc8e(0x268a)}];for(let _0x11f784=0x0;_0x11f784<_0x535586['data'][_0x24bc8e(0xcef)][_0x24bc8e(0x402)];_0x11f784++){_0x358cdc[_0x24bc8e(0x1980)]({'title':_0x535586[_0x24bc8e(0x524)][_0x24bc8e(0xcef)][_0x11f784]['type'],'msg':_0x535586[_0x24bc8e(0x524)][_0x24bc8e(0xcef)][_0x11f784][_0x24bc8e(0x7fd)]});}}else _0x358cdc['error']({'title':_0x535586['status']?'API:'+_0x535586[_0x24bc8e(0x107b)]+'\x20-\x20'+_0x535586[_0x24bc8e(0x167f)]:'SYSTEM:DELETEanalyticMetric','msg':_0x535586[_0x24bc8e(0x524)]?JSON[_0x24bc8e(0x10bb)](_0x535586[_0x24bc8e(0x524)]['message']):_0x535586[_0x24bc8e(0x7fd)]||_0x535586[_0x24bc8e(0xd5f)]()});});}function _0x241dde(){const _0xee28df=_0x40dc28,_0x344f7a=angular[_0xee28df(0x235a)](_0x1de390[_0xee28df(0xa43)]);return _0x1de390[_0xee28df(0xa43)]=[],_0x344f7a;}function _0x34c232(_0x504088){const _0x17a136=_0x40dc28,_0x4076fe=_0x34adeb[_0x17a136(0x1e8a)]()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20metrics?')[_0x17a136(0x1cbe)](''+_0x1de390['selectedMetrics']['length']+'\x20selected'+'\x20will\x20be\x20deleted.')[_0x17a136(0x4bd)](_0x17a136(0x2437))[_0x17a136(0x1f27)](_0x504088)['ok']('OK')[_0x17a136(0x6c3)](_0x17a136(0x39a));_0x34adeb[_0x17a136(0x2615)](_0x4076fe)[_0x17a136(0x146b)](function(){const _0x3eb369=_0x17a136;_0x1de390[_0x3eb369(0xa43)][_0x3eb369(0x1df5)](function(_0x4bb328){_0xfa59ad(_0x4bb328);}),_0x1de390[_0x3eb369(0xa43)]=[];});}function _0x37a07f(){const _0x58c0d0=_0x40dc28;_0x1de390[_0x58c0d0(0xa43)]=[];}function _0x2d5e9e(){const _0x5405ca=_0x40dc28;_0x1de390[_0x5405ca(0xa43)]=_0x1de390[_0x5405ca(0x210e)][_0x5405ca(0x19c7)];}}const _0x58d042=_0x5c778c;;_0x147f63[_0x313a4d(0x11c2)]=[_0x313a4d(0x921),_0x313a4d(0x695)];function _0x147f63(_0x20b3d0,_0x1177e7){const _0x5ea6e7=_0x313a4d;_0x20b3d0['state'](_0x5ea6e7(0x1925),{'abstract':!![],'url':_0x5ea6e7(0x2083)})[_0x5ea6e7(0x13d6)](_0x5ea6e7(0x2360),{'url':_0x5ea6e7(0x6e9),'views':{'content@app':{'templateUrl':_0x4ae842,'controller':_0x5ea6e7(0x1082)}},'resolve':{'metrics':[_0x5ea6e7(0x362),'Auth',function(_0x309e3b,_0x418c7a){const _0x568d8e=_0x5ea6e7;return _0x418c7a['hasRole']('admin')?_0x309e3b['resolve']('analyticMetric@get',{'fields':_0x568d8e(0x20f9),'sort':_0x568d8e(0x12f2),'limit':0xa,'offset':0x0}):_0x309e3b[_0x568d8e(0x2922)]('userProfile@getResources',{'id':_0x418c7a[_0x568d8e(0xb12)]()['userProfileId'],'section':_0x568d8e(0x24d8),'fields':'createdAt,updatedAt,id,name,table,metric,description','sort':_0x568d8e(0x12f2),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver','Auth',function(_0x62b96c,_0x3db0ff){const _0x16414f=_0x5ea6e7;return _0x3db0ff[_0x16414f(0x23e0)]('admin')?null:_0x62b96c[_0x16414f(0x2922)](_0x16414f(0x119a),{'fields':_0x16414f(0x227),'id':_0x3db0ff[_0x16414f(0xb12)]()[_0x16414f(0x209a)]});}],'userProfileSection':[_0x5ea6e7(0x362),'Auth',function(_0x1e6094,_0x58b185){const _0x15c2ab=_0x5ea6e7;return _0x58b185[_0x15c2ab(0x23e0)]('admin')?null:_0x1e6094['resolve'](_0x15c2ab(0x27be),{'fields':_0x15c2ab(0x1e64),'userProfileId':_0x58b185['getCurrentUser']()['userProfileId'],'sectionId':0x4b1});}]},'authenticate':!![],'permissionId':0x4b1,'bodyClass':'analytics'})[_0x5ea6e7(0x13d6)]('app.analytics.extractedReports',{'url':_0x5ea6e7(0xd84),'views':{'content@app':{'templateUrl':_0x1991b9,'controller':_0x5ea6e7(0x2609)}},'resolve':{'extractedReports':[_0x5ea6e7(0x362),'Auth',function(_0x3ee0e5,_0x59819a){const _0x2693e1=_0x5ea6e7;return _0x59819a[_0x2693e1(0x23e0)]('admin')?_0x3ee0e5[_0x2693e1(0x2922)](_0x2693e1(0xb20),{'fields':_0x2693e1(0x1a71),'sort':'-updatedAt','limit':0xa,'offset':0x0}):_0x3ee0e5[_0x2693e1(0x2922)](_0x2693e1(0x938),{'id':_0x59819a[_0x2693e1(0xb12)]()['userProfileId'],'section':_0x2693e1(0x1d35),'fields':_0x2693e1(0x1a71),'sort':'-updatedAt','limit':0xa,'offset':0x0});}],'userProfile':[_0x5ea6e7(0x362),_0x5ea6e7(0xa87),function(_0x11d148,_0x5131d1){const _0x5762d8=_0x5ea6e7;return _0x5131d1['hasRole'](_0x5762d8(0x174b))?null:_0x11d148[_0x5762d8(0x2922)]('userProfile@get',{'fields':_0x5762d8(0x227),'id':_0x5131d1[_0x5762d8(0xb12)]()[_0x5762d8(0x209a)]});}],'userProfileSection':['apiResolver',_0x5ea6e7(0xa87),function(_0x4d97d4,_0x594e4e){const _0x11ac58=_0x5ea6e7;return _0x594e4e[_0x11ac58(0x23e0)](_0x11ac58(0x174b))?null:_0x4d97d4['resolve'](_0x11ac58(0x27be),{'fields':_0x11ac58(0x1e64),'userProfileId':_0x594e4e['getCurrentUser']()['userProfileId'],'sectionId':0x4b2});}]},'authenticate':!![],'permissionId':0x4b2,'bodyClass':_0x5ea6e7(0xf5c)}),_0x1177e7[_0x5ea6e7(0x15bf)](_0x5ea6e7(0xf36));}angular[_0x313a4d(0x2528)]('app.analytics',[_0x313a4d(0xdad),_0x313a4d(0x962),_0x313a4d(0x23c7),_0x313a4d(0x963),_0x313a4d(0x19e2),_0x313a4d(0x27c1),_0x313a4d(0x195b),_0x313a4d(0x15ef),_0x313a4d(0xc86),_0x313a4d(0x1f5f),_0x313a4d(0x28a8),'mwFormViewer',_0x313a4d(0x1e57),'ngclipboard',_0x313a4d(0x1bd2),_0x313a4d(0x1792),'angularMaterialFormBuilder','material.components.expansionPanels',_0x313a4d(0x2713),_0x313a4d(0x11df),'app.analytics.reports'])[_0x313a4d(0x989)](_0x147f63)[_0x313a4d(0x28f0)](_0x313a4d(0x1c45),_0x5e634c)[_0x313a4d(0x28f0)](_0x313a4d(0x141b),_0x1f19a8)[_0x313a4d(0x28f0)](_0x313a4d(0x255),_0x18613c)[_0x313a4d(0x28f0)](_0x313a4d(0xf48),_0x58d042);;const _0x1bf47d=_0x4acfac['p']+'src/js/modules/main/apps/integrations/views/zendeskAccounts/zendeskAccounts.html/zendeskAccounts.html';;const _0x31432a=_0x4acfac['p']+'src/js/modules/main/apps/integrations/views/zendeskAccounts/edit/view.html/view.html';;const _0x9908c7=_0x4acfac['p']+_0x313a4d(0x403);;const _0xffe78=_0x4acfac['p']+_0x313a4d(0x22c2);;const _0xb8d797=_0x4acfac['p']+'src/js/modules/main/apps/integrations/views/freshdeskAccounts/freshdeskAccounts.html/freshdeskAccounts.html';;const _0x182d3e=_0x4acfac['p']+_0x313a4d(0x1277);;const _0x2cbed3=_0x4acfac['p']+_0x313a4d(0x1c3b);;const _0x5036b2=_0x4acfac['p']+_0x313a4d(0x8a7);;const _0x5eb581=_0x4acfac['p']+_0x313a4d(0x1b40);;const _0x467534=_0x4acfac['p']+'src/js/modules/main/apps/integrations/views/deskAccounts/edit/view.html/view.html';;const _0x34830d=_0x4acfac['p']+_0x313a4d(0x1a81);;const _0x279f5c=_0x4acfac['p']+'src/js/modules/main/apps/integrations/views/zohoAccounts/edit/view.html/view.html';;const _0xcd6f76=_0x4acfac['p']+_0x313a4d(0x19cd);;const _0x52d6f1=_0x4acfac['p']+_0x313a4d(0x24f0);;const _0x50a1b4=_0x4acfac['p']+_0x313a4d(0x133e);;const _0x7dedfe=_0x4acfac['p']+_0x313a4d(0x186b);;const _0x23d4a8=_0x4acfac['p']+_0x313a4d(0xb2d);;const _0x581d51=_0x4acfac['p']+_0x313a4d(0x20f0);;const _0x119f88=_0x4acfac['p']+_0x313a4d(0xc98);;const _0x2c1489=_0x4acfac['p']+_0x313a4d(0x1a19);;_0x539e89[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$state',_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),'toasty','deskAccounts','deskAccount',_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x539e89(_0x1d1120,_0x43f919,_0x463326,_0x143007,_0x16df3d,_0x342d93,_0x5e5bce,_0x11abc9,_0x43ca24,_0x539990,_0x26b76a,_0x5e9f4b,_0xfe57b9,_0x55d55c){const _0x2941b9=_0x313a4d,_0x1b9ce9=this;_0x1b9ce9[_0x2941b9(0x2321)]=_0x26b76a[_0x2941b9(0xb12)](),_0x1b9ce9['errors']=[],_0x1b9ce9[_0x2941b9(0x15b9)]=_0xfe57b9,_0x1b9ce9[_0x2941b9(0x2690)]=_0x5e9f4b,_0x1b9ce9[_0x2941b9(0x2514)]=_0x55d55c,_0x1b9ce9['hasModulePermissions']={},_0x1b9ce9['passwordPattern']=_0x1b9ce9[_0x2941b9(0x15b9)]&&_0x1b9ce9['setting'][_0x2941b9(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x1b9ce9[_0x2941b9(0x1189)]=_0x2941b9(0x1a6e),_0x1b9ce9[_0x2941b9(0x262b)]=angular[_0x2941b9(0x235a)](_0x43ca24),_0x1b9ce9[_0x2941b9(0x7c8)]=_0x11abc9,_0x1b9ce9[_0x2941b9(0x126c)]=![];!_0x1b9ce9[_0x2941b9(0x262b)]&&(_0x1b9ce9[_0x2941b9(0x262b)]={'type':_0x2941b9(0xb4b),'authType':_0x2941b9(0x241d),'serverUrl':_0x463326['protocol']()+'://'+_0x463326[_0x2941b9(0x148e)]()+(_0x463326[_0x2941b9(0x1553)]()?':'+_0x463326[_0x2941b9(0x1553)]():'')},_0x1b9ce9[_0x2941b9(0x1189)]=_0x2941b9(0x259a),_0x1b9ce9[_0x2941b9(0x126c)]=!![]);_0x1b9ce9[_0x2941b9(0x1055)]=_0x5e8774,_0x1b9ce9[_0x2941b9(0x1232)]=_0x445d69,_0x1b9ce9[_0x2941b9(0xb90)]=_0x447dbb,_0x1b9ce9['getDateFromString']=_0x3671b9,_0x1b9ce9['closeDialog']=_0x59ee39;function _0x5e8774(){const _0x43e967=_0x2941b9;_0x1b9ce9['errors']=[],_0x539990[_0x43e967(0x139)][_0x43e967(0x1e3)](_0x1b9ce9[_0x43e967(0x262b)])[_0x43e967(0x2945)][_0x43e967(0x146b)](function(_0x158018){const _0x2ef2a4=_0x43e967;_0x1b9ce9[_0x2ef2a4(0x7c8)]['unshift'](_0x158018['toJSON']()),_0x5e5bce[_0x2ef2a4(0x1c75)]({'title':_0x2ef2a4(0x1368),'msg':_0x1b9ce9[_0x2ef2a4(0x262b)][_0x2ef2a4(0x19eb)]?_0x1b9ce9[_0x2ef2a4(0x262b)][_0x2ef2a4(0x19eb)]+_0x2ef2a4(0x1386):''}),_0x59ee39(_0x158018);})[_0x43e967(0x129e)](function(_0x3420a6){const _0x1111f5=_0x43e967;if(_0x3420a6[_0x1111f5(0x524)]&&_0x3420a6[_0x1111f5(0x524)][_0x1111f5(0xcef)]&&_0x3420a6['data'][_0x1111f5(0xcef)][_0x1111f5(0x402)]){_0x1b9ce9['errors']=_0x3420a6[_0x1111f5(0x524)]['errors']||[{'message':_0x3420a6['toString'](),'type':_0x1111f5(0x200)}];for(let _0x125393=0x0;_0x125393<_0x3420a6['data'][_0x1111f5(0xcef)][_0x1111f5(0x402)];_0x125393+=0x1){_0x5e5bce['error']({'title':_0x3420a6[_0x1111f5(0x524)][_0x1111f5(0xcef)][_0x125393][_0x1111f5(0x1142)],'msg':_0x3420a6['data']['errors'][_0x125393]['message']});}}else _0x5e5bce['error']({'title':_0x3420a6[_0x1111f5(0x107b)]?_0x1111f5(0x262a)+_0x3420a6[_0x1111f5(0x107b)]+_0x1111f5(0x1315)+_0x3420a6[_0x1111f5(0x167f)]:'api.intDeskAccount.save','msg':_0x3420a6[_0x1111f5(0x524)]?JSON[_0x1111f5(0x10bb)](_0x3420a6['data'][_0x1111f5(0x7fd)]):_0x3420a6[_0x1111f5(0xd5f)]()});});}function _0x445d69(){const _0x5645c0=_0x2941b9;_0x1b9ce9[_0x5645c0(0xcef)]=[],_0x539990[_0x5645c0(0x139)][_0x5645c0(0x18e1)]({'id':_0x1b9ce9[_0x5645c0(0x262b)]['id']},_0x1b9ce9[_0x5645c0(0x262b)])['$promise']['then'](function(_0x2ba7a2){const _0x2e4706=_0x5645c0,_0x3ef896=_0x3f65c0()['find'](_0x1b9ce9[_0x2e4706(0x7c8)],{'id':_0x2ba7a2['id']});_0x3ef896&&_0x3f65c0()[_0x2e4706(0x168d)](_0x3ef896,_0x3f65c0()['pick'](_0x2ba7a2['toJSON'](),_0x3f65c0()['keys'](_0x3ef896))),_0x5e5bce[_0x2e4706(0x1c75)]({'title':_0x2e4706(0xb41),'msg':_0x1b9ce9['deskAccount'][_0x2e4706(0x19eb)]?_0x1b9ce9[_0x2e4706(0x262b)][_0x2e4706(0x19eb)]+'\x20has\x20been\x20saved!':''}),_0x59ee39(_0x2ba7a2);})[_0x5645c0(0x129e)](function(_0x13f9f3){const _0x33df5d=_0x5645c0;if(_0x13f9f3[_0x33df5d(0x524)]&&_0x13f9f3[_0x33df5d(0x524)][_0x33df5d(0xcef)]&&_0x13f9f3[_0x33df5d(0x524)][_0x33df5d(0xcef)][_0x33df5d(0x402)]){_0x1b9ce9[_0x33df5d(0xcef)]=_0x13f9f3[_0x33df5d(0x524)][_0x33df5d(0xcef)]||[{'message':_0x13f9f3['toString'](),'type':_0x33df5d(0x1693)}];for(let _0x4bd5cf=0x0;_0x4bd5cf<_0x13f9f3[_0x33df5d(0x524)][_0x33df5d(0xcef)]['length'];_0x4bd5cf++){_0x5e5bce[_0x33df5d(0x1980)]({'title':_0x13f9f3[_0x33df5d(0x524)][_0x33df5d(0xcef)][_0x4bd5cf][_0x33df5d(0x1142)],'msg':_0x13f9f3['data'][_0x33df5d(0xcef)][_0x4bd5cf][_0x33df5d(0x7fd)]});}}else _0x5e5bce[_0x33df5d(0x1980)]({'title':_0x13f9f3[_0x33df5d(0x107b)]?_0x33df5d(0x262a)+_0x13f9f3['status']+_0x33df5d(0x1315)+_0x13f9f3[_0x33df5d(0x167f)]:'api.intDeskAccount.update','msg':_0x13f9f3[_0x33df5d(0x524)]?JSON[_0x33df5d(0x10bb)](_0x13f9f3['data']['message']):_0x13f9f3[_0x33df5d(0xd5f)]()});});}function _0x447dbb(_0x25884a){const _0x4a695f=_0x2941b9;_0x1b9ce9[_0x4a695f(0xcef)]=[];const _0x25f6d2=_0x143007[_0x4a695f(0x1e8a)]()[_0x4a695f(0x1189)](_0x4a695f(0x1d64))[_0x4a695f(0x80f)]('The\x20deskAccount\x20will\x20be\x20deleted.')['ariaLabel']('Delete\x20DeskAccount')['ok'](_0x4a695f(0x25de))[_0x4a695f(0x6c3)]('Cancel')['targetEvent'](_0x25884a);_0x143007[_0x4a695f(0x2615)](_0x25f6d2)[_0x4a695f(0x146b)](function(){const _0x441a50=_0x4a695f;_0x539990[_0x441a50(0x139)][_0x441a50(0x1fac)]({'id':_0x1b9ce9[_0x441a50(0x262b)]['id']})[_0x441a50(0x2945)][_0x441a50(0x146b)](function(){const _0x1f2bc3=_0x441a50;_0x3f65c0()[_0x1f2bc3(0x2640)](_0x1b9ce9[_0x1f2bc3(0x7c8)],{'id':_0x1b9ce9[_0x1f2bc3(0x262b)]['id']}),_0x5e5bce[_0x1f2bc3(0x1c75)]({'title':_0x1f2bc3(0x14f2),'msg':(_0x1b9ce9[_0x1f2bc3(0x262b)][_0x1f2bc3(0x19eb)]||_0x1f2bc3(0x262b))+'\x20has\x20been\x20deleted!'}),_0x59ee39(_0x1b9ce9[_0x1f2bc3(0x262b)]);})['catch'](function(_0x1e0813){const _0x23531e=_0x441a50;if(_0x1e0813['data']&&_0x1e0813[_0x23531e(0x524)][_0x23531e(0xcef)]&&_0x1e0813[_0x23531e(0x524)][_0x23531e(0xcef)][_0x23531e(0x402)]){_0x1b9ce9[_0x23531e(0xcef)]=_0x1e0813[_0x23531e(0x524)][_0x23531e(0xcef)]||[{'message':_0x1e0813['toString'](),'type':'api.intDeskAccount.delete'}];for(let _0x4305a8=0x0;_0x4305a8<_0x1e0813[_0x23531e(0x524)][_0x23531e(0xcef)]['length'];_0x4305a8++){_0x5e5bce[_0x23531e(0x1980)]({'title':_0x1e0813[_0x23531e(0x524)][_0x23531e(0xcef)][_0x4305a8][_0x23531e(0x1142)],'msg':_0x1e0813[_0x23531e(0x524)]['errors'][_0x4305a8][_0x23531e(0x7fd)]});}}else _0x5e5bce[_0x23531e(0x1980)]({'title':_0x1e0813[_0x23531e(0x107b)]?'API:'+_0x1e0813[_0x23531e(0x107b)]+_0x23531e(0x1315)+_0x1e0813['statusText']:'api.intDeskAccount.delete','msg':_0x1e0813[_0x23531e(0x524)]?JSON[_0x23531e(0x10bb)](_0x1e0813[_0x23531e(0x524)][_0x23531e(0x7fd)]):_0x1e0813[_0x23531e(0x7fd)]||_0x1e0813['toString']()});});},function(){});}function _0x3671b9(_0x1c49e9){return _0x1c49e9===null?undefined:new Date(_0x1c49e9);}function _0x59ee39(_0x20b15d){const _0xd2f244=_0x2941b9;_0x143007[_0xd2f244(0x2458)](_0x20b15d);}}const _0x1226ff=_0x539e89;;const _0x230c97=_0x4acfac['p']+_0x313a4d(0x1891);;_0x20cdea[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0x214b),_0x313a4d(0x7c8),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x216c),'toasty',_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x20cdea(_0x5e7f27,_0x5177ee,_0x568047,_0xc39d5b,_0x11dd4a,_0x54ad9e,_0x3fc755,_0x23a291,_0x1b036f,_0x176c53,_0x1ce4c0,_0x21009b,_0x1b8eb6,_0xae9695,_0xeb288d,_0xccdd86,_0x3eca58){const _0x1d3a7e=_0x313a4d,_0x177dca=this;_0x177dca[_0x1d3a7e(0x2690)]=_0xccdd86,_0x177dca['setting']=_0x3eca58,_0x177dca['currentUser']=_0xeb288d[_0x1d3a7e(0xb12)](),_0x177dca['deskAccounts']=_0x1b036f||{'count':0x0,'rows':[]},_0x177dca[_0x1d3a7e(0x26b6)]=_0x176c53,_0x177dca['userProfileSection']=_0x1ce4c0&&_0x1ce4c0[_0x1d3a7e(0x51c)]==0x1?_0x1ce4c0['rows'][0x0]:null,_0x177dca[_0x1d3a7e(0x2514)]=_0xeb288d[_0x1d3a7e(0xe60)](_0x177dca[_0x1d3a7e(0x1366)]?_0x177dca[_0x1d3a7e(0x1366)][_0x1d3a7e(0x2514)]:null),_0x177dca[_0x1d3a7e(0x768)]='deskAccounts',_0x177dca['listOrder']='',_0x177dca[_0x1d3a7e(0x214f)]=null,_0x177dca['selectedDeskAccounts']=[],_0x177dca[_0x1d3a7e(0x1a56)]={'fields':'createdAt,updatedAt,id,name,type,remoteUri,authType,username,password,serverUrl,description','limit':0xa,'page':0x1},_0x177dca[_0x1d3a7e(0x11da)]=_0x3f65c0()[_0x1d3a7e(0x194)]([{'option':_0x1d3a7e(0xfad),'value':_0x1d3a7e(0x17ec)},{'option':_0x1d3a7e(0xf6a),'value':_0x1d3a7e(0x1404)}],function(_0x1df452){const _0xba7093=_0x1d3a7e;return _0x3f65c0()[_0xba7093(0x5f4)](_0x1df452['value'],new RegExp('\x27','g'),'');}),_0x177dca['arrayauthType']=_0x3f65c0()['keyBy']([{'option':_0x1d3a7e(0x267f),'value':_0x1d3a7e(0x26c3)}],function(_0x3ca0c5){const _0x23f860=_0x1d3a7e;return _0x3f65c0()[_0x23f860(0x5f4)](_0x3ca0c5['value'],new RegExp('\x27','g'),'');}),_0x177dca[_0x1d3a7e(0x23f9)]=_0x2a02f0,_0x177dca['testtestintegrationaccount']=_0x3866ba,_0x177dca[_0x1d3a7e(0xf0a)]=_0x1c8cf9,_0x177dca[_0x1d3a7e(0x1c75)]=_0x11c20c,_0x177dca['getDeskAccounts']=_0x43ec2e,_0x177dca['createOrEditDeskAccount']=_0x19fa8a,_0x177dca[_0x1d3a7e(0xb90)]=_0x132d83,_0x177dca[_0x1d3a7e(0x1d5d)]=_0x4d75b8,_0x177dca[_0x1d3a7e(0x23cc)]=_0x33daa5,_0x177dca[_0x1d3a7e(0x18a0)]=_0x19af9b,_0x177dca[_0x1d3a7e(0x7f9)]=_0x2bff0e;function _0x2a02f0(_0x297617){const _0x4d7159=_0x1d3a7e;_0x568047['go']('app.integrations.deskAccounts.edit',{'id':_0x297617['id'],'deskAccount':_0x297617,'crudPermissions':_0x177dca[_0x4d7159(0x2514)]});}function _0x3866ba(_0x49cdee){const _0x2b6cae=_0x1d3a7e;return _0x21009b['intDeskAccount'][_0x2b6cae(0x12ff)]({'id':_0x49cdee['id'],'test':!![]})[_0x2b6cae(0x2945)][_0x2b6cae(0x146b)](function(){const _0x129aa4=_0x2b6cae;_0xae9695[_0x129aa4(0x1c75)]({'title':'DeskAccount\x20properly\x20tested','msg':_0x129aa4(0x1893)});})[_0x2b6cae(0x129e)](function(_0x5a9353){const _0x4a34a9=_0x2b6cae;_0xae9695[_0x4a34a9(0x1980)]({'title':_0x4a34a9(0x13ac),'msg':_0x5a9353['data']?_0x5a9353['data'][_0x4a34a9(0x7fd)]?_0x5a9353[_0x4a34a9(0x524)]['message']:JSON[_0x4a34a9(0x10bb)](_0x5a9353[_0x4a34a9(0x524)]):_0x5a9353[_0x4a34a9(0xd5f)]()});});}function _0x1c8cf9(_0x5c9cc1,_0x2d2398){const _0x4f4b85=_0x1d3a7e,_0x59dde7=_0x11dd4a[_0x4f4b85(0x1e8a)]()[_0x4f4b85(0x1189)](_0x4f4b85(0xdb2)+_0x3f65c0()[_0x4f4b85(0x20d1)]('deskAccount')+'?')[_0x4f4b85(0x1cbe)](_0x4f4b85(0x16d3)+(_0x5c9cc1[_0x4f4b85(0x19eb)]||_0x4f4b85(0x262b))+''+_0x4f4b85(0xe01))[_0x4f4b85(0x4bd)]('delete\x20deskAccount')[_0x4f4b85(0x1f27)](_0x2d2398)['ok']('OK')['cancel'](_0x4f4b85(0x39a));_0x11dd4a[_0x4f4b85(0x2615)](_0x59dde7)[_0x4f4b85(0x146b)](function(){_0x132d83(_0x5c9cc1);},function(){console['log']('CANCEL');});}let _0x53c110=!![],_0x257e6d=0x1;_0x5e7f27[_0x1d3a7e(0x21e8)](_0x1d3a7e(0x2669),function(_0xdb160b,_0x33c50d){const _0x401e07=_0x1d3a7e;_0x53c110?_0x3fc755(function(){_0x53c110=![];}):(!_0x33c50d&&(_0x257e6d=_0x177dca[_0x401e07(0x1a56)]['page']),_0xdb160b!==_0x33c50d&&(_0x177dca[_0x401e07(0x1a56)][_0x401e07(0x844)]=0x1),!_0xdb160b&&(_0x177dca['query'][_0x401e07(0x844)]=_0x257e6d),_0x177dca[_0x401e07(0x1c35)]());});function _0x11c20c(_0x37f295){const _0xc76d5=_0x1d3a7e;_0x177dca[_0xc76d5(0x7c8)]=_0x37f295||{'count':0x0,'rows':[]};}function _0x43ec2e(){const _0x4bc027=_0x1d3a7e;_0x177dca[_0x4bc027(0x1a56)]['offset']=(_0x177dca['query'][_0x4bc027(0x844)]-0x1)*_0x177dca[_0x4bc027(0x1a56)]['limit'],_0xeb288d['hasRole'](_0x4bc027(0x174b))?_0x177dca[_0x4bc027(0xb9c)]=_0x21009b[_0x4bc027(0x139)][_0x4bc027(0x16b4)](_0x177dca[_0x4bc027(0x1a56)],_0x11c20c)['$promise']:(_0x177dca[_0x4bc027(0x1a56)]['id']=_0x177dca['userProfile']['id'],_0x177dca[_0x4bc027(0x1a56)][_0x4bc027(0x2146)]=_0x4bc027(0xecc),_0x177dca['promise']=_0x21009b['userProfile'][_0x4bc027(0x158f)](_0x177dca['query'],_0x11c20c)['$promise']);}function _0x19fa8a(_0x4c8d65,_0x55421d){const _0x579918=_0x1d3a7e;_0x11dd4a[_0x579918(0x2615)]({'controller':_0x579918(0x20e2),'controllerAs':'vm','templateUrl':_0x230c97,'parent':angular['element'](_0x54ad9e[_0x579918(0x2586)]),'targetEvent':_0x4c8d65,'clickOutsideToClose':!![],'locals':{'deskAccount':_0x55421d,'deskAccounts':_0x177dca[_0x579918(0x7c8)][_0x579918(0x19c7)],'license':_0x177dca[_0x579918(0x2690)],'setting':_0x177dca[_0x579918(0x15b9)],'crudPermissions':_0x177dca[_0x579918(0x2514)]}});}function _0x132d83(_0x22510a){const _0x3464e1=_0x1d3a7e;_0x21009b[_0x3464e1(0x139)][_0x3464e1(0x1fac)]({'id':_0x22510a['id']})[_0x3464e1(0x2945)][_0x3464e1(0x146b)](function(){const _0x2d7156=_0x3464e1;_0x3f65c0()['remove'](_0x177dca['deskAccounts'][_0x2d7156(0x19c7)],{'id':_0x22510a['id']}),_0x177dca[_0x2d7156(0x7c8)][_0x2d7156(0x51c)]-=0x1,!_0x177dca[_0x2d7156(0x7c8)][_0x2d7156(0x19c7)][_0x2d7156(0x402)]&&_0x177dca[_0x2d7156(0x1c35)](),_0xae9695['success']({'title':_0x3f65c0()[_0x2d7156(0x20d1)]('DeskAccount')+_0x2d7156(0x201c),'msg':_0x22510a[_0x2d7156(0x19eb)]?_0x22510a[_0x2d7156(0x19eb)]+'\x20has\x20been\x20deleted!':''});})[_0x3464e1(0x129e)](function(_0x5a9c0d){const _0x3d3831=_0x3464e1;if(_0x5a9c0d[_0x3d3831(0x524)]&&_0x5a9c0d[_0x3d3831(0x524)][_0x3d3831(0xcef)]&&_0x5a9c0d['data'][_0x3d3831(0xcef)][_0x3d3831(0x402)]){_0x177dca[_0x3d3831(0xcef)]=_0x5a9c0d[_0x3d3831(0x524)][_0x3d3831(0xcef)]||[{'message':_0x5a9c0d[_0x3d3831(0xd5f)](),'type':'SYSTEM:DELETEintDeskAccount'}];for(let _0x3c9a4e=0x0;_0x3c9a4e<_0x5a9c0d[_0x3d3831(0x524)]['errors'][_0x3d3831(0x402)];_0x3c9a4e++){_0xae9695[_0x3d3831(0x1980)]({'title':_0x5a9c0d[_0x3d3831(0x524)]['errors'][_0x3c9a4e]['type'],'msg':_0x5a9c0d[_0x3d3831(0x524)][_0x3d3831(0xcef)][_0x3c9a4e][_0x3d3831(0x7fd)]});}}else _0xae9695[_0x3d3831(0x1980)]({'title':_0x5a9c0d[_0x3d3831(0x107b)]?_0x3d3831(0x262a)+_0x5a9c0d[_0x3d3831(0x107b)]+_0x3d3831(0x1315)+_0x5a9c0d['statusText']:_0x3d3831(0xd1e),'msg':_0x5a9c0d[_0x3d3831(0x524)]?JSON[_0x3d3831(0x10bb)](_0x5a9c0d[_0x3d3831(0x524)]['message']):_0x5a9c0d[_0x3d3831(0x7fd)]||_0x5a9c0d[_0x3d3831(0xd5f)]()});});}function _0x4d75b8(){const _0x4df374=_0x1d3a7e,_0x1e90f7=angular[_0x4df374(0x235a)](_0x177dca[_0x4df374(0x130e)]);return _0x177dca[_0x4df374(0x130e)]=[],_0x1e90f7;}function _0x33daa5(_0x325d36){const _0x5e5dbe=_0x1d3a7e,_0x59ffea=_0x11dd4a[_0x5e5dbe(0x1e8a)]()[_0x5e5dbe(0x1189)](_0x5e5dbe(0xd87))[_0x5e5dbe(0x1cbe)](_0x5e5dbe(0x16d3)+_0x177dca[_0x5e5dbe(0x130e)][_0x5e5dbe(0x402)]+_0x5e5dbe(0x2452)+_0x5e5dbe(0xe01))[_0x5e5dbe(0x4bd)](_0x5e5dbe(0x2b5))[_0x5e5dbe(0x1f27)](_0x325d36)['ok']('OK')[_0x5e5dbe(0x6c3)](_0x5e5dbe(0x39a));_0x11dd4a['show'](_0x59ffea)[_0x5e5dbe(0x146b)](function(){const _0x2238b1=_0x5e5dbe;_0x177dca[_0x2238b1(0x130e)][_0x2238b1(0x1df5)](function(_0x1657ce){_0x132d83(_0x1657ce);}),_0x177dca[_0x2238b1(0x130e)]=[];});}function _0x19af9b(){const _0x94e19f=_0x1d3a7e;_0x177dca[_0x94e19f(0x130e)]=[];}function _0x2bff0e(){const _0x57e9f1=_0x1d3a7e;_0x177dca['selectedDeskAccounts']=_0x177dca[_0x57e9f1(0x7c8)][_0x57e9f1(0x19c7)];}}const _0x5bc86e=_0x20cdea;;const _0x2957e9=_0x4acfac['p']+_0x313a4d(0x396);;const _0x38ba14=_0x4acfac['p']+_0x313a4d(0x26fb);;_0x4c95cf[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$document',_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87)];function _0x4c95cf(_0x56a147,_0x2dc45c,_0x32c39d,_0x1f13f2,_0x46866d){const _0x27a317=_0x313a4d,_0x5da0de=this;_0x5da0de[_0x27a317(0x2321)]=_0x46866d['getCurrentUser'](),_0x5da0de[_0x27a317(0x262b)]={},_0x5da0de[_0x27a317(0x451)]={},_0x5da0de[_0x27a317(0x1723)]=[],_0x5da0de[_0x27a317(0x749)]=-0x1,_0x5da0de['crudPermissions'],_0x5da0de[_0x27a317(0x5aa)]=_0x19743a,_0x5da0de[_0x27a317(0x220d)]=_0x59b6ff,_0x5da0de[_0x27a317(0x1b74)]=_0x3f547a,_0x5da0de[_0x27a317(0xfde)]=_0xab3456,_0x5da0de[_0x27a317(0x2323)]=[],_0x5da0de['selectTagsChanged']=_0x72b567,_0x32c39d[_0x27a317(0xa80)][_0x27a317(0x16b4)]({'nolimit':!![],'sort':_0x27a317(0x19eb)})[_0x27a317(0x2945)][_0x27a317(0x146b)](function(_0xeb9439){const _0x38f951=_0x27a317;_0x5da0de[_0x38f951(0x1b86)]=_0xeb9439[_0x38f951(0x19c7)]?_0xeb9439[_0x38f951(0x19c7)]:[];})[_0x27a317(0x129e)](function(_0x5926c3){const _0x3777d8=_0x27a317;_0x1f13f2['error']({'title':_0x5926c3[_0x3777d8(0x107b)]?'API:'+_0x5926c3['status']+_0x3777d8(0x1315)+_0x5926c3[_0x3777d8(0x167f)]:_0x3777d8(0x220e),'msg':_0x5926c3['data']?JSON[_0x3777d8(0x10bb)](_0x5926c3[_0x3777d8(0x524)]):_0x5926c3[_0x3777d8(0xd5f)]()});});function _0x72b567(){const _0x26d418=_0x27a317;_0x32c39d['intDeskConfiguration'][_0x26d418(0x13eb)]({'id':_0x5da0de[_0x26d418(0x451)]['id'],'ids':_0x5da0de[_0x26d418(0x2323)]})[_0x26d418(0x2945)][_0x26d418(0x146b)](function(){const _0x559cf0=_0x26d418;_0x1f13f2[_0x559cf0(0x1c75)]({'title':_0x559cf0(0x20f8),'msg':'Tags\x20has\x20been\x20set!'});})[_0x26d418(0x129e)](function(_0x53a6ee){const _0x133c7f=_0x26d418;_0x1f13f2[_0x133c7f(0x1980)]({'title':_0x53a6ee[_0x133c7f(0x107b)]?_0x133c7f(0x262a)+_0x53a6ee[_0x133c7f(0x107b)]+_0x133c7f(0x1315)+_0x53a6ee[_0x133c7f(0x167f)]:_0x133c7f(0x11c3),'msg':_0x53a6ee[_0x133c7f(0x524)]?JSON[_0x133c7f(0x10bb)](_0x53a6ee[_0x133c7f(0x524)]):_0x53a6ee['toString']()});});}function _0x19743a(_0x2f39c0,_0x380435){const _0xc1eea8=_0x27a317;_0x5da0de[_0xc1eea8(0x262b)]=_0x2f39c0,_0x5da0de[_0xc1eea8(0x2514)]=typeof _0x380435!=='undefined'?_0x380435:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x32c39d[_0xc1eea8(0x139)][_0xc1eea8(0xeb)]({'id':_0x5da0de[_0xc1eea8(0x262b)]['id'],'sort':_0xc1eea8(0x12f2)})[_0xc1eea8(0x2945)]['then'](function(_0x307296){const _0x13b481=_0xc1eea8;return _0x5da0de['configurations']=_0x307296[_0x13b481(0x19c7)]?_0x307296['rows']:[],_0x5da0de[_0x13b481(0x1723)][_0x13b481(0x402)]&&_0x5da0de[_0x13b481(0x220d)](0x0),_0x32c39d[_0x13b481(0x212)]['get']({'nolimit':!![],'sort':_0x13b481(0x19eb)})['$promise'];})[_0xc1eea8(0x146b)](function(_0x439d1c){const _0x5f5017=_0xc1eea8;_0x5da0de[_0x5f5017(0x85b)]=_0x439d1c[_0x5f5017(0x19c7)]?_0x439d1c[_0x5f5017(0x19c7)]:[],_0x5da0de[_0x5f5017(0x80c)]=_0x3f65c0()['keyBy'](_0x5da0de['variables'],'id');})[_0xc1eea8(0x129e)](function(_0x9869ad){const _0x36439e=_0xc1eea8;_0x1f13f2[_0x36439e(0x1980)]({'title':_0x9869ad[_0x36439e(0x107b)]?'API:'+_0x9869ad[_0x36439e(0x107b)]+_0x36439e(0x1315)+_0x9869ad[_0x36439e(0x167f)]:_0x36439e(0x9e7),'msg':_0x9869ad[_0x36439e(0x524)]?JSON[_0x36439e(0x10bb)](_0x9869ad[_0x36439e(0x524)]):_0x9869ad[_0x36439e(0xd5f)]()});});}function _0x59b6ff(_0x14b75c){const _0x2a33f5=_0x27a317;_0x5da0de[_0x2a33f5(0x451)]=_0x5da0de[_0x2a33f5(0x1723)][_0x14b75c],_0x5da0de[_0x2a33f5(0x749)]=_0x14b75c,_0x32c39d[_0x2a33f5(0x2778)][_0x2a33f5(0x18ee)]({'id':_0x5da0de[_0x2a33f5(0x451)]['id']})[_0x2a33f5(0x2945)]['then'](function(_0x2ebbb0){const _0xa61db7=_0x2a33f5;return _0x5da0de['subjects']=_0x2ebbb0[_0xa61db7(0x19c7)]?_0x2ebbb0[_0xa61db7(0x19c7)]:[],_0x32c39d[_0xa61db7(0x2778)][_0xa61db7(0xc2a)]({'id':_0x5da0de[_0xa61db7(0x451)]['id']})[_0xa61db7(0x2945)];})[_0x2a33f5(0x146b)](function(_0x2a7d6b){const _0x1d79ab=_0x2a33f5;return _0x5da0de[_0x1d79ab(0x2358)]=_0x2a7d6b['rows']?_0x2a7d6b[_0x1d79ab(0x19c7)]:[],_0x32c39d[_0x1d79ab(0x2778)]['getFields']({'id':_0x5da0de[_0x1d79ab(0x451)]['id']})[_0x1d79ab(0x2945)];})[_0x2a33f5(0x146b)](function(_0x4f2c0f){const _0x487f38=_0x2a33f5;_0x5da0de[_0x487f38(0x2867)]=_0x4f2c0f[_0x487f38(0x19c7)]?_0x4f2c0f['rows']:[];})[_0x2a33f5(0x129e)](function(_0x451656){const _0x54e360=_0x2a33f5;_0x1f13f2[_0x54e360(0x1980)]({'title':_0x451656['status']?_0x54e360(0x262a)+_0x451656[_0x54e360(0x107b)]+_0x54e360(0x1315)+_0x451656[_0x54e360(0x167f)]:_0x54e360(0x6ca),'msg':_0x451656['data']?JSON['stringify'](_0x451656[_0x54e360(0x524)]):_0x451656[_0x54e360(0xd5f)]()});}),_0x32c39d['intDeskConfiguration']['getTags']({'id':_0x5da0de['deskConfiguration']['id']})[_0x2a33f5(0x2945)][_0x2a33f5(0x146b)](function(_0xd1575e){const _0x247f7f=_0x2a33f5;_0x5da0de['selectedTags']=_0xd1575e[_0x247f7f(0x19c7)]?_0x3f65c0()[_0x247f7f(0x205)](_0xd1575e[_0x247f7f(0x19c7)],'id'):[];})[_0x2a33f5(0x129e)](function(_0xa26f4e){const _0x56c6a0=_0x2a33f5;_0x1f13f2['error']({'title':_0xa26f4e[_0x56c6a0(0x107b)]?_0x56c6a0(0x262a)+_0xa26f4e[_0x56c6a0(0x107b)]+'\x20-\x20'+_0xa26f4e[_0x56c6a0(0x167f)]:'SYSTEM:GETTAGS','msg':_0xa26f4e[_0x56c6a0(0x524)]?JSON[_0x56c6a0(0x10bb)](_0xa26f4e[_0x56c6a0(0x524)]):_0xa26f4e['toString']()});});}function _0x3f547a(_0x5abcaf,_0x54f3e2){const _0x4a12c2=_0x27a317;_0x56a147[_0x4a12c2(0x2615)]({'controller':'CreateOrEditDeskConfigurationDialogController','controllerAs':'vm','templateUrl':_0x2957e9,'parent':angular[_0x4a12c2(0x1853)](_0x2dc45c[_0x4a12c2(0x2586)]),'targetEvent':_0x5abcaf,'clickOutsideToClose':!![],'locals':{'deskConfiguration':_0x54f3e2,'configurations':_0x5da0de[_0x4a12c2(0x1723)],'license':null,'setting':null,'crudPermissions':_0x5da0de['crudPermissions']}})[_0x4a12c2(0x1ec6)](function(){const _0x21df02=_0x4a12c2;_0x5da0de[_0x21df02(0x220d)](0x0);});}function _0xab3456(_0x2f483d,_0x23b5d7){const _0x3a0f01=_0x27a317,_0x148b99=_0x56a147[_0x3a0f01(0x1e8a)]()[_0x3a0f01(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20deskConfiguration?')[_0x3a0f01(0x1cbe)](_0x3a0f01(0x16d3)+(_0x23b5d7[_0x3a0f01(0x19eb)]||_0x3a0f01(0x451))+_0x3a0f01(0x252f)+_0x3a0f01(0xe01))[_0x3a0f01(0x4bd)]('delete\x20deskConfiguration')[_0x3a0f01(0x1f27)](_0x2f483d)['ok']('OK')[_0x3a0f01(0x6c3)](_0x3a0f01(0x39a));_0x56a147['show'](_0x148b99)[_0x3a0f01(0x146b)](function(){const _0x2b1498=_0x3a0f01;_0x32c39d[_0x2b1498(0x2778)][_0x2b1498(0x1fac)]({'id':_0x23b5d7['id']})['$promise']['then'](function(){const _0x45f9fd=_0x2b1498;_0x3f65c0()['remove'](_0x5da0de['configurations'],{'id':_0x23b5d7['id']}),_0x1f13f2[_0x45f9fd(0x1c75)]({'title':_0x45f9fd(0x1872),'msg':_0x23b5d7[_0x45f9fd(0x19eb)]?_0x23b5d7[_0x45f9fd(0x19eb)]+'\x20has\x20been\x20deleted!':''});})[_0x2b1498(0x129e)](function(_0x4dff4e){const _0x4280e1=_0x2b1498;_0x1f13f2[_0x4280e1(0x1980)]({'title':_0x4dff4e[_0x4280e1(0x107b)]?_0x4280e1(0x262a)+_0x4dff4e['status']+'\x20-\x20'+_0x4dff4e[_0x4280e1(0x167f)]:_0x4280e1(0xff1),'msg':_0x4dff4e[_0x4280e1(0x524)]?JSON[_0x4280e1(0x10bb)](_0x4dff4e[_0x4280e1(0x524)]):_0x4dff4e[_0x4280e1(0xd5f)]()});})[_0x2b1498(0x1ec6)](function(){const _0x3895d8=_0x2b1498;_0x5da0de[_0x3895d8(0x220d)](0x0);});},function(){const _0x58cc6d=_0x3a0f01;console[_0x58cc6d(0x1a74)](_0x58cc6d(0x39a));});}_0x5da0de[_0x27a317(0x15a6)]=[],_0x5da0de['createOrEditSubject']=_0x1ebcdd,_0x5da0de[_0x27a317(0x10d5)]=_0x475657,_0x5da0de[_0x27a317(0x1777)]=_0x2be8fb;function _0x1ebcdd(_0x3630c4,_0x24d537){const _0x6be50e=_0x27a317;_0x56a147[_0x6be50e(0x2615)]({'controller':'CreateOrEditDeskAccountItemDialogController','controllerAs':'vm','templateUrl':_0x38ba14,'parent':angular[_0x6be50e(0x1853)](_0x2dc45c[_0x6be50e(0x2586)]),'targetEvent':_0x3630c4,'clickOutsideToClose':!![],'locals':{'type':_0x6be50e(0xe32),'item':_0x24d537,'items':_0x5da0de[_0x6be50e(0x3c8)],'configuration':_0x5da0de[_0x6be50e(0x451)],'account':_0x5da0de[_0x6be50e(0x262b)],'license':null,'setting':null,'crudPermissions':_0x5da0de[_0x6be50e(0x2514)]}});}function _0x2e3d4d(_0x24413b){const _0x1abf38=_0x27a317;_0x32c39d[_0x1abf38(0x1073)][_0x1abf38(0x1fac)]({'id':_0x24413b['id']})[_0x1abf38(0x2945)][_0x1abf38(0x146b)](function(){const _0x3f9b63=_0x1abf38;_0x3f65c0()[_0x3f9b63(0x2640)](_0x5da0de[_0x3f9b63(0x3c8)],{'id':_0x24413b['id']}),_0x1f13f2[_0x3f9b63(0x1c75)]({'title':_0x3f9b63(0x28e6),'msg':_0x3f9b63(0x761)});})['catch'](function(_0x239326){const _0xff96fb=_0x1abf38;_0x1f13f2[_0xff96fb(0x1980)]({'title':_0x239326[_0xff96fb(0x107b)]?_0xff96fb(0x262a)+_0x239326[_0xff96fb(0x107b)]+_0xff96fb(0x1315)+_0x239326[_0xff96fb(0x167f)]:_0xff96fb(0xf6e),'msg':_0x239326[_0xff96fb(0x524)]?JSON[_0xff96fb(0x10bb)](_0x239326[_0xff96fb(0x524)]):_0x239326[_0xff96fb(0xd5f)]()});});}function _0x475657(_0x5c0386,_0x567fb3){const _0x1b8d7f=_0x27a317,_0x22cd2a=_0x56a147[_0x1b8d7f(0x1e8a)]()[_0x1b8d7f(0x1189)](_0x1b8d7f(0x317))[_0x1b8d7f(0x1cbe)](_0x1b8d7f(0xf7f)+_0x1b8d7f(0xe01))['ariaLabel'](_0x1b8d7f(0x5d1))[_0x1b8d7f(0x1f27)](_0x5c0386)['ok']('OK')[_0x1b8d7f(0x6c3)](_0x1b8d7f(0x39a));_0x56a147[_0x1b8d7f(0x2615)](_0x22cd2a)[_0x1b8d7f(0x146b)](function(){_0x2e3d4d(_0x567fb3);},function(){console['log']('CANCEL');});}function _0x2be8fb(_0xdbed98){const _0x110740=_0x27a317,_0x5741a6=_0x56a147[_0x110740(0x1e8a)]()[_0x110740(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20subjects?')[_0x110740(0x1cbe)](_0x110740(0x16d3)+_0x5da0de[_0x110740(0x15a6)]['length']+'\x20selected'+_0x110740(0xe01))[_0x110740(0x4bd)](_0x110740(0x1209))['targetEvent'](_0xdbed98)['ok']('OK')[_0x110740(0x6c3)](_0x110740(0x39a));_0x56a147[_0x110740(0x2615)](_0x5741a6)[_0x110740(0x146b)](function(){const _0x4243aa=_0x110740;_0x5da0de['selectedSubjects'][_0x4243aa(0x1df5)](function(_0x5e038b){_0x2e3d4d(_0x5e038b);}),_0x5da0de[_0x4243aa(0x15a6)]=[];});}_0x5da0de[_0x27a317(0x126)]=[],_0x5da0de[_0x27a317(0x18da)]=_0x198c79,_0x5da0de[_0x27a317(0xb29)]=_0x181782,_0x5da0de['deleteSelectedDescriptions']=_0x15c8fd;function _0x198c79(_0x5033c6,_0x260f7c){const _0x411c99=_0x27a317;_0x56a147['show']({'controller':_0x411c99(0xe35),'controllerAs':'vm','templateUrl':_0x38ba14,'parent':angular[_0x411c99(0x1853)](_0x2dc45c['body']),'targetEvent':_0x5033c6,'clickOutsideToClose':!![],'locals':{'type':_0x411c99(0xb17),'item':_0x260f7c,'items':_0x5da0de['descriptions'],'configuration':_0x5da0de[_0x411c99(0x451)],'account':_0x5da0de[_0x411c99(0x262b)],'license':null,'setting':null,'crudPermissions':_0x5da0de[_0x411c99(0x2514)]}});}function _0xd763b9(_0x2e4bef){const _0x469d21=_0x27a317;_0x32c39d['intDeskField'][_0x469d21(0x1fac)]({'id':_0x2e4bef['id']})[_0x469d21(0x2945)][_0x469d21(0x146b)](function(){const _0x19dfb7=_0x469d21;_0x3f65c0()[_0x19dfb7(0x2640)](_0x5da0de[_0x19dfb7(0x2358)],{'id':_0x2e4bef['id']}),_0x1f13f2[_0x19dfb7(0x1c75)]({'title':_0x19dfb7(0xaf8),'msg':'Description\x20has\x20been\x20deleted!'});})[_0x469d21(0x129e)](function(_0x3fa445){const _0x70a428=_0x469d21;_0x1f13f2['error']({'title':_0x3fa445['status']?_0x70a428(0x262a)+_0x3fa445[_0x70a428(0x107b)]+_0x70a428(0x1315)+_0x3fa445['statusText']:'SYSTEM:DELETECONFIGURATIONFIELD','msg':_0x3fa445[_0x70a428(0x524)]?JSON[_0x70a428(0x10bb)](_0x3fa445['data']):_0x3fa445['toString']()});});}function _0x181782(_0x4b811b,_0x117ce7){const _0x426c6b=_0x27a317,_0x1b843e=_0x56a147[_0x426c6b(0x1e8a)]()['title'](_0x426c6b(0x1e9))[_0x426c6b(0x1cbe)](_0x426c6b(0x2014)+'\x20will\x20be\x20deleted.')[_0x426c6b(0x4bd)](_0x426c6b(0x1c5c))['targetEvent'](_0x4b811b)['ok']('OK')[_0x426c6b(0x6c3)](_0x426c6b(0x39a));_0x56a147['show'](_0x1b843e)[_0x426c6b(0x146b)](function(){_0xd763b9(_0x117ce7);},function(){const _0x4cd5ff=_0x426c6b;console[_0x4cd5ff(0x1a74)](_0x4cd5ff(0x39a));});}function _0x15c8fd(_0x518c2d){const _0x53ac97=_0x27a317,_0x1a8422=_0x56a147[_0x53ac97(0x1e8a)]()[_0x53ac97(0x1189)](_0x53ac97(0x1acd))[_0x53ac97(0x1cbe)](''+_0x5da0de[_0x53ac97(0x126)][_0x53ac97(0x402)]+_0x53ac97(0x2452)+_0x53ac97(0xe01))[_0x53ac97(0x4bd)]('delete\x20descriptions')['targetEvent'](_0x518c2d)['ok']('OK')['cancel'](_0x53ac97(0x39a));_0x56a147[_0x53ac97(0x2615)](_0x1a8422)[_0x53ac97(0x146b)](function(){const _0x3c0791=_0x53ac97;_0x5da0de[_0x3c0791(0x126)]['forEach'](function(_0x1ef5c4){_0xd763b9(_0x1ef5c4);}),_0x5da0de[_0x3c0791(0x126)]=[];});}_0x5da0de[_0x27a317(0x2605)]=[],_0x5da0de[_0x27a317(0x737)]=_0x36bb60,_0x5da0de[_0x27a317(0x2180)]=_0x32dd7e,_0x5da0de[_0x27a317(0x816)]=_0x1696f8;function _0x36bb60(_0xa4ab5d,_0x4d6c35){const _0x1783fa=_0x27a317;_0x56a147[_0x1783fa(0x2615)]({'controller':_0x1783fa(0xe35),'controllerAs':'vm','templateUrl':_0x38ba14,'parent':angular[_0x1783fa(0x1853)](_0x2dc45c[_0x1783fa(0x2586)]),'targetEvent':_0xa4ab5d,'clickOutsideToClose':!![],'locals':{'type':_0x1783fa(0x65c),'item':_0x4d6c35,'items':_0x5da0de[_0x1783fa(0x2867)],'configuration':_0x5da0de['deskConfiguration'],'account':_0x5da0de[_0x1783fa(0x262b)],'license':null,'setting':null,'crudPermissions':_0x5da0de[_0x1783fa(0x2514)]}});}function _0x6e05f4(_0x3d21f9){const _0x269074=_0x27a317;_0x32c39d['intDeskField'][_0x269074(0x1fac)]({'id':_0x3d21f9['id']})[_0x269074(0x2945)][_0x269074(0x146b)](function(){const _0x5ef373=_0x269074;_0x3f65c0()[_0x5ef373(0x2640)](_0x5da0de[_0x5ef373(0x2867)],{'id':_0x3d21f9['id']}),_0x1f13f2[_0x5ef373(0x1c75)]({'title':_0x5ef373(0x24ce),'msg':_0x5ef373(0x1c07)});})[_0x269074(0x129e)](function(_0x2a823f){const _0x20737d=_0x269074;_0x1f13f2['error']({'title':_0x2a823f['status']?_0x20737d(0x262a)+_0x2a823f[_0x20737d(0x107b)]+'\x20-\x20'+_0x2a823f[_0x20737d(0x167f)]:_0x20737d(0xf6e),'msg':_0x2a823f[_0x20737d(0x524)]?JSON[_0x20737d(0x10bb)](_0x2a823f[_0x20737d(0x524)]):_0x2a823f[_0x20737d(0xd5f)]()});});}function _0x32dd7e(_0x330304,_0x35e02f){const _0xe3f55c=_0x27a317,_0x50cce9=_0x56a147['confirm']()['title'](_0xe3f55c(0x17f6))['htmlContent'](_0xe3f55c(0x2216)+_0xe3f55c(0xe01))['ariaLabel'](_0xe3f55c(0x2487))[_0xe3f55c(0x1f27)](_0x330304)['ok']('OK')[_0xe3f55c(0x6c3)](_0xe3f55c(0x39a));_0x56a147[_0xe3f55c(0x2615)](_0x50cce9)[_0xe3f55c(0x146b)](function(){_0x6e05f4(_0x35e02f);},function(){const _0x3da160=_0xe3f55c;console[_0x3da160(0x1a74)](_0x3da160(0x39a));});}function _0x1696f8(_0x4e8493){const _0x5bcb7a=_0x27a317,_0x3be2fb=_0x56a147[_0x5bcb7a(0x1e8a)]()[_0x5bcb7a(0x1189)](_0x5bcb7a(0xe75))['htmlContent'](_0x5bcb7a(0x16d3)+_0x5da0de[_0x5bcb7a(0x2605)][_0x5bcb7a(0x402)]+_0x5bcb7a(0x2452)+_0x5bcb7a(0xe01))[_0x5bcb7a(0x4bd)]('delete\x20fields')[_0x5bcb7a(0x1f27)](_0x4e8493)['ok']('OK')[_0x5bcb7a(0x6c3)](_0x5bcb7a(0x39a));_0x56a147[_0x5bcb7a(0x2615)](_0x3be2fb)[_0x5bcb7a(0x146b)](function(){const _0x455b4d=_0x5bcb7a;_0x5da0de[_0x455b4d(0x2605)][_0x455b4d(0x1df5)](function(_0x35483c){_0x6e05f4(_0x35483c);}),_0x5da0de['selectedFields']=[];});}}const _0x2bc7c1=_0x4c95cf;;_0x2af627['$inject']=[_0x313a4d(0x910),'$state',_0x313a4d(0xd08),'$mdDialog','$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),'configurations',_0x313a4d(0x451),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),'crudPermissions'];function _0x2af627(_0x1d077c,_0x5e51c2,_0x1e5908,_0x1a2ccc,_0xdf3353,_0x5ac48a,_0x55adec,_0x4057d0,_0xdba581,_0x37ed85,_0x758ae3,_0x363bf2,_0x4c89a4,_0x79aa44){const _0x94ed54=_0x313a4d,_0x56c82c=this;_0x56c82c[_0x94ed54(0x2321)]=_0x758ae3[_0x94ed54(0xb12)](),_0x56c82c[_0x94ed54(0xcef)]=[],_0x56c82c[_0x94ed54(0x15b9)]=_0x4c89a4,_0x56c82c[_0x94ed54(0x2690)]=_0x363bf2,_0x56c82c[_0x94ed54(0x2514)]=_0x79aa44,_0x56c82c[_0x94ed54(0x855)]={},_0x56c82c[_0x94ed54(0x2251)]=_0x56c82c[_0x94ed54(0x15b9)]&&_0x56c82c[_0x94ed54(0x15b9)][_0x94ed54(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x56c82c['title']=_0x94ed54(0x1029),_0x56c82c['deskConfiguration']=angular[_0x94ed54(0x235a)](_0xdba581),_0x56c82c[_0x94ed54(0x1723)]=_0x4057d0,_0x56c82c[_0x94ed54(0x7a4)]=![];!_0x56c82c[_0x94ed54(0x451)]&&(_0x56c82c['deskConfiguration']={'channel':'voice','type':'inbound'},_0x56c82c['title']=_0x94ed54(0x2b4),_0x56c82c['newDeskConfiguration']=!![]);_0x56c82c[_0x94ed54(0xf7a)]=_0x133ba1,_0x56c82c[_0x94ed54(0xcdf)]=_0x39d5ba,_0x56c82c[_0x94ed54(0xfde)]=_0x13aa8d,_0x56c82c[_0x94ed54(0xe73)]=_0x1ab3a1,_0x56c82c[_0x94ed54(0x13f3)]=_0x5b2062;function _0x133ba1(){const _0x1bf43c=_0x94ed54;_0x56c82c['errors']=[],_0x37ed85[_0x1bf43c(0x139)]['addConfiguration']({'id':_0x5e51c2[_0x1bf43c(0x16a)]['id']},_0x56c82c[_0x1bf43c(0x451)])['$promise']['then'](function(_0x2ad29a){const _0x59d292=_0x1bf43c;_0x56c82c[_0x59d292(0x1723)]['unshift'](_0x2ad29a['toJSON']()),_0x55adec[_0x59d292(0x1c75)]({'title':_0x59d292(0x1abf),'msg':_0x56c82c[_0x59d292(0x451)][_0x59d292(0x19eb)]?_0x56c82c[_0x59d292(0x451)]['name']+_0x59d292(0x1386):''}),_0x5b2062(_0x2ad29a);})[_0x1bf43c(0x129e)](function(_0x2a0e62){const _0x5b8316=_0x1bf43c;if(_0x2a0e62[_0x5b8316(0x524)]&&_0x2a0e62[_0x5b8316(0x524)][_0x5b8316(0xcef)]&&_0x2a0e62[_0x5b8316(0x524)][_0x5b8316(0xcef)][_0x5b8316(0x402)]){_0x56c82c[_0x5b8316(0xcef)]=_0x2a0e62['data'][_0x5b8316(0xcef)]||[{'message':_0x2a0e62['toString'](),'type':'api.intDeskConfiguration.save'}];for(let _0x1ffc9a=0x0;_0x1ffc9a<_0x2a0e62[_0x5b8316(0x524)][_0x5b8316(0xcef)][_0x5b8316(0x402)];_0x1ffc9a+=0x1){_0x55adec[_0x5b8316(0x1980)]({'title':_0x2a0e62[_0x5b8316(0x524)][_0x5b8316(0xcef)][_0x1ffc9a][_0x5b8316(0x1142)],'msg':_0x2a0e62[_0x5b8316(0x524)][_0x5b8316(0xcef)][_0x1ffc9a][_0x5b8316(0x7fd)]});}}else _0x55adec['error']({'title':_0x2a0e62[_0x5b8316(0x107b)]?_0x5b8316(0x262a)+_0x2a0e62[_0x5b8316(0x107b)]+'\x20-\x20'+_0x2a0e62[_0x5b8316(0x167f)]:_0x5b8316(0x19b1),'msg':_0x2a0e62[_0x5b8316(0x524)]?JSON[_0x5b8316(0x10bb)](_0x2a0e62[_0x5b8316(0x524)]['message']):_0x2a0e62[_0x5b8316(0xd5f)]()});});}function _0x39d5ba(){const _0x2a9eae=_0x94ed54;_0x56c82c[_0x2a9eae(0xcef)]=[],_0x37ed85[_0x2a9eae(0x2778)][_0x2a9eae(0x18e1)]({'id':_0x56c82c[_0x2a9eae(0x451)]['id']},_0x56c82c[_0x2a9eae(0x451)])['$promise']['then'](function(_0x490426){const _0x4cee2a=_0x2a9eae,_0x137dce=_0x3f65c0()[_0x4cee2a(0xc84)](_0x56c82c[_0x4cee2a(0x1723)],{'id':_0x490426['id']});_0x137dce&&_0x3f65c0()['merge'](_0x137dce,_0x3f65c0()[_0x4cee2a(0x40e)](_0x490426[_0x4cee2a(0x2488)](),_0x3f65c0()[_0x4cee2a(0x627)](_0x137dce))),_0x55adec[_0x4cee2a(0x1c75)]({'title':'DeskConfiguration\x20properly\x20saved!','msg':_0x56c82c[_0x4cee2a(0x451)][_0x4cee2a(0x19eb)]?_0x56c82c[_0x4cee2a(0x451)][_0x4cee2a(0x19eb)]+_0x4cee2a(0x24db):''}),_0x5b2062(_0x490426);})[_0x2a9eae(0x129e)](function(_0x4f2a3b){const _0x34509d=_0x2a9eae;if(_0x4f2a3b[_0x34509d(0x524)]&&_0x4f2a3b[_0x34509d(0x524)][_0x34509d(0xcef)]&&_0x4f2a3b['data'][_0x34509d(0xcef)][_0x34509d(0x402)]){_0x56c82c[_0x34509d(0xcef)]=_0x4f2a3b[_0x34509d(0x524)][_0x34509d(0xcef)]||[{'message':_0x4f2a3b[_0x34509d(0xd5f)](),'type':_0x34509d(0x155a)}];for(let _0x17407e=0x0;_0x17407e<_0x4f2a3b[_0x34509d(0x524)][_0x34509d(0xcef)][_0x34509d(0x402)];_0x17407e++){_0x55adec[_0x34509d(0x1980)]({'title':_0x4f2a3b[_0x34509d(0x524)]['errors'][_0x17407e][_0x34509d(0x1142)],'msg':_0x4f2a3b[_0x34509d(0x524)][_0x34509d(0xcef)][_0x17407e]['message']});}}else _0x55adec['error']({'title':_0x4f2a3b[_0x34509d(0x107b)]?_0x34509d(0x262a)+_0x4f2a3b['status']+'\x20-\x20'+_0x4f2a3b[_0x34509d(0x167f)]:_0x34509d(0x155a),'msg':_0x4f2a3b[_0x34509d(0x524)]?JSON[_0x34509d(0x10bb)](_0x4f2a3b['data'][_0x34509d(0x7fd)]):_0x4f2a3b[_0x34509d(0xd5f)]()});});}function _0x13aa8d(_0x43e6e6){const _0x2b14b9=_0x94ed54;_0x56c82c[_0x2b14b9(0xcef)]=[];const _0x21b71d=_0x1a2ccc['confirm']()[_0x2b14b9(0x1189)](_0x2b14b9(0x1d64))['content'](_0x2b14b9(0x1499))[_0x2b14b9(0x4bd)](_0x2b14b9(0x197f))['ok'](_0x2b14b9(0x25de))[_0x2b14b9(0x6c3)](_0x2b14b9(0xcf0))[_0x2b14b9(0x1f27)](_0x43e6e6);_0x1a2ccc[_0x2b14b9(0x2615)](_0x21b71d)['then'](function(){const _0x59ada5=_0x2b14b9;_0x37ed85['intDeskConfiguration'][_0x59ada5(0x1fac)]({'id':_0x56c82c[_0x59ada5(0x451)]['id']})[_0x59ada5(0x2945)]['then'](function(){const _0x23dd1e=_0x59ada5;_0x3f65c0()[_0x23dd1e(0x2640)](_0x56c82c[_0x23dd1e(0x1723)],{'id':_0x56c82c[_0x23dd1e(0x451)]['id']}),_0x55adec['success']({'title':_0x23dd1e(0x24a0),'msg':(_0x56c82c[_0x23dd1e(0x451)][_0x23dd1e(0x19eb)]||_0x23dd1e(0x451))+_0x23dd1e(0x23e3)}),_0x5b2062(_0x56c82c[_0x23dd1e(0x451)]);})[_0x59ada5(0x129e)](function(_0x581372){const _0x4773bb=_0x59ada5;if(_0x581372['data']&&_0x581372[_0x4773bb(0x524)][_0x4773bb(0xcef)]&&_0x581372[_0x4773bb(0x524)][_0x4773bb(0xcef)][_0x4773bb(0x402)]){_0x56c82c['errors']=_0x581372[_0x4773bb(0x524)]['errors']||[{'message':_0x581372[_0x4773bb(0xd5f)](),'type':_0x4773bb(0x6b8)}];for(let _0x939241=0x0;_0x939241<_0x581372[_0x4773bb(0x524)][_0x4773bb(0xcef)][_0x4773bb(0x402)];_0x939241++){_0x55adec['error']({'title':_0x581372[_0x4773bb(0x524)]['errors'][_0x939241][_0x4773bb(0x1142)],'msg':_0x581372[_0x4773bb(0x524)][_0x4773bb(0xcef)][_0x939241][_0x4773bb(0x7fd)]});}}else _0x55adec['error']({'title':_0x581372[_0x4773bb(0x107b)]?_0x4773bb(0x262a)+_0x581372['status']+'\x20-\x20'+_0x581372['statusText']:'api.intDeskConfiguration.delete','msg':_0x581372[_0x4773bb(0x524)]?JSON[_0x4773bb(0x10bb)](_0x581372[_0x4773bb(0x524)][_0x4773bb(0x7fd)]):_0x581372[_0x4773bb(0x7fd)]||_0x581372['toString']()});});},function(){});}function _0x1ab3a1(_0x4563b1){return _0x4563b1===null?undefined:new Date(_0x4563b1);}function _0x5b2062(_0x477965){const _0x48bfbd=_0x94ed54;_0x1a2ccc[_0x48bfbd(0x2458)](_0x477965);}}const _0x499774=_0x2af627;;_0xe57f9e[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$state',_0x313a4d(0xd08),'$mdDialog',_0x313a4d(0x1fe4),_0x313a4d(0x19d7),_0x313a4d(0x1fd6),_0x313a4d(0x2711),_0x313a4d(0x1f8e),_0x313a4d(0x1142),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0xe57f9e(_0x29b3e8,_0xe55ed8,_0x12e0ff,_0x2beac9,_0x94ae22,_0x554bf6,_0x99a20e,_0xa75814,_0x464e1b,_0xa6c7bd,_0xe747f5,_0x52ceaa,_0x3ccc62){const _0xde825f=_0x313a4d,_0x5e1a78=this;_0x5e1a78['currentUser']=_0x52ceaa[_0xde825f(0xb12)](),_0x5e1a78[_0xde825f(0xcef)]=[],_0x5e1a78[_0xde825f(0x1189)]='INTEGRATIONS.EDIT_'+_0x3f65c0()[_0xde825f(0xd39)](_0xa6c7bd),_0x5e1a78[_0xde825f(0x2711)]=angular[_0xde825f(0x235a)](_0xa75814),_0x5e1a78[_0xde825f(0x1fd6)]=_0x99a20e,_0x5e1a78[_0xde825f(0x1142)]=_0xa6c7bd,_0x5e1a78[_0xde825f(0x2514)]=_0x3ccc62,_0x5e1a78['newItem']=![];!_0x5e1a78['item']&&(_0x5e1a78['item']={'type':'string'},_0x5e1a78['item'][_0x3f65c0()[_0xde825f(0x83f)](_0xa6c7bd)+'Id']=_0x554bf6['id'],_0x5e1a78[_0xde825f(0x1189)]='INTEGRATIONS.NEW_'+_0x3f65c0()['toUpper'](_0xa6c7bd),_0x5e1a78['newItem']=!![]);_0x5e1a78['addNewItem']=_0x3914ec,_0x5e1a78[_0xde825f(0x150e)]=_0x40e648,_0x5e1a78[_0xde825f(0xbaf)]=_0x59a5c2,_0x5e1a78[_0xde825f(0x21b1)]=_0xa038d1,_0x5e1a78['closeDialog']=_0x497513,_0xe747f5['variable'][_0xde825f(0x16b4)]({'nolimit':!![]})['$promise'][_0xde825f(0x146b)](function(_0x344ac1){const _0xbaa9c4=_0xde825f;return _0x5e1a78[_0xbaa9c4(0x85b)]=_0x344ac1['rows']?_0x344ac1[_0xbaa9c4(0x19c7)]:[],_0xe747f5[_0xbaa9c4(0x1cb3)]['describe']()[_0xbaa9c4(0x2945)];})[_0xde825f(0x146b)](function(_0x77ff3){const _0x4b297b=_0xde825f;return _0x5e1a78['voiceAgentReportColumns']=_0x3f65c0()[_0x4b297b(0xa62)](_0x3f65c0()[_0x4b297b(0x627)](_0x77ff3),['$promise',_0x4b297b(0x26f2),_0x4b297b(0xc68),'updatedAt','sourceid']),_0x5e1a78[_0x4b297b(0x1c8a)][_0x4b297b(0x1f47)](_0x4b297b(0x643)),_0xe747f5['voiceDialReport'][_0x4b297b(0x1ea2)]()[_0x4b297b(0x2945)];})[_0xde825f(0x146b)](function(_0x1a1fc2){const _0x31cbd4=_0xde825f;_0x5e1a78[_0x31cbd4(0x164b)]=_0x3f65c0()[_0x31cbd4(0xa62)](_0x3f65c0()[_0x31cbd4(0x627)](_0x1a1fc2),['$promise',_0x31cbd4(0x26f2),_0x31cbd4(0xc68),_0x31cbd4(0x144f),'sourceid']),_0x5e1a78[_0x31cbd4(0x164b)][_0x31cbd4(0x1f47)](_0x31cbd4(0x643));})[_0xde825f(0x129e)](function(_0x4bed66){const _0x302a5c=_0xde825f;_0x94ae22[_0x302a5c(0x1980)]({'title':_0x4bed66[_0x302a5c(0x107b)]?_0x302a5c(0x262a)+_0x4bed66[_0x302a5c(0x107b)]+_0x302a5c(0x1315)+_0x4bed66[_0x302a5c(0x167f)]:_0x302a5c(0x502),'msg':_0x4bed66[_0x302a5c(0x524)]?JSON['stringify'](_0x4bed66[_0x302a5c(0x524)]):_0x4bed66['toString']()});}),_0xe747f5['intDeskAccount']['getFields']({'id':_0x464e1b['id'],'ticketType':_0x554bf6['ticketType']?_0x554bf6[_0xde825f(0x21be)]:undefined})['$promise'][_0xde825f(0x146b)](function(_0x5559a8){const _0x2caba5=_0xde825f;_0x5e1a78[_0x2caba5(0x822)]=!![],_0x5e1a78[_0x2caba5(0x2867)]=_0x5559a8[_0x2caba5(0x19c7)]?_0x5559a8['rows']:[],_0x5e1a78[_0x2caba5(0x2711)][_0x2caba5(0x2912)]&&(_0x5e1a78['customField']=_0x3f65c0()[_0x2caba5(0xc84)](_0x5e1a78['fields'],{'id':_0x5e1a78[_0x2caba5(0x2711)]['idField']}));})[_0xde825f(0x129e)](function(_0x1f05a1){const _0x415b7e=_0xde825f;_0x5e1a78[_0x415b7e(0x822)]=![],console[_0x415b7e(0x1980)](_0x1f05a1);});function _0x3914ec(){const _0x13b24=_0xde825f;_0x5e1a78['errors']=[],_0x5e1a78['customField']&&(_0x5e1a78[_0x13b24(0x2711)][_0x13b24(0x2912)]=_0x5e1a78[_0x13b24(0x165e)]['id'],_0x5e1a78[_0x13b24(0x2711)][_0x13b24(0x25e3)]=_0x5e1a78[_0x13b24(0x165e)]['name'],_0x5e1a78[_0x13b24(0x2711)][_0x13b24(0x165e)]=_0x5e1a78[_0x13b24(0x165e)][_0x13b24(0x1802)]),_0xe747f5['intDeskField'][_0x13b24(0x1e3)](_0x5e1a78['item'])[_0x13b24(0x2945)][_0x13b24(0x146b)](function(_0x4b3d2d){const _0x22592f=_0x13b24;_0x5e1a78['items'][_0x22592f(0xb3d)](_0x4b3d2d),_0x94ae22['success']({'title':_0x3f65c0()[_0x22592f(0x83f)](_0xa6c7bd)+_0x22592f(0x1637),'msg':_0x3f65c0()[_0x22592f(0x83f)](_0xa6c7bd)+'\x20has\x20been\x20created!'}),_0x497513();})['catch'](function(_0x44fb9d){const _0x523b2d=_0x13b24;console[_0x523b2d(0x1980)](_0x44fb9d),_0x5e1a78['errors']=_0x44fb9d[_0x523b2d(0x524)][_0x523b2d(0xcef)]||[{'message':_0x44fb9d['toString'](),'type':_0x523b2d(0x1ec4)}];});}function _0x40e648(){const _0x5bd086=_0xde825f;_0x5e1a78['errors']=[],_0x5e1a78[_0x5bd086(0x165e)]&&(_0x5e1a78[_0x5bd086(0x2711)]['idField']=_0x5e1a78['customField']['id'],_0x5e1a78[_0x5bd086(0x2711)][_0x5bd086(0x25e3)]=_0x5e1a78[_0x5bd086(0x165e)]['name'],_0x5e1a78[_0x5bd086(0x2711)][_0x5bd086(0x165e)]=_0x5e1a78[_0x5bd086(0x165e)][_0x5bd086(0x1802)]),_0xe747f5[_0x5bd086(0x1073)]['update']({'id':_0x5e1a78[_0x5bd086(0x2711)]['id']},_0x5e1a78[_0x5bd086(0x2711)])[_0x5bd086(0x2945)]['then'](function(_0x15fa92){const _0x166d39=_0x5bd086,_0x565fd7=_0x3f65c0()[_0x166d39(0xc84)](_0x5e1a78['items'],{'id':_0x15fa92['id']});_0x565fd7&&_0x3f65c0()[_0x166d39(0x168d)](_0x565fd7,_0x15fa92),_0x94ae22[_0x166d39(0x1c75)]({'title':_0x3f65c0()[_0x166d39(0x83f)](_0xa6c7bd)+'\x20properly\x20saved!','msg':_0x3f65c0()[_0x166d39(0x83f)](_0xa6c7bd)+_0x166d39(0x24db)}),_0x497513();})[_0x5bd086(0x129e)](function(_0x10d9f5){const _0x18662b=_0x5bd086;console[_0x18662b(0x1980)](_0x10d9f5),_0x5e1a78[_0x18662b(0xcef)]=_0x10d9f5['data'][_0x18662b(0xcef)]||[{'message':_0x10d9f5[_0x18662b(0xd5f)](),'type':_0x18662b(0x1b4)}];});}function _0x59a5c2(_0x4f32e4){const _0x10ec2e=_0xde825f;_0x5e1a78[_0x10ec2e(0xcef)]=[];const _0x55e804=_0x2beac9[_0x10ec2e(0x1e8a)]()['title'](_0x10ec2e(0x1d64))[_0x10ec2e(0x80f)](_0x10ec2e(0xe57)+_0xa6c7bd+_0x10ec2e(0xe01))['ariaLabel']('Delete\x20'+_0x3f65c0()[_0x10ec2e(0x83f)](_0xa6c7bd))['ok'](_0x10ec2e(0x25de))['cancel'](_0x10ec2e(0xcf0))[_0x10ec2e(0x1f27)](_0x4f32e4);_0x2beac9[_0x10ec2e(0x2615)](_0x55e804)[_0x10ec2e(0x146b)](function(){const _0x2540e9=_0x10ec2e;_0xe747f5[_0x2540e9(0x1073)][_0x2540e9(0x1fac)]({'id':_0x5e1a78[_0x2540e9(0x2711)]['id']})[_0x2540e9(0x2945)][_0x2540e9(0x146b)](function(){const _0x25aa12=_0x2540e9;_0x3f65c0()[_0x25aa12(0x2640)](_0x5e1a78['items'],{'id':_0x5e1a78[_0x25aa12(0x2711)]['id']}),_0x94ae22[_0x25aa12(0x1c75)]({'title':_0x3f65c0()[_0x25aa12(0x83f)](_0xa6c7bd)+_0x25aa12(0x1e6c),'msg':_0x3f65c0()['capitalize'](_0xa6c7bd)+_0x25aa12(0x23e3)}),_0x497513();})[_0x2540e9(0x129e)](function(_0x510612){const _0x29aa14=_0x2540e9;console[_0x29aa14(0x1980)](_0x510612),_0x5e1a78[_0x29aa14(0xcef)]=_0x510612[_0x29aa14(0x524)][_0x29aa14(0xcef)]||[{'message':_0x510612[_0x29aa14(0xd5f)](),'type':_0x29aa14(0x1cb0)}];});},function(){});}function _0xa038d1(){const _0x2ccde1=_0xde825f;return _0x5e1a78['item'][_0x2ccde1(0x97f)]!==undefined?_0x5e1a78['item'][_0x2ccde1(0x97f)]:_0x2ccde1(0x1040);}function _0x497513(){const _0x55dbf5=_0xde825f;_0x2beac9[_0x55dbf5(0x2458)]();}}const _0x5b9e74=_0xe57f9e;;_0x588a39[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),'$location',_0x313a4d(0x10e8),'$document',_0x313a4d(0x214b),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x262b),_0x313a4d(0x1366)];function _0x588a39(_0x2179cf,_0x1b8f61,_0xa57ac6,_0x3f1e49,_0x4beaa2,_0x5b7090,_0x102009,_0x819b04,_0x57b775,_0x3e7d48,_0x58b32e,_0x280c51){const _0x5c1c44=_0x313a4d,_0x4995fd=this;_0x4995fd[_0x5c1c44(0x2321)]=_0x3e7d48['getCurrentUser'](),_0x4995fd[_0x5c1c44(0x2690)]=_0x5b7090,_0x4995fd['setting']=_0x102009,_0x4995fd['passwordPattern']=_0x4995fd[_0x5c1c44(0x15b9)][_0x5c1c44(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x4995fd[_0x5c1c44(0x1002)]=_0x1b8f61[_0x5c1c44(0x2414)]()+_0x5c1c44(0xb0e)+_0x1b8f61[_0x5c1c44(0x148e)](),_0x4995fd[_0x5c1c44(0x262b)]=_0x58b32e||_0x2179cf['params']['deskAccount']||{},_0x4995fd['userProfileSection']=_0x280c51&&_0x280c51['count']==0x1?_0x280c51[_0x5c1c44(0x19c7)][0x0]:null,_0x4995fd[_0x5c1c44(0x2514)]=_0x3e7d48['parseCrudPermissions'](_0x4995fd[_0x5c1c44(0x1366)]?_0x4995fd[_0x5c1c44(0x1366)][_0x5c1c44(0x2514)]:null),_0x4995fd[_0x5c1c44(0x855)]={},_0x4995fd['selectedTab']=_0x2179cf[_0x5c1c44(0x16a)][_0x5c1c44(0x13a3)]||0x0,_0x4995fd[_0x5c1c44(0x861)]=_0x57b775[_0x5c1c44(0x271e)],_0x4995fd[_0x5c1c44(0x286)]=_0x274aa0,_0x4995fd['saveDeskAccount']=_0x4e0a61;function _0x274aa0(){const _0x1ec5c5=_0x5c1c44;_0x2179cf['go'](_0x1ec5c5(0x1df8),{},{'reload':_0x1ec5c5(0x1df8)});}function _0x4e0a61(){const _0x296351=_0x5c1c44;_0x819b04[_0x296351(0x139)][_0x296351(0x18e1)]({'id':_0x4995fd[_0x296351(0x262b)]['id']},_0x4995fd[_0x296351(0x262b)])[_0x296351(0x2945)][_0x296351(0x146b)](function(){const _0x145eb0=_0x296351;_0x57b775[_0x145eb0(0x1c75)]({'title':_0x145eb0(0x2579),'msg':_0x4995fd[_0x145eb0(0x262b)][_0x145eb0(0x19eb)]?_0x4995fd[_0x145eb0(0x262b)]['name']+_0x145eb0(0x6b0):''});})['catch'](function(_0x3dab01){const _0x10ead7=_0x296351;_0x57b775[_0x10ead7(0x1980)]({'title':_0x3dab01[_0x10ead7(0x107b)]?_0x10ead7(0x262a)+_0x3dab01[_0x10ead7(0x107b)]+_0x10ead7(0x1315)+_0x3dab01[_0x10ead7(0x167f)]:'SYSTEM:GETintDeskAccount','msg':_0x3dab01[_0x10ead7(0x524)]?JSON[_0x10ead7(0x10bb)](_0x3dab01[_0x10ead7(0x524)]):_0x3dab01[_0x10ead7(0xd5f)]()});});}}const _0x262cf3=_0x588a39;;_0x5b057f[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q','$translate','toasty',_0x313a4d(0xbcf),_0x313a4d(0xa53),'api','Auth','license',_0x313a4d(0x15b9),'crudPermissions'];function _0x5b057f(_0x383518,_0x4cf012,_0x59d48f,_0x1ee4f3,_0x4dcb41,_0x5ef1d2,_0x25618e,_0x477d41,_0x1de128,_0x5ce21f,_0x49f203,_0x3ecdda,_0x659c95,_0x444666){const _0x45abd7=_0x313a4d,_0x275a8a=this;_0x275a8a[_0x45abd7(0x2321)]=_0x49f203['getCurrentUser'](),_0x275a8a['errors']=[],_0x275a8a['setting']=_0x659c95,_0x275a8a[_0x45abd7(0x2690)]=_0x3ecdda,_0x275a8a[_0x45abd7(0x2514)]=_0x444666,_0x275a8a['hasModulePermissions']={},_0x275a8a['passwordPattern']=_0x275a8a[_0x45abd7(0x15b9)]&&_0x275a8a[_0x45abd7(0x15b9)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x275a8a[_0x45abd7(0x1189)]=_0x45abd7(0x277),_0x275a8a[_0x45abd7(0xa53)]=angular[_0x45abd7(0x235a)](_0x1de128),_0x275a8a['dynamics365Accounts']=_0x477d41,_0x275a8a[_0x45abd7(0x1a66)]=![];!_0x275a8a[_0x45abd7(0xa53)]&&(_0x275a8a[_0x45abd7(0xa53)]={'serverUrl':_0x59d48f[_0x45abd7(0x2414)]()+'://'+_0x59d48f[_0x45abd7(0x148e)]()+(_0x59d48f[_0x45abd7(0x1553)]()?':'+_0x59d48f[_0x45abd7(0x1553)]():'')},_0x275a8a[_0x45abd7(0x1189)]=_0x45abd7(0x13e8),_0x275a8a[_0x45abd7(0x1a66)]=!![]);_0x275a8a['addNewDynamics365Account']=_0x4f6780,_0x275a8a[_0x45abd7(0x754)]=_0x29133c,_0x275a8a['deleteDynamics365Account']=_0x5098d0,_0x275a8a[_0x45abd7(0xe73)]=_0x34d7a1,_0x275a8a[_0x45abd7(0x13f3)]=_0x1d5412;function _0x4f6780(){const _0x101cf2=_0x45abd7;_0x275a8a['errors']=[],_0x5ce21f[_0x101cf2(0xdb4)][_0x101cf2(0x1e3)](_0x275a8a[_0x101cf2(0xa53)])[_0x101cf2(0x2945)][_0x101cf2(0x146b)](function(_0x2a585e){const _0x599d6a=_0x101cf2;_0x275a8a[_0x599d6a(0xbcf)][_0x599d6a(0xb3d)](_0x2a585e[_0x599d6a(0x2488)]()),_0x25618e[_0x599d6a(0x1c75)]({'title':_0x599d6a(0x12b6),'msg':_0x275a8a[_0x599d6a(0xa53)][_0x599d6a(0x19eb)]?_0x275a8a[_0x599d6a(0xa53)][_0x599d6a(0x19eb)]+_0x599d6a(0x1386):''}),_0x1d5412(_0x2a585e);})[_0x101cf2(0x129e)](function(_0x4dec49){const _0x2fb2ba=_0x101cf2;if(_0x4dec49[_0x2fb2ba(0x524)]&&_0x4dec49[_0x2fb2ba(0x524)][_0x2fb2ba(0xcef)]&&_0x4dec49[_0x2fb2ba(0x524)][_0x2fb2ba(0xcef)][_0x2fb2ba(0x402)]){_0x275a8a[_0x2fb2ba(0xcef)]=_0x4dec49[_0x2fb2ba(0x524)][_0x2fb2ba(0xcef)]||[{'message':_0x4dec49['toString'](),'type':_0x2fb2ba(0xdc7)}];for(let _0x233687=0x0;_0x233687<_0x4dec49[_0x2fb2ba(0x524)][_0x2fb2ba(0xcef)][_0x2fb2ba(0x402)];_0x233687+=0x1){_0x25618e['error']({'title':_0x4dec49[_0x2fb2ba(0x524)][_0x2fb2ba(0xcef)][_0x233687][_0x2fb2ba(0x1142)],'msg':_0x4dec49['data']['errors'][_0x233687]['message']});}}else _0x25618e[_0x2fb2ba(0x1980)]({'title':_0x4dec49['status']?'API:'+_0x4dec49['status']+_0x2fb2ba(0x1315)+_0x4dec49[_0x2fb2ba(0x167f)]:_0x2fb2ba(0xdc7),'msg':_0x4dec49[_0x2fb2ba(0x524)]?JSON[_0x2fb2ba(0x10bb)](_0x4dec49[_0x2fb2ba(0x524)]['message']):_0x4dec49[_0x2fb2ba(0xd5f)]()});});}function _0x29133c(){const _0x19b7fa=_0x45abd7;_0x275a8a[_0x19b7fa(0xcef)]=[],_0x5ce21f[_0x19b7fa(0xdb4)][_0x19b7fa(0x18e1)]({'id':_0x275a8a[_0x19b7fa(0xa53)]['id']},_0x275a8a[_0x19b7fa(0xa53)])[_0x19b7fa(0x2945)]['then'](function(_0x425a7a){const _0xa297fa=_0x19b7fa,_0x1bf11a=_0x3f65c0()['find'](_0x275a8a[_0xa297fa(0xbcf)],{'id':_0x425a7a['id']});_0x1bf11a&&_0x3f65c0()[_0xa297fa(0x168d)](_0x1bf11a,_0x3f65c0()[_0xa297fa(0x40e)](_0x425a7a[_0xa297fa(0x2488)](),_0x3f65c0()['keys'](_0x1bf11a))),_0x25618e[_0xa297fa(0x1c75)]({'title':_0xa297fa(0x802),'msg':_0x275a8a[_0xa297fa(0xa53)][_0xa297fa(0x19eb)]?_0x275a8a['dynamics365Account'][_0xa297fa(0x19eb)]+_0xa297fa(0x24db):''}),_0x1d5412(_0x425a7a);})['catch'](function(_0x434648){const _0x3f9bf5=_0x19b7fa;if(_0x434648[_0x3f9bf5(0x524)]&&_0x434648['data'][_0x3f9bf5(0xcef)]&&_0x434648['data'][_0x3f9bf5(0xcef)][_0x3f9bf5(0x402)]){_0x275a8a[_0x3f9bf5(0xcef)]=_0x434648['data']['errors']||[{'message':_0x434648[_0x3f9bf5(0xd5f)](),'type':_0x3f9bf5(0x1758)}];for(let _0x347fce=0x0;_0x347fce<_0x434648['data']['errors'][_0x3f9bf5(0x402)];_0x347fce++){_0x25618e['error']({'title':_0x434648[_0x3f9bf5(0x524)]['errors'][_0x347fce][_0x3f9bf5(0x1142)],'msg':_0x434648[_0x3f9bf5(0x524)][_0x3f9bf5(0xcef)][_0x347fce][_0x3f9bf5(0x7fd)]});}}else _0x25618e[_0x3f9bf5(0x1980)]({'title':_0x434648[_0x3f9bf5(0x107b)]?'API:'+_0x434648[_0x3f9bf5(0x107b)]+_0x3f9bf5(0x1315)+_0x434648['statusText']:'api.intDynamics365Account.update','msg':_0x434648['data']?JSON['stringify'](_0x434648[_0x3f9bf5(0x524)][_0x3f9bf5(0x7fd)]):_0x434648['toString']()});});}function _0x5098d0(_0x30fdf2){const _0xff0d6b=_0x45abd7;_0x275a8a['errors']=[];const _0x24275a=_0x1ee4f3[_0xff0d6b(0x1e8a)]()[_0xff0d6b(0x1189)](_0xff0d6b(0x1d64))[_0xff0d6b(0x80f)](_0xff0d6b(0xabe))['ariaLabel'](_0xff0d6b(0x1551))['ok'](_0xff0d6b(0x25de))[_0xff0d6b(0x6c3)](_0xff0d6b(0xcf0))[_0xff0d6b(0x1f27)](_0x30fdf2);_0x1ee4f3[_0xff0d6b(0x2615)](_0x24275a)[_0xff0d6b(0x146b)](function(){const _0x6a14d=_0xff0d6b;_0x5ce21f['intDynamics365Account'][_0x6a14d(0x1fac)]({'id':_0x275a8a['dynamics365Account']['id']})['$promise'][_0x6a14d(0x146b)](function(){const _0x3b5cc9=_0x6a14d;_0x3f65c0()['remove'](_0x275a8a[_0x3b5cc9(0xbcf)],{'id':_0x275a8a[_0x3b5cc9(0xa53)]['id']}),_0x25618e[_0x3b5cc9(0x1c75)]({'title':_0x3b5cc9(0x2937),'msg':(_0x275a8a[_0x3b5cc9(0xa53)]['name']||_0x3b5cc9(0xa53))+_0x3b5cc9(0x23e3)}),_0x1d5412(_0x275a8a['dynamics365Account']);})[_0x6a14d(0x129e)](function(_0x19a3b3){const _0x515c1a=_0x6a14d;if(_0x19a3b3[_0x515c1a(0x524)]&&_0x19a3b3[_0x515c1a(0x524)][_0x515c1a(0xcef)]&&_0x19a3b3[_0x515c1a(0x524)]['errors'][_0x515c1a(0x402)]){_0x275a8a[_0x515c1a(0xcef)]=_0x19a3b3[_0x515c1a(0x524)][_0x515c1a(0xcef)]||[{'message':_0x19a3b3[_0x515c1a(0xd5f)](),'type':_0x515c1a(0x1e92)}];for(let _0x533353=0x0;_0x533353<_0x19a3b3[_0x515c1a(0x524)]['errors']['length'];_0x533353++){_0x25618e[_0x515c1a(0x1980)]({'title':_0x19a3b3['data'][_0x515c1a(0xcef)][_0x533353]['type'],'msg':_0x19a3b3['data']['errors'][_0x533353][_0x515c1a(0x7fd)]});}}else _0x25618e[_0x515c1a(0x1980)]({'title':_0x19a3b3[_0x515c1a(0x107b)]?_0x515c1a(0x262a)+_0x19a3b3[_0x515c1a(0x107b)]+_0x515c1a(0x1315)+_0x19a3b3['statusText']:_0x515c1a(0x1e92),'msg':_0x19a3b3[_0x515c1a(0x524)]?JSON[_0x515c1a(0x10bb)](_0x19a3b3[_0x515c1a(0x524)][_0x515c1a(0x7fd)]):_0x19a3b3['message']||_0x19a3b3[_0x515c1a(0xd5f)]()});});},function(){});}function _0x34d7a1(_0x4a0822){return _0x4a0822===null?undefined:new Date(_0x4a0822);}function _0x1d5412(_0x539a4b){const _0x5533ab=_0x45abd7;_0x1ee4f3[_0x5533ab(0x2458)](_0x539a4b);}}const _0x4f4ff2=_0x5b057f;;const _0x2bdd6f=_0x4acfac['p']+_0x313a4d(0x16bc);;_0xbcfdb8['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),'$timeout',_0x313a4d(0x214b),'dynamics365Accounts',_0x313a4d(0x26b6),'userProfileSection','api',_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),'license',_0x313a4d(0x15b9)];function _0xbcfdb8(_0x60cbba,_0xe04a1b,_0x3ecfe7,_0x371632,_0x2a6d44,_0x5c12c9,_0x390956,_0x4a304b,_0x5893f8,_0x29b41e,_0x519fcf,_0x12c64e,_0x1ba012,_0x5ef0f3,_0x1c9f27,_0x263548,_0x5e4c16){const _0x3804c5=_0x313a4d,_0x313084=this;_0x313084[_0x3804c5(0x2690)]=_0x263548,_0x313084['setting']=_0x5e4c16,_0x313084['currentUser']=_0x1c9f27[_0x3804c5(0xb12)](),_0x313084[_0x3804c5(0xbcf)]=_0x5893f8||{'count':0x0,'rows':[]},_0x313084['userProfile']=_0x29b41e,_0x313084[_0x3804c5(0x1366)]=_0x519fcf&&_0x519fcf[_0x3804c5(0x51c)]==0x1?_0x519fcf['rows'][0x0]:null,_0x313084['crudPermissions']=_0x1c9f27[_0x3804c5(0xe60)](_0x313084['userProfileSection']?_0x313084['userProfileSection'][_0x3804c5(0x2514)]:null),_0x313084['table']=_0x3804c5(0xbcf),_0x313084[_0x3804c5(0x216a)]='',_0x313084[_0x3804c5(0x214f)]=null,_0x313084['selectedDynamics365Accounts']=[],_0x313084[_0x3804c5(0x1a56)]={'fields':'createdAt,updatedAt,id,name,username,password,remoteUri,tenantId,clientId,clientSecret,serverUrl,description','limit':0xa,'page':0x1},_0x313084[_0x3804c5(0x23f9)]=_0xf9c2dc,_0x313084['testtestintegrationaccount']=_0x5c99cf,_0x313084[_0x3804c5(0xf0a)]=_0x2a025a,_0x313084[_0x3804c5(0x1c75)]=_0xf1b98b,_0x313084[_0x3804c5(0x1ea5)]=_0x2d8016,_0x313084[_0x3804c5(0x167b)]=_0x3c356c,_0x313084[_0x3804c5(0x17b8)]=_0x4fd836,_0x313084[_0x3804c5(0x17c5)]=_0x14c281,_0x313084[_0x3804c5(0xcc7)]=_0x4760dd,_0x313084[_0x3804c5(0x106)]=_0x3780ec,_0x313084[_0x3804c5(0x1f13)]=_0x201a2e;function _0xf9c2dc(_0x18892a){const _0x129033=_0x3804c5;_0x3ecfe7['go'](_0x129033(0xdbd),{'id':_0x18892a['id'],'dynamics365Account':_0x18892a,'crudPermissions':_0x313084[_0x129033(0x2514)]});}function _0x5c99cf(_0xcbef51){const _0x38bc79=_0x3804c5;return _0x12c64e[_0x38bc79(0xdb4)][_0x38bc79(0x12ff)]({'id':_0xcbef51['id'],'test':!![]})[_0x38bc79(0x2945)][_0x38bc79(0x146b)](function(){const _0x5a5c75=_0x38bc79;_0x5ef0f3[_0x5a5c75(0x1c75)]({'title':_0x5a5c75(0x14ca),'msg':_0x5a5c75(0x1893)});})[_0x38bc79(0x129e)](function(_0x33e86a){const _0x1f25c1=_0x38bc79;_0x5ef0f3[_0x1f25c1(0x1980)]({'title':_0x1f25c1(0x14ca),'msg':_0x33e86a[_0x1f25c1(0x524)]?_0x33e86a[_0x1f25c1(0x524)][_0x1f25c1(0x7fd)]?_0x33e86a[_0x1f25c1(0x524)][_0x1f25c1(0x7fd)]:JSON[_0x1f25c1(0x10bb)](_0x33e86a[_0x1f25c1(0x524)]):_0x33e86a[_0x1f25c1(0xd5f)]()});});}function _0x2a025a(_0x456106,_0x37a6ef){const _0x20a623=_0x3804c5,_0x38e072=_0x2a6d44[_0x20a623(0x1e8a)]()[_0x20a623(0x1189)](_0x20a623(0xdb2)+_0x3f65c0()[_0x20a623(0x20d1)]('dynamics365Account')+'?')[_0x20a623(0x1cbe)](''+(_0x456106[_0x20a623(0x19eb)]||'dynamics365Account')+_0x20a623(0x252f)+_0x20a623(0xe01))['ariaLabel'](_0x20a623(0x372))[_0x20a623(0x1f27)](_0x37a6ef)['ok']('OK')[_0x20a623(0x6c3)]('CANCEL');_0x2a6d44[_0x20a623(0x2615)](_0x38e072)[_0x20a623(0x146b)](function(){_0x4fd836(_0x456106);},function(){const _0x2a049c=_0x20a623;console[_0x2a049c(0x1a74)]('CANCEL');});}let _0x25d66c=!![],_0x93d6de=0x1;_0x60cbba[_0x3804c5(0x21e8)](_0x3804c5(0x2669),function(_0x4ef717,_0x165577){const _0x5e95e9=_0x3804c5;_0x25d66c?_0x390956(function(){_0x25d66c=![];}):(!_0x165577&&(_0x93d6de=_0x313084[_0x5e95e9(0x1a56)]['page']),_0x4ef717!==_0x165577&&(_0x313084[_0x5e95e9(0x1a56)][_0x5e95e9(0x844)]=0x1),!_0x4ef717&&(_0x313084[_0x5e95e9(0x1a56)][_0x5e95e9(0x844)]=_0x93d6de),_0x313084['getDynamics365Accounts']());});function _0xf1b98b(_0x5d6927){const _0x53b523=_0x3804c5;_0x313084[_0x53b523(0xbcf)]=_0x5d6927||{'count':0x0,'rows':[]};}function _0x2d8016(){const _0x50e4ed=_0x3804c5;_0x313084[_0x50e4ed(0x1a56)][_0x50e4ed(0x145d)]=(_0x313084[_0x50e4ed(0x1a56)][_0x50e4ed(0x844)]-0x1)*_0x313084[_0x50e4ed(0x1a56)][_0x50e4ed(0x221e)],_0x1c9f27[_0x50e4ed(0x23e0)]('admin')?_0x313084['promise']=_0x12c64e[_0x50e4ed(0xdb4)][_0x50e4ed(0x16b4)](_0x313084['query'],_0xf1b98b)[_0x50e4ed(0x2945)]:(_0x313084[_0x50e4ed(0x1a56)]['id']=_0x313084['userProfile']['id'],_0x313084['query'][_0x50e4ed(0x2146)]=_0x50e4ed(0x47d),_0x313084[_0x50e4ed(0xb9c)]=_0x12c64e[_0x50e4ed(0x26b6)][_0x50e4ed(0x158f)](_0x313084[_0x50e4ed(0x1a56)],_0xf1b98b)[_0x50e4ed(0x2945)]);}function _0x3c356c(_0x3d7f23,_0x5eaac0){const _0x11ac05=_0x3804c5;_0x2a6d44[_0x11ac05(0x2615)]({'controller':_0x11ac05(0x1781),'controllerAs':'vm','templateUrl':_0x2bdd6f,'parent':angular[_0x11ac05(0x1853)](_0x5c12c9[_0x11ac05(0x2586)]),'targetEvent':_0x3d7f23,'clickOutsideToClose':!![],'locals':{'dynamics365Account':_0x5eaac0,'dynamics365Accounts':_0x313084[_0x11ac05(0xbcf)][_0x11ac05(0x19c7)],'license':_0x313084[_0x11ac05(0x2690)],'setting':_0x313084[_0x11ac05(0x15b9)],'crudPermissions':_0x313084[_0x11ac05(0x2514)]}});}function _0x4fd836(_0x244bfa){const _0x2f124b=_0x3804c5;_0x12c64e[_0x2f124b(0xdb4)]['delete']({'id':_0x244bfa['id']})['$promise']['then'](function(){const _0x59ad89=_0x2f124b;_0x3f65c0()[_0x59ad89(0x2640)](_0x313084[_0x59ad89(0xbcf)][_0x59ad89(0x19c7)],{'id':_0x244bfa['id']}),_0x313084[_0x59ad89(0xbcf)][_0x59ad89(0x51c)]-=0x1,!_0x313084['dynamics365Accounts'][_0x59ad89(0x19c7)][_0x59ad89(0x402)]&&_0x313084['getDynamics365Accounts'](),_0x5ef0f3[_0x59ad89(0x1c75)]({'title':_0x3f65c0()[_0x59ad89(0x20d1)]('Dynamics365Account')+_0x59ad89(0x201c),'msg':_0x244bfa[_0x59ad89(0x19eb)]?_0x244bfa[_0x59ad89(0x19eb)]+_0x59ad89(0x23e3):''});})['catch'](function(_0x3a6782){const _0x2de276=_0x2f124b;if(_0x3a6782['data']&&_0x3a6782['data']['errors']&&_0x3a6782[_0x2de276(0x524)][_0x2de276(0xcef)][_0x2de276(0x402)]){_0x313084['errors']=_0x3a6782[_0x2de276(0x524)][_0x2de276(0xcef)]||[{'message':_0x3a6782[_0x2de276(0xd5f)](),'type':'SYSTEM:DELETEintDynamics365Account'}];for(let _0x3123af=0x0;_0x3123af<_0x3a6782['data'][_0x2de276(0xcef)][_0x2de276(0x402)];_0x3123af++){_0x5ef0f3[_0x2de276(0x1980)]({'title':_0x3a6782[_0x2de276(0x524)][_0x2de276(0xcef)][_0x3123af][_0x2de276(0x1142)],'msg':_0x3a6782[_0x2de276(0x524)][_0x2de276(0xcef)][_0x3123af][_0x2de276(0x7fd)]});}}else _0x5ef0f3[_0x2de276(0x1980)]({'title':_0x3a6782[_0x2de276(0x107b)]?_0x2de276(0x262a)+_0x3a6782[_0x2de276(0x107b)]+_0x2de276(0x1315)+_0x3a6782[_0x2de276(0x167f)]:'SYSTEM:DELETEintDynamics365Account','msg':_0x3a6782[_0x2de276(0x524)]?JSON[_0x2de276(0x10bb)](_0x3a6782[_0x2de276(0x524)][_0x2de276(0x7fd)]):_0x3a6782[_0x2de276(0x7fd)]||_0x3a6782[_0x2de276(0xd5f)]()});});}function _0x14c281(){const _0x32156f=_0x3804c5,_0x484f23=angular['copy'](_0x313084['selectedDynamics365Accounts']);return _0x313084[_0x32156f(0x1d69)]=[],_0x484f23;}function _0x4760dd(_0x48214f){const _0x56b0e2=_0x3804c5,_0x42d5d8=_0x2a6d44[_0x56b0e2(0x1e8a)]()[_0x56b0e2(0x1189)](_0x56b0e2(0x2353))[_0x56b0e2(0x1cbe)](''+_0x313084[_0x56b0e2(0x1d69)][_0x56b0e2(0x402)]+'\x20selected'+'\x20will\x20be\x20deleted.')['ariaLabel'](_0x56b0e2(0xca7))[_0x56b0e2(0x1f27)](_0x48214f)['ok']('OK')[_0x56b0e2(0x6c3)](_0x56b0e2(0x39a));_0x2a6d44[_0x56b0e2(0x2615)](_0x42d5d8)['then'](function(){const _0x140bb3=_0x56b0e2;_0x313084['selectedDynamics365Accounts'][_0x140bb3(0x1df5)](function(_0x53ee8c){_0x4fd836(_0x53ee8c);}),_0x313084[_0x140bb3(0x1d69)]=[];});}function _0x3780ec(){_0x313084['selectedDynamics365Accounts']=[];}function _0x201a2e(){const _0x2c38d6=_0x3804c5;_0x313084[_0x2c38d6(0x1d69)]=_0x313084[_0x2c38d6(0xbcf)][_0x2c38d6(0x19c7)];}}const _0x1555db=_0xbcfdb8;;const _0x355319=_0x4acfac['p']+_0x313a4d(0x184e);;const _0x2867ae=_0x4acfac['p']+'src/js/modules/main/apps/integrations/views/dynamics365Accounts/edit/item/dialog.html/dialog.html';;_0x48f5f9['$inject']=['$mdDialog','$document',_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87)];function _0x48f5f9(_0x598bec,_0xf3ca26,_0x28711d,_0x5dbed3,_0x5a5708){const _0x51ac2a=_0x313a4d,_0x23c561=this;_0x23c561[_0x51ac2a(0x2321)]=_0x5a5708[_0x51ac2a(0xb12)](),_0x23c561[_0x51ac2a(0xa53)]={},_0x23c561[_0x51ac2a(0x1af8)]={},_0x23c561[_0x51ac2a(0x1723)]=[],_0x23c561[_0x51ac2a(0x26c5)]=-0x1,_0x23c561[_0x51ac2a(0x2514)],_0x23c561[_0x51ac2a(0x5aa)]=_0x18e65b,_0x23c561[_0x51ac2a(0x2899)]=_0xae8f5d,_0x23c561[_0x51ac2a(0x514)]=_0xb6c939,_0x23c561[_0x51ac2a(0x670)]=_0x442bed;function _0x18e65b(_0x3e6e67,_0x24ffc4){const _0x3eb608=_0x51ac2a;_0x23c561['dynamics365Account']=_0x3e6e67,_0x23c561[_0x3eb608(0x2514)]=typeof _0x24ffc4!==_0x3eb608(0x2274)?_0x24ffc4:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x28711d[_0x3eb608(0xdb4)][_0x3eb608(0xeb)]({'id':_0x23c561[_0x3eb608(0xa53)]['id'],'sort':_0x3eb608(0x12f2)})[_0x3eb608(0x2945)][_0x3eb608(0x146b)](function(_0x1ea23a){const _0x5ad260=_0x3eb608;return _0x23c561[_0x5ad260(0x1723)]=_0x1ea23a[_0x5ad260(0x19c7)]?_0x1ea23a[_0x5ad260(0x19c7)]:[],_0x23c561[_0x5ad260(0x1723)][_0x5ad260(0x402)]&&_0x23c561[_0x5ad260(0x2899)](0x0),_0x28711d[_0x5ad260(0x212)][_0x5ad260(0x16b4)]({'nolimit':!![],'sort':'name'})[_0x5ad260(0x2945)];})[_0x3eb608(0x146b)](function(_0x43aeb9){const _0x49568d=_0x3eb608;_0x23c561['variables']=_0x43aeb9['rows']?_0x43aeb9[_0x49568d(0x19c7)]:[],_0x23c561[_0x49568d(0x80c)]=_0x3f65c0()[_0x49568d(0x194)](_0x23c561['variables'],'id');})[_0x3eb608(0x129e)](function(_0x419a1a){const _0x179f15=_0x3eb608;_0x5dbed3[_0x179f15(0x1980)]({'title':_0x419a1a[_0x179f15(0x107b)]?_0x179f15(0x262a)+_0x419a1a['status']+'\x20-\x20'+_0x419a1a[_0x179f15(0x167f)]:_0x179f15(0x9e7),'msg':_0x419a1a[_0x179f15(0x524)]?JSON[_0x179f15(0x10bb)](_0x419a1a['data']):_0x419a1a['toString']()});});}function _0xae8f5d(_0x34890b){const _0x5b7cad=_0x51ac2a;_0x23c561[_0x5b7cad(0x1af8)]=_0x23c561[_0x5b7cad(0x1723)][_0x34890b],_0x23c561[_0x5b7cad(0x26c5)]=_0x34890b,_0x28711d[_0x5b7cad(0x1c8b)][_0x5b7cad(0x18ee)]({'id':_0x23c561['dynamics365Configuration']['id']})[_0x5b7cad(0x2945)]['then'](function(_0x124de2){const _0x855f27=_0x5b7cad;return _0x23c561[_0x855f27(0x3c8)]=_0x124de2['rows']?_0x124de2[_0x855f27(0x19c7)]:[],_0x28711d[_0x855f27(0x1c8b)][_0x855f27(0xc2a)]({'id':_0x23c561[_0x855f27(0x1af8)]['id']})[_0x855f27(0x2945)];})['then'](function(_0x4d2dd4){const _0x18b3c2=_0x5b7cad;return _0x23c561['descriptions']=_0x4d2dd4[_0x18b3c2(0x19c7)]?_0x4d2dd4[_0x18b3c2(0x19c7)]:[],_0x28711d['intDynamics365Configuration'][_0x18b3c2(0x12ff)]({'id':_0x23c561['dynamics365Configuration']['id']})[_0x18b3c2(0x2945)];})[_0x5b7cad(0x146b)](function(_0x50dbac){const _0x4c2288=_0x5b7cad;_0x23c561['fields']=_0x50dbac['rows']?_0x50dbac[_0x4c2288(0x19c7)]:[];})[_0x5b7cad(0x129e)](function(_0x4a0fbe){const _0xea7894=_0x5b7cad;_0x5dbed3[_0xea7894(0x1980)]({'title':_0x4a0fbe[_0xea7894(0x107b)]?_0xea7894(0x262a)+_0x4a0fbe['status']+_0xea7894(0x1315)+_0x4a0fbe['statusText']:_0xea7894(0x6ca),'msg':_0x4a0fbe['data']?JSON['stringify'](_0x4a0fbe['data']):_0x4a0fbe[_0xea7894(0xd5f)]()});});}function _0xb6c939(_0x197a13,_0xb63f1){const _0x379ed4=_0x51ac2a;_0x598bec[_0x379ed4(0x2615)]({'controller':_0x379ed4(0x10f9),'controllerAs':'vm','templateUrl':_0x355319,'parent':angular['element'](_0xf3ca26[_0x379ed4(0x2586)]),'targetEvent':_0x197a13,'clickOutsideToClose':!![],'locals':{'dynamics365Configuration':_0xb63f1,'configurations':_0x23c561[_0x379ed4(0x1723)],'license':null,'setting':null,'crudPermissions':_0x23c561[_0x379ed4(0x2514)]}})['finally'](function(){const _0xce35=_0x379ed4;_0x23c561[_0xce35(0x2899)](0x0);});}function _0x442bed(_0x52a0f8,_0x5d9dcd){const _0x3efd61=_0x51ac2a,_0x215160=_0x598bec[_0x3efd61(0x1e8a)]()[_0x3efd61(0x1189)](_0x3efd61(0x242f))[_0x3efd61(0x1cbe)](_0x3efd61(0x16d3)+(_0x5d9dcd[_0x3efd61(0x19eb)]||'dynamics365Configuration')+_0x3efd61(0x252f)+_0x3efd61(0xe01))[_0x3efd61(0x4bd)](_0x3efd61(0x1144))[_0x3efd61(0x1f27)](_0x52a0f8)['ok']('OK')[_0x3efd61(0x6c3)](_0x3efd61(0x39a));_0x598bec['show'](_0x215160)[_0x3efd61(0x146b)](function(){const _0x56945f=_0x3efd61;_0x28711d[_0x56945f(0x1c8b)][_0x56945f(0x1fac)]({'id':_0x5d9dcd['id']})[_0x56945f(0x2945)][_0x56945f(0x146b)](function(){const _0x51158c=_0x56945f;_0x3f65c0()[_0x51158c(0x2640)](_0x23c561[_0x51158c(0x1723)],{'id':_0x5d9dcd['id']}),_0x5dbed3['success']({'title':_0x51158c(0x2865),'msg':_0x5d9dcd[_0x51158c(0x19eb)]?_0x5d9dcd[_0x51158c(0x19eb)]+'\x20has\x20been\x20deleted!':''});})[_0x56945f(0x129e)](function(_0x4078f5){const _0x50ab8c=_0x56945f;_0x5dbed3[_0x50ab8c(0x1980)]({'title':_0x4078f5[_0x50ab8c(0x107b)]?'API:'+_0x4078f5[_0x50ab8c(0x107b)]+_0x50ab8c(0x1315)+_0x4078f5[_0x50ab8c(0x167f)]:_0x50ab8c(0xff1),'msg':_0x4078f5[_0x50ab8c(0x524)]?JSON[_0x50ab8c(0x10bb)](_0x4078f5[_0x50ab8c(0x524)]):_0x4078f5[_0x50ab8c(0xd5f)]()});})[_0x56945f(0x1ec6)](function(){const _0xf1deb7=_0x56945f;_0x23c561[_0xf1deb7(0x2899)](0x0);});},function(){const _0x3984d1=_0x3efd61;console[_0x3984d1(0x1a74)]('CANCEL');});}_0x23c561[_0x51ac2a(0x15a6)]=[],_0x23c561[_0x51ac2a(0x2665)]=_0x3b2ea7,_0x23c561[_0x51ac2a(0x10d5)]=_0xa235b2,_0x23c561['deleteSelectedSubjects']=_0x379999;function _0x3b2ea7(_0x576a4f,_0x41f5a2){const _0x5670a9=_0x51ac2a;_0x598bec[_0x5670a9(0x2615)]({'controller':_0x5670a9(0x1ad6),'controllerAs':'vm','templateUrl':_0x2867ae,'parent':angular[_0x5670a9(0x1853)](_0xf3ca26[_0x5670a9(0x2586)]),'targetEvent':_0x576a4f,'clickOutsideToClose':!![],'locals':{'type':_0x5670a9(0xe32),'item':_0x41f5a2,'items':_0x23c561[_0x5670a9(0x3c8)],'configuration':_0x23c561['dynamics365Configuration'],'account':_0x23c561['dynamics365Account'],'license':null,'setting':null,'crudPermissions':_0x23c561[_0x5670a9(0x2514)]}});}function _0x41da02(_0x125df4){const _0xf21f51=_0x51ac2a;_0x28711d[_0xf21f51(0x409)][_0xf21f51(0x1fac)]({'id':_0x125df4['id']})[_0xf21f51(0x2945)][_0xf21f51(0x146b)](function(){const _0x429f72=_0xf21f51;_0x3f65c0()[_0x429f72(0x2640)](_0x23c561['subjects'],{'id':_0x125df4['id']}),_0x5dbed3[_0x429f72(0x1c75)]({'title':_0x429f72(0x28e6),'msg':_0x429f72(0x761)});})['catch'](function(_0xc9fe82){const _0x1866b0=_0xf21f51;_0x5dbed3[_0x1866b0(0x1980)]({'title':_0xc9fe82[_0x1866b0(0x107b)]?_0x1866b0(0x262a)+_0xc9fe82[_0x1866b0(0x107b)]+'\x20-\x20'+_0xc9fe82[_0x1866b0(0x167f)]:_0x1866b0(0xf6e),'msg':_0xc9fe82[_0x1866b0(0x524)]?JSON[_0x1866b0(0x10bb)](_0xc9fe82['data']):_0xc9fe82[_0x1866b0(0xd5f)]()});});}function _0xa235b2(_0xdc6e04,_0x425a2e){const _0x369aee=_0x51ac2a,_0x496093=_0x598bec[_0x369aee(0x1e8a)]()[_0x369aee(0x1189)](_0x369aee(0x317))['htmlContent'](_0x369aee(0xf7f)+_0x369aee(0xe01))['ariaLabel']('delete\x20subject')[_0x369aee(0x1f27)](_0xdc6e04)['ok']('OK')[_0x369aee(0x6c3)]('CANCEL');_0x598bec[_0x369aee(0x2615)](_0x496093)[_0x369aee(0x146b)](function(){_0x41da02(_0x425a2e);},function(){const _0x1cd0d0=_0x369aee;console[_0x1cd0d0(0x1a74)](_0x1cd0d0(0x39a));});}function _0x379999(_0x249940){const _0x4d0530=_0x51ac2a,_0x34567f=_0x598bec['confirm']()[_0x4d0530(0x1189)](_0x4d0530(0x2e6))['htmlContent'](_0x4d0530(0x16d3)+_0x23c561[_0x4d0530(0x15a6)][_0x4d0530(0x402)]+_0x4d0530(0x2452)+_0x4d0530(0xe01))[_0x4d0530(0x4bd)](_0x4d0530(0x1209))[_0x4d0530(0x1f27)](_0x249940)['ok']('OK')['cancel'](_0x4d0530(0x39a));_0x598bec['show'](_0x34567f)['then'](function(){const _0x113ee=_0x4d0530;_0x23c561[_0x113ee(0x15a6)][_0x113ee(0x1df5)](function(_0x756699){_0x41da02(_0x756699);}),_0x23c561['selectedSubjects']=[];});}_0x23c561['selectedDescriptions']=[],_0x23c561[_0x51ac2a(0x18da)]=_0x1505d3,_0x23c561[_0x51ac2a(0xb29)]=_0x2581d5,_0x23c561[_0x51ac2a(0x2241)]=_0x4e8f30;function _0x1505d3(_0x29193a,_0xeed017){const _0x473c38=_0x51ac2a;_0x598bec[_0x473c38(0x2615)]({'controller':'CreateOrEditDynamics365AccountItemDialogController','controllerAs':'vm','templateUrl':_0x2867ae,'parent':angular[_0x473c38(0x1853)](_0xf3ca26[_0x473c38(0x2586)]),'targetEvent':_0x29193a,'clickOutsideToClose':!![],'locals':{'type':_0x473c38(0xb17),'item':_0xeed017,'items':_0x23c561[_0x473c38(0x2358)],'configuration':_0x23c561[_0x473c38(0x1af8)],'account':_0x23c561['dynamics365Account'],'license':null,'setting':null,'crudPermissions':_0x23c561[_0x473c38(0x2514)]}});}function _0x3d92f6(_0x3dc0ff){const _0x23830a=_0x51ac2a;_0x28711d['intDynamics365Field'][_0x23830a(0x1fac)]({'id':_0x3dc0ff['id']})[_0x23830a(0x2945)][_0x23830a(0x146b)](function(){const _0x3de995=_0x23830a;_0x3f65c0()['remove'](_0x23c561[_0x3de995(0x2358)],{'id':_0x3dc0ff['id']}),_0x5dbed3[_0x3de995(0x1c75)]({'title':_0x3de995(0xaf8),'msg':_0x3de995(0x8e3)});})[_0x23830a(0x129e)](function(_0x22e2a1){const _0x409d84=_0x23830a;_0x5dbed3[_0x409d84(0x1980)]({'title':_0x22e2a1[_0x409d84(0x107b)]?'API:'+_0x22e2a1[_0x409d84(0x107b)]+_0x409d84(0x1315)+_0x22e2a1[_0x409d84(0x167f)]:_0x409d84(0xf6e),'msg':_0x22e2a1[_0x409d84(0x524)]?JSON['stringify'](_0x22e2a1[_0x409d84(0x524)]):_0x22e2a1['toString']()});});}function _0x2581d5(_0x19c0c7,_0x469ab3){const _0x5e89d9=_0x51ac2a,_0x23cabe=_0x598bec[_0x5e89d9(0x1e8a)]()[_0x5e89d9(0x1189)](_0x5e89d9(0x1e9))['htmlContent'](_0x5e89d9(0x2014)+_0x5e89d9(0xe01))['ariaLabel'](_0x5e89d9(0x1c5c))['targetEvent'](_0x19c0c7)['ok']('OK')[_0x5e89d9(0x6c3)](_0x5e89d9(0x39a));_0x598bec[_0x5e89d9(0x2615)](_0x23cabe)[_0x5e89d9(0x146b)](function(){_0x3d92f6(_0x469ab3);},function(){const _0x465ff4=_0x5e89d9;console['log'](_0x465ff4(0x39a));});}function _0x4e8f30(_0x421863){const _0x155684=_0x51ac2a,_0xd72b2d=_0x598bec[_0x155684(0x1e8a)]()[_0x155684(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20descriptions?')['htmlContent'](''+_0x23c561[_0x155684(0x126)][_0x155684(0x402)]+_0x155684(0x2452)+_0x155684(0xe01))['ariaLabel'](_0x155684(0x1be7))[_0x155684(0x1f27)](_0x421863)['ok']('OK')[_0x155684(0x6c3)]('CANCEL');_0x598bec['show'](_0xd72b2d)[_0x155684(0x146b)](function(){const _0x578ea4=_0x155684;_0x23c561[_0x578ea4(0x126)][_0x578ea4(0x1df5)](function(_0x20152a){_0x3d92f6(_0x20152a);}),_0x23c561[_0x578ea4(0x126)]=[];});}_0x23c561[_0x51ac2a(0x2605)]=[],_0x23c561['createOrEditField']=_0x465025,_0x23c561[_0x51ac2a(0x2180)]=_0x5ea742,_0x23c561[_0x51ac2a(0x816)]=_0x2da273;function _0x465025(_0x7a2719,_0x42705f){const _0x1affdf=_0x51ac2a;_0x598bec[_0x1affdf(0x2615)]({'controller':_0x1affdf(0x1ad6),'controllerAs':'vm','templateUrl':_0x2867ae,'parent':angular[_0x1affdf(0x1853)](_0xf3ca26['body']),'targetEvent':_0x7a2719,'clickOutsideToClose':!![],'locals':{'type':'field','item':_0x42705f,'items':_0x23c561[_0x1affdf(0x2867)],'configuration':_0x23c561[_0x1affdf(0x1af8)],'account':_0x23c561[_0x1affdf(0xa53)],'license':null,'setting':null,'crudPermissions':_0x23c561[_0x1affdf(0x2514)]}});}function _0x53ba2f(_0x429975){const _0x26ba67=_0x51ac2a;_0x28711d['intDynamics365Field'][_0x26ba67(0x1fac)]({'id':_0x429975['id']})['$promise'][_0x26ba67(0x146b)](function(){const _0x9637c0=_0x26ba67;_0x3f65c0()['remove'](_0x23c561[_0x9637c0(0x2867)],{'id':_0x429975['id']}),_0x5dbed3[_0x9637c0(0x1c75)]({'title':_0x9637c0(0x24ce),'msg':'Field\x20has\x20been\x20deleted!'});})[_0x26ba67(0x129e)](function(_0x4ae5dc){const _0x2a58d9=_0x26ba67;_0x5dbed3[_0x2a58d9(0x1980)]({'title':_0x4ae5dc[_0x2a58d9(0x107b)]?_0x2a58d9(0x262a)+_0x4ae5dc['status']+'\x20-\x20'+_0x4ae5dc['statusText']:_0x2a58d9(0xf6e),'msg':_0x4ae5dc['data']?JSON[_0x2a58d9(0x10bb)](_0x4ae5dc[_0x2a58d9(0x524)]):_0x4ae5dc[_0x2a58d9(0xd5f)]()});});}function _0x5ea742(_0x25bbec,_0x2b31dc){const _0x1147ec=_0x51ac2a,_0xf052c2=_0x598bec['confirm']()[_0x1147ec(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20field?')[_0x1147ec(0x1cbe)](_0x1147ec(0x2216)+_0x1147ec(0xe01))[_0x1147ec(0x4bd)](_0x1147ec(0x2487))['targetEvent'](_0x25bbec)['ok']('OK')[_0x1147ec(0x6c3)](_0x1147ec(0x39a));_0x598bec[_0x1147ec(0x2615)](_0xf052c2)[_0x1147ec(0x146b)](function(){_0x53ba2f(_0x2b31dc);},function(){const _0x2638bb=_0x1147ec;console[_0x2638bb(0x1a74)](_0x2638bb(0x39a));});}function _0x2da273(_0x2bc816){const _0x5de58d=_0x51ac2a,_0x13831c=_0x598bec[_0x5de58d(0x1e8a)]()[_0x5de58d(0x1189)](_0x5de58d(0xe75))[_0x5de58d(0x1cbe)](_0x5de58d(0x16d3)+_0x23c561[_0x5de58d(0x2605)][_0x5de58d(0x402)]+_0x5de58d(0x2452)+_0x5de58d(0xe01))['ariaLabel']('delete\x20fields')[_0x5de58d(0x1f27)](_0x2bc816)['ok']('OK')['cancel'](_0x5de58d(0x39a));_0x598bec[_0x5de58d(0x2615)](_0x13831c)[_0x5de58d(0x146b)](function(){const _0x1ed7c6=_0x5de58d;_0x23c561[_0x1ed7c6(0x2605)][_0x1ed7c6(0x1df5)](function(_0x38f3a7){_0x53ba2f(_0x38f3a7);}),_0x23c561['selectedFields']=[];});}}const _0x306677=_0x48f5f9;;_0xca0d55[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),'$location',_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),'toasty',_0x313a4d(0x1723),_0x313a4d(0x1af8),'api',_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0xca0d55(_0x5044b2,_0x2b3eb2,_0x2f7eb2,_0x2c6fcb,_0x12efcb,_0x5355ff,_0x3125a4,_0x563caf,_0x382e98,_0x21a6d1,_0x25af66,_0x1395d1,_0x32032c,_0x5e4910){const _0x3c2fd3=_0x313a4d,_0x14bf40=this;_0x14bf40[_0x3c2fd3(0x2321)]=_0x25af66[_0x3c2fd3(0xb12)](),_0x14bf40[_0x3c2fd3(0xcef)]=[],_0x14bf40[_0x3c2fd3(0x15b9)]=_0x32032c,_0x14bf40[_0x3c2fd3(0x2690)]=_0x1395d1,_0x14bf40['crudPermissions']=_0x5e4910,_0x14bf40[_0x3c2fd3(0x855)]={},_0x14bf40['passwordPattern']=_0x14bf40[_0x3c2fd3(0x15b9)]&&_0x14bf40['setting'][_0x3c2fd3(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x14bf40[_0x3c2fd3(0x1189)]=_0x3c2fd3(0x167d),_0x14bf40[_0x3c2fd3(0x1af8)]=angular['copy'](_0x382e98),_0x14bf40['configurations']=_0x563caf,_0x14bf40[_0x3c2fd3(0x23f0)]=![];!_0x14bf40['dynamics365Configuration']&&(_0x14bf40['dynamics365Configuration']={'channel':_0x3c2fd3(0xe6),'type':_0x3c2fd3(0x7b0),'ticketType':_0x3c2fd3(0x5d4)},_0x14bf40[_0x3c2fd3(0x1189)]=_0x3c2fd3(0x1945),_0x14bf40[_0x3c2fd3(0x23f0)]=!![]);_0x14bf40[_0x3c2fd3(0x1588)]=_0x34a74d,_0x14bf40[_0x3c2fd3(0x196a)]=_0x3408a3,_0x14bf40[_0x3c2fd3(0x670)]=_0x2aa7a9,_0x14bf40[_0x3c2fd3(0xe73)]=_0x5af020,_0x14bf40[_0x3c2fd3(0x13f3)]=_0x42907a;function _0x34a74d(){const _0x56457b=_0x3c2fd3;_0x14bf40[_0x56457b(0xcef)]=[],_0x21a6d1['intDynamics365Account'][_0x56457b(0xa17)]({'id':_0x2b3eb2[_0x56457b(0x16a)]['id']},_0x14bf40['dynamics365Configuration'])[_0x56457b(0x2945)][_0x56457b(0x146b)](function(_0x295135){const _0x5d728e=_0x56457b;_0x14bf40[_0x5d728e(0x1723)]['unshift'](_0x295135[_0x5d728e(0x2488)]()),_0x3125a4['success']({'title':'Dynamics365Configuration\x20properly\x20created','msg':_0x14bf40['dynamics365Configuration'][_0x5d728e(0x19eb)]?_0x14bf40['dynamics365Configuration'][_0x5d728e(0x19eb)]+_0x5d728e(0x1386):''}),_0x42907a(_0x295135);})[_0x56457b(0x129e)](function(_0x35089a){const _0x19e3bb=_0x56457b;if(_0x35089a[_0x19e3bb(0x524)]&&_0x35089a[_0x19e3bb(0x524)][_0x19e3bb(0xcef)]&&_0x35089a['data'][_0x19e3bb(0xcef)][_0x19e3bb(0x402)]){_0x14bf40[_0x19e3bb(0xcef)]=_0x35089a['data']['errors']||[{'message':_0x35089a['toString'](),'type':_0x19e3bb(0x14d7)}];for(let _0x2c14d6=0x0;_0x2c14d6<_0x35089a[_0x19e3bb(0x524)][_0x19e3bb(0xcef)][_0x19e3bb(0x402)];_0x2c14d6+=0x1){_0x3125a4['error']({'title':_0x35089a[_0x19e3bb(0x524)][_0x19e3bb(0xcef)][_0x2c14d6]['type'],'msg':_0x35089a[_0x19e3bb(0x524)][_0x19e3bb(0xcef)][_0x2c14d6][_0x19e3bb(0x7fd)]});}}else _0x3125a4['error']({'title':_0x35089a[_0x19e3bb(0x107b)]?_0x19e3bb(0x262a)+_0x35089a[_0x19e3bb(0x107b)]+_0x19e3bb(0x1315)+_0x35089a['statusText']:_0x19e3bb(0x14d7),'msg':_0x35089a[_0x19e3bb(0x524)]?JSON[_0x19e3bb(0x10bb)](_0x35089a[_0x19e3bb(0x524)][_0x19e3bb(0x7fd)]):_0x35089a['toString']()});});}function _0x3408a3(){const _0x2d9702=_0x3c2fd3;_0x14bf40['errors']=[],_0x21a6d1[_0x2d9702(0x1c8b)][_0x2d9702(0x18e1)]({'id':_0x14bf40[_0x2d9702(0x1af8)]['id']},_0x14bf40[_0x2d9702(0x1af8)])[_0x2d9702(0x2945)][_0x2d9702(0x146b)](function(_0x1ca8a5){const _0x6c8d3f=_0x2d9702,_0x893d41=_0x3f65c0()[_0x6c8d3f(0xc84)](_0x14bf40['configurations'],{'id':_0x1ca8a5['id']});_0x893d41&&_0x3f65c0()[_0x6c8d3f(0x168d)](_0x893d41,_0x3f65c0()['pick'](_0x1ca8a5[_0x6c8d3f(0x2488)](),_0x3f65c0()[_0x6c8d3f(0x627)](_0x893d41))),_0x3125a4['success']({'title':'Dynamics365Configuration\x20properly\x20saved!','msg':_0x14bf40['dynamics365Configuration'][_0x6c8d3f(0x19eb)]?_0x14bf40['dynamics365Configuration'][_0x6c8d3f(0x19eb)]+_0x6c8d3f(0x24db):''}),_0x42907a(_0x1ca8a5);})['catch'](function(_0x7e8837){const _0x1c4ec0=_0x2d9702;if(_0x7e8837[_0x1c4ec0(0x524)]&&_0x7e8837[_0x1c4ec0(0x524)][_0x1c4ec0(0xcef)]&&_0x7e8837[_0x1c4ec0(0x524)][_0x1c4ec0(0xcef)]['length']){_0x14bf40[_0x1c4ec0(0xcef)]=_0x7e8837[_0x1c4ec0(0x524)][_0x1c4ec0(0xcef)]||[{'message':_0x7e8837['toString'](),'type':_0x1c4ec0(0x143e)}];for(let _0x26d9c4=0x0;_0x26d9c4<_0x7e8837[_0x1c4ec0(0x524)][_0x1c4ec0(0xcef)][_0x1c4ec0(0x402)];_0x26d9c4++){_0x3125a4['error']({'title':_0x7e8837[_0x1c4ec0(0x524)]['errors'][_0x26d9c4]['type'],'msg':_0x7e8837['data']['errors'][_0x26d9c4][_0x1c4ec0(0x7fd)]});}}else _0x3125a4[_0x1c4ec0(0x1980)]({'title':_0x7e8837[_0x1c4ec0(0x107b)]?_0x1c4ec0(0x262a)+_0x7e8837[_0x1c4ec0(0x107b)]+_0x1c4ec0(0x1315)+_0x7e8837[_0x1c4ec0(0x167f)]:_0x1c4ec0(0x143e),'msg':_0x7e8837[_0x1c4ec0(0x524)]?JSON[_0x1c4ec0(0x10bb)](_0x7e8837[_0x1c4ec0(0x524)][_0x1c4ec0(0x7fd)]):_0x7e8837[_0x1c4ec0(0xd5f)]()});});}function _0x2aa7a9(_0x35b04b){const _0x16f1bf=_0x3c2fd3;_0x14bf40['errors']=[];const _0x47d07b=_0x2c6fcb[_0x16f1bf(0x1e8a)]()[_0x16f1bf(0x1189)](_0x16f1bf(0x1d64))[_0x16f1bf(0x80f)](_0x16f1bf(0x193d))['ariaLabel']('Delete\x20Dynamics365Configuration')['ok'](_0x16f1bf(0x25de))[_0x16f1bf(0x6c3)](_0x16f1bf(0xcf0))[_0x16f1bf(0x1f27)](_0x35b04b);_0x2c6fcb[_0x16f1bf(0x2615)](_0x47d07b)[_0x16f1bf(0x146b)](function(){const _0x3cbf60=_0x16f1bf;_0x21a6d1[_0x3cbf60(0x1c8b)][_0x3cbf60(0x1fac)]({'id':_0x14bf40[_0x3cbf60(0x1af8)]['id']})['$promise']['then'](function(){const _0x2cfc4e=_0x3cbf60;_0x3f65c0()[_0x2cfc4e(0x2640)](_0x14bf40[_0x2cfc4e(0x1723)],{'id':_0x14bf40[_0x2cfc4e(0x1af8)]['id']}),_0x3125a4[_0x2cfc4e(0x1c75)]({'title':_0x2cfc4e(0x2103),'msg':(_0x14bf40[_0x2cfc4e(0x1af8)]['name']||'dynamics365Configuration')+_0x2cfc4e(0x23e3)}),_0x42907a(_0x14bf40[_0x2cfc4e(0x1af8)]);})[_0x3cbf60(0x129e)](function(_0x30aa05){const _0x369c85=_0x3cbf60;if(_0x30aa05[_0x369c85(0x524)]&&_0x30aa05['data'][_0x369c85(0xcef)]&&_0x30aa05[_0x369c85(0x524)]['errors'][_0x369c85(0x402)]){_0x14bf40['errors']=_0x30aa05['data'][_0x369c85(0xcef)]||[{'message':_0x30aa05['toString'](),'type':_0x369c85(0x1dac)}];for(let _0x2ce5d6=0x0;_0x2ce5d6<_0x30aa05[_0x369c85(0x524)][_0x369c85(0xcef)][_0x369c85(0x402)];_0x2ce5d6++){_0x3125a4[_0x369c85(0x1980)]({'title':_0x30aa05[_0x369c85(0x524)][_0x369c85(0xcef)][_0x2ce5d6][_0x369c85(0x1142)],'msg':_0x30aa05[_0x369c85(0x524)][_0x369c85(0xcef)][_0x2ce5d6][_0x369c85(0x7fd)]});}}else _0x3125a4['error']({'title':_0x30aa05[_0x369c85(0x107b)]?_0x369c85(0x262a)+_0x30aa05[_0x369c85(0x107b)]+_0x369c85(0x1315)+_0x30aa05['statusText']:_0x369c85(0x1dac),'msg':_0x30aa05['data']?JSON['stringify'](_0x30aa05[_0x369c85(0x524)]['message']):_0x30aa05[_0x369c85(0x7fd)]||_0x30aa05[_0x369c85(0xd5f)]()});});},function(){});}function _0x5af020(_0x49eb3d){return _0x49eb3d===null?undefined:new Date(_0x49eb3d);}function _0x42907a(_0x369221){const _0x3b8ea3=_0x3c2fd3;_0x2c6fcb[_0x3b8ea3(0x2458)](_0x369221);}}const _0xdeff11=_0xca0d55;;_0x2e8467[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),_0x313a4d(0x1fe4),'configuration',_0x313a4d(0x1fd6),_0x313a4d(0x2711),_0x313a4d(0x1f8e),'type',_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x2e8467(_0x355fe6,_0x135a6f,_0x129bf3,_0x2bde47,_0x5bd25d,_0x23d409,_0x3f1323,_0x530a6c,_0xab7ea4,_0x435616,_0x4d362d,_0x3712df,_0x2f5615){const _0x3ca102=_0x313a4d,_0x19e992=this;_0x19e992[_0x3ca102(0x2321)]=_0x3712df[_0x3ca102(0xb12)](),_0x19e992[_0x3ca102(0xcef)]=[],_0x19e992[_0x3ca102(0x1189)]='INTEGRATIONS.EDIT_'+_0x3f65c0()[_0x3ca102(0xd39)](_0x435616),_0x19e992[_0x3ca102(0x2711)]=angular[_0x3ca102(0x235a)](_0x530a6c),_0x19e992['items']=_0x3f1323,_0x19e992[_0x3ca102(0x1142)]=_0x435616,_0x19e992[_0x3ca102(0x2514)]=_0x2f5615,_0x19e992[_0x3ca102(0x23cd)]=![];!_0x19e992[_0x3ca102(0x2711)]&&(_0x19e992[_0x3ca102(0x2711)]={'type':_0x3ca102(0x1f23)},_0x19e992['item'][_0x3f65c0()['capitalize'](_0x435616)+'Id']=_0x23d409['id'],_0x19e992[_0x3ca102(0x1189)]='INTEGRATIONS.NEW_'+_0x3f65c0()[_0x3ca102(0xd39)](_0x435616),_0x19e992[_0x3ca102(0x23cd)]=!![]);_0x19e992[_0x3ca102(0x1e65)]=_0x5a95d0,_0x19e992[_0x3ca102(0x150e)]=_0x769da7,_0x19e992[_0x3ca102(0xbaf)]=_0xa604d0,_0x19e992[_0x3ca102(0x21b1)]=_0x19cc52,_0x19e992[_0x3ca102(0x13f3)]=_0x4877c7,_0x4d362d[_0x3ca102(0x212)][_0x3ca102(0x16b4)]({'nolimit':!![]})['$promise'][_0x3ca102(0x146b)](function(_0x5b26eb){const _0x206386=_0x3ca102;return _0x19e992[_0x206386(0x85b)]=_0x5b26eb[_0x206386(0x19c7)]?_0x5b26eb['rows']:[],_0x4d362d[_0x206386(0x1cb3)][_0x206386(0x1ea2)]()[_0x206386(0x2945)];})[_0x3ca102(0x146b)](function(_0xfff9ed){const _0x34652d=_0x3ca102;return _0x19e992[_0x34652d(0x1c8a)]=_0x3f65c0()[_0x34652d(0xa62)](_0x3f65c0()['keys'](_0xfff9ed),['$promise',_0x34652d(0x26f2),_0x34652d(0xc68),_0x34652d(0x144f),_0x34652d(0xc3b)]),_0x19e992['voiceAgentReportColumns'][_0x34652d(0x1f47)](_0x34652d(0x643)),_0x4d362d[_0x34652d(0x160)][_0x34652d(0x1ea2)]()[_0x34652d(0x2945)];})[_0x3ca102(0x146b)](function(_0x4180ca){const _0x8a654d=_0x3ca102;_0x19e992['voiceDialReportColumns']=_0x3f65c0()[_0x8a654d(0xa62)](_0x3f65c0()[_0x8a654d(0x627)](_0x4180ca),[_0x8a654d(0x2945),'$resolved',_0x8a654d(0xc68),_0x8a654d(0x144f),'sourceid']),_0x19e992[_0x8a654d(0x164b)][_0x8a654d(0x1f47)](_0x8a654d(0x643));})[_0x3ca102(0x129e)](function(_0xadd7e9){const _0x162603=_0x3ca102;_0x5bd25d[_0x162603(0x1980)]({'title':_0xadd7e9['status']?_0x162603(0x262a)+_0xadd7e9[_0x162603(0x107b)]+'\x20-\x20'+_0xadd7e9[_0x162603(0x167f)]:_0x162603(0x502),'msg':_0xadd7e9['data']?JSON[_0x162603(0x10bb)](_0xadd7e9[_0x162603(0x524)]):_0xadd7e9[_0x162603(0xd5f)]()});}),_0x4d362d[_0x3ca102(0xdb4)][_0x3ca102(0x12ff)]({'id':_0xab7ea4['id'],'ticketType':_0x23d409[_0x3ca102(0x21be)]?_0x23d409[_0x3ca102(0x21be)]:undefined})[_0x3ca102(0x2945)]['then'](function(_0x192158){const _0x17b385=_0x3ca102;_0x19e992[_0x17b385(0x822)]=!![],_0x19e992[_0x17b385(0x2867)]=_0x192158[_0x17b385(0x19c7)]?_0x192158[_0x17b385(0x19c7)]:[],_0x19e992['item']['idField']&&(_0x19e992[_0x17b385(0x165e)]=_0x3f65c0()[_0x17b385(0xc84)](_0x19e992[_0x17b385(0x2867)],{'id':_0x19e992[_0x17b385(0x2711)][_0x17b385(0x2912)]}));})[_0x3ca102(0x129e)](function(_0x31f574){const _0xf8f032=_0x3ca102;_0x19e992[_0xf8f032(0x822)]=![],console[_0xf8f032(0x1980)](_0x31f574);});function _0x5a95d0(){const _0x59f0b9=_0x3ca102;_0x19e992[_0x59f0b9(0xcef)]=[],_0x19e992[_0x59f0b9(0x165e)]&&(_0x19e992['item'][_0x59f0b9(0x2912)]=_0x19e992['customField']['id'],_0x19e992['item']['nameField']=_0x19e992[_0x59f0b9(0x165e)][_0x59f0b9(0x19eb)],_0x19e992[_0x59f0b9(0x2711)]['customField']=_0x19e992[_0x59f0b9(0x165e)][_0x59f0b9(0x1802)]),_0x4d362d['intDynamics365Field'][_0x59f0b9(0x1e3)](_0x19e992[_0x59f0b9(0x2711)])[_0x59f0b9(0x2945)]['then'](function(_0x19929e){const _0x4a8756=_0x59f0b9;_0x19e992[_0x4a8756(0x1fd6)][_0x4a8756(0xb3d)](_0x19929e),_0x5bd25d[_0x4a8756(0x1c75)]({'title':_0x3f65c0()[_0x4a8756(0x83f)](_0x435616)+_0x4a8756(0x1637),'msg':_0x3f65c0()['capitalize'](_0x435616)+_0x4a8756(0x1386)}),_0x4877c7();})[_0x59f0b9(0x129e)](function(_0x21f53d){const _0x2296c9=_0x59f0b9;console[_0x2296c9(0x1980)](_0x21f53d),_0x19e992[_0x2296c9(0xcef)]=_0x21f53d[_0x2296c9(0x524)][_0x2296c9(0xcef)]||[{'message':_0x21f53d[_0x2296c9(0xd5f)](),'type':'api.item.save'}];});}function _0x769da7(){const _0x4353ef=_0x3ca102;_0x19e992['errors']=[],_0x19e992[_0x4353ef(0x165e)]&&(_0x19e992[_0x4353ef(0x2711)][_0x4353ef(0x2912)]=_0x19e992[_0x4353ef(0x165e)]['id'],_0x19e992[_0x4353ef(0x2711)][_0x4353ef(0x25e3)]=_0x19e992['customField'][_0x4353ef(0x19eb)],_0x19e992[_0x4353ef(0x2711)]['customField']=_0x19e992[_0x4353ef(0x165e)][_0x4353ef(0x1802)]),_0x4d362d['intDynamics365Field'][_0x4353ef(0x18e1)]({'id':_0x19e992['item']['id']},_0x19e992[_0x4353ef(0x2711)])[_0x4353ef(0x2945)][_0x4353ef(0x146b)](function(_0xc4de7e){const _0x41a681=_0x4353ef,_0x48b31d=_0x3f65c0()[_0x41a681(0xc84)](_0x19e992[_0x41a681(0x1fd6)],{'id':_0xc4de7e['id']});_0x48b31d&&_0x3f65c0()[_0x41a681(0x168d)](_0x48b31d,_0xc4de7e),_0x5bd25d['success']({'title':_0x3f65c0()[_0x41a681(0x83f)](_0x435616)+_0x41a681(0xffe),'msg':_0x3f65c0()[_0x41a681(0x83f)](_0x435616)+_0x41a681(0x24db)}),_0x4877c7();})['catch'](function(_0x2cd982){const _0x15c62a=_0x4353ef;console[_0x15c62a(0x1980)](_0x2cd982),_0x19e992[_0x15c62a(0xcef)]=_0x2cd982['data'][_0x15c62a(0xcef)]||[{'message':_0x2cd982['toString'](),'type':_0x15c62a(0x1b4)}];});}function _0xa604d0(_0x2a5e84){const _0x589817=_0x3ca102;_0x19e992[_0x589817(0xcef)]=[];const _0x854daa=_0x2bde47['confirm']()[_0x589817(0x1189)](_0x589817(0x1d64))[_0x589817(0x80f)](_0x589817(0xe57)+_0x435616+_0x589817(0xe01))[_0x589817(0x4bd)](_0x589817(0xb22)+_0x3f65c0()[_0x589817(0x83f)](_0x435616))['ok'](_0x589817(0x25de))[_0x589817(0x6c3)](_0x589817(0xcf0))[_0x589817(0x1f27)](_0x2a5e84);_0x2bde47['show'](_0x854daa)[_0x589817(0x146b)](function(){const _0x629377=_0x589817;_0x4d362d[_0x629377(0x409)][_0x629377(0x1fac)]({'id':_0x19e992['item']['id']})['$promise']['then'](function(){const _0x443565=_0x629377;_0x3f65c0()[_0x443565(0x2640)](_0x19e992[_0x443565(0x1fd6)],{'id':_0x19e992[_0x443565(0x2711)]['id']}),_0x5bd25d[_0x443565(0x1c75)]({'title':_0x3f65c0()['capitalize'](_0x435616)+_0x443565(0x1e6c),'msg':_0x3f65c0()[_0x443565(0x83f)](_0x435616)+_0x443565(0x23e3)}),_0x4877c7();})['catch'](function(_0x38cbb2){const _0x2fd92b=_0x629377;console[_0x2fd92b(0x1980)](_0x38cbb2),_0x19e992[_0x2fd92b(0xcef)]=_0x38cbb2[_0x2fd92b(0x524)]['errors']||[{'message':_0x38cbb2['toString'](),'type':'api.item.delete'}];});},function(){});}function _0x19cc52(){const _0x338995=_0x3ca102;return _0x19e992[_0x338995(0x2711)][_0x338995(0x97f)]!==undefined?_0x19e992[_0x338995(0x2711)][_0x338995(0x97f)]:'Please\x20select\x20a\x20variable';}function _0x4877c7(){const _0x135805=_0x3ca102;_0x2bde47[_0x135805(0x2458)]();}}const _0x2f7bfb=_0x2e8467;;_0x56a781[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x214b),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87),'dynamics365Account',_0x313a4d(0x1366)];function _0x56a781(_0x430698,_0x382a04,_0x4c6407,_0x35ca54,_0xa3e4f0,_0x12d6fd,_0xda422f,_0xada43a,_0x1a92ea,_0x3daac3,_0x574929,_0x2e15a5){const _0x2df00e=_0x313a4d,_0x50a9af=this;_0x50a9af[_0x2df00e(0x2321)]=_0x3daac3['getCurrentUser'](),_0x50a9af['license']=_0x12d6fd,_0x50a9af[_0x2df00e(0x15b9)]=_0xda422f,_0x50a9af[_0x2df00e(0x2251)]=_0x50a9af['setting'][_0x2df00e(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x50a9af[_0x2df00e(0x1002)]=_0x382a04[_0x2df00e(0x2414)]()+_0x2df00e(0xb0e)+_0x382a04[_0x2df00e(0x148e)](),_0x50a9af['dynamics365Account']=_0x574929||_0x430698[_0x2df00e(0x16a)][_0x2df00e(0xa53)]||{},_0x50a9af[_0x2df00e(0x1366)]=_0x2e15a5&&_0x2e15a5[_0x2df00e(0x51c)]==0x1?_0x2e15a5[_0x2df00e(0x19c7)][0x0]:null,_0x50a9af['crudPermissions']=_0x3daac3['parseCrudPermissions'](_0x50a9af[_0x2df00e(0x1366)]?_0x50a9af[_0x2df00e(0x1366)]['crudPermissions']:null),_0x50a9af[_0x2df00e(0x855)]={},_0x50a9af['selectedTab']=_0x430698['params'][_0x2df00e(0x13a3)]||0x0,_0x50a9af[_0x2df00e(0x861)]=_0x1a92ea[_0x2df00e(0x271e)],_0x50a9af[_0x2df00e(0xdea)]=_0x43b4fa,_0x50a9af[_0x2df00e(0x754)]=_0x4c023f;function _0x43b4fa(){const _0x22b66b=_0x2df00e;_0x430698['go'](_0x22b66b(0x1881),{},{'reload':_0x22b66b(0x1881)});}function _0x4c023f(){const _0xd26bf2=_0x2df00e;_0xada43a['intDynamics365Account'][_0xd26bf2(0x18e1)]({'id':_0x50a9af['dynamics365Account']['id']},_0x50a9af[_0xd26bf2(0xa53)])[_0xd26bf2(0x2945)]['then'](function(){const _0x3fccbc=_0xd26bf2;_0x1a92ea[_0x3fccbc(0x1c75)]({'title':'Dynamics365Account\x20updated!','msg':_0x50a9af[_0x3fccbc(0xa53)][_0x3fccbc(0x19eb)]?_0x50a9af['dynamics365Account'][_0x3fccbc(0x19eb)]+_0x3fccbc(0x6b0):''});})['catch'](function(_0x548833){const _0xc93fdd=_0xd26bf2;_0x1a92ea[_0xc93fdd(0x1980)]({'title':_0x548833[_0xc93fdd(0x107b)]?_0xc93fdd(0x262a)+_0x548833['status']+_0xc93fdd(0x1315)+_0x548833[_0xc93fdd(0x167f)]:_0xc93fdd(0x2793),'msg':_0x548833['data']?JSON[_0xc93fdd(0x10bb)](_0x548833[_0xc93fdd(0x524)]):_0x548833[_0xc93fdd(0xd5f)]()});});}}const _0x340ece=_0x56a781;;_0x52eaaf[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$state',_0x313a4d(0xd08),'$mdDialog','$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x314),_0x313a4d(0x16d1),_0x313a4d(0x247f),_0x313a4d(0xa87),'license',_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x52eaaf(_0x44a492,_0x5f25df,_0x423142,_0x395ff3,_0x3a08c6,_0x135fbe,_0x23d159,_0x47c187,_0x2fdc98,_0x4e36db,_0x3e6cf2,_0x28507f,_0x4744a0,_0x1bfb17){const _0x2e9dc4=_0x313a4d,_0x52080=this;_0x52080[_0x2e9dc4(0x2321)]=_0x3e6cf2[_0x2e9dc4(0xb12)](),_0x52080['errors']=[],_0x52080[_0x2e9dc4(0x15b9)]=_0x4744a0,_0x52080[_0x2e9dc4(0x2690)]=_0x28507f,_0x52080['crudPermissions']=_0x1bfb17,_0x52080['hasModulePermissions']={},_0x52080[_0x2e9dc4(0x2251)]=_0x52080[_0x2e9dc4(0x15b9)]&&_0x52080['setting']['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x52080[_0x2e9dc4(0x1189)]=_0x2e9dc4(0x136a),_0x52080[_0x2e9dc4(0x16d1)]=angular[_0x2e9dc4(0x235a)](_0x2fdc98),_0x52080[_0x2e9dc4(0x314)]=_0x47c187,_0x52080[_0x2e9dc4(0x16af)]=![];!_0x52080[_0x2e9dc4(0x16d1)]&&(_0x52080[_0x2e9dc4(0x16d1)]={'serverUrl':_0x423142[_0x2e9dc4(0x2414)]()+_0x2e9dc4(0xb0e)+_0x423142[_0x2e9dc4(0x148e)]()+(_0x423142[_0x2e9dc4(0x1553)]()?':'+_0x423142[_0x2e9dc4(0x1553)]():'')},_0x52080[_0x2e9dc4(0x1189)]=_0x2e9dc4(0x18c7),_0x52080[_0x2e9dc4(0x16af)]=!![]);_0x52080['addNewFreshdeskAccount']=_0x1d97f9,_0x52080[_0x2e9dc4(0x22d0)]=_0x14d9d9,_0x52080[_0x2e9dc4(0xb59)]=_0xb1cbc4,_0x52080[_0x2e9dc4(0xe73)]=_0x684c54,_0x52080[_0x2e9dc4(0x13f3)]=_0x3c3c47;function _0x1d97f9(){const _0x138a31=_0x2e9dc4;_0x52080[_0x138a31(0xcef)]=[],_0x4e36db[_0x138a31(0x21b2)]['save'](_0x52080['freshdeskAccount'])[_0x138a31(0x2945)][_0x138a31(0x146b)](function(_0xfdc331){const _0x4c775f=_0x138a31;_0x52080[_0x4c775f(0x314)][_0x4c775f(0xb3d)](_0xfdc331[_0x4c775f(0x2488)]()),_0x23d159[_0x4c775f(0x1c75)]({'title':_0x4c775f(0xb72),'msg':_0x52080[_0x4c775f(0x16d1)][_0x4c775f(0x19eb)]?_0x52080['freshdeskAccount']['name']+_0x4c775f(0x1386):''}),_0x3c3c47(_0xfdc331);})[_0x138a31(0x129e)](function(_0x59bf5e){const _0x17064f=_0x138a31;if(_0x59bf5e[_0x17064f(0x524)]&&_0x59bf5e[_0x17064f(0x524)][_0x17064f(0xcef)]&&_0x59bf5e[_0x17064f(0x524)][_0x17064f(0xcef)][_0x17064f(0x402)]){_0x52080[_0x17064f(0xcef)]=_0x59bf5e['data'][_0x17064f(0xcef)]||[{'message':_0x59bf5e[_0x17064f(0xd5f)](),'type':'api.intFreshdeskAccount.save'}];for(let _0x3c2d74=0x0;_0x3c2d74<_0x59bf5e['data'][_0x17064f(0xcef)][_0x17064f(0x402)];_0x3c2d74+=0x1){_0x23d159[_0x17064f(0x1980)]({'title':_0x59bf5e[_0x17064f(0x524)][_0x17064f(0xcef)][_0x3c2d74][_0x17064f(0x1142)],'msg':_0x59bf5e[_0x17064f(0x524)]['errors'][_0x3c2d74][_0x17064f(0x7fd)]});}}else _0x23d159['error']({'title':_0x59bf5e[_0x17064f(0x107b)]?'API:'+_0x59bf5e['status']+_0x17064f(0x1315)+_0x59bf5e[_0x17064f(0x167f)]:_0x17064f(0x16dd),'msg':_0x59bf5e[_0x17064f(0x524)]?JSON['stringify'](_0x59bf5e['data']['message']):_0x59bf5e[_0x17064f(0xd5f)]()});});}function _0x14d9d9(){const _0x1f94a4=_0x2e9dc4;_0x52080[_0x1f94a4(0xcef)]=[],_0x4e36db[_0x1f94a4(0x21b2)][_0x1f94a4(0x18e1)]({'id':_0x52080[_0x1f94a4(0x16d1)]['id']},_0x52080[_0x1f94a4(0x16d1)])['$promise']['then'](function(_0xaf70c5){const _0x3df1ca=_0x1f94a4,_0x39467a=_0x3f65c0()[_0x3df1ca(0xc84)](_0x52080[_0x3df1ca(0x314)],{'id':_0xaf70c5['id']});_0x39467a&&_0x3f65c0()[_0x3df1ca(0x168d)](_0x39467a,_0x3f65c0()[_0x3df1ca(0x40e)](_0xaf70c5[_0x3df1ca(0x2488)](),_0x3f65c0()['keys'](_0x39467a))),_0x23d159['success']({'title':_0x3df1ca(0x9b4),'msg':_0x52080['freshdeskAccount']['name']?_0x52080[_0x3df1ca(0x16d1)][_0x3df1ca(0x19eb)]+_0x3df1ca(0x24db):''}),_0x3c3c47(_0xaf70c5);})[_0x1f94a4(0x129e)](function(_0x205987){const _0x6abd6e=_0x1f94a4;if(_0x205987[_0x6abd6e(0x524)]&&_0x205987[_0x6abd6e(0x524)]['errors']&&_0x205987['data']['errors'][_0x6abd6e(0x402)]){_0x52080[_0x6abd6e(0xcef)]=_0x205987[_0x6abd6e(0x524)][_0x6abd6e(0xcef)]||[{'message':_0x205987[_0x6abd6e(0xd5f)](),'type':_0x6abd6e(0x1560)}];for(let _0x879122=0x0;_0x879122<_0x205987[_0x6abd6e(0x524)][_0x6abd6e(0xcef)]['length'];_0x879122++){_0x23d159[_0x6abd6e(0x1980)]({'title':_0x205987[_0x6abd6e(0x524)][_0x6abd6e(0xcef)][_0x879122][_0x6abd6e(0x1142)],'msg':_0x205987['data'][_0x6abd6e(0xcef)][_0x879122][_0x6abd6e(0x7fd)]});}}else _0x23d159[_0x6abd6e(0x1980)]({'title':_0x205987[_0x6abd6e(0x107b)]?_0x6abd6e(0x262a)+_0x205987[_0x6abd6e(0x107b)]+_0x6abd6e(0x1315)+_0x205987[_0x6abd6e(0x167f)]:'api.intFreshdeskAccount.update','msg':_0x205987[_0x6abd6e(0x524)]?JSON[_0x6abd6e(0x10bb)](_0x205987[_0x6abd6e(0x524)][_0x6abd6e(0x7fd)]):_0x205987['toString']()});});}function _0xb1cbc4(_0x218ccf){const _0x5f2907=_0x2e9dc4;_0x52080[_0x5f2907(0xcef)]=[];const _0xa8fb5b=_0x395ff3[_0x5f2907(0x1e8a)]()[_0x5f2907(0x1189)]('Are\x20you\x20sure?')['content']('The\x20freshdeskAccount\x20will\x20be\x20deleted.')['ariaLabel']('Delete\x20FreshdeskAccount')['ok'](_0x5f2907(0x25de))[_0x5f2907(0x6c3)]('Cancel')[_0x5f2907(0x1f27)](_0x218ccf);_0x395ff3[_0x5f2907(0x2615)](_0xa8fb5b)['then'](function(){const _0x29ebe2=_0x5f2907;_0x4e36db[_0x29ebe2(0x21b2)][_0x29ebe2(0x1fac)]({'id':_0x52080['freshdeskAccount']['id']})[_0x29ebe2(0x2945)][_0x29ebe2(0x146b)](function(){const _0x16c8de=_0x29ebe2;_0x3f65c0()[_0x16c8de(0x2640)](_0x52080[_0x16c8de(0x314)],{'id':_0x52080[_0x16c8de(0x16d1)]['id']}),_0x23d159[_0x16c8de(0x1c75)]({'title':_0x16c8de(0xfef),'msg':(_0x52080['freshdeskAccount'][_0x16c8de(0x19eb)]||_0x16c8de(0x16d1))+_0x16c8de(0x23e3)}),_0x3c3c47(_0x52080['freshdeskAccount']);})[_0x29ebe2(0x129e)](function(_0x283afa){const _0x2b50cd=_0x29ebe2;if(_0x283afa['data']&&_0x283afa['data'][_0x2b50cd(0xcef)]&&_0x283afa['data']['errors'][_0x2b50cd(0x402)]){_0x52080[_0x2b50cd(0xcef)]=_0x283afa[_0x2b50cd(0x524)]['errors']||[{'message':_0x283afa[_0x2b50cd(0xd5f)](),'type':'api.intFreshdeskAccount.delete'}];for(let _0x72d745=0x0;_0x72d745<_0x283afa[_0x2b50cd(0x524)][_0x2b50cd(0xcef)][_0x2b50cd(0x402)];_0x72d745++){_0x23d159['error']({'title':_0x283afa['data'][_0x2b50cd(0xcef)][_0x72d745]['type'],'msg':_0x283afa['data'][_0x2b50cd(0xcef)][_0x72d745][_0x2b50cd(0x7fd)]});}}else _0x23d159[_0x2b50cd(0x1980)]({'title':_0x283afa['status']?_0x2b50cd(0x262a)+_0x283afa[_0x2b50cd(0x107b)]+_0x2b50cd(0x1315)+_0x283afa[_0x2b50cd(0x167f)]:'api.intFreshdeskAccount.delete','msg':_0x283afa[_0x2b50cd(0x524)]?JSON[_0x2b50cd(0x10bb)](_0x283afa['data'][_0x2b50cd(0x7fd)]):_0x283afa['message']||_0x283afa[_0x2b50cd(0xd5f)]()});});},function(){});}function _0x684c54(_0x44c57b){return _0x44c57b===null?undefined:new Date(_0x44c57b);}function _0x3c3c47(_0x5ab77a){const _0x53b52d=_0x2e9dc4;_0x395ff3[_0x53b52d(0x2458)](_0x5ab77a);}}const _0x2ce68c=_0x52eaaf;;const _0x2b489c=_0x4acfac['p']+'src/js/modules/main/apps/integrations/views/freshdeskAccounts/edit/configurations/dialog.html/dialog.html';;const _0x5a9633=_0x4acfac['p']+_0x313a4d(0x4f4);;_0xca9130[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x247f),'toasty',_0x313a4d(0xa87)];function _0xca9130(_0x28e3a8,_0x2c8180,_0x545d27,_0x5223cc,_0x4f560e){const _0x39b027=_0x313a4d,_0x2c6ccb=this;_0x2c6ccb[_0x39b027(0x2321)]=_0x4f560e[_0x39b027(0xb12)](),_0x2c6ccb['freshdeskAccount']={},_0x2c6ccb[_0x39b027(0x24e9)]={},_0x2c6ccb[_0x39b027(0x1723)]=[],_0x2c6ccb['selectedFreshdeskConfiguration']=-0x1,_0x2c6ccb[_0x39b027(0x2514)],_0x2c6ccb['init']=_0x5748b7,_0x2c6ccb[_0x39b027(0x1514)]=_0x2da596,_0x2c6ccb[_0x39b027(0x1700)]=_0x40eb11,_0x2c6ccb[_0x39b027(0x1233)]=_0x4e374e,_0x2c6ccb[_0x39b027(0x2323)]=[],_0x2c6ccb['selectTagsChanged']=_0x35c528,_0x545d27[_0x39b027(0xa80)][_0x39b027(0x16b4)]({'nolimit':!![],'sort':_0x39b027(0x19eb)})[_0x39b027(0x2945)][_0x39b027(0x146b)](function(_0x2e48e8){const _0x55c7e1=_0x39b027;_0x2c6ccb[_0x55c7e1(0x1b86)]=_0x2e48e8[_0x55c7e1(0x19c7)]?_0x2e48e8[_0x55c7e1(0x19c7)]:[];})['catch'](function(_0x2c971e){const _0x3561e9=_0x39b027;_0x5223cc[_0x3561e9(0x1980)]({'title':_0x2c971e[_0x3561e9(0x107b)]?_0x3561e9(0x262a)+_0x2c971e[_0x3561e9(0x107b)]+_0x3561e9(0x1315)+_0x2c971e[_0x3561e9(0x167f)]:'SYSTEM:GETTAGS','msg':_0x2c971e[_0x3561e9(0x524)]?JSON[_0x3561e9(0x10bb)](_0x2c971e[_0x3561e9(0x524)]):_0x2c971e['toString']()});});function _0x35c528(){const _0x39d86d=_0x39b027;_0x545d27[_0x39d86d(0x261e)][_0x39d86d(0x13eb)]({'id':_0x2c6ccb[_0x39d86d(0x24e9)]['id'],'ids':_0x2c6ccb['selectedTags']})[_0x39d86d(0x2945)]['then'](function(){const _0x25fad5=_0x39d86d;_0x5223cc['success']({'title':_0x25fad5(0x20f8),'msg':_0x25fad5(0x23fb)});})['catch'](function(_0x2a7fdc){const _0x3009a9=_0x39d86d;_0x5223cc[_0x3009a9(0x1980)]({'title':_0x2a7fdc[_0x3009a9(0x107b)]?_0x3009a9(0x262a)+_0x2a7fdc[_0x3009a9(0x107b)]+_0x3009a9(0x1315)+_0x2a7fdc['statusText']:'SYSTEM:ADDTAGS','msg':_0x2a7fdc[_0x3009a9(0x524)]?JSON['stringify'](_0x2a7fdc[_0x3009a9(0x524)]):_0x2a7fdc['toString']()});});}function _0x5748b7(_0x11eca9,_0x4457bb){const _0x106bf8=_0x39b027;_0x2c6ccb[_0x106bf8(0x16d1)]=_0x11eca9,_0x2c6ccb[_0x106bf8(0x2514)]=typeof _0x4457bb!=='undefined'?_0x4457bb:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x545d27[_0x106bf8(0x21b2)][_0x106bf8(0xeb)]({'id':_0x2c6ccb[_0x106bf8(0x16d1)]['id'],'sort':_0x106bf8(0x12f2)})[_0x106bf8(0x2945)][_0x106bf8(0x146b)](function(_0x4d4f95){const _0x2482ab=_0x106bf8;return _0x2c6ccb[_0x2482ab(0x1723)]=_0x4d4f95[_0x2482ab(0x19c7)]?_0x4d4f95['rows']:[],_0x2c6ccb['configurations'][_0x2482ab(0x402)]&&_0x2c6ccb['getFreshdeskConfiguration'](0x0),_0x545d27['variable'][_0x2482ab(0x16b4)]({'nolimit':!![],'sort':'name'})[_0x2482ab(0x2945)];})['then'](function(_0x2285dd){const _0x201ce9=_0x106bf8;_0x2c6ccb[_0x201ce9(0x85b)]=_0x2285dd[_0x201ce9(0x19c7)]?_0x2285dd[_0x201ce9(0x19c7)]:[],_0x2c6ccb[_0x201ce9(0x80c)]=_0x3f65c0()[_0x201ce9(0x194)](_0x2c6ccb[_0x201ce9(0x85b)],'id');})['catch'](function(_0x334418){const _0x5d93c5=_0x106bf8;_0x5223cc[_0x5d93c5(0x1980)]({'title':_0x334418[_0x5d93c5(0x107b)]?_0x5d93c5(0x262a)+_0x334418['status']+_0x5d93c5(0x1315)+_0x334418[_0x5d93c5(0x167f)]:_0x5d93c5(0x9e7),'msg':_0x334418[_0x5d93c5(0x524)]?JSON['stringify'](_0x334418['data']):_0x334418[_0x5d93c5(0xd5f)]()});});}function _0x2da596(_0x244a0f){const _0xfc1b20=_0x39b027;_0x2c6ccb[_0xfc1b20(0x24e9)]=_0x2c6ccb[_0xfc1b20(0x1723)][_0x244a0f],_0x2c6ccb[_0xfc1b20(0x5c7)]=_0x244a0f,_0x545d27['intFreshdeskConfiguration'][_0xfc1b20(0x18ee)]({'id':_0x2c6ccb[_0xfc1b20(0x24e9)]['id']})[_0xfc1b20(0x2945)][_0xfc1b20(0x146b)](function(_0xe12e11){const _0x9c7d77=_0xfc1b20;return _0x2c6ccb[_0x9c7d77(0x3c8)]=_0xe12e11['rows']?_0xe12e11[_0x9c7d77(0x19c7)]:[],_0x545d27[_0x9c7d77(0x261e)][_0x9c7d77(0xc2a)]({'id':_0x2c6ccb[_0x9c7d77(0x24e9)]['id']})['$promise'];})[_0xfc1b20(0x146b)](function(_0x3565f6){const _0x3f410d=_0xfc1b20;return _0x2c6ccb[_0x3f410d(0x2358)]=_0x3565f6[_0x3f410d(0x19c7)]?_0x3565f6[_0x3f410d(0x19c7)]:[],_0x545d27[_0x3f410d(0x261e)]['getFields']({'id':_0x2c6ccb[_0x3f410d(0x24e9)]['id']})['$promise'];})['then'](function(_0x2ffbfa){const _0xed021c=_0xfc1b20;_0x2c6ccb[_0xed021c(0x2867)]=_0x2ffbfa[_0xed021c(0x19c7)]?_0x2ffbfa[_0xed021c(0x19c7)]:[];})[_0xfc1b20(0x129e)](function(_0x35dc46){const _0x2424e6=_0xfc1b20;_0x5223cc[_0x2424e6(0x1980)]({'title':_0x35dc46[_0x2424e6(0x107b)]?_0x2424e6(0x262a)+_0x35dc46[_0x2424e6(0x107b)]+_0x2424e6(0x1315)+_0x35dc46['statusText']:_0x2424e6(0x6ca),'msg':_0x35dc46[_0x2424e6(0x524)]?JSON['stringify'](_0x35dc46['data']):_0x35dc46[_0x2424e6(0xd5f)]()});}),_0x545d27['intFreshdeskConfiguration'][_0xfc1b20(0x16ee)]({'id':_0x2c6ccb[_0xfc1b20(0x24e9)]['id']})[_0xfc1b20(0x2945)][_0xfc1b20(0x146b)](function(_0x976d6c){const _0x1587aa=_0xfc1b20;_0x2c6ccb['selectedTags']=_0x976d6c[_0x1587aa(0x19c7)]?_0x3f65c0()[_0x1587aa(0x205)](_0x976d6c[_0x1587aa(0x19c7)],'id'):[];})[_0xfc1b20(0x129e)](function(_0x157161){const _0x3ce409=_0xfc1b20;_0x5223cc[_0x3ce409(0x1980)]({'title':_0x157161[_0x3ce409(0x107b)]?'API:'+_0x157161[_0x3ce409(0x107b)]+_0x3ce409(0x1315)+_0x157161[_0x3ce409(0x167f)]:'SYSTEM:GETTAGS','msg':_0x157161['data']?JSON[_0x3ce409(0x10bb)](_0x157161['data']):_0x157161[_0x3ce409(0xd5f)]()});});}function _0x40eb11(_0x50cafe,_0x1e11fc){const _0x45eb7b=_0x39b027;_0x28e3a8[_0x45eb7b(0x2615)]({'controller':_0x45eb7b(0xd42),'controllerAs':'vm','templateUrl':_0x2b489c,'parent':angular[_0x45eb7b(0x1853)](_0x2c8180[_0x45eb7b(0x2586)]),'targetEvent':_0x50cafe,'clickOutsideToClose':!![],'locals':{'freshdeskConfiguration':_0x1e11fc,'configurations':_0x2c6ccb[_0x45eb7b(0x1723)],'license':null,'setting':null,'crudPermissions':_0x2c6ccb['crudPermissions']}})[_0x45eb7b(0x1ec6)](function(){const _0x37ad33=_0x45eb7b;_0x2c6ccb[_0x37ad33(0x1514)](0x0);});}function _0x4e374e(_0x449edd,_0x21ab6d){const _0x3900d6=_0x39b027,_0x5d99cd=_0x28e3a8[_0x3900d6(0x1e8a)]()[_0x3900d6(0x1189)](_0x3900d6(0x191c))[_0x3900d6(0x1cbe)](_0x3900d6(0x16d3)+(_0x21ab6d[_0x3900d6(0x19eb)]||_0x3900d6(0x24e9))+_0x3900d6(0x252f)+_0x3900d6(0xe01))[_0x3900d6(0x4bd)](_0x3900d6(0x24a6))[_0x3900d6(0x1f27)](_0x449edd)['ok']('OK')[_0x3900d6(0x6c3)](_0x3900d6(0x39a));_0x28e3a8[_0x3900d6(0x2615)](_0x5d99cd)[_0x3900d6(0x146b)](function(){const _0x4d13a4=_0x3900d6;_0x545d27[_0x4d13a4(0x261e)][_0x4d13a4(0x1fac)]({'id':_0x21ab6d['id']})[_0x4d13a4(0x2945)][_0x4d13a4(0x146b)](function(){const _0x842aaa=_0x4d13a4;_0x3f65c0()['remove'](_0x2c6ccb[_0x842aaa(0x1723)],{'id':_0x21ab6d['id']}),_0x5223cc[_0x842aaa(0x1c75)]({'title':'FreshdeskConfiguration\x20deleted!','msg':_0x21ab6d[_0x842aaa(0x19eb)]?_0x21ab6d['name']+_0x842aaa(0x23e3):''});})[_0x4d13a4(0x129e)](function(_0x5d2e13){const _0x384ec8=_0x4d13a4;_0x5223cc[_0x384ec8(0x1980)]({'title':_0x5d2e13[_0x384ec8(0x107b)]?_0x384ec8(0x262a)+_0x5d2e13['status']+_0x384ec8(0x1315)+_0x5d2e13['statusText']:_0x384ec8(0xff1),'msg':_0x5d2e13['data']?JSON['stringify'](_0x5d2e13[_0x384ec8(0x524)]):_0x5d2e13[_0x384ec8(0xd5f)]()});})[_0x4d13a4(0x1ec6)](function(){_0x2c6ccb['getFreshdeskConfiguration'](0x0);});},function(){const _0x447cdd=_0x3900d6;console[_0x447cdd(0x1a74)]('CANCEL');});}_0x2c6ccb['selectedSubjects']=[],_0x2c6ccb[_0x39b027(0x2665)]=_0x2cc700,_0x2c6ccb[_0x39b027(0x10d5)]=_0x2b201f,_0x2c6ccb[_0x39b027(0x1777)]=_0x3d3f2b;function _0x2cc700(_0x1046fa,_0x1412ea){const _0x1e992c=_0x39b027;_0x28e3a8[_0x1e992c(0x2615)]({'controller':_0x1e992c(0x325),'controllerAs':'vm','templateUrl':_0x5a9633,'parent':angular[_0x1e992c(0x1853)](_0x2c8180[_0x1e992c(0x2586)]),'targetEvent':_0x1046fa,'clickOutsideToClose':!![],'locals':{'type':_0x1e992c(0xe32),'item':_0x1412ea,'items':_0x2c6ccb[_0x1e992c(0x3c8)],'configuration':_0x2c6ccb['freshdeskConfiguration'],'account':_0x2c6ccb[_0x1e992c(0x16d1)],'license':null,'setting':null,'crudPermissions':_0x2c6ccb[_0x1e992c(0x2514)]}});}function _0x7c74fe(_0x576334){const _0x29970e=_0x39b027;_0x545d27[_0x29970e(0x13ce)][_0x29970e(0x1fac)]({'id':_0x576334['id']})[_0x29970e(0x2945)]['then'](function(){const _0x1a18c3=_0x29970e;_0x3f65c0()['remove'](_0x2c6ccb['subjects'],{'id':_0x576334['id']}),_0x5223cc[_0x1a18c3(0x1c75)]({'title':_0x1a18c3(0x28e6),'msg':'Subject\x20has\x20been\x20deleted!'});})[_0x29970e(0x129e)](function(_0x3ff79d){const _0x16c93c=_0x29970e;_0x5223cc['error']({'title':_0x3ff79d['status']?_0x16c93c(0x262a)+_0x3ff79d[_0x16c93c(0x107b)]+_0x16c93c(0x1315)+_0x3ff79d['statusText']:'SYSTEM:DELETECONFIGURATIONFIELD','msg':_0x3ff79d[_0x16c93c(0x524)]?JSON['stringify'](_0x3ff79d[_0x16c93c(0x524)]):_0x3ff79d['toString']()});});}function _0x2b201f(_0x4998b4,_0x966a3e){const _0x35d91a=_0x39b027,_0x33a78c=_0x28e3a8[_0x35d91a(0x1e8a)]()['title'](_0x35d91a(0x317))['htmlContent'](_0x35d91a(0xf7f)+_0x35d91a(0xe01))[_0x35d91a(0x4bd)]('delete\x20subject')[_0x35d91a(0x1f27)](_0x4998b4)['ok']('OK')[_0x35d91a(0x6c3)](_0x35d91a(0x39a));_0x28e3a8['show'](_0x33a78c)[_0x35d91a(0x146b)](function(){_0x7c74fe(_0x966a3e);},function(){const _0x176e0f=_0x35d91a;console[_0x176e0f(0x1a74)]('CANCEL');});}function _0x3d3f2b(_0x84ec32){const _0x81bc18=_0x39b027,_0x4cdd0f=_0x28e3a8['confirm']()[_0x81bc18(0x1189)](_0x81bc18(0x2e6))[_0x81bc18(0x1cbe)](_0x81bc18(0x16d3)+_0x2c6ccb['selectedSubjects'][_0x81bc18(0x402)]+_0x81bc18(0x2452)+_0x81bc18(0xe01))['ariaLabel'](_0x81bc18(0x1209))[_0x81bc18(0x1f27)](_0x84ec32)['ok']('OK')[_0x81bc18(0x6c3)](_0x81bc18(0x39a));_0x28e3a8[_0x81bc18(0x2615)](_0x4cdd0f)[_0x81bc18(0x146b)](function(){const _0x341fbc=_0x81bc18;_0x2c6ccb['selectedSubjects']['forEach'](function(_0x50bb44){_0x7c74fe(_0x50bb44);}),_0x2c6ccb[_0x341fbc(0x15a6)]=[];});}_0x2c6ccb['selectedDescriptions']=[],_0x2c6ccb['createOrEditDescription']=_0x347dad,_0x2c6ccb['deleteDescriptionConfirm']=_0x3b40da,_0x2c6ccb[_0x39b027(0x2241)]=_0x4aafc9;function _0x347dad(_0x3d4182,_0x304f22){const _0x432c73=_0x39b027;_0x28e3a8[_0x432c73(0x2615)]({'controller':_0x432c73(0x325),'controllerAs':'vm','templateUrl':_0x5a9633,'parent':angular[_0x432c73(0x1853)](_0x2c8180[_0x432c73(0x2586)]),'targetEvent':_0x3d4182,'clickOutsideToClose':!![],'locals':{'type':_0x432c73(0xb17),'item':_0x304f22,'items':_0x2c6ccb['descriptions'],'configuration':_0x2c6ccb[_0x432c73(0x24e9)],'account':_0x2c6ccb[_0x432c73(0x16d1)],'license':null,'setting':null,'crudPermissions':_0x2c6ccb[_0x432c73(0x2514)]}});}function _0x1c1286(_0x51e050){const _0x566b5f=_0x39b027;_0x545d27['intFreshdeskField'][_0x566b5f(0x1fac)]({'id':_0x51e050['id']})[_0x566b5f(0x2945)][_0x566b5f(0x146b)](function(){const _0x383679=_0x566b5f;_0x3f65c0()[_0x383679(0x2640)](_0x2c6ccb[_0x383679(0x2358)],{'id':_0x51e050['id']}),_0x5223cc[_0x383679(0x1c75)]({'title':_0x383679(0xaf8),'msg':_0x383679(0x8e3)});})[_0x566b5f(0x129e)](function(_0x3ba42f){const _0xdec72=_0x566b5f;_0x5223cc[_0xdec72(0x1980)]({'title':_0x3ba42f[_0xdec72(0x107b)]?_0xdec72(0x262a)+_0x3ba42f['status']+_0xdec72(0x1315)+_0x3ba42f[_0xdec72(0x167f)]:_0xdec72(0xf6e),'msg':_0x3ba42f['data']?JSON['stringify'](_0x3ba42f['data']):_0x3ba42f[_0xdec72(0xd5f)]()});});}function _0x3b40da(_0x46bb69,_0x1608a2){const _0x3863d9=_0x39b027,_0x1f605d=_0x28e3a8[_0x3863d9(0x1e8a)]()[_0x3863d9(0x1189)](_0x3863d9(0x1e9))[_0x3863d9(0x1cbe)](_0x3863d9(0x2014)+_0x3863d9(0xe01))['ariaLabel']('delete\x20description')[_0x3863d9(0x1f27)](_0x46bb69)['ok']('OK')['cancel']('CANCEL');_0x28e3a8[_0x3863d9(0x2615)](_0x1f605d)['then'](function(){_0x1c1286(_0x1608a2);},function(){const _0x1d0ed1=_0x3863d9;console[_0x1d0ed1(0x1a74)](_0x1d0ed1(0x39a));});}function _0x4aafc9(_0x1ba4c5){const _0xd0b3a0=_0x39b027,_0x16919c=_0x28e3a8[_0xd0b3a0(0x1e8a)]()[_0xd0b3a0(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20descriptions?')['htmlContent'](_0xd0b3a0(0x16d3)+_0x2c6ccb[_0xd0b3a0(0x126)][_0xd0b3a0(0x402)]+_0xd0b3a0(0x2452)+_0xd0b3a0(0xe01))[_0xd0b3a0(0x4bd)]('delete\x20descriptions')[_0xd0b3a0(0x1f27)](_0x1ba4c5)['ok']('OK')['cancel'](_0xd0b3a0(0x39a));_0x28e3a8[_0xd0b3a0(0x2615)](_0x16919c)[_0xd0b3a0(0x146b)](function(){_0x2c6ccb['selectedDescriptions']['forEach'](function(_0xf4d7af){_0x1c1286(_0xf4d7af);}),_0x2c6ccb['selectedDescriptions']=[];});}_0x2c6ccb[_0x39b027(0x2605)]=[],_0x2c6ccb['createOrEditField']=_0x577b8c,_0x2c6ccb['deleteFieldConfirm']=_0x32ce34,_0x2c6ccb[_0x39b027(0x816)]=_0x221baa;function _0x577b8c(_0x226695,_0x21ac6c){const _0x374907=_0x39b027;_0x28e3a8[_0x374907(0x2615)]({'controller':_0x374907(0x325),'controllerAs':'vm','templateUrl':_0x5a9633,'parent':angular[_0x374907(0x1853)](_0x2c8180['body']),'targetEvent':_0x226695,'clickOutsideToClose':!![],'locals':{'type':'field','item':_0x21ac6c,'items':_0x2c6ccb[_0x374907(0x2867)],'configuration':_0x2c6ccb[_0x374907(0x24e9)],'account':_0x2c6ccb[_0x374907(0x16d1)],'license':null,'setting':null,'crudPermissions':_0x2c6ccb[_0x374907(0x2514)]}});}function _0x165b44(_0x2d4286){const _0x201f8d=_0x39b027;_0x545d27[_0x201f8d(0x13ce)][_0x201f8d(0x1fac)]({'id':_0x2d4286['id']})[_0x201f8d(0x2945)][_0x201f8d(0x146b)](function(){const _0x392e0c=_0x201f8d;_0x3f65c0()[_0x392e0c(0x2640)](_0x2c6ccb[_0x392e0c(0x2867)],{'id':_0x2d4286['id']}),_0x5223cc['success']({'title':_0x392e0c(0x24ce),'msg':_0x392e0c(0x1c07)});})[_0x201f8d(0x129e)](function(_0x224fb8){const _0x29e871=_0x201f8d;_0x5223cc[_0x29e871(0x1980)]({'title':_0x224fb8[_0x29e871(0x107b)]?'API:'+_0x224fb8[_0x29e871(0x107b)]+_0x29e871(0x1315)+_0x224fb8['statusText']:'SYSTEM:DELETECONFIGURATIONFIELD','msg':_0x224fb8['data']?JSON[_0x29e871(0x10bb)](_0x224fb8[_0x29e871(0x524)]):_0x224fb8[_0x29e871(0xd5f)]()});});}function _0x32ce34(_0x4b891b,_0xb95a62){const _0x4e452f=_0x39b027,_0x91e89f=_0x28e3a8[_0x4e452f(0x1e8a)]()[_0x4e452f(0x1189)](_0x4e452f(0x17f6))['htmlContent'](_0x4e452f(0x2216)+_0x4e452f(0xe01))[_0x4e452f(0x4bd)]('delete\x20field')[_0x4e452f(0x1f27)](_0x4b891b)['ok']('OK')['cancel'](_0x4e452f(0x39a));_0x28e3a8[_0x4e452f(0x2615)](_0x91e89f)[_0x4e452f(0x146b)](function(){_0x165b44(_0xb95a62);},function(){const _0x5c3655=_0x4e452f;console['log'](_0x5c3655(0x39a));});}function _0x221baa(_0x189cb6){const _0x214721=_0x39b027,_0x3060c4=_0x28e3a8[_0x214721(0x1e8a)]()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20fields?')['htmlContent'](_0x214721(0x16d3)+_0x2c6ccb[_0x214721(0x2605)][_0x214721(0x402)]+_0x214721(0x2452)+_0x214721(0xe01))[_0x214721(0x4bd)](_0x214721(0x176a))['targetEvent'](_0x189cb6)['ok']('OK')[_0x214721(0x6c3)]('CANCEL');_0x28e3a8['show'](_0x3060c4)[_0x214721(0x146b)](function(){const _0xb7d000=_0x214721;_0x2c6ccb['selectedFields'][_0xb7d000(0x1df5)](function(_0x5b7adb){_0x165b44(_0x5b7adb);}),_0x2c6ccb[_0xb7d000(0x2605)]=[];});}}const _0x472aab=_0xca9130;;_0x57006f[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x1723),_0x313a4d(0x24e9),'api','Auth',_0x313a4d(0x2690),'setting',_0x313a4d(0x2514)];function _0x57006f(_0x1424b5,_0x1fe868,_0x104a90,_0x526608,_0x4fd032,_0x2bce24,_0xad3554,_0x198e79,_0x1469c3,_0x4c4890,_0x2a641e,_0x3f02fb,_0x487411,_0x3f65be){const _0x2d7c98=_0x313a4d,_0x1a1831=this;_0x1a1831[_0x2d7c98(0x2321)]=_0x2a641e['getCurrentUser'](),_0x1a1831[_0x2d7c98(0xcef)]=[],_0x1a1831[_0x2d7c98(0x15b9)]=_0x487411,_0x1a1831[_0x2d7c98(0x2690)]=_0x3f02fb,_0x1a1831[_0x2d7c98(0x2514)]=_0x3f65be,_0x1a1831[_0x2d7c98(0x855)]={},_0x1a1831['passwordPattern']=_0x1a1831['setting']&&_0x1a1831[_0x2d7c98(0x15b9)][_0x2d7c98(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x1a1831[_0x2d7c98(0x1189)]=_0x2d7c98(0x2418),_0x1a1831[_0x2d7c98(0x24e9)]=angular[_0x2d7c98(0x235a)](_0x1469c3),_0x1a1831[_0x2d7c98(0x1723)]=_0x198e79,_0x1a1831['newFreshdeskConfiguration']=![];!_0x1a1831[_0x2d7c98(0x24e9)]&&(_0x1a1831[_0x2d7c98(0x24e9)]={'channel':_0x2d7c98(0xe6),'type':_0x2d7c98(0x7b0)},_0x1a1831['title']=_0x2d7c98(0x4bc),_0x1a1831[_0x2d7c98(0x2500)]=!![]);_0x1a1831[_0x2d7c98(0xfbd)]=_0x2b3ad5,_0x1a1831[_0x2d7c98(0xdeb)]=_0x3025cb,_0x1a1831['deleteFreshdeskConfiguration']=_0x226938,_0x1a1831[_0x2d7c98(0xe73)]=_0x5adb44,_0x1a1831[_0x2d7c98(0x13f3)]=_0x259835;function _0x2b3ad5(){const _0x4a51fd=_0x2d7c98;_0x1a1831[_0x4a51fd(0xcef)]=[],_0x4c4890[_0x4a51fd(0x21b2)][_0x4a51fd(0xa17)]({'id':_0x1fe868[_0x4a51fd(0x16a)]['id']},_0x1a1831[_0x4a51fd(0x24e9)])[_0x4a51fd(0x2945)][_0x4a51fd(0x146b)](function(_0x25778f){const _0x5319be=_0x4a51fd;_0x1a1831[_0x5319be(0x1723)][_0x5319be(0xb3d)](_0x25778f[_0x5319be(0x2488)]()),_0xad3554[_0x5319be(0x1c75)]({'title':'FreshdeskConfiguration\x20properly\x20created','msg':_0x1a1831['freshdeskConfiguration'][_0x5319be(0x19eb)]?_0x1a1831['freshdeskConfiguration'][_0x5319be(0x19eb)]+_0x5319be(0x1386):''}),_0x259835(_0x25778f);})['catch'](function(_0x604504){const _0x7a8722=_0x4a51fd;if(_0x604504[_0x7a8722(0x524)]&&_0x604504[_0x7a8722(0x524)][_0x7a8722(0xcef)]&&_0x604504[_0x7a8722(0x524)][_0x7a8722(0xcef)][_0x7a8722(0x402)]){_0x1a1831[_0x7a8722(0xcef)]=_0x604504['data'][_0x7a8722(0xcef)]||[{'message':_0x604504[_0x7a8722(0xd5f)](),'type':_0x7a8722(0x1889)}];for(let _0x5c7142=0x0;_0x5c7142<_0x604504[_0x7a8722(0x524)][_0x7a8722(0xcef)][_0x7a8722(0x402)];_0x5c7142+=0x1){_0xad3554['error']({'title':_0x604504[_0x7a8722(0x524)][_0x7a8722(0xcef)][_0x5c7142][_0x7a8722(0x1142)],'msg':_0x604504[_0x7a8722(0x524)][_0x7a8722(0xcef)][_0x5c7142][_0x7a8722(0x7fd)]});}}else _0xad3554[_0x7a8722(0x1980)]({'title':_0x604504[_0x7a8722(0x107b)]?_0x7a8722(0x262a)+_0x604504['status']+_0x7a8722(0x1315)+_0x604504['statusText']:_0x7a8722(0x1889),'msg':_0x604504[_0x7a8722(0x524)]?JSON[_0x7a8722(0x10bb)](_0x604504[_0x7a8722(0x524)][_0x7a8722(0x7fd)]):_0x604504[_0x7a8722(0xd5f)]()});});}function _0x3025cb(){const _0x4b13bd=_0x2d7c98;_0x1a1831[_0x4b13bd(0xcef)]=[],_0x4c4890[_0x4b13bd(0x261e)][_0x4b13bd(0x18e1)]({'id':_0x1a1831[_0x4b13bd(0x24e9)]['id']},_0x1a1831['freshdeskConfiguration'])[_0x4b13bd(0x2945)]['then'](function(_0xba0461){const _0x4f8957=_0x4b13bd,_0x57c44c=_0x3f65c0()[_0x4f8957(0xc84)](_0x1a1831[_0x4f8957(0x1723)],{'id':_0xba0461['id']});_0x57c44c&&_0x3f65c0()[_0x4f8957(0x168d)](_0x57c44c,_0x3f65c0()[_0x4f8957(0x40e)](_0xba0461[_0x4f8957(0x2488)](),_0x3f65c0()['keys'](_0x57c44c))),_0xad3554['success']({'title':_0x4f8957(0xde5),'msg':_0x1a1831[_0x4f8957(0x24e9)][_0x4f8957(0x19eb)]?_0x1a1831['freshdeskConfiguration'][_0x4f8957(0x19eb)]+_0x4f8957(0x24db):''}),_0x259835(_0xba0461);})[_0x4b13bd(0x129e)](function(_0x4c7746){const _0x3ac1d2=_0x4b13bd;if(_0x4c7746[_0x3ac1d2(0x524)]&&_0x4c7746[_0x3ac1d2(0x524)]['errors']&&_0x4c7746[_0x3ac1d2(0x524)][_0x3ac1d2(0xcef)][_0x3ac1d2(0x402)]){_0x1a1831[_0x3ac1d2(0xcef)]=_0x4c7746['data'][_0x3ac1d2(0xcef)]||[{'message':_0x4c7746[_0x3ac1d2(0xd5f)](),'type':_0x3ac1d2(0x5c8)}];for(let _0x5e4863=0x0;_0x5e4863<_0x4c7746[_0x3ac1d2(0x524)][_0x3ac1d2(0xcef)][_0x3ac1d2(0x402)];_0x5e4863++){_0xad3554[_0x3ac1d2(0x1980)]({'title':_0x4c7746['data'][_0x3ac1d2(0xcef)][_0x5e4863]['type'],'msg':_0x4c7746[_0x3ac1d2(0x524)][_0x3ac1d2(0xcef)][_0x5e4863][_0x3ac1d2(0x7fd)]});}}else _0xad3554['error']({'title':_0x4c7746['status']?_0x3ac1d2(0x262a)+_0x4c7746[_0x3ac1d2(0x107b)]+_0x3ac1d2(0x1315)+_0x4c7746[_0x3ac1d2(0x167f)]:_0x3ac1d2(0x5c8),'msg':_0x4c7746['data']?JSON[_0x3ac1d2(0x10bb)](_0x4c7746[_0x3ac1d2(0x524)][_0x3ac1d2(0x7fd)]):_0x4c7746[_0x3ac1d2(0xd5f)]()});});}function _0x226938(_0x4957a4){const _0x353f95=_0x2d7c98;_0x1a1831[_0x353f95(0xcef)]=[];const _0x1a9788=_0x526608[_0x353f95(0x1e8a)]()[_0x353f95(0x1189)]('Are\x20you\x20sure?')[_0x353f95(0x80f)](_0x353f95(0x79b))[_0x353f95(0x4bd)](_0x353f95(0xd56))['ok'](_0x353f95(0x25de))[_0x353f95(0x6c3)](_0x353f95(0xcf0))[_0x353f95(0x1f27)](_0x4957a4);_0x526608[_0x353f95(0x2615)](_0x1a9788)['then'](function(){const _0x304883=_0x353f95;_0x4c4890['intFreshdeskConfiguration']['delete']({'id':_0x1a1831[_0x304883(0x24e9)]['id']})[_0x304883(0x2945)]['then'](function(){const _0x207bb8=_0x304883;_0x3f65c0()['remove'](_0x1a1831[_0x207bb8(0x1723)],{'id':_0x1a1831[_0x207bb8(0x24e9)]['id']}),_0xad3554[_0x207bb8(0x1c75)]({'title':'FreshdeskConfiguration\x20properly\x20deleted!','msg':(_0x1a1831['freshdeskConfiguration'][_0x207bb8(0x19eb)]||_0x207bb8(0x24e9))+'\x20has\x20been\x20deleted!'}),_0x259835(_0x1a1831[_0x207bb8(0x24e9)]);})['catch'](function(_0xf97124){const _0x3687e7=_0x304883;if(_0xf97124[_0x3687e7(0x524)]&&_0xf97124[_0x3687e7(0x524)][_0x3687e7(0xcef)]&&_0xf97124[_0x3687e7(0x524)][_0x3687e7(0xcef)][_0x3687e7(0x402)]){_0x1a1831['errors']=_0xf97124[_0x3687e7(0x524)]['errors']||[{'message':_0xf97124[_0x3687e7(0xd5f)](),'type':_0x3687e7(0xf05)}];for(let _0x3a091f=0x0;_0x3a091f<_0xf97124[_0x3687e7(0x524)][_0x3687e7(0xcef)][_0x3687e7(0x402)];_0x3a091f++){_0xad3554[_0x3687e7(0x1980)]({'title':_0xf97124[_0x3687e7(0x524)]['errors'][_0x3a091f][_0x3687e7(0x1142)],'msg':_0xf97124[_0x3687e7(0x524)]['errors'][_0x3a091f][_0x3687e7(0x7fd)]});}}else _0xad3554[_0x3687e7(0x1980)]({'title':_0xf97124[_0x3687e7(0x107b)]?_0x3687e7(0x262a)+_0xf97124[_0x3687e7(0x107b)]+_0x3687e7(0x1315)+_0xf97124[_0x3687e7(0x167f)]:_0x3687e7(0xf05),'msg':_0xf97124['data']?JSON[_0x3687e7(0x10bb)](_0xf97124[_0x3687e7(0x524)][_0x3687e7(0x7fd)]):_0xf97124[_0x3687e7(0x7fd)]||_0xf97124[_0x3687e7(0xd5f)]()});});},function(){});}function _0x5adb44(_0x57f9c9){return _0x57f9c9===null?undefined:new Date(_0x57f9c9);}function _0x259835(_0x1957aa){const _0x28abca=_0x2d7c98;_0x526608[_0x28abca(0x2458)](_0x1957aa);}}const _0x53a7b3=_0x57006f;;_0xc117a7['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),_0x313a4d(0x1fe4),'configuration',_0x313a4d(0x1fd6),_0x313a4d(0x2711),_0x313a4d(0x1f8e),_0x313a4d(0x1142),'api',_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0xc117a7(_0x4f9b6c,_0x3b7ead,_0x44425c,_0x1b5171,_0x4d2977,_0x4625a8,_0x408073,_0x2308a2,_0x53f263,_0x3f23c4,_0x431fd5,_0x4a479d,_0x5089ad){const _0x620c91=_0x313a4d,_0x497b7c=this;_0x497b7c[_0x620c91(0x2321)]=_0x4a479d[_0x620c91(0xb12)](),_0x497b7c[_0x620c91(0xcef)]=[],_0x497b7c[_0x620c91(0x1189)]='INTEGRATIONS.EDIT_'+_0x3f65c0()[_0x620c91(0xd39)](_0x3f23c4),_0x497b7c[_0x620c91(0x2711)]=angular[_0x620c91(0x235a)](_0x2308a2),_0x497b7c[_0x620c91(0x1fd6)]=_0x408073,_0x497b7c['type']=_0x3f23c4,_0x497b7c[_0x620c91(0x2514)]=_0x5089ad,_0x497b7c[_0x620c91(0x23cd)]=![];!_0x497b7c[_0x620c91(0x2711)]&&(_0x497b7c[_0x620c91(0x2711)]={'type':_0x620c91(0x1f23)},_0x497b7c[_0x620c91(0x2711)][_0x3f65c0()[_0x620c91(0x83f)](_0x3f23c4)+'Id']=_0x4625a8['id'],_0x497b7c[_0x620c91(0x1189)]=_0x620c91(0xe78)+_0x3f65c0()[_0x620c91(0xd39)](_0x3f23c4),_0x497b7c[_0x620c91(0x23cd)]=!![]);_0x497b7c[_0x620c91(0x1e65)]=_0x50a94a,_0x497b7c[_0x620c91(0x150e)]=_0x5bfce6,_0x497b7c[_0x620c91(0xbaf)]=_0x57bd91,_0x497b7c[_0x620c91(0x21b1)]=_0x426cf3,_0x497b7c[_0x620c91(0x13f3)]=_0x498643,_0x431fd5['variable'][_0x620c91(0x16b4)]({'nolimit':!![]})[_0x620c91(0x2945)][_0x620c91(0x146b)](function(_0x29e3d4){const _0x58d4a1=_0x620c91;return _0x497b7c['variables']=_0x29e3d4[_0x58d4a1(0x19c7)]?_0x29e3d4[_0x58d4a1(0x19c7)]:[],_0x431fd5[_0x58d4a1(0x1cb3)][_0x58d4a1(0x1ea2)]()[_0x58d4a1(0x2945)];})[_0x620c91(0x146b)](function(_0x3aa6b8){const _0x4e20eb=_0x620c91;return _0x497b7c[_0x4e20eb(0x1c8a)]=_0x3f65c0()['difference'](_0x3f65c0()[_0x4e20eb(0x627)](_0x3aa6b8),[_0x4e20eb(0x2945),_0x4e20eb(0x26f2),_0x4e20eb(0xc68),'updatedAt',_0x4e20eb(0xc3b)]),_0x497b7c['voiceAgentReportColumns'][_0x4e20eb(0x1f47)]('recordingURL'),_0x431fd5[_0x4e20eb(0x160)][_0x4e20eb(0x1ea2)]()[_0x4e20eb(0x2945)];})[_0x620c91(0x146b)](function(_0x7672fc){const _0x4a0209=_0x620c91;_0x497b7c[_0x4a0209(0x164b)]=_0x3f65c0()[_0x4a0209(0xa62)](_0x3f65c0()['keys'](_0x7672fc),[_0x4a0209(0x2945),_0x4a0209(0x26f2),'createdAt',_0x4a0209(0x144f),'sourceid']),_0x497b7c[_0x4a0209(0x164b)][_0x4a0209(0x1f47)](_0x4a0209(0x643));})['catch'](function(_0x3b5693){const _0x3e0e68=_0x620c91;_0x4d2977[_0x3e0e68(0x1980)]({'title':_0x3b5693[_0x3e0e68(0x107b)]?'API:'+_0x3b5693[_0x3e0e68(0x107b)]+_0x3e0e68(0x1315)+_0x3b5693[_0x3e0e68(0x167f)]:'SYSTEM:GETVARIABLES','msg':_0x3b5693[_0x3e0e68(0x524)]?JSON[_0x3e0e68(0x10bb)](_0x3b5693[_0x3e0e68(0x524)]):_0x3b5693[_0x3e0e68(0xd5f)]()});}),_0x431fd5['intFreshdeskAccount'][_0x620c91(0x12ff)]({'id':_0x53f263['id'],'ticketType':_0x4625a8[_0x620c91(0x21be)]?_0x4625a8[_0x620c91(0x21be)]:undefined})[_0x620c91(0x2945)][_0x620c91(0x146b)](function(_0x59f246){const _0x102054=_0x620c91;_0x497b7c[_0x102054(0x822)]=!![],_0x497b7c[_0x102054(0x2867)]=_0x59f246[_0x102054(0x19c7)]?_0x59f246[_0x102054(0x19c7)]:[],_0x497b7c[_0x102054(0x2711)][_0x102054(0x2912)]&&(_0x497b7c[_0x102054(0x165e)]=_0x3f65c0()['find'](_0x497b7c[_0x102054(0x2867)],{'id':_0x497b7c[_0x102054(0x2711)]['idField']}));})[_0x620c91(0x129e)](function(_0x6adbb){const _0x3897dd=_0x620c91;_0x497b7c[_0x3897dd(0x822)]=![],console['error'](_0x6adbb);});function _0x50a94a(){const _0x343566=_0x620c91;_0x497b7c[_0x343566(0xcef)]=[],_0x497b7c['customField']&&(_0x497b7c['item']['idField']=_0x497b7c['customField']['id'],_0x497b7c[_0x343566(0x2711)][_0x343566(0x25e3)]=_0x497b7c[_0x343566(0x165e)][_0x343566(0x19eb)],_0x497b7c[_0x343566(0x2711)][_0x343566(0x165e)]=_0x497b7c[_0x343566(0x165e)]['custom']),_0x431fd5[_0x343566(0x13ce)][_0x343566(0x1e3)](_0x497b7c[_0x343566(0x2711)])['$promise']['then'](function(_0x5ee25e){const _0x5aae54=_0x343566;_0x497b7c[_0x5aae54(0x1fd6)][_0x5aae54(0xb3d)](_0x5ee25e),_0x4d2977[_0x5aae54(0x1c75)]({'title':_0x3f65c0()[_0x5aae54(0x83f)](_0x3f23c4)+_0x5aae54(0x1637),'msg':_0x3f65c0()[_0x5aae54(0x83f)](_0x3f23c4)+_0x5aae54(0x1386)}),_0x498643();})[_0x343566(0x129e)](function(_0x25555d){const _0x4de2ae=_0x343566;console[_0x4de2ae(0x1980)](_0x25555d),_0x497b7c[_0x4de2ae(0xcef)]=_0x25555d[_0x4de2ae(0x524)][_0x4de2ae(0xcef)]||[{'message':_0x25555d['toString'](),'type':_0x4de2ae(0x1ec4)}];});}function _0x5bfce6(){const _0x2b45ea=_0x620c91;_0x497b7c[_0x2b45ea(0xcef)]=[],_0x497b7c[_0x2b45ea(0x165e)]&&(_0x497b7c[_0x2b45ea(0x2711)][_0x2b45ea(0x2912)]=_0x497b7c[_0x2b45ea(0x165e)]['id'],_0x497b7c['item'][_0x2b45ea(0x25e3)]=_0x497b7c[_0x2b45ea(0x165e)][_0x2b45ea(0x19eb)],_0x497b7c[_0x2b45ea(0x2711)][_0x2b45ea(0x165e)]=_0x497b7c[_0x2b45ea(0x165e)][_0x2b45ea(0x1802)]),_0x431fd5[_0x2b45ea(0x13ce)][_0x2b45ea(0x18e1)]({'id':_0x497b7c[_0x2b45ea(0x2711)]['id']},_0x497b7c[_0x2b45ea(0x2711)])['$promise']['then'](function(_0x79ab1f){const _0x189419=_0x2b45ea,_0x42d4fe=_0x3f65c0()[_0x189419(0xc84)](_0x497b7c[_0x189419(0x1fd6)],{'id':_0x79ab1f['id']});_0x42d4fe&&_0x3f65c0()[_0x189419(0x168d)](_0x42d4fe,_0x79ab1f),_0x4d2977[_0x189419(0x1c75)]({'title':_0x3f65c0()['capitalize'](_0x3f23c4)+_0x189419(0xffe),'msg':_0x3f65c0()['capitalize'](_0x3f23c4)+_0x189419(0x24db)}),_0x498643();})[_0x2b45ea(0x129e)](function(_0x1e6e8a){const _0x27c30d=_0x2b45ea;console[_0x27c30d(0x1980)](_0x1e6e8a),_0x497b7c['errors']=_0x1e6e8a[_0x27c30d(0x524)]['errors']||[{'message':_0x1e6e8a[_0x27c30d(0xd5f)](),'type':_0x27c30d(0x1b4)}];});}function _0x57bd91(_0x547855){const _0x5400b5=_0x620c91;_0x497b7c[_0x5400b5(0xcef)]=[];const _0x4b5d78=_0x1b5171[_0x5400b5(0x1e8a)]()[_0x5400b5(0x1189)](_0x5400b5(0x1d64))[_0x5400b5(0x80f)](_0x5400b5(0xe57)+_0x3f23c4+'\x20will\x20be\x20deleted.')[_0x5400b5(0x4bd)](_0x5400b5(0xb22)+_0x3f65c0()[_0x5400b5(0x83f)](_0x3f23c4))['ok'](_0x5400b5(0x25de))['cancel'](_0x5400b5(0xcf0))[_0x5400b5(0x1f27)](_0x547855);_0x1b5171[_0x5400b5(0x2615)](_0x4b5d78)[_0x5400b5(0x146b)](function(){const _0x31e5d3=_0x5400b5;_0x431fd5[_0x31e5d3(0x13ce)][_0x31e5d3(0x1fac)]({'id':_0x497b7c[_0x31e5d3(0x2711)]['id']})[_0x31e5d3(0x2945)][_0x31e5d3(0x146b)](function(){const _0x1409e2=_0x31e5d3;_0x3f65c0()[_0x1409e2(0x2640)](_0x497b7c[_0x1409e2(0x1fd6)],{'id':_0x497b7c[_0x1409e2(0x2711)]['id']}),_0x4d2977[_0x1409e2(0x1c75)]({'title':_0x3f65c0()[_0x1409e2(0x83f)](_0x3f23c4)+_0x1409e2(0x1e6c),'msg':_0x3f65c0()[_0x1409e2(0x83f)](_0x3f23c4)+'\x20has\x20been\x20deleted!'}),_0x498643();})[_0x31e5d3(0x129e)](function(_0x2bf2f4){const _0x17e69d=_0x31e5d3;console[_0x17e69d(0x1980)](_0x2bf2f4),_0x497b7c[_0x17e69d(0xcef)]=_0x2bf2f4[_0x17e69d(0x524)][_0x17e69d(0xcef)]||[{'message':_0x2bf2f4['toString'](),'type':_0x17e69d(0x1cb0)}];});},function(){});}function _0x426cf3(){const _0x940566=_0x620c91;return _0x497b7c[_0x940566(0x2711)][_0x940566(0x97f)]!==undefined?_0x497b7c[_0x940566(0x2711)][_0x940566(0x97f)]:_0x940566(0x1040);}function _0x498643(){const _0x4275ac=_0x620c91;_0x1b5171[_0x4275ac(0x2458)]();}}const _0x4dcfe6=_0xc117a7;;_0x4ab656[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x214b),_0x313a4d(0x2690),'setting',_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87),'freshdeskAccount','userProfileSection'];function _0x4ab656(_0x266ab0,_0x864142,_0x493170,_0x4147c5,_0x179a2f,_0x40bb00,_0x18e465,_0x331ef2,_0x41d1ed,_0xeb9a1f,_0x1d8066,_0x45eac2){const _0x3e3853=_0x313a4d,_0x108253=this;_0x108253[_0x3e3853(0x2321)]=_0xeb9a1f['getCurrentUser'](),_0x108253[_0x3e3853(0x2690)]=_0x40bb00,_0x108253[_0x3e3853(0x15b9)]=_0x18e465,_0x108253[_0x3e3853(0x2251)]=_0x108253['setting'][_0x3e3853(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x108253['location']=_0x864142[_0x3e3853(0x2414)]()+_0x3e3853(0xb0e)+_0x864142['host'](),_0x108253[_0x3e3853(0x16d1)]=_0x1d8066||_0x266ab0[_0x3e3853(0x16a)][_0x3e3853(0x16d1)]||{},_0x108253[_0x3e3853(0x1366)]=_0x45eac2&&_0x45eac2[_0x3e3853(0x51c)]==0x1?_0x45eac2[_0x3e3853(0x19c7)][0x0]:null,_0x108253[_0x3e3853(0x2514)]=_0xeb9a1f[_0x3e3853(0xe60)](_0x108253[_0x3e3853(0x1366)]?_0x108253[_0x3e3853(0x1366)][_0x3e3853(0x2514)]:null),_0x108253[_0x3e3853(0x855)]={},_0x108253[_0x3e3853(0x1b09)]=_0x266ab0[_0x3e3853(0x16a)][_0x3e3853(0x13a3)]||0x0,_0x108253[_0x3e3853(0x861)]=_0x41d1ed[_0x3e3853(0x271e)],_0x108253['gotoFreshdeskAccounts']=_0x1bb214,_0x108253[_0x3e3853(0x22d0)]=_0x34fe99;function _0x1bb214(){const _0x14623e=_0x3e3853;_0x266ab0['go'](_0x14623e(0x229d),{},{'reload':_0x14623e(0x229d)});}function _0x34fe99(){const _0x17af98=_0x3e3853;_0x331ef2[_0x17af98(0x21b2)][_0x17af98(0x18e1)]({'id':_0x108253[_0x17af98(0x16d1)]['id']},_0x108253[_0x17af98(0x16d1)])['$promise'][_0x17af98(0x146b)](function(){const _0xa9e47f=_0x17af98;_0x41d1ed['success']({'title':'FreshdeskAccount\x20updated!','msg':_0x108253['freshdeskAccount'][_0xa9e47f(0x19eb)]?_0x108253[_0xa9e47f(0x16d1)]['name']+_0xa9e47f(0x6b0):''});})[_0x17af98(0x129e)](function(_0x503c0d){const _0x240f6c=_0x17af98;_0x41d1ed['error']({'title':_0x503c0d[_0x240f6c(0x107b)]?_0x240f6c(0x262a)+_0x503c0d[_0x240f6c(0x107b)]+_0x240f6c(0x1315)+_0x503c0d[_0x240f6c(0x167f)]:_0x240f6c(0x265c),'msg':_0x503c0d['data']?JSON[_0x240f6c(0x10bb)](_0x503c0d[_0x240f6c(0x524)]):_0x503c0d[_0x240f6c(0xd5f)]()});});}}const _0x523b5a=_0x4ab656;;const _0x1aaba3=_0x4acfac['p']+_0x313a4d(0x2743);;_0x7b624d[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),'$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x1abe),'$translate',_0x313a4d(0x314),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x7b624d(_0x10b341,_0x560c0d,_0x4b5cca,_0x1e655a,_0x537436,_0x4ad8ff,_0x4e000d,_0x42b0d3,_0x1b0f2d,_0x27fe8c,_0x2cc64a,_0x54ee5d,_0x2d817b,_0x10eb80,_0x4b7d98,_0x43f37a,_0x34fd4f){const _0x3bc400=_0x313a4d,_0x349a73=this;_0x349a73[_0x3bc400(0x2690)]=_0x43f37a,_0x349a73[_0x3bc400(0x15b9)]=_0x34fd4f,_0x349a73[_0x3bc400(0x2321)]=_0x4b7d98[_0x3bc400(0xb12)](),_0x349a73[_0x3bc400(0x314)]=_0x1b0f2d||{'count':0x0,'rows':[]},_0x349a73[_0x3bc400(0x26b6)]=_0x27fe8c,_0x349a73[_0x3bc400(0x1366)]=_0x2cc64a&&_0x2cc64a['count']==0x1?_0x2cc64a['rows'][0x0]:null,_0x349a73[_0x3bc400(0x2514)]=_0x4b7d98[_0x3bc400(0xe60)](_0x349a73[_0x3bc400(0x1366)]?_0x349a73[_0x3bc400(0x1366)]['crudPermissions']:null),_0x349a73[_0x3bc400(0x768)]='freshdeskAccounts',_0x349a73['listOrder']='',_0x349a73['listOrderAsc']=null,_0x349a73['selectedFreshdeskAccounts']=[],_0x349a73[_0x3bc400(0x1a56)]={'fields':_0x3bc400(0xd82),'limit':0xa,'page':0x1},_0x349a73[_0x3bc400(0x23f9)]=_0x36fd82,_0x349a73['testtestintegrationaccount']=_0xc61d69,_0x349a73[_0x3bc400(0xf0a)]=_0x2a670a,_0x349a73[_0x3bc400(0x1c75)]=_0x499a63,_0x349a73[_0x3bc400(0x686)]=_0x2c0339,_0x349a73[_0x3bc400(0xace)]=_0x4d6d1b,_0x349a73['deleteFreshdeskAccount']=_0x528c4f,_0x349a73[_0x3bc400(0x220b)]=_0x524afa,_0x349a73[_0x3bc400(0x1582)]=_0x54e629,_0x349a73['deselectFreshdeskAccounts']=_0x58b3dc,_0x349a73[_0x3bc400(0x10e5)]=_0x4b35a6;function _0x36fd82(_0x151859){const _0x3a968b=_0x3bc400;_0x4b5cca['go'](_0x3a968b(0x1a98),{'id':_0x151859['id'],'freshdeskAccount':_0x151859,'crudPermissions':_0x349a73[_0x3a968b(0x2514)]});}function _0xc61d69(_0xf59580){const _0x28b257=_0x3bc400;return _0x54ee5d[_0x28b257(0x21b2)][_0x28b257(0x12ff)]({'id':_0xf59580['id'],'test':!![]})[_0x28b257(0x2945)][_0x28b257(0x146b)](function(){const _0x5ebd0c=_0x28b257;_0x10eb80['success']({'title':_0x5ebd0c(0x1d8d),'msg':'Connection\x20succeded!'});})[_0x28b257(0x129e)](function(_0x335ab4){const _0x358608=_0x28b257;_0x10eb80['error']({'title':_0x358608(0x1d8d),'msg':_0x335ab4['data']?_0x335ab4['data'][_0x358608(0x7fd)]?_0x335ab4[_0x358608(0x524)]['message']:JSON[_0x358608(0x10bb)](_0x335ab4[_0x358608(0x524)]):_0x335ab4[_0x358608(0xd5f)]()});});}function _0x2a670a(_0x1e8e6a,_0x4fd159){const _0x377815=_0x3bc400,_0x3ff1d6=_0x537436[_0x377815(0x1e8a)]()[_0x377815(0x1189)](_0x377815(0xdb2)+_0x3f65c0()[_0x377815(0x20d1)](_0x377815(0x16d1))+'?')[_0x377815(0x1cbe)](_0x377815(0x16d3)+(_0x1e8e6a['name']||'freshdeskAccount')+''+_0x377815(0xe01))[_0x377815(0x4bd)](_0x377815(0x19b9))['targetEvent'](_0x4fd159)['ok']('OK')[_0x377815(0x6c3)]('CANCEL');_0x537436['show'](_0x3ff1d6)[_0x377815(0x146b)](function(){_0x528c4f(_0x1e8e6a);},function(){const _0x5c24dd=_0x377815;console[_0x5c24dd(0x1a74)](_0x5c24dd(0x39a));});}let _0x4326bf=!![],_0xc8de23=0x1;_0x10b341[_0x3bc400(0x21e8)](_0x3bc400(0x2669),function(_0x12bcc1,_0x2bba1c){const _0x33dcf7=_0x3bc400;_0x4326bf?_0x4e000d(function(){_0x4326bf=![];}):(!_0x2bba1c&&(_0xc8de23=_0x349a73[_0x33dcf7(0x1a56)][_0x33dcf7(0x844)]),_0x12bcc1!==_0x2bba1c&&(_0x349a73[_0x33dcf7(0x1a56)][_0x33dcf7(0x844)]=0x1),!_0x12bcc1&&(_0x349a73['query'][_0x33dcf7(0x844)]=_0xc8de23),_0x349a73[_0x33dcf7(0x686)]());});function _0x499a63(_0x2fd03d){const _0x5d90ff=_0x3bc400;_0x349a73[_0x5d90ff(0x314)]=_0x2fd03d||{'count':0x0,'rows':[]};}function _0x2c0339(){const _0x56deb4=_0x3bc400;_0x349a73[_0x56deb4(0x1a56)][_0x56deb4(0x145d)]=(_0x349a73[_0x56deb4(0x1a56)]['page']-0x1)*_0x349a73[_0x56deb4(0x1a56)]['limit'],_0x4b7d98[_0x56deb4(0x23e0)](_0x56deb4(0x174b))?_0x349a73[_0x56deb4(0xb9c)]=_0x54ee5d[_0x56deb4(0x21b2)][_0x56deb4(0x16b4)](_0x349a73[_0x56deb4(0x1a56)],_0x499a63)['$promise']:(_0x349a73[_0x56deb4(0x1a56)]['id']=_0x349a73[_0x56deb4(0x26b6)]['id'],_0x349a73[_0x56deb4(0x1a56)][_0x56deb4(0x2146)]=_0x56deb4(0x207),_0x349a73['promise']=_0x54ee5d[_0x56deb4(0x26b6)][_0x56deb4(0x158f)](_0x349a73[_0x56deb4(0x1a56)],_0x499a63)['$promise']);}function _0x4d6d1b(_0x271dad,_0x2848b8){const _0x153d9a=_0x3bc400;_0x537436[_0x153d9a(0x2615)]({'controller':_0x153d9a(0x157f),'controllerAs':'vm','templateUrl':_0x1aaba3,'parent':angular[_0x153d9a(0x1853)](_0x4ad8ff[_0x153d9a(0x2586)]),'targetEvent':_0x271dad,'clickOutsideToClose':!![],'locals':{'freshdeskAccount':_0x2848b8,'freshdeskAccounts':_0x349a73[_0x153d9a(0x314)][_0x153d9a(0x19c7)],'license':_0x349a73[_0x153d9a(0x2690)],'setting':_0x349a73[_0x153d9a(0x15b9)],'crudPermissions':_0x349a73[_0x153d9a(0x2514)]}});}function _0x528c4f(_0x9ae5a){const _0x1081fb=_0x3bc400;_0x54ee5d['intFreshdeskAccount']['delete']({'id':_0x9ae5a['id']})[_0x1081fb(0x2945)]['then'](function(){const _0x48594a=_0x1081fb;_0x3f65c0()[_0x48594a(0x2640)](_0x349a73[_0x48594a(0x314)]['rows'],{'id':_0x9ae5a['id']}),_0x349a73[_0x48594a(0x314)][_0x48594a(0x51c)]-=0x1,!_0x349a73[_0x48594a(0x314)]['rows'][_0x48594a(0x402)]&&_0x349a73[_0x48594a(0x686)](),_0x10eb80[_0x48594a(0x1c75)]({'title':_0x3f65c0()[_0x48594a(0x20d1)]('FreshdeskAccount')+'\x20deleted!','msg':_0x9ae5a[_0x48594a(0x19eb)]?_0x9ae5a[_0x48594a(0x19eb)]+_0x48594a(0x23e3):''});})['catch'](function(_0x3845ef){const _0x10087f=_0x1081fb;if(_0x3845ef[_0x10087f(0x524)]&&_0x3845ef['data'][_0x10087f(0xcef)]&&_0x3845ef[_0x10087f(0x524)]['errors'][_0x10087f(0x402)]){_0x349a73[_0x10087f(0xcef)]=_0x3845ef[_0x10087f(0x524)][_0x10087f(0xcef)]||[{'message':_0x3845ef[_0x10087f(0xd5f)](),'type':_0x10087f(0x208a)}];for(let _0x2a06ba=0x0;_0x2a06ba<_0x3845ef[_0x10087f(0x524)]['errors']['length'];_0x2a06ba++){_0x10eb80[_0x10087f(0x1980)]({'title':_0x3845ef[_0x10087f(0x524)][_0x10087f(0xcef)][_0x2a06ba][_0x10087f(0x1142)],'msg':_0x3845ef[_0x10087f(0x524)][_0x10087f(0xcef)][_0x2a06ba][_0x10087f(0x7fd)]});}}else _0x10eb80['error']({'title':_0x3845ef['status']?'API:'+_0x3845ef[_0x10087f(0x107b)]+_0x10087f(0x1315)+_0x3845ef['statusText']:_0x10087f(0x208a),'msg':_0x3845ef[_0x10087f(0x524)]?JSON[_0x10087f(0x10bb)](_0x3845ef[_0x10087f(0x524)][_0x10087f(0x7fd)]):_0x3845ef[_0x10087f(0x7fd)]||_0x3845ef[_0x10087f(0xd5f)]()});});}function _0x524afa(){const _0x59054b=_0x3bc400,_0x31c3fb=angular[_0x59054b(0x235a)](_0x349a73[_0x59054b(0x1df3)]);return _0x349a73['selectedFreshdeskAccounts']=[],_0x31c3fb;}function _0x54e629(_0x7cdcf3){const _0x4caf4c=_0x3bc400,_0x306789=_0x537436['confirm']()['title'](_0x4caf4c(0x24fa))[_0x4caf4c(0x1cbe)](_0x4caf4c(0x16d3)+_0x349a73['selectedFreshdeskAccounts'][_0x4caf4c(0x402)]+'\x20selected'+_0x4caf4c(0xe01))['ariaLabel']('delete\x20FreshdeskAccounts')['targetEvent'](_0x7cdcf3)['ok']('OK')[_0x4caf4c(0x6c3)]('CANCEL');_0x537436['show'](_0x306789)[_0x4caf4c(0x146b)](function(){const _0x4fd410=_0x4caf4c;_0x349a73[_0x4fd410(0x1df3)][_0x4fd410(0x1df5)](function(_0x16bb4f){_0x528c4f(_0x16bb4f);}),_0x349a73[_0x4fd410(0x1df3)]=[];});}function _0x58b3dc(){const _0x45db04=_0x3bc400;_0x349a73[_0x45db04(0x1df3)]=[];}function _0x4b35a6(){const _0x3de62b=_0x3bc400;_0x349a73[_0x3de62b(0x1df3)]=_0x349a73['freshdeskAccounts'][_0x3de62b(0x19c7)];}}const _0x52d955=_0x7b624d;;_0x3de127[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$state','$location',_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x16b6),_0x313a4d(0x158),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x3de127(_0xba7134,_0x46423b,_0x2781e6,_0x31fb9d,_0x5e8944,_0x224b8e,_0x14c728,_0x358525,_0x7adae6,_0x4058d7,_0x4d7e98,_0x174762,_0x402b0c,_0x196345){const _0x1164ac=_0x313a4d,_0x3a27a9=this;_0x3a27a9[_0x1164ac(0x2321)]=_0x4d7e98['getCurrentUser'](),_0x3a27a9[_0x1164ac(0xcef)]=[],_0x3a27a9['setting']=_0x402b0c,_0x3a27a9[_0x1164ac(0x2690)]=_0x174762,_0x3a27a9[_0x1164ac(0x2514)]=_0x196345,_0x3a27a9[_0x1164ac(0x855)]={},_0x3a27a9[_0x1164ac(0x2251)]=_0x3a27a9[_0x1164ac(0x15b9)]&&_0x3a27a9['setting'][_0x1164ac(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x3a27a9[_0x1164ac(0x1189)]='INTEGRATIONS.EDIT_FRESHSALESACCOUNT',_0x3a27a9[_0x1164ac(0x158)]=angular['copy'](_0x7adae6),_0x3a27a9[_0x1164ac(0x16b6)]=_0x358525,_0x3a27a9[_0x1164ac(0x2287)]=![];!_0x3a27a9[_0x1164ac(0x158)]&&(_0x3a27a9[_0x1164ac(0x158)]={'serverUrl':_0x2781e6[_0x1164ac(0x2414)]()+_0x1164ac(0xb0e)+_0x2781e6[_0x1164ac(0x148e)]()+(_0x2781e6[_0x1164ac(0x1553)]()?':'+_0x2781e6[_0x1164ac(0x1553)]():'')},_0x3a27a9[_0x1164ac(0x1189)]=_0x1164ac(0x147a),_0x3a27a9['newFreshsalesAccount']=!![]);_0x3a27a9[_0x1164ac(0x1d25)]=_0x393c58,_0x3a27a9[_0x1164ac(0x6b6)]=_0x43932f,_0x3a27a9['deleteFreshsalesAccount']=_0x5f56a0,_0x3a27a9[_0x1164ac(0xe73)]=_0x270aaa,_0x3a27a9['closeDialog']=_0x117757;function _0x393c58(){const _0x4ff3be=_0x1164ac;_0x3a27a9['errors']=[],_0x4058d7[_0x4ff3be(0x219f)][_0x4ff3be(0x1e3)](_0x3a27a9['freshsalesAccount'])[_0x4ff3be(0x2945)]['then'](function(_0x41e682){const _0x57b9d3=_0x4ff3be;_0x3a27a9[_0x57b9d3(0x16b6)][_0x57b9d3(0xb3d)](_0x41e682[_0x57b9d3(0x2488)]()),_0x14c728[_0x57b9d3(0x1c75)]({'title':_0x57b9d3(0x1f04),'msg':_0x3a27a9[_0x57b9d3(0x158)]['name']?_0x3a27a9[_0x57b9d3(0x158)]['name']+'\x20has\x20been\x20created!':''}),_0x117757(_0x41e682);})[_0x4ff3be(0x129e)](function(_0x5b6d41){const _0x44c9a2=_0x4ff3be;if(_0x5b6d41['data']&&_0x5b6d41['data']['errors']&&_0x5b6d41[_0x44c9a2(0x524)][_0x44c9a2(0xcef)]['length']){_0x3a27a9[_0x44c9a2(0xcef)]=_0x5b6d41[_0x44c9a2(0x524)][_0x44c9a2(0xcef)]||[{'message':_0x5b6d41['toString'](),'type':_0x44c9a2(0x1b85)}];for(let _0x15b262=0x0;_0x15b262<_0x5b6d41[_0x44c9a2(0x524)]['errors'][_0x44c9a2(0x402)];_0x15b262+=0x1){_0x14c728['error']({'title':_0x5b6d41['data']['errors'][_0x15b262]['type'],'msg':_0x5b6d41['data'][_0x44c9a2(0xcef)][_0x15b262][_0x44c9a2(0x7fd)]});}}else _0x14c728['error']({'title':_0x5b6d41[_0x44c9a2(0x107b)]?'API:'+_0x5b6d41['status']+_0x44c9a2(0x1315)+_0x5b6d41[_0x44c9a2(0x167f)]:_0x44c9a2(0x1b85),'msg':_0x5b6d41[_0x44c9a2(0x524)]?JSON[_0x44c9a2(0x10bb)](_0x5b6d41['data'][_0x44c9a2(0x7fd)]):_0x5b6d41[_0x44c9a2(0xd5f)]()});});}function _0x43932f(){const _0x50f91b=_0x1164ac;_0x3a27a9[_0x50f91b(0xcef)]=[],_0x4058d7[_0x50f91b(0x219f)][_0x50f91b(0x18e1)]({'id':_0x3a27a9[_0x50f91b(0x158)]['id']},_0x3a27a9[_0x50f91b(0x158)])['$promise']['then'](function(_0x3642cc){const _0x10b226=_0x50f91b,_0x571763=_0x3f65c0()[_0x10b226(0xc84)](_0x3a27a9['freshsalesAccounts'],{'id':_0x3642cc['id']});_0x571763&&_0x3f65c0()[_0x10b226(0x168d)](_0x571763,_0x3f65c0()[_0x10b226(0x40e)](_0x3642cc[_0x10b226(0x2488)](),_0x3f65c0()[_0x10b226(0x627)](_0x571763))),_0x14c728['success']({'title':_0x10b226(0x1f1f),'msg':_0x3a27a9[_0x10b226(0x158)][_0x10b226(0x19eb)]?_0x3a27a9[_0x10b226(0x158)][_0x10b226(0x19eb)]+_0x10b226(0x24db):''}),_0x117757(_0x3642cc);})['catch'](function(_0x5ba37e){const _0x570651=_0x50f91b;if(_0x5ba37e[_0x570651(0x524)]&&_0x5ba37e[_0x570651(0x524)][_0x570651(0xcef)]&&_0x5ba37e[_0x570651(0x524)][_0x570651(0xcef)][_0x570651(0x402)]){_0x3a27a9[_0x570651(0xcef)]=_0x5ba37e['data'][_0x570651(0xcef)]||[{'message':_0x5ba37e['toString'](),'type':_0x570651(0x1460)}];for(let _0x2fa944=0x0;_0x2fa944<_0x5ba37e['data'][_0x570651(0xcef)][_0x570651(0x402)];_0x2fa944++){_0x14c728[_0x570651(0x1980)]({'title':_0x5ba37e[_0x570651(0x524)][_0x570651(0xcef)][_0x2fa944][_0x570651(0x1142)],'msg':_0x5ba37e[_0x570651(0x524)][_0x570651(0xcef)][_0x2fa944]['message']});}}else _0x14c728['error']({'title':_0x5ba37e[_0x570651(0x107b)]?_0x570651(0x262a)+_0x5ba37e['status']+_0x570651(0x1315)+_0x5ba37e[_0x570651(0x167f)]:'api.intFreshsalesAccount.update','msg':_0x5ba37e[_0x570651(0x524)]?JSON[_0x570651(0x10bb)](_0x5ba37e['data'][_0x570651(0x7fd)]):_0x5ba37e[_0x570651(0xd5f)]()});});}function _0x5f56a0(_0x9fa22d){const _0x3438c9=_0x1164ac;_0x3a27a9[_0x3438c9(0xcef)]=[];const _0x2c79e9=_0x31fb9d[_0x3438c9(0x1e8a)]()['title'](_0x3438c9(0x1d64))[_0x3438c9(0x80f)](_0x3438c9(0x2888))['ariaLabel'](_0x3438c9(0x225f))['ok']('Delete')[_0x3438c9(0x6c3)](_0x3438c9(0xcf0))['targetEvent'](_0x9fa22d);_0x31fb9d[_0x3438c9(0x2615)](_0x2c79e9)[_0x3438c9(0x146b)](function(){const _0x1f3990=_0x3438c9;_0x4058d7['intFreshsalesAccount'][_0x1f3990(0x1fac)]({'id':_0x3a27a9['freshsalesAccount']['id']})[_0x1f3990(0x2945)]['then'](function(){const _0x526c60=_0x1f3990;_0x3f65c0()[_0x526c60(0x2640)](_0x3a27a9[_0x526c60(0x16b6)],{'id':_0x3a27a9[_0x526c60(0x158)]['id']}),_0x14c728[_0x526c60(0x1c75)]({'title':_0x526c60(0x21bc),'msg':(_0x3a27a9['freshsalesAccount'][_0x526c60(0x19eb)]||_0x526c60(0x158))+_0x526c60(0x23e3)}),_0x117757(_0x3a27a9[_0x526c60(0x158)]);})[_0x1f3990(0x129e)](function(_0x52c1d3){const _0x2d67b9=_0x1f3990;if(_0x52c1d3['data']&&_0x52c1d3[_0x2d67b9(0x524)][_0x2d67b9(0xcef)]&&_0x52c1d3['data'][_0x2d67b9(0xcef)][_0x2d67b9(0x402)]){_0x3a27a9[_0x2d67b9(0xcef)]=_0x52c1d3['data'][_0x2d67b9(0xcef)]||[{'message':_0x52c1d3['toString'](),'type':_0x2d67b9(0x23cf)}];for(let _0x5eb8ae=0x0;_0x5eb8ae<_0x52c1d3[_0x2d67b9(0x524)][_0x2d67b9(0xcef)]['length'];_0x5eb8ae++){_0x14c728['error']({'title':_0x52c1d3[_0x2d67b9(0x524)][_0x2d67b9(0xcef)][_0x5eb8ae][_0x2d67b9(0x1142)],'msg':_0x52c1d3['data'][_0x2d67b9(0xcef)][_0x5eb8ae][_0x2d67b9(0x7fd)]});}}else _0x14c728[_0x2d67b9(0x1980)]({'title':_0x52c1d3['status']?_0x2d67b9(0x262a)+_0x52c1d3[_0x2d67b9(0x107b)]+'\x20-\x20'+_0x52c1d3['statusText']:_0x2d67b9(0x23cf),'msg':_0x52c1d3[_0x2d67b9(0x524)]?JSON['stringify'](_0x52c1d3[_0x2d67b9(0x524)][_0x2d67b9(0x7fd)]):_0x52c1d3[_0x2d67b9(0x7fd)]||_0x52c1d3[_0x2d67b9(0xd5f)]()});});},function(){});}function _0x270aaa(_0x1bcd1c){return _0x1bcd1c===null?undefined:new Date(_0x1bcd1c);}function _0x117757(_0x28742e){const _0x3e9b00=_0x1164ac;_0x31fb9d[_0x3e9b00(0x2458)](_0x28742e);}}const _0x298999=_0x3de127;;const _0x612fe=_0x4acfac['p']+_0x313a4d(0x2539);;const _0x39700e=_0x4acfac['p']+'src/js/modules/main/apps/integrations/views/freshsalesAccounts/edit/item/dialog.html/dialog.html';;_0x7567af[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87)];function _0x7567af(_0x335af8,_0x23fc55,_0x29b39f,_0x5b26f3,_0x10c673){const _0xcab9fa=_0x313a4d,_0x33c11e=this;_0x33c11e[_0xcab9fa(0x2321)]=_0x10c673[_0xcab9fa(0xb12)](),_0x33c11e[_0xcab9fa(0x158)]={},_0x33c11e['freshsalesConfiguration']={},_0x33c11e[_0xcab9fa(0x1723)]=[],_0x33c11e[_0xcab9fa(0x5bc)]=-0x1,_0x33c11e[_0xcab9fa(0x2514)],_0x33c11e[_0xcab9fa(0x5aa)]=_0x4fd301,_0x33c11e[_0xcab9fa(0x2286)]=_0xa68d7b,_0x33c11e['createOrEditFreshsalesConfiguration']=_0xbf2a1d,_0x33c11e[_0xcab9fa(0x18ad)]=_0x8ad290;function _0x4fd301(_0x52feeb,_0x48247b){const _0x23eb0a=_0xcab9fa;_0x33c11e[_0x23eb0a(0x158)]=_0x52feeb,_0x33c11e[_0x23eb0a(0x2514)]=typeof _0x48247b!==_0x23eb0a(0x2274)?_0x48247b:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x29b39f['intFreshsalesAccount'][_0x23eb0a(0xeb)]({'id':_0x33c11e[_0x23eb0a(0x158)]['id'],'sort':_0x23eb0a(0x12f2)})[_0x23eb0a(0x2945)][_0x23eb0a(0x146b)](function(_0xa29128){const _0xa1091f=_0x23eb0a;return _0x33c11e[_0xa1091f(0x1723)]=_0xa29128[_0xa1091f(0x19c7)]?_0xa29128[_0xa1091f(0x19c7)]:[],_0x33c11e[_0xa1091f(0x1723)][_0xa1091f(0x402)]&&_0x33c11e[_0xa1091f(0x2286)](0x0),_0x29b39f[_0xa1091f(0x212)][_0xa1091f(0x16b4)]({'nolimit':!![],'sort':_0xa1091f(0x19eb)})[_0xa1091f(0x2945)];})[_0x23eb0a(0x146b)](function(_0x38e2e8){const _0x292192=_0x23eb0a;_0x33c11e['variables']=_0x38e2e8[_0x292192(0x19c7)]?_0x38e2e8[_0x292192(0x19c7)]:[],_0x33c11e[_0x292192(0x80c)]=_0x3f65c0()[_0x292192(0x194)](_0x33c11e[_0x292192(0x85b)],'id');})[_0x23eb0a(0x129e)](function(_0x239cc3){const _0x133a64=_0x23eb0a;_0x5b26f3['error']({'title':_0x239cc3[_0x133a64(0x107b)]?_0x133a64(0x262a)+_0x239cc3[_0x133a64(0x107b)]+'\x20-\x20'+_0x239cc3[_0x133a64(0x167f)]:_0x133a64(0x9e7),'msg':_0x239cc3[_0x133a64(0x524)]?JSON['stringify'](_0x239cc3['data']):_0x239cc3[_0x133a64(0xd5f)]()});});}function _0xa68d7b(_0x473a20){const _0x408fb4=_0xcab9fa;_0x33c11e[_0x408fb4(0xe46)]=_0x33c11e[_0x408fb4(0x1723)][_0x473a20],_0x33c11e[_0x408fb4(0x5bc)]=_0x473a20,_0x29b39f[_0x408fb4(0xe76)][_0x408fb4(0x18ee)]({'id':_0x33c11e[_0x408fb4(0xe46)]['id']})['$promise'][_0x408fb4(0x146b)](function(_0x255684){const _0x22c795=_0x408fb4;return _0x33c11e[_0x22c795(0x3c8)]=_0x255684[_0x22c795(0x19c7)]?_0x255684['rows']:[],_0x29b39f['intFreshsalesConfiguration'][_0x22c795(0xc2a)]({'id':_0x33c11e[_0x22c795(0xe46)]['id']})[_0x22c795(0x2945)];})[_0x408fb4(0x146b)](function(_0xbdd54a){const _0xfa8f69=_0x408fb4;return _0x33c11e[_0xfa8f69(0x2358)]=_0xbdd54a[_0xfa8f69(0x19c7)]?_0xbdd54a[_0xfa8f69(0x19c7)]:[],_0x29b39f[_0xfa8f69(0xe76)][_0xfa8f69(0x12ff)]({'id':_0x33c11e[_0xfa8f69(0xe46)]['id']})['$promise'];})['then'](function(_0x289e91){const _0x5ea7ff=_0x408fb4;_0x33c11e[_0x5ea7ff(0x2867)]=_0x289e91[_0x5ea7ff(0x19c7)]?_0x289e91[_0x5ea7ff(0x19c7)]:[];})['catch'](function(_0x348fe6){const _0x3e9d9e=_0x408fb4;_0x5b26f3[_0x3e9d9e(0x1980)]({'title':_0x348fe6[_0x3e9d9e(0x107b)]?'API:'+_0x348fe6[_0x3e9d9e(0x107b)]+_0x3e9d9e(0x1315)+_0x348fe6[_0x3e9d9e(0x167f)]:_0x3e9d9e(0x6ca),'msg':_0x348fe6[_0x3e9d9e(0x524)]?JSON[_0x3e9d9e(0x10bb)](_0x348fe6[_0x3e9d9e(0x524)]):_0x348fe6[_0x3e9d9e(0xd5f)]()});});}function _0xbf2a1d(_0x1aaeb1,_0x554348){const _0x4cd3e5=_0xcab9fa;_0x335af8[_0x4cd3e5(0x2615)]({'controller':_0x4cd3e5(0x10d0),'controllerAs':'vm','templateUrl':_0x612fe,'parent':angular[_0x4cd3e5(0x1853)](_0x23fc55[_0x4cd3e5(0x2586)]),'targetEvent':_0x1aaeb1,'clickOutsideToClose':!![],'locals':{'freshsalesConfiguration':_0x554348,'configurations':_0x33c11e[_0x4cd3e5(0x1723)],'license':null,'setting':null,'crudPermissions':_0x33c11e[_0x4cd3e5(0x2514)]}})[_0x4cd3e5(0x1ec6)](function(){_0x33c11e['getFreshsalesConfiguration'](0x0);});}function _0x8ad290(_0x925448,_0x9bab09){const _0x559f74=_0xcab9fa,_0x41efd7=_0x335af8[_0x559f74(0x1e8a)]()[_0x559f74(0x1189)](_0x559f74(0x150a))[_0x559f74(0x1cbe)](''+(_0x9bab09[_0x559f74(0x19eb)]||_0x559f74(0xe46))+_0x559f74(0x252f)+'\x20will\x20be\x20deleted.')[_0x559f74(0x4bd)]('delete\x20freshsalesConfiguration')[_0x559f74(0x1f27)](_0x925448)['ok']('OK')[_0x559f74(0x6c3)](_0x559f74(0x39a));_0x335af8[_0x559f74(0x2615)](_0x41efd7)[_0x559f74(0x146b)](function(){const _0x5755f2=_0x559f74;_0x29b39f[_0x5755f2(0xe76)]['delete']({'id':_0x9bab09['id']})[_0x5755f2(0x2945)][_0x5755f2(0x146b)](function(){const _0x1c3fa1=_0x5755f2;_0x3f65c0()[_0x1c3fa1(0x2640)](_0x33c11e[_0x1c3fa1(0x1723)],{'id':_0x9bab09['id']}),_0x5b26f3[_0x1c3fa1(0x1c75)]({'title':_0x1c3fa1(0x42f),'msg':_0x9bab09[_0x1c3fa1(0x19eb)]?_0x9bab09[_0x1c3fa1(0x19eb)]+_0x1c3fa1(0x23e3):''});})['catch'](function(_0x1c998d){const _0x1cbaa5=_0x5755f2;_0x5b26f3[_0x1cbaa5(0x1980)]({'title':_0x1c998d[_0x1cbaa5(0x107b)]?_0x1cbaa5(0x262a)+_0x1c998d[_0x1cbaa5(0x107b)]+'\x20-\x20'+_0x1c998d['statusText']:_0x1cbaa5(0xff1),'msg':_0x1c998d[_0x1cbaa5(0x524)]?JSON[_0x1cbaa5(0x10bb)](_0x1c998d[_0x1cbaa5(0x524)]):_0x1c998d[_0x1cbaa5(0xd5f)]()});})[_0x5755f2(0x1ec6)](function(){const _0x4e3269=_0x5755f2;_0x33c11e[_0x4e3269(0x2286)](0x0);});},function(){const _0x19ffad=_0x559f74;console[_0x19ffad(0x1a74)](_0x19ffad(0x39a));});}_0x33c11e[_0xcab9fa(0x15a6)]=[],_0x33c11e[_0xcab9fa(0x2665)]=_0x3298c3,_0x33c11e['deleteSubjectConfirm']=_0x597adf,_0x33c11e[_0xcab9fa(0x1777)]=_0x5884fe;function _0x3298c3(_0xc08410,_0x2338ae){const _0x324805=_0xcab9fa;_0x335af8[_0x324805(0x2615)]({'controller':_0x324805(0x248),'controllerAs':'vm','templateUrl':_0x39700e,'parent':angular[_0x324805(0x1853)](_0x23fc55[_0x324805(0x2586)]),'targetEvent':_0xc08410,'clickOutsideToClose':!![],'locals':{'type':_0x324805(0xe32),'item':_0x2338ae,'items':_0x33c11e['subjects'],'configuration':_0x33c11e[_0x324805(0xe46)],'account':_0x33c11e['freshsalesAccount'],'license':null,'setting':null,'crudPermissions':_0x33c11e[_0x324805(0x2514)]}});}function _0x2995e4(_0x3184cf){const _0x1c3206=_0xcab9fa;_0x29b39f['intFreshsalesField']['delete']({'id':_0x3184cf['id']})[_0x1c3206(0x2945)]['then'](function(){const _0x582944=_0x1c3206;_0x3f65c0()[_0x582944(0x2640)](_0x33c11e[_0x582944(0x3c8)],{'id':_0x3184cf['id']}),_0x5b26f3[_0x582944(0x1c75)]({'title':_0x582944(0x28e6),'msg':_0x582944(0x761)});})[_0x1c3206(0x129e)](function(_0x57fd90){const _0x1a0347=_0x1c3206;_0x5b26f3['error']({'title':_0x57fd90[_0x1a0347(0x107b)]?_0x1a0347(0x262a)+_0x57fd90[_0x1a0347(0x107b)]+'\x20-\x20'+_0x57fd90[_0x1a0347(0x167f)]:_0x1a0347(0xf6e),'msg':_0x57fd90['data']?JSON[_0x1a0347(0x10bb)](_0x57fd90[_0x1a0347(0x524)]):_0x57fd90[_0x1a0347(0xd5f)]()});});}function _0x597adf(_0x2ebb52,_0x334648){const _0x16d17f=_0xcab9fa,_0x4afdd4=_0x335af8[_0x16d17f(0x1e8a)]()['title'](_0x16d17f(0x317))[_0x16d17f(0x1cbe)](_0x16d17f(0xf7f)+_0x16d17f(0xe01))[_0x16d17f(0x4bd)](_0x16d17f(0x5d1))['targetEvent'](_0x2ebb52)['ok']('OK')[_0x16d17f(0x6c3)]('CANCEL');_0x335af8[_0x16d17f(0x2615)](_0x4afdd4)[_0x16d17f(0x146b)](function(){_0x2995e4(_0x334648);},function(){const _0x183fe8=_0x16d17f;console[_0x183fe8(0x1a74)](_0x183fe8(0x39a));});}function _0x5884fe(_0x53c1c0){const _0x5a932d=_0xcab9fa,_0x53cdc8=_0x335af8[_0x5a932d(0x1e8a)]()[_0x5a932d(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20subjects?')[_0x5a932d(0x1cbe)](_0x5a932d(0x16d3)+_0x33c11e[_0x5a932d(0x15a6)]['length']+'\x20selected'+_0x5a932d(0xe01))[_0x5a932d(0x4bd)](_0x5a932d(0x1209))[_0x5a932d(0x1f27)](_0x53c1c0)['ok']('OK')[_0x5a932d(0x6c3)](_0x5a932d(0x39a));_0x335af8[_0x5a932d(0x2615)](_0x53cdc8)['then'](function(){const _0x5577c7=_0x5a932d;_0x33c11e[_0x5577c7(0x15a6)]['forEach'](function(_0x245a79){_0x2995e4(_0x245a79);}),_0x33c11e[_0x5577c7(0x15a6)]=[];});}_0x33c11e[_0xcab9fa(0x126)]=[],_0x33c11e[_0xcab9fa(0x18da)]=_0x15b23a,_0x33c11e['deleteDescriptionConfirm']=_0x914aae,_0x33c11e[_0xcab9fa(0x2241)]=_0x15976d;function _0x15b23a(_0x33d5dd,_0x5dc279){const _0x535d4d=_0xcab9fa;_0x335af8[_0x535d4d(0x2615)]({'controller':_0x535d4d(0x248),'controllerAs':'vm','templateUrl':_0x39700e,'parent':angular[_0x535d4d(0x1853)](_0x23fc55[_0x535d4d(0x2586)]),'targetEvent':_0x33d5dd,'clickOutsideToClose':!![],'locals':{'type':_0x535d4d(0xb17),'item':_0x5dc279,'items':_0x33c11e[_0x535d4d(0x2358)],'configuration':_0x33c11e[_0x535d4d(0xe46)],'account':_0x33c11e[_0x535d4d(0x158)],'license':null,'setting':null,'crudPermissions':_0x33c11e[_0x535d4d(0x2514)]}});}function _0x32c545(_0x39949d){const _0x31df5f=_0xcab9fa;_0x29b39f[_0x31df5f(0x6f8)][_0x31df5f(0x1fac)]({'id':_0x39949d['id']})[_0x31df5f(0x2945)][_0x31df5f(0x146b)](function(){const _0x263670=_0x31df5f;_0x3f65c0()['remove'](_0x33c11e[_0x263670(0x2358)],{'id':_0x39949d['id']}),_0x5b26f3[_0x263670(0x1c75)]({'title':_0x263670(0xaf8),'msg':_0x263670(0x8e3)});})[_0x31df5f(0x129e)](function(_0x5f4139){const _0x2c0bc6=_0x31df5f;_0x5b26f3[_0x2c0bc6(0x1980)]({'title':_0x5f4139[_0x2c0bc6(0x107b)]?_0x2c0bc6(0x262a)+_0x5f4139[_0x2c0bc6(0x107b)]+_0x2c0bc6(0x1315)+_0x5f4139[_0x2c0bc6(0x167f)]:'SYSTEM:DELETECONFIGURATIONFIELD','msg':_0x5f4139['data']?JSON[_0x2c0bc6(0x10bb)](_0x5f4139[_0x2c0bc6(0x524)]):_0x5f4139[_0x2c0bc6(0xd5f)]()});});}function _0x914aae(_0x5d6841,_0x491150){const _0x266c1d=_0xcab9fa,_0x8dbd52=_0x335af8[_0x266c1d(0x1e8a)]()['title'](_0x266c1d(0x1e9))['htmlContent'](_0x266c1d(0x2014)+_0x266c1d(0xe01))[_0x266c1d(0x4bd)]('delete\x20description')[_0x266c1d(0x1f27)](_0x5d6841)['ok']('OK')[_0x266c1d(0x6c3)]('CANCEL');_0x335af8['show'](_0x8dbd52)['then'](function(){_0x32c545(_0x491150);},function(){const _0x617516=_0x266c1d;console[_0x617516(0x1a74)](_0x617516(0x39a));});}function _0x15976d(_0x3f2219){const _0x2be32f=_0xcab9fa,_0x5b506a=_0x335af8['confirm']()[_0x2be32f(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20descriptions?')[_0x2be32f(0x1cbe)](_0x2be32f(0x16d3)+_0x33c11e['selectedDescriptions'][_0x2be32f(0x402)]+_0x2be32f(0x2452)+_0x2be32f(0xe01))['ariaLabel'](_0x2be32f(0x1be7))[_0x2be32f(0x1f27)](_0x3f2219)['ok']('OK')[_0x2be32f(0x6c3)](_0x2be32f(0x39a));_0x335af8[_0x2be32f(0x2615)](_0x5b506a)['then'](function(){const _0x50fa70=_0x2be32f;_0x33c11e['selectedDescriptions'][_0x50fa70(0x1df5)](function(_0x4bb143){_0x32c545(_0x4bb143);}),_0x33c11e[_0x50fa70(0x126)]=[];});}_0x33c11e[_0xcab9fa(0x2605)]=[],_0x33c11e[_0xcab9fa(0x737)]=_0x2b9e76,_0x33c11e[_0xcab9fa(0x2180)]=_0x3dbe15,_0x33c11e[_0xcab9fa(0x816)]=_0x41bb72;function _0x2b9e76(_0x4c324d,_0x32d809){const _0x78e8cb=_0xcab9fa;_0x335af8[_0x78e8cb(0x2615)]({'controller':_0x78e8cb(0x248),'controllerAs':'vm','templateUrl':_0x39700e,'parent':angular[_0x78e8cb(0x1853)](_0x23fc55[_0x78e8cb(0x2586)]),'targetEvent':_0x4c324d,'clickOutsideToClose':!![],'locals':{'type':_0x78e8cb(0x65c),'item':_0x32d809,'items':_0x33c11e[_0x78e8cb(0x2867)],'configuration':_0x33c11e[_0x78e8cb(0xe46)],'account':_0x33c11e[_0x78e8cb(0x158)],'license':null,'setting':null,'crudPermissions':_0x33c11e[_0x78e8cb(0x2514)]}});}function _0x43b795(_0x7eed11){const _0x43236b=_0xcab9fa;_0x29b39f[_0x43236b(0x6f8)][_0x43236b(0x1fac)]({'id':_0x7eed11['id']})[_0x43236b(0x2945)][_0x43236b(0x146b)](function(){const _0x38dce9=_0x43236b;_0x3f65c0()[_0x38dce9(0x2640)](_0x33c11e['fields'],{'id':_0x7eed11['id']}),_0x5b26f3[_0x38dce9(0x1c75)]({'title':'Field\x20deleted!','msg':_0x38dce9(0x1c07)});})[_0x43236b(0x129e)](function(_0x249643){const _0x268a2f=_0x43236b;_0x5b26f3[_0x268a2f(0x1980)]({'title':_0x249643['status']?_0x268a2f(0x262a)+_0x249643['status']+_0x268a2f(0x1315)+_0x249643[_0x268a2f(0x167f)]:_0x268a2f(0xf6e),'msg':_0x249643['data']?JSON[_0x268a2f(0x10bb)](_0x249643[_0x268a2f(0x524)]):_0x249643[_0x268a2f(0xd5f)]()});});}function _0x3dbe15(_0x2977fb,_0x79894e){const _0x5b8a51=_0xcab9fa,_0x2b13b3=_0x335af8['confirm']()[_0x5b8a51(0x1189)](_0x5b8a51(0x17f6))['htmlContent'](_0x5b8a51(0x2216)+_0x5b8a51(0xe01))[_0x5b8a51(0x4bd)]('delete\x20field')['targetEvent'](_0x2977fb)['ok']('OK')['cancel'](_0x5b8a51(0x39a));_0x335af8[_0x5b8a51(0x2615)](_0x2b13b3)['then'](function(){_0x43b795(_0x79894e);},function(){const _0x160cc3=_0x5b8a51;console['log'](_0x160cc3(0x39a));});}function _0x41bb72(_0x20e924){const _0xaf57fa=_0xcab9fa,_0x12c025=_0x335af8[_0xaf57fa(0x1e8a)]()[_0xaf57fa(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20fields?')[_0xaf57fa(0x1cbe)](_0xaf57fa(0x16d3)+_0x33c11e[_0xaf57fa(0x2605)][_0xaf57fa(0x402)]+_0xaf57fa(0x2452)+_0xaf57fa(0xe01))['ariaLabel'](_0xaf57fa(0x176a))['targetEvent'](_0x20e924)['ok']('OK')[_0xaf57fa(0x6c3)](_0xaf57fa(0x39a));_0x335af8[_0xaf57fa(0x2615)](_0x12c025)[_0xaf57fa(0x146b)](function(){const _0x30e1a9=_0xaf57fa;_0x33c11e[_0x30e1a9(0x2605)]['forEach'](function(_0x4b622f){_0x43b795(_0x4b622f);}),_0x33c11e[_0x30e1a9(0x2605)]=[];});}}const _0x1b12ab=_0x7567af;;_0x17aab6[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$state',_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q','$translate',_0x313a4d(0x1fe4),_0x313a4d(0x1723),_0x313a4d(0xe46),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x17aab6(_0xcac409,_0x2edcd4,_0x28b253,_0x5569f7,_0x1c7d75,_0x2e9aaa,_0x1b39ab,_0x1dc8e4,_0x865aa2,_0x273db2,_0x298041,_0x45291f,_0xf095a,_0x3890bb){const _0x1f6658=_0x313a4d,_0x341956=this;_0x341956[_0x1f6658(0x2321)]=_0x298041[_0x1f6658(0xb12)](),_0x341956[_0x1f6658(0xcef)]=[],_0x341956[_0x1f6658(0x15b9)]=_0xf095a,_0x341956[_0x1f6658(0x2690)]=_0x45291f,_0x341956[_0x1f6658(0x2514)]=_0x3890bb,_0x341956['hasModulePermissions']={},_0x341956[_0x1f6658(0x2251)]=_0x341956[_0x1f6658(0x15b9)]&&_0x341956['setting'][_0x1f6658(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x341956[_0x1f6658(0x1189)]=_0x1f6658(0x1867),_0x341956[_0x1f6658(0xe46)]=angular['copy'](_0x865aa2),_0x341956['configurations']=_0x1dc8e4,_0x341956[_0x1f6658(0x1eef)]=![];!_0x341956['freshsalesConfiguration']&&(_0x341956[_0x1f6658(0xe46)]={'channel':_0x1f6658(0xe6),'type':'inbound'},_0x341956[_0x1f6658(0x1189)]=_0x1f6658(0x8d7),_0x341956[_0x1f6658(0x1eef)]=!![]);_0x341956[_0x1f6658(0x1646)]=_0x34d0b5,_0x341956[_0x1f6658(0x23b3)]=_0x4064c4,_0x341956[_0x1f6658(0x18ad)]=_0x45e5a3,_0x341956[_0x1f6658(0xe73)]=_0x25bc5c,_0x341956[_0x1f6658(0x13f3)]=_0x10bdbb;function _0x34d0b5(){const _0x2a4cb6=_0x1f6658;_0x341956[_0x2a4cb6(0xcef)]=[],_0x273db2['intFreshsalesAccount'][_0x2a4cb6(0xa17)]({'id':_0x2edcd4[_0x2a4cb6(0x16a)]['id']},_0x341956[_0x2a4cb6(0xe46)])[_0x2a4cb6(0x2945)][_0x2a4cb6(0x146b)](function(_0x49084c){const _0x2c9c0f=_0x2a4cb6;_0x341956[_0x2c9c0f(0x1723)][_0x2c9c0f(0xb3d)](_0x49084c['toJSON']()),_0x1b39ab[_0x2c9c0f(0x1c75)]({'title':_0x2c9c0f(0xedb),'msg':_0x341956[_0x2c9c0f(0xe46)][_0x2c9c0f(0x19eb)]?_0x341956['freshsalesConfiguration'][_0x2c9c0f(0x19eb)]+_0x2c9c0f(0x1386):''}),_0x10bdbb(_0x49084c);})[_0x2a4cb6(0x129e)](function(_0x1ea1f1){const _0x63fc0=_0x2a4cb6;if(_0x1ea1f1[_0x63fc0(0x524)]&&_0x1ea1f1[_0x63fc0(0x524)][_0x63fc0(0xcef)]&&_0x1ea1f1[_0x63fc0(0x524)][_0x63fc0(0xcef)][_0x63fc0(0x402)]){_0x341956['errors']=_0x1ea1f1['data'][_0x63fc0(0xcef)]||[{'message':_0x1ea1f1[_0x63fc0(0xd5f)](),'type':_0x63fc0(0xbf5)}];for(let _0x1a4910=0x0;_0x1a4910<_0x1ea1f1[_0x63fc0(0x524)][_0x63fc0(0xcef)][_0x63fc0(0x402)];_0x1a4910+=0x1){_0x1b39ab[_0x63fc0(0x1980)]({'title':_0x1ea1f1['data']['errors'][_0x1a4910][_0x63fc0(0x1142)],'msg':_0x1ea1f1[_0x63fc0(0x524)][_0x63fc0(0xcef)][_0x1a4910][_0x63fc0(0x7fd)]});}}else _0x1b39ab[_0x63fc0(0x1980)]({'title':_0x1ea1f1['status']?_0x63fc0(0x262a)+_0x1ea1f1[_0x63fc0(0x107b)]+'\x20-\x20'+_0x1ea1f1['statusText']:'api.intFreshsalesConfiguration.save','msg':_0x1ea1f1[_0x63fc0(0x524)]?JSON[_0x63fc0(0x10bb)](_0x1ea1f1[_0x63fc0(0x524)][_0x63fc0(0x7fd)]):_0x1ea1f1['toString']()});});}function _0x4064c4(){const _0x1f066b=_0x1f6658;_0x341956[_0x1f066b(0xcef)]=[],_0x273db2[_0x1f066b(0xe76)][_0x1f066b(0x18e1)]({'id':_0x341956['freshsalesConfiguration']['id']},_0x341956['freshsalesConfiguration'])[_0x1f066b(0x2945)]['then'](function(_0x1a4e55){const _0x45b7ac=_0x1f066b,_0x147795=_0x3f65c0()[_0x45b7ac(0xc84)](_0x341956[_0x45b7ac(0x1723)],{'id':_0x1a4e55['id']});_0x147795&&_0x3f65c0()['merge'](_0x147795,_0x3f65c0()['pick'](_0x1a4e55[_0x45b7ac(0x2488)](),_0x3f65c0()[_0x45b7ac(0x627)](_0x147795))),_0x1b39ab[_0x45b7ac(0x1c75)]({'title':_0x45b7ac(0x13db),'msg':_0x341956[_0x45b7ac(0xe46)][_0x45b7ac(0x19eb)]?_0x341956[_0x45b7ac(0xe46)][_0x45b7ac(0x19eb)]+_0x45b7ac(0x24db):''}),_0x10bdbb(_0x1a4e55);})[_0x1f066b(0x129e)](function(_0x26228a){const _0x5d7217=_0x1f066b;if(_0x26228a[_0x5d7217(0x524)]&&_0x26228a['data']['errors']&&_0x26228a[_0x5d7217(0x524)]['errors'][_0x5d7217(0x402)]){_0x341956['errors']=_0x26228a[_0x5d7217(0x524)][_0x5d7217(0xcef)]||[{'message':_0x26228a[_0x5d7217(0xd5f)](),'type':_0x5d7217(0x180c)}];for(let _0x147afa=0x0;_0x147afa<_0x26228a[_0x5d7217(0x524)][_0x5d7217(0xcef)][_0x5d7217(0x402)];_0x147afa++){_0x1b39ab[_0x5d7217(0x1980)]({'title':_0x26228a[_0x5d7217(0x524)][_0x5d7217(0xcef)][_0x147afa][_0x5d7217(0x1142)],'msg':_0x26228a['data'][_0x5d7217(0xcef)][_0x147afa]['message']});}}else _0x1b39ab[_0x5d7217(0x1980)]({'title':_0x26228a[_0x5d7217(0x107b)]?'API:'+_0x26228a[_0x5d7217(0x107b)]+'\x20-\x20'+_0x26228a[_0x5d7217(0x167f)]:_0x5d7217(0x180c),'msg':_0x26228a[_0x5d7217(0x524)]?JSON[_0x5d7217(0x10bb)](_0x26228a[_0x5d7217(0x524)][_0x5d7217(0x7fd)]):_0x26228a['toString']()});});}function _0x45e5a3(_0x4a9e81){const _0x36c73b=_0x1f6658;_0x341956[_0x36c73b(0xcef)]=[];const _0x2a8bf2=_0x5569f7['confirm']()[_0x36c73b(0x1189)]('Are\x20you\x20sure?')['content'](_0x36c73b(0xfa8))['ariaLabel'](_0x36c73b(0x1cdc))['ok'](_0x36c73b(0x25de))[_0x36c73b(0x6c3)](_0x36c73b(0xcf0))['targetEvent'](_0x4a9e81);_0x5569f7[_0x36c73b(0x2615)](_0x2a8bf2)[_0x36c73b(0x146b)](function(){const _0x1314b9=_0x36c73b;_0x273db2[_0x1314b9(0xe76)]['delete']({'id':_0x341956[_0x1314b9(0xe46)]['id']})[_0x1314b9(0x2945)][_0x1314b9(0x146b)](function(){const _0x17bbc7=_0x1314b9;_0x3f65c0()[_0x17bbc7(0x2640)](_0x341956[_0x17bbc7(0x1723)],{'id':_0x341956[_0x17bbc7(0xe46)]['id']}),_0x1b39ab['success']({'title':_0x17bbc7(0x23a3),'msg':(_0x341956[_0x17bbc7(0xe46)][_0x17bbc7(0x19eb)]||'freshsalesConfiguration')+_0x17bbc7(0x23e3)}),_0x10bdbb(_0x341956[_0x17bbc7(0xe46)]);})[_0x1314b9(0x129e)](function(_0x5586db){const _0x43c06f=_0x1314b9;if(_0x5586db[_0x43c06f(0x524)]&&_0x5586db[_0x43c06f(0x524)][_0x43c06f(0xcef)]&&_0x5586db[_0x43c06f(0x524)]['errors'][_0x43c06f(0x402)]){_0x341956['errors']=_0x5586db[_0x43c06f(0x524)][_0x43c06f(0xcef)]||[{'message':_0x5586db[_0x43c06f(0xd5f)](),'type':_0x43c06f(0x13bd)}];for(let _0x3b778a=0x0;_0x3b778a<_0x5586db[_0x43c06f(0x524)][_0x43c06f(0xcef)][_0x43c06f(0x402)];_0x3b778a++){_0x1b39ab[_0x43c06f(0x1980)]({'title':_0x5586db[_0x43c06f(0x524)][_0x43c06f(0xcef)][_0x3b778a][_0x43c06f(0x1142)],'msg':_0x5586db['data']['errors'][_0x3b778a]['message']});}}else _0x1b39ab['error']({'title':_0x5586db[_0x43c06f(0x107b)]?'API:'+_0x5586db[_0x43c06f(0x107b)]+_0x43c06f(0x1315)+_0x5586db['statusText']:_0x43c06f(0x13bd),'msg':_0x5586db['data']?JSON[_0x43c06f(0x10bb)](_0x5586db[_0x43c06f(0x524)][_0x43c06f(0x7fd)]):_0x5586db[_0x43c06f(0x7fd)]||_0x5586db[_0x43c06f(0xd5f)]()});});},function(){});}function _0x25bc5c(_0xd61213){return _0xd61213===null?undefined:new Date(_0xd61213);}function _0x10bdbb(_0x4eaf51){const _0x173ac5=_0x1f6658;_0x5569f7[_0x173ac5(0x2458)](_0x4eaf51);}}const _0x250b10=_0x17aab6;;_0x30279b[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),_0x313a4d(0x1fe4),'configuration','items',_0x313a4d(0x2711),_0x313a4d(0x1f8e),_0x313a4d(0x1142),_0x313a4d(0x247f),_0x313a4d(0xa87),'crudPermissions'];function _0x30279b(_0x2f49e6,_0x21dcd6,_0x4ff513,_0x5188fd,_0x3f6f35,_0x508f67,_0x1df093,_0x1f17cf,_0x1a1cbd,_0x3021fd,_0x1848ef,_0x582640,_0x5cd6a7){const _0x3167ee=_0x313a4d,_0x14a3e3=this;_0x14a3e3[_0x3167ee(0x2321)]=_0x582640[_0x3167ee(0xb12)](),_0x14a3e3[_0x3167ee(0xcef)]=[],_0x14a3e3['title']=_0x3167ee(0x28ec)+_0x3f65c0()['toUpper'](_0x3021fd),_0x14a3e3['item']=angular[_0x3167ee(0x235a)](_0x1f17cf),_0x14a3e3['items']=_0x1df093,_0x14a3e3[_0x3167ee(0x1142)]=_0x3021fd,_0x14a3e3['crudPermissions']=_0x5cd6a7,_0x14a3e3[_0x3167ee(0x23cd)]=![];!_0x14a3e3[_0x3167ee(0x2711)]&&(_0x14a3e3[_0x3167ee(0x2711)]={'type':'string'},_0x14a3e3['item'][_0x3f65c0()['capitalize'](_0x3021fd)+'Id']=_0x508f67['id'],_0x14a3e3['title']='INTEGRATIONS.NEW_'+_0x3f65c0()['toUpper'](_0x3021fd),_0x14a3e3[_0x3167ee(0x23cd)]=!![]);_0x14a3e3[_0x3167ee(0x1e65)]=_0x4b08e6,_0x14a3e3[_0x3167ee(0x150e)]=_0x40cc21,_0x14a3e3[_0x3167ee(0xbaf)]=_0x18e3cb,_0x14a3e3[_0x3167ee(0x21b1)]=_0x599ec6,_0x14a3e3['closeDialog']=_0x48a0d9,_0x1848ef[_0x3167ee(0x212)][_0x3167ee(0x16b4)]({'nolimit':!![]})['$promise']['then'](function(_0x3b4403){const _0x348899=_0x3167ee;return _0x14a3e3[_0x348899(0x85b)]=_0x3b4403[_0x348899(0x19c7)]?_0x3b4403[_0x348899(0x19c7)]:[],_0x1848ef[_0x348899(0x1cb3)][_0x348899(0x1ea2)]()['$promise'];})[_0x3167ee(0x146b)](function(_0xcb966d){const _0x1a4428=_0x3167ee;return _0x14a3e3[_0x1a4428(0x1c8a)]=_0x3f65c0()['difference'](_0x3f65c0()[_0x1a4428(0x627)](_0xcb966d),[_0x1a4428(0x2945),_0x1a4428(0x26f2),_0x1a4428(0xc68),_0x1a4428(0x144f),_0x1a4428(0xc3b)]),_0x14a3e3['voiceAgentReportColumns'][_0x1a4428(0x1f47)](_0x1a4428(0x643)),_0x1848ef[_0x1a4428(0x160)][_0x1a4428(0x1ea2)]()[_0x1a4428(0x2945)];})[_0x3167ee(0x146b)](function(_0x4bbc71){const _0xab8388=_0x3167ee;_0x14a3e3[_0xab8388(0x164b)]=_0x3f65c0()[_0xab8388(0xa62)](_0x3f65c0()[_0xab8388(0x627)](_0x4bbc71),[_0xab8388(0x2945),'$resolved',_0xab8388(0xc68),_0xab8388(0x144f),'sourceid']),_0x14a3e3[_0xab8388(0x164b)][_0xab8388(0x1f47)](_0xab8388(0x643));})[_0x3167ee(0x129e)](function(_0x10bbc8){const _0x10e9fc=_0x3167ee;_0x3f6f35[_0x10e9fc(0x1980)]({'title':_0x10bbc8['status']?_0x10e9fc(0x262a)+_0x10bbc8[_0x10e9fc(0x107b)]+_0x10e9fc(0x1315)+_0x10bbc8[_0x10e9fc(0x167f)]:_0x10e9fc(0x502),'msg':_0x10bbc8[_0x10e9fc(0x524)]?JSON[_0x10e9fc(0x10bb)](_0x10bbc8[_0x10e9fc(0x524)]):_0x10bbc8[_0x10e9fc(0xd5f)]()});}),_0x1848ef[_0x3167ee(0x219f)][_0x3167ee(0x12ff)]({'id':_0x1a1cbd['id'],'ticketType':_0x508f67[_0x3167ee(0x21be)]?_0x508f67[_0x3167ee(0x21be)]:undefined})[_0x3167ee(0x2945)][_0x3167ee(0x146b)](function(_0x3634ca){const _0x311fd4=_0x3167ee;_0x14a3e3['accountConnected']=!![],_0x14a3e3[_0x311fd4(0x2867)]=_0x3634ca['rows']?_0x3634ca[_0x311fd4(0x19c7)]:[],_0x14a3e3[_0x311fd4(0x2711)][_0x311fd4(0x2912)]&&(_0x14a3e3[_0x311fd4(0x165e)]=_0x3f65c0()[_0x311fd4(0xc84)](_0x14a3e3[_0x311fd4(0x2867)],{'id':_0x14a3e3['item'][_0x311fd4(0x2912)]}));})[_0x3167ee(0x129e)](function(_0x539746){const _0x1ff276=_0x3167ee;_0x14a3e3[_0x1ff276(0x822)]=![],console[_0x1ff276(0x1980)](_0x539746);});function _0x4b08e6(){const _0xbd8801=_0x3167ee;_0x14a3e3[_0xbd8801(0xcef)]=[],_0x14a3e3[_0xbd8801(0x165e)]&&(_0x14a3e3[_0xbd8801(0x2711)][_0xbd8801(0x2912)]=_0x14a3e3[_0xbd8801(0x165e)]['id'],_0x14a3e3[_0xbd8801(0x2711)][_0xbd8801(0x25e3)]=_0x14a3e3[_0xbd8801(0x165e)][_0xbd8801(0x19eb)],_0x14a3e3[_0xbd8801(0x2711)][_0xbd8801(0x165e)]=_0x14a3e3[_0xbd8801(0x165e)][_0xbd8801(0x1802)]),_0x1848ef['intFreshsalesField'][_0xbd8801(0x1e3)](_0x14a3e3['item'])['$promise'][_0xbd8801(0x146b)](function(_0x552b9d){const _0x28c240=_0xbd8801;_0x14a3e3[_0x28c240(0x1fd6)][_0x28c240(0xb3d)](_0x552b9d),_0x3f6f35['success']({'title':_0x3f65c0()[_0x28c240(0x83f)](_0x3021fd)+_0x28c240(0x1637),'msg':_0x3f65c0()[_0x28c240(0x83f)](_0x3021fd)+_0x28c240(0x1386)}),_0x48a0d9();})['catch'](function(_0x43a73b){const _0x4362ae=_0xbd8801;console['error'](_0x43a73b),_0x14a3e3['errors']=_0x43a73b[_0x4362ae(0x524)][_0x4362ae(0xcef)]||[{'message':_0x43a73b[_0x4362ae(0xd5f)](),'type':_0x4362ae(0x1ec4)}];});}function _0x40cc21(){const _0xda8a57=_0x3167ee;_0x14a3e3[_0xda8a57(0xcef)]=[],_0x14a3e3[_0xda8a57(0x165e)]&&(_0x14a3e3[_0xda8a57(0x2711)][_0xda8a57(0x2912)]=_0x14a3e3[_0xda8a57(0x165e)]['id'],_0x14a3e3[_0xda8a57(0x2711)][_0xda8a57(0x25e3)]=_0x14a3e3[_0xda8a57(0x165e)][_0xda8a57(0x19eb)],_0x14a3e3['item'][_0xda8a57(0x165e)]=_0x14a3e3[_0xda8a57(0x165e)]['custom']),_0x1848ef['intFreshsalesField'][_0xda8a57(0x18e1)]({'id':_0x14a3e3[_0xda8a57(0x2711)]['id']},_0x14a3e3[_0xda8a57(0x2711)])[_0xda8a57(0x2945)][_0xda8a57(0x146b)](function(_0x3b7b33){const _0x561f1a=_0xda8a57,_0x1be5fd=_0x3f65c0()[_0x561f1a(0xc84)](_0x14a3e3[_0x561f1a(0x1fd6)],{'id':_0x3b7b33['id']});_0x1be5fd&&_0x3f65c0()['merge'](_0x1be5fd,_0x3b7b33),_0x3f6f35[_0x561f1a(0x1c75)]({'title':_0x3f65c0()[_0x561f1a(0x83f)](_0x3021fd)+'\x20properly\x20saved!','msg':_0x3f65c0()[_0x561f1a(0x83f)](_0x3021fd)+_0x561f1a(0x24db)}),_0x48a0d9();})[_0xda8a57(0x129e)](function(_0x2bf7db){const _0xcc3632=_0xda8a57;console['error'](_0x2bf7db),_0x14a3e3[_0xcc3632(0xcef)]=_0x2bf7db[_0xcc3632(0x524)][_0xcc3632(0xcef)]||[{'message':_0x2bf7db[_0xcc3632(0xd5f)](),'type':'api.intItem.update'}];});}function _0x18e3cb(_0x5839d7){const _0x597674=_0x3167ee;_0x14a3e3[_0x597674(0xcef)]=[];const _0x559293=_0x5188fd[_0x597674(0x1e8a)]()['title'](_0x597674(0x1d64))[_0x597674(0x80f)]('The\x20'+_0x3021fd+_0x597674(0xe01))[_0x597674(0x4bd)]('Delete\x20'+_0x3f65c0()[_0x597674(0x83f)](_0x3021fd))['ok'](_0x597674(0x25de))[_0x597674(0x6c3)]('Cancel')['targetEvent'](_0x5839d7);_0x5188fd[_0x597674(0x2615)](_0x559293)['then'](function(){const _0xcd2c04=_0x597674;_0x1848ef['intFreshsalesField'][_0xcd2c04(0x1fac)]({'id':_0x14a3e3[_0xcd2c04(0x2711)]['id']})[_0xcd2c04(0x2945)]['then'](function(){const _0x54a0a5=_0xcd2c04;_0x3f65c0()[_0x54a0a5(0x2640)](_0x14a3e3[_0x54a0a5(0x1fd6)],{'id':_0x14a3e3['item']['id']}),_0x3f6f35[_0x54a0a5(0x1c75)]({'title':_0x3f65c0()['capitalize'](_0x3021fd)+'\x20properly\x20deleted!','msg':_0x3f65c0()[_0x54a0a5(0x83f)](_0x3021fd)+_0x54a0a5(0x23e3)}),_0x48a0d9();})[_0xcd2c04(0x129e)](function(_0x2e2556){const _0x530d1b=_0xcd2c04;console[_0x530d1b(0x1980)](_0x2e2556),_0x14a3e3[_0x530d1b(0xcef)]=_0x2e2556[_0x530d1b(0x524)][_0x530d1b(0xcef)]||[{'message':_0x2e2556[_0x530d1b(0xd5f)](),'type':_0x530d1b(0x1cb0)}];});},function(){});}function _0x599ec6(){const _0x489207=_0x3167ee;return _0x14a3e3[_0x489207(0x2711)]['variableName']!==undefined?_0x14a3e3[_0x489207(0x2711)][_0x489207(0x97f)]:'Please\x20select\x20a\x20variable';}function _0x48a0d9(){const _0x1057f8=_0x3167ee;_0x5188fd[_0x1057f8(0x2458)]();}}const _0xbf3cff=_0x30279b;;_0x40f22e[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),_0x313a4d(0xd08),'$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x214b),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x247f),'toasty','Auth',_0x313a4d(0x158),_0x313a4d(0x1366)];function _0x40f22e(_0x1089bf,_0x57f6d6,_0x53fa06,_0x82a56f,_0x71f53,_0x163a4e,_0x5e5324,_0x37887d,_0xe27d39,_0x58703b,_0x1d8927,_0xb40b86){const _0x28ad7a=_0x313a4d,_0x5b3768=this;_0x5b3768[_0x28ad7a(0x2321)]=_0x58703b[_0x28ad7a(0xb12)](),_0x5b3768[_0x28ad7a(0x2690)]=_0x163a4e,_0x5b3768[_0x28ad7a(0x15b9)]=_0x5e5324,_0x5b3768[_0x28ad7a(0x2251)]=_0x5b3768[_0x28ad7a(0x15b9)][_0x28ad7a(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x5b3768['location']=_0x57f6d6[_0x28ad7a(0x2414)]()+_0x28ad7a(0xb0e)+_0x57f6d6[_0x28ad7a(0x148e)](),_0x5b3768[_0x28ad7a(0x158)]=_0x1d8927||_0x1089bf[_0x28ad7a(0x16a)]['freshsalesAccount']||{},_0x5b3768[_0x28ad7a(0x1366)]=_0xb40b86&&_0xb40b86[_0x28ad7a(0x51c)]==0x1?_0xb40b86[_0x28ad7a(0x19c7)][0x0]:null,_0x5b3768[_0x28ad7a(0x2514)]=_0x58703b[_0x28ad7a(0xe60)](_0x5b3768[_0x28ad7a(0x1366)]?_0x5b3768['userProfileSection'][_0x28ad7a(0x2514)]:null),_0x5b3768['hasModulePermissions']={},_0x5b3768[_0x28ad7a(0x1b09)]=_0x1089bf[_0x28ad7a(0x16a)]['tab']||0x0,_0x5b3768[_0x28ad7a(0x861)]=_0xe27d39['info'],_0x5b3768[_0x28ad7a(0x6ba)]=_0x58dd96,_0x5b3768[_0x28ad7a(0x6b6)]=_0x10e70b;function _0x58dd96(){const _0x1e527b=_0x28ad7a;_0x1089bf['go'](_0x1e527b(0x21f9),{},{'reload':_0x1e527b(0x21f9)});}function _0x10e70b(){const _0x28088a=_0x28ad7a;_0x37887d[_0x28088a(0x219f)][_0x28088a(0x18e1)]({'id':_0x5b3768[_0x28088a(0x158)]['id']},_0x5b3768[_0x28088a(0x158)])[_0x28088a(0x2945)][_0x28088a(0x146b)](function(){const _0xb8179b=_0x28088a;_0xe27d39[_0xb8179b(0x1c75)]({'title':_0xb8179b(0x13af),'msg':_0x5b3768[_0xb8179b(0x158)][_0xb8179b(0x19eb)]?_0x5b3768[_0xb8179b(0x158)][_0xb8179b(0x19eb)]+_0xb8179b(0x6b0):''});})[_0x28088a(0x129e)](function(_0x2e4104){const _0x50c53a=_0x28088a;_0xe27d39[_0x50c53a(0x1980)]({'title':_0x2e4104[_0x50c53a(0x107b)]?_0x50c53a(0x262a)+_0x2e4104[_0x50c53a(0x107b)]+_0x50c53a(0x1315)+_0x2e4104[_0x50c53a(0x167f)]:'SYSTEM:GETintFreshsalesAccount','msg':_0x2e4104[_0x50c53a(0x524)]?JSON[_0x50c53a(0x10bb)](_0x2e4104[_0x50c53a(0x524)]):_0x2e4104[_0x50c53a(0xd5f)]()});});}}const _0x944201=_0x40f22e;;const _0x3f0965=_0x4acfac['p']+_0x313a4d(0x1e22);;_0x157312['$inject']=['$scope',_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),'$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0x214b),'freshsalesAccounts',_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x157312(_0x2c66f1,_0x53b290,_0x1d5bb5,_0xc67b06,_0x4732e1,_0x22dcba,_0x125afd,_0x1ac2b0,_0x16aacc,_0x105ae2,_0x4d44b3,_0x3ac5a9,_0x4dc826,_0x430863,_0xa26960,_0x12bc39,_0x3937eb){const _0xf292c=_0x313a4d,_0x45c467=this;_0x45c467[_0xf292c(0x2690)]=_0x12bc39,_0x45c467[_0xf292c(0x15b9)]=_0x3937eb,_0x45c467[_0xf292c(0x2321)]=_0xa26960[_0xf292c(0xb12)](),_0x45c467[_0xf292c(0x16b6)]=_0x16aacc||{'count':0x0,'rows':[]},_0x45c467[_0xf292c(0x26b6)]=_0x105ae2,_0x45c467[_0xf292c(0x1366)]=_0x4d44b3&&_0x4d44b3[_0xf292c(0x51c)]==0x1?_0x4d44b3[_0xf292c(0x19c7)][0x0]:null,_0x45c467[_0xf292c(0x2514)]=_0xa26960[_0xf292c(0xe60)](_0x45c467[_0xf292c(0x1366)]?_0x45c467['userProfileSection'][_0xf292c(0x2514)]:null),_0x45c467['table']=_0xf292c(0x16b6),_0x45c467[_0xf292c(0x216a)]='',_0x45c467[_0xf292c(0x214f)]=null,_0x45c467[_0xf292c(0x3d9)]=[],_0x45c467[_0xf292c(0x1a56)]={'fields':_0xf292c(0xd82),'limit':0xa,'page':0x1},_0x45c467[_0xf292c(0x23f9)]=_0xad0ff7,_0x45c467[_0xf292c(0x1e60)]=_0x1ce1b8,_0x45c467[_0xf292c(0xf0a)]=_0x3a7d3b,_0x45c467[_0xf292c(0x1c75)]=_0x1e0000,_0x45c467['getFreshsalesAccounts']=_0x1c3101,_0x45c467[_0xf292c(0x262d)]=_0x1baf6e,_0x45c467['deleteFreshsalesAccount']=_0x41312e,_0x45c467['exportSelectedFreshsalesAccounts']=_0x3af367,_0x45c467['deleteSelectedFreshsalesAccounts']=_0x16a213,_0x45c467[_0xf292c(0x26d6)]=_0x2c8f87,_0x45c467[_0xf292c(0x1aa1)]=_0x4fcbe9;function _0xad0ff7(_0x70ef0e){const _0x4267c0=_0xf292c;_0x1d5bb5['go'](_0x4267c0(0x2946),{'id':_0x70ef0e['id'],'freshsalesAccount':_0x70ef0e,'crudPermissions':_0x45c467['crudPermissions']});}function _0x1ce1b8(_0x1c7f8d){const _0x15d1d0=_0xf292c;return _0x3ac5a9[_0x15d1d0(0x219f)][_0x15d1d0(0x12ff)]({'id':_0x1c7f8d['id'],'test':!![]})[_0x15d1d0(0x2945)][_0x15d1d0(0x146b)](function(){const _0x4540b8=_0x15d1d0;_0x430863[_0x4540b8(0x1c75)]({'title':_0x4540b8(0x1375),'msg':_0x4540b8(0x1893)});})[_0x15d1d0(0x129e)](function(_0xeae136){const _0x241acc=_0x15d1d0;_0x430863['error']({'title':_0x241acc(0x1375),'msg':_0xeae136[_0x241acc(0x524)]?_0xeae136[_0x241acc(0x524)][_0x241acc(0x7fd)]?_0xeae136[_0x241acc(0x524)][_0x241acc(0x7fd)]:JSON[_0x241acc(0x10bb)](_0xeae136[_0x241acc(0x524)]):_0xeae136['toString']()});});}function _0x3a7d3b(_0x470356,_0xc8735c){const _0xc03ea6=_0xf292c,_0xfd9ce8=_0x4732e1[_0xc03ea6(0x1e8a)]()[_0xc03ea6(0x1189)](_0xc03ea6(0xdb2)+_0x3f65c0()[_0xc03ea6(0x20d1)](_0xc03ea6(0x158))+'?')['htmlContent'](_0xc03ea6(0x16d3)+(_0x470356['name']||_0xc03ea6(0x158))+_0xc03ea6(0x252f)+_0xc03ea6(0xe01))[_0xc03ea6(0x4bd)](_0xc03ea6(0x252a))[_0xc03ea6(0x1f27)](_0xc8735c)['ok']('OK')[_0xc03ea6(0x6c3)](_0xc03ea6(0x39a));_0x4732e1[_0xc03ea6(0x2615)](_0xfd9ce8)[_0xc03ea6(0x146b)](function(){_0x41312e(_0x470356);},function(){const _0x2e61ed=_0xc03ea6;console[_0x2e61ed(0x1a74)](_0x2e61ed(0x39a));});}let _0x33d9bd=!![],_0x4147a2=0x1;_0x2c66f1[_0xf292c(0x21e8)](_0xf292c(0x2669),function(_0x1219a6,_0x512e58){const _0x252e08=_0xf292c;_0x33d9bd?_0x125afd(function(){_0x33d9bd=![];}):(!_0x512e58&&(_0x4147a2=_0x45c467['query'][_0x252e08(0x844)]),_0x1219a6!==_0x512e58&&(_0x45c467[_0x252e08(0x1a56)][_0x252e08(0x844)]=0x1),!_0x1219a6&&(_0x45c467[_0x252e08(0x1a56)][_0x252e08(0x844)]=_0x4147a2),_0x45c467['getFreshsalesAccounts']());});function _0x1e0000(_0x2c5ff4){const _0x36fc66=_0xf292c;_0x45c467[_0x36fc66(0x16b6)]=_0x2c5ff4||{'count':0x0,'rows':[]};}function _0x1c3101(){const _0x1706bc=_0xf292c;_0x45c467[_0x1706bc(0x1a56)]['offset']=(_0x45c467[_0x1706bc(0x1a56)][_0x1706bc(0x844)]-0x1)*_0x45c467[_0x1706bc(0x1a56)][_0x1706bc(0x221e)],_0xa26960['hasRole'](_0x1706bc(0x174b))?_0x45c467[_0x1706bc(0xb9c)]=_0x3ac5a9[_0x1706bc(0x219f)][_0x1706bc(0x16b4)](_0x45c467['query'],_0x1e0000)[_0x1706bc(0x2945)]:(_0x45c467['query']['id']=_0x45c467[_0x1706bc(0x26b6)]['id'],_0x45c467[_0x1706bc(0x1a56)][_0x1706bc(0x2146)]=_0x1706bc(0x185a),_0x45c467[_0x1706bc(0xb9c)]=_0x3ac5a9[_0x1706bc(0x26b6)][_0x1706bc(0x158f)](_0x45c467['query'],_0x1e0000)[_0x1706bc(0x2945)]);}function _0x1baf6e(_0x177450,_0xb12994){const _0x4ba66a=_0xf292c;_0x4732e1[_0x4ba66a(0x2615)]({'controller':_0x4ba66a(0x61e),'controllerAs':'vm','templateUrl':_0x3f0965,'parent':angular[_0x4ba66a(0x1853)](_0x22dcba['body']),'targetEvent':_0x177450,'clickOutsideToClose':!![],'locals':{'freshsalesAccount':_0xb12994,'freshsalesAccounts':_0x45c467[_0x4ba66a(0x16b6)]['rows'],'license':_0x45c467[_0x4ba66a(0x2690)],'setting':_0x45c467[_0x4ba66a(0x15b9)],'crudPermissions':_0x45c467['crudPermissions']}});}function _0x41312e(_0x411e52){const _0x28958b=_0xf292c;_0x3ac5a9[_0x28958b(0x219f)][_0x28958b(0x1fac)]({'id':_0x411e52['id']})[_0x28958b(0x2945)][_0x28958b(0x146b)](function(){const _0xc78fe3=_0x28958b;_0x3f65c0()[_0xc78fe3(0x2640)](_0x45c467[_0xc78fe3(0x16b6)][_0xc78fe3(0x19c7)],{'id':_0x411e52['id']}),_0x45c467[_0xc78fe3(0x16b6)]['count']-=0x1,!_0x45c467['freshsalesAccounts']['rows'][_0xc78fe3(0x402)]&&_0x45c467[_0xc78fe3(0x1eee)](),_0x430863[_0xc78fe3(0x1c75)]({'title':_0x3f65c0()[_0xc78fe3(0x20d1)](_0xc78fe3(0xc77))+_0xc78fe3(0x201c),'msg':_0x411e52[_0xc78fe3(0x19eb)]?_0x411e52[_0xc78fe3(0x19eb)]+_0xc78fe3(0x23e3):''});})[_0x28958b(0x129e)](function(_0x2ff8c5){const _0x2ad3fd=_0x28958b;if(_0x2ff8c5['data']&&_0x2ff8c5[_0x2ad3fd(0x524)][_0x2ad3fd(0xcef)]&&_0x2ff8c5[_0x2ad3fd(0x524)]['errors'][_0x2ad3fd(0x402)]){_0x45c467[_0x2ad3fd(0xcef)]=_0x2ff8c5['data'][_0x2ad3fd(0xcef)]||[{'message':_0x2ff8c5[_0x2ad3fd(0xd5f)](),'type':_0x2ad3fd(0x7e4)}];for(let _0x2abcef=0x0;_0x2abcef<_0x2ff8c5['data'][_0x2ad3fd(0xcef)][_0x2ad3fd(0x402)];_0x2abcef++){_0x430863[_0x2ad3fd(0x1980)]({'title':_0x2ff8c5[_0x2ad3fd(0x524)]['errors'][_0x2abcef]['type'],'msg':_0x2ff8c5[_0x2ad3fd(0x524)][_0x2ad3fd(0xcef)][_0x2abcef]['message']});}}else _0x430863[_0x2ad3fd(0x1980)]({'title':_0x2ff8c5[_0x2ad3fd(0x107b)]?_0x2ad3fd(0x262a)+_0x2ff8c5[_0x2ad3fd(0x107b)]+_0x2ad3fd(0x1315)+_0x2ff8c5[_0x2ad3fd(0x167f)]:_0x2ad3fd(0x7e4),'msg':_0x2ff8c5[_0x2ad3fd(0x524)]?JSON[_0x2ad3fd(0x10bb)](_0x2ff8c5[_0x2ad3fd(0x524)][_0x2ad3fd(0x7fd)]):_0x2ff8c5[_0x2ad3fd(0x7fd)]||_0x2ff8c5['toString']()});});}function _0x3af367(){const _0x27a4a8=_0xf292c,_0x53836a=angular['copy'](_0x45c467['selectedFreshsalesAccounts']);return _0x45c467[_0x27a4a8(0x3d9)]=[],_0x53836a;}function _0x16a213(_0x4618d5){const _0x3d361f=_0xf292c,_0x15ede8=_0x4732e1[_0x3d361f(0x1e8a)]()['title'](_0x3d361f(0x2077))[_0x3d361f(0x1cbe)](_0x3d361f(0x16d3)+_0x45c467[_0x3d361f(0x3d9)]['length']+'\x20selected'+_0x3d361f(0xe01))['ariaLabel'](_0x3d361f(0x1884))[_0x3d361f(0x1f27)](_0x4618d5)['ok']('OK')['cancel'](_0x3d361f(0x39a));_0x4732e1[_0x3d361f(0x2615)](_0x15ede8)[_0x3d361f(0x146b)](function(){const _0x4b484d=_0x3d361f;_0x45c467['selectedFreshsalesAccounts'][_0x4b484d(0x1df5)](function(_0xd751a){_0x41312e(_0xd751a);}),_0x45c467[_0x4b484d(0x3d9)]=[];});}function _0x2c8f87(){const _0x5d78c6=_0xf292c;_0x45c467[_0x5d78c6(0x3d9)]=[];}function _0x4fcbe9(){const _0xbe475a=_0xf292c;_0x45c467[_0xbe475a(0x3d9)]=_0x45c467[_0xbe475a(0x16b6)][_0xbe475a(0x19c7)];}}const _0x8d5f5f=_0x157312;;_0x1ae921[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q','$translate',_0x313a4d(0x1fe4),_0x313a4d(0x24eb),'salesforceAccount','api',_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),'crudPermissions'];function _0x1ae921(_0x17997c,_0x4b5351,_0x333137,_0x4f9eab,_0x5603cd,_0x205896,_0x2b83b4,_0x3f9e36,_0x2fb44f,_0x5bd020,_0x31a660,_0x400312,_0x1f75b2,_0x50bc39){const _0x5e3759=_0x313a4d,_0x438033=this;_0x438033[_0x5e3759(0x2321)]=_0x31a660[_0x5e3759(0xb12)](),_0x438033[_0x5e3759(0xcef)]=[],_0x438033[_0x5e3759(0x15b9)]=_0x1f75b2,_0x438033[_0x5e3759(0x2690)]=_0x400312,_0x438033[_0x5e3759(0x2514)]=_0x50bc39,_0x438033['hasModulePermissions']={},_0x438033[_0x5e3759(0x2251)]=_0x438033[_0x5e3759(0x15b9)]&&_0x438033[_0x5e3759(0x15b9)][_0x5e3759(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x438033[_0x5e3759(0x1189)]=_0x5e3759(0x2308),_0x438033['salesforceAccount']=angular[_0x5e3759(0x235a)](_0x2fb44f),_0x438033[_0x5e3759(0x24eb)]=_0x3f9e36,_0x438033['newSalesforceAccount']=![];!_0x438033[_0x5e3759(0x11e6)]&&(_0x438033[_0x5e3759(0x11e6)]={'type':_0x5e3759(0xb4b),'serverUrl':_0x333137['protocol']()+_0x5e3759(0xb0e)+_0x333137[_0x5e3759(0x148e)]()+(_0x333137[_0x5e3759(0x1553)]()?':'+_0x333137[_0x5e3759(0x1553)]():'')},_0x438033[_0x5e3759(0x1189)]=_0x5e3759(0x479),_0x438033[_0x5e3759(0xc6d)]=!![]);_0x438033['addNewSalesforceAccount']=_0x8ad4a0,_0x438033[_0x5e3759(0x20bf)]=_0x363a0d,_0x438033[_0x5e3759(0x32f)]=_0x26854b,_0x438033[_0x5e3759(0xe73)]=_0x50c633,_0x438033['closeDialog']=_0x19d304;function _0x8ad4a0(){const _0x1015a6=_0x5e3759;_0x438033[_0x1015a6(0xcef)]=[],_0x5bd020['intSalesforceAccount']['save'](_0x438033[_0x1015a6(0x11e6)])[_0x1015a6(0x2945)][_0x1015a6(0x146b)](function(_0x144821){const _0x36aad0=_0x1015a6;_0x438033[_0x36aad0(0x24eb)][_0x36aad0(0xb3d)](_0x144821[_0x36aad0(0x2488)]()),_0x2b83b4[_0x36aad0(0x1c75)]({'title':_0x36aad0(0x1875),'msg':_0x438033['salesforceAccount'][_0x36aad0(0x19eb)]?_0x438033['salesforceAccount'][_0x36aad0(0x19eb)]+_0x36aad0(0x1386):''}),_0x19d304(_0x144821);})[_0x1015a6(0x129e)](function(_0x2ba501){const _0x34b591=_0x1015a6;if(_0x2ba501[_0x34b591(0x524)]&&_0x2ba501['data'][_0x34b591(0xcef)]&&_0x2ba501['data']['errors']['length']){_0x438033['errors']=_0x2ba501[_0x34b591(0x524)][_0x34b591(0xcef)]||[{'message':_0x2ba501[_0x34b591(0xd5f)](),'type':'api.intSalesforceAccount.save'}];for(let _0x4beadd=0x0;_0x4beadd<_0x2ba501['data'][_0x34b591(0xcef)][_0x34b591(0x402)];_0x4beadd+=0x1){_0x2b83b4['error']({'title':_0x2ba501[_0x34b591(0x524)]['errors'][_0x4beadd][_0x34b591(0x1142)],'msg':_0x2ba501['data'][_0x34b591(0xcef)][_0x4beadd]['message']});}}else _0x2b83b4['error']({'title':_0x2ba501[_0x34b591(0x107b)]?_0x34b591(0x262a)+_0x2ba501[_0x34b591(0x107b)]+_0x34b591(0x1315)+_0x2ba501[_0x34b591(0x167f)]:'api.intSalesforceAccount.save','msg':_0x2ba501[_0x34b591(0x524)]?JSON[_0x34b591(0x10bb)](_0x2ba501[_0x34b591(0x524)][_0x34b591(0x7fd)]):_0x2ba501['toString']()});});}function _0x363a0d(){const _0x479b71=_0x5e3759;_0x438033[_0x479b71(0xcef)]=[],_0x5bd020['intSalesforceAccount']['update']({'id':_0x438033[_0x479b71(0x11e6)]['id']},_0x438033['salesforceAccount'])[_0x479b71(0x2945)][_0x479b71(0x146b)](function(_0x35a1cb){const _0x103e5d=_0x479b71,_0x24bfe7=_0x3f65c0()[_0x103e5d(0xc84)](_0x438033[_0x103e5d(0x24eb)],{'id':_0x35a1cb['id']});_0x24bfe7&&_0x3f65c0()[_0x103e5d(0x168d)](_0x24bfe7,_0x3f65c0()[_0x103e5d(0x40e)](_0x35a1cb['toJSON'](),_0x3f65c0()[_0x103e5d(0x627)](_0x24bfe7))),_0x2b83b4['success']({'title':'SalesforceAccount\x20properly\x20saved!','msg':_0x438033['salesforceAccount'][_0x103e5d(0x19eb)]?_0x438033[_0x103e5d(0x11e6)]['name']+_0x103e5d(0x24db):''}),_0x19d304(_0x35a1cb);})['catch'](function(_0x3b53b7){const _0xd1d05a=_0x479b71;if(_0x3b53b7['data']&&_0x3b53b7[_0xd1d05a(0x524)][_0xd1d05a(0xcef)]&&_0x3b53b7[_0xd1d05a(0x524)][_0xd1d05a(0xcef)][_0xd1d05a(0x402)]){_0x438033[_0xd1d05a(0xcef)]=_0x3b53b7[_0xd1d05a(0x524)]['errors']||[{'message':_0x3b53b7[_0xd1d05a(0xd5f)](),'type':_0xd1d05a(0x246f)}];for(let _0x461fe2=0x0;_0x461fe2<_0x3b53b7[_0xd1d05a(0x524)][_0xd1d05a(0xcef)]['length'];_0x461fe2++){_0x2b83b4[_0xd1d05a(0x1980)]({'title':_0x3b53b7[_0xd1d05a(0x524)][_0xd1d05a(0xcef)][_0x461fe2]['type'],'msg':_0x3b53b7[_0xd1d05a(0x524)]['errors'][_0x461fe2]['message']});}}else _0x2b83b4[_0xd1d05a(0x1980)]({'title':_0x3b53b7[_0xd1d05a(0x107b)]?_0xd1d05a(0x262a)+_0x3b53b7[_0xd1d05a(0x107b)]+'\x20-\x20'+_0x3b53b7['statusText']:_0xd1d05a(0x246f),'msg':_0x3b53b7['data']?JSON[_0xd1d05a(0x10bb)](_0x3b53b7[_0xd1d05a(0x524)][_0xd1d05a(0x7fd)]):_0x3b53b7[_0xd1d05a(0xd5f)]()});});}function _0x26854b(_0x545888){const _0x4ab943=_0x5e3759;_0x438033[_0x4ab943(0xcef)]=[];const _0x32929d=_0x4f9eab['confirm']()[_0x4ab943(0x1189)](_0x4ab943(0x1d64))[_0x4ab943(0x80f)](_0x4ab943(0x156e))[_0x4ab943(0x4bd)]('Delete\x20SalesforceAccount')['ok'](_0x4ab943(0x25de))[_0x4ab943(0x6c3)](_0x4ab943(0xcf0))['targetEvent'](_0x545888);_0x4f9eab[_0x4ab943(0x2615)](_0x32929d)[_0x4ab943(0x146b)](function(){const _0x41bc50=_0x4ab943;_0x5bd020['intSalesforceAccount'][_0x41bc50(0x1fac)]({'id':_0x438033[_0x41bc50(0x11e6)]['id']})[_0x41bc50(0x2945)][_0x41bc50(0x146b)](function(){const _0x11b9d5=_0x41bc50;_0x3f65c0()['remove'](_0x438033[_0x11b9d5(0x24eb)],{'id':_0x438033[_0x11b9d5(0x11e6)]['id']}),_0x2b83b4[_0x11b9d5(0x1c75)]({'title':_0x11b9d5(0xebf),'msg':(_0x438033[_0x11b9d5(0x11e6)][_0x11b9d5(0x19eb)]||_0x11b9d5(0x11e6))+_0x11b9d5(0x23e3)}),_0x19d304(_0x438033[_0x11b9d5(0x11e6)]);})[_0x41bc50(0x129e)](function(_0x29bc0f){const _0x5793df=_0x41bc50;if(_0x29bc0f[_0x5793df(0x524)]&&_0x29bc0f['data'][_0x5793df(0xcef)]&&_0x29bc0f[_0x5793df(0x524)][_0x5793df(0xcef)][_0x5793df(0x402)]){_0x438033[_0x5793df(0xcef)]=_0x29bc0f['data']['errors']||[{'message':_0x29bc0f[_0x5793df(0xd5f)](),'type':_0x5793df(0x161)}];for(let _0x40275f=0x0;_0x40275f<_0x29bc0f[_0x5793df(0x524)][_0x5793df(0xcef)]['length'];_0x40275f++){_0x2b83b4[_0x5793df(0x1980)]({'title':_0x29bc0f[_0x5793df(0x524)][_0x5793df(0xcef)][_0x40275f][_0x5793df(0x1142)],'msg':_0x29bc0f['data'][_0x5793df(0xcef)][_0x40275f][_0x5793df(0x7fd)]});}}else _0x2b83b4[_0x5793df(0x1980)]({'title':_0x29bc0f[_0x5793df(0x107b)]?_0x5793df(0x262a)+_0x29bc0f[_0x5793df(0x107b)]+_0x5793df(0x1315)+_0x29bc0f['statusText']:_0x5793df(0x161),'msg':_0x29bc0f[_0x5793df(0x524)]?JSON[_0x5793df(0x10bb)](_0x29bc0f[_0x5793df(0x524)]['message']):_0x29bc0f[_0x5793df(0x7fd)]||_0x29bc0f[_0x5793df(0xd5f)]()});});},function(){});}function _0x50c633(_0x44a481){return _0x44a481===null?undefined:new Date(_0x44a481);}function _0x19d304(_0x29f4a9){const _0x53803a=_0x5e3759;_0x4f9eab[_0x53803a(0x2458)](_0x29f4a9);}}const _0x4931d5=_0x1ae921;;const _0x3f050e=_0x4acfac['p']+_0x313a4d(0xf99);;const _0x26b142=_0x4acfac['p']+_0x313a4d(0x2377);;_0xa9c4d4['$inject']=[_0x313a4d(0x10e8),'$document',_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87)];function _0xa9c4d4(_0x4fe4f4,_0x3f7218,_0xc2a841,_0x14adb2,_0x3852fc){const _0x537a7d=_0x313a4d,_0xee4c22=this;_0xee4c22[_0x537a7d(0x2321)]=_0x3852fc[_0x537a7d(0xb12)](),_0xee4c22[_0x537a7d(0x11e6)]={},_0xee4c22[_0x537a7d(0x1fea)]={},_0xee4c22[_0x537a7d(0x1723)]=[],_0xee4c22[_0x537a7d(0x204c)]=-0x1,_0xee4c22['crudPermissions'],_0xee4c22[_0x537a7d(0x5aa)]=_0x277339,_0xee4c22['getSalesforceConfiguration']=_0x44f023,_0xee4c22[_0x537a7d(0x2736)]=_0x19df85,_0xee4c22[_0x537a7d(0x770)]=_0x6e3b00,_0xee4c22['selectChangedAdvanced']=_0x342378;function _0x342378(){const _0x503818=_0x537a7d;return _0xc2a841['intSalesforceConfiguration'][_0x503818(0x18e1)]({'id':_0xee4c22[_0x503818(0x1fea)]['id']},_0xee4c22[_0x503818(0x1fea)])['$promise']['then'](function(){const _0xe51663=_0x503818;_0x14adb2[_0xe51663(0x1c75)]({'title':_0xe51663(0x1673),'msg':_0xe51663(0x116)});})['catch'](function(_0x4a986f){const _0x52d6b2=_0x503818;_0x14adb2[_0x52d6b2(0x1980)]({'title':_0x4a986f[_0x52d6b2(0x107b)]?'API:'+_0x4a986f[_0x52d6b2(0x107b)]+_0x52d6b2(0x1315)+_0x4a986f[_0x52d6b2(0x167f)]:_0x52d6b2(0x203b),'msg':_0x4a986f['data']?JSON['stringify'](_0x4a986f[_0x52d6b2(0x524)]):_0x4a986f[_0x52d6b2(0xd5f)]()});});}function _0x277339(_0x28a7b9,_0x4173cc){const _0x295e60=_0x537a7d;_0xee4c22[_0x295e60(0x11e6)]=_0x28a7b9,_0xee4c22[_0x295e60(0x2514)]=typeof _0x4173cc!==_0x295e60(0x2274)?_0x4173cc:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0xc2a841[_0x295e60(0x1fa4)][_0x295e60(0xeb)]({'id':_0xee4c22[_0x295e60(0x11e6)]['id'],'sort':_0x295e60(0x12f2)})['$promise'][_0x295e60(0x146b)](function(_0x464a23){const _0x16aef9=_0x295e60;return _0xee4c22[_0x16aef9(0x1723)]=_0x464a23['rows']?_0x464a23[_0x16aef9(0x19c7)]:[],_0xee4c22[_0x16aef9(0x1723)]['length']&&_0xee4c22[_0x16aef9(0x86e)](0x0),_0xc2a841[_0x16aef9(0x212)][_0x16aef9(0x16b4)]({'nolimit':!![],'sort':_0x16aef9(0x19eb)})[_0x16aef9(0x2945)];})[_0x295e60(0x146b)](function(_0x538c87){const _0x1c15f3=_0x295e60;_0xee4c22[_0x1c15f3(0x85b)]=_0x538c87[_0x1c15f3(0x19c7)]?_0x538c87[_0x1c15f3(0x19c7)]:[],_0xee4c22[_0x1c15f3(0x80c)]=_0x3f65c0()[_0x1c15f3(0x194)](_0xee4c22['variables'],'id');})[_0x295e60(0x129e)](function(_0x2271f7){const _0x3cac02=_0x295e60;_0x14adb2[_0x3cac02(0x1980)]({'title':_0x2271f7[_0x3cac02(0x107b)]?_0x3cac02(0x262a)+_0x2271f7['status']+_0x3cac02(0x1315)+_0x2271f7[_0x3cac02(0x167f)]:_0x3cac02(0x9e7),'msg':_0x2271f7[_0x3cac02(0x524)]?JSON[_0x3cac02(0x10bb)](_0x2271f7[_0x3cac02(0x524)]):_0x2271f7[_0x3cac02(0xd5f)]()});});}function _0x44f023(_0x43f065){const _0x40cb18=_0x537a7d;_0xee4c22['salesforceConfiguration']=_0xee4c22['configurations'][_0x43f065],_0xee4c22[_0x40cb18(0x204c)]=_0x43f065,_0xc2a841['intSalesforceConfiguration'][_0x40cb18(0x18ee)]({'id':_0xee4c22[_0x40cb18(0x1fea)]['id']})[_0x40cb18(0x2945)][_0x40cb18(0x146b)](function(_0x2a646d){const _0x26a800=_0x40cb18;return _0xee4c22[_0x26a800(0x3c8)]=_0x2a646d[_0x26a800(0x19c7)]?_0x2a646d[_0x26a800(0x19c7)]:[],_0xc2a841[_0x26a800(0x1e6)][_0x26a800(0xc2a)]({'id':_0xee4c22[_0x26a800(0x1fea)]['id']})[_0x26a800(0x2945)];})[_0x40cb18(0x146b)](function(_0x232662){const _0x5be09d=_0x40cb18;return _0xee4c22['descriptions']=_0x232662[_0x5be09d(0x19c7)]?_0x232662['rows']:[],_0xc2a841[_0x5be09d(0x1e6)][_0x5be09d(0x12ff)]({'id':_0xee4c22['salesforceConfiguration']['id']})[_0x5be09d(0x2945)];})[_0x40cb18(0x146b)](function(_0x1ba90c){const _0x270018=_0x40cb18;_0xee4c22[_0x270018(0x2867)]=_0x1ba90c[_0x270018(0x19c7)]?_0x1ba90c[_0x270018(0x19c7)]:[];})[_0x40cb18(0x129e)](function(_0x408d69){const _0x44570e=_0x40cb18;_0x14adb2[_0x44570e(0x1980)]({'title':_0x408d69['status']?_0x44570e(0x262a)+_0x408d69[_0x44570e(0x107b)]+_0x44570e(0x1315)+_0x408d69['statusText']:_0x44570e(0x6ca),'msg':_0x408d69[_0x44570e(0x524)]?JSON[_0x44570e(0x10bb)](_0x408d69[_0x44570e(0x524)]):_0x408d69[_0x44570e(0xd5f)]()});});}function _0x19df85(_0x186bae,_0x513b7a){const _0x235740=_0x537a7d;_0x4fe4f4[_0x235740(0x2615)]({'controller':_0x235740(0x73f),'controllerAs':'vm','templateUrl':_0x3f050e,'parent':angular[_0x235740(0x1853)](_0x3f7218[_0x235740(0x2586)]),'targetEvent':_0x186bae,'clickOutsideToClose':!![],'locals':{'salesforceConfiguration':_0x513b7a,'configurations':_0xee4c22['configurations'],'license':null,'setting':null,'crudPermissions':_0xee4c22['crudPermissions']}})['finally'](function(){const _0x47b483=_0x235740;_0xee4c22[_0x47b483(0x86e)](0x0);});}function _0x6e3b00(_0x546760,_0x465ba7){const _0x2c2f96=_0x537a7d,_0xbdf81f=_0x4fe4f4['confirm']()[_0x2c2f96(0x1189)](_0x2c2f96(0x35e))['htmlContent'](_0x2c2f96(0x16d3)+(_0x465ba7['name']||'salesforceConfiguration')+''+_0x2c2f96(0xe01))[_0x2c2f96(0x4bd)]('delete\x20salesforceConfiguration')[_0x2c2f96(0x1f27)](_0x546760)['ok']('OK')[_0x2c2f96(0x6c3)]('CANCEL');_0x4fe4f4[_0x2c2f96(0x2615)](_0xbdf81f)[_0x2c2f96(0x146b)](function(){const _0x388ab1=_0x2c2f96;_0xc2a841['intSalesforceConfiguration'][_0x388ab1(0x1fac)]({'id':_0x465ba7['id']})[_0x388ab1(0x2945)][_0x388ab1(0x146b)](function(){const _0x27c664=_0x388ab1;_0x3f65c0()[_0x27c664(0x2640)](_0xee4c22[_0x27c664(0x1723)],{'id':_0x465ba7['id']}),_0x14adb2['success']({'title':'SalesforceConfiguration\x20deleted!','msg':_0x465ba7['name']?_0x465ba7[_0x27c664(0x19eb)]+'\x20has\x20been\x20deleted!':''});})[_0x388ab1(0x129e)](function(_0x43725b){const _0x23807d=_0x388ab1;_0x14adb2[_0x23807d(0x1980)]({'title':_0x43725b[_0x23807d(0x107b)]?_0x23807d(0x262a)+_0x43725b['status']+_0x23807d(0x1315)+_0x43725b[_0x23807d(0x167f)]:_0x23807d(0xff1),'msg':_0x43725b[_0x23807d(0x524)]?JSON[_0x23807d(0x10bb)](_0x43725b[_0x23807d(0x524)]):_0x43725b[_0x23807d(0xd5f)]()});})['finally'](function(){const _0x475251=_0x388ab1;_0xee4c22[_0x475251(0x86e)](0x0);});},function(){const _0x48257f=_0x2c2f96;console[_0x48257f(0x1a74)](_0x48257f(0x39a));});}_0xee4c22[_0x537a7d(0x15a6)]=[],_0xee4c22[_0x537a7d(0x2665)]=_0x2fd1fe,_0xee4c22['deleteSubjectConfirm']=_0x45f6c5,_0xee4c22[_0x537a7d(0x1777)]=_0x92f784;function _0x2fd1fe(_0x49d045,_0x4ecd2d){const _0x430bdd=_0x537a7d;_0x4fe4f4[_0x430bdd(0x2615)]({'controller':_0x430bdd(0x4ad),'controllerAs':'vm','templateUrl':_0x26b142,'parent':angular[_0x430bdd(0x1853)](_0x3f7218[_0x430bdd(0x2586)]),'targetEvent':_0x49d045,'clickOutsideToClose':!![],'locals':{'type':_0x430bdd(0xe32),'item':_0x4ecd2d,'items':_0xee4c22[_0x430bdd(0x3c8)],'configuration':_0xee4c22['salesforceConfiguration'],'account':_0xee4c22['salesforceAccount'],'license':null,'setting':null,'crudPermissions':_0xee4c22[_0x430bdd(0x2514)]}});}function _0x16c3cb(_0x52f2a7){const _0x4449a9=_0x537a7d;_0xc2a841[_0x4449a9(0x1d8e)][_0x4449a9(0x1fac)]({'id':_0x52f2a7['id']})[_0x4449a9(0x2945)][_0x4449a9(0x146b)](function(){const _0x19dd74=_0x4449a9;_0x3f65c0()[_0x19dd74(0x2640)](_0xee4c22[_0x19dd74(0x3c8)],{'id':_0x52f2a7['id']}),_0x14adb2['success']({'title':_0x19dd74(0x28e6),'msg':_0x19dd74(0x761)});})[_0x4449a9(0x129e)](function(_0x2bbb2a){const _0x53442f=_0x4449a9;_0x14adb2['error']({'title':_0x2bbb2a[_0x53442f(0x107b)]?_0x53442f(0x262a)+_0x2bbb2a[_0x53442f(0x107b)]+_0x53442f(0x1315)+_0x2bbb2a[_0x53442f(0x167f)]:_0x53442f(0xf6e),'msg':_0x2bbb2a[_0x53442f(0x524)]?JSON[_0x53442f(0x10bb)](_0x2bbb2a['data']):_0x2bbb2a[_0x53442f(0xd5f)]()});});}function _0x45f6c5(_0x1f6b53,_0x56bee3){const _0x7dc559=_0x537a7d,_0x1d9934=_0x4fe4f4[_0x7dc559(0x1e8a)]()[_0x7dc559(0x1189)](_0x7dc559(0x317))[_0x7dc559(0x1cbe)](_0x7dc559(0xf7f)+_0x7dc559(0xe01))[_0x7dc559(0x4bd)](_0x7dc559(0x5d1))['targetEvent'](_0x1f6b53)['ok']('OK')[_0x7dc559(0x6c3)]('CANCEL');_0x4fe4f4[_0x7dc559(0x2615)](_0x1d9934)[_0x7dc559(0x146b)](function(){_0x16c3cb(_0x56bee3);},function(){const _0x327867=_0x7dc559;console[_0x327867(0x1a74)](_0x327867(0x39a));});}function _0x92f784(_0x1ee847){const _0x554801=_0x537a7d,_0x15f2f6=_0x4fe4f4[_0x554801(0x1e8a)]()[_0x554801(0x1189)](_0x554801(0x2e6))[_0x554801(0x1cbe)](''+_0xee4c22[_0x554801(0x15a6)][_0x554801(0x402)]+_0x554801(0x2452)+'\x20will\x20be\x20deleted.')[_0x554801(0x4bd)]('delete\x20subjects')[_0x554801(0x1f27)](_0x1ee847)['ok']('OK')[_0x554801(0x6c3)](_0x554801(0x39a));_0x4fe4f4[_0x554801(0x2615)](_0x15f2f6)[_0x554801(0x146b)](function(){const _0x3712d8=_0x554801;_0xee4c22[_0x3712d8(0x15a6)][_0x3712d8(0x1df5)](function(_0x1caed9){_0x16c3cb(_0x1caed9);}),_0xee4c22[_0x3712d8(0x15a6)]=[];});}_0xee4c22[_0x537a7d(0x126)]=[],_0xee4c22[_0x537a7d(0x18da)]=_0x3919cf,_0xee4c22[_0x537a7d(0xb29)]=_0x2e7af4,_0xee4c22[_0x537a7d(0x2241)]=_0x581d84;function _0x3919cf(_0x23e1a1,_0x4c743c){const _0x11fb63=_0x537a7d;_0x4fe4f4[_0x11fb63(0x2615)]({'controller':_0x11fb63(0x4ad),'controllerAs':'vm','templateUrl':_0x26b142,'parent':angular[_0x11fb63(0x1853)](_0x3f7218[_0x11fb63(0x2586)]),'targetEvent':_0x23e1a1,'clickOutsideToClose':!![],'locals':{'type':_0x11fb63(0xb17),'item':_0x4c743c,'items':_0xee4c22[_0x11fb63(0x2358)],'configuration':_0xee4c22[_0x11fb63(0x1fea)],'account':_0xee4c22[_0x11fb63(0x11e6)],'license':null,'setting':null,'crudPermissions':_0xee4c22[_0x11fb63(0x2514)]}});}function _0x5cb228(_0x3558b1){const _0x3b163a=_0x537a7d;_0xc2a841[_0x3b163a(0x1d8e)][_0x3b163a(0x1fac)]({'id':_0x3558b1['id']})[_0x3b163a(0x2945)][_0x3b163a(0x146b)](function(){const _0x1c7104=_0x3b163a;_0x3f65c0()[_0x1c7104(0x2640)](_0xee4c22[_0x1c7104(0x2358)],{'id':_0x3558b1['id']}),_0x14adb2['success']({'title':_0x1c7104(0xaf8),'msg':_0x1c7104(0x8e3)});})[_0x3b163a(0x129e)](function(_0x4cd6c5){const _0x5c5d18=_0x3b163a;_0x14adb2['error']({'title':_0x4cd6c5[_0x5c5d18(0x107b)]?_0x5c5d18(0x262a)+_0x4cd6c5[_0x5c5d18(0x107b)]+_0x5c5d18(0x1315)+_0x4cd6c5[_0x5c5d18(0x167f)]:_0x5c5d18(0xf6e),'msg':_0x4cd6c5[_0x5c5d18(0x524)]?JSON[_0x5c5d18(0x10bb)](_0x4cd6c5[_0x5c5d18(0x524)]):_0x4cd6c5[_0x5c5d18(0xd5f)]()});});}function _0x2e7af4(_0x12bd65,_0x16fee5){const _0x1f0eb5=_0x537a7d,_0x38c1f4=_0x4fe4f4['confirm']()['title'](_0x1f0eb5(0x1e9))['htmlContent'](_0x1f0eb5(0x2014)+_0x1f0eb5(0xe01))['ariaLabel'](_0x1f0eb5(0x1c5c))['targetEvent'](_0x12bd65)['ok']('OK')[_0x1f0eb5(0x6c3)]('CANCEL');_0x4fe4f4[_0x1f0eb5(0x2615)](_0x38c1f4)[_0x1f0eb5(0x146b)](function(){_0x5cb228(_0x16fee5);},function(){const _0xbe4e02=_0x1f0eb5;console['log'](_0xbe4e02(0x39a));});}function _0x581d84(_0x494472){const _0x25c6d2=_0x537a7d,_0x3a6a1c=_0x4fe4f4[_0x25c6d2(0x1e8a)]()['title'](_0x25c6d2(0x1acd))['htmlContent'](''+_0xee4c22['selectedDescriptions']['length']+_0x25c6d2(0x2452)+_0x25c6d2(0xe01))['ariaLabel'](_0x25c6d2(0x1be7))[_0x25c6d2(0x1f27)](_0x494472)['ok']('OK')[_0x25c6d2(0x6c3)]('CANCEL');_0x4fe4f4['show'](_0x3a6a1c)[_0x25c6d2(0x146b)](function(){const _0x3b2f55=_0x25c6d2;_0xee4c22[_0x3b2f55(0x126)][_0x3b2f55(0x1df5)](function(_0x314017){_0x5cb228(_0x314017);}),_0xee4c22['selectedDescriptions']=[];});}_0xee4c22[_0x537a7d(0x2605)]=[],_0xee4c22[_0x537a7d(0x737)]=_0x43d2ef,_0xee4c22[_0x537a7d(0x2180)]=_0x21ff4d,_0xee4c22['deleteSelectedFields']=_0x7ae44;function _0x43d2ef(_0x508ef1,_0x310542){const _0x31d90b=_0x537a7d;_0x4fe4f4[_0x31d90b(0x2615)]({'controller':_0x31d90b(0x4ad),'controllerAs':'vm','templateUrl':_0x26b142,'parent':angular[_0x31d90b(0x1853)](_0x3f7218[_0x31d90b(0x2586)]),'targetEvent':_0x508ef1,'clickOutsideToClose':!![],'locals':{'type':_0x31d90b(0x65c),'item':_0x310542,'items':_0xee4c22[_0x31d90b(0x2867)],'configuration':_0xee4c22[_0x31d90b(0x1fea)],'account':_0xee4c22[_0x31d90b(0x11e6)],'license':null,'setting':null,'crudPermissions':_0xee4c22['crudPermissions']}});}function _0xf46809(_0x521906){const _0x3d2031=_0x537a7d;_0xc2a841[_0x3d2031(0x1d8e)][_0x3d2031(0x1fac)]({'id':_0x521906['id']})[_0x3d2031(0x2945)]['then'](function(){const _0x379161=_0x3d2031;_0x3f65c0()[_0x379161(0x2640)](_0xee4c22[_0x379161(0x2867)],{'id':_0x521906['id']}),_0x14adb2[_0x379161(0x1c75)]({'title':_0x379161(0x24ce),'msg':_0x379161(0x1c07)});})[_0x3d2031(0x129e)](function(_0x2aa3d7){const _0x235ded=_0x3d2031;_0x14adb2[_0x235ded(0x1980)]({'title':_0x2aa3d7[_0x235ded(0x107b)]?_0x235ded(0x262a)+_0x2aa3d7[_0x235ded(0x107b)]+_0x235ded(0x1315)+_0x2aa3d7['statusText']:_0x235ded(0xf6e),'msg':_0x2aa3d7[_0x235ded(0x524)]?JSON[_0x235ded(0x10bb)](_0x2aa3d7[_0x235ded(0x524)]):_0x2aa3d7['toString']()});});}function _0x21ff4d(_0x396ec2,_0x481f5a){const _0xcf158b=_0x537a7d,_0xba2a2f=_0x4fe4f4[_0xcf158b(0x1e8a)]()[_0xcf158b(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20field?')[_0xcf158b(0x1cbe)](_0xcf158b(0x2216)+_0xcf158b(0xe01))[_0xcf158b(0x4bd)]('delete\x20field')[_0xcf158b(0x1f27)](_0x396ec2)['ok']('OK')[_0xcf158b(0x6c3)](_0xcf158b(0x39a));_0x4fe4f4[_0xcf158b(0x2615)](_0xba2a2f)[_0xcf158b(0x146b)](function(){_0xf46809(_0x481f5a);},function(){const _0x1731e3=_0xcf158b;console[_0x1731e3(0x1a74)](_0x1731e3(0x39a));});}function _0x7ae44(_0x1e2507){const _0x51c3eb=_0x537a7d,_0x59da36=_0x4fe4f4[_0x51c3eb(0x1e8a)]()[_0x51c3eb(0x1189)](_0x51c3eb(0xe75))[_0x51c3eb(0x1cbe)](_0x51c3eb(0x16d3)+_0xee4c22['selectedFields'][_0x51c3eb(0x402)]+'\x20selected'+_0x51c3eb(0xe01))[_0x51c3eb(0x4bd)](_0x51c3eb(0x176a))[_0x51c3eb(0x1f27)](_0x1e2507)['ok']('OK')['cancel']('CANCEL');_0x4fe4f4[_0x51c3eb(0x2615)](_0x59da36)[_0x51c3eb(0x146b)](function(){const _0x4f50cf=_0x51c3eb;_0xee4c22[_0x4f50cf(0x2605)]['forEach'](function(_0xfc7cf0){_0xf46809(_0xfc7cf0);}),_0xee4c22[_0x4f50cf(0x2605)]=[];});}}const _0x1dbe55=_0xa9c4d4;;_0x587117[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$state',_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),'configurations',_0x313a4d(0x1fea),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x587117(_0x181ff5,_0x3ec892,_0x4083d1,_0x30b822,_0x2aa6e0,_0x2358b5,_0x36abbe,_0x112203,_0x57efb2,_0x7d35b0,_0x19f943,_0x41410a,_0x21c399,_0x2ae537){const _0x589f7d=_0x313a4d,_0x2db6ae=this;_0x2db6ae[_0x589f7d(0x2321)]=_0x19f943[_0x589f7d(0xb12)](),_0x2db6ae[_0x589f7d(0xcef)]=[],_0x2db6ae['setting']=_0x21c399,_0x2db6ae[_0x589f7d(0x2690)]=_0x41410a,_0x2db6ae['crudPermissions']=_0x2ae537,_0x2db6ae['hasModulePermissions']={},_0x2db6ae[_0x589f7d(0x2251)]=_0x2db6ae[_0x589f7d(0x15b9)]&&_0x2db6ae[_0x589f7d(0x15b9)][_0x589f7d(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x2db6ae[_0x589f7d(0x1189)]=_0x589f7d(0x24b7),_0x2db6ae[_0x589f7d(0x1fea)]=angular[_0x589f7d(0x235a)](_0x57efb2),_0x2db6ae[_0x589f7d(0x1723)]=_0x112203,_0x2db6ae['newSalesforceConfiguration']=![];!_0x2db6ae[_0x589f7d(0x1fea)]&&(_0x2db6ae[_0x589f7d(0x1fea)]={'channel':'voice','type':_0x589f7d(0x7b0),'ticketType':'Task'},_0x2db6ae[_0x589f7d(0x1189)]='INTEGRATIONS.NEW_SALESFORCECONFIGURATION',_0x2db6ae['newSalesforceConfiguration']=!![]);_0x2db6ae[_0x589f7d(0x1076)]=_0x40ba8f,_0x2db6ae['saveSalesforceConfiguration']=_0x20d510,_0x2db6ae[_0x589f7d(0x770)]=_0xdb2953,_0x2db6ae[_0x589f7d(0xe73)]=_0x4b3f66,_0x2db6ae[_0x589f7d(0x13f3)]=_0x21e569;function _0x40ba8f(){const _0x3c44c4=_0x589f7d;_0x2db6ae[_0x3c44c4(0xcef)]=[],_0x7d35b0[_0x3c44c4(0x1fa4)]['addConfiguration']({'id':_0x3ec892[_0x3c44c4(0x16a)]['id']},_0x2db6ae[_0x3c44c4(0x1fea)])[_0x3c44c4(0x2945)]['then'](function(_0x5d5d17){const _0x26adba=_0x3c44c4;_0x2db6ae['configurations']['unshift'](_0x5d5d17[_0x26adba(0x2488)]()),_0x36abbe[_0x26adba(0x1c75)]({'title':_0x26adba(0x504),'msg':_0x2db6ae[_0x26adba(0x1fea)][_0x26adba(0x19eb)]?_0x2db6ae[_0x26adba(0x1fea)][_0x26adba(0x19eb)]+'\x20has\x20been\x20created!':''}),_0x21e569(_0x5d5d17);})[_0x3c44c4(0x129e)](function(_0x49c60d){const _0x4ef896=_0x3c44c4;if(_0x49c60d['data']&&_0x49c60d[_0x4ef896(0x524)][_0x4ef896(0xcef)]&&_0x49c60d[_0x4ef896(0x524)][_0x4ef896(0xcef)][_0x4ef896(0x402)]){_0x2db6ae['errors']=_0x49c60d[_0x4ef896(0x524)][_0x4ef896(0xcef)]||[{'message':_0x49c60d[_0x4ef896(0xd5f)](),'type':_0x4ef896(0x22a1)}];for(let _0x4cf0ee=0x0;_0x4cf0ee<_0x49c60d['data'][_0x4ef896(0xcef)]['length'];_0x4cf0ee+=0x1){_0x36abbe[_0x4ef896(0x1980)]({'title':_0x49c60d[_0x4ef896(0x524)][_0x4ef896(0xcef)][_0x4cf0ee][_0x4ef896(0x1142)],'msg':_0x49c60d['data'][_0x4ef896(0xcef)][_0x4cf0ee][_0x4ef896(0x7fd)]});}}else _0x36abbe[_0x4ef896(0x1980)]({'title':_0x49c60d[_0x4ef896(0x107b)]?'API:'+_0x49c60d[_0x4ef896(0x107b)]+_0x4ef896(0x1315)+_0x49c60d['statusText']:_0x4ef896(0x22a1),'msg':_0x49c60d['data']?JSON[_0x4ef896(0x10bb)](_0x49c60d[_0x4ef896(0x524)][_0x4ef896(0x7fd)]):_0x49c60d['toString']()});});}function _0x20d510(){const _0x1ccb2a=_0x589f7d;_0x2db6ae[_0x1ccb2a(0xcef)]=[],_0x7d35b0['intSalesforceConfiguration'][_0x1ccb2a(0x18e1)]({'id':_0x2db6ae[_0x1ccb2a(0x1fea)]['id']},_0x2db6ae[_0x1ccb2a(0x1fea)])[_0x1ccb2a(0x2945)]['then'](function(_0x15e5e4){const _0x227861=_0x1ccb2a,_0x5a63fb=_0x3f65c0()[_0x227861(0xc84)](_0x2db6ae[_0x227861(0x1723)],{'id':_0x15e5e4['id']});_0x5a63fb&&_0x3f65c0()['merge'](_0x5a63fb,_0x3f65c0()[_0x227861(0x40e)](_0x15e5e4['toJSON'](),_0x3f65c0()[_0x227861(0x627)](_0x5a63fb))),_0x36abbe[_0x227861(0x1c75)]({'title':'SalesforceConfiguration\x20properly\x20saved!','msg':_0x2db6ae[_0x227861(0x1fea)][_0x227861(0x19eb)]?_0x2db6ae['salesforceConfiguration'][_0x227861(0x19eb)]+_0x227861(0x24db):''}),_0x21e569(_0x15e5e4);})[_0x1ccb2a(0x129e)](function(_0x558289){const _0x4924bb=_0x1ccb2a;if(_0x558289['data']&&_0x558289[_0x4924bb(0x524)][_0x4924bb(0xcef)]&&_0x558289[_0x4924bb(0x524)]['errors']['length']){_0x2db6ae[_0x4924bb(0xcef)]=_0x558289[_0x4924bb(0x524)][_0x4924bb(0xcef)]||[{'message':_0x558289['toString'](),'type':'api.intSalesforceConfiguration.update'}];for(let _0x16884e=0x0;_0x16884e<_0x558289['data'][_0x4924bb(0xcef)][_0x4924bb(0x402)];_0x16884e++){_0x36abbe[_0x4924bb(0x1980)]({'title':_0x558289[_0x4924bb(0x524)]['errors'][_0x16884e][_0x4924bb(0x1142)],'msg':_0x558289[_0x4924bb(0x524)]['errors'][_0x16884e][_0x4924bb(0x7fd)]});}}else _0x36abbe[_0x4924bb(0x1980)]({'title':_0x558289[_0x4924bb(0x107b)]?'API:'+_0x558289['status']+_0x4924bb(0x1315)+_0x558289[_0x4924bb(0x167f)]:_0x4924bb(0x9db),'msg':_0x558289[_0x4924bb(0x524)]?JSON[_0x4924bb(0x10bb)](_0x558289[_0x4924bb(0x524)][_0x4924bb(0x7fd)]):_0x558289[_0x4924bb(0xd5f)]()});});}function _0xdb2953(_0x19e9b7){const _0x4aed25=_0x589f7d;_0x2db6ae['errors']=[];const _0x465d9b=_0x30b822[_0x4aed25(0x1e8a)]()[_0x4aed25(0x1189)](_0x4aed25(0x1d64))['content'](_0x4aed25(0x12cd))[_0x4aed25(0x4bd)](_0x4aed25(0x1e53))['ok'](_0x4aed25(0x25de))[_0x4aed25(0x6c3)]('Cancel')[_0x4aed25(0x1f27)](_0x19e9b7);_0x30b822['show'](_0x465d9b)['then'](function(){const _0x7ddb8d=_0x4aed25;_0x7d35b0[_0x7ddb8d(0x1e6)][_0x7ddb8d(0x1fac)]({'id':_0x2db6ae[_0x7ddb8d(0x1fea)]['id']})['$promise'][_0x7ddb8d(0x146b)](function(){const _0x20b44f=_0x7ddb8d;_0x3f65c0()['remove'](_0x2db6ae[_0x20b44f(0x1723)],{'id':_0x2db6ae['salesforceConfiguration']['id']}),_0x36abbe[_0x20b44f(0x1c75)]({'title':'SalesforceConfiguration\x20properly\x20deleted!','msg':(_0x2db6ae[_0x20b44f(0x1fea)][_0x20b44f(0x19eb)]||_0x20b44f(0x1fea))+_0x20b44f(0x23e3)}),_0x21e569(_0x2db6ae['salesforceConfiguration']);})[_0x7ddb8d(0x129e)](function(_0x4875bf){const _0xb59214=_0x7ddb8d;if(_0x4875bf[_0xb59214(0x524)]&&_0x4875bf['data'][_0xb59214(0xcef)]&&_0x4875bf['data']['errors']['length']){_0x2db6ae[_0xb59214(0xcef)]=_0x4875bf[_0xb59214(0x524)][_0xb59214(0xcef)]||[{'message':_0x4875bf[_0xb59214(0xd5f)](),'type':_0xb59214(0x1e78)}];for(let _0xc84608=0x0;_0xc84608<_0x4875bf[_0xb59214(0x524)][_0xb59214(0xcef)][_0xb59214(0x402)];_0xc84608++){_0x36abbe[_0xb59214(0x1980)]({'title':_0x4875bf[_0xb59214(0x524)][_0xb59214(0xcef)][_0xc84608]['type'],'msg':_0x4875bf['data'][_0xb59214(0xcef)][_0xc84608][_0xb59214(0x7fd)]});}}else _0x36abbe['error']({'title':_0x4875bf[_0xb59214(0x107b)]?_0xb59214(0x262a)+_0x4875bf[_0xb59214(0x107b)]+'\x20-\x20'+_0x4875bf[_0xb59214(0x167f)]:_0xb59214(0x1e78),'msg':_0x4875bf[_0xb59214(0x524)]?JSON[_0xb59214(0x10bb)](_0x4875bf[_0xb59214(0x524)]['message']):_0x4875bf[_0xb59214(0x7fd)]||_0x4875bf[_0xb59214(0xd5f)]()});});},function(){});}function _0x4b3f66(_0xc4681){return _0xc4681===null?undefined:new Date(_0xc4681);}function _0x21e569(_0xa32be){const _0x3d033b=_0x589f7d;_0x30b822[_0x3d033b(0x2458)](_0xa32be);}}const _0x3562a6=_0x587117;;_0x123bb7[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$state',_0x313a4d(0xd08),_0x313a4d(0x10e8),_0x313a4d(0x1fe4),'configuration',_0x313a4d(0x1fd6),_0x313a4d(0x2711),_0x313a4d(0x1f8e),'type',_0x313a4d(0x247f),'Auth',_0x313a4d(0x2514)];function _0x123bb7(_0xd4baa7,_0x267437,_0x322428,_0x2bedc6,_0xda652a,_0x52a0c7,_0x484d91,_0x3e572c,_0xf07cca,_0x2a2805,_0x436d61,_0x454eb5,_0x4e1a67){const _0x38269e=_0x313a4d,_0x4d0d18=this;_0x4d0d18['currentUser']=_0x454eb5[_0x38269e(0xb12)](),_0x4d0d18[_0x38269e(0xcef)]=[],_0x4d0d18[_0x38269e(0x1189)]=_0x38269e(0x28ec)+_0x3f65c0()[_0x38269e(0xd39)](_0x2a2805),_0x4d0d18[_0x38269e(0x2711)]=angular[_0x38269e(0x235a)](_0x3e572c),_0x4d0d18[_0x38269e(0x1fd6)]=_0x484d91,_0x4d0d18[_0x38269e(0x1142)]=_0x2a2805,_0x4d0d18[_0x38269e(0x2514)]=_0x4e1a67,_0x4d0d18[_0x38269e(0x23cd)]=![];!_0x4d0d18[_0x38269e(0x2711)]&&(_0x4d0d18[_0x38269e(0x2711)]={'type':_0x38269e(0x1f23)},_0x4d0d18['item'][_0x3f65c0()[_0x38269e(0x83f)](_0x2a2805)+'Id']=_0x52a0c7['id'],_0x4d0d18[_0x38269e(0x1189)]='INTEGRATIONS.NEW_'+_0x3f65c0()[_0x38269e(0xd39)](_0x2a2805),_0x4d0d18['newItem']=!![]);_0x4d0d18[_0x38269e(0x1e65)]=_0x95fd2e,_0x4d0d18[_0x38269e(0x150e)]=_0x5d3f99,_0x4d0d18[_0x38269e(0xbaf)]=_0x5b5e45,_0x4d0d18[_0x38269e(0x21b1)]=_0x4e97fc,_0x4d0d18[_0x38269e(0x13f3)]=_0x5ae205,_0x436d61[_0x38269e(0x212)][_0x38269e(0x16b4)]({'nolimit':!![]})['$promise'][_0x38269e(0x146b)](function(_0x3a2a4a){const _0x71cca1=_0x38269e;return _0x4d0d18[_0x71cca1(0x85b)]=_0x3a2a4a[_0x71cca1(0x19c7)]?_0x3a2a4a[_0x71cca1(0x19c7)]:[],_0x436d61[_0x71cca1(0x1cb3)][_0x71cca1(0x1ea2)]()[_0x71cca1(0x2945)];})[_0x38269e(0x146b)](function(_0x28a6a5){const _0x4b828e=_0x38269e;return _0x4d0d18[_0x4b828e(0x1c8a)]=_0x3f65c0()[_0x4b828e(0xa62)](_0x3f65c0()[_0x4b828e(0x627)](_0x28a6a5),[_0x4b828e(0x2945),_0x4b828e(0x26f2),_0x4b828e(0xc68),_0x4b828e(0x144f),_0x4b828e(0xc3b)]),_0x4d0d18[_0x4b828e(0x1c8a)][_0x4b828e(0x1f47)](_0x4b828e(0x643)),_0x436d61[_0x4b828e(0x160)][_0x4b828e(0x1ea2)]()[_0x4b828e(0x2945)];})[_0x38269e(0x146b)](function(_0x4f4266){const _0x3a9951=_0x38269e;_0x4d0d18[_0x3a9951(0x164b)]=_0x3f65c0()['difference'](_0x3f65c0()[_0x3a9951(0x627)](_0x4f4266),[_0x3a9951(0x2945),_0x3a9951(0x26f2),_0x3a9951(0xc68),_0x3a9951(0x144f),_0x3a9951(0xc3b)]),_0x4d0d18[_0x3a9951(0x164b)][_0x3a9951(0x1f47)]('recordingURL');})['catch'](function(_0x2f32cd){const _0x19b850=_0x38269e;_0xda652a['error']({'title':_0x2f32cd[_0x19b850(0x107b)]?_0x19b850(0x262a)+_0x2f32cd[_0x19b850(0x107b)]+_0x19b850(0x1315)+_0x2f32cd[_0x19b850(0x167f)]:'SYSTEM:GETVARIABLES','msg':_0x2f32cd[_0x19b850(0x524)]?JSON[_0x19b850(0x10bb)](_0x2f32cd[_0x19b850(0x524)]):_0x2f32cd[_0x19b850(0xd5f)]()});}),_0x436d61['intSalesforceAccount'][_0x38269e(0x12ff)]({'id':_0xf07cca['id'],'ticketType':_0x52a0c7[_0x38269e(0x21be)]?_0x52a0c7['ticketType']:undefined})[_0x38269e(0x2945)][_0x38269e(0x146b)](function(_0x30d50d){const _0x50be3a=_0x38269e;_0x4d0d18[_0x50be3a(0x822)]=!![],_0x4d0d18[_0x50be3a(0x2867)]=_0x30d50d['rows']?_0x30d50d[_0x50be3a(0x19c7)]:[],_0x4d0d18['item'][_0x50be3a(0x2912)]&&(_0x4d0d18['customField']=_0x3f65c0()[_0x50be3a(0xc84)](_0x4d0d18['fields'],{'id':_0x4d0d18[_0x50be3a(0x2711)][_0x50be3a(0x2912)]}));})[_0x38269e(0x129e)](function(_0x23d5ed){const _0x5ad00a=_0x38269e;_0x4d0d18[_0x5ad00a(0x822)]=![],console[_0x5ad00a(0x1980)](_0x23d5ed);});function _0x95fd2e(){const _0x4f7ca=_0x38269e;_0x4d0d18[_0x4f7ca(0xcef)]=[],_0x4d0d18[_0x4f7ca(0x165e)]&&(_0x4d0d18[_0x4f7ca(0x2711)][_0x4f7ca(0x2912)]=_0x4d0d18[_0x4f7ca(0x165e)]['id'],_0x4d0d18[_0x4f7ca(0x2711)]['nameField']=_0x4d0d18[_0x4f7ca(0x165e)][_0x4f7ca(0x19eb)],_0x4d0d18['item'][_0x4f7ca(0x165e)]=_0x4d0d18[_0x4f7ca(0x165e)][_0x4f7ca(0x1802)]),_0x436d61[_0x4f7ca(0x1d8e)][_0x4f7ca(0x1e3)](_0x4d0d18[_0x4f7ca(0x2711)])['$promise'][_0x4f7ca(0x146b)](function(_0x5197ff){const _0x258c61=_0x4f7ca;_0x4d0d18[_0x258c61(0x1fd6)][_0x258c61(0xb3d)](_0x5197ff),_0xda652a[_0x258c61(0x1c75)]({'title':_0x3f65c0()[_0x258c61(0x83f)](_0x2a2805)+_0x258c61(0x1637),'msg':_0x3f65c0()['capitalize'](_0x2a2805)+'\x20has\x20been\x20created!'}),_0x5ae205();})[_0x4f7ca(0x129e)](function(_0x1b21c0){const _0xf06eab=_0x4f7ca;console[_0xf06eab(0x1980)](_0x1b21c0),_0x4d0d18['errors']=_0x1b21c0['data'][_0xf06eab(0xcef)]||[{'message':_0x1b21c0[_0xf06eab(0xd5f)](),'type':_0xf06eab(0x1ec4)}];});}function _0x5d3f99(){const _0x4a9f3e=_0x38269e;_0x4d0d18[_0x4a9f3e(0xcef)]=[],_0x4d0d18['customField']&&(_0x4d0d18[_0x4a9f3e(0x2711)][_0x4a9f3e(0x2912)]=_0x4d0d18[_0x4a9f3e(0x165e)]['id'],_0x4d0d18[_0x4a9f3e(0x2711)]['nameField']=_0x4d0d18['customField'][_0x4a9f3e(0x19eb)],_0x4d0d18[_0x4a9f3e(0x2711)]['customField']=_0x4d0d18[_0x4a9f3e(0x165e)][_0x4a9f3e(0x1802)]),_0x436d61[_0x4a9f3e(0x1d8e)]['update']({'id':_0x4d0d18[_0x4a9f3e(0x2711)]['id']},_0x4d0d18[_0x4a9f3e(0x2711)])['$promise'][_0x4a9f3e(0x146b)](function(_0x19b928){const _0xd2d7af=_0x4a9f3e,_0x47f1a0=_0x3f65c0()[_0xd2d7af(0xc84)](_0x4d0d18['items'],{'id':_0x19b928['id']});_0x47f1a0&&_0x3f65c0()['merge'](_0x47f1a0,_0x19b928),_0xda652a[_0xd2d7af(0x1c75)]({'title':_0x3f65c0()['capitalize'](_0x2a2805)+_0xd2d7af(0xffe),'msg':_0x3f65c0()[_0xd2d7af(0x83f)](_0x2a2805)+'\x20has\x20been\x20saved!'}),_0x5ae205();})['catch'](function(_0xb564f6){const _0x1b8971=_0x4a9f3e;console['error'](_0xb564f6),_0x4d0d18[_0x1b8971(0xcef)]=_0xb564f6['data'][_0x1b8971(0xcef)]||[{'message':_0xb564f6[_0x1b8971(0xd5f)](),'type':_0x1b8971(0x1b4)}];});}function _0x5b5e45(_0x44b419){const _0x22df70=_0x38269e;_0x4d0d18[_0x22df70(0xcef)]=[];const _0x10fb6d=_0x2bedc6['confirm']()[_0x22df70(0x1189)](_0x22df70(0x1d64))[_0x22df70(0x80f)](_0x22df70(0xe57)+_0x2a2805+'\x20will\x20be\x20deleted.')[_0x22df70(0x4bd)](_0x22df70(0xb22)+_0x3f65c0()[_0x22df70(0x83f)](_0x2a2805))['ok'](_0x22df70(0x25de))[_0x22df70(0x6c3)](_0x22df70(0xcf0))[_0x22df70(0x1f27)](_0x44b419);_0x2bedc6[_0x22df70(0x2615)](_0x10fb6d)[_0x22df70(0x146b)](function(){const _0x43d4e=_0x22df70;_0x436d61[_0x43d4e(0x1d8e)][_0x43d4e(0x1fac)]({'id':_0x4d0d18[_0x43d4e(0x2711)]['id']})[_0x43d4e(0x2945)][_0x43d4e(0x146b)](function(){const _0x280deb=_0x43d4e;_0x3f65c0()[_0x280deb(0x2640)](_0x4d0d18[_0x280deb(0x1fd6)],{'id':_0x4d0d18[_0x280deb(0x2711)]['id']}),_0xda652a['success']({'title':_0x3f65c0()[_0x280deb(0x83f)](_0x2a2805)+_0x280deb(0x1e6c),'msg':_0x3f65c0()[_0x280deb(0x83f)](_0x2a2805)+_0x280deb(0x23e3)}),_0x5ae205();})['catch'](function(_0x1455d0){const _0x1efb97=_0x43d4e;console[_0x1efb97(0x1980)](_0x1455d0),_0x4d0d18[_0x1efb97(0xcef)]=_0x1455d0[_0x1efb97(0x524)][_0x1efb97(0xcef)]||[{'message':_0x1455d0[_0x1efb97(0xd5f)](),'type':_0x1efb97(0x1cb0)}];});},function(){});}function _0x4e97fc(){const _0x137827=_0x38269e;return _0x4d0d18[_0x137827(0x2711)][_0x137827(0x97f)]!==undefined?_0x4d0d18[_0x137827(0x2711)]['variableName']:'Please\x20select\x20a\x20variable';}function _0x5ae205(){const _0x596bfe=_0x38269e;_0x2bedc6[_0x596bfe(0x2458)]();}}const _0x2ae4a3=_0x123bb7;;_0x51a096[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x214b),'license','setting',_0x313a4d(0x247f),_0x313a4d(0x1fe4),'Auth',_0x313a4d(0x11e6),'userProfileSection'];function _0x51a096(_0xd8e5a6,_0x2fcb10,_0x1edb1e,_0x4e25a6,_0x3cff31,_0x4a9fdb,_0x5820c8,_0x40574e,_0x493933,_0x517af4,_0x442b70,_0x19c4ed){const _0x1ce0e9=_0x313a4d,_0x263dba=this;_0x263dba[_0x1ce0e9(0x2321)]=_0x517af4[_0x1ce0e9(0xb12)](),_0x263dba[_0x1ce0e9(0x2690)]=_0x4a9fdb,_0x263dba[_0x1ce0e9(0x15b9)]=_0x5820c8,_0x263dba[_0x1ce0e9(0x2251)]=_0x263dba[_0x1ce0e9(0x15b9)][_0x1ce0e9(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x263dba[_0x1ce0e9(0x1002)]=_0x2fcb10[_0x1ce0e9(0x2414)]()+_0x1ce0e9(0xb0e)+_0x2fcb10[_0x1ce0e9(0x148e)](),_0x263dba[_0x1ce0e9(0x11e6)]=_0x442b70||_0xd8e5a6['params'][_0x1ce0e9(0x11e6)]||{},_0x263dba[_0x1ce0e9(0x1366)]=_0x19c4ed&&_0x19c4ed[_0x1ce0e9(0x51c)]==0x1?_0x19c4ed[_0x1ce0e9(0x19c7)][0x0]:null,_0x263dba[_0x1ce0e9(0x2514)]=_0x517af4['parseCrudPermissions'](_0x263dba[_0x1ce0e9(0x1366)]?_0x263dba[_0x1ce0e9(0x1366)][_0x1ce0e9(0x2514)]:null),_0x263dba[_0x1ce0e9(0x855)]={},_0x263dba[_0x1ce0e9(0x1b09)]=_0xd8e5a6[_0x1ce0e9(0x16a)]['tab']||0x0,_0x263dba[_0x1ce0e9(0x861)]=_0x493933[_0x1ce0e9(0x271e)],_0x263dba[_0x1ce0e9(0xa23)]=_0x4ec791,_0x263dba['saveSalesforceAccount']=_0x516d9a;function _0x4ec791(){const _0x3c2c10=_0x1ce0e9;_0xd8e5a6['go'](_0x3c2c10(0x991),{},{'reload':'app.integrations.salesforceAccounts'});}function _0x516d9a(){const _0x264d65=_0x1ce0e9;_0x40574e[_0x264d65(0x1fa4)][_0x264d65(0x18e1)]({'id':_0x263dba[_0x264d65(0x11e6)]['id']},_0x263dba['salesforceAccount'])[_0x264d65(0x2945)][_0x264d65(0x146b)](function(){const _0x4e945c=_0x264d65;_0x493933[_0x4e945c(0x1c75)]({'title':_0x4e945c(0x20c),'msg':_0x263dba['salesforceAccount'][_0x4e945c(0x19eb)]?_0x263dba[_0x4e945c(0x11e6)][_0x4e945c(0x19eb)]+_0x4e945c(0x6b0):''});})[_0x264d65(0x129e)](function(_0x298d2f){const _0x188cc5=_0x264d65;_0x493933[_0x188cc5(0x1980)]({'title':_0x298d2f['status']?_0x188cc5(0x262a)+_0x298d2f[_0x188cc5(0x107b)]+_0x188cc5(0x1315)+_0x298d2f[_0x188cc5(0x167f)]:'SYSTEM:GETintSalesforceAccount','msg':_0x298d2f[_0x188cc5(0x524)]?JSON[_0x188cc5(0x10bb)](_0x298d2f[_0x188cc5(0x524)]):_0x298d2f[_0x188cc5(0xd5f)]()});});}}const _0x533452=_0x51a096;;const _0x55e956=_0x4acfac['p']+_0x313a4d(0x4da);;_0x270e6d['$inject']=['$scope',_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),'$timeout',_0x313a4d(0x214b),_0x313a4d(0x24eb),_0x313a4d(0x26b6),'userProfileSection','api',_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x270e6d(_0x259697,_0x55e63a,_0x2f3c22,_0x44abd6,_0x53c724,_0x2d4fa3,_0x4e2280,_0x2646b4,_0xc4c75e,_0x2e1c33,_0xce15eb,_0x34e880,_0xe5850f,_0x13e05a,_0xe515d8,_0x2f2505,_0x57f998){const _0x8a383d=_0x313a4d,_0x47f8e9=this;_0x47f8e9[_0x8a383d(0x2690)]=_0x2f2505,_0x47f8e9[_0x8a383d(0x15b9)]=_0x57f998,_0x47f8e9[_0x8a383d(0x2321)]=_0xe515d8[_0x8a383d(0xb12)](),_0x47f8e9[_0x8a383d(0x24eb)]=_0xc4c75e||{'count':0x0,'rows':[]},_0x47f8e9[_0x8a383d(0x26b6)]=_0x2e1c33,_0x47f8e9[_0x8a383d(0x1366)]=_0xce15eb&&_0xce15eb[_0x8a383d(0x51c)]==0x1?_0xce15eb[_0x8a383d(0x19c7)][0x0]:null,_0x47f8e9['crudPermissions']=_0xe515d8[_0x8a383d(0xe60)](_0x47f8e9[_0x8a383d(0x1366)]?_0x47f8e9[_0x8a383d(0x1366)][_0x8a383d(0x2514)]:null),_0x47f8e9[_0x8a383d(0x768)]=_0x8a383d(0x24eb),_0x47f8e9[_0x8a383d(0x216a)]='',_0x47f8e9['listOrderAsc']=null,_0x47f8e9[_0x8a383d(0x278c)]=[],_0x47f8e9['query']={'fields':_0x8a383d(0x1a40),'limit':0xa,'page':0x1},_0x47f8e9[_0x8a383d(0x11da)]=_0x3f65c0()[_0x8a383d(0x194)]([{'option':'Integration_Tab','value':'\x27integrationTab\x27'},{'option':_0x8a383d(0xf6a),'value':'\x27newTab\x27'}],function(_0x5d8311){const _0x1407cc=_0x8a383d;return _0x3f65c0()[_0x1407cc(0x5f4)](_0x5d8311[_0x1407cc(0x175d)],new RegExp('\x27','g'),'');}),_0x47f8e9['editstate']=_0x32ba15,_0x47f8e9[_0x8a383d(0x1e60)]=_0x24c3c0,_0x47f8e9[_0x8a383d(0xf0a)]=_0x2214c2,_0x47f8e9[_0x8a383d(0x1c75)]=_0x34b670,_0x47f8e9[_0x8a383d(0x2234)]=_0x1b6d7a,_0x47f8e9['createOrEditSalesforceAccount']=_0x3af40b,_0x47f8e9[_0x8a383d(0x32f)]=_0x55144f,_0x47f8e9[_0x8a383d(0x2ef)]=_0x230b79,_0x47f8e9[_0x8a383d(0x1371)]=_0xc29d7d,_0x47f8e9['deselectSalesforceAccounts']=_0x12b533,_0x47f8e9[_0x8a383d(0xf2)]=_0x3d46e0;function _0x32ba15(_0xebc31b){const _0x4505fb=_0x8a383d;_0x2f3c22['go']('app.integrations.salesforceAccounts.edit',{'id':_0xebc31b['id'],'salesforceAccount':_0xebc31b,'crudPermissions':_0x47f8e9[_0x4505fb(0x2514)]});}function _0x24c3c0(_0x189b70){const _0x5d711c=_0x8a383d;return _0x34e880[_0x5d711c(0x1fa4)][_0x5d711c(0x12ff)]({'id':_0x189b70['id'],'test':!![]})[_0x5d711c(0x2945)][_0x5d711c(0x146b)](function(){const _0x46c8d5=_0x5d711c;_0x13e05a[_0x46c8d5(0x1c75)]({'title':'SalesforceAccount\x20properly\x20tested','msg':'Connection\x20succeded!'});})[_0x5d711c(0x129e)](function(_0x22e391){const _0x417eb0=_0x5d711c;_0x13e05a[_0x417eb0(0x1980)]({'title':'SalesforceAccount\x20properly\x20tested','msg':_0x22e391[_0x417eb0(0x524)]?_0x22e391[_0x417eb0(0x524)]['message']?_0x22e391['data'][_0x417eb0(0x7fd)]:JSON[_0x417eb0(0x10bb)](_0x22e391[_0x417eb0(0x524)]):_0x22e391['toString']()});});}function _0x2214c2(_0x4957c1,_0x214d31){const _0x52a437=_0x8a383d,_0x1c8320=_0x53c724['confirm']()[_0x52a437(0x1189)](_0x52a437(0xdb2)+_0x3f65c0()[_0x52a437(0x20d1)]('salesforceAccount')+'?')[_0x52a437(0x1cbe)](_0x52a437(0x16d3)+(_0x4957c1[_0x52a437(0x19eb)]||_0x52a437(0x11e6))+_0x52a437(0x252f)+_0x52a437(0xe01))['ariaLabel'](_0x52a437(0x235))[_0x52a437(0x1f27)](_0x214d31)['ok']('OK')[_0x52a437(0x6c3)](_0x52a437(0x39a));_0x53c724[_0x52a437(0x2615)](_0x1c8320)['then'](function(){_0x55144f(_0x4957c1);},function(){const _0x39afe5=_0x52a437;console[_0x39afe5(0x1a74)](_0x39afe5(0x39a));});}let _0x19339c=!![],_0xe43ba5=0x1;_0x259697[_0x8a383d(0x21e8)]('vm.query.filter',function(_0x34f734,_0x47c6a7){const _0x1efa8f=_0x8a383d;_0x19339c?_0x4e2280(function(){_0x19339c=![];}):(!_0x47c6a7&&(_0xe43ba5=_0x47f8e9[_0x1efa8f(0x1a56)][_0x1efa8f(0x844)]),_0x34f734!==_0x47c6a7&&(_0x47f8e9[_0x1efa8f(0x1a56)][_0x1efa8f(0x844)]=0x1),!_0x34f734&&(_0x47f8e9[_0x1efa8f(0x1a56)][_0x1efa8f(0x844)]=_0xe43ba5),_0x47f8e9[_0x1efa8f(0x2234)]());});function _0x34b670(_0x52997){const _0x49334b=_0x8a383d;_0x47f8e9[_0x49334b(0x24eb)]=_0x52997||{'count':0x0,'rows':[]};}function _0x1b6d7a(){const _0x140e19=_0x8a383d;_0x47f8e9[_0x140e19(0x1a56)][_0x140e19(0x145d)]=(_0x47f8e9[_0x140e19(0x1a56)]['page']-0x1)*_0x47f8e9[_0x140e19(0x1a56)][_0x140e19(0x221e)],_0xe515d8['hasRole'](_0x140e19(0x174b))?_0x47f8e9[_0x140e19(0xb9c)]=_0x34e880[_0x140e19(0x1fa4)][_0x140e19(0x16b4)](_0x47f8e9[_0x140e19(0x1a56)],_0x34b670)['$promise']:(_0x47f8e9[_0x140e19(0x1a56)]['id']=_0x47f8e9[_0x140e19(0x26b6)]['id'],_0x47f8e9[_0x140e19(0x1a56)]['section']=_0x140e19(0x2938),_0x47f8e9[_0x140e19(0xb9c)]=_0x34e880[_0x140e19(0x26b6)]['getResources'](_0x47f8e9[_0x140e19(0x1a56)],_0x34b670)['$promise']);}function _0x3af40b(_0x3b27e5,_0x273543){const _0x3198bd=_0x8a383d;_0x53c724[_0x3198bd(0x2615)]({'controller':'CreateOrEditSalesforceAccountDialogController','controllerAs':'vm','templateUrl':_0x55e956,'parent':angular[_0x3198bd(0x1853)](_0x2d4fa3[_0x3198bd(0x2586)]),'targetEvent':_0x3b27e5,'clickOutsideToClose':!![],'locals':{'salesforceAccount':_0x273543,'salesforceAccounts':_0x47f8e9[_0x3198bd(0x24eb)][_0x3198bd(0x19c7)],'license':_0x47f8e9['license'],'setting':_0x47f8e9[_0x3198bd(0x15b9)],'crudPermissions':_0x47f8e9[_0x3198bd(0x2514)]}});}function _0x55144f(_0xef79dc){const _0x316472=_0x8a383d;_0x34e880[_0x316472(0x1fa4)][_0x316472(0x1fac)]({'id':_0xef79dc['id']})['$promise'][_0x316472(0x146b)](function(){const _0x46e562=_0x316472;_0x3f65c0()[_0x46e562(0x2640)](_0x47f8e9['salesforceAccounts'][_0x46e562(0x19c7)],{'id':_0xef79dc['id']}),_0x47f8e9[_0x46e562(0x24eb)][_0x46e562(0x51c)]-=0x1,!_0x47f8e9[_0x46e562(0x24eb)][_0x46e562(0x19c7)]['length']&&_0x47f8e9[_0x46e562(0x2234)](),_0x13e05a['success']({'title':_0x3f65c0()[_0x46e562(0x20d1)](_0x46e562(0x2094))+_0x46e562(0x201c),'msg':_0xef79dc[_0x46e562(0x19eb)]?_0xef79dc['name']+_0x46e562(0x23e3):''});})[_0x316472(0x129e)](function(_0xca9cfe){const _0x21ada1=_0x316472;if(_0xca9cfe[_0x21ada1(0x524)]&&_0xca9cfe[_0x21ada1(0x524)]['errors']&&_0xca9cfe[_0x21ada1(0x524)][_0x21ada1(0xcef)][_0x21ada1(0x402)]){_0x47f8e9[_0x21ada1(0xcef)]=_0xca9cfe[_0x21ada1(0x524)][_0x21ada1(0xcef)]||[{'message':_0xca9cfe['toString'](),'type':'SYSTEM:DELETEintSalesforceAccount'}];for(let _0x430adc=0x0;_0x430adc<_0xca9cfe[_0x21ada1(0x524)][_0x21ada1(0xcef)][_0x21ada1(0x402)];_0x430adc++){_0x13e05a[_0x21ada1(0x1980)]({'title':_0xca9cfe[_0x21ada1(0x524)][_0x21ada1(0xcef)][_0x430adc][_0x21ada1(0x1142)],'msg':_0xca9cfe['data'][_0x21ada1(0xcef)][_0x430adc]['message']});}}else _0x13e05a[_0x21ada1(0x1980)]({'title':_0xca9cfe[_0x21ada1(0x107b)]?_0x21ada1(0x262a)+_0xca9cfe['status']+_0x21ada1(0x1315)+_0xca9cfe[_0x21ada1(0x167f)]:'SYSTEM:DELETEintSalesforceAccount','msg':_0xca9cfe[_0x21ada1(0x524)]?JSON[_0x21ada1(0x10bb)](_0xca9cfe[_0x21ada1(0x524)][_0x21ada1(0x7fd)]):_0xca9cfe['message']||_0xca9cfe[_0x21ada1(0xd5f)]()});});}function _0x230b79(){const _0xce02d5=_0x8a383d,_0x10539b=angular['copy'](_0x47f8e9[_0xce02d5(0x278c)]);return _0x47f8e9[_0xce02d5(0x278c)]=[],_0x10539b;}function _0xc29d7d(_0x5e28fe){const _0x5d454c=_0x8a383d,_0x5d5bf2=_0x53c724['confirm']()['title'](_0x5d454c(0xe14))[_0x5d454c(0x1cbe)](''+_0x47f8e9[_0x5d454c(0x278c)]['length']+'\x20selected'+_0x5d454c(0xe01))[_0x5d454c(0x4bd)](_0x5d454c(0x1bf7))[_0x5d454c(0x1f27)](_0x5e28fe)['ok']('OK')[_0x5d454c(0x6c3)](_0x5d454c(0x39a));_0x53c724['show'](_0x5d5bf2)['then'](function(){const _0x2c8005=_0x5d454c;_0x47f8e9[_0x2c8005(0x278c)][_0x2c8005(0x1df5)](function(_0x4e32ed){_0x55144f(_0x4e32ed);}),_0x47f8e9[_0x2c8005(0x278c)]=[];});}function _0x12b533(){const _0x40672d=_0x8a383d;_0x47f8e9[_0x40672d(0x278c)]=[];}function _0x3d46e0(){const _0x36bc04=_0x8a383d;_0x47f8e9[_0x36bc04(0x278c)]=_0x47f8e9[_0x36bc04(0x24eb)][_0x36bc04(0x19c7)];}}const _0x2f0743=_0x270e6d;;_0x3c7df8['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),'$mdDialog','$q',_0x313a4d(0x214b),'toasty',_0x313a4d(0x69b),_0x313a4d(0x177c),'api',_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x3c7df8(_0xf6d68c,_0x22a364,_0x93c612,_0x34a40e,_0x48c427,_0x3b55b5,_0x3b596c,_0x4bbbfd,_0x1ff611,_0x4c966e,_0x45a66e,_0x241416,_0x3910d6,_0x4df35d){const _0x2b7495=_0x313a4d,_0x8837a2=this;_0x8837a2[_0x2b7495(0x2321)]=_0x45a66e[_0x2b7495(0xb12)](),_0x8837a2['errors']=[],_0x8837a2[_0x2b7495(0x15b9)]=_0x3910d6,_0x8837a2['license']=_0x241416,_0x8837a2['crudPermissions']=_0x4df35d,_0x8837a2['hasModulePermissions']={},_0x8837a2[_0x2b7495(0x2251)]=_0x8837a2[_0x2b7495(0x15b9)]&&_0x8837a2[_0x2b7495(0x15b9)][_0x2b7495(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x8837a2[_0x2b7495(0x1189)]='INTEGRATIONS.EDIT_SERVICENOWACCOUNT',_0x8837a2[_0x2b7495(0x177c)]=angular[_0x2b7495(0x235a)](_0x1ff611),_0x8837a2[_0x2b7495(0x69b)]=_0x4bbbfd,_0x8837a2['newServicenowAccount']=![];!_0x8837a2[_0x2b7495(0x177c)]&&(_0x8837a2['servicenowAccount']={'serverUrl':_0x93c612[_0x2b7495(0x2414)]()+'://'+_0x93c612[_0x2b7495(0x148e)]()+(_0x93c612[_0x2b7495(0x1553)]()?':'+_0x93c612[_0x2b7495(0x1553)]():'')},_0x8837a2[_0x2b7495(0x1189)]=_0x2b7495(0xb77),_0x8837a2[_0x2b7495(0x1268)]=!![]);_0x8837a2[_0x2b7495(0x218)]=_0x385acb,_0x8837a2['saveServicenowAccount']=_0x4aebf2,_0x8837a2['deleteServicenowAccount']=_0xbc03fd,_0x8837a2['getDateFromString']=_0xaf0128,_0x8837a2[_0x2b7495(0x13f3)]=_0x151552;function _0x385acb(){const _0x2c7804=_0x2b7495;_0x8837a2[_0x2c7804(0xcef)]=[],_0x4c966e[_0x2c7804(0xbd9)]['save'](_0x8837a2['servicenowAccount'])[_0x2c7804(0x2945)][_0x2c7804(0x146b)](function(_0x1fbacd){const _0x1f7be3=_0x2c7804;_0x8837a2['servicenowAccounts'][_0x1f7be3(0xb3d)](_0x1fbacd[_0x1f7be3(0x2488)]()),_0x3b596c[_0x1f7be3(0x1c75)]({'title':_0x1f7be3(0x823),'msg':_0x8837a2[_0x1f7be3(0x177c)][_0x1f7be3(0x19eb)]?_0x8837a2[_0x1f7be3(0x177c)][_0x1f7be3(0x19eb)]+_0x1f7be3(0x1386):''}),_0x151552(_0x1fbacd);})[_0x2c7804(0x129e)](function(_0x40de0d){const _0x2ed6aa=_0x2c7804;if(_0x40de0d[_0x2ed6aa(0x524)]&&_0x40de0d[_0x2ed6aa(0x524)][_0x2ed6aa(0xcef)]&&_0x40de0d[_0x2ed6aa(0x524)]['errors'][_0x2ed6aa(0x402)]){_0x8837a2[_0x2ed6aa(0xcef)]=_0x40de0d['data'][_0x2ed6aa(0xcef)]||[{'message':_0x40de0d[_0x2ed6aa(0xd5f)](),'type':'api.intServicenowAccount.save'}];for(let _0x41ded0=0x0;_0x41ded0<_0x40de0d['data']['errors'][_0x2ed6aa(0x402)];_0x41ded0+=0x1){_0x3b596c['error']({'title':_0x40de0d[_0x2ed6aa(0x524)]['errors'][_0x41ded0][_0x2ed6aa(0x1142)],'msg':_0x40de0d[_0x2ed6aa(0x524)]['errors'][_0x41ded0][_0x2ed6aa(0x7fd)]});}}else _0x3b596c[_0x2ed6aa(0x1980)]({'title':_0x40de0d['status']?_0x2ed6aa(0x262a)+_0x40de0d[_0x2ed6aa(0x107b)]+_0x2ed6aa(0x1315)+_0x40de0d['statusText']:_0x2ed6aa(0x28c9),'msg':_0x40de0d['data']?JSON[_0x2ed6aa(0x10bb)](_0x40de0d[_0x2ed6aa(0x524)][_0x2ed6aa(0x7fd)]):_0x40de0d[_0x2ed6aa(0xd5f)]()});});}function _0x4aebf2(){const _0xab06db=_0x2b7495;_0x8837a2[_0xab06db(0xcef)]=[],_0x4c966e[_0xab06db(0xbd9)][_0xab06db(0x18e1)]({'id':_0x8837a2['servicenowAccount']['id']},_0x8837a2[_0xab06db(0x177c)])[_0xab06db(0x2945)][_0xab06db(0x146b)](function(_0x10286a){const _0x1ea9f9=_0xab06db,_0x156003=_0x3f65c0()[_0x1ea9f9(0xc84)](_0x8837a2['servicenowAccounts'],{'id':_0x10286a['id']});_0x156003&&_0x3f65c0()[_0x1ea9f9(0x168d)](_0x156003,_0x3f65c0()[_0x1ea9f9(0x40e)](_0x10286a[_0x1ea9f9(0x2488)](),_0x3f65c0()[_0x1ea9f9(0x627)](_0x156003))),_0x3b596c[_0x1ea9f9(0x1c75)]({'title':_0x1ea9f9(0x6f9),'msg':_0x8837a2[_0x1ea9f9(0x177c)]['name']?_0x8837a2[_0x1ea9f9(0x177c)][_0x1ea9f9(0x19eb)]+_0x1ea9f9(0x24db):''}),_0x151552(_0x10286a);})[_0xab06db(0x129e)](function(_0x45d9c2){const _0x1db7aa=_0xab06db;if(_0x45d9c2[_0x1db7aa(0x524)]&&_0x45d9c2[_0x1db7aa(0x524)]['errors']&&_0x45d9c2[_0x1db7aa(0x524)]['errors'][_0x1db7aa(0x402)]){_0x8837a2['errors']=_0x45d9c2[_0x1db7aa(0x524)][_0x1db7aa(0xcef)]||[{'message':_0x45d9c2['toString'](),'type':'api.intServicenowAccount.update'}];for(let _0x57272b=0x0;_0x57272b<_0x45d9c2['data'][_0x1db7aa(0xcef)][_0x1db7aa(0x402)];_0x57272b++){_0x3b596c['error']({'title':_0x45d9c2[_0x1db7aa(0x524)][_0x1db7aa(0xcef)][_0x57272b][_0x1db7aa(0x1142)],'msg':_0x45d9c2['data'][_0x1db7aa(0xcef)][_0x57272b][_0x1db7aa(0x7fd)]});}}else _0x3b596c['error']({'title':_0x45d9c2[_0x1db7aa(0x107b)]?'API:'+_0x45d9c2[_0x1db7aa(0x107b)]+_0x1db7aa(0x1315)+_0x45d9c2['statusText']:'api.intServicenowAccount.update','msg':_0x45d9c2[_0x1db7aa(0x524)]?JSON['stringify'](_0x45d9c2[_0x1db7aa(0x524)][_0x1db7aa(0x7fd)]):_0x45d9c2[_0x1db7aa(0xd5f)]()});});}function _0xbc03fd(_0x2115ea){const _0x5a0de5=_0x2b7495;_0x8837a2['errors']=[];const _0x4acb3b=_0x34a40e['confirm']()[_0x5a0de5(0x1189)](_0x5a0de5(0x1d64))['content'](_0x5a0de5(0x2409))[_0x5a0de5(0x4bd)](_0x5a0de5(0xda1))['ok'](_0x5a0de5(0x25de))[_0x5a0de5(0x6c3)]('Cancel')[_0x5a0de5(0x1f27)](_0x2115ea);_0x34a40e[_0x5a0de5(0x2615)](_0x4acb3b)[_0x5a0de5(0x146b)](function(){const _0x5a1b15=_0x5a0de5;_0x4c966e[_0x5a1b15(0xbd9)]['delete']({'id':_0x8837a2[_0x5a1b15(0x177c)]['id']})[_0x5a1b15(0x2945)][_0x5a1b15(0x146b)](function(){const _0x2ff7b3=_0x5a1b15;_0x3f65c0()['remove'](_0x8837a2[_0x2ff7b3(0x69b)],{'id':_0x8837a2[_0x2ff7b3(0x177c)]['id']}),_0x3b596c[_0x2ff7b3(0x1c75)]({'title':_0x2ff7b3(0x260f),'msg':(_0x8837a2['servicenowAccount']['name']||_0x2ff7b3(0x177c))+_0x2ff7b3(0x23e3)}),_0x151552(_0x8837a2['servicenowAccount']);})['catch'](function(_0xf2c517){const _0x2c469c=_0x5a1b15;if(_0xf2c517[_0x2c469c(0x524)]&&_0xf2c517[_0x2c469c(0x524)][_0x2c469c(0xcef)]&&_0xf2c517[_0x2c469c(0x524)][_0x2c469c(0xcef)][_0x2c469c(0x402)]){_0x8837a2[_0x2c469c(0xcef)]=_0xf2c517[_0x2c469c(0x524)][_0x2c469c(0xcef)]||[{'message':_0xf2c517['toString'](),'type':'api.intServicenowAccount.delete'}];for(let _0x29dc13=0x0;_0x29dc13<_0xf2c517[_0x2c469c(0x524)]['errors']['length'];_0x29dc13++){_0x3b596c[_0x2c469c(0x1980)]({'title':_0xf2c517[_0x2c469c(0x524)][_0x2c469c(0xcef)][_0x29dc13][_0x2c469c(0x1142)],'msg':_0xf2c517[_0x2c469c(0x524)]['errors'][_0x29dc13][_0x2c469c(0x7fd)]});}}else _0x3b596c['error']({'title':_0xf2c517['status']?_0x2c469c(0x262a)+_0xf2c517['status']+'\x20-\x20'+_0xf2c517[_0x2c469c(0x167f)]:'api.intServicenowAccount.delete','msg':_0xf2c517['data']?JSON[_0x2c469c(0x10bb)](_0xf2c517[_0x2c469c(0x524)][_0x2c469c(0x7fd)]):_0xf2c517[_0x2c469c(0x7fd)]||_0xf2c517[_0x2c469c(0xd5f)]()});});},function(){});}function _0xaf0128(_0x282a73){return _0x282a73===null?undefined:new Date(_0x282a73);}function _0x151552(_0x387349){const _0x21b5c6=_0x2b7495;_0x34a40e[_0x21b5c6(0x2458)](_0x387349);}}const _0x1fe4b5=_0x3c7df8;;const _0x326985=_0x4acfac['p']+'src/js/modules/main/apps/integrations/views/servicenowAccounts/edit/configurations/dialog.html/dialog.html';;const _0x41e8e0=_0x4acfac['p']+_0x313a4d(0x1e7c);;_0x16e6d6['$inject']=[_0x313a4d(0x10e8),'$document',_0x313a4d(0x247f),_0x313a4d(0x1fe4),'Auth'];function _0x16e6d6(_0x48557d,_0x378614,_0x467cb0,_0x1c7249,_0x4bf033){const _0x27ec4c=_0x313a4d,_0x1e2e1c=this;_0x1e2e1c['currentUser']=_0x4bf033[_0x27ec4c(0xb12)](),_0x1e2e1c['servicenowAccount']={},_0x1e2e1c[_0x27ec4c(0x1f2b)]={},_0x1e2e1c['configurations']=[],_0x1e2e1c[_0x27ec4c(0x88e)]=-0x1,_0x1e2e1c[_0x27ec4c(0x2514)],_0x1e2e1c[_0x27ec4c(0x5aa)]=_0x233658,_0x1e2e1c[_0x27ec4c(0x1bac)]=_0x12c602,_0x1e2e1c[_0x27ec4c(0x65e)]=_0x4a35fc,_0x1e2e1c[_0x27ec4c(0x389)]=_0x4e54ad;function _0x233658(_0x523e06,_0x32350e){const _0xb99664=_0x27ec4c;_0x1e2e1c['servicenowAccount']=_0x523e06,_0x1e2e1c[_0xb99664(0x2514)]=typeof _0x32350e!=='undefined'?_0x32350e:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x467cb0[_0xb99664(0xbd9)]['getConfigurations']({'id':_0x1e2e1c[_0xb99664(0x177c)]['id'],'sort':_0xb99664(0x12f2)})[_0xb99664(0x2945)][_0xb99664(0x146b)](function(_0x403281){const _0x10d994=_0xb99664;return _0x1e2e1c[_0x10d994(0x1723)]=_0x403281[_0x10d994(0x19c7)]?_0x403281['rows']:[],_0x1e2e1c[_0x10d994(0x1723)]['length']&&_0x1e2e1c['getServicenowConfiguration'](0x0),_0x467cb0[_0x10d994(0x212)][_0x10d994(0x16b4)]({'nolimit':!![],'sort':_0x10d994(0x19eb)})[_0x10d994(0x2945)];})['then'](function(_0x3d7ada){const _0x131101=_0xb99664;_0x1e2e1c[_0x131101(0x85b)]=_0x3d7ada['rows']?_0x3d7ada[_0x131101(0x19c7)]:[],_0x1e2e1c[_0x131101(0x80c)]=_0x3f65c0()[_0x131101(0x194)](_0x1e2e1c['variables'],'id');})['catch'](function(_0x9408f4){const _0x3248b0=_0xb99664;_0x1c7249[_0x3248b0(0x1980)]({'title':_0x9408f4[_0x3248b0(0x107b)]?_0x3248b0(0x262a)+_0x9408f4['status']+_0x3248b0(0x1315)+_0x9408f4[_0x3248b0(0x167f)]:_0x3248b0(0x9e7),'msg':_0x9408f4[_0x3248b0(0x524)]?JSON['stringify'](_0x9408f4[_0x3248b0(0x524)]):_0x9408f4[_0x3248b0(0xd5f)]()});});}function _0x12c602(_0xcefff5){const _0x12ab65=_0x27ec4c;_0x1e2e1c[_0x12ab65(0x1f2b)]=_0x1e2e1c[_0x12ab65(0x1723)][_0xcefff5],_0x1e2e1c['selectedServicenowConfiguration']=_0xcefff5,_0x467cb0[_0x12ab65(0x620)][_0x12ab65(0x18ee)]({'id':_0x1e2e1c[_0x12ab65(0x1f2b)]['id']})[_0x12ab65(0x2945)][_0x12ab65(0x146b)](function(_0x4aa2cc){const _0x4461c1=_0x12ab65;return _0x1e2e1c[_0x4461c1(0x3c8)]=_0x4aa2cc[_0x4461c1(0x19c7)]?_0x4aa2cc['rows']:[],_0x467cb0['intServicenowConfiguration'][_0x4461c1(0xc2a)]({'id':_0x1e2e1c[_0x4461c1(0x1f2b)]['id']})[_0x4461c1(0x2945)];})['then'](function(_0x51f529){const _0x422fb4=_0x12ab65;return _0x1e2e1c['descriptions']=_0x51f529[_0x422fb4(0x19c7)]?_0x51f529['rows']:[],_0x467cb0['intServicenowConfiguration']['getFields']({'id':_0x1e2e1c['servicenowConfiguration']['id']})[_0x422fb4(0x2945)];})[_0x12ab65(0x146b)](function(_0x145041){const _0x399723=_0x12ab65;_0x1e2e1c[_0x399723(0x2867)]=_0x145041[_0x399723(0x19c7)]?_0x145041['rows']:[];})[_0x12ab65(0x129e)](function(_0x40e0bb){const _0x458e17=_0x12ab65;_0x1c7249[_0x458e17(0x1980)]({'title':_0x40e0bb[_0x458e17(0x107b)]?_0x458e17(0x262a)+_0x40e0bb[_0x458e17(0x107b)]+_0x458e17(0x1315)+_0x40e0bb[_0x458e17(0x167f)]:'SYSTEM:GETConfigurations','msg':_0x40e0bb[_0x458e17(0x524)]?JSON['stringify'](_0x40e0bb[_0x458e17(0x524)]):_0x40e0bb[_0x458e17(0xd5f)]()});});}function _0x4a35fc(_0x18e912,_0x49e9c2){const _0x53d024=_0x27ec4c;_0x48557d[_0x53d024(0x2615)]({'controller':'CreateOrEditServicenowConfigurationDialogController','controllerAs':'vm','templateUrl':_0x326985,'parent':angular[_0x53d024(0x1853)](_0x378614[_0x53d024(0x2586)]),'targetEvent':_0x18e912,'clickOutsideToClose':!![],'locals':{'servicenowConfiguration':_0x49e9c2,'configurations':_0x1e2e1c[_0x53d024(0x1723)],'license':null,'setting':null,'crudPermissions':_0x1e2e1c[_0x53d024(0x2514)]}})[_0x53d024(0x1ec6)](function(){const _0x4a9e53=_0x53d024;_0x1e2e1c[_0x4a9e53(0x1bac)](0x0);});}function _0x4e54ad(_0x49643c,_0x4a6bd4){const _0x1d47d9=_0x27ec4c,_0x44e2d2=_0x48557d[_0x1d47d9(0x1e8a)]()[_0x1d47d9(0x1189)](_0x1d47d9(0xc27))['htmlContent'](_0x1d47d9(0x16d3)+(_0x4a6bd4['name']||_0x1d47d9(0x1f2b))+_0x1d47d9(0x252f)+_0x1d47d9(0xe01))[_0x1d47d9(0x4bd)](_0x1d47d9(0x9e4))[_0x1d47d9(0x1f27)](_0x49643c)['ok']('OK')['cancel'](_0x1d47d9(0x39a));_0x48557d[_0x1d47d9(0x2615)](_0x44e2d2)[_0x1d47d9(0x146b)](function(){const _0x424334=_0x1d47d9;_0x467cb0[_0x424334(0x620)][_0x424334(0x1fac)]({'id':_0x4a6bd4['id']})[_0x424334(0x2945)][_0x424334(0x146b)](function(){const _0x22ebf6=_0x424334;_0x3f65c0()[_0x22ebf6(0x2640)](_0x1e2e1c['configurations'],{'id':_0x4a6bd4['id']}),_0x1c7249[_0x22ebf6(0x1c75)]({'title':_0x22ebf6(0x492),'msg':_0x4a6bd4[_0x22ebf6(0x19eb)]?_0x4a6bd4['name']+_0x22ebf6(0x23e3):''});})[_0x424334(0x129e)](function(_0x411ff9){const _0x30b534=_0x424334;_0x1c7249[_0x30b534(0x1980)]({'title':_0x411ff9[_0x30b534(0x107b)]?_0x30b534(0x262a)+_0x411ff9[_0x30b534(0x107b)]+_0x30b534(0x1315)+_0x411ff9[_0x30b534(0x167f)]:'SYSTEM:DELETECONFIGURATION','msg':_0x411ff9[_0x30b534(0x524)]?JSON[_0x30b534(0x10bb)](_0x411ff9['data']):_0x411ff9[_0x30b534(0xd5f)]()});})[_0x424334(0x1ec6)](function(){const _0x18e26a=_0x424334;_0x1e2e1c[_0x18e26a(0x1bac)](0x0);});},function(){const _0x1eaa04=_0x1d47d9;console[_0x1eaa04(0x1a74)](_0x1eaa04(0x39a));});}_0x1e2e1c['selectedSubjects']=[],_0x1e2e1c['createOrEditSubject']=_0x16af93,_0x1e2e1c[_0x27ec4c(0x10d5)]=_0x41290b,_0x1e2e1c[_0x27ec4c(0x1777)]=_0x228409;function _0x16af93(_0x2689f5,_0x2f1544){const _0x1d7af4=_0x27ec4c;_0x48557d['show']({'controller':_0x1d7af4(0xe4c),'controllerAs':'vm','templateUrl':_0x41e8e0,'parent':angular[_0x1d7af4(0x1853)](_0x378614['body']),'targetEvent':_0x2689f5,'clickOutsideToClose':!![],'locals':{'type':_0x1d7af4(0xe32),'item':_0x2f1544,'items':_0x1e2e1c[_0x1d7af4(0x3c8)],'configuration':_0x1e2e1c['servicenowConfiguration'],'account':_0x1e2e1c[_0x1d7af4(0x177c)],'license':null,'setting':null,'crudPermissions':_0x1e2e1c[_0x1d7af4(0x2514)]}});}function _0x124cd1(_0x451cce){const _0x40808d=_0x27ec4c;_0x467cb0[_0x40808d(0x178c)][_0x40808d(0x1fac)]({'id':_0x451cce['id']})[_0x40808d(0x2945)][_0x40808d(0x146b)](function(){const _0x3a0666=_0x40808d;_0x3f65c0()['remove'](_0x1e2e1c[_0x3a0666(0x3c8)],{'id':_0x451cce['id']}),_0x1c7249[_0x3a0666(0x1c75)]({'title':_0x3a0666(0x28e6),'msg':'Subject\x20has\x20been\x20deleted!'});})[_0x40808d(0x129e)](function(_0x12abeb){const _0x291b76=_0x40808d;_0x1c7249[_0x291b76(0x1980)]({'title':_0x12abeb[_0x291b76(0x107b)]?'API:'+_0x12abeb[_0x291b76(0x107b)]+_0x291b76(0x1315)+_0x12abeb[_0x291b76(0x167f)]:_0x291b76(0xf6e),'msg':_0x12abeb[_0x291b76(0x524)]?JSON['stringify'](_0x12abeb['data']):_0x12abeb['toString']()});});}function _0x41290b(_0x15388c,_0x1c5ca5){const _0x6202=_0x27ec4c,_0x4b82f1=_0x48557d[_0x6202(0x1e8a)]()[_0x6202(0x1189)](_0x6202(0x317))[_0x6202(0x1cbe)](_0x6202(0xf7f)+'\x20will\x20be\x20deleted.')[_0x6202(0x4bd)](_0x6202(0x5d1))[_0x6202(0x1f27)](_0x15388c)['ok']('OK')['cancel']('CANCEL');_0x48557d[_0x6202(0x2615)](_0x4b82f1)[_0x6202(0x146b)](function(){_0x124cd1(_0x1c5ca5);},function(){const _0x329efc=_0x6202;console['log'](_0x329efc(0x39a));});}function _0x228409(_0x3f752e){const _0x17836d=_0x27ec4c,_0x4ea322=_0x48557d[_0x17836d(0x1e8a)]()[_0x17836d(0x1189)](_0x17836d(0x2e6))[_0x17836d(0x1cbe)](_0x17836d(0x16d3)+_0x1e2e1c[_0x17836d(0x15a6)][_0x17836d(0x402)]+_0x17836d(0x2452)+_0x17836d(0xe01))[_0x17836d(0x4bd)](_0x17836d(0x1209))[_0x17836d(0x1f27)](_0x3f752e)['ok']('OK')['cancel'](_0x17836d(0x39a));_0x48557d[_0x17836d(0x2615)](_0x4ea322)['then'](function(){const _0xe52a4a=_0x17836d;_0x1e2e1c[_0xe52a4a(0x15a6)][_0xe52a4a(0x1df5)](function(_0x1dd147){_0x124cd1(_0x1dd147);}),_0x1e2e1c[_0xe52a4a(0x15a6)]=[];});}_0x1e2e1c[_0x27ec4c(0x126)]=[],_0x1e2e1c['createOrEditDescription']=_0x32b0b1,_0x1e2e1c[_0x27ec4c(0xb29)]=_0x42a300,_0x1e2e1c['deleteSelectedDescriptions']=_0x62ef3b;function _0x32b0b1(_0x39297e,_0x43d2dc){const _0x1dc283=_0x27ec4c;_0x48557d['show']({'controller':_0x1dc283(0xe4c),'controllerAs':'vm','templateUrl':_0x41e8e0,'parent':angular[_0x1dc283(0x1853)](_0x378614[_0x1dc283(0x2586)]),'targetEvent':_0x39297e,'clickOutsideToClose':!![],'locals':{'type':_0x1dc283(0xb17),'item':_0x43d2dc,'items':_0x1e2e1c[_0x1dc283(0x2358)],'configuration':_0x1e2e1c[_0x1dc283(0x1f2b)],'account':_0x1e2e1c[_0x1dc283(0x177c)],'license':null,'setting':null,'crudPermissions':_0x1e2e1c[_0x1dc283(0x2514)]}});}function _0x36fce9(_0x26f8a4){const _0x587399=_0x27ec4c;_0x467cb0[_0x587399(0x178c)][_0x587399(0x1fac)]({'id':_0x26f8a4['id']})['$promise'][_0x587399(0x146b)](function(){const _0x290ed7=_0x587399;_0x3f65c0()[_0x290ed7(0x2640)](_0x1e2e1c[_0x290ed7(0x2358)],{'id':_0x26f8a4['id']}),_0x1c7249[_0x290ed7(0x1c75)]({'title':_0x290ed7(0xaf8),'msg':_0x290ed7(0x8e3)});})[_0x587399(0x129e)](function(_0x358f42){const _0x2e57a2=_0x587399;_0x1c7249['error']({'title':_0x358f42[_0x2e57a2(0x107b)]?_0x2e57a2(0x262a)+_0x358f42[_0x2e57a2(0x107b)]+_0x2e57a2(0x1315)+_0x358f42[_0x2e57a2(0x167f)]:'SYSTEM:DELETECONFIGURATIONFIELD','msg':_0x358f42['data']?JSON[_0x2e57a2(0x10bb)](_0x358f42[_0x2e57a2(0x524)]):_0x358f42[_0x2e57a2(0xd5f)]()});});}function _0x42a300(_0x4744f4,_0x2e7310){const _0x343d6f=_0x27ec4c,_0x7cf74=_0x48557d[_0x343d6f(0x1e8a)]()[_0x343d6f(0x1189)](_0x343d6f(0x1e9))[_0x343d6f(0x1cbe)](_0x343d6f(0x2014)+_0x343d6f(0xe01))[_0x343d6f(0x4bd)](_0x343d6f(0x1c5c))[_0x343d6f(0x1f27)](_0x4744f4)['ok']('OK')[_0x343d6f(0x6c3)](_0x343d6f(0x39a));_0x48557d[_0x343d6f(0x2615)](_0x7cf74)[_0x343d6f(0x146b)](function(){_0x36fce9(_0x2e7310);},function(){const _0x163242=_0x343d6f;console['log'](_0x163242(0x39a));});}function _0x62ef3b(_0x3c4de8){const _0x2eefbd=_0x27ec4c,_0x29bc48=_0x48557d[_0x2eefbd(0x1e8a)]()['title'](_0x2eefbd(0x1acd))[_0x2eefbd(0x1cbe)](_0x2eefbd(0x16d3)+_0x1e2e1c[_0x2eefbd(0x126)][_0x2eefbd(0x402)]+_0x2eefbd(0x2452)+_0x2eefbd(0xe01))['ariaLabel'](_0x2eefbd(0x1be7))[_0x2eefbd(0x1f27)](_0x3c4de8)['ok']('OK')['cancel'](_0x2eefbd(0x39a));_0x48557d[_0x2eefbd(0x2615)](_0x29bc48)[_0x2eefbd(0x146b)](function(){const _0x2c6f5b=_0x2eefbd;_0x1e2e1c['selectedDescriptions'][_0x2c6f5b(0x1df5)](function(_0x40d82b){_0x36fce9(_0x40d82b);}),_0x1e2e1c[_0x2c6f5b(0x126)]=[];});}_0x1e2e1c['selectedFields']=[],_0x1e2e1c[_0x27ec4c(0x737)]=_0x4ff7a4,_0x1e2e1c['deleteFieldConfirm']=_0x4d2edb,_0x1e2e1c[_0x27ec4c(0x816)]=_0x4ffc2e;function _0x4ff7a4(_0x1d4c39,_0x348c00){const _0x28e1c0=_0x27ec4c;_0x48557d[_0x28e1c0(0x2615)]({'controller':_0x28e1c0(0xe4c),'controllerAs':'vm','templateUrl':_0x41e8e0,'parent':angular[_0x28e1c0(0x1853)](_0x378614[_0x28e1c0(0x2586)]),'targetEvent':_0x1d4c39,'clickOutsideToClose':!![],'locals':{'type':_0x28e1c0(0x65c),'item':_0x348c00,'items':_0x1e2e1c[_0x28e1c0(0x2867)],'configuration':_0x1e2e1c['servicenowConfiguration'],'account':_0x1e2e1c['servicenowAccount'],'license':null,'setting':null,'crudPermissions':_0x1e2e1c[_0x28e1c0(0x2514)]}});}function _0x5e5ee7(_0x58ed84){const _0x2e5609=_0x27ec4c;_0x467cb0[_0x2e5609(0x178c)]['delete']({'id':_0x58ed84['id']})[_0x2e5609(0x2945)][_0x2e5609(0x146b)](function(){const _0x42eea0=_0x2e5609;_0x3f65c0()[_0x42eea0(0x2640)](_0x1e2e1c[_0x42eea0(0x2867)],{'id':_0x58ed84['id']}),_0x1c7249['success']({'title':_0x42eea0(0x24ce),'msg':'Field\x20has\x20been\x20deleted!'});})[_0x2e5609(0x129e)](function(_0x2a1f73){const _0x188ab8=_0x2e5609;_0x1c7249[_0x188ab8(0x1980)]({'title':_0x2a1f73[_0x188ab8(0x107b)]?_0x188ab8(0x262a)+_0x2a1f73[_0x188ab8(0x107b)]+_0x188ab8(0x1315)+_0x2a1f73['statusText']:_0x188ab8(0xf6e),'msg':_0x2a1f73[_0x188ab8(0x524)]?JSON[_0x188ab8(0x10bb)](_0x2a1f73[_0x188ab8(0x524)]):_0x2a1f73[_0x188ab8(0xd5f)]()});});}function _0x4d2edb(_0x20b19b,_0x19a2fd){const _0x3435ba=_0x27ec4c,_0x2afd7f=_0x48557d[_0x3435ba(0x1e8a)]()[_0x3435ba(0x1189)](_0x3435ba(0x17f6))[_0x3435ba(0x1cbe)](_0x3435ba(0x2216)+_0x3435ba(0xe01))[_0x3435ba(0x4bd)]('delete\x20field')[_0x3435ba(0x1f27)](_0x20b19b)['ok']('OK')[_0x3435ba(0x6c3)](_0x3435ba(0x39a));_0x48557d['show'](_0x2afd7f)['then'](function(){_0x5e5ee7(_0x19a2fd);},function(){const _0x10a42d=_0x3435ba;console[_0x10a42d(0x1a74)](_0x10a42d(0x39a));});}function _0x4ffc2e(_0x36b6a4){const _0x3acbec=_0x27ec4c,_0x3b3fa9=_0x48557d[_0x3acbec(0x1e8a)]()['title'](_0x3acbec(0xe75))[_0x3acbec(0x1cbe)](''+_0x1e2e1c['selectedFields'][_0x3acbec(0x402)]+'\x20selected'+'\x20will\x20be\x20deleted.')[_0x3acbec(0x4bd)](_0x3acbec(0x176a))[_0x3acbec(0x1f27)](_0x36b6a4)['ok']('OK')[_0x3acbec(0x6c3)](_0x3acbec(0x39a));_0x48557d['show'](_0x3b3fa9)[_0x3acbec(0x146b)](function(){const _0x58e5f2=_0x3acbec;_0x1e2e1c['selectedFields'][_0x58e5f2(0x1df5)](function(_0x3cf50e){_0x5e5ee7(_0x3cf50e);}),_0x1e2e1c['selectedFields']=[];});}}const _0x3ddd30=_0x16e6d6;;_0x2057cd[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),'configurations',_0x313a4d(0x1f2b),_0x313a4d(0x247f),'Auth',_0x313a4d(0x2690),_0x313a4d(0x15b9),'crudPermissions'];function _0x2057cd(_0x32202e,_0x3486d7,_0x52940b,_0x47bcfc,_0x82fefe,_0x159cc6,_0x5c36f5,_0x34899b,_0x30f703,_0x19bc9c,_0x4b5675,_0x5416da,_0x3db0f2,_0x157913){const _0x244a9c=_0x313a4d,_0x340aca=this;_0x340aca[_0x244a9c(0x2321)]=_0x4b5675['getCurrentUser'](),_0x340aca[_0x244a9c(0xcef)]=[],_0x340aca[_0x244a9c(0x15b9)]=_0x3db0f2,_0x340aca[_0x244a9c(0x2690)]=_0x5416da,_0x340aca[_0x244a9c(0x2514)]=_0x157913,_0x340aca[_0x244a9c(0x855)]={},_0x340aca['passwordPattern']=_0x340aca[_0x244a9c(0x15b9)]&&_0x340aca[_0x244a9c(0x15b9)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x340aca[_0x244a9c(0x1189)]=_0x244a9c(0x1cf4),_0x340aca['servicenowConfiguration']=angular[_0x244a9c(0x235a)](_0x30f703),_0x340aca[_0x244a9c(0x1723)]=_0x34899b,_0x340aca[_0x244a9c(0x4d9)]=![];!_0x340aca[_0x244a9c(0x1f2b)]&&(_0x340aca[_0x244a9c(0x1f2b)]={'channel':_0x244a9c(0xe6),'type':_0x244a9c(0x7b0)},_0x340aca['title']=_0x244a9c(0x893),_0x340aca[_0x244a9c(0x4d9)]=!![]);_0x340aca[_0x244a9c(0x1749)]=_0x593693,_0x340aca[_0x244a9c(0x1280)]=_0xa216de,_0x340aca[_0x244a9c(0x389)]=_0x109697,_0x340aca['getDateFromString']=_0x27af40,_0x340aca['closeDialog']=_0x53b867;function _0x593693(){const _0xc22c79=_0x244a9c;_0x340aca['errors']=[],_0x19bc9c[_0xc22c79(0xbd9)]['addConfiguration']({'id':_0x3486d7[_0xc22c79(0x16a)]['id']},_0x340aca[_0xc22c79(0x1f2b)])['$promise'][_0xc22c79(0x146b)](function(_0x3dcc7f){const _0x22dae3=_0xc22c79;_0x340aca[_0x22dae3(0x1723)][_0x22dae3(0xb3d)](_0x3dcc7f[_0x22dae3(0x2488)]()),_0x5c36f5['success']({'title':_0x22dae3(0x15f3),'msg':_0x340aca[_0x22dae3(0x1f2b)][_0x22dae3(0x19eb)]?_0x340aca[_0x22dae3(0x1f2b)][_0x22dae3(0x19eb)]+'\x20has\x20been\x20created!':''}),_0x53b867(_0x3dcc7f);})['catch'](function(_0x369c32){const _0x737f64=_0xc22c79;if(_0x369c32['data']&&_0x369c32['data']['errors']&&_0x369c32[_0x737f64(0x524)]['errors']['length']){_0x340aca[_0x737f64(0xcef)]=_0x369c32[_0x737f64(0x524)]['errors']||[{'message':_0x369c32[_0x737f64(0xd5f)](),'type':_0x737f64(0x187c)}];for(let _0x397f42=0x0;_0x397f42<_0x369c32['data'][_0x737f64(0xcef)][_0x737f64(0x402)];_0x397f42+=0x1){_0x5c36f5[_0x737f64(0x1980)]({'title':_0x369c32[_0x737f64(0x524)][_0x737f64(0xcef)][_0x397f42][_0x737f64(0x1142)],'msg':_0x369c32['data'][_0x737f64(0xcef)][_0x397f42]['message']});}}else _0x5c36f5[_0x737f64(0x1980)]({'title':_0x369c32[_0x737f64(0x107b)]?_0x737f64(0x262a)+_0x369c32[_0x737f64(0x107b)]+_0x737f64(0x1315)+_0x369c32[_0x737f64(0x167f)]:_0x737f64(0x187c),'msg':_0x369c32[_0x737f64(0x524)]?JSON[_0x737f64(0x10bb)](_0x369c32[_0x737f64(0x524)][_0x737f64(0x7fd)]):_0x369c32[_0x737f64(0xd5f)]()});});}function _0xa216de(){const _0x33c9e8=_0x244a9c;_0x340aca['errors']=[],_0x19bc9c['intServicenowConfiguration'][_0x33c9e8(0x18e1)]({'id':_0x340aca[_0x33c9e8(0x1f2b)]['id']},_0x340aca[_0x33c9e8(0x1f2b)])[_0x33c9e8(0x2945)][_0x33c9e8(0x146b)](function(_0xc217a4){const _0x4aeb05=_0x33c9e8,_0x2cac4d=_0x3f65c0()[_0x4aeb05(0xc84)](_0x340aca['configurations'],{'id':_0xc217a4['id']});_0x2cac4d&&_0x3f65c0()[_0x4aeb05(0x168d)](_0x2cac4d,_0x3f65c0()[_0x4aeb05(0x40e)](_0xc217a4[_0x4aeb05(0x2488)](),_0x3f65c0()[_0x4aeb05(0x627)](_0x2cac4d))),_0x5c36f5['success']({'title':'ServicenowConfiguration\x20properly\x20saved!','msg':_0x340aca[_0x4aeb05(0x1f2b)]['name']?_0x340aca[_0x4aeb05(0x1f2b)][_0x4aeb05(0x19eb)]+'\x20has\x20been\x20saved!':''}),_0x53b867(_0xc217a4);})[_0x33c9e8(0x129e)](function(_0x615821){const _0x2d49bc=_0x33c9e8;if(_0x615821[_0x2d49bc(0x524)]&&_0x615821['data'][_0x2d49bc(0xcef)]&&_0x615821[_0x2d49bc(0x524)]['errors'][_0x2d49bc(0x402)]){_0x340aca[_0x2d49bc(0xcef)]=_0x615821[_0x2d49bc(0x524)][_0x2d49bc(0xcef)]||[{'message':_0x615821[_0x2d49bc(0xd5f)](),'type':_0x2d49bc(0x2031)}];for(let _0x3979d8=0x0;_0x3979d8<_0x615821[_0x2d49bc(0x524)][_0x2d49bc(0xcef)][_0x2d49bc(0x402)];_0x3979d8++){_0x5c36f5[_0x2d49bc(0x1980)]({'title':_0x615821[_0x2d49bc(0x524)]['errors'][_0x3979d8][_0x2d49bc(0x1142)],'msg':_0x615821['data'][_0x2d49bc(0xcef)][_0x3979d8][_0x2d49bc(0x7fd)]});}}else _0x5c36f5[_0x2d49bc(0x1980)]({'title':_0x615821[_0x2d49bc(0x107b)]?_0x2d49bc(0x262a)+_0x615821[_0x2d49bc(0x107b)]+_0x2d49bc(0x1315)+_0x615821[_0x2d49bc(0x167f)]:_0x2d49bc(0x2031),'msg':_0x615821[_0x2d49bc(0x524)]?JSON[_0x2d49bc(0x10bb)](_0x615821['data']['message']):_0x615821[_0x2d49bc(0xd5f)]()});});}function _0x109697(_0x2fea51){const _0xe544b4=_0x244a9c;_0x340aca[_0xe544b4(0xcef)]=[];const _0x1a654c=_0x47bcfc[_0xe544b4(0x1e8a)]()[_0xe544b4(0x1189)](_0xe544b4(0x1d64))['content'](_0xe544b4(0x26d0))[_0xe544b4(0x4bd)]('Delete\x20ServicenowConfiguration')['ok'](_0xe544b4(0x25de))[_0xe544b4(0x6c3)](_0xe544b4(0xcf0))[_0xe544b4(0x1f27)](_0x2fea51);_0x47bcfc[_0xe544b4(0x2615)](_0x1a654c)['then'](function(){const _0x40fc55=_0xe544b4;_0x19bc9c[_0x40fc55(0x620)]['delete']({'id':_0x340aca['servicenowConfiguration']['id']})[_0x40fc55(0x2945)][_0x40fc55(0x146b)](function(){const _0x1307b4=_0x40fc55;_0x3f65c0()[_0x1307b4(0x2640)](_0x340aca[_0x1307b4(0x1723)],{'id':_0x340aca[_0x1307b4(0x1f2b)]['id']}),_0x5c36f5[_0x1307b4(0x1c75)]({'title':_0x1307b4(0x7ce),'msg':(_0x340aca[_0x1307b4(0x1f2b)][_0x1307b4(0x19eb)]||'servicenowConfiguration')+_0x1307b4(0x23e3)}),_0x53b867(_0x340aca['servicenowConfiguration']);})[_0x40fc55(0x129e)](function(_0x39effe){const _0x5c94f1=_0x40fc55;if(_0x39effe[_0x5c94f1(0x524)]&&_0x39effe['data'][_0x5c94f1(0xcef)]&&_0x39effe[_0x5c94f1(0x524)]['errors'][_0x5c94f1(0x402)]){_0x340aca[_0x5c94f1(0xcef)]=_0x39effe[_0x5c94f1(0x524)][_0x5c94f1(0xcef)]||[{'message':_0x39effe[_0x5c94f1(0xd5f)](),'type':_0x5c94f1(0x1339)}];for(let _0x1e6b91=0x0;_0x1e6b91<_0x39effe[_0x5c94f1(0x524)]['errors'][_0x5c94f1(0x402)];_0x1e6b91++){_0x5c36f5[_0x5c94f1(0x1980)]({'title':_0x39effe[_0x5c94f1(0x524)]['errors'][_0x1e6b91][_0x5c94f1(0x1142)],'msg':_0x39effe[_0x5c94f1(0x524)][_0x5c94f1(0xcef)][_0x1e6b91][_0x5c94f1(0x7fd)]});}}else _0x5c36f5[_0x5c94f1(0x1980)]({'title':_0x39effe['status']?_0x5c94f1(0x262a)+_0x39effe[_0x5c94f1(0x107b)]+'\x20-\x20'+_0x39effe['statusText']:_0x5c94f1(0x1339),'msg':_0x39effe[_0x5c94f1(0x524)]?JSON[_0x5c94f1(0x10bb)](_0x39effe[_0x5c94f1(0x524)]['message']):_0x39effe[_0x5c94f1(0x7fd)]||_0x39effe['toString']()});});},function(){});}function _0x27af40(_0x3a442c){return _0x3a442c===null?undefined:new Date(_0x3a442c);}function _0x53b867(_0x9c9e9f){_0x47bcfc['hide'](_0x9c9e9f);}}const _0x1bd5bd=_0x2057cd;;_0x46efc9['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),_0x313a4d(0x1fe4),_0x313a4d(0x19d7),_0x313a4d(0x1fd6),'item',_0x313a4d(0x1f8e),_0x313a4d(0x1142),_0x313a4d(0x247f),_0x313a4d(0xa87),'crudPermissions'];function _0x46efc9(_0x3a5be8,_0x63649,_0x5bad58,_0x776864,_0x12d736,_0x3391a1,_0x4a6021,_0x515185,_0x5dd687,_0x29e42d,_0x1799a2,_0x5ad6e5,_0x53b65f){const _0x452aed=_0x313a4d,_0x2504eb=this;_0x2504eb[_0x452aed(0x2321)]=_0x5ad6e5[_0x452aed(0xb12)](),_0x2504eb[_0x452aed(0xcef)]=[],_0x2504eb['title']=_0x452aed(0x28ec)+_0x3f65c0()[_0x452aed(0xd39)](_0x29e42d),_0x2504eb[_0x452aed(0x2711)]=angular[_0x452aed(0x235a)](_0x515185),_0x2504eb[_0x452aed(0x1fd6)]=_0x4a6021,_0x2504eb[_0x452aed(0x1142)]=_0x29e42d,_0x2504eb[_0x452aed(0x2514)]=_0x53b65f,_0x2504eb['newItem']=![];!_0x2504eb[_0x452aed(0x2711)]&&(_0x2504eb[_0x452aed(0x2711)]={'type':'string'},_0x2504eb['item'][_0x3f65c0()[_0x452aed(0x83f)](_0x29e42d)+'Id']=_0x3391a1['id'],_0x2504eb['title']=_0x452aed(0xe78)+_0x3f65c0()[_0x452aed(0xd39)](_0x29e42d),_0x2504eb[_0x452aed(0x23cd)]=!![]);_0x2504eb[_0x452aed(0x1e65)]=_0x3b6621,_0x2504eb['saveItem']=_0x3762d6,_0x2504eb['deleteItem']=_0x327609,_0x2504eb[_0x452aed(0x21b1)]=_0x4fbad,_0x2504eb[_0x452aed(0x13f3)]=_0x12b85b,_0x1799a2[_0x452aed(0x212)][_0x452aed(0x16b4)]({'nolimit':!![]})[_0x452aed(0x2945)]['then'](function(_0xa6ecdb){const _0x40c802=_0x452aed;return _0x2504eb['variables']=_0xa6ecdb[_0x40c802(0x19c7)]?_0xa6ecdb['rows']:[],_0x1799a2[_0x40c802(0x1cb3)]['describe']()[_0x40c802(0x2945)];})[_0x452aed(0x146b)](function(_0x3a20fe){const _0x13add3=_0x452aed;return _0x2504eb[_0x13add3(0x1c8a)]=_0x3f65c0()[_0x13add3(0xa62)](_0x3f65c0()['keys'](_0x3a20fe),[_0x13add3(0x2945),'$resolved','createdAt',_0x13add3(0x144f),'sourceid']),_0x2504eb[_0x13add3(0x1c8a)][_0x13add3(0x1f47)](_0x13add3(0x643)),_0x1799a2[_0x13add3(0x160)][_0x13add3(0x1ea2)]()['$promise'];})['then'](function(_0x5cfe54){const _0x4bfc29=_0x452aed;_0x2504eb[_0x4bfc29(0x164b)]=_0x3f65c0()['difference'](_0x3f65c0()['keys'](_0x5cfe54),['$promise','$resolved',_0x4bfc29(0xc68),_0x4bfc29(0x144f),_0x4bfc29(0xc3b)]),_0x2504eb[_0x4bfc29(0x164b)][_0x4bfc29(0x1f47)](_0x4bfc29(0x643));})['catch'](function(_0xb3f7ef){const _0x2adacd=_0x452aed;_0x12d736['error']({'title':_0xb3f7ef['status']?'API:'+_0xb3f7ef[_0x2adacd(0x107b)]+_0x2adacd(0x1315)+_0xb3f7ef[_0x2adacd(0x167f)]:_0x2adacd(0x502),'msg':_0xb3f7ef['data']?JSON[_0x2adacd(0x10bb)](_0xb3f7ef[_0x2adacd(0x524)]):_0xb3f7ef['toString']()});}),_0x1799a2[_0x452aed(0xbd9)][_0x452aed(0x12ff)]({'id':_0x5dd687['id'],'ticketType':_0x3391a1[_0x452aed(0x21be)]?_0x3391a1[_0x452aed(0x21be)]:undefined})[_0x452aed(0x2945)]['then'](function(_0x57128b){const _0x4200d8=_0x452aed;_0x2504eb[_0x4200d8(0x822)]=!![],_0x2504eb[_0x4200d8(0x2867)]=_0x57128b[_0x4200d8(0x19c7)]?_0x57128b[_0x4200d8(0x19c7)]:[],_0x2504eb[_0x4200d8(0x2711)][_0x4200d8(0x2912)]&&(_0x2504eb['customField']=_0x3f65c0()[_0x4200d8(0xc84)](_0x2504eb[_0x4200d8(0x2867)],{'id':_0x2504eb[_0x4200d8(0x2711)]['idField']}));})[_0x452aed(0x129e)](function(_0x39f035){_0x2504eb['accountConnected']=![],console['error'](_0x39f035);});function _0x3b6621(){const _0x4404c3=_0x452aed;_0x2504eb[_0x4404c3(0xcef)]=[],_0x2504eb['customField']&&(_0x2504eb['item'][_0x4404c3(0x2912)]=_0x2504eb[_0x4404c3(0x165e)]['id'],_0x2504eb['item'][_0x4404c3(0x25e3)]=_0x2504eb[_0x4404c3(0x165e)][_0x4404c3(0x19eb)],_0x2504eb['item'][_0x4404c3(0x165e)]=_0x2504eb[_0x4404c3(0x165e)][_0x4404c3(0x1802)]),_0x1799a2[_0x4404c3(0x178c)][_0x4404c3(0x1e3)](_0x2504eb[_0x4404c3(0x2711)])[_0x4404c3(0x2945)][_0x4404c3(0x146b)](function(_0x5dbe86){const _0x32a0f5=_0x4404c3;_0x2504eb[_0x32a0f5(0x1fd6)][_0x32a0f5(0xb3d)](_0x5dbe86),_0x12d736['success']({'title':_0x3f65c0()['capitalize'](_0x29e42d)+_0x32a0f5(0x1637),'msg':_0x3f65c0()[_0x32a0f5(0x83f)](_0x29e42d)+'\x20has\x20been\x20created!'}),_0x12b85b();})[_0x4404c3(0x129e)](function(_0x3553cf){const _0xe4d70d=_0x4404c3;console[_0xe4d70d(0x1980)](_0x3553cf),_0x2504eb['errors']=_0x3553cf[_0xe4d70d(0x524)][_0xe4d70d(0xcef)]||[{'message':_0x3553cf[_0xe4d70d(0xd5f)](),'type':_0xe4d70d(0x1ec4)}];});}function _0x3762d6(){const _0x2ffc1b=_0x452aed;_0x2504eb[_0x2ffc1b(0xcef)]=[],_0x2504eb['customField']&&(_0x2504eb[_0x2ffc1b(0x2711)][_0x2ffc1b(0x2912)]=_0x2504eb[_0x2ffc1b(0x165e)]['id'],_0x2504eb['item']['nameField']=_0x2504eb[_0x2ffc1b(0x165e)][_0x2ffc1b(0x19eb)],_0x2504eb[_0x2ffc1b(0x2711)][_0x2ffc1b(0x165e)]=_0x2504eb[_0x2ffc1b(0x165e)][_0x2ffc1b(0x1802)]),_0x1799a2[_0x2ffc1b(0x178c)][_0x2ffc1b(0x18e1)]({'id':_0x2504eb[_0x2ffc1b(0x2711)]['id']},_0x2504eb['item'])[_0x2ffc1b(0x2945)][_0x2ffc1b(0x146b)](function(_0x4f88a9){const _0x4da2a0=_0x2ffc1b,_0x3e1df7=_0x3f65c0()['find'](_0x2504eb[_0x4da2a0(0x1fd6)],{'id':_0x4f88a9['id']});_0x3e1df7&&_0x3f65c0()['merge'](_0x3e1df7,_0x4f88a9),_0x12d736[_0x4da2a0(0x1c75)]({'title':_0x3f65c0()[_0x4da2a0(0x83f)](_0x29e42d)+_0x4da2a0(0xffe),'msg':_0x3f65c0()[_0x4da2a0(0x83f)](_0x29e42d)+_0x4da2a0(0x24db)}),_0x12b85b();})[_0x2ffc1b(0x129e)](function(_0x1ba98f){const _0x6afa06=_0x2ffc1b;console['error'](_0x1ba98f),_0x2504eb[_0x6afa06(0xcef)]=_0x1ba98f['data'][_0x6afa06(0xcef)]||[{'message':_0x1ba98f[_0x6afa06(0xd5f)](),'type':_0x6afa06(0x1b4)}];});}function _0x327609(_0x1eeee9){const _0xb4a411=_0x452aed;_0x2504eb[_0xb4a411(0xcef)]=[];const _0x70a879=_0x776864[_0xb4a411(0x1e8a)]()[_0xb4a411(0x1189)](_0xb4a411(0x1d64))[_0xb4a411(0x80f)](_0xb4a411(0xe57)+_0x29e42d+_0xb4a411(0xe01))[_0xb4a411(0x4bd)](_0xb4a411(0xb22)+_0x3f65c0()['capitalize'](_0x29e42d))['ok']('Delete')[_0xb4a411(0x6c3)](_0xb4a411(0xcf0))[_0xb4a411(0x1f27)](_0x1eeee9);_0x776864[_0xb4a411(0x2615)](_0x70a879)[_0xb4a411(0x146b)](function(){const _0x5a2703=_0xb4a411;_0x1799a2[_0x5a2703(0x178c)][_0x5a2703(0x1fac)]({'id':_0x2504eb[_0x5a2703(0x2711)]['id']})['$promise'][_0x5a2703(0x146b)](function(){const _0x31b2b3=_0x5a2703;_0x3f65c0()[_0x31b2b3(0x2640)](_0x2504eb[_0x31b2b3(0x1fd6)],{'id':_0x2504eb[_0x31b2b3(0x2711)]['id']}),_0x12d736[_0x31b2b3(0x1c75)]({'title':_0x3f65c0()['capitalize'](_0x29e42d)+_0x31b2b3(0x1e6c),'msg':_0x3f65c0()[_0x31b2b3(0x83f)](_0x29e42d)+_0x31b2b3(0x23e3)}),_0x12b85b();})[_0x5a2703(0x129e)](function(_0x3678b3){const _0x4febc0=_0x5a2703;console[_0x4febc0(0x1980)](_0x3678b3),_0x2504eb['errors']=_0x3678b3[_0x4febc0(0x524)][_0x4febc0(0xcef)]||[{'message':_0x3678b3['toString'](),'type':'api.item.delete'}];});},function(){});}function _0x4fbad(){const _0x35c821=_0x452aed;return _0x2504eb['item'][_0x35c821(0x97f)]!==undefined?_0x2504eb[_0x35c821(0x2711)][_0x35c821(0x97f)]:'Please\x20select\x20a\x20variable';}function _0x12b85b(){const _0x47b70a=_0x452aed;_0x776864[_0x47b70a(0x2458)]();}}const _0x1a0df5=_0x46efc9;;_0x57ec9f[_0x313a4d(0x11c2)]=['$state','$location',_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x214b),'license','setting',_0x313a4d(0x247f),_0x313a4d(0x1fe4),'Auth',_0x313a4d(0x177c),_0x313a4d(0x1366)];function _0x57ec9f(_0x12e68d,_0xfcdfc1,_0x526e0c,_0x45dc4a,_0xd2d4e,_0x37b3cb,_0x217306,_0x47b28e,_0x485562,_0x110a58,_0x59c563,_0xc77883){const _0x3b746a=_0x313a4d,_0x4766ae=this;_0x4766ae[_0x3b746a(0x2321)]=_0x110a58[_0x3b746a(0xb12)](),_0x4766ae['license']=_0x37b3cb,_0x4766ae[_0x3b746a(0x15b9)]=_0x217306,_0x4766ae['passwordPattern']=_0x4766ae['setting'][_0x3b746a(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x4766ae[_0x3b746a(0x1002)]=_0xfcdfc1[_0x3b746a(0x2414)]()+_0x3b746a(0xb0e)+_0xfcdfc1[_0x3b746a(0x148e)](),_0x4766ae[_0x3b746a(0x177c)]=_0x59c563||_0x12e68d['params'][_0x3b746a(0x177c)]||{},_0x4766ae[_0x3b746a(0x1366)]=_0xc77883&&_0xc77883['count']==0x1?_0xc77883['rows'][0x0]:null,_0x4766ae[_0x3b746a(0x2514)]=_0x110a58[_0x3b746a(0xe60)](_0x4766ae[_0x3b746a(0x1366)]?_0x4766ae[_0x3b746a(0x1366)][_0x3b746a(0x2514)]:null),_0x4766ae[_0x3b746a(0x855)]={},_0x4766ae['selectedTab']=_0x12e68d[_0x3b746a(0x16a)]['tab']||0x0,_0x4766ae['alert']=_0x485562[_0x3b746a(0x271e)],_0x4766ae[_0x3b746a(0x781)]=_0x359583,_0x4766ae[_0x3b746a(0x242c)]=_0x2d28d2;function _0x359583(){const _0x530e23=_0x3b746a;_0x12e68d['go'](_0x530e23(0x8a8),{},{'reload':_0x530e23(0x8a8)});}function _0x2d28d2(){const _0x1a86ef=_0x3b746a;_0x47b28e['intServicenowAccount'][_0x1a86ef(0x18e1)]({'id':_0x4766ae['servicenowAccount']['id']},_0x4766ae[_0x1a86ef(0x177c)])[_0x1a86ef(0x2945)][_0x1a86ef(0x146b)](function(){const _0x23b9fc=_0x1a86ef;_0x485562[_0x23b9fc(0x1c75)]({'title':_0x23b9fc(0x225c),'msg':_0x4766ae[_0x23b9fc(0x177c)][_0x23b9fc(0x19eb)]?_0x4766ae[_0x23b9fc(0x177c)][_0x23b9fc(0x19eb)]+'\x20has\x20been\x20updated!':''});})[_0x1a86ef(0x129e)](function(_0x24161d){const _0x98b379=_0x1a86ef;_0x485562[_0x98b379(0x1980)]({'title':_0x24161d['status']?_0x98b379(0x262a)+_0x24161d[_0x98b379(0x107b)]+_0x98b379(0x1315)+_0x24161d[_0x98b379(0x167f)]:_0x98b379(0x3a2),'msg':_0x24161d[_0x98b379(0x524)]?JSON[_0x98b379(0x10bb)](_0x24161d[_0x98b379(0x524)]):_0x24161d[_0x98b379(0xd5f)]()});});}}const _0x5982d5=_0x57ec9f;;const _0x81f093=_0x4acfac['p']+'src/js/modules/main/apps/integrations/views/servicenowAccounts/create/dialog.html/dialog.html';;_0x3c2a4f[_0x313a4d(0x11c2)]=['$scope','$window',_0x313a4d(0x1862),_0x313a4d(0x15fe),'$mdDialog','$document',_0x313a4d(0x1abe),_0x313a4d(0x214b),_0x313a4d(0x69b),'userProfile','userProfileSection',_0x313a4d(0x247f),_0x313a4d(0x216c),'toasty',_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x3c2a4f(_0x3c8781,_0x24f67a,_0x5a11fe,_0x563edd,_0x25f5ad,_0x7af216,_0x57a83e,_0x2ee207,_0x984aa9,_0x155e68,_0x43b8a8,_0x21affa,_0x3ab3f8,_0x4464ac,_0x37d46c,_0x3ae045,_0xa5c1f4){const _0x25c2f0=_0x313a4d,_0x580fc7=this;_0x580fc7[_0x25c2f0(0x2690)]=_0x3ae045,_0x580fc7[_0x25c2f0(0x15b9)]=_0xa5c1f4,_0x580fc7[_0x25c2f0(0x2321)]=_0x37d46c[_0x25c2f0(0xb12)](),_0x580fc7[_0x25c2f0(0x69b)]=_0x984aa9||{'count':0x0,'rows':[]},_0x580fc7[_0x25c2f0(0x26b6)]=_0x155e68,_0x580fc7[_0x25c2f0(0x1366)]=_0x43b8a8&&_0x43b8a8['count']==0x1?_0x43b8a8[_0x25c2f0(0x19c7)][0x0]:null,_0x580fc7['crudPermissions']=_0x37d46c[_0x25c2f0(0xe60)](_0x580fc7[_0x25c2f0(0x1366)]?_0x580fc7[_0x25c2f0(0x1366)][_0x25c2f0(0x2514)]:null),_0x580fc7['table']='servicenowAccounts',_0x580fc7['listOrder']='',_0x580fc7[_0x25c2f0(0x214f)]=null,_0x580fc7[_0x25c2f0(0x10b)]=[],_0x580fc7[_0x25c2f0(0x1a56)]={'fields':'createdAt,updatedAt,id,name,username,password,email,remoteUri,serverUrl,description','limit':0xa,'page':0x1},_0x580fc7[_0x25c2f0(0x23f9)]=_0x2348e3,_0x580fc7['testtestintegrationaccount']=_0x4fd913,_0x580fc7[_0x25c2f0(0xf0a)]=_0x3e52ad,_0x580fc7[_0x25c2f0(0x1c75)]=_0x4ebf3d,_0x580fc7['getServicenowAccounts']=_0x7b8f2a,_0x580fc7[_0x25c2f0(0x1630)]=_0x97c700,_0x580fc7[_0x25c2f0(0x2460)]=_0x115ee7,_0x580fc7['exportSelectedServicenowAccounts']=_0x56167b,_0x580fc7[_0x25c2f0(0x10b5)]=_0x5eec34,_0x580fc7[_0x25c2f0(0x19a4)]=_0x33c5fc,_0x580fc7[_0x25c2f0(0x782)]=_0x2fb85f;function _0x2348e3(_0x44cf76){const _0x5763fe=_0x25c2f0;_0x5a11fe['go'](_0x5763fe(0x1ddb),{'id':_0x44cf76['id'],'servicenowAccount':_0x44cf76,'crudPermissions':_0x580fc7[_0x5763fe(0x2514)]});}function _0x4fd913(_0x30c6ab){const _0x3b6a96=_0x25c2f0;return _0x21affa[_0x3b6a96(0xbd9)]['getFields']({'id':_0x30c6ab['id'],'test':!![]})[_0x3b6a96(0x2945)][_0x3b6a96(0x146b)](function(){const _0x3baa50=_0x3b6a96;_0x4464ac[_0x3baa50(0x1c75)]({'title':_0x3baa50(0x12d8),'msg':_0x3baa50(0x1893)});})[_0x3b6a96(0x129e)](function(_0x3bfc37){const _0x3e3907=_0x3b6a96;_0x4464ac[_0x3e3907(0x1980)]({'title':'ServicenowAccount\x20properly\x20tested','msg':_0x3bfc37[_0x3e3907(0x524)]?_0x3bfc37[_0x3e3907(0x524)][_0x3e3907(0x7fd)]?_0x3bfc37[_0x3e3907(0x524)][_0x3e3907(0x7fd)]:JSON[_0x3e3907(0x10bb)](_0x3bfc37[_0x3e3907(0x524)]):_0x3bfc37[_0x3e3907(0xd5f)]()});});}function _0x3e52ad(_0x5fb483,_0x13eb6f){const _0x451004=_0x25c2f0,_0x4a3dc2=_0x25f5ad[_0x451004(0x1e8a)]()['title'](_0x451004(0xdb2)+_0x3f65c0()['startCase'](_0x451004(0x177c))+'?')[_0x451004(0x1cbe)](''+(_0x5fb483[_0x451004(0x19eb)]||_0x451004(0x177c))+_0x451004(0x252f)+_0x451004(0xe01))[_0x451004(0x4bd)](_0x451004(0x1b6))[_0x451004(0x1f27)](_0x13eb6f)['ok']('OK')[_0x451004(0x6c3)](_0x451004(0x39a));_0x25f5ad[_0x451004(0x2615)](_0x4a3dc2)[_0x451004(0x146b)](function(){_0x115ee7(_0x5fb483);},function(){const _0x29e375=_0x451004;console[_0x29e375(0x1a74)](_0x29e375(0x39a));});}let _0x2dae5b=!![],_0x2730a5=0x1;_0x3c8781[_0x25c2f0(0x21e8)](_0x25c2f0(0x2669),function(_0x54d6e6,_0x31a05a){const _0x33a44b=_0x25c2f0;_0x2dae5b?_0x57a83e(function(){_0x2dae5b=![];}):(!_0x31a05a&&(_0x2730a5=_0x580fc7['query'][_0x33a44b(0x844)]),_0x54d6e6!==_0x31a05a&&(_0x580fc7[_0x33a44b(0x1a56)][_0x33a44b(0x844)]=0x1),!_0x54d6e6&&(_0x580fc7[_0x33a44b(0x1a56)][_0x33a44b(0x844)]=_0x2730a5),_0x580fc7[_0x33a44b(0x243f)]());});function _0x4ebf3d(_0x104957){const _0x1b2fc9=_0x25c2f0;_0x580fc7[_0x1b2fc9(0x69b)]=_0x104957||{'count':0x0,'rows':[]};}function _0x7b8f2a(){const _0x415435=_0x25c2f0;_0x580fc7[_0x415435(0x1a56)][_0x415435(0x145d)]=(_0x580fc7[_0x415435(0x1a56)]['page']-0x1)*_0x580fc7[_0x415435(0x1a56)]['limit'],_0x37d46c[_0x415435(0x23e0)](_0x415435(0x174b))?_0x580fc7[_0x415435(0xb9c)]=_0x21affa[_0x415435(0xbd9)][_0x415435(0x16b4)](_0x580fc7[_0x415435(0x1a56)],_0x4ebf3d)[_0x415435(0x2945)]:(_0x580fc7[_0x415435(0x1a56)]['id']=_0x580fc7['userProfile']['id'],_0x580fc7[_0x415435(0x1a56)][_0x415435(0x2146)]=_0x415435(0xa95),_0x580fc7[_0x415435(0xb9c)]=_0x21affa[_0x415435(0x26b6)][_0x415435(0x158f)](_0x580fc7[_0x415435(0x1a56)],_0x4ebf3d)[_0x415435(0x2945)]);}function _0x97c700(_0x33d8c3,_0xe0c31a){const _0xf33877=_0x25c2f0;_0x25f5ad[_0xf33877(0x2615)]({'controller':'CreateOrEditServicenowAccountDialogController','controllerAs':'vm','templateUrl':_0x81f093,'parent':angular['element'](_0x7af216['body']),'targetEvent':_0x33d8c3,'clickOutsideToClose':!![],'locals':{'servicenowAccount':_0xe0c31a,'servicenowAccounts':_0x580fc7['servicenowAccounts'][_0xf33877(0x19c7)],'license':_0x580fc7[_0xf33877(0x2690)],'setting':_0x580fc7[_0xf33877(0x15b9)],'crudPermissions':_0x580fc7['crudPermissions']}});}function _0x115ee7(_0x423fc3){const _0x47cb34=_0x25c2f0;_0x21affa[_0x47cb34(0xbd9)][_0x47cb34(0x1fac)]({'id':_0x423fc3['id']})[_0x47cb34(0x2945)][_0x47cb34(0x146b)](function(){const _0xf413eb=_0x47cb34;_0x3f65c0()[_0xf413eb(0x2640)](_0x580fc7['servicenowAccounts'][_0xf413eb(0x19c7)],{'id':_0x423fc3['id']}),_0x580fc7[_0xf413eb(0x69b)][_0xf413eb(0x51c)]-=0x1,!_0x580fc7[_0xf413eb(0x69b)][_0xf413eb(0x19c7)][_0xf413eb(0x402)]&&_0x580fc7['getServicenowAccounts'](),_0x4464ac[_0xf413eb(0x1c75)]({'title':_0x3f65c0()[_0xf413eb(0x20d1)](_0xf413eb(0x12fb))+_0xf413eb(0x201c),'msg':_0x423fc3[_0xf413eb(0x19eb)]?_0x423fc3[_0xf413eb(0x19eb)]+_0xf413eb(0x23e3):''});})[_0x47cb34(0x129e)](function(_0x16263){const _0x11cd9d=_0x47cb34;if(_0x16263[_0x11cd9d(0x524)]&&_0x16263[_0x11cd9d(0x524)]['errors']&&_0x16263[_0x11cd9d(0x524)][_0x11cd9d(0xcef)][_0x11cd9d(0x402)]){_0x580fc7[_0x11cd9d(0xcef)]=_0x16263['data'][_0x11cd9d(0xcef)]||[{'message':_0x16263[_0x11cd9d(0xd5f)](),'type':_0x11cd9d(0x10a8)}];for(let _0x313550=0x0;_0x313550<_0x16263[_0x11cd9d(0x524)][_0x11cd9d(0xcef)][_0x11cd9d(0x402)];_0x313550++){_0x4464ac[_0x11cd9d(0x1980)]({'title':_0x16263[_0x11cd9d(0x524)]['errors'][_0x313550][_0x11cd9d(0x1142)],'msg':_0x16263['data'][_0x11cd9d(0xcef)][_0x313550][_0x11cd9d(0x7fd)]});}}else _0x4464ac[_0x11cd9d(0x1980)]({'title':_0x16263[_0x11cd9d(0x107b)]?_0x11cd9d(0x262a)+_0x16263['status']+_0x11cd9d(0x1315)+_0x16263['statusText']:'SYSTEM:DELETEintServicenowAccount','msg':_0x16263[_0x11cd9d(0x524)]?JSON[_0x11cd9d(0x10bb)](_0x16263['data']['message']):_0x16263[_0x11cd9d(0x7fd)]||_0x16263[_0x11cd9d(0xd5f)]()});});}function _0x56167b(){const _0x1c3579=_0x25c2f0,_0x4c7311=angular[_0x1c3579(0x235a)](_0x580fc7['selectedServicenowAccounts']);return _0x580fc7[_0x1c3579(0x10b)]=[],_0x4c7311;}function _0x5eec34(_0x46330c){const _0xbf9b23=_0x25c2f0,_0x3f92bf=_0x25f5ad['confirm']()[_0xbf9b23(0x1189)](_0xbf9b23(0x1474))[_0xbf9b23(0x1cbe)](_0xbf9b23(0x16d3)+_0x580fc7[_0xbf9b23(0x10b)][_0xbf9b23(0x402)]+_0xbf9b23(0x2452)+_0xbf9b23(0xe01))[_0xbf9b23(0x4bd)](_0xbf9b23(0x1bb2))[_0xbf9b23(0x1f27)](_0x46330c)['ok']('OK')['cancel'](_0xbf9b23(0x39a));_0x25f5ad[_0xbf9b23(0x2615)](_0x3f92bf)['then'](function(){const _0x23a531=_0xbf9b23;_0x580fc7[_0x23a531(0x10b)]['forEach'](function(_0x2916dc){_0x115ee7(_0x2916dc);}),_0x580fc7[_0x23a531(0x10b)]=[];});}function _0x33c5fc(){const _0x221bb4=_0x25c2f0;_0x580fc7[_0x221bb4(0x10b)]=[];}function _0x2fb85f(){const _0x5ab1cd=_0x25c2f0;_0x580fc7[_0x5ab1cd(0x10b)]=_0x580fc7[_0x5ab1cd(0x69b)][_0x5ab1cd(0x19c7)];}}const _0x218c42=_0x3c2a4f;;_0x2cefba[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q','$translate','toasty',_0x313a4d(0x2dd),_0x313a4d(0x16a4),_0x313a4d(0x247f),'Auth',_0x313a4d(0x2690),_0x313a4d(0x15b9),'crudPermissions'];function _0x2cefba(_0x493521,_0x947e57,_0x3139ac,_0x5c50bd,_0x5c5c22,_0xcae2af,_0x4e9270,_0x52e580,_0x20846c,_0x2ef9f7,_0x1111a9,_0x2e2625,_0x2525a7,_0x52b914){const _0x3e29f5=_0x313a4d,_0x46146c=this;_0x46146c[_0x3e29f5(0x2321)]=_0x1111a9[_0x3e29f5(0xb12)](),_0x46146c[_0x3e29f5(0xcef)]=[],_0x46146c[_0x3e29f5(0x15b9)]=_0x2525a7,_0x46146c[_0x3e29f5(0x2690)]=_0x2e2625,_0x46146c[_0x3e29f5(0x2514)]=_0x52b914,_0x46146c[_0x3e29f5(0x855)]={},_0x46146c[_0x3e29f5(0x2251)]=_0x46146c[_0x3e29f5(0x15b9)]&&_0x46146c[_0x3e29f5(0x15b9)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x46146c[_0x3e29f5(0x1189)]='INTEGRATIONS.EDIT_SUGARCRMACCOUNT',_0x46146c['sugarcrmAccount']=angular[_0x3e29f5(0x235a)](_0x20846c),_0x46146c[_0x3e29f5(0x2dd)]=_0x52e580,_0x46146c[_0x3e29f5(0x13f7)]=![];!_0x46146c[_0x3e29f5(0x16a4)]&&(_0x46146c['sugarcrmAccount']={'serverUrl':_0x3139ac['protocol']()+_0x3e29f5(0xb0e)+_0x3139ac[_0x3e29f5(0x148e)]()+(_0x3139ac[_0x3e29f5(0x1553)]()?':'+_0x3139ac[_0x3e29f5(0x1553)]():'')},_0x46146c[_0x3e29f5(0x1189)]='INTEGRATIONS.NEW_SUGARCRMACCOUNT',_0x46146c[_0x3e29f5(0x13f7)]=!![]);_0x46146c[_0x3e29f5(0x273f)]=_0x519ef3,_0x46146c[_0x3e29f5(0x1b4d)]=_0x427885,_0x46146c[_0x3e29f5(0x75c)]=_0x1c800c,_0x46146c[_0x3e29f5(0xe73)]=_0x269647,_0x46146c[_0x3e29f5(0x13f3)]=_0x546621;function _0x519ef3(){const _0x49e0b6=_0x3e29f5;_0x46146c['errors']=[],_0x2ef9f7[_0x49e0b6(0x1cf5)][_0x49e0b6(0x1e3)](_0x46146c[_0x49e0b6(0x16a4)])['$promise'][_0x49e0b6(0x146b)](function(_0x2ea593){const _0x42c128=_0x49e0b6;_0x46146c[_0x42c128(0x2dd)][_0x42c128(0xb3d)](_0x2ea593[_0x42c128(0x2488)]()),_0x4e9270[_0x42c128(0x1c75)]({'title':_0x42c128(0x1c6f),'msg':_0x46146c[_0x42c128(0x16a4)][_0x42c128(0x19eb)]?_0x46146c['sugarcrmAccount'][_0x42c128(0x19eb)]+_0x42c128(0x1386):''}),_0x546621(_0x2ea593);})[_0x49e0b6(0x129e)](function(_0x27cdef){const _0x5b123e=_0x49e0b6;if(_0x27cdef[_0x5b123e(0x524)]&&_0x27cdef['data'][_0x5b123e(0xcef)]&&_0x27cdef[_0x5b123e(0x524)][_0x5b123e(0xcef)][_0x5b123e(0x402)]){_0x46146c['errors']=_0x27cdef[_0x5b123e(0x524)]['errors']||[{'message':_0x27cdef['toString'](),'type':'api.intSugarcrmAccount.save'}];for(let _0x37debc=0x0;_0x37debc<_0x27cdef[_0x5b123e(0x524)]['errors'][_0x5b123e(0x402)];_0x37debc+=0x1){_0x4e9270[_0x5b123e(0x1980)]({'title':_0x27cdef[_0x5b123e(0x524)][_0x5b123e(0xcef)][_0x37debc][_0x5b123e(0x1142)],'msg':_0x27cdef[_0x5b123e(0x524)][_0x5b123e(0xcef)][_0x37debc][_0x5b123e(0x7fd)]});}}else _0x4e9270[_0x5b123e(0x1980)]({'title':_0x27cdef[_0x5b123e(0x107b)]?'API:'+_0x27cdef[_0x5b123e(0x107b)]+_0x5b123e(0x1315)+_0x27cdef[_0x5b123e(0x167f)]:_0x5b123e(0x376),'msg':_0x27cdef['data']?JSON['stringify'](_0x27cdef[_0x5b123e(0x524)][_0x5b123e(0x7fd)]):_0x27cdef[_0x5b123e(0xd5f)]()});});}function _0x427885(){const _0x266917=_0x3e29f5;_0x46146c[_0x266917(0xcef)]=[],_0x2ef9f7[_0x266917(0x1cf5)][_0x266917(0x18e1)]({'id':_0x46146c[_0x266917(0x16a4)]['id']},_0x46146c[_0x266917(0x16a4)])[_0x266917(0x2945)][_0x266917(0x146b)](function(_0x50bbfb){const _0x104a79=_0x266917,_0x117845=_0x3f65c0()[_0x104a79(0xc84)](_0x46146c[_0x104a79(0x2dd)],{'id':_0x50bbfb['id']});_0x117845&&_0x3f65c0()[_0x104a79(0x168d)](_0x117845,_0x3f65c0()[_0x104a79(0x40e)](_0x50bbfb[_0x104a79(0x2488)](),_0x3f65c0()['keys'](_0x117845))),_0x4e9270[_0x104a79(0x1c75)]({'title':_0x104a79(0xa19),'msg':_0x46146c[_0x104a79(0x16a4)][_0x104a79(0x19eb)]?_0x46146c[_0x104a79(0x16a4)]['name']+_0x104a79(0x24db):''}),_0x546621(_0x50bbfb);})[_0x266917(0x129e)](function(_0x48655b){const _0x5d6cac=_0x266917;if(_0x48655b[_0x5d6cac(0x524)]&&_0x48655b[_0x5d6cac(0x524)]['errors']&&_0x48655b[_0x5d6cac(0x524)][_0x5d6cac(0xcef)][_0x5d6cac(0x402)]){_0x46146c[_0x5d6cac(0xcef)]=_0x48655b[_0x5d6cac(0x524)]['errors']||[{'message':_0x48655b[_0x5d6cac(0xd5f)](),'type':_0x5d6cac(0x27cf)}];for(let _0x5129fb=0x0;_0x5129fb<_0x48655b['data'][_0x5d6cac(0xcef)][_0x5d6cac(0x402)];_0x5129fb++){_0x4e9270[_0x5d6cac(0x1980)]({'title':_0x48655b['data'][_0x5d6cac(0xcef)][_0x5129fb][_0x5d6cac(0x1142)],'msg':_0x48655b[_0x5d6cac(0x524)][_0x5d6cac(0xcef)][_0x5129fb][_0x5d6cac(0x7fd)]});}}else _0x4e9270['error']({'title':_0x48655b[_0x5d6cac(0x107b)]?_0x5d6cac(0x262a)+_0x48655b[_0x5d6cac(0x107b)]+_0x5d6cac(0x1315)+_0x48655b[_0x5d6cac(0x167f)]:'api.intSugarcrmAccount.update','msg':_0x48655b[_0x5d6cac(0x524)]?JSON[_0x5d6cac(0x10bb)](_0x48655b[_0x5d6cac(0x524)]['message']):_0x48655b[_0x5d6cac(0xd5f)]()});});}function _0x1c800c(_0x4b6181){const _0x3e4105=_0x3e29f5;_0x46146c[_0x3e4105(0xcef)]=[];const _0x4d16cf=_0x5c50bd[_0x3e4105(0x1e8a)]()[_0x3e4105(0x1189)](_0x3e4105(0x1d64))[_0x3e4105(0x80f)](_0x3e4105(0xafa))[_0x3e4105(0x4bd)]('Delete\x20SugarcrmAccount')['ok'](_0x3e4105(0x25de))[_0x3e4105(0x6c3)](_0x3e4105(0xcf0))[_0x3e4105(0x1f27)](_0x4b6181);_0x5c50bd[_0x3e4105(0x2615)](_0x4d16cf)[_0x3e4105(0x146b)](function(){const _0x4ff3e8=_0x3e4105;_0x2ef9f7['intSugarcrmAccount'][_0x4ff3e8(0x1fac)]({'id':_0x46146c[_0x4ff3e8(0x16a4)]['id']})[_0x4ff3e8(0x2945)][_0x4ff3e8(0x146b)](function(){const _0x5cac73=_0x4ff3e8;_0x3f65c0()[_0x5cac73(0x2640)](_0x46146c[_0x5cac73(0x2dd)],{'id':_0x46146c[_0x5cac73(0x16a4)]['id']}),_0x4e9270['success']({'title':_0x5cac73(0x20eb),'msg':(_0x46146c[_0x5cac73(0x16a4)][_0x5cac73(0x19eb)]||_0x5cac73(0x16a4))+_0x5cac73(0x23e3)}),_0x546621(_0x46146c[_0x5cac73(0x16a4)]);})['catch'](function(_0x1cafa1){const _0x3f2920=_0x4ff3e8;if(_0x1cafa1[_0x3f2920(0x524)]&&_0x1cafa1[_0x3f2920(0x524)][_0x3f2920(0xcef)]&&_0x1cafa1[_0x3f2920(0x524)][_0x3f2920(0xcef)][_0x3f2920(0x402)]){_0x46146c[_0x3f2920(0xcef)]=_0x1cafa1[_0x3f2920(0x524)][_0x3f2920(0xcef)]||[{'message':_0x1cafa1[_0x3f2920(0xd5f)](),'type':_0x3f2920(0x37a)}];for(let _0x1083b7=0x0;_0x1083b7<_0x1cafa1[_0x3f2920(0x524)][_0x3f2920(0xcef)][_0x3f2920(0x402)];_0x1083b7++){_0x4e9270[_0x3f2920(0x1980)]({'title':_0x1cafa1['data']['errors'][_0x1083b7]['type'],'msg':_0x1cafa1[_0x3f2920(0x524)][_0x3f2920(0xcef)][_0x1083b7][_0x3f2920(0x7fd)]});}}else _0x4e9270[_0x3f2920(0x1980)]({'title':_0x1cafa1['status']?'API:'+_0x1cafa1[_0x3f2920(0x107b)]+_0x3f2920(0x1315)+_0x1cafa1[_0x3f2920(0x167f)]:_0x3f2920(0x37a),'msg':_0x1cafa1[_0x3f2920(0x524)]?JSON[_0x3f2920(0x10bb)](_0x1cafa1['data']['message']):_0x1cafa1[_0x3f2920(0x7fd)]||_0x1cafa1['toString']()});});},function(){});}function _0x269647(_0x49b10d){return _0x49b10d===null?undefined:new Date(_0x49b10d);}function _0x546621(_0x681d41){const _0x5eab47=_0x3e29f5;_0x5c50bd[_0x5eab47(0x2458)](_0x681d41);}}const _0x33c680=_0x2cefba;;const _0x2ce3b8=_0x4acfac['p']+_0x313a4d(0x411);;const _0x3c776d=_0x4acfac['p']+'src/js/modules/main/apps/integrations/views/sugarcrmAccounts/edit/item/dialog.html/dialog.html';;_0x36c86c['$inject']=[_0x313a4d(0x10e8),'$document',_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87)];function _0x36c86c(_0x45358a,_0x4d7c96,_0x415c23,_0x442119,_0xa4dfce){const _0x336342=_0x313a4d,_0x5150d1=this;_0x5150d1[_0x336342(0x2321)]=_0xa4dfce[_0x336342(0xb12)](),_0x5150d1[_0x336342(0x16a4)]={},_0x5150d1[_0x336342(0x2738)]={},_0x5150d1[_0x336342(0x1723)]=[],_0x5150d1[_0x336342(0x146c)]=-0x1,_0x5150d1[_0x336342(0x2514)],_0x5150d1[_0x336342(0x5aa)]=_0x18a0e5,_0x5150d1[_0x336342(0x132d)]=_0x172342,_0x5150d1[_0x336342(0x82e)]=_0x2cdb2d,_0x5150d1[_0x336342(0x7ca)]=_0x51306a;function _0x18a0e5(_0x4e92f1,_0x5ebff5){const _0x4a2104=_0x336342;_0x5150d1[_0x4a2104(0x16a4)]=_0x4e92f1,_0x5150d1[_0x4a2104(0x2514)]=typeof _0x5ebff5!=='undefined'?_0x5ebff5:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x415c23[_0x4a2104(0x1cf5)][_0x4a2104(0xeb)]({'id':_0x5150d1['sugarcrmAccount']['id'],'sort':_0x4a2104(0x12f2)})[_0x4a2104(0x2945)]['then'](function(_0x380eb5){const _0x4ba9c8=_0x4a2104;return _0x5150d1[_0x4ba9c8(0x1723)]=_0x380eb5[_0x4ba9c8(0x19c7)]?_0x380eb5[_0x4ba9c8(0x19c7)]:[],_0x5150d1[_0x4ba9c8(0x1723)]['length']&&_0x5150d1['getSugarcrmConfiguration'](0x0),_0x415c23[_0x4ba9c8(0x212)][_0x4ba9c8(0x16b4)]({'nolimit':!![],'sort':_0x4ba9c8(0x19eb)})[_0x4ba9c8(0x2945)];})['then'](function(_0x44840d){const _0x78c28c=_0x4a2104;_0x5150d1[_0x78c28c(0x85b)]=_0x44840d['rows']?_0x44840d[_0x78c28c(0x19c7)]:[],_0x5150d1['variablesById']=_0x3f65c0()[_0x78c28c(0x194)](_0x5150d1[_0x78c28c(0x85b)],'id');})[_0x4a2104(0x129e)](function(_0xa10a02){const _0x19a3e8=_0x4a2104;_0x442119[_0x19a3e8(0x1980)]({'title':_0xa10a02['status']?_0x19a3e8(0x262a)+_0xa10a02[_0x19a3e8(0x107b)]+_0x19a3e8(0x1315)+_0xa10a02['statusText']:_0x19a3e8(0x9e7),'msg':_0xa10a02['data']?JSON[_0x19a3e8(0x10bb)](_0xa10a02[_0x19a3e8(0x524)]):_0xa10a02[_0x19a3e8(0xd5f)]()});});}function _0x172342(_0x1d6e94){const _0x42187f=_0x336342;_0x5150d1[_0x42187f(0x2738)]=_0x5150d1[_0x42187f(0x1723)][_0x1d6e94],_0x5150d1[_0x42187f(0x146c)]=_0x1d6e94,_0x415c23['intSugarcrmConfiguration'][_0x42187f(0x18ee)]({'id':_0x5150d1[_0x42187f(0x2738)]['id']})[_0x42187f(0x2945)][_0x42187f(0x146b)](function(_0x3722d1){const _0x4ef8d4=_0x42187f;return _0x5150d1[_0x4ef8d4(0x3c8)]=_0x3722d1['rows']?_0x3722d1[_0x4ef8d4(0x19c7)]:[],_0x415c23['intSugarcrmConfiguration'][_0x4ef8d4(0xc2a)]({'id':_0x5150d1[_0x4ef8d4(0x2738)]['id']})['$promise'];})['then'](function(_0x1e427c){const _0x2c7c6c=_0x42187f;return _0x5150d1[_0x2c7c6c(0x2358)]=_0x1e427c[_0x2c7c6c(0x19c7)]?_0x1e427c['rows']:[],_0x415c23[_0x2c7c6c(0x547)][_0x2c7c6c(0x12ff)]({'id':_0x5150d1[_0x2c7c6c(0x2738)]['id']})[_0x2c7c6c(0x2945)];})[_0x42187f(0x146b)](function(_0x2382a7){const _0x1cfff4=_0x42187f;_0x5150d1[_0x1cfff4(0x2867)]=_0x2382a7['rows']?_0x2382a7[_0x1cfff4(0x19c7)]:[];})['catch'](function(_0x41bff3){const _0x4f21bd=_0x42187f;_0x442119['error']({'title':_0x41bff3[_0x4f21bd(0x107b)]?'API:'+_0x41bff3[_0x4f21bd(0x107b)]+'\x20-\x20'+_0x41bff3[_0x4f21bd(0x167f)]:_0x4f21bd(0x6ca),'msg':_0x41bff3[_0x4f21bd(0x524)]?JSON[_0x4f21bd(0x10bb)](_0x41bff3[_0x4f21bd(0x524)]):_0x41bff3[_0x4f21bd(0xd5f)]()});});}function _0x2cdb2d(_0x109db4,_0x505b85){const _0x4d29e0=_0x336342;_0x45358a[_0x4d29e0(0x2615)]({'controller':_0x4d29e0(0x22f8),'controllerAs':'vm','templateUrl':_0x2ce3b8,'parent':angular['element'](_0x4d7c96[_0x4d29e0(0x2586)]),'targetEvent':_0x109db4,'clickOutsideToClose':!![],'locals':{'sugarcrmConfiguration':_0x505b85,'configurations':_0x5150d1[_0x4d29e0(0x1723)],'license':null,'setting':null,'crudPermissions':_0x5150d1['crudPermissions']}})[_0x4d29e0(0x1ec6)](function(){const _0x106f6b=_0x4d29e0;_0x5150d1[_0x106f6b(0x132d)](0x0);});}function _0x51306a(_0x2b2547,_0x109622){const _0xf5480b=_0x336342,_0x30d449=_0x45358a['confirm']()[_0xf5480b(0x1189)](_0xf5480b(0x2a6))[_0xf5480b(0x1cbe)](''+(_0x109622[_0xf5480b(0x19eb)]||'sugarcrmConfiguration')+_0xf5480b(0x252f)+_0xf5480b(0xe01))[_0xf5480b(0x4bd)](_0xf5480b(0x88c))[_0xf5480b(0x1f27)](_0x2b2547)['ok']('OK')['cancel'](_0xf5480b(0x39a));_0x45358a[_0xf5480b(0x2615)](_0x30d449)[_0xf5480b(0x146b)](function(){const _0xdec698=_0xf5480b;_0x415c23['intSugarcrmConfiguration']['delete']({'id':_0x109622['id']})[_0xdec698(0x2945)][_0xdec698(0x146b)](function(){const _0x372e04=_0xdec698;_0x3f65c0()[_0x372e04(0x2640)](_0x5150d1['configurations'],{'id':_0x109622['id']}),_0x442119[_0x372e04(0x1c75)]({'title':_0x372e04(0x223f),'msg':_0x109622[_0x372e04(0x19eb)]?_0x109622['name']+_0x372e04(0x23e3):''});})['catch'](function(_0x4412ea){const _0x3fe804=_0xdec698;_0x442119['error']({'title':_0x4412ea['status']?_0x3fe804(0x262a)+_0x4412ea['status']+'\x20-\x20'+_0x4412ea[_0x3fe804(0x167f)]:_0x3fe804(0xff1),'msg':_0x4412ea['data']?JSON[_0x3fe804(0x10bb)](_0x4412ea[_0x3fe804(0x524)]):_0x4412ea['toString']()});})[_0xdec698(0x1ec6)](function(){_0x5150d1['getSugarcrmConfiguration'](0x0);});},function(){console['log']('CANCEL');});}_0x5150d1[_0x336342(0x15a6)]=[],_0x5150d1[_0x336342(0x2665)]=_0x546d9a,_0x5150d1[_0x336342(0x10d5)]=_0x12a977,_0x5150d1[_0x336342(0x1777)]=_0x33988f;function _0x546d9a(_0xca5948,_0x35a390){const _0x36296b=_0x336342;_0x45358a[_0x36296b(0x2615)]({'controller':_0x36296b(0x206d),'controllerAs':'vm','templateUrl':_0x3c776d,'parent':angular[_0x36296b(0x1853)](_0x4d7c96[_0x36296b(0x2586)]),'targetEvent':_0xca5948,'clickOutsideToClose':!![],'locals':{'type':_0x36296b(0xe32),'item':_0x35a390,'items':_0x5150d1['subjects'],'configuration':_0x5150d1[_0x36296b(0x2738)],'account':_0x5150d1[_0x36296b(0x16a4)],'license':null,'setting':null,'crudPermissions':_0x5150d1[_0x36296b(0x2514)]}});}function _0x20405b(_0x465a55){const _0x53085a=_0x336342;_0x415c23[_0x53085a(0x260e)]['delete']({'id':_0x465a55['id']})[_0x53085a(0x2945)]['then'](function(){const _0x305b89=_0x53085a;_0x3f65c0()[_0x305b89(0x2640)](_0x5150d1[_0x305b89(0x3c8)],{'id':_0x465a55['id']}),_0x442119['success']({'title':'Subject\x20deleted!','msg':'Subject\x20has\x20been\x20deleted!'});})['catch'](function(_0x2571c8){const _0x51d20c=_0x53085a;_0x442119[_0x51d20c(0x1980)]({'title':_0x2571c8[_0x51d20c(0x107b)]?'API:'+_0x2571c8[_0x51d20c(0x107b)]+_0x51d20c(0x1315)+_0x2571c8[_0x51d20c(0x167f)]:_0x51d20c(0xf6e),'msg':_0x2571c8[_0x51d20c(0x524)]?JSON[_0x51d20c(0x10bb)](_0x2571c8['data']):_0x2571c8[_0x51d20c(0xd5f)]()});});}function _0x12a977(_0x1be191,_0xe80d49){const _0x2e1130=_0x336342,_0x35a2c4=_0x45358a[_0x2e1130(0x1e8a)]()[_0x2e1130(0x1189)](_0x2e1130(0x317))[_0x2e1130(0x1cbe)]('Subject'+_0x2e1130(0xe01))[_0x2e1130(0x4bd)]('delete\x20subject')[_0x2e1130(0x1f27)](_0x1be191)['ok']('OK')[_0x2e1130(0x6c3)]('CANCEL');_0x45358a[_0x2e1130(0x2615)](_0x35a2c4)[_0x2e1130(0x146b)](function(){_0x20405b(_0xe80d49);},function(){const _0x14b0a2=_0x2e1130;console[_0x14b0a2(0x1a74)](_0x14b0a2(0x39a));});}function _0x33988f(_0x3cad24){const _0x9fdf2f=_0x336342,_0x5787ad=_0x45358a['confirm']()[_0x9fdf2f(0x1189)](_0x9fdf2f(0x2e6))[_0x9fdf2f(0x1cbe)](_0x9fdf2f(0x16d3)+_0x5150d1['selectedSubjects'][_0x9fdf2f(0x402)]+_0x9fdf2f(0x2452)+_0x9fdf2f(0xe01))[_0x9fdf2f(0x4bd)](_0x9fdf2f(0x1209))[_0x9fdf2f(0x1f27)](_0x3cad24)['ok']('OK')[_0x9fdf2f(0x6c3)](_0x9fdf2f(0x39a));_0x45358a[_0x9fdf2f(0x2615)](_0x5787ad)['then'](function(){const _0x1826bf=_0x9fdf2f;_0x5150d1[_0x1826bf(0x15a6)][_0x1826bf(0x1df5)](function(_0x3b7374){_0x20405b(_0x3b7374);}),_0x5150d1[_0x1826bf(0x15a6)]=[];});}_0x5150d1[_0x336342(0x126)]=[],_0x5150d1['createOrEditDescription']=_0xaa9222,_0x5150d1[_0x336342(0xb29)]=_0x122876,_0x5150d1['deleteSelectedDescriptions']=_0x4c760b;function _0xaa9222(_0x44dc41,_0x1574a4){const _0x2a877a=_0x336342;_0x45358a['show']({'controller':_0x2a877a(0x206d),'controllerAs':'vm','templateUrl':_0x3c776d,'parent':angular[_0x2a877a(0x1853)](_0x4d7c96[_0x2a877a(0x2586)]),'targetEvent':_0x44dc41,'clickOutsideToClose':!![],'locals':{'type':_0x2a877a(0xb17),'item':_0x1574a4,'items':_0x5150d1[_0x2a877a(0x2358)],'configuration':_0x5150d1[_0x2a877a(0x2738)],'account':_0x5150d1['sugarcrmAccount'],'license':null,'setting':null,'crudPermissions':_0x5150d1[_0x2a877a(0x2514)]}});}function _0x454d6e(_0x1320eb){const _0x58df2a=_0x336342;_0x415c23[_0x58df2a(0x260e)][_0x58df2a(0x1fac)]({'id':_0x1320eb['id']})['$promise'][_0x58df2a(0x146b)](function(){const _0x5e9d50=_0x58df2a;_0x3f65c0()[_0x5e9d50(0x2640)](_0x5150d1['descriptions'],{'id':_0x1320eb['id']}),_0x442119[_0x5e9d50(0x1c75)]({'title':_0x5e9d50(0xaf8),'msg':_0x5e9d50(0x8e3)});})['catch'](function(_0x407c5c){const _0x5c7c7a=_0x58df2a;_0x442119['error']({'title':_0x407c5c[_0x5c7c7a(0x107b)]?_0x5c7c7a(0x262a)+_0x407c5c[_0x5c7c7a(0x107b)]+_0x5c7c7a(0x1315)+_0x407c5c[_0x5c7c7a(0x167f)]:_0x5c7c7a(0xf6e),'msg':_0x407c5c[_0x5c7c7a(0x524)]?JSON[_0x5c7c7a(0x10bb)](_0x407c5c[_0x5c7c7a(0x524)]):_0x407c5c[_0x5c7c7a(0xd5f)]()});});}function _0x122876(_0x4650b3,_0x1d4d5a){const _0x49294b=_0x336342,_0x5186ea=_0x45358a[_0x49294b(0x1e8a)]()[_0x49294b(0x1189)](_0x49294b(0x1e9))[_0x49294b(0x1cbe)](_0x49294b(0x2014)+_0x49294b(0xe01))[_0x49294b(0x4bd)](_0x49294b(0x1c5c))[_0x49294b(0x1f27)](_0x4650b3)['ok']('OK')['cancel'](_0x49294b(0x39a));_0x45358a[_0x49294b(0x2615)](_0x5186ea)[_0x49294b(0x146b)](function(){_0x454d6e(_0x1d4d5a);},function(){const _0x3487f7=_0x49294b;console[_0x3487f7(0x1a74)](_0x3487f7(0x39a));});}function _0x4c760b(_0x41fb44){const _0x44bb2e=_0x336342,_0x65f99=_0x45358a['confirm']()['title'](_0x44bb2e(0x1acd))[_0x44bb2e(0x1cbe)](_0x44bb2e(0x16d3)+_0x5150d1[_0x44bb2e(0x126)][_0x44bb2e(0x402)]+_0x44bb2e(0x2452)+_0x44bb2e(0xe01))[_0x44bb2e(0x4bd)](_0x44bb2e(0x1be7))[_0x44bb2e(0x1f27)](_0x41fb44)['ok']('OK')[_0x44bb2e(0x6c3)](_0x44bb2e(0x39a));_0x45358a[_0x44bb2e(0x2615)](_0x65f99)[_0x44bb2e(0x146b)](function(){const _0x14e742=_0x44bb2e;_0x5150d1[_0x14e742(0x126)]['forEach'](function(_0x2ea208){_0x454d6e(_0x2ea208);}),_0x5150d1[_0x14e742(0x126)]=[];});}_0x5150d1[_0x336342(0x2605)]=[],_0x5150d1[_0x336342(0x737)]=_0x1f3b80,_0x5150d1[_0x336342(0x2180)]=_0x1d0a18,_0x5150d1[_0x336342(0x816)]=_0x24c52a;function _0x1f3b80(_0x38b3f8,_0x620631){const _0x638e89=_0x336342;_0x45358a[_0x638e89(0x2615)]({'controller':_0x638e89(0x206d),'controllerAs':'vm','templateUrl':_0x3c776d,'parent':angular[_0x638e89(0x1853)](_0x4d7c96[_0x638e89(0x2586)]),'targetEvent':_0x38b3f8,'clickOutsideToClose':!![],'locals':{'type':'field','item':_0x620631,'items':_0x5150d1[_0x638e89(0x2867)],'configuration':_0x5150d1[_0x638e89(0x2738)],'account':_0x5150d1[_0x638e89(0x16a4)],'license':null,'setting':null,'crudPermissions':_0x5150d1[_0x638e89(0x2514)]}});}function _0x4671a9(_0x6aeba8){const _0x57c8da=_0x336342;_0x415c23[_0x57c8da(0x260e)][_0x57c8da(0x1fac)]({'id':_0x6aeba8['id']})[_0x57c8da(0x2945)]['then'](function(){const _0x5d8abf=_0x57c8da;_0x3f65c0()[_0x5d8abf(0x2640)](_0x5150d1[_0x5d8abf(0x2867)],{'id':_0x6aeba8['id']}),_0x442119['success']({'title':_0x5d8abf(0x24ce),'msg':_0x5d8abf(0x1c07)});})[_0x57c8da(0x129e)](function(_0x4f2b14){const _0x5023fd=_0x57c8da;_0x442119['error']({'title':_0x4f2b14[_0x5023fd(0x107b)]?_0x5023fd(0x262a)+_0x4f2b14['status']+'\x20-\x20'+_0x4f2b14[_0x5023fd(0x167f)]:'SYSTEM:DELETECONFIGURATIONFIELD','msg':_0x4f2b14['data']?JSON['stringify'](_0x4f2b14['data']):_0x4f2b14['toString']()});});}function _0x1d0a18(_0xe7ff55,_0x18dd5e){const _0x2886c2=_0x336342,_0x780d54=_0x45358a[_0x2886c2(0x1e8a)]()['title'](_0x2886c2(0x17f6))['htmlContent'](_0x2886c2(0x2216)+'\x20will\x20be\x20deleted.')[_0x2886c2(0x4bd)]('delete\x20field')['targetEvent'](_0xe7ff55)['ok']('OK')['cancel'](_0x2886c2(0x39a));_0x45358a[_0x2886c2(0x2615)](_0x780d54)['then'](function(){_0x4671a9(_0x18dd5e);},function(){const _0x38f2f1=_0x2886c2;console[_0x38f2f1(0x1a74)](_0x38f2f1(0x39a));});}function _0x24c52a(_0x5bca5c){const _0x1d7b47=_0x336342,_0xfda6d7=_0x45358a[_0x1d7b47(0x1e8a)]()[_0x1d7b47(0x1189)](_0x1d7b47(0xe75))[_0x1d7b47(0x1cbe)](''+_0x5150d1[_0x1d7b47(0x2605)]['length']+_0x1d7b47(0x2452)+_0x1d7b47(0xe01))[_0x1d7b47(0x4bd)](_0x1d7b47(0x176a))[_0x1d7b47(0x1f27)](_0x5bca5c)['ok']('OK')[_0x1d7b47(0x6c3)](_0x1d7b47(0x39a));_0x45358a[_0x1d7b47(0x2615)](_0xfda6d7)[_0x1d7b47(0x146b)](function(){const _0x5025b3=_0x1d7b47;_0x5150d1[_0x5025b3(0x2605)][_0x5025b3(0x1df5)](function(_0x1ecdd2){_0x4671a9(_0x1ecdd2);}),_0x5150d1[_0x5025b3(0x2605)]=[];});}}const _0x182f94=_0x36c86c;;_0x5d5828[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x1723),_0x313a4d(0x2738),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x5d5828(_0x2d66ff,_0x731def,_0x830fb3,_0x8996ec,_0x3c5c3e,_0x17c597,_0x1f6b78,_0x173b44,_0x1946c3,_0x41f995,_0x4ed57d,_0x298906,_0x2ea1cb,_0x3e94fe){const _0x590eef=_0x313a4d,_0x4551f8=this;_0x4551f8[_0x590eef(0x2321)]=_0x4ed57d[_0x590eef(0xb12)](),_0x4551f8[_0x590eef(0xcef)]=[],_0x4551f8[_0x590eef(0x15b9)]=_0x2ea1cb,_0x4551f8[_0x590eef(0x2690)]=_0x298906,_0x4551f8[_0x590eef(0x2514)]=_0x3e94fe,_0x4551f8[_0x590eef(0x855)]={},_0x4551f8[_0x590eef(0x2251)]=_0x4551f8[_0x590eef(0x15b9)]&&_0x4551f8[_0x590eef(0x15b9)][_0x590eef(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x4551f8[_0x590eef(0x1189)]=_0x590eef(0x1d04),_0x4551f8[_0x590eef(0x2738)]=angular['copy'](_0x1946c3),_0x4551f8[_0x590eef(0x1723)]=_0x173b44,_0x4551f8[_0x590eef(0x11e9)]=![];!_0x4551f8[_0x590eef(0x2738)]&&(_0x4551f8[_0x590eef(0x2738)]={'channel':_0x590eef(0xe6),'type':_0x590eef(0x7b0)},_0x4551f8[_0x590eef(0x1189)]=_0x590eef(0x140d),_0x4551f8['newSugarcrmConfiguration']=!![]);_0x4551f8[_0x590eef(0xf83)]=_0xf126b2,_0x4551f8['saveSugarcrmConfiguration']=_0x3a31d4,_0x4551f8[_0x590eef(0x7ca)]=_0x188f9a,_0x4551f8[_0x590eef(0xe73)]=_0x1a02a1,_0x4551f8[_0x590eef(0x13f3)]=_0x30dd43;function _0xf126b2(){const _0x483d43=_0x590eef;_0x4551f8[_0x483d43(0xcef)]=[],_0x41f995[_0x483d43(0x1cf5)][_0x483d43(0xa17)]({'id':_0x731def['params']['id']},_0x4551f8[_0x483d43(0x2738)])[_0x483d43(0x2945)][_0x483d43(0x146b)](function(_0x1b2032){const _0x537d9c=_0x483d43;_0x4551f8[_0x537d9c(0x1723)][_0x537d9c(0xb3d)](_0x1b2032[_0x537d9c(0x2488)]()),_0x1f6b78[_0x537d9c(0x1c75)]({'title':_0x537d9c(0xeb0),'msg':_0x4551f8[_0x537d9c(0x2738)][_0x537d9c(0x19eb)]?_0x4551f8[_0x537d9c(0x2738)][_0x537d9c(0x19eb)]+_0x537d9c(0x1386):''}),_0x30dd43(_0x1b2032);})['catch'](function(_0x35a893){const _0x381f7b=_0x483d43;if(_0x35a893[_0x381f7b(0x524)]&&_0x35a893[_0x381f7b(0x524)][_0x381f7b(0xcef)]&&_0x35a893['data']['errors'][_0x381f7b(0x402)]){_0x4551f8[_0x381f7b(0xcef)]=_0x35a893['data'][_0x381f7b(0xcef)]||[{'message':_0x35a893[_0x381f7b(0xd5f)](),'type':_0x381f7b(0x171)}];for(let _0xe73be1=0x0;_0xe73be1<_0x35a893['data'][_0x381f7b(0xcef)][_0x381f7b(0x402)];_0xe73be1+=0x1){_0x1f6b78[_0x381f7b(0x1980)]({'title':_0x35a893[_0x381f7b(0x524)][_0x381f7b(0xcef)][_0xe73be1][_0x381f7b(0x1142)],'msg':_0x35a893['data'][_0x381f7b(0xcef)][_0xe73be1][_0x381f7b(0x7fd)]});}}else _0x1f6b78[_0x381f7b(0x1980)]({'title':_0x35a893[_0x381f7b(0x107b)]?_0x381f7b(0x262a)+_0x35a893[_0x381f7b(0x107b)]+'\x20-\x20'+_0x35a893[_0x381f7b(0x167f)]:_0x381f7b(0x171),'msg':_0x35a893['data']?JSON[_0x381f7b(0x10bb)](_0x35a893[_0x381f7b(0x524)][_0x381f7b(0x7fd)]):_0x35a893[_0x381f7b(0xd5f)]()});});}function _0x3a31d4(){const _0x308134=_0x590eef;_0x4551f8[_0x308134(0xcef)]=[],_0x41f995['intSugarcrmConfiguration'][_0x308134(0x18e1)]({'id':_0x4551f8[_0x308134(0x2738)]['id']},_0x4551f8[_0x308134(0x2738)])['$promise']['then'](function(_0x19ffb7){const _0x2c124f=_0x308134,_0x11c078=_0x3f65c0()[_0x2c124f(0xc84)](_0x4551f8[_0x2c124f(0x1723)],{'id':_0x19ffb7['id']});_0x11c078&&_0x3f65c0()[_0x2c124f(0x168d)](_0x11c078,_0x3f65c0()[_0x2c124f(0x40e)](_0x19ffb7['toJSON'](),_0x3f65c0()['keys'](_0x11c078))),_0x1f6b78[_0x2c124f(0x1c75)]({'title':_0x2c124f(0x1e4c),'msg':_0x4551f8[_0x2c124f(0x2738)][_0x2c124f(0x19eb)]?_0x4551f8[_0x2c124f(0x2738)]['name']+'\x20has\x20been\x20saved!':''}),_0x30dd43(_0x19ffb7);})[_0x308134(0x129e)](function(_0x537788){const _0xcfbe42=_0x308134;if(_0x537788['data']&&_0x537788[_0xcfbe42(0x524)][_0xcfbe42(0xcef)]&&_0x537788[_0xcfbe42(0x524)][_0xcfbe42(0xcef)]['length']){_0x4551f8['errors']=_0x537788[_0xcfbe42(0x524)]['errors']||[{'message':_0x537788[_0xcfbe42(0xd5f)](),'type':_0xcfbe42(0x1644)}];for(let _0x195f12=0x0;_0x195f12<_0x537788['data'][_0xcfbe42(0xcef)][_0xcfbe42(0x402)];_0x195f12++){_0x1f6b78['error']({'title':_0x537788['data'][_0xcfbe42(0xcef)][_0x195f12][_0xcfbe42(0x1142)],'msg':_0x537788[_0xcfbe42(0x524)][_0xcfbe42(0xcef)][_0x195f12][_0xcfbe42(0x7fd)]});}}else _0x1f6b78[_0xcfbe42(0x1980)]({'title':_0x537788[_0xcfbe42(0x107b)]?'API:'+_0x537788[_0xcfbe42(0x107b)]+_0xcfbe42(0x1315)+_0x537788[_0xcfbe42(0x167f)]:_0xcfbe42(0x1644),'msg':_0x537788[_0xcfbe42(0x524)]?JSON[_0xcfbe42(0x10bb)](_0x537788[_0xcfbe42(0x524)]['message']):_0x537788[_0xcfbe42(0xd5f)]()});});}function _0x188f9a(_0x4c9834){const _0x374def=_0x590eef;_0x4551f8['errors']=[];const _0x3d04b4=_0x8996ec[_0x374def(0x1e8a)]()[_0x374def(0x1189)](_0x374def(0x1d64))['content'](_0x374def(0x1dab))['ariaLabel']('Delete\x20SugarcrmConfiguration')['ok'](_0x374def(0x25de))[_0x374def(0x6c3)](_0x374def(0xcf0))[_0x374def(0x1f27)](_0x4c9834);_0x8996ec[_0x374def(0x2615)](_0x3d04b4)[_0x374def(0x146b)](function(){const _0x1264ff=_0x374def;_0x41f995[_0x1264ff(0x547)]['delete']({'id':_0x4551f8['sugarcrmConfiguration']['id']})[_0x1264ff(0x2945)][_0x1264ff(0x146b)](function(){const _0x5bfb5e=_0x1264ff;_0x3f65c0()[_0x5bfb5e(0x2640)](_0x4551f8[_0x5bfb5e(0x1723)],{'id':_0x4551f8[_0x5bfb5e(0x2738)]['id']}),_0x1f6b78[_0x5bfb5e(0x1c75)]({'title':_0x5bfb5e(0x9ea),'msg':(_0x4551f8[_0x5bfb5e(0x2738)][_0x5bfb5e(0x19eb)]||_0x5bfb5e(0x2738))+_0x5bfb5e(0x23e3)}),_0x30dd43(_0x4551f8[_0x5bfb5e(0x2738)]);})[_0x1264ff(0x129e)](function(_0x500510){const _0x3e1551=_0x1264ff;if(_0x500510['data']&&_0x500510[_0x3e1551(0x524)][_0x3e1551(0xcef)]&&_0x500510[_0x3e1551(0x524)][_0x3e1551(0xcef)]['length']){_0x4551f8[_0x3e1551(0xcef)]=_0x500510[_0x3e1551(0x524)][_0x3e1551(0xcef)]||[{'message':_0x500510['toString'](),'type':'api.intSugarcrmConfiguration.delete'}];for(let _0x1c4989=0x0;_0x1c4989<_0x500510[_0x3e1551(0x524)][_0x3e1551(0xcef)][_0x3e1551(0x402)];_0x1c4989++){_0x1f6b78[_0x3e1551(0x1980)]({'title':_0x500510[_0x3e1551(0x524)][_0x3e1551(0xcef)][_0x1c4989][_0x3e1551(0x1142)],'msg':_0x500510[_0x3e1551(0x524)][_0x3e1551(0xcef)][_0x1c4989][_0x3e1551(0x7fd)]});}}else _0x1f6b78[_0x3e1551(0x1980)]({'title':_0x500510[_0x3e1551(0x107b)]?_0x3e1551(0x262a)+_0x500510['status']+'\x20-\x20'+_0x500510['statusText']:_0x3e1551(0x27b6),'msg':_0x500510[_0x3e1551(0x524)]?JSON[_0x3e1551(0x10bb)](_0x500510['data'][_0x3e1551(0x7fd)]):_0x500510[_0x3e1551(0x7fd)]||_0x500510[_0x3e1551(0xd5f)]()});});},function(){});}function _0x1a02a1(_0x2b3b9c){return _0x2b3b9c===null?undefined:new Date(_0x2b3b9c);}function _0x30dd43(_0x13cd3c){const _0x16e71e=_0x590eef;_0x8996ec[_0x16e71e(0x2458)](_0x13cd3c);}}const _0x2d2887=_0x5d5828;;_0x4e8681[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),_0x313a4d(0x1fe4),'configuration',_0x313a4d(0x1fd6),_0x313a4d(0x2711),_0x313a4d(0x1f8e),_0x313a4d(0x1142),_0x313a4d(0x247f),_0x313a4d(0xa87),'crudPermissions'];function _0x4e8681(_0x1414aa,_0x42b89b,_0x58bf85,_0x2a8769,_0x1c749c,_0x502431,_0x36725a,_0x1de67f,_0x45dcfe,_0xe60f14,_0x228421,_0x1b6533,_0x4ce966){const _0x54d5ae=_0x313a4d,_0x4c8e0b=this;_0x4c8e0b[_0x54d5ae(0x2321)]=_0x1b6533[_0x54d5ae(0xb12)](),_0x4c8e0b['errors']=[],_0x4c8e0b[_0x54d5ae(0x1189)]='INTEGRATIONS.EDIT_'+_0x3f65c0()[_0x54d5ae(0xd39)](_0xe60f14),_0x4c8e0b[_0x54d5ae(0x2711)]=angular[_0x54d5ae(0x235a)](_0x1de67f),_0x4c8e0b[_0x54d5ae(0x1fd6)]=_0x36725a,_0x4c8e0b[_0x54d5ae(0x1142)]=_0xe60f14,_0x4c8e0b[_0x54d5ae(0x2514)]=_0x4ce966,_0x4c8e0b['newItem']=![];!_0x4c8e0b[_0x54d5ae(0x2711)]&&(_0x4c8e0b[_0x54d5ae(0x2711)]={'type':_0x54d5ae(0x1f23)},_0x4c8e0b['item'][_0x3f65c0()[_0x54d5ae(0x83f)](_0xe60f14)+'Id']=_0x502431['id'],_0x4c8e0b[_0x54d5ae(0x1189)]=_0x54d5ae(0xe78)+_0x3f65c0()[_0x54d5ae(0xd39)](_0xe60f14),_0x4c8e0b['newItem']=!![]);_0x4c8e0b[_0x54d5ae(0x1e65)]=_0x19cd84,_0x4c8e0b['saveItem']=_0x4672b0,_0x4c8e0b[_0x54d5ae(0xbaf)]=_0x153dc7,_0x4c8e0b[_0x54d5ae(0x21b1)]=_0x24d68c,_0x4c8e0b[_0x54d5ae(0x13f3)]=_0x207d00,_0x228421[_0x54d5ae(0x212)][_0x54d5ae(0x16b4)]({'nolimit':!![]})['$promise']['then'](function(_0x276291){const _0x4253a1=_0x54d5ae;return _0x4c8e0b[_0x4253a1(0x85b)]=_0x276291[_0x4253a1(0x19c7)]?_0x276291[_0x4253a1(0x19c7)]:[],_0x228421[_0x4253a1(0x1cb3)][_0x4253a1(0x1ea2)]()[_0x4253a1(0x2945)];})[_0x54d5ae(0x146b)](function(_0x37071c){const _0x2b836e=_0x54d5ae;return _0x4c8e0b[_0x2b836e(0x1c8a)]=_0x3f65c0()[_0x2b836e(0xa62)](_0x3f65c0()[_0x2b836e(0x627)](_0x37071c),[_0x2b836e(0x2945),_0x2b836e(0x26f2),_0x2b836e(0xc68),_0x2b836e(0x144f),'sourceid']),_0x4c8e0b[_0x2b836e(0x1c8a)]['push'](_0x2b836e(0x643)),_0x228421[_0x2b836e(0x160)][_0x2b836e(0x1ea2)]()[_0x2b836e(0x2945)];})['then'](function(_0x2e25ec){const _0x51496f=_0x54d5ae;_0x4c8e0b[_0x51496f(0x164b)]=_0x3f65c0()['difference'](_0x3f65c0()[_0x51496f(0x627)](_0x2e25ec),['$promise',_0x51496f(0x26f2),_0x51496f(0xc68),_0x51496f(0x144f),_0x51496f(0xc3b)]),_0x4c8e0b[_0x51496f(0x164b)][_0x51496f(0x1f47)](_0x51496f(0x643));})[_0x54d5ae(0x129e)](function(_0x1f625b){const _0x23daf7=_0x54d5ae;_0x1c749c[_0x23daf7(0x1980)]({'title':_0x1f625b[_0x23daf7(0x107b)]?_0x23daf7(0x262a)+_0x1f625b[_0x23daf7(0x107b)]+'\x20-\x20'+_0x1f625b[_0x23daf7(0x167f)]:_0x23daf7(0x502),'msg':_0x1f625b[_0x23daf7(0x524)]?JSON[_0x23daf7(0x10bb)](_0x1f625b[_0x23daf7(0x524)]):_0x1f625b['toString']()});}),_0x228421[_0x54d5ae(0x1cf5)][_0x54d5ae(0x12ff)]({'id':_0x45dcfe['id'],'ticketType':_0x502431[_0x54d5ae(0x21be)]?_0x502431['ticketType']:undefined})[_0x54d5ae(0x2945)][_0x54d5ae(0x146b)](function(_0x8571ff){const _0x5aaf09=_0x54d5ae;_0x4c8e0b['accountConnected']=!![],_0x4c8e0b[_0x5aaf09(0x2867)]=_0x8571ff[_0x5aaf09(0x19c7)]?_0x8571ff[_0x5aaf09(0x19c7)]:[],_0x4c8e0b[_0x5aaf09(0x2711)][_0x5aaf09(0x2912)]&&(_0x4c8e0b[_0x5aaf09(0x165e)]=_0x3f65c0()[_0x5aaf09(0xc84)](_0x4c8e0b[_0x5aaf09(0x2867)],{'id':_0x4c8e0b[_0x5aaf09(0x2711)][_0x5aaf09(0x2912)]}));})['catch'](function(_0x482c2f){const _0x6b4424=_0x54d5ae;_0x4c8e0b[_0x6b4424(0x822)]=![],console[_0x6b4424(0x1980)](_0x482c2f);});function _0x19cd84(){const _0xa2ede1=_0x54d5ae;_0x4c8e0b[_0xa2ede1(0xcef)]=[],_0x4c8e0b[_0xa2ede1(0x165e)]&&(_0x4c8e0b[_0xa2ede1(0x2711)][_0xa2ede1(0x2912)]=_0x4c8e0b['customField']['id'],_0x4c8e0b[_0xa2ede1(0x2711)]['nameField']=_0x4c8e0b[_0xa2ede1(0x165e)]['name'],_0x4c8e0b[_0xa2ede1(0x2711)][_0xa2ede1(0x165e)]=_0x4c8e0b[_0xa2ede1(0x165e)][_0xa2ede1(0x1802)]),_0x228421[_0xa2ede1(0x260e)][_0xa2ede1(0x1e3)](_0x4c8e0b['item'])['$promise'][_0xa2ede1(0x146b)](function(_0x190702){const _0x264382=_0xa2ede1;_0x4c8e0b[_0x264382(0x1fd6)][_0x264382(0xb3d)](_0x190702),_0x1c749c[_0x264382(0x1c75)]({'title':_0x3f65c0()[_0x264382(0x83f)](_0xe60f14)+_0x264382(0x1637),'msg':_0x3f65c0()[_0x264382(0x83f)](_0xe60f14)+'\x20has\x20been\x20created!'}),_0x207d00();})[_0xa2ede1(0x129e)](function(_0x2a3b8c){const _0x279ffd=_0xa2ede1;console[_0x279ffd(0x1980)](_0x2a3b8c),_0x4c8e0b[_0x279ffd(0xcef)]=_0x2a3b8c['data'][_0x279ffd(0xcef)]||[{'message':_0x2a3b8c['toString'](),'type':_0x279ffd(0x1ec4)}];});}function _0x4672b0(){const _0x50980b=_0x54d5ae;_0x4c8e0b[_0x50980b(0xcef)]=[],_0x4c8e0b['customField']&&(_0x4c8e0b[_0x50980b(0x2711)][_0x50980b(0x2912)]=_0x4c8e0b['customField']['id'],_0x4c8e0b[_0x50980b(0x2711)]['nameField']=_0x4c8e0b[_0x50980b(0x165e)][_0x50980b(0x19eb)],_0x4c8e0b[_0x50980b(0x2711)][_0x50980b(0x165e)]=_0x4c8e0b[_0x50980b(0x165e)][_0x50980b(0x1802)]),_0x228421[_0x50980b(0x260e)][_0x50980b(0x18e1)]({'id':_0x4c8e0b[_0x50980b(0x2711)]['id']},_0x4c8e0b['item'])[_0x50980b(0x2945)]['then'](function(_0x276e62){const _0x22f759=_0x50980b,_0x597433=_0x3f65c0()[_0x22f759(0xc84)](_0x4c8e0b[_0x22f759(0x1fd6)],{'id':_0x276e62['id']});_0x597433&&_0x3f65c0()[_0x22f759(0x168d)](_0x597433,_0x276e62),_0x1c749c[_0x22f759(0x1c75)]({'title':_0x3f65c0()[_0x22f759(0x83f)](_0xe60f14)+_0x22f759(0xffe),'msg':_0x3f65c0()[_0x22f759(0x83f)](_0xe60f14)+_0x22f759(0x24db)}),_0x207d00();})['catch'](function(_0x9415df){const _0x512058=_0x50980b;console[_0x512058(0x1980)](_0x9415df),_0x4c8e0b[_0x512058(0xcef)]=_0x9415df[_0x512058(0x524)][_0x512058(0xcef)]||[{'message':_0x9415df[_0x512058(0xd5f)](),'type':'api.intItem.update'}];});}function _0x153dc7(_0x489c5f){const _0x54c66d=_0x54d5ae;_0x4c8e0b[_0x54c66d(0xcef)]=[];const _0x21c896=_0x2a8769[_0x54c66d(0x1e8a)]()[_0x54c66d(0x1189)](_0x54c66d(0x1d64))[_0x54c66d(0x80f)](_0x54c66d(0xe57)+_0xe60f14+_0x54c66d(0xe01))[_0x54c66d(0x4bd)]('Delete\x20'+_0x3f65c0()[_0x54c66d(0x83f)](_0xe60f14))['ok'](_0x54c66d(0x25de))[_0x54c66d(0x6c3)](_0x54c66d(0xcf0))[_0x54c66d(0x1f27)](_0x489c5f);_0x2a8769[_0x54c66d(0x2615)](_0x21c896)[_0x54c66d(0x146b)](function(){const _0x3f75ab=_0x54c66d;_0x228421[_0x3f75ab(0x260e)]['delete']({'id':_0x4c8e0b[_0x3f75ab(0x2711)]['id']})[_0x3f75ab(0x2945)][_0x3f75ab(0x146b)](function(){const _0x2a009c=_0x3f75ab;_0x3f65c0()[_0x2a009c(0x2640)](_0x4c8e0b['items'],{'id':_0x4c8e0b[_0x2a009c(0x2711)]['id']}),_0x1c749c['success']({'title':_0x3f65c0()[_0x2a009c(0x83f)](_0xe60f14)+_0x2a009c(0x1e6c),'msg':_0x3f65c0()['capitalize'](_0xe60f14)+_0x2a009c(0x23e3)}),_0x207d00();})[_0x3f75ab(0x129e)](function(_0x4ffdc4){const _0x520787=_0x3f75ab;console[_0x520787(0x1980)](_0x4ffdc4),_0x4c8e0b[_0x520787(0xcef)]=_0x4ffdc4[_0x520787(0x524)][_0x520787(0xcef)]||[{'message':_0x4ffdc4['toString'](),'type':_0x520787(0x1cb0)}];});},function(){});}function _0x24d68c(){const _0x5f215f=_0x54d5ae;return _0x4c8e0b[_0x5f215f(0x2711)]['variableName']!==undefined?_0x4c8e0b[_0x5f215f(0x2711)][_0x5f215f(0x97f)]:_0x5f215f(0x1040);}function _0x207d00(){const _0x592643=_0x54d5ae;_0x2a8769[_0x592643(0x2458)]();}}const _0x4ee3c2=_0x4e8681;;_0x29ceac[_0x313a4d(0x11c2)]=['$state',_0x313a4d(0xd08),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x214b),_0x313a4d(0x2690),_0x313a4d(0x15b9),'api',_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x16a4),_0x313a4d(0x1366)];function _0x29ceac(_0x5b8de5,_0xdf112c,_0xbefdb0,_0x108179,_0x297b5e,_0x45f969,_0x21c914,_0x2c9308,_0x4c0c44,_0x435789,_0x44d05f,_0x35b3a3){const _0x9aea37=_0x313a4d,_0x59bc6d=this;_0x59bc6d[_0x9aea37(0x2321)]=_0x435789[_0x9aea37(0xb12)](),_0x59bc6d[_0x9aea37(0x2690)]=_0x45f969,_0x59bc6d[_0x9aea37(0x15b9)]=_0x21c914,_0x59bc6d[_0x9aea37(0x2251)]=_0x59bc6d[_0x9aea37(0x15b9)][_0x9aea37(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x59bc6d['location']=_0xdf112c[_0x9aea37(0x2414)]()+_0x9aea37(0xb0e)+_0xdf112c['host'](),_0x59bc6d[_0x9aea37(0x16a4)]=_0x44d05f||_0x5b8de5[_0x9aea37(0x16a)][_0x9aea37(0x16a4)]||{},_0x59bc6d[_0x9aea37(0x1366)]=_0x35b3a3&&_0x35b3a3[_0x9aea37(0x51c)]==0x1?_0x35b3a3[_0x9aea37(0x19c7)][0x0]:null,_0x59bc6d[_0x9aea37(0x2514)]=_0x435789[_0x9aea37(0xe60)](_0x59bc6d['userProfileSection']?_0x59bc6d[_0x9aea37(0x1366)][_0x9aea37(0x2514)]:null),_0x59bc6d[_0x9aea37(0x855)]={},_0x59bc6d[_0x9aea37(0x1b09)]=_0x5b8de5[_0x9aea37(0x16a)]['tab']||0x0,_0x59bc6d['alert']=_0x4c0c44[_0x9aea37(0x271e)],_0x59bc6d[_0x9aea37(0x22bf)]=_0x487667,_0x59bc6d[_0x9aea37(0x1b4d)]=_0x47ff26;function _0x487667(){const _0x276ca4=_0x9aea37;_0x5b8de5['go'](_0x276ca4(0x289c),{},{'reload':_0x276ca4(0x289c)});}function _0x47ff26(){const _0x377920=_0x9aea37;_0x2c9308[_0x377920(0x1cf5)][_0x377920(0x18e1)]({'id':_0x59bc6d[_0x377920(0x16a4)]['id']},_0x59bc6d['sugarcrmAccount'])[_0x377920(0x2945)][_0x377920(0x146b)](function(){const _0x2c7dbc=_0x377920;_0x4c0c44[_0x2c7dbc(0x1c75)]({'title':_0x2c7dbc(0x18a8),'msg':_0x59bc6d[_0x2c7dbc(0x16a4)][_0x2c7dbc(0x19eb)]?_0x59bc6d[_0x2c7dbc(0x16a4)][_0x2c7dbc(0x19eb)]+_0x2c7dbc(0x6b0):''});})['catch'](function(_0x1971dd){const _0x4cdcfc=_0x377920;_0x4c0c44['error']({'title':_0x1971dd[_0x4cdcfc(0x107b)]?_0x4cdcfc(0x262a)+_0x1971dd[_0x4cdcfc(0x107b)]+_0x4cdcfc(0x1315)+_0x1971dd[_0x4cdcfc(0x167f)]:_0x4cdcfc(0x10cc),'msg':_0x1971dd[_0x4cdcfc(0x524)]?JSON[_0x4cdcfc(0x10bb)](_0x1971dd['data']):_0x1971dd[_0x4cdcfc(0xd5f)]()});});}}const _0x3835ca=_0x29ceac;;const _0x25586c=_0x4acfac['p']+'src/js/modules/main/apps/integrations/views/sugarcrmAccounts/create/dialog.html/dialog.html';;_0x4e3e2f[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0x214b),'sugarcrmAccounts',_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),'msUtils',_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x2690),'setting'];function _0x4e3e2f(_0x4bc12e,_0x50d04e,_0x11d2a1,_0xaeaa57,_0xfc314a,_0x5ee93d,_0x33926a,_0x538059,_0x3d6fd7,_0x497c69,_0x232cd9,_0x5d7929,_0x1d4c17,_0x42b761,_0x6117d4,_0x47d235,_0x154a28){const _0x2c6866=_0x313a4d,_0x4bdbc0=this;_0x4bdbc0[_0x2c6866(0x2690)]=_0x47d235,_0x4bdbc0['setting']=_0x154a28,_0x4bdbc0[_0x2c6866(0x2321)]=_0x6117d4['getCurrentUser'](),_0x4bdbc0[_0x2c6866(0x2dd)]=_0x3d6fd7||{'count':0x0,'rows':[]},_0x4bdbc0['userProfile']=_0x497c69,_0x4bdbc0[_0x2c6866(0x1366)]=_0x232cd9&&_0x232cd9['count']==0x1?_0x232cd9[_0x2c6866(0x19c7)][0x0]:null,_0x4bdbc0['crudPermissions']=_0x6117d4[_0x2c6866(0xe60)](_0x4bdbc0[_0x2c6866(0x1366)]?_0x4bdbc0['userProfileSection']['crudPermissions']:null),_0x4bdbc0[_0x2c6866(0x768)]=_0x2c6866(0x2dd),_0x4bdbc0[_0x2c6866(0x216a)]='',_0x4bdbc0[_0x2c6866(0x214f)]=null,_0x4bdbc0['selectedSugarcrmAccounts']=[],_0x4bdbc0['query']={'fields':'createdAt,updatedAt,id,name,username,remoteUri,password,serverUrl,description','limit':0xa,'page':0x1},_0x4bdbc0[_0x2c6866(0x23f9)]=_0x1dfac7,_0x4bdbc0['testtestintegrationaccount']=_0x2d1e54,_0x4bdbc0[_0x2c6866(0xf0a)]=_0x470b0c,_0x4bdbc0[_0x2c6866(0x1c75)]=_0x271a2a,_0x4bdbc0[_0x2c6866(0x1017)]=_0x349f8a,_0x4bdbc0[_0x2c6866(0x178e)]=_0x48d4bf,_0x4bdbc0[_0x2c6866(0x75c)]=_0x5eb3c7,_0x4bdbc0[_0x2c6866(0x5ff)]=_0x208e13,_0x4bdbc0[_0x2c6866(0xfa1)]=_0x59ef55,_0x4bdbc0['deselectSugarcrmAccounts']=_0x12f9db,_0x4bdbc0[_0x2c6866(0xf55)]=_0x3e22f5;function _0x1dfac7(_0x55195e){_0x11d2a1['go']('app.integrations.sugarcrmAccounts.edit',{'id':_0x55195e['id'],'sugarcrmAccount':_0x55195e,'crudPermissions':_0x4bdbc0['crudPermissions']});}function _0x2d1e54(_0x1278b2){const _0x14c92e=_0x2c6866;return _0x5d7929[_0x14c92e(0x1cf5)][_0x14c92e(0x12ff)]({'id':_0x1278b2['id'],'test':!![]})[_0x14c92e(0x2945)][_0x14c92e(0x146b)](function(){const _0x900af=_0x14c92e;_0x42b761[_0x900af(0x1c75)]({'title':_0x900af(0x17d8),'msg':_0x900af(0x1893)});})[_0x14c92e(0x129e)](function(_0x351d8c){const _0x57bc12=_0x14c92e;_0x42b761['error']({'title':_0x57bc12(0x17d8),'msg':_0x351d8c['data']?_0x351d8c['data'][_0x57bc12(0x7fd)]?_0x351d8c[_0x57bc12(0x524)][_0x57bc12(0x7fd)]:JSON[_0x57bc12(0x10bb)](_0x351d8c['data']):_0x351d8c[_0x57bc12(0xd5f)]()});});}function _0x470b0c(_0x1108a0,_0x20cbd1){const _0x51fca9=_0x2c6866,_0xc67fb1=_0xfc314a['confirm']()['title'](_0x51fca9(0xdb2)+_0x3f65c0()[_0x51fca9(0x20d1)](_0x51fca9(0x16a4))+'?')['htmlContent'](_0x51fca9(0x16d3)+(_0x1108a0[_0x51fca9(0x19eb)]||_0x51fca9(0x16a4))+_0x51fca9(0x252f)+_0x51fca9(0xe01))[_0x51fca9(0x4bd)](_0x51fca9(0x1544))[_0x51fca9(0x1f27)](_0x20cbd1)['ok']('OK')[_0x51fca9(0x6c3)](_0x51fca9(0x39a));_0xfc314a[_0x51fca9(0x2615)](_0xc67fb1)[_0x51fca9(0x146b)](function(){_0x5eb3c7(_0x1108a0);},function(){const _0x59a315=_0x51fca9;console[_0x59a315(0x1a74)](_0x59a315(0x39a));});}let _0x2e1837=!![],_0x282214=0x1;_0x4bc12e['$watch'](_0x2c6866(0x2669),function(_0x180676,_0x399e96){const _0x1e492b=_0x2c6866;_0x2e1837?_0x33926a(function(){_0x2e1837=![];}):(!_0x399e96&&(_0x282214=_0x4bdbc0[_0x1e492b(0x1a56)][_0x1e492b(0x844)]),_0x180676!==_0x399e96&&(_0x4bdbc0[_0x1e492b(0x1a56)]['page']=0x1),!_0x180676&&(_0x4bdbc0[_0x1e492b(0x1a56)][_0x1e492b(0x844)]=_0x282214),_0x4bdbc0[_0x1e492b(0x1017)]());});function _0x271a2a(_0x274ade){_0x4bdbc0['sugarcrmAccounts']=_0x274ade||{'count':0x0,'rows':[]};}function _0x349f8a(){const _0x48dd28=_0x2c6866;_0x4bdbc0[_0x48dd28(0x1a56)]['offset']=(_0x4bdbc0[_0x48dd28(0x1a56)]['page']-0x1)*_0x4bdbc0['query']['limit'],_0x6117d4[_0x48dd28(0x23e0)](_0x48dd28(0x174b))?_0x4bdbc0[_0x48dd28(0xb9c)]=_0x5d7929[_0x48dd28(0x1cf5)][_0x48dd28(0x16b4)](_0x4bdbc0[_0x48dd28(0x1a56)],_0x271a2a)[_0x48dd28(0x2945)]:(_0x4bdbc0['query']['id']=_0x4bdbc0[_0x48dd28(0x26b6)]['id'],_0x4bdbc0['query']['section']=_0x48dd28(0x12f0),_0x4bdbc0[_0x48dd28(0xb9c)]=_0x5d7929[_0x48dd28(0x26b6)][_0x48dd28(0x158f)](_0x4bdbc0['query'],_0x271a2a)[_0x48dd28(0x2945)]);}function _0x48d4bf(_0x5f4785,_0x4763f9){const _0x40ac47=_0x2c6866;_0xfc314a[_0x40ac47(0x2615)]({'controller':_0x40ac47(0xa00),'controllerAs':'vm','templateUrl':_0x25586c,'parent':angular[_0x40ac47(0x1853)](_0x5ee93d[_0x40ac47(0x2586)]),'targetEvent':_0x5f4785,'clickOutsideToClose':!![],'locals':{'sugarcrmAccount':_0x4763f9,'sugarcrmAccounts':_0x4bdbc0[_0x40ac47(0x2dd)][_0x40ac47(0x19c7)],'license':_0x4bdbc0['license'],'setting':_0x4bdbc0[_0x40ac47(0x15b9)],'crudPermissions':_0x4bdbc0['crudPermissions']}});}function _0x5eb3c7(_0x13ed06){const _0x3c8c62=_0x2c6866;_0x5d7929[_0x3c8c62(0x1cf5)]['delete']({'id':_0x13ed06['id']})[_0x3c8c62(0x2945)][_0x3c8c62(0x146b)](function(){const _0x1565e3=_0x3c8c62;_0x3f65c0()[_0x1565e3(0x2640)](_0x4bdbc0[_0x1565e3(0x2dd)]['rows'],{'id':_0x13ed06['id']}),_0x4bdbc0[_0x1565e3(0x2dd)]['count']-=0x1,!_0x4bdbc0[_0x1565e3(0x2dd)][_0x1565e3(0x19c7)]['length']&&_0x4bdbc0[_0x1565e3(0x1017)](),_0x42b761[_0x1565e3(0x1c75)]({'title':_0x3f65c0()[_0x1565e3(0x20d1)](_0x1565e3(0x20b9))+_0x1565e3(0x201c),'msg':_0x13ed06['name']?_0x13ed06['name']+_0x1565e3(0x23e3):''});})[_0x3c8c62(0x129e)](function(_0x29cbeb){const _0x3a8674=_0x3c8c62;if(_0x29cbeb[_0x3a8674(0x524)]&&_0x29cbeb[_0x3a8674(0x524)][_0x3a8674(0xcef)]&&_0x29cbeb[_0x3a8674(0x524)][_0x3a8674(0xcef)][_0x3a8674(0x402)]){_0x4bdbc0[_0x3a8674(0xcef)]=_0x29cbeb[_0x3a8674(0x524)][_0x3a8674(0xcef)]||[{'message':_0x29cbeb[_0x3a8674(0xd5f)](),'type':_0x3a8674(0x1b16)}];for(let _0x255a14=0x0;_0x255a14<_0x29cbeb[_0x3a8674(0x524)][_0x3a8674(0xcef)][_0x3a8674(0x402)];_0x255a14++){_0x42b761['error']({'title':_0x29cbeb[_0x3a8674(0x524)]['errors'][_0x255a14]['type'],'msg':_0x29cbeb[_0x3a8674(0x524)]['errors'][_0x255a14]['message']});}}else _0x42b761[_0x3a8674(0x1980)]({'title':_0x29cbeb['status']?_0x3a8674(0x262a)+_0x29cbeb[_0x3a8674(0x107b)]+_0x3a8674(0x1315)+_0x29cbeb[_0x3a8674(0x167f)]:_0x3a8674(0x1b16),'msg':_0x29cbeb['data']?JSON[_0x3a8674(0x10bb)](_0x29cbeb[_0x3a8674(0x524)][_0x3a8674(0x7fd)]):_0x29cbeb[_0x3a8674(0x7fd)]||_0x29cbeb[_0x3a8674(0xd5f)]()});});}function _0x208e13(){const _0x1c8eb4=_0x2c6866,_0x5e022d=angular[_0x1c8eb4(0x235a)](_0x4bdbc0[_0x1c8eb4(0x13e5)]);return _0x4bdbc0[_0x1c8eb4(0x13e5)]=[],_0x5e022d;}function _0x59ef55(_0x5d8b0e){const _0x50dc61=_0x2c6866,_0x5dffc6=_0xfc314a[_0x50dc61(0x1e8a)]()['title'](_0x50dc61(0x457))[_0x50dc61(0x1cbe)](_0x50dc61(0x16d3)+_0x4bdbc0[_0x50dc61(0x13e5)][_0x50dc61(0x402)]+_0x50dc61(0x2452)+_0x50dc61(0xe01))[_0x50dc61(0x4bd)](_0x50dc61(0x1561))[_0x50dc61(0x1f27)](_0x5d8b0e)['ok']('OK')[_0x50dc61(0x6c3)](_0x50dc61(0x39a));_0xfc314a[_0x50dc61(0x2615)](_0x5dffc6)['then'](function(){const _0x388b42=_0x50dc61;_0x4bdbc0[_0x388b42(0x13e5)][_0x388b42(0x1df5)](function(_0x7037a3){_0x5eb3c7(_0x7037a3);}),_0x4bdbc0[_0x388b42(0x13e5)]=[];});}function _0x12f9db(){const _0x2fc23c=_0x2c6866;_0x4bdbc0[_0x2fc23c(0x13e5)]=[];}function _0x3e22f5(){const _0x23ce43=_0x2c6866;_0x4bdbc0[_0x23ce43(0x13e5)]=_0x4bdbc0[_0x23ce43(0x2dd)]['rows'];}}const _0x34c86f=_0x4e3e2f;;_0xea45c6['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),'$mdDialog','$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x148d),_0x313a4d(0xfc2),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0xea45c6(_0x4c7a5e,_0xbb48ca,_0x53a0d4,_0x54ae0c,_0x34b6f0,_0x450039,_0x154f35,_0x2b67ca,_0x588397,_0x2213a7,_0x1a4345,_0x23d838,_0x54f7f9,_0x1556d9){const _0x135771=_0x313a4d,_0x1e2332=this;_0x1e2332['currentUser']=_0x1a4345['getCurrentUser'](),_0x1e2332[_0x135771(0xcef)]=[],_0x1e2332[_0x135771(0x15b9)]=_0x54f7f9,_0x1e2332[_0x135771(0x2690)]=_0x23d838,_0x1e2332[_0x135771(0x2514)]=_0x1556d9,_0x1e2332['hasModulePermissions']={},_0x1e2332[_0x135771(0x2251)]=_0x1e2332[_0x135771(0x15b9)]&&_0x1e2332[_0x135771(0x15b9)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x1e2332['title']=_0x135771(0xf4f),_0x1e2332[_0x135771(0xfc2)]=angular[_0x135771(0x235a)](_0x588397),_0x1e2332[_0x135771(0x148d)]=_0x2b67ca,_0x1e2332['newVtigerAccount']=![];!_0x1e2332['vtigerAccount']&&(_0x1e2332[_0x135771(0xfc2)]={'serverUrl':_0x53a0d4[_0x135771(0x2414)]()+_0x135771(0xb0e)+_0x53a0d4[_0x135771(0x148e)]()+(_0x53a0d4['port']()?':'+_0x53a0d4[_0x135771(0x1553)]():'')},_0x1e2332[_0x135771(0x1189)]=_0x135771(0x1d83),_0x1e2332[_0x135771(0x8d9)]=!![]);_0x1e2332[_0x135771(0x1178)]=_0x5dbd49,_0x1e2332[_0x135771(0x1fc0)]=_0x4cf55d,_0x1e2332[_0x135771(0xe31)]=_0x2c83c0,_0x1e2332[_0x135771(0xe73)]=_0x417d01,_0x1e2332[_0x135771(0x13f3)]=_0x512392;function _0x5dbd49(){const _0x3554ef=_0x135771;_0x1e2332[_0x3554ef(0xcef)]=[],_0x2213a7[_0x3554ef(0xf8d)][_0x3554ef(0x1e3)](_0x1e2332[_0x3554ef(0xfc2)])[_0x3554ef(0x2945)][_0x3554ef(0x146b)](function(_0xf656fc){const _0x56858a=_0x3554ef;_0x1e2332['vtigerAccounts'][_0x56858a(0xb3d)](_0xf656fc[_0x56858a(0x2488)]()),_0x154f35[_0x56858a(0x1c75)]({'title':_0x56858a(0x2935),'msg':_0x1e2332[_0x56858a(0xfc2)]['name']?_0x1e2332[_0x56858a(0xfc2)][_0x56858a(0x19eb)]+_0x56858a(0x1386):''}),_0x512392(_0xf656fc);})['catch'](function(_0xe35429){const _0x375009=_0x3554ef;if(_0xe35429[_0x375009(0x524)]&&_0xe35429['data'][_0x375009(0xcef)]&&_0xe35429[_0x375009(0x524)][_0x375009(0xcef)][_0x375009(0x402)]){_0x1e2332[_0x375009(0xcef)]=_0xe35429['data'][_0x375009(0xcef)]||[{'message':_0xe35429[_0x375009(0xd5f)](),'type':_0x375009(0x9fb)}];for(let _0x58e0db=0x0;_0x58e0db<_0xe35429['data'][_0x375009(0xcef)][_0x375009(0x402)];_0x58e0db+=0x1){_0x154f35[_0x375009(0x1980)]({'title':_0xe35429[_0x375009(0x524)]['errors'][_0x58e0db][_0x375009(0x1142)],'msg':_0xe35429[_0x375009(0x524)][_0x375009(0xcef)][_0x58e0db][_0x375009(0x7fd)]});}}else _0x154f35[_0x375009(0x1980)]({'title':_0xe35429[_0x375009(0x107b)]?'API:'+_0xe35429['status']+_0x375009(0x1315)+_0xe35429[_0x375009(0x167f)]:_0x375009(0x9fb),'msg':_0xe35429[_0x375009(0x524)]?JSON[_0x375009(0x10bb)](_0xe35429['data'][_0x375009(0x7fd)]):_0xe35429[_0x375009(0xd5f)]()});});}function _0x4cf55d(){const _0x6c9f31=_0x135771;_0x1e2332[_0x6c9f31(0xcef)]=[],_0x2213a7['intVtigerAccount']['update']({'id':_0x1e2332[_0x6c9f31(0xfc2)]['id']},_0x1e2332['vtigerAccount'])[_0x6c9f31(0x2945)][_0x6c9f31(0x146b)](function(_0x3218b2){const _0x49852f=_0x6c9f31,_0x12bc3c=_0x3f65c0()[_0x49852f(0xc84)](_0x1e2332[_0x49852f(0x148d)],{'id':_0x3218b2['id']});_0x12bc3c&&_0x3f65c0()[_0x49852f(0x168d)](_0x12bc3c,_0x3f65c0()[_0x49852f(0x40e)](_0x3218b2[_0x49852f(0x2488)](),_0x3f65c0()[_0x49852f(0x627)](_0x12bc3c))),_0x154f35['success']({'title':'VtigerAccount\x20properly\x20saved!','msg':_0x1e2332[_0x49852f(0xfc2)][_0x49852f(0x19eb)]?_0x1e2332[_0x49852f(0xfc2)][_0x49852f(0x19eb)]+'\x20has\x20been\x20saved!':''}),_0x512392(_0x3218b2);})[_0x6c9f31(0x129e)](function(_0x5c10b3){const _0x10b74e=_0x6c9f31;if(_0x5c10b3['data']&&_0x5c10b3[_0x10b74e(0x524)][_0x10b74e(0xcef)]&&_0x5c10b3[_0x10b74e(0x524)]['errors']['length']){_0x1e2332['errors']=_0x5c10b3[_0x10b74e(0x524)][_0x10b74e(0xcef)]||[{'message':_0x5c10b3[_0x10b74e(0xd5f)](),'type':_0x10b74e(0xa9b)}];for(let _0x40b679=0x0;_0x40b679<_0x5c10b3[_0x10b74e(0x524)][_0x10b74e(0xcef)]['length'];_0x40b679++){_0x154f35['error']({'title':_0x5c10b3[_0x10b74e(0x524)][_0x10b74e(0xcef)][_0x40b679][_0x10b74e(0x1142)],'msg':_0x5c10b3[_0x10b74e(0x524)][_0x10b74e(0xcef)][_0x40b679][_0x10b74e(0x7fd)]});}}else _0x154f35[_0x10b74e(0x1980)]({'title':_0x5c10b3[_0x10b74e(0x107b)]?_0x10b74e(0x262a)+_0x5c10b3[_0x10b74e(0x107b)]+_0x10b74e(0x1315)+_0x5c10b3[_0x10b74e(0x167f)]:_0x10b74e(0xa9b),'msg':_0x5c10b3[_0x10b74e(0x524)]?JSON[_0x10b74e(0x10bb)](_0x5c10b3['data'][_0x10b74e(0x7fd)]):_0x5c10b3[_0x10b74e(0xd5f)]()});});}function _0x2c83c0(_0x248e1a){const _0x635367=_0x135771;_0x1e2332[_0x635367(0xcef)]=[];const _0xf9dc4d=_0x54ae0c[_0x635367(0x1e8a)]()[_0x635367(0x1189)](_0x635367(0x1d64))[_0x635367(0x80f)](_0x635367(0x206b))[_0x635367(0x4bd)](_0x635367(0x81f))['ok']('Delete')['cancel']('Cancel')[_0x635367(0x1f27)](_0x248e1a);_0x54ae0c['show'](_0xf9dc4d)[_0x635367(0x146b)](function(){const _0x5840f2=_0x635367;_0x2213a7[_0x5840f2(0xf8d)][_0x5840f2(0x1fac)]({'id':_0x1e2332['vtigerAccount']['id']})[_0x5840f2(0x2945)]['then'](function(){const _0x78eb63=_0x5840f2;_0x3f65c0()[_0x78eb63(0x2640)](_0x1e2332['vtigerAccounts'],{'id':_0x1e2332[_0x78eb63(0xfc2)]['id']}),_0x154f35[_0x78eb63(0x1c75)]({'title':_0x78eb63(0xf11),'msg':(_0x1e2332[_0x78eb63(0xfc2)][_0x78eb63(0x19eb)]||_0x78eb63(0xfc2))+'\x20has\x20been\x20deleted!'}),_0x512392(_0x1e2332[_0x78eb63(0xfc2)]);})['catch'](function(_0x423219){const _0x17b616=_0x5840f2;if(_0x423219['data']&&_0x423219[_0x17b616(0x524)][_0x17b616(0xcef)]&&_0x423219['data'][_0x17b616(0xcef)]['length']){_0x1e2332[_0x17b616(0xcef)]=_0x423219[_0x17b616(0x524)][_0x17b616(0xcef)]||[{'message':_0x423219[_0x17b616(0xd5f)](),'type':'api.intVtigerAccount.delete'}];for(let _0x4483cd=0x0;_0x4483cd<_0x423219[_0x17b616(0x524)][_0x17b616(0xcef)][_0x17b616(0x402)];_0x4483cd++){_0x154f35['error']({'title':_0x423219[_0x17b616(0x524)][_0x17b616(0xcef)][_0x4483cd][_0x17b616(0x1142)],'msg':_0x423219['data'][_0x17b616(0xcef)][_0x4483cd][_0x17b616(0x7fd)]});}}else _0x154f35[_0x17b616(0x1980)]({'title':_0x423219[_0x17b616(0x107b)]?'API:'+_0x423219[_0x17b616(0x107b)]+_0x17b616(0x1315)+_0x423219[_0x17b616(0x167f)]:'api.intVtigerAccount.delete','msg':_0x423219[_0x17b616(0x524)]?JSON[_0x17b616(0x10bb)](_0x423219[_0x17b616(0x524)][_0x17b616(0x7fd)]):_0x423219[_0x17b616(0x7fd)]||_0x423219[_0x17b616(0xd5f)]()});});},function(){});}function _0x417d01(_0x77919e){return _0x77919e===null?undefined:new Date(_0x77919e);}function _0x512392(_0x4ca9e9){const _0x2d4a65=_0x135771;_0x54ae0c[_0x2d4a65(0x2458)](_0x4ca9e9);}}const _0x35b5b4=_0xea45c6;;const _0x14ffb1=_0x4acfac['p']+'src/js/modules/main/apps/integrations/views/vtigerAccounts/edit/configurations/dialog.html/dialog.html';;const _0xd4b74=_0x4acfac['p']+'src/js/modules/main/apps/integrations/views/vtigerAccounts/edit/item/dialog.html/dialog.html';;_0x295373[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x247f),'toasty',_0x313a4d(0xa87)];function _0x295373(_0x28cd12,_0x4c23d1,_0x20d46b,_0x398289,_0x13ca58){const _0x16c7b0=_0x313a4d,_0x1b18ea=this;_0x1b18ea[_0x16c7b0(0x2321)]=_0x13ca58['getCurrentUser'](),_0x1b18ea[_0x16c7b0(0xfc2)]={},_0x1b18ea[_0x16c7b0(0x27c2)]={},_0x1b18ea['configurations']=[],_0x1b18ea[_0x16c7b0(0x1d8f)]=-0x1,_0x1b18ea['crudPermissions'],_0x1b18ea['init']=_0x231322,_0x1b18ea[_0x16c7b0(0x188a)]=_0x2032a2,_0x1b18ea['createOrEditVtigerConfiguration']=_0x1480f4,_0x1b18ea['deleteVtigerConfiguration']=_0x2983d2;function _0x231322(_0x101df2,_0x20d8da){const _0x19265e=_0x16c7b0;_0x1b18ea[_0x19265e(0xfc2)]=_0x101df2,_0x1b18ea[_0x19265e(0x2514)]=typeof _0x20d8da!==_0x19265e(0x2274)?_0x20d8da:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x20d46b[_0x19265e(0xf8d)][_0x19265e(0xeb)]({'id':_0x1b18ea[_0x19265e(0xfc2)]['id'],'sort':_0x19265e(0x12f2)})[_0x19265e(0x2945)][_0x19265e(0x146b)](function(_0x1077ae){const _0x459334=_0x19265e;return _0x1b18ea[_0x459334(0x1723)]=_0x1077ae[_0x459334(0x19c7)]?_0x1077ae[_0x459334(0x19c7)]:[],_0x1b18ea[_0x459334(0x1723)][_0x459334(0x402)]&&_0x1b18ea[_0x459334(0x188a)](0x0),_0x20d46b[_0x459334(0x212)]['get']({'nolimit':!![],'sort':_0x459334(0x19eb)})[_0x459334(0x2945)];})[_0x19265e(0x146b)](function(_0x415eb1){const _0x1ab69e=_0x19265e;_0x1b18ea[_0x1ab69e(0x85b)]=_0x415eb1['rows']?_0x415eb1[_0x1ab69e(0x19c7)]:[],_0x1b18ea['variablesById']=_0x3f65c0()[_0x1ab69e(0x194)](_0x1b18ea[_0x1ab69e(0x85b)],'id');})[_0x19265e(0x129e)](function(_0x571c81){const _0x3a3bec=_0x19265e;_0x398289[_0x3a3bec(0x1980)]({'title':_0x571c81[_0x3a3bec(0x107b)]?_0x3a3bec(0x262a)+_0x571c81[_0x3a3bec(0x107b)]+'\x20-\x20'+_0x571c81[_0x3a3bec(0x167f)]:'SYSTEM:GETCONFIGURATION','msg':_0x571c81[_0x3a3bec(0x524)]?JSON[_0x3a3bec(0x10bb)](_0x571c81[_0x3a3bec(0x524)]):_0x571c81[_0x3a3bec(0xd5f)]()});});}function _0x2032a2(_0x451c8f){const _0x560c98=_0x16c7b0;_0x1b18ea[_0x560c98(0x27c2)]=_0x1b18ea[_0x560c98(0x1723)][_0x451c8f],_0x1b18ea[_0x560c98(0x1d8f)]=_0x451c8f,_0x20d46b['intVtigerConfiguration'][_0x560c98(0x18ee)]({'id':_0x1b18ea[_0x560c98(0x27c2)]['id']})[_0x560c98(0x2945)][_0x560c98(0x146b)](function(_0x27c4e1){const _0x4b19de=_0x560c98;return _0x1b18ea['subjects']=_0x27c4e1[_0x4b19de(0x19c7)]?_0x27c4e1[_0x4b19de(0x19c7)]:[],_0x20d46b[_0x4b19de(0x242d)]['getDescriptions']({'id':_0x1b18ea[_0x4b19de(0x27c2)]['id']})['$promise'];})[_0x560c98(0x146b)](function(_0x582c28){const _0x4ee940=_0x560c98;return _0x1b18ea['descriptions']=_0x582c28[_0x4ee940(0x19c7)]?_0x582c28['rows']:[],_0x20d46b['intVtigerConfiguration'][_0x4ee940(0x12ff)]({'id':_0x1b18ea[_0x4ee940(0x27c2)]['id']})['$promise'];})[_0x560c98(0x146b)](function(_0x30f296){const _0x3c6883=_0x560c98;_0x1b18ea['fields']=_0x30f296['rows']?_0x30f296[_0x3c6883(0x19c7)]:[];})['catch'](function(_0x1ad255){const _0x13c0df=_0x560c98;_0x398289[_0x13c0df(0x1980)]({'title':_0x1ad255['status']?'API:'+_0x1ad255[_0x13c0df(0x107b)]+_0x13c0df(0x1315)+_0x1ad255['statusText']:_0x13c0df(0x6ca),'msg':_0x1ad255[_0x13c0df(0x524)]?JSON['stringify'](_0x1ad255[_0x13c0df(0x524)]):_0x1ad255[_0x13c0df(0xd5f)]()});});}function _0x1480f4(_0x3c3b49,_0x3e0ad3){const _0x175ad0=_0x16c7b0;_0x28cd12['show']({'controller':_0x175ad0(0x18a7),'controllerAs':'vm','templateUrl':_0x14ffb1,'parent':angular[_0x175ad0(0x1853)](_0x4c23d1[_0x175ad0(0x2586)]),'targetEvent':_0x3c3b49,'clickOutsideToClose':!![],'locals':{'vtigerConfiguration':_0x3e0ad3,'configurations':_0x1b18ea['configurations'],'license':null,'setting':null,'crudPermissions':_0x1b18ea['crudPermissions']}})[_0x175ad0(0x1ec6)](function(){const _0x581e0f=_0x175ad0;_0x1b18ea[_0x581e0f(0x188a)](0x0);});}function _0x2983d2(_0x52271a,_0x1b5da9){const _0x30dd2b=_0x16c7b0,_0x35fc32=_0x28cd12[_0x30dd2b(0x1e8a)]()[_0x30dd2b(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20vtigerConfiguration?')['htmlContent'](''+(_0x1b5da9['name']||_0x30dd2b(0x27c2))+_0x30dd2b(0x252f)+_0x30dd2b(0xe01))[_0x30dd2b(0x4bd)]('delete\x20vtigerConfiguration')[_0x30dd2b(0x1f27)](_0x52271a)['ok']('OK')['cancel'](_0x30dd2b(0x39a));_0x28cd12[_0x30dd2b(0x2615)](_0x35fc32)[_0x30dd2b(0x146b)](function(){const _0x24ede3=_0x30dd2b;_0x20d46b[_0x24ede3(0x242d)][_0x24ede3(0x1fac)]({'id':_0x1b5da9['id']})[_0x24ede3(0x2945)][_0x24ede3(0x146b)](function(){const _0x231eae=_0x24ede3;_0x3f65c0()[_0x231eae(0x2640)](_0x1b18ea[_0x231eae(0x1723)],{'id':_0x1b5da9['id']}),_0x398289[_0x231eae(0x1c75)]({'title':_0x231eae(0x37f),'msg':_0x1b5da9['name']?_0x1b5da9[_0x231eae(0x19eb)]+_0x231eae(0x23e3):''});})[_0x24ede3(0x129e)](function(_0x45d1c4){const _0x2c87ba=_0x24ede3;_0x398289[_0x2c87ba(0x1980)]({'title':_0x45d1c4[_0x2c87ba(0x107b)]?_0x2c87ba(0x262a)+_0x45d1c4[_0x2c87ba(0x107b)]+_0x2c87ba(0x1315)+_0x45d1c4[_0x2c87ba(0x167f)]:_0x2c87ba(0xff1),'msg':_0x45d1c4[_0x2c87ba(0x524)]?JSON[_0x2c87ba(0x10bb)](_0x45d1c4['data']):_0x45d1c4['toString']()});})[_0x24ede3(0x1ec6)](function(){const _0x3c1320=_0x24ede3;_0x1b18ea[_0x3c1320(0x188a)](0x0);});},function(){const _0xe8bf59=_0x30dd2b;console[_0xe8bf59(0x1a74)](_0xe8bf59(0x39a));});}_0x1b18ea['selectedSubjects']=[],_0x1b18ea[_0x16c7b0(0x2665)]=_0x33bf46,_0x1b18ea[_0x16c7b0(0x10d5)]=_0x2ed3dc,_0x1b18ea['deleteSelectedSubjects']=_0x3a0785;function _0x33bf46(_0x2abefc,_0x5c395e){const _0x5ba215=_0x16c7b0;_0x28cd12['show']({'controller':'CreateOrEditVtigerAccountItemDialogController','controllerAs':'vm','templateUrl':_0xd4b74,'parent':angular[_0x5ba215(0x1853)](_0x4c23d1[_0x5ba215(0x2586)]),'targetEvent':_0x2abefc,'clickOutsideToClose':!![],'locals':{'type':_0x5ba215(0xe32),'item':_0x5c395e,'items':_0x1b18ea[_0x5ba215(0x3c8)],'configuration':_0x1b18ea['vtigerConfiguration'],'account':_0x1b18ea[_0x5ba215(0xfc2)],'license':null,'setting':null,'crudPermissions':_0x1b18ea[_0x5ba215(0x2514)]}});}function _0x5c480f(_0x351476){const _0xb9d451=_0x16c7b0;_0x20d46b[_0xb9d451(0x745)][_0xb9d451(0x1fac)]({'id':_0x351476['id']})['$promise'][_0xb9d451(0x146b)](function(){const _0x199061=_0xb9d451;_0x3f65c0()[_0x199061(0x2640)](_0x1b18ea[_0x199061(0x3c8)],{'id':_0x351476['id']}),_0x398289[_0x199061(0x1c75)]({'title':_0x199061(0x28e6),'msg':'Subject\x20has\x20been\x20deleted!'});})[_0xb9d451(0x129e)](function(_0x285d4a){const _0x43ff4f=_0xb9d451;_0x398289[_0x43ff4f(0x1980)]({'title':_0x285d4a[_0x43ff4f(0x107b)]?_0x43ff4f(0x262a)+_0x285d4a['status']+_0x43ff4f(0x1315)+_0x285d4a['statusText']:_0x43ff4f(0xf6e),'msg':_0x285d4a[_0x43ff4f(0x524)]?JSON[_0x43ff4f(0x10bb)](_0x285d4a[_0x43ff4f(0x524)]):_0x285d4a[_0x43ff4f(0xd5f)]()});});}function _0x2ed3dc(_0x6e80c8,_0x49100e){const _0x22b77c=_0x16c7b0,_0x2a5124=_0x28cd12['confirm']()[_0x22b77c(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20subject?')[_0x22b77c(0x1cbe)](_0x22b77c(0xf7f)+_0x22b77c(0xe01))['ariaLabel'](_0x22b77c(0x5d1))[_0x22b77c(0x1f27)](_0x6e80c8)['ok']('OK')['cancel'](_0x22b77c(0x39a));_0x28cd12[_0x22b77c(0x2615)](_0x2a5124)[_0x22b77c(0x146b)](function(){_0x5c480f(_0x49100e);},function(){const _0x2f45e7=_0x22b77c;console[_0x2f45e7(0x1a74)](_0x2f45e7(0x39a));});}function _0x3a0785(_0x918849){const _0x400c53=_0x16c7b0,_0x1db714=_0x28cd12[_0x400c53(0x1e8a)]()[_0x400c53(0x1189)](_0x400c53(0x2e6))[_0x400c53(0x1cbe)](_0x400c53(0x16d3)+_0x1b18ea['selectedSubjects'][_0x400c53(0x402)]+_0x400c53(0x2452)+_0x400c53(0xe01))['ariaLabel'](_0x400c53(0x1209))[_0x400c53(0x1f27)](_0x918849)['ok']('OK')[_0x400c53(0x6c3)](_0x400c53(0x39a));_0x28cd12[_0x400c53(0x2615)](_0x1db714)['then'](function(){const _0x30acdc=_0x400c53;_0x1b18ea['selectedSubjects']['forEach'](function(_0x48e46d){_0x5c480f(_0x48e46d);}),_0x1b18ea[_0x30acdc(0x15a6)]=[];});}_0x1b18ea['selectedDescriptions']=[],_0x1b18ea[_0x16c7b0(0x18da)]=_0x4beadb,_0x1b18ea[_0x16c7b0(0xb29)]=_0x3e512b,_0x1b18ea[_0x16c7b0(0x2241)]=_0x2f7843;function _0x4beadb(_0x30c9f5,_0x4c1ea7){const _0x35f28c=_0x16c7b0;_0x28cd12[_0x35f28c(0x2615)]({'controller':_0x35f28c(0x17a0),'controllerAs':'vm','templateUrl':_0xd4b74,'parent':angular[_0x35f28c(0x1853)](_0x4c23d1[_0x35f28c(0x2586)]),'targetEvent':_0x30c9f5,'clickOutsideToClose':!![],'locals':{'type':_0x35f28c(0xb17),'item':_0x4c1ea7,'items':_0x1b18ea[_0x35f28c(0x2358)],'configuration':_0x1b18ea[_0x35f28c(0x27c2)],'account':_0x1b18ea[_0x35f28c(0xfc2)],'license':null,'setting':null,'crudPermissions':_0x1b18ea[_0x35f28c(0x2514)]}});}function _0x3b99a1(_0x24cc81){const _0x422c60=_0x16c7b0;_0x20d46b[_0x422c60(0x745)][_0x422c60(0x1fac)]({'id':_0x24cc81['id']})[_0x422c60(0x2945)]['then'](function(){const _0x2c2739=_0x422c60;_0x3f65c0()['remove'](_0x1b18ea[_0x2c2739(0x2358)],{'id':_0x24cc81['id']}),_0x398289['success']({'title':_0x2c2739(0xaf8),'msg':_0x2c2739(0x8e3)});})[_0x422c60(0x129e)](function(_0x1e5743){const _0x35603c=_0x422c60;_0x398289['error']({'title':_0x1e5743[_0x35603c(0x107b)]?_0x35603c(0x262a)+_0x1e5743[_0x35603c(0x107b)]+'\x20-\x20'+_0x1e5743['statusText']:_0x35603c(0xf6e),'msg':_0x1e5743[_0x35603c(0x524)]?JSON['stringify'](_0x1e5743[_0x35603c(0x524)]):_0x1e5743['toString']()});});}function _0x3e512b(_0x3ffc54,_0x5b27c1){const _0x468351=_0x16c7b0,_0x31c2ec=_0x28cd12[_0x468351(0x1e8a)]()[_0x468351(0x1189)](_0x468351(0x1e9))[_0x468351(0x1cbe)](_0x468351(0x2014)+_0x468351(0xe01))[_0x468351(0x4bd)](_0x468351(0x1c5c))[_0x468351(0x1f27)](_0x3ffc54)['ok']('OK')[_0x468351(0x6c3)](_0x468351(0x39a));_0x28cd12[_0x468351(0x2615)](_0x31c2ec)[_0x468351(0x146b)](function(){_0x3b99a1(_0x5b27c1);},function(){const _0x5d879b=_0x468351;console[_0x5d879b(0x1a74)]('CANCEL');});}function _0x2f7843(_0x31e6d4){const _0x25a2ce=_0x16c7b0,_0x5bc424=_0x28cd12['confirm']()[_0x25a2ce(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20descriptions?')[_0x25a2ce(0x1cbe)](_0x25a2ce(0x16d3)+_0x1b18ea[_0x25a2ce(0x126)][_0x25a2ce(0x402)]+_0x25a2ce(0x2452)+_0x25a2ce(0xe01))['ariaLabel'](_0x25a2ce(0x1be7))[_0x25a2ce(0x1f27)](_0x31e6d4)['ok']('OK')[_0x25a2ce(0x6c3)](_0x25a2ce(0x39a));_0x28cd12[_0x25a2ce(0x2615)](_0x5bc424)['then'](function(){const _0x3f0e96=_0x25a2ce;_0x1b18ea[_0x3f0e96(0x126)]['forEach'](function(_0x5e8b49){_0x3b99a1(_0x5e8b49);}),_0x1b18ea['selectedDescriptions']=[];});}_0x1b18ea['selectedFields']=[],_0x1b18ea['createOrEditField']=_0x5a381e,_0x1b18ea[_0x16c7b0(0x2180)]=_0x173e65,_0x1b18ea[_0x16c7b0(0x816)]=_0x1d2b08;function _0x5a381e(_0x4f4e35,_0x2b2a64){const _0x496545=_0x16c7b0;_0x28cd12[_0x496545(0x2615)]({'controller':_0x496545(0x17a0),'controllerAs':'vm','templateUrl':_0xd4b74,'parent':angular[_0x496545(0x1853)](_0x4c23d1['body']),'targetEvent':_0x4f4e35,'clickOutsideToClose':!![],'locals':{'type':_0x496545(0x65c),'item':_0x2b2a64,'items':_0x1b18ea[_0x496545(0x2867)],'configuration':_0x1b18ea[_0x496545(0x27c2)],'account':_0x1b18ea[_0x496545(0xfc2)],'license':null,'setting':null,'crudPermissions':_0x1b18ea[_0x496545(0x2514)]}});}function _0x355266(_0x2bf055){const _0x4f11ba=_0x16c7b0;_0x20d46b[_0x4f11ba(0x745)][_0x4f11ba(0x1fac)]({'id':_0x2bf055['id']})[_0x4f11ba(0x2945)][_0x4f11ba(0x146b)](function(){const _0x159d55=_0x4f11ba;_0x3f65c0()[_0x159d55(0x2640)](_0x1b18ea['fields'],{'id':_0x2bf055['id']}),_0x398289[_0x159d55(0x1c75)]({'title':'Field\x20deleted!','msg':'Field\x20has\x20been\x20deleted!'});})[_0x4f11ba(0x129e)](function(_0x5df782){const _0x1d97a9=_0x4f11ba;_0x398289[_0x1d97a9(0x1980)]({'title':_0x5df782['status']?_0x1d97a9(0x262a)+_0x5df782[_0x1d97a9(0x107b)]+'\x20-\x20'+_0x5df782[_0x1d97a9(0x167f)]:_0x1d97a9(0xf6e),'msg':_0x5df782[_0x1d97a9(0x524)]?JSON[_0x1d97a9(0x10bb)](_0x5df782['data']):_0x5df782[_0x1d97a9(0xd5f)]()});});}function _0x173e65(_0x26a58d,_0x292c6e){const _0x45dca8=_0x16c7b0,_0x43a707=_0x28cd12['confirm']()[_0x45dca8(0x1189)](_0x45dca8(0x17f6))[_0x45dca8(0x1cbe)](_0x45dca8(0x2216)+_0x45dca8(0xe01))[_0x45dca8(0x4bd)](_0x45dca8(0x2487))[_0x45dca8(0x1f27)](_0x26a58d)['ok']('OK')['cancel']('CANCEL');_0x28cd12[_0x45dca8(0x2615)](_0x43a707)[_0x45dca8(0x146b)](function(){_0x355266(_0x292c6e);},function(){const _0x4ce658=_0x45dca8;console[_0x4ce658(0x1a74)](_0x4ce658(0x39a));});}function _0x1d2b08(_0x311a8b){const _0x28a426=_0x16c7b0,_0x5993f1=_0x28cd12['confirm']()[_0x28a426(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20fields?')[_0x28a426(0x1cbe)](_0x28a426(0x16d3)+_0x1b18ea[_0x28a426(0x2605)][_0x28a426(0x402)]+'\x20selected'+_0x28a426(0xe01))[_0x28a426(0x4bd)](_0x28a426(0x176a))[_0x28a426(0x1f27)](_0x311a8b)['ok']('OK')['cancel'](_0x28a426(0x39a));_0x28cd12['show'](_0x5993f1)[_0x28a426(0x146b)](function(){const _0x17c26d=_0x28a426;_0x1b18ea[_0x17c26d(0x2605)]['forEach'](function(_0x491270){_0x355266(_0x491270);}),_0x1b18ea['selectedFields']=[];});}}const _0x4e6509=_0x295373;;_0x1f96a4[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),'configurations','vtigerConfiguration',_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x1f96a4(_0x4a8ff2,_0x919e67,_0x50d8f3,_0x4d953b,_0x4d746c,_0x117611,_0x583a13,_0xa823e6,_0x9a4d4d,_0x373b5f,_0x4459c4,_0x413eaf,_0x3d4b4f,_0x3d77fa){const _0x34d543=_0x313a4d,_0x5745d3=this;_0x5745d3['currentUser']=_0x4459c4[_0x34d543(0xb12)](),_0x5745d3['errors']=[],_0x5745d3[_0x34d543(0x15b9)]=_0x3d4b4f,_0x5745d3['license']=_0x413eaf,_0x5745d3[_0x34d543(0x2514)]=_0x3d77fa,_0x5745d3['hasModulePermissions']={},_0x5745d3[_0x34d543(0x2251)]=_0x5745d3[_0x34d543(0x15b9)]&&_0x5745d3[_0x34d543(0x15b9)][_0x34d543(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x5745d3['title']=_0x34d543(0x1ad9),_0x5745d3[_0x34d543(0x27c2)]=angular['copy'](_0x9a4d4d),_0x5745d3[_0x34d543(0x1723)]=_0xa823e6,_0x5745d3[_0x34d543(0x3f9)]=![];!_0x5745d3['vtigerConfiguration']&&(_0x5745d3[_0x34d543(0x27c2)]={'channel':_0x34d543(0xe6),'type':_0x34d543(0x7b0)},_0x5745d3[_0x34d543(0x1189)]='INTEGRATIONS.NEW_VTIGERCONFIGURATION',_0x5745d3['newVtigerConfiguration']=!![]);_0x5745d3[_0x34d543(0x25c3)]=_0x9d4188,_0x5745d3[_0x34d543(0xf8e)]=_0x380283,_0x5745d3['deleteVtigerConfiguration']=_0x2d3733,_0x5745d3[_0x34d543(0xe73)]=_0x34f6a3,_0x5745d3['closeDialog']=_0x37ba1d;function _0x9d4188(){const _0x3f01ee=_0x34d543;_0x5745d3[_0x3f01ee(0xcef)]=[],_0x373b5f[_0x3f01ee(0xf8d)]['addConfiguration']({'id':_0x919e67[_0x3f01ee(0x16a)]['id']},_0x5745d3[_0x3f01ee(0x27c2)])[_0x3f01ee(0x2945)]['then'](function(_0x29ee75){const _0xaddc69=_0x3f01ee;_0x5745d3[_0xaddc69(0x1723)][_0xaddc69(0xb3d)](_0x29ee75[_0xaddc69(0x2488)]()),_0x583a13[_0xaddc69(0x1c75)]({'title':_0xaddc69(0x19c3),'msg':_0x5745d3[_0xaddc69(0x27c2)][_0xaddc69(0x19eb)]?_0x5745d3[_0xaddc69(0x27c2)][_0xaddc69(0x19eb)]+_0xaddc69(0x1386):''}),_0x37ba1d(_0x29ee75);})['catch'](function(_0x2c9830){const _0x2d4651=_0x3f01ee;if(_0x2c9830[_0x2d4651(0x524)]&&_0x2c9830['data'][_0x2d4651(0xcef)]&&_0x2c9830[_0x2d4651(0x524)]['errors'][_0x2d4651(0x402)]){_0x5745d3['errors']=_0x2c9830[_0x2d4651(0x524)][_0x2d4651(0xcef)]||[{'message':_0x2c9830[_0x2d4651(0xd5f)](),'type':_0x2d4651(0x28c2)}];for(let _0x1aba34=0x0;_0x1aba34<_0x2c9830[_0x2d4651(0x524)][_0x2d4651(0xcef)]['length'];_0x1aba34+=0x1){_0x583a13[_0x2d4651(0x1980)]({'title':_0x2c9830[_0x2d4651(0x524)][_0x2d4651(0xcef)][_0x1aba34]['type'],'msg':_0x2c9830[_0x2d4651(0x524)][_0x2d4651(0xcef)][_0x1aba34][_0x2d4651(0x7fd)]});}}else _0x583a13['error']({'title':_0x2c9830['status']?'API:'+_0x2c9830[_0x2d4651(0x107b)]+_0x2d4651(0x1315)+_0x2c9830['statusText']:_0x2d4651(0x28c2),'msg':_0x2c9830[_0x2d4651(0x524)]?JSON[_0x2d4651(0x10bb)](_0x2c9830[_0x2d4651(0x524)][_0x2d4651(0x7fd)]):_0x2c9830['toString']()});});}function _0x380283(){const _0x224165=_0x34d543;_0x5745d3[_0x224165(0xcef)]=[],_0x373b5f[_0x224165(0x242d)][_0x224165(0x18e1)]({'id':_0x5745d3['vtigerConfiguration']['id']},_0x5745d3[_0x224165(0x27c2)])[_0x224165(0x2945)][_0x224165(0x146b)](function(_0x18f99a){const _0x4b5d2a=_0x224165,_0x2a8fe2=_0x3f65c0()[_0x4b5d2a(0xc84)](_0x5745d3[_0x4b5d2a(0x1723)],{'id':_0x18f99a['id']});_0x2a8fe2&&_0x3f65c0()[_0x4b5d2a(0x168d)](_0x2a8fe2,_0x3f65c0()[_0x4b5d2a(0x40e)](_0x18f99a[_0x4b5d2a(0x2488)](),_0x3f65c0()[_0x4b5d2a(0x627)](_0x2a8fe2))),_0x583a13[_0x4b5d2a(0x1c75)]({'title':_0x4b5d2a(0x9d9),'msg':_0x5745d3['vtigerConfiguration'][_0x4b5d2a(0x19eb)]?_0x5745d3[_0x4b5d2a(0x27c2)][_0x4b5d2a(0x19eb)]+_0x4b5d2a(0x24db):''}),_0x37ba1d(_0x18f99a);})[_0x224165(0x129e)](function(_0x5a7960){const _0x25c515=_0x224165;if(_0x5a7960['data']&&_0x5a7960['data'][_0x25c515(0xcef)]&&_0x5a7960[_0x25c515(0x524)][_0x25c515(0xcef)]['length']){_0x5745d3['errors']=_0x5a7960[_0x25c515(0x524)]['errors']||[{'message':_0x5a7960['toString'](),'type':'api.intVtigerConfiguration.update'}];for(let _0x4b682c=0x0;_0x4b682c<_0x5a7960['data'][_0x25c515(0xcef)][_0x25c515(0x402)];_0x4b682c++){_0x583a13['error']({'title':_0x5a7960['data'][_0x25c515(0xcef)][_0x4b682c]['type'],'msg':_0x5a7960[_0x25c515(0x524)][_0x25c515(0xcef)][_0x4b682c]['message']});}}else _0x583a13[_0x25c515(0x1980)]({'title':_0x5a7960['status']?_0x25c515(0x262a)+_0x5a7960[_0x25c515(0x107b)]+_0x25c515(0x1315)+_0x5a7960[_0x25c515(0x167f)]:'api.intVtigerConfiguration.update','msg':_0x5a7960[_0x25c515(0x524)]?JSON[_0x25c515(0x10bb)](_0x5a7960[_0x25c515(0x524)][_0x25c515(0x7fd)]):_0x5a7960['toString']()});});}function _0x2d3733(_0x431aa4){const _0x274f2e=_0x34d543;_0x5745d3['errors']=[];const _0x35505e=_0x4d953b['confirm']()[_0x274f2e(0x1189)](_0x274f2e(0x1d64))['content']('The\x20vtigerConfiguration\x20will\x20be\x20deleted.')[_0x274f2e(0x4bd)]('Delete\x20VtigerConfiguration')['ok'](_0x274f2e(0x25de))[_0x274f2e(0x6c3)](_0x274f2e(0xcf0))[_0x274f2e(0x1f27)](_0x431aa4);_0x4d953b[_0x274f2e(0x2615)](_0x35505e)[_0x274f2e(0x146b)](function(){const _0x4fd8a0=_0x274f2e;_0x373b5f[_0x4fd8a0(0x242d)][_0x4fd8a0(0x1fac)]({'id':_0x5745d3[_0x4fd8a0(0x27c2)]['id']})[_0x4fd8a0(0x2945)][_0x4fd8a0(0x146b)](function(){const _0x322bbd=_0x4fd8a0;_0x3f65c0()[_0x322bbd(0x2640)](_0x5745d3[_0x322bbd(0x1723)],{'id':_0x5745d3[_0x322bbd(0x27c2)]['id']}),_0x583a13['success']({'title':_0x322bbd(0x1a9e),'msg':(_0x5745d3[_0x322bbd(0x27c2)][_0x322bbd(0x19eb)]||_0x322bbd(0x27c2))+_0x322bbd(0x23e3)}),_0x37ba1d(_0x5745d3['vtigerConfiguration']);})[_0x4fd8a0(0x129e)](function(_0x394ef4){const _0x2ca24d=_0x4fd8a0;if(_0x394ef4['data']&&_0x394ef4[_0x2ca24d(0x524)][_0x2ca24d(0xcef)]&&_0x394ef4[_0x2ca24d(0x524)][_0x2ca24d(0xcef)]['length']){_0x5745d3[_0x2ca24d(0xcef)]=_0x394ef4[_0x2ca24d(0x524)][_0x2ca24d(0xcef)]||[{'message':_0x394ef4[_0x2ca24d(0xd5f)](),'type':_0x2ca24d(0x4df)}];for(let _0x3ec318=0x0;_0x3ec318<_0x394ef4[_0x2ca24d(0x524)][_0x2ca24d(0xcef)][_0x2ca24d(0x402)];_0x3ec318++){_0x583a13[_0x2ca24d(0x1980)]({'title':_0x394ef4[_0x2ca24d(0x524)][_0x2ca24d(0xcef)][_0x3ec318]['type'],'msg':_0x394ef4[_0x2ca24d(0x524)][_0x2ca24d(0xcef)][_0x3ec318][_0x2ca24d(0x7fd)]});}}else _0x583a13[_0x2ca24d(0x1980)]({'title':_0x394ef4['status']?_0x2ca24d(0x262a)+_0x394ef4[_0x2ca24d(0x107b)]+_0x2ca24d(0x1315)+_0x394ef4[_0x2ca24d(0x167f)]:_0x2ca24d(0x4df),'msg':_0x394ef4['data']?JSON[_0x2ca24d(0x10bb)](_0x394ef4[_0x2ca24d(0x524)][_0x2ca24d(0x7fd)]):_0x394ef4[_0x2ca24d(0x7fd)]||_0x394ef4['toString']()});});},function(){});}function _0x34f6a3(_0x1ad179){return _0x1ad179===null?undefined:new Date(_0x1ad179);}function _0x37ba1d(_0x410419){const _0x34a3ca=_0x34d543;_0x4d953b[_0x34a3ca(0x2458)](_0x410419);}}const _0x1f53fb=_0x1f96a4;;_0x2c3583['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'toasty',_0x313a4d(0x19d7),'items','item',_0x313a4d(0x1f8e),'type',_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x2c3583(_0xba0308,_0x5f3e93,_0x5dbda9,_0x612635,_0x32bf3,_0x3a34ad,_0x1c36ca,_0x38572a,_0x513edf,_0x3f7cd9,_0x470b1d,_0x34e029,_0x106d3f){const _0x1acbf6=_0x313a4d,_0x2ece49=this;_0x2ece49[_0x1acbf6(0x2321)]=_0x34e029[_0x1acbf6(0xb12)](),_0x2ece49[_0x1acbf6(0xcef)]=[],_0x2ece49[_0x1acbf6(0x1189)]=_0x1acbf6(0x28ec)+_0x3f65c0()[_0x1acbf6(0xd39)](_0x3f7cd9),_0x2ece49[_0x1acbf6(0x2711)]=angular['copy'](_0x38572a),_0x2ece49['items']=_0x1c36ca,_0x2ece49['type']=_0x3f7cd9,_0x2ece49[_0x1acbf6(0x2514)]=_0x106d3f,_0x2ece49['newItem']=![];!_0x2ece49[_0x1acbf6(0x2711)]&&(_0x2ece49[_0x1acbf6(0x2711)]={'type':_0x1acbf6(0x1f23)},_0x2ece49['item'][_0x3f65c0()[_0x1acbf6(0x83f)](_0x3f7cd9)+'Id']=_0x3a34ad['id'],_0x2ece49[_0x1acbf6(0x1189)]='INTEGRATIONS.NEW_'+_0x3f65c0()[_0x1acbf6(0xd39)](_0x3f7cd9),_0x2ece49[_0x1acbf6(0x23cd)]=!![]);_0x2ece49[_0x1acbf6(0x1e65)]=_0x308566,_0x2ece49[_0x1acbf6(0x150e)]=_0x598583,_0x2ece49[_0x1acbf6(0xbaf)]=_0x277e5e,_0x2ece49[_0x1acbf6(0x21b1)]=_0xe38533,_0x2ece49[_0x1acbf6(0x13f3)]=_0x3399c0,_0x470b1d[_0x1acbf6(0x212)][_0x1acbf6(0x16b4)]({'nolimit':!![]})['$promise']['then'](function(_0x392802){const _0x457847=_0x1acbf6;return _0x2ece49[_0x457847(0x85b)]=_0x392802[_0x457847(0x19c7)]?_0x392802['rows']:[],_0x470b1d['voiceAgentReport']['describe']()[_0x457847(0x2945)];})[_0x1acbf6(0x146b)](function(_0x523f83){const _0x526d9c=_0x1acbf6;return _0x2ece49['voiceAgentReportColumns']=_0x3f65c0()['difference'](_0x3f65c0()[_0x526d9c(0x627)](_0x523f83),[_0x526d9c(0x2945),_0x526d9c(0x26f2),_0x526d9c(0xc68),_0x526d9c(0x144f),'sourceid']),_0x2ece49[_0x526d9c(0x1c8a)][_0x526d9c(0x1f47)](_0x526d9c(0x643)),_0x470b1d[_0x526d9c(0x160)][_0x526d9c(0x1ea2)]()['$promise'];})[_0x1acbf6(0x146b)](function(_0x572d0f){const _0x1424df=_0x1acbf6;_0x2ece49[_0x1424df(0x164b)]=_0x3f65c0()['difference'](_0x3f65c0()[_0x1424df(0x627)](_0x572d0f),['$promise',_0x1424df(0x26f2),_0x1424df(0xc68),'updatedAt',_0x1424df(0xc3b)]),_0x2ece49[_0x1424df(0x164b)][_0x1424df(0x1f47)](_0x1424df(0x643));})['catch'](function(_0x49ec01){const _0x212a34=_0x1acbf6;_0x32bf3[_0x212a34(0x1980)]({'title':_0x49ec01['status']?_0x212a34(0x262a)+_0x49ec01[_0x212a34(0x107b)]+_0x212a34(0x1315)+_0x49ec01[_0x212a34(0x167f)]:_0x212a34(0x502),'msg':_0x49ec01[_0x212a34(0x524)]?JSON[_0x212a34(0x10bb)](_0x49ec01[_0x212a34(0x524)]):_0x49ec01[_0x212a34(0xd5f)]()});}),_0x470b1d[_0x1acbf6(0xf8d)][_0x1acbf6(0x12ff)]({'id':_0x513edf['id'],'ticketType':_0x3a34ad[_0x1acbf6(0x21be)]?_0x3a34ad[_0x1acbf6(0x21be)]:undefined})[_0x1acbf6(0x2945)]['then'](function(_0x560bc8){const _0x4f51c2=_0x1acbf6;_0x2ece49[_0x4f51c2(0x822)]=!![],_0x2ece49['fields']=_0x560bc8['rows']?_0x560bc8[_0x4f51c2(0x19c7)]:[],_0x2ece49[_0x4f51c2(0x2711)]['idField']&&(_0x2ece49['customField']=_0x3f65c0()['find'](_0x2ece49[_0x4f51c2(0x2867)],{'id':_0x2ece49[_0x4f51c2(0x2711)][_0x4f51c2(0x2912)]}));})['catch'](function(_0x582bb0){const _0x7772ee=_0x1acbf6;_0x2ece49['accountConnected']=![],console[_0x7772ee(0x1980)](_0x582bb0);});function _0x308566(){const _0x10b4d4=_0x1acbf6;_0x2ece49[_0x10b4d4(0xcef)]=[],_0x2ece49['customField']&&(_0x2ece49[_0x10b4d4(0x2711)][_0x10b4d4(0x2912)]=_0x2ece49[_0x10b4d4(0x165e)]['id'],_0x2ece49[_0x10b4d4(0x2711)][_0x10b4d4(0x25e3)]=_0x2ece49[_0x10b4d4(0x165e)]['name'],_0x2ece49[_0x10b4d4(0x2711)][_0x10b4d4(0x165e)]=_0x2ece49['customField'][_0x10b4d4(0x1802)]),_0x470b1d[_0x10b4d4(0x745)]['save'](_0x2ece49[_0x10b4d4(0x2711)])[_0x10b4d4(0x2945)][_0x10b4d4(0x146b)](function(_0x471922){const _0x349cf0=_0x10b4d4;_0x2ece49[_0x349cf0(0x1fd6)][_0x349cf0(0xb3d)](_0x471922),_0x32bf3[_0x349cf0(0x1c75)]({'title':_0x3f65c0()['capitalize'](_0x3f7cd9)+'\x20properly\x20created','msg':_0x3f65c0()[_0x349cf0(0x83f)](_0x3f7cd9)+_0x349cf0(0x1386)}),_0x3399c0();})['catch'](function(_0x373f73){const _0x16669d=_0x10b4d4;console['error'](_0x373f73),_0x2ece49[_0x16669d(0xcef)]=_0x373f73[_0x16669d(0x524)][_0x16669d(0xcef)]||[{'message':_0x373f73['toString'](),'type':'api.item.save'}];});}function _0x598583(){const _0x5b8aea=_0x1acbf6;_0x2ece49['errors']=[],_0x2ece49[_0x5b8aea(0x165e)]&&(_0x2ece49[_0x5b8aea(0x2711)][_0x5b8aea(0x2912)]=_0x2ece49[_0x5b8aea(0x165e)]['id'],_0x2ece49['item'][_0x5b8aea(0x25e3)]=_0x2ece49[_0x5b8aea(0x165e)][_0x5b8aea(0x19eb)],_0x2ece49['item'][_0x5b8aea(0x165e)]=_0x2ece49['customField'][_0x5b8aea(0x1802)]),_0x470b1d[_0x5b8aea(0x745)]['update']({'id':_0x2ece49[_0x5b8aea(0x2711)]['id']},_0x2ece49['item'])[_0x5b8aea(0x2945)][_0x5b8aea(0x146b)](function(_0x41af3c){const _0x185a7d=_0x5b8aea,_0x42f433=_0x3f65c0()[_0x185a7d(0xc84)](_0x2ece49[_0x185a7d(0x1fd6)],{'id':_0x41af3c['id']});_0x42f433&&_0x3f65c0()[_0x185a7d(0x168d)](_0x42f433,_0x41af3c),_0x32bf3['success']({'title':_0x3f65c0()[_0x185a7d(0x83f)](_0x3f7cd9)+_0x185a7d(0xffe),'msg':_0x3f65c0()[_0x185a7d(0x83f)](_0x3f7cd9)+_0x185a7d(0x24db)}),_0x3399c0();})[_0x5b8aea(0x129e)](function(_0x4e7e48){const _0x302e6d=_0x5b8aea;console['error'](_0x4e7e48),_0x2ece49['errors']=_0x4e7e48[_0x302e6d(0x524)][_0x302e6d(0xcef)]||[{'message':_0x4e7e48[_0x302e6d(0xd5f)](),'type':_0x302e6d(0x1b4)}];});}function _0x277e5e(_0x553647){const _0x119972=_0x1acbf6;_0x2ece49[_0x119972(0xcef)]=[];const _0x502aee=_0x612635['confirm']()[_0x119972(0x1189)](_0x119972(0x1d64))['content']('The\x20'+_0x3f7cd9+_0x119972(0xe01))[_0x119972(0x4bd)](_0x119972(0xb22)+_0x3f65c0()['capitalize'](_0x3f7cd9))['ok']('Delete')[_0x119972(0x6c3)](_0x119972(0xcf0))[_0x119972(0x1f27)](_0x553647);_0x612635[_0x119972(0x2615)](_0x502aee)['then'](function(){const _0x3de872=_0x119972;_0x470b1d['intVtigerField'][_0x3de872(0x1fac)]({'id':_0x2ece49[_0x3de872(0x2711)]['id']})[_0x3de872(0x2945)][_0x3de872(0x146b)](function(){const _0x399055=_0x3de872;_0x3f65c0()[_0x399055(0x2640)](_0x2ece49['items'],{'id':_0x2ece49[_0x399055(0x2711)]['id']}),_0x32bf3[_0x399055(0x1c75)]({'title':_0x3f65c0()[_0x399055(0x83f)](_0x3f7cd9)+'\x20properly\x20deleted!','msg':_0x3f65c0()['capitalize'](_0x3f7cd9)+_0x399055(0x23e3)}),_0x3399c0();})['catch'](function(_0xe8298f){const _0x5f4771=_0x3de872;console[_0x5f4771(0x1980)](_0xe8298f),_0x2ece49[_0x5f4771(0xcef)]=_0xe8298f[_0x5f4771(0x524)][_0x5f4771(0xcef)]||[{'message':_0xe8298f[_0x5f4771(0xd5f)](),'type':_0x5f4771(0x1cb0)}];});},function(){});}function _0xe38533(){const _0x2e1ff0=_0x1acbf6;return _0x2ece49[_0x2e1ff0(0x2711)][_0x2e1ff0(0x97f)]!==undefined?_0x2ece49[_0x2e1ff0(0x2711)][_0x2e1ff0(0x97f)]:_0x2e1ff0(0x1040);}function _0x3399c0(){const _0x556e43=_0x1acbf6;_0x612635[_0x556e43(0x2458)]();}}const _0x3fcfbe=_0x2c3583;;_0x5f2b5b[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$document',_0x313a4d(0x214b),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87),'vtigerAccount',_0x313a4d(0x1366)];function _0x5f2b5b(_0x1a8a79,_0x55e4ee,_0x117ad7,_0x524202,_0x408bcb,_0x1eacab,_0x491675,_0x5a7fac,_0x10ab13,_0x4546ee,_0x418656,_0x33265b){const _0x133ddc=_0x313a4d,_0x628dd7=this;_0x628dd7[_0x133ddc(0x2321)]=_0x4546ee['getCurrentUser'](),_0x628dd7[_0x133ddc(0x2690)]=_0x1eacab,_0x628dd7[_0x133ddc(0x15b9)]=_0x491675,_0x628dd7[_0x133ddc(0x2251)]=_0x628dd7['setting'][_0x133ddc(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x628dd7[_0x133ddc(0x1002)]=_0x55e4ee[_0x133ddc(0x2414)]()+_0x133ddc(0xb0e)+_0x55e4ee[_0x133ddc(0x148e)](),_0x628dd7[_0x133ddc(0xfc2)]=_0x418656||_0x1a8a79[_0x133ddc(0x16a)][_0x133ddc(0xfc2)]||{},_0x628dd7[_0x133ddc(0x1366)]=_0x33265b&&_0x33265b[_0x133ddc(0x51c)]==0x1?_0x33265b['rows'][0x0]:null,_0x628dd7[_0x133ddc(0x2514)]=_0x4546ee['parseCrudPermissions'](_0x628dd7[_0x133ddc(0x1366)]?_0x628dd7[_0x133ddc(0x1366)][_0x133ddc(0x2514)]:null),_0x628dd7[_0x133ddc(0x855)]={},_0x628dd7['selectedTab']=_0x1a8a79[_0x133ddc(0x16a)][_0x133ddc(0x13a3)]||0x0,_0x628dd7['alert']=_0x10ab13['info'],_0x628dd7[_0x133ddc(0x1b19)]=_0x51111f,_0x628dd7['saveVtigerAccount']=_0x3d439a;function _0x51111f(){const _0x558e89=_0x133ddc;_0x1a8a79['go'](_0x558e89(0x217b),{},{'reload':_0x558e89(0x217b)});}function _0x3d439a(){const _0x131c3d=_0x133ddc;_0x5a7fac['intVtigerAccount'][_0x131c3d(0x18e1)]({'id':_0x628dd7['vtigerAccount']['id']},_0x628dd7[_0x131c3d(0xfc2)])[_0x131c3d(0x2945)][_0x131c3d(0x146b)](function(){const _0x32461a=_0x131c3d;_0x10ab13[_0x32461a(0x1c75)]({'title':_0x32461a(0x7b6),'msg':_0x628dd7['vtigerAccount'][_0x32461a(0x19eb)]?_0x628dd7[_0x32461a(0xfc2)][_0x32461a(0x19eb)]+'\x20has\x20been\x20updated!':''});})[_0x131c3d(0x129e)](function(_0x16104e){const _0x1860a3=_0x131c3d;_0x10ab13[_0x1860a3(0x1980)]({'title':_0x16104e[_0x1860a3(0x107b)]?'API:'+_0x16104e[_0x1860a3(0x107b)]+'\x20-\x20'+_0x16104e['statusText']:_0x1860a3(0x153f),'msg':_0x16104e[_0x1860a3(0x524)]?JSON[_0x1860a3(0x10bb)](_0x16104e[_0x1860a3(0x524)]):_0x16104e['toString']()});});}}const _0x38fed7=_0x5f2b5b;;const _0x271e9e=_0x4acfac['p']+_0x313a4d(0x1512);;_0xa8f02f[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$window',_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),'$translate',_0x313a4d(0x148d),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),'Auth',_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0xa8f02f(_0x18a5be,_0x3959fa,_0x1a8bf6,_0x145f7b,_0x43f9ed,_0x520d72,_0x40ddb7,_0x28edfb,_0x5ae311,_0x3c3f37,_0x257210,_0x6ffd09,_0x32caab,_0x3f5504,_0x10cc2a,_0x5ac4fc,_0x592bbd){const _0xb5b610=_0x313a4d,_0x2220ed=this;_0x2220ed[_0xb5b610(0x2690)]=_0x5ac4fc,_0x2220ed['setting']=_0x592bbd,_0x2220ed[_0xb5b610(0x2321)]=_0x10cc2a['getCurrentUser'](),_0x2220ed['vtigerAccounts']=_0x5ae311||{'count':0x0,'rows':[]},_0x2220ed[_0xb5b610(0x26b6)]=_0x3c3f37,_0x2220ed['userProfileSection']=_0x257210&&_0x257210[_0xb5b610(0x51c)]==0x1?_0x257210['rows'][0x0]:null,_0x2220ed[_0xb5b610(0x2514)]=_0x10cc2a[_0xb5b610(0xe60)](_0x2220ed[_0xb5b610(0x1366)]?_0x2220ed['userProfileSection'][_0xb5b610(0x2514)]:null),_0x2220ed[_0xb5b610(0x768)]='vtigerAccounts',_0x2220ed[_0xb5b610(0x216a)]='',_0x2220ed[_0xb5b610(0x214f)]=null,_0x2220ed[_0xb5b610(0x1ff4)]=[],_0x2220ed[_0xb5b610(0x1a56)]={'fields':_0xb5b610(0x14c4),'limit':0xa,'page':0x1},_0x2220ed[_0xb5b610(0x23f9)]=_0x4835bc,_0x2220ed[_0xb5b610(0x1e60)]=_0x456867,_0x2220ed[_0xb5b610(0xf0a)]=_0x1f9cb0,_0x2220ed[_0xb5b610(0x1c75)]=_0xc147ef,_0x2220ed[_0xb5b610(0x27dc)]=_0x10cbc0,_0x2220ed[_0xb5b610(0x24bd)]=_0x316ef4,_0x2220ed[_0xb5b610(0xe31)]=_0x2278f9,_0x2220ed[_0xb5b610(0x171b)]=_0x2cf76a,_0x2220ed['deleteSelectedVtigerAccounts']=_0x96c9c,_0x2220ed[_0xb5b610(0x1374)]=_0x2e3f8e,_0x2220ed['selectAllVtigerAccounts']=_0x91e6ee;function _0x4835bc(_0x5b8054){const _0x598562=_0xb5b610;_0x1a8bf6['go'](_0x598562(0xccd),{'id':_0x5b8054['id'],'vtigerAccount':_0x5b8054,'crudPermissions':_0x2220ed[_0x598562(0x2514)]});}function _0x456867(_0x5b3437){const _0x4ee5a3=_0xb5b610;return _0x6ffd09[_0x4ee5a3(0xf8d)][_0x4ee5a3(0x12ff)]({'id':_0x5b3437['id'],'test':!![]})[_0x4ee5a3(0x2945)][_0x4ee5a3(0x146b)](function(){const _0x64748e=_0x4ee5a3;_0x3f5504[_0x64748e(0x1c75)]({'title':_0x64748e(0x9ab),'msg':_0x64748e(0x1893)});})[_0x4ee5a3(0x129e)](function(_0x214beb){const _0x228be4=_0x4ee5a3;_0x3f5504[_0x228be4(0x1980)]({'title':_0x228be4(0x9ab),'msg':_0x214beb[_0x228be4(0x524)]?_0x214beb[_0x228be4(0x524)][_0x228be4(0x7fd)]?_0x214beb[_0x228be4(0x524)]['message']:JSON['stringify'](_0x214beb[_0x228be4(0x524)]):_0x214beb[_0x228be4(0xd5f)]()});});}function _0x1f9cb0(_0x32b158,_0x16a657){const _0x580c48=_0xb5b610,_0x148265=_0x43f9ed[_0x580c48(0x1e8a)]()[_0x580c48(0x1189)](_0x580c48(0xdb2)+_0x3f65c0()[_0x580c48(0x20d1)](_0x580c48(0xfc2))+'?')[_0x580c48(0x1cbe)](_0x580c48(0x16d3)+(_0x32b158[_0x580c48(0x19eb)]||_0x580c48(0xfc2))+_0x580c48(0x252f)+_0x580c48(0xe01))[_0x580c48(0x4bd)](_0x580c48(0x2708))[_0x580c48(0x1f27)](_0x16a657)['ok']('OK')[_0x580c48(0x6c3)](_0x580c48(0x39a));_0x43f9ed[_0x580c48(0x2615)](_0x148265)[_0x580c48(0x146b)](function(){_0x2278f9(_0x32b158);},function(){const _0x44e34c=_0x580c48;console['log'](_0x44e34c(0x39a));});}let _0x37fa68=!![],_0x3af994=0x1;_0x18a5be[_0xb5b610(0x21e8)]('vm.query.filter',function(_0x1c9442,_0x25f571){const _0x22666b=_0xb5b610;_0x37fa68?_0x40ddb7(function(){_0x37fa68=![];}):(!_0x25f571&&(_0x3af994=_0x2220ed[_0x22666b(0x1a56)][_0x22666b(0x844)]),_0x1c9442!==_0x25f571&&(_0x2220ed[_0x22666b(0x1a56)][_0x22666b(0x844)]=0x1),!_0x1c9442&&(_0x2220ed[_0x22666b(0x1a56)][_0x22666b(0x844)]=_0x3af994),_0x2220ed['getVtigerAccounts']());});function _0xc147ef(_0x2233ac){const _0x597c3f=_0xb5b610;_0x2220ed[_0x597c3f(0x148d)]=_0x2233ac||{'count':0x0,'rows':[]};}function _0x10cbc0(){const _0x14ec43=_0xb5b610;_0x2220ed['query']['offset']=(_0x2220ed[_0x14ec43(0x1a56)][_0x14ec43(0x844)]-0x1)*_0x2220ed['query']['limit'],_0x10cc2a[_0x14ec43(0x23e0)](_0x14ec43(0x174b))?_0x2220ed[_0x14ec43(0xb9c)]=_0x6ffd09[_0x14ec43(0xf8d)][_0x14ec43(0x16b4)](_0x2220ed['query'],_0xc147ef)[_0x14ec43(0x2945)]:(_0x2220ed['query']['id']=_0x2220ed[_0x14ec43(0x26b6)]['id'],_0x2220ed[_0x14ec43(0x1a56)][_0x14ec43(0x2146)]='VtigerAccounts',_0x2220ed['promise']=_0x6ffd09[_0x14ec43(0x26b6)][_0x14ec43(0x158f)](_0x2220ed[_0x14ec43(0x1a56)],_0xc147ef)[_0x14ec43(0x2945)]);}function _0x316ef4(_0x342ac7,_0x26fc2e){const _0x5b560c=_0xb5b610;_0x43f9ed[_0x5b560c(0x2615)]({'controller':_0x5b560c(0xe59),'controllerAs':'vm','templateUrl':_0x271e9e,'parent':angular[_0x5b560c(0x1853)](_0x520d72['body']),'targetEvent':_0x342ac7,'clickOutsideToClose':!![],'locals':{'vtigerAccount':_0x26fc2e,'vtigerAccounts':_0x2220ed[_0x5b560c(0x148d)][_0x5b560c(0x19c7)],'license':_0x2220ed['license'],'setting':_0x2220ed['setting'],'crudPermissions':_0x2220ed[_0x5b560c(0x2514)]}});}function _0x2278f9(_0x7c040c){const _0xff4d85=_0xb5b610;_0x6ffd09['intVtigerAccount'][_0xff4d85(0x1fac)]({'id':_0x7c040c['id']})[_0xff4d85(0x2945)][_0xff4d85(0x146b)](function(){const _0x1dd3c6=_0xff4d85;_0x3f65c0()['remove'](_0x2220ed[_0x1dd3c6(0x148d)][_0x1dd3c6(0x19c7)],{'id':_0x7c040c['id']}),_0x2220ed[_0x1dd3c6(0x148d)][_0x1dd3c6(0x51c)]-=0x1,!_0x2220ed['vtigerAccounts']['rows'][_0x1dd3c6(0x402)]&&_0x2220ed[_0x1dd3c6(0x27dc)](),_0x3f5504[_0x1dd3c6(0x1c75)]({'title':_0x3f65c0()[_0x1dd3c6(0x20d1)]('VtigerAccount')+_0x1dd3c6(0x201c),'msg':_0x7c040c[_0x1dd3c6(0x19eb)]?_0x7c040c[_0x1dd3c6(0x19eb)]+_0x1dd3c6(0x23e3):''});})[_0xff4d85(0x129e)](function(_0x4b2802){const _0x256ed5=_0xff4d85;if(_0x4b2802[_0x256ed5(0x524)]&&_0x4b2802[_0x256ed5(0x524)][_0x256ed5(0xcef)]&&_0x4b2802['data'][_0x256ed5(0xcef)][_0x256ed5(0x402)]){_0x2220ed[_0x256ed5(0xcef)]=_0x4b2802[_0x256ed5(0x524)][_0x256ed5(0xcef)]||[{'message':_0x4b2802[_0x256ed5(0xd5f)](),'type':'SYSTEM:DELETEintVtigerAccount'}];for(let _0xa87f4d=0x0;_0xa87f4d<_0x4b2802[_0x256ed5(0x524)][_0x256ed5(0xcef)]['length'];_0xa87f4d++){_0x3f5504[_0x256ed5(0x1980)]({'title':_0x4b2802['data'][_0x256ed5(0xcef)][_0xa87f4d][_0x256ed5(0x1142)],'msg':_0x4b2802[_0x256ed5(0x524)][_0x256ed5(0xcef)][_0xa87f4d][_0x256ed5(0x7fd)]});}}else _0x3f5504['error']({'title':_0x4b2802[_0x256ed5(0x107b)]?_0x256ed5(0x262a)+_0x4b2802[_0x256ed5(0x107b)]+_0x256ed5(0x1315)+_0x4b2802[_0x256ed5(0x167f)]:'SYSTEM:DELETEintVtigerAccount','msg':_0x4b2802[_0x256ed5(0x524)]?JSON[_0x256ed5(0x10bb)](_0x4b2802[_0x256ed5(0x524)][_0x256ed5(0x7fd)]):_0x4b2802[_0x256ed5(0x7fd)]||_0x4b2802[_0x256ed5(0xd5f)]()});});}function _0x2cf76a(){const _0x5ac266=_0xb5b610,_0x490b1a=angular[_0x5ac266(0x235a)](_0x2220ed['selectedVtigerAccounts']);return _0x2220ed[_0x5ac266(0x1ff4)]=[],_0x490b1a;}function _0x96c9c(_0x44cb92){const _0x8f184e=_0xb5b610,_0x3c939a=_0x43f9ed[_0x8f184e(0x1e8a)]()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20vtigerAccounts?')[_0x8f184e(0x1cbe)](''+_0x2220ed[_0x8f184e(0x1ff4)][_0x8f184e(0x402)]+_0x8f184e(0x2452)+'\x20will\x20be\x20deleted.')[_0x8f184e(0x4bd)](_0x8f184e(0xd3e))['targetEvent'](_0x44cb92)['ok']('OK')[_0x8f184e(0x6c3)](_0x8f184e(0x39a));_0x43f9ed['show'](_0x3c939a)[_0x8f184e(0x146b)](function(){const _0x2449b0=_0x8f184e;_0x2220ed[_0x2449b0(0x1ff4)][_0x2449b0(0x1df5)](function(_0x8faad4){_0x2278f9(_0x8faad4);}),_0x2220ed[_0x2449b0(0x1ff4)]=[];});}function _0x2e3f8e(){const _0x5f5add=_0xb5b610;_0x2220ed[_0x5f5add(0x1ff4)]=[];}function _0x91e6ee(){const _0x171a69=_0xb5b610;_0x2220ed[_0x171a69(0x1ff4)]=_0x2220ed[_0x171a69(0x148d)][_0x171a69(0x19c7)];}}const _0x526c0e=_0xa8f02f;;_0x398340['$inject']=['$scope',_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x988),_0x313a4d(0x4f5),_0x313a4d(0x247f),'Auth','license',_0x313a4d(0x15b9),'crudPermissions'];function _0x398340(_0x43ddb0,_0x2cf90d,_0x4ba171,_0x3a8796,_0xadb6b2,_0x1a8942,_0x1cf3b1,_0x4a48bd,_0x44e01b,_0x150c29,_0x276b58,_0x5f47c0,_0x4b7429,_0x4bcf15){const _0x24d76c=_0x313a4d,_0x15711c=this;_0x15711c[_0x24d76c(0x2321)]=_0x276b58[_0x24d76c(0xb12)](),_0x15711c[_0x24d76c(0xcef)]=[],_0x15711c[_0x24d76c(0x15b9)]=_0x4b7429,_0x15711c[_0x24d76c(0x2690)]=_0x5f47c0,_0x15711c[_0x24d76c(0x2514)]=_0x4bcf15,_0x15711c[_0x24d76c(0x855)]={},_0x15711c[_0x24d76c(0x2251)]=_0x15711c[_0x24d76c(0x15b9)]&&_0x15711c['setting'][_0x24d76c(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x15711c[_0x24d76c(0x1189)]=_0x24d76c(0x2f7),_0x15711c[_0x24d76c(0x4f5)]=angular[_0x24d76c(0x235a)](_0x44e01b),_0x15711c['zendeskAccounts']=_0x4a48bd,_0x15711c[_0x24d76c(0x1ec0)]=![];!_0x15711c['zendeskAccount']&&(_0x15711c[_0x24d76c(0x4f5)]={'type':_0x24d76c(0xb4b),'authType':'password','serverUrl':_0x4ba171[_0x24d76c(0x2414)]()+_0x24d76c(0xb0e)+_0x4ba171[_0x24d76c(0x148e)]()+(_0x4ba171[_0x24d76c(0x1553)]()?':'+_0x4ba171['port']():'')},_0x15711c[_0x24d76c(0x1189)]=_0x24d76c(0x1b5d),_0x15711c[_0x24d76c(0x1ec0)]=!![]);_0x15711c['addNewZendeskAccount']=_0x120fce,_0x15711c[_0x24d76c(0x295)]=_0x134fed,_0x15711c['deleteZendeskAccount']=_0x38bb90,_0x15711c[_0x24d76c(0xe73)]=_0x16c4ae,_0x15711c['closeDialog']=_0x55fe28;function _0x120fce(){const _0xeafc68=_0x24d76c;_0x15711c['errors']=[],_0x150c29[_0xeafc68(0x2686)][_0xeafc68(0x1e3)](_0x15711c[_0xeafc68(0x4f5)])[_0xeafc68(0x2945)][_0xeafc68(0x146b)](function(_0x3ed272){const _0x9a232f=_0xeafc68;_0x15711c[_0x9a232f(0x988)][_0x9a232f(0xb3d)](_0x3ed272[_0x9a232f(0x2488)]()),_0x1cf3b1[_0x9a232f(0x1c75)]({'title':_0x9a232f(0xdf2),'msg':_0x15711c['zendeskAccount'][_0x9a232f(0x19eb)]?_0x15711c[_0x9a232f(0x4f5)]['name']+_0x9a232f(0x1386):''}),_0x55fe28(_0x3ed272);})[_0xeafc68(0x129e)](function(_0x585713){const _0x47edbd=_0xeafc68;if(_0x585713['data']&&_0x585713[_0x47edbd(0x524)]['errors']&&_0x585713[_0x47edbd(0x524)]['errors'][_0x47edbd(0x402)]){_0x15711c[_0x47edbd(0xcef)]=_0x585713['data'][_0x47edbd(0xcef)]||[{'message':_0x585713[_0x47edbd(0xd5f)](),'type':_0x47edbd(0x1f41)}];for(let _0x2f84dc=0x0;_0x2f84dc<_0x585713['data'][_0x47edbd(0xcef)][_0x47edbd(0x402)];_0x2f84dc+=0x1){_0x1cf3b1['error']({'title':_0x585713[_0x47edbd(0x524)][_0x47edbd(0xcef)][_0x2f84dc][_0x47edbd(0x1142)],'msg':_0x585713['data'][_0x47edbd(0xcef)][_0x2f84dc][_0x47edbd(0x7fd)]});}}else _0x1cf3b1['error']({'title':_0x585713[_0x47edbd(0x107b)]?'API:'+_0x585713[_0x47edbd(0x107b)]+'\x20-\x20'+_0x585713[_0x47edbd(0x167f)]:_0x47edbd(0x1f41),'msg':_0x585713[_0x47edbd(0x524)]?JSON[_0x47edbd(0x10bb)](_0x585713[_0x47edbd(0x524)][_0x47edbd(0x7fd)]):_0x585713[_0x47edbd(0xd5f)]()});});}function _0x134fed(){const _0x6ed1e3=_0x24d76c;_0x15711c[_0x6ed1e3(0xcef)]=[],_0x150c29[_0x6ed1e3(0x2686)][_0x6ed1e3(0x18e1)]({'id':_0x15711c[_0x6ed1e3(0x4f5)]['id']},_0x15711c['zendeskAccount'])[_0x6ed1e3(0x2945)][_0x6ed1e3(0x146b)](function(_0x5400ca){const _0x2c7008=_0x6ed1e3,_0x1cd445=_0x3f65c0()['find'](_0x15711c['zendeskAccounts'],{'id':_0x5400ca['id']});_0x1cd445&&_0x3f65c0()[_0x2c7008(0x168d)](_0x1cd445,_0x3f65c0()[_0x2c7008(0x40e)](_0x5400ca[_0x2c7008(0x2488)](),_0x3f65c0()[_0x2c7008(0x627)](_0x1cd445))),_0x1cf3b1[_0x2c7008(0x1c75)]({'title':'ZendeskAccount\x20properly\x20saved!','msg':_0x15711c[_0x2c7008(0x4f5)][_0x2c7008(0x19eb)]?_0x15711c[_0x2c7008(0x4f5)][_0x2c7008(0x19eb)]+_0x2c7008(0x24db):''}),_0x55fe28(_0x5400ca);})['catch'](function(_0x352c3a){const _0x23491d=_0x6ed1e3;if(_0x352c3a['data']&&_0x352c3a[_0x23491d(0x524)][_0x23491d(0xcef)]&&_0x352c3a[_0x23491d(0x524)][_0x23491d(0xcef)][_0x23491d(0x402)]){_0x15711c['errors']=_0x352c3a['data'][_0x23491d(0xcef)]||[{'message':_0x352c3a['toString'](),'type':_0x23491d(0x1cfe)}];for(let _0x54f555=0x0;_0x54f555<_0x352c3a[_0x23491d(0x524)]['errors'][_0x23491d(0x402)];_0x54f555++){_0x1cf3b1[_0x23491d(0x1980)]({'title':_0x352c3a['data'][_0x23491d(0xcef)][_0x54f555][_0x23491d(0x1142)],'msg':_0x352c3a['data'][_0x23491d(0xcef)][_0x54f555][_0x23491d(0x7fd)]});}}else _0x1cf3b1['error']({'title':_0x352c3a['status']?_0x23491d(0x262a)+_0x352c3a[_0x23491d(0x107b)]+_0x23491d(0x1315)+_0x352c3a[_0x23491d(0x167f)]:_0x23491d(0x1cfe),'msg':_0x352c3a[_0x23491d(0x524)]?JSON[_0x23491d(0x10bb)](_0x352c3a['data'][_0x23491d(0x7fd)]):_0x352c3a[_0x23491d(0xd5f)]()});});}function _0x38bb90(_0xac8f6c){const _0x43e33=_0x24d76c;_0x15711c['errors']=[];const _0x205603=_0x3a8796[_0x43e33(0x1e8a)]()[_0x43e33(0x1189)](_0x43e33(0x1d64))['content'](_0x43e33(0x7cc))['ariaLabel'](_0x43e33(0x2891))['ok'](_0x43e33(0x25de))['cancel'](_0x43e33(0xcf0))[_0x43e33(0x1f27)](_0xac8f6c);_0x3a8796[_0x43e33(0x2615)](_0x205603)[_0x43e33(0x146b)](function(){const _0x266838=_0x43e33;_0x150c29['intZendeskAccount'][_0x266838(0x1fac)]({'id':_0x15711c['zendeskAccount']['id']})[_0x266838(0x2945)][_0x266838(0x146b)](function(){const _0x165385=_0x266838;_0x3f65c0()[_0x165385(0x2640)](_0x15711c[_0x165385(0x988)],{'id':_0x15711c[_0x165385(0x4f5)]['id']}),_0x1cf3b1['success']({'title':_0x165385(0xf5f),'msg':(_0x15711c[_0x165385(0x4f5)][_0x165385(0x19eb)]||_0x165385(0x4f5))+'\x20has\x20been\x20deleted!'}),_0x55fe28(_0x15711c[_0x165385(0x4f5)]);})[_0x266838(0x129e)](function(_0x1fc106){const _0x4333e2=_0x266838;if(_0x1fc106[_0x4333e2(0x524)]&&_0x1fc106['data'][_0x4333e2(0xcef)]&&_0x1fc106['data'][_0x4333e2(0xcef)][_0x4333e2(0x402)]){_0x15711c[_0x4333e2(0xcef)]=_0x1fc106[_0x4333e2(0x524)][_0x4333e2(0xcef)]||[{'message':_0x1fc106[_0x4333e2(0xd5f)](),'type':_0x4333e2(0x248a)}];for(let _0x61f099=0x0;_0x61f099<_0x1fc106[_0x4333e2(0x524)][_0x4333e2(0xcef)]['length'];_0x61f099++){_0x1cf3b1['error']({'title':_0x1fc106[_0x4333e2(0x524)]['errors'][_0x61f099][_0x4333e2(0x1142)],'msg':_0x1fc106[_0x4333e2(0x524)][_0x4333e2(0xcef)][_0x61f099]['message']});}}else _0x1cf3b1[_0x4333e2(0x1980)]({'title':_0x1fc106[_0x4333e2(0x107b)]?_0x4333e2(0x262a)+_0x1fc106['status']+_0x4333e2(0x1315)+_0x1fc106[_0x4333e2(0x167f)]:'api.intZendeskAccount.delete','msg':_0x1fc106[_0x4333e2(0x524)]?JSON['stringify'](_0x1fc106[_0x4333e2(0x524)][_0x4333e2(0x7fd)]):_0x1fc106['message']||_0x1fc106[_0x4333e2(0xd5f)]()});});},function(){});}function _0x16c4ae(_0x115a5b){return _0x115a5b===null?undefined:new Date(_0x115a5b);}function _0x55fe28(_0x54922e){const _0x26dafe=_0x24d76c;_0x3a8796[_0x26dafe(0x2458)](_0x54922e);}}const _0x261080=_0x398340;;_0x2deaab[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),_0x313a4d(0x1fe4),'configuration',_0x313a4d(0x1fd6),_0x313a4d(0x2711),_0x313a4d(0x1f8e),_0x313a4d(0x1142),'api',_0x313a4d(0xa87),'crudPermissions'];function _0x2deaab(_0xf60782,_0x19e9ac,_0x5bb552,_0x590c13,_0x4d4b16,_0x45568e,_0x1d3f34,_0x436136,_0x54ff99,_0x5a59b1,_0x22a7ee,_0x38cae0,_0x3ee4ce){const _0x1744c7=_0x313a4d,_0x12a75b=this;_0x12a75b[_0x1744c7(0x2321)]=_0x38cae0[_0x1744c7(0xb12)](),_0x12a75b['errors']=[],_0x12a75b[_0x1744c7(0x1189)]=_0x1744c7(0x28ec)+_0x3f65c0()[_0x1744c7(0xd39)](_0x5a59b1),_0x12a75b[_0x1744c7(0x2711)]=angular['copy'](_0x436136),_0x12a75b[_0x1744c7(0x1fd6)]=_0x1d3f34,_0x12a75b[_0x1744c7(0x1142)]=_0x5a59b1,_0x12a75b[_0x1744c7(0x2514)]=_0x3ee4ce,_0x12a75b[_0x1744c7(0x23cd)]=![];!_0x12a75b[_0x1744c7(0x2711)]&&(_0x12a75b[_0x1744c7(0x2711)]={'type':_0x1744c7(0x1f23)},_0x12a75b[_0x1744c7(0x2711)][_0x3f65c0()[_0x1744c7(0x83f)](_0x5a59b1)+'Id']=_0x45568e['id'],_0x12a75b[_0x1744c7(0x1189)]=_0x1744c7(0xe78)+_0x3f65c0()[_0x1744c7(0xd39)](_0x5a59b1),_0x12a75b[_0x1744c7(0x23cd)]=!![]);_0x12a75b[_0x1744c7(0x1e65)]=_0x5975eb,_0x12a75b[_0x1744c7(0x150e)]=_0x4c4e87,_0x12a75b[_0x1744c7(0xbaf)]=_0x3a9fcb,_0x12a75b[_0x1744c7(0x21b1)]=_0x301bfc,_0x12a75b[_0x1744c7(0x13f3)]=_0x58df93,_0x22a7ee['variable'][_0x1744c7(0x16b4)]({'nolimit':!![]})[_0x1744c7(0x2945)]['then'](function(_0x1ea9b2){const _0x4012b8=_0x1744c7;return _0x12a75b[_0x4012b8(0x85b)]=_0x1ea9b2[_0x4012b8(0x19c7)]?_0x1ea9b2[_0x4012b8(0x19c7)]:[],_0x22a7ee['voiceAgentReport'][_0x4012b8(0x1ea2)]()[_0x4012b8(0x2945)];})[_0x1744c7(0x146b)](function(_0x5699b0){const _0x5d37d0=_0x1744c7;return _0x12a75b[_0x5d37d0(0x1c8a)]=_0x3f65c0()[_0x5d37d0(0xa62)](_0x3f65c0()['keys'](_0x5699b0),['$promise',_0x5d37d0(0x26f2),_0x5d37d0(0xc68),_0x5d37d0(0x144f),_0x5d37d0(0xc3b)]),_0x12a75b['voiceAgentReportColumns']['push'](_0x5d37d0(0x643)),_0x22a7ee[_0x5d37d0(0x160)][_0x5d37d0(0x1ea2)]()[_0x5d37d0(0x2945)];})[_0x1744c7(0x146b)](function(_0xacb6df){const _0x2a5a80=_0x1744c7;_0x12a75b[_0x2a5a80(0x164b)]=_0x3f65c0()['difference'](_0x3f65c0()['keys'](_0xacb6df),['$promise',_0x2a5a80(0x26f2),_0x2a5a80(0xc68),_0x2a5a80(0x144f),_0x2a5a80(0xc3b)]),_0x12a75b['voiceDialReportColumns']['push'](_0x2a5a80(0x643));})['catch'](function(_0x19af65){const _0x19c3ca=_0x1744c7;_0x4d4b16['error']({'title':_0x19af65[_0x19c3ca(0x107b)]?_0x19c3ca(0x262a)+_0x19af65['status']+_0x19c3ca(0x1315)+_0x19af65['statusText']:_0x19c3ca(0x502),'msg':_0x19af65[_0x19c3ca(0x524)]?JSON[_0x19c3ca(0x10bb)](_0x19af65[_0x19c3ca(0x524)]):_0x19af65[_0x19c3ca(0xd5f)]()});}),_0x22a7ee['intZendeskAccount']['getFields']({'id':_0x54ff99['id'],'ticketType':_0x45568e[_0x1744c7(0x21be)]?_0x45568e[_0x1744c7(0x21be)]:undefined})[_0x1744c7(0x2945)][_0x1744c7(0x146b)](function(_0x208a40){const _0x229336=_0x1744c7;_0x12a75b[_0x229336(0x822)]=!![],_0x12a75b[_0x229336(0x2867)]=_0x208a40[_0x229336(0x19c7)]?_0x208a40[_0x229336(0x19c7)]:[],_0x12a75b[_0x229336(0x2711)][_0x229336(0x2912)]&&(_0x12a75b[_0x229336(0x165e)]=_0x3f65c0()[_0x229336(0xc84)](_0x12a75b['fields'],{'id':_0x12a75b[_0x229336(0x2711)][_0x229336(0x2912)]}));})[_0x1744c7(0x129e)](function(_0x8f06ea){const _0x3d6ae8=_0x1744c7;_0x12a75b[_0x3d6ae8(0x822)]=![],console[_0x3d6ae8(0x1980)](_0x8f06ea);});function _0x5975eb(){const _0x330365=_0x1744c7;_0x12a75b[_0x330365(0xcef)]=[],_0x12a75b[_0x330365(0x165e)]&&(_0x12a75b[_0x330365(0x2711)]['idField']=_0x12a75b[_0x330365(0x165e)]['id'],_0x12a75b[_0x330365(0x2711)][_0x330365(0x25e3)]=_0x12a75b[_0x330365(0x165e)]['name'],_0x12a75b[_0x330365(0x2711)]['customField']=_0x12a75b[_0x330365(0x165e)]['custom']),_0x22a7ee[_0x330365(0xf5d)][_0x330365(0x1e3)](_0x12a75b['item'])[_0x330365(0x2945)]['then'](function(_0x2e6e0b){const _0xa348b0=_0x330365;_0x12a75b['items'][_0xa348b0(0xb3d)](_0x2e6e0b),_0x4d4b16[_0xa348b0(0x1c75)]({'title':_0x3f65c0()[_0xa348b0(0x83f)](_0x5a59b1)+'\x20properly\x20created','msg':_0x3f65c0()[_0xa348b0(0x83f)](_0x5a59b1)+'\x20has\x20been\x20created!'}),_0x58df93();})[_0x330365(0x129e)](function(_0x3ffd30){const _0x87e8c8=_0x330365;console['error'](_0x3ffd30),_0x12a75b[_0x87e8c8(0xcef)]=_0x3ffd30['data'][_0x87e8c8(0xcef)]||[{'message':_0x3ffd30[_0x87e8c8(0xd5f)](),'type':_0x87e8c8(0x1ec4)}];});}function _0x4c4e87(){const _0x5cc55e=_0x1744c7;_0x12a75b[_0x5cc55e(0xcef)]=[],_0x12a75b[_0x5cc55e(0x165e)]&&(_0x12a75b['item'][_0x5cc55e(0x2912)]=_0x12a75b['customField']['id'],_0x12a75b[_0x5cc55e(0x2711)][_0x5cc55e(0x25e3)]=_0x12a75b['customField'][_0x5cc55e(0x19eb)],_0x12a75b['item']['customField']=_0x12a75b[_0x5cc55e(0x165e)][_0x5cc55e(0x1802)]),_0x22a7ee['intZendeskField'][_0x5cc55e(0x18e1)]({'id':_0x12a75b['item']['id']},_0x12a75b[_0x5cc55e(0x2711)])[_0x5cc55e(0x2945)][_0x5cc55e(0x146b)](function(_0x288c3e){const _0x2edd67=_0x5cc55e,_0x1a97ae=_0x3f65c0()['find'](_0x12a75b['items'],{'id':_0x288c3e['id']});_0x1a97ae&&_0x3f65c0()[_0x2edd67(0x168d)](_0x1a97ae,_0x288c3e),_0x4d4b16['success']({'title':_0x3f65c0()['capitalize'](_0x5a59b1)+_0x2edd67(0xffe),'msg':_0x3f65c0()[_0x2edd67(0x83f)](_0x5a59b1)+_0x2edd67(0x24db)}),_0x58df93();})[_0x5cc55e(0x129e)](function(_0x462b28){const _0x34bbb0=_0x5cc55e;console[_0x34bbb0(0x1980)](_0x462b28),_0x12a75b[_0x34bbb0(0xcef)]=_0x462b28[_0x34bbb0(0x524)]['errors']||[{'message':_0x462b28[_0x34bbb0(0xd5f)](),'type':_0x34bbb0(0x1b4)}];});}function _0x3a9fcb(_0x59cb64){const _0x539f97=_0x1744c7;_0x12a75b[_0x539f97(0xcef)]=[];const _0x5adad5=_0x590c13[_0x539f97(0x1e8a)]()[_0x539f97(0x1189)](_0x539f97(0x1d64))[_0x539f97(0x80f)](_0x539f97(0xe57)+_0x5a59b1+'\x20will\x20be\x20deleted.')[_0x539f97(0x4bd)](_0x539f97(0xb22)+_0x3f65c0()[_0x539f97(0x83f)](_0x5a59b1))['ok']('Delete')[_0x539f97(0x6c3)](_0x539f97(0xcf0))[_0x539f97(0x1f27)](_0x59cb64);_0x590c13[_0x539f97(0x2615)](_0x5adad5)[_0x539f97(0x146b)](function(){const _0x37887a=_0x539f97;_0x22a7ee[_0x37887a(0xf5d)][_0x37887a(0x1fac)]({'id':_0x12a75b[_0x37887a(0x2711)]['id']})[_0x37887a(0x2945)]['then'](function(){const _0xc1f549=_0x37887a;_0x3f65c0()[_0xc1f549(0x2640)](_0x12a75b['items'],{'id':_0x12a75b[_0xc1f549(0x2711)]['id']}),_0x4d4b16[_0xc1f549(0x1c75)]({'title':_0x3f65c0()[_0xc1f549(0x83f)](_0x5a59b1)+_0xc1f549(0x1e6c),'msg':_0x3f65c0()[_0xc1f549(0x83f)](_0x5a59b1)+_0xc1f549(0x23e3)}),_0x58df93();})['catch'](function(_0x5b7d4){const _0x35aed5=_0x37887a;console['error'](_0x5b7d4),_0x12a75b[_0x35aed5(0xcef)]=_0x5b7d4['data'][_0x35aed5(0xcef)]||[{'message':_0x5b7d4[_0x35aed5(0xd5f)](),'type':'api.item.delete'}];});},function(){});}function _0x301bfc(){const _0x14bb40=_0x1744c7;return _0x12a75b['item']['variableName']!==undefined?_0x12a75b['item'][_0x14bb40(0x97f)]:_0x14bb40(0x1040);}function _0x58df93(){const _0x508995=_0x1744c7;_0x590c13[_0x508995(0x2458)]();}}const _0x51b098=_0x2deaab;;_0x39e2fc[_0x313a4d(0x11c2)]=['$state',_0x313a4d(0xd08),'$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x214b),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x4f5),_0x313a4d(0x1366)];function _0x39e2fc(_0x51d9ef,_0x4ffe18,_0x55342f,_0x1636d7,_0x21c7ea,_0x337f22,_0x40f627,_0x2ad8ea,_0x9ffada,_0x4fcd0b,_0x4ba1de,_0x50f906){const _0x23596a=_0x313a4d,_0x58bc53=this;_0x58bc53['currentUser']=_0x4fcd0b[_0x23596a(0xb12)](),_0x58bc53[_0x23596a(0x2690)]=_0x337f22,_0x58bc53['setting']=_0x40f627,_0x58bc53['passwordPattern']=_0x58bc53[_0x23596a(0x15b9)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x58bc53[_0x23596a(0x1002)]=_0x4ffe18['protocol']()+'://'+_0x4ffe18[_0x23596a(0x148e)](),_0x58bc53[_0x23596a(0x4f5)]=_0x4ba1de||_0x51d9ef[_0x23596a(0x16a)][_0x23596a(0x4f5)]||{},_0x58bc53[_0x23596a(0x1366)]=_0x50f906&&_0x50f906[_0x23596a(0x51c)]==0x1?_0x50f906['rows'][0x0]:null,_0x58bc53[_0x23596a(0x2514)]=_0x4fcd0b['parseCrudPermissions'](_0x58bc53[_0x23596a(0x1366)]?_0x58bc53[_0x23596a(0x1366)][_0x23596a(0x2514)]:null),_0x58bc53[_0x23596a(0x855)]={},_0x58bc53[_0x23596a(0x1b09)]=_0x51d9ef[_0x23596a(0x16a)][_0x23596a(0x13a3)]||0x0,_0x58bc53['alert']=_0x9ffada[_0x23596a(0x271e)],_0x58bc53['gotoZendeskAccounts']=_0x43d266,_0x58bc53['saveZendeskAccount']=_0x5bdcb5;function _0x43d266(){const _0x49de94=_0x23596a;_0x51d9ef['go']('app.integrations.zendeskAccounts',{},{'reload':_0x49de94(0xbd4)});}function _0x5bdcb5(){const _0x5b72c6=_0x23596a;_0x2ad8ea['intZendeskAccount'][_0x5b72c6(0x18e1)]({'id':_0x58bc53[_0x5b72c6(0x4f5)]['id']},_0x58bc53[_0x5b72c6(0x4f5)])[_0x5b72c6(0x2945)][_0x5b72c6(0x146b)](function(){const _0x2c2c02=_0x5b72c6;_0x9ffada[_0x2c2c02(0x1c75)]({'title':'ZendeskAccount\x20updated!','msg':_0x58bc53[_0x2c2c02(0x4f5)][_0x2c2c02(0x19eb)]?_0x58bc53[_0x2c2c02(0x4f5)][_0x2c2c02(0x19eb)]+_0x2c2c02(0x6b0):''});})[_0x5b72c6(0x129e)](function(_0x46f05b){const _0x11557d=_0x5b72c6;_0x9ffada[_0x11557d(0x1980)]({'title':_0x46f05b[_0x11557d(0x107b)]?_0x11557d(0x262a)+_0x46f05b[_0x11557d(0x107b)]+_0x11557d(0x1315)+_0x46f05b['statusText']:_0x11557d(0x25c2),'msg':_0x46f05b[_0x11557d(0x524)]?JSON[_0x11557d(0x10bb)](_0x46f05b[_0x11557d(0x524)]):_0x46f05b[_0x11557d(0xd5f)]()});});}}const _0x352cce=_0x39e2fc;;const _0x50e36f=_0x4acfac['p']+'src/js/modules/main/apps/integrations/views/zendeskAccounts/edit/zendeskConfigurations/dialog.html/dialog.html';;const _0x365832=_0x4acfac['p']+_0x313a4d(0x81d);;_0x400871[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87)];function _0x400871(_0x9145e6,_0x9b63a2,_0x25c879,_0x52c5cb,_0x3fe010){const _0x2b7ff1=_0x313a4d,_0x3714b6=this;_0x3714b6['currentUser']=_0x3fe010[_0x2b7ff1(0xb12)](),_0x3714b6[_0x2b7ff1(0x4f5)]={},_0x3714b6['zendeskConfiguration']={},_0x3714b6[_0x2b7ff1(0x1388)]=[],_0x3714b6[_0x2b7ff1(0x1999)]=-0x1,_0x3714b6['crudPermissions'],_0x3714b6[_0x2b7ff1(0x5aa)]=_0x2ac1ad,_0x3714b6[_0x2b7ff1(0x125b)]=_0x4a4433,_0x3714b6['createOrEditZendeskConfiguration']=_0x55dfab,_0x3714b6[_0x2b7ff1(0x1a1f)]=_0x5b0241,_0x3714b6[_0x2b7ff1(0x2323)]=[],_0x3714b6[_0x2b7ff1(0x2002)]=_0x13b34d,_0x25c879[_0x2b7ff1(0xa80)][_0x2b7ff1(0x16b4)]({'nolimit':!![],'sort':_0x2b7ff1(0x19eb)})['$promise'][_0x2b7ff1(0x146b)](function(_0x4cfe5c){const _0x3b335d=_0x2b7ff1;_0x3714b6[_0x3b335d(0x1b86)]=_0x4cfe5c[_0x3b335d(0x19c7)]?_0x4cfe5c[_0x3b335d(0x19c7)]:[];})['catch'](function(_0x2c77db){const _0x3604a4=_0x2b7ff1;_0x52c5cb[_0x3604a4(0x1980)]({'title':_0x2c77db[_0x3604a4(0x107b)]?_0x3604a4(0x262a)+_0x2c77db['status']+_0x3604a4(0x1315)+_0x2c77db[_0x3604a4(0x167f)]:_0x3604a4(0x220e),'msg':_0x2c77db[_0x3604a4(0x524)]?JSON[_0x3604a4(0x10bb)](_0x2c77db['data']):_0x2c77db['toString']()});});function _0x13b34d(){const _0x42116c=_0x2b7ff1;_0x25c879[_0x42116c(0x252c)]['setTags']({'id':_0x3714b6['zendeskConfiguration']['id'],'ids':_0x3714b6[_0x42116c(0x2323)]})[_0x42116c(0x2945)]['then'](function(){const _0x35ea43=_0x42116c;_0x52c5cb['success']({'title':_0x35ea43(0x20f8),'msg':_0x35ea43(0x23fb)});})[_0x42116c(0x129e)](function(_0x3149e0){const _0x274073=_0x42116c;_0x52c5cb[_0x274073(0x1980)]({'title':_0x3149e0[_0x274073(0x107b)]?_0x274073(0x262a)+_0x3149e0['status']+_0x274073(0x1315)+_0x3149e0[_0x274073(0x167f)]:_0x274073(0x11c3),'msg':_0x3149e0[_0x274073(0x524)]?JSON[_0x274073(0x10bb)](_0x3149e0['data']):_0x3149e0[_0x274073(0xd5f)]()});});}function _0x2ac1ad(_0x796be8,_0xb8f563){const _0x22f9a0=_0x2b7ff1;_0x3714b6['zendeskAccount']=_0x796be8,_0x3714b6[_0x22f9a0(0x2514)]=typeof _0xb8f563!==_0x22f9a0(0x2274)?_0xb8f563:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x25c879['intZendeskAccount'][_0x22f9a0(0xeb)]({'id':_0x3714b6[_0x22f9a0(0x4f5)]['id'],'sort':_0x22f9a0(0x12f2)})[_0x22f9a0(0x2945)][_0x22f9a0(0x146b)](function(_0x3a1b72){const _0x155a4c=_0x22f9a0;return _0x3714b6['zendeskConfigurations']=_0x3a1b72[_0x155a4c(0x19c7)]?_0x3a1b72[_0x155a4c(0x19c7)]:[],_0x3714b6[_0x155a4c(0x1388)][_0x155a4c(0x402)]&&_0x3714b6['getZendeskConfiguration'](0x0),_0x25c879[_0x155a4c(0x212)][_0x155a4c(0x16b4)]({'nolimit':!![],'sort':_0x155a4c(0x19eb)})[_0x155a4c(0x2945)];})[_0x22f9a0(0x146b)](function(_0x1ebf70){const _0x482621=_0x22f9a0;_0x3714b6[_0x482621(0x85b)]=_0x1ebf70[_0x482621(0x19c7)]?_0x1ebf70[_0x482621(0x19c7)]:[],_0x3714b6[_0x482621(0x80c)]=_0x3f65c0()[_0x482621(0x194)](_0x3714b6[_0x482621(0x85b)],'id');})['catch'](function(_0x25f11d){const _0x516494=_0x22f9a0;_0x52c5cb['error']({'title':_0x25f11d[_0x516494(0x107b)]?_0x516494(0x262a)+_0x25f11d[_0x516494(0x107b)]+'\x20-\x20'+_0x25f11d[_0x516494(0x167f)]:'SYSTEM:GETCONFIGURATION','msg':_0x25f11d[_0x516494(0x524)]?JSON[_0x516494(0x10bb)](_0x25f11d[_0x516494(0x524)]):_0x25f11d[_0x516494(0xd5f)]()});});}function _0x4a4433(_0xd388c6){const _0x28a1b0=_0x2b7ff1;_0x3714b6[_0x28a1b0(0x275c)]=_0x3714b6[_0x28a1b0(0x1388)][_0xd388c6],_0x3714b6[_0x28a1b0(0x1999)]=_0xd388c6,_0x25c879[_0x28a1b0(0x252c)][_0x28a1b0(0x18ee)]({'id':_0x3714b6[_0x28a1b0(0x275c)]['id']})[_0x28a1b0(0x2945)][_0x28a1b0(0x146b)](function(_0x5d337f){const _0x366df6=_0x28a1b0;return _0x3714b6[_0x366df6(0x3c8)]=_0x5d337f[_0x366df6(0x19c7)]?_0x5d337f[_0x366df6(0x19c7)]:[],_0x25c879[_0x366df6(0x252c)][_0x366df6(0xc2a)]({'id':_0x3714b6[_0x366df6(0x275c)]['id']})[_0x366df6(0x2945)];})[_0x28a1b0(0x146b)](function(_0x42c0df){const _0x52ded3=_0x28a1b0;return _0x3714b6['descriptions']=_0x42c0df[_0x52ded3(0x19c7)]?_0x42c0df[_0x52ded3(0x19c7)]:[],_0x25c879[_0x52ded3(0x252c)][_0x52ded3(0x12ff)]({'id':_0x3714b6[_0x52ded3(0x275c)]['id']})[_0x52ded3(0x2945)];})[_0x28a1b0(0x146b)](function(_0x3825d3){const _0x59827c=_0x28a1b0;_0x3714b6['fields']=_0x3825d3['rows']?_0x3825d3[_0x59827c(0x19c7)]:[];})[_0x28a1b0(0x129e)](function(_0x17e18c){const _0x3d63d3=_0x28a1b0;_0x52c5cb[_0x3d63d3(0x1980)]({'title':_0x17e18c[_0x3d63d3(0x107b)]?_0x3d63d3(0x262a)+_0x17e18c[_0x3d63d3(0x107b)]+_0x3d63d3(0x1315)+_0x17e18c[_0x3d63d3(0x167f)]:_0x3d63d3(0x6ca),'msg':_0x17e18c[_0x3d63d3(0x524)]?JSON[_0x3d63d3(0x10bb)](_0x17e18c[_0x3d63d3(0x524)]):_0x17e18c['toString']()});}),_0x25c879[_0x28a1b0(0x252c)]['getTags']({'id':_0x3714b6[_0x28a1b0(0x275c)]['id']})[_0x28a1b0(0x2945)]['then'](function(_0x3fa557){const _0x4dba71=_0x28a1b0;_0x3714b6[_0x4dba71(0x2323)]=_0x3fa557['rows']?_0x3f65c0()[_0x4dba71(0x205)](_0x3fa557[_0x4dba71(0x19c7)],'id'):[];})['catch'](function(_0x5a6a14){const _0x3b35ea=_0x28a1b0;_0x52c5cb[_0x3b35ea(0x1980)]({'title':_0x5a6a14[_0x3b35ea(0x107b)]?_0x3b35ea(0x262a)+_0x5a6a14[_0x3b35ea(0x107b)]+_0x3b35ea(0x1315)+_0x5a6a14[_0x3b35ea(0x167f)]:_0x3b35ea(0x220e),'msg':_0x5a6a14[_0x3b35ea(0x524)]?JSON['stringify'](_0x5a6a14[_0x3b35ea(0x524)]):_0x5a6a14[_0x3b35ea(0xd5f)]()});});}function _0x55dfab(_0x3c8af0,_0x1f3da3){const _0x444f0b=_0x2b7ff1;_0x9145e6[_0x444f0b(0x2615)]({'controller':_0x444f0b(0x9a9),'controllerAs':'vm','templateUrl':_0x50e36f,'parent':angular[_0x444f0b(0x1853)](_0x9b63a2[_0x444f0b(0x2586)]),'targetEvent':_0x3c8af0,'clickOutsideToClose':!![],'locals':{'zendeskConfiguration':_0x1f3da3,'zendeskConfigurations':_0x3714b6[_0x444f0b(0x1388)],'license':null,'setting':null,'crudPermissions':_0x3714b6[_0x444f0b(0x2514)]}})[_0x444f0b(0x1ec6)](function(){const _0xbac583=_0x444f0b;_0x3714b6[_0xbac583(0x125b)](0x0);});}function _0x5b0241(_0x440cba,_0x20350f){const _0x504002=_0x2b7ff1,_0x54ee94=_0x9145e6[_0x504002(0x1e8a)]()['title'](_0x504002(0x8a2))[_0x504002(0x1cbe)](_0x504002(0x16d3)+(_0x20350f[_0x504002(0x19eb)]||_0x504002(0x275c))+_0x504002(0x252f)+_0x504002(0xe01))[_0x504002(0x4bd)](_0x504002(0xc80))[_0x504002(0x1f27)](_0x440cba)['ok']('OK')[_0x504002(0x6c3)](_0x504002(0x39a));_0x9145e6['show'](_0x54ee94)[_0x504002(0x146b)](function(){const _0x155f83=_0x504002;_0x25c879[_0x155f83(0x252c)][_0x155f83(0x1fac)]({'id':_0x20350f['id']})[_0x155f83(0x2945)]['then'](function(){const _0x4201e5=_0x155f83;_0x3f65c0()['remove'](_0x3714b6[_0x4201e5(0x1388)],{'id':_0x20350f['id']}),_0x52c5cb[_0x4201e5(0x1c75)]({'title':_0x4201e5(0x1bbf),'msg':_0x20350f['name']?_0x20350f[_0x4201e5(0x19eb)]+_0x4201e5(0x23e3):''});})[_0x155f83(0x129e)](function(_0x3a971f){const _0x506d88=_0x155f83;_0x52c5cb['error']({'title':_0x3a971f[_0x506d88(0x107b)]?'API:'+_0x3a971f[_0x506d88(0x107b)]+_0x506d88(0x1315)+_0x3a971f['statusText']:_0x506d88(0xff1),'msg':_0x3a971f['data']?JSON['stringify'](_0x3a971f[_0x506d88(0x524)]):_0x3a971f[_0x506d88(0xd5f)]()});})[_0x155f83(0x1ec6)](function(){const _0x4f41a9=_0x155f83;_0x3714b6[_0x4f41a9(0x125b)](0x0);});},function(){const _0xbd2ad=_0x504002;console[_0xbd2ad(0x1a74)](_0xbd2ad(0x39a));});}_0x3714b6[_0x2b7ff1(0x15a6)]=[],_0x3714b6[_0x2b7ff1(0x2665)]=_0x57141b,_0x3714b6['deleteSubjectConfirm']=_0x2c720d,_0x3714b6[_0x2b7ff1(0x1777)]=_0x1b965f;function _0x57141b(_0x5c15cf,_0x5cef3b){const _0xff4a87=_0x2b7ff1;_0x9145e6['show']({'controller':'CreateOrEditZendeskAccountItemDialogController','controllerAs':'vm','templateUrl':_0x365832,'parent':angular[_0xff4a87(0x1853)](_0x9b63a2[_0xff4a87(0x2586)]),'targetEvent':_0x5c15cf,'clickOutsideToClose':!![],'locals':{'type':'subject','item':_0x5cef3b,'items':_0x3714b6[_0xff4a87(0x3c8)],'configuration':_0x3714b6[_0xff4a87(0x275c)],'account':_0x3714b6['zendeskAccount'],'license':null,'setting':null,'crudPermissions':_0x3714b6[_0xff4a87(0x2514)]}});}function _0x3dc956(_0x11d327){const _0x21cc82=_0x2b7ff1;_0x25c879['intZendeskField'][_0x21cc82(0x1fac)]({'id':_0x11d327['id']})[_0x21cc82(0x2945)]['then'](function(){const _0x1c4ebc=_0x21cc82;_0x3f65c0()[_0x1c4ebc(0x2640)](_0x3714b6[_0x1c4ebc(0x3c8)],{'id':_0x11d327['id']}),_0x52c5cb[_0x1c4ebc(0x1c75)]({'title':_0x1c4ebc(0x28e6),'msg':_0x1c4ebc(0x761)});})['catch'](function(_0x3ea314){const _0x20079e=_0x21cc82;_0x52c5cb[_0x20079e(0x1980)]({'title':_0x3ea314[_0x20079e(0x107b)]?_0x20079e(0x262a)+_0x3ea314['status']+'\x20-\x20'+_0x3ea314[_0x20079e(0x167f)]:_0x20079e(0xf6e),'msg':_0x3ea314[_0x20079e(0x524)]?JSON[_0x20079e(0x10bb)](_0x3ea314[_0x20079e(0x524)]):_0x3ea314[_0x20079e(0xd5f)]()});});}function _0x2c720d(_0x3ce5cb,_0x39472b){const _0x2defb6=_0x2b7ff1,_0x17d3ff=_0x9145e6[_0x2defb6(0x1e8a)]()[_0x2defb6(0x1189)](_0x2defb6(0x317))[_0x2defb6(0x1cbe)](_0x2defb6(0xf7f)+'\x20will\x20be\x20deleted.')[_0x2defb6(0x4bd)](_0x2defb6(0x5d1))[_0x2defb6(0x1f27)](_0x3ce5cb)['ok']('OK')[_0x2defb6(0x6c3)](_0x2defb6(0x39a));_0x9145e6[_0x2defb6(0x2615)](_0x17d3ff)[_0x2defb6(0x146b)](function(){_0x3dc956(_0x39472b);},function(){const _0x3c99c0=_0x2defb6;console[_0x3c99c0(0x1a74)](_0x3c99c0(0x39a));});}function _0x1b965f(_0x1ac0f8){const _0x30ef18=_0x2b7ff1,_0x1ca3fa=_0x9145e6[_0x30ef18(0x1e8a)]()[_0x30ef18(0x1189)](_0x30ef18(0x2e6))['htmlContent'](_0x30ef18(0x16d3)+_0x3714b6[_0x30ef18(0x15a6)][_0x30ef18(0x402)]+_0x30ef18(0x2452)+_0x30ef18(0xe01))[_0x30ef18(0x4bd)]('delete\x20subjects')[_0x30ef18(0x1f27)](_0x1ac0f8)['ok']('OK')[_0x30ef18(0x6c3)](_0x30ef18(0x39a));_0x9145e6[_0x30ef18(0x2615)](_0x1ca3fa)[_0x30ef18(0x146b)](function(){const _0x55d3de=_0x30ef18;_0x3714b6[_0x55d3de(0x15a6)][_0x55d3de(0x1df5)](function(_0x33f91c){_0x3dc956(_0x33f91c);}),_0x3714b6[_0x55d3de(0x15a6)]=[];});}_0x3714b6['selectedDescriptions']=[],_0x3714b6['createOrEditDescription']=_0x2dc6b8,_0x3714b6[_0x2b7ff1(0xb29)]=_0x5afe35,_0x3714b6['deleteSelectedDescriptions']=_0x15aa86;function _0x2dc6b8(_0x45dfe5,_0x219458){const _0x2423d5=_0x2b7ff1;_0x9145e6[_0x2423d5(0x2615)]({'controller':_0x2423d5(0x2903),'controllerAs':'vm','templateUrl':_0x365832,'parent':angular[_0x2423d5(0x1853)](_0x9b63a2[_0x2423d5(0x2586)]),'targetEvent':_0x45dfe5,'clickOutsideToClose':!![],'locals':{'type':_0x2423d5(0xb17),'item':_0x219458,'items':_0x3714b6[_0x2423d5(0x2358)],'configuration':_0x3714b6['zendeskConfiguration'],'account':_0x3714b6['zendeskAccount'],'license':null,'setting':null,'crudPermissions':_0x3714b6[_0x2423d5(0x2514)]}});}function _0x688b15(_0x210c58){const _0x3282b3=_0x2b7ff1;_0x25c879[_0x3282b3(0xf5d)][_0x3282b3(0x1fac)]({'id':_0x210c58['id']})[_0x3282b3(0x2945)][_0x3282b3(0x146b)](function(){const _0x10745f=_0x3282b3;_0x3f65c0()[_0x10745f(0x2640)](_0x3714b6[_0x10745f(0x2358)],{'id':_0x210c58['id']}),_0x52c5cb[_0x10745f(0x1c75)]({'title':_0x10745f(0xaf8),'msg':_0x10745f(0x8e3)});})['catch'](function(_0x1625fd){const _0x1cbd4a=_0x3282b3;_0x52c5cb[_0x1cbd4a(0x1980)]({'title':_0x1625fd[_0x1cbd4a(0x107b)]?_0x1cbd4a(0x262a)+_0x1625fd[_0x1cbd4a(0x107b)]+'\x20-\x20'+_0x1625fd[_0x1cbd4a(0x167f)]:'SYSTEM:DELETECONFIGURATIONFIELD','msg':_0x1625fd[_0x1cbd4a(0x524)]?JSON[_0x1cbd4a(0x10bb)](_0x1625fd[_0x1cbd4a(0x524)]):_0x1625fd[_0x1cbd4a(0xd5f)]()});});}function _0x5afe35(_0x35d66c,_0x28d8be){const _0x1705e8=_0x2b7ff1,_0x60b2db=_0x9145e6[_0x1705e8(0x1e8a)]()[_0x1705e8(0x1189)](_0x1705e8(0x1e9))['htmlContent'](_0x1705e8(0x2014)+_0x1705e8(0xe01))['ariaLabel']('delete\x20description')[_0x1705e8(0x1f27)](_0x35d66c)['ok']('OK')[_0x1705e8(0x6c3)](_0x1705e8(0x39a));_0x9145e6['show'](_0x60b2db)[_0x1705e8(0x146b)](function(){_0x688b15(_0x28d8be);},function(){const _0x4aad26=_0x1705e8;console[_0x4aad26(0x1a74)](_0x4aad26(0x39a));});}function _0x15aa86(_0x890143){const _0x2d124d=_0x2b7ff1,_0x12635d=_0x9145e6['confirm']()[_0x2d124d(0x1189)](_0x2d124d(0x1acd))[_0x2d124d(0x1cbe)](_0x2d124d(0x16d3)+_0x3714b6[_0x2d124d(0x126)][_0x2d124d(0x402)]+_0x2d124d(0x2452)+_0x2d124d(0xe01))[_0x2d124d(0x4bd)]('delete\x20descriptions')[_0x2d124d(0x1f27)](_0x890143)['ok']('OK')[_0x2d124d(0x6c3)](_0x2d124d(0x39a));_0x9145e6[_0x2d124d(0x2615)](_0x12635d)[_0x2d124d(0x146b)](function(){const _0x4c5d6b=_0x2d124d;_0x3714b6[_0x4c5d6b(0x126)]['forEach'](function(_0x29508a){_0x688b15(_0x29508a);}),_0x3714b6[_0x4c5d6b(0x126)]=[];});}_0x3714b6[_0x2b7ff1(0x2605)]=[],_0x3714b6[_0x2b7ff1(0x737)]=_0x35d22e,_0x3714b6['deleteFieldConfirm']=_0x243300,_0x3714b6[_0x2b7ff1(0x816)]=_0x9f7fc8;function _0x35d22e(_0x1d9a2c,_0x465a4a){const _0x1672e0=_0x2b7ff1;_0x9145e6[_0x1672e0(0x2615)]({'controller':_0x1672e0(0x2903),'controllerAs':'vm','templateUrl':_0x365832,'parent':angular[_0x1672e0(0x1853)](_0x9b63a2[_0x1672e0(0x2586)]),'targetEvent':_0x1d9a2c,'clickOutsideToClose':!![],'locals':{'type':_0x1672e0(0x65c),'item':_0x465a4a,'items':_0x3714b6[_0x1672e0(0x2867)],'configuration':_0x3714b6[_0x1672e0(0x275c)],'account':_0x3714b6['zendeskAccount'],'license':null,'setting':null,'crudPermissions':_0x3714b6[_0x1672e0(0x2514)]}});}function _0x174d76(_0x3e497f){const _0x36b3a3=_0x2b7ff1;_0x25c879[_0x36b3a3(0xf5d)][_0x36b3a3(0x1fac)]({'id':_0x3e497f['id']})[_0x36b3a3(0x2945)][_0x36b3a3(0x146b)](function(){const _0x1d38fe=_0x36b3a3;_0x3f65c0()[_0x1d38fe(0x2640)](_0x3714b6[_0x1d38fe(0x2867)],{'id':_0x3e497f['id']}),_0x52c5cb[_0x1d38fe(0x1c75)]({'title':_0x1d38fe(0x24ce),'msg':_0x1d38fe(0x1c07)});})[_0x36b3a3(0x129e)](function(_0x21e852){const _0x59e353=_0x36b3a3;_0x52c5cb[_0x59e353(0x1980)]({'title':_0x21e852['status']?'API:'+_0x21e852['status']+_0x59e353(0x1315)+_0x21e852['statusText']:_0x59e353(0xf6e),'msg':_0x21e852['data']?JSON[_0x59e353(0x10bb)](_0x21e852[_0x59e353(0x524)]):_0x21e852[_0x59e353(0xd5f)]()});});}function _0x243300(_0x2e8b70,_0x332377){const _0x4470ab=_0x2b7ff1,_0x25cb1c=_0x9145e6[_0x4470ab(0x1e8a)]()[_0x4470ab(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20field?')[_0x4470ab(0x1cbe)](_0x4470ab(0x2216)+_0x4470ab(0xe01))[_0x4470ab(0x4bd)]('delete\x20field')[_0x4470ab(0x1f27)](_0x2e8b70)['ok']('OK')[_0x4470ab(0x6c3)](_0x4470ab(0x39a));_0x9145e6[_0x4470ab(0x2615)](_0x25cb1c)[_0x4470ab(0x146b)](function(){_0x174d76(_0x332377);},function(){const _0x25c145=_0x4470ab;console[_0x25c145(0x1a74)](_0x25c145(0x39a));});}function _0x9f7fc8(_0x262f9b){const _0x3a5f75=_0x2b7ff1,_0x511d89=_0x9145e6[_0x3a5f75(0x1e8a)]()['title'](_0x3a5f75(0xe75))['htmlContent'](''+_0x3714b6[_0x3a5f75(0x2605)][_0x3a5f75(0x402)]+'\x20selected'+_0x3a5f75(0xe01))[_0x3a5f75(0x4bd)](_0x3a5f75(0x176a))[_0x3a5f75(0x1f27)](_0x262f9b)['ok']('OK')[_0x3a5f75(0x6c3)](_0x3a5f75(0x39a));_0x9145e6[_0x3a5f75(0x2615)](_0x511d89)['then'](function(){const _0x46fa94=_0x3a5f75;_0x3714b6[_0x46fa94(0x2605)]['forEach'](function(_0x5bde41){_0x174d76(_0x5bde41);}),_0x3714b6[_0x46fa94(0x2605)]=[];});}}const _0x11d3e7=_0x400871;;_0x294b79[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x1388),_0x313a4d(0x275c),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x294b79(_0x4e2fb4,_0x26286e,_0x41f3df,_0x465269,_0x1f0333,_0x52cecf,_0x434a3b,_0x330430,_0x26df1e,_0x22e537,_0xa2e77,_0x32ca91,_0x1ae55c,_0x4407bd){const _0x2abe79=_0x313a4d,_0x52b018=this;_0x52b018[_0x2abe79(0x2321)]=_0xa2e77[_0x2abe79(0xb12)](),_0x52b018[_0x2abe79(0xcef)]=[],_0x52b018['setting']=_0x1ae55c,_0x52b018['license']=_0x32ca91,_0x52b018['crudPermissions']=_0x4407bd,_0x52b018[_0x2abe79(0x855)]={},_0x52b018[_0x2abe79(0x2251)]=_0x52b018['setting']&&_0x52b018[_0x2abe79(0x15b9)][_0x2abe79(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x52b018['title']='INTEGRATIONS.EDIT_ZENDESKCONFIGURATION',_0x52b018[_0x2abe79(0x275c)]=angular[_0x2abe79(0x235a)](_0x26df1e),_0x52b018[_0x2abe79(0x1388)]=_0x330430,_0x52b018[_0x2abe79(0x1fff)]=![];!_0x52b018[_0x2abe79(0x275c)]&&(_0x52b018[_0x2abe79(0x275c)]={'channel':'voice','type':'inbound'},_0x52b018['title']=_0x2abe79(0xc3f),_0x52b018[_0x2abe79(0x1fff)]=!![]);_0x52b018[_0x2abe79(0x16e6)]=_0x4b4b6d,_0x52b018[_0x2abe79(0x80d)]=_0x521a98,_0x52b018[_0x2abe79(0x1a1f)]=_0x142db3,_0x52b018[_0x2abe79(0xe73)]=_0x454ad0,_0x52b018[_0x2abe79(0x13f3)]=_0x52bf67;function _0x4b4b6d(){const _0x458db=_0x2abe79;_0x52b018['errors']=[],_0x22e537[_0x458db(0x2686)]['addConfiguration']({'id':_0x26286e['params']['id']},_0x52b018[_0x458db(0x275c)])[_0x458db(0x2945)]['then'](function(_0x5b9f45){const _0xfc2d3f=_0x458db;_0x52b018[_0xfc2d3f(0x1388)]['unshift'](_0x5b9f45[_0xfc2d3f(0x2488)]()),_0x434a3b[_0xfc2d3f(0x1c75)]({'title':_0xfc2d3f(0xf82),'msg':_0x52b018[_0xfc2d3f(0x275c)]['name']?_0x52b018[_0xfc2d3f(0x275c)]['name']+_0xfc2d3f(0x1386):''}),_0x52bf67(_0x5b9f45);})[_0x458db(0x129e)](function(_0x1e6d4c){const _0x5d10c4=_0x458db;if(_0x1e6d4c['data']&&_0x1e6d4c[_0x5d10c4(0x524)][_0x5d10c4(0xcef)]&&_0x1e6d4c[_0x5d10c4(0x524)][_0x5d10c4(0xcef)]['length']){_0x52b018[_0x5d10c4(0xcef)]=_0x1e6d4c[_0x5d10c4(0x524)][_0x5d10c4(0xcef)]||[{'message':_0x1e6d4c[_0x5d10c4(0xd5f)](),'type':'api.intZendeskConfiguration.save'}];for(let _0x224389=0x0;_0x224389<_0x1e6d4c['data'][_0x5d10c4(0xcef)][_0x5d10c4(0x402)];_0x224389+=0x1){_0x434a3b[_0x5d10c4(0x1980)]({'title':_0x1e6d4c[_0x5d10c4(0x524)][_0x5d10c4(0xcef)][_0x224389][_0x5d10c4(0x1142)],'msg':_0x1e6d4c[_0x5d10c4(0x524)]['errors'][_0x224389][_0x5d10c4(0x7fd)]});}}else _0x434a3b[_0x5d10c4(0x1980)]({'title':_0x1e6d4c[_0x5d10c4(0x107b)]?'API:'+_0x1e6d4c['status']+'\x20-\x20'+_0x1e6d4c[_0x5d10c4(0x167f)]:_0x5d10c4(0x11ad),'msg':_0x1e6d4c[_0x5d10c4(0x524)]?JSON[_0x5d10c4(0x10bb)](_0x1e6d4c[_0x5d10c4(0x524)][_0x5d10c4(0x7fd)]):_0x1e6d4c['toString']()});});}function _0x521a98(){const _0x202f47=_0x2abe79;_0x52b018[_0x202f47(0xcef)]=[],_0x22e537[_0x202f47(0x252c)]['update']({'id':_0x52b018[_0x202f47(0x275c)]['id']},_0x52b018[_0x202f47(0x275c)])[_0x202f47(0x2945)][_0x202f47(0x146b)](function(_0x51159b){const _0x4e8aef=_0x202f47,_0x5cf562=_0x3f65c0()[_0x4e8aef(0xc84)](_0x52b018[_0x4e8aef(0x1388)],{'id':_0x51159b['id']});_0x5cf562&&_0x3f65c0()[_0x4e8aef(0x168d)](_0x5cf562,_0x3f65c0()['pick'](_0x51159b[_0x4e8aef(0x2488)](),_0x3f65c0()[_0x4e8aef(0x627)](_0x5cf562))),_0x434a3b[_0x4e8aef(0x1c75)]({'title':_0x4e8aef(0x1e89),'msg':_0x52b018[_0x4e8aef(0x275c)]['name']?_0x52b018[_0x4e8aef(0x275c)][_0x4e8aef(0x19eb)]+_0x4e8aef(0x24db):''}),_0x52bf67(_0x51159b);})[_0x202f47(0x129e)](function(_0x849f5a){const _0x2bcb47=_0x202f47;if(_0x849f5a[_0x2bcb47(0x524)]&&_0x849f5a['data'][_0x2bcb47(0xcef)]&&_0x849f5a[_0x2bcb47(0x524)][_0x2bcb47(0xcef)][_0x2bcb47(0x402)]){_0x52b018[_0x2bcb47(0xcef)]=_0x849f5a[_0x2bcb47(0x524)][_0x2bcb47(0xcef)]||[{'message':_0x849f5a['toString'](),'type':_0x2bcb47(0x2047)}];for(let _0x17b2ed=0x0;_0x17b2ed<_0x849f5a[_0x2bcb47(0x524)][_0x2bcb47(0xcef)][_0x2bcb47(0x402)];_0x17b2ed++){_0x434a3b[_0x2bcb47(0x1980)]({'title':_0x849f5a[_0x2bcb47(0x524)]['errors'][_0x17b2ed][_0x2bcb47(0x1142)],'msg':_0x849f5a[_0x2bcb47(0x524)][_0x2bcb47(0xcef)][_0x17b2ed][_0x2bcb47(0x7fd)]});}}else _0x434a3b[_0x2bcb47(0x1980)]({'title':_0x849f5a['status']?_0x2bcb47(0x262a)+_0x849f5a[_0x2bcb47(0x107b)]+_0x2bcb47(0x1315)+_0x849f5a[_0x2bcb47(0x167f)]:_0x2bcb47(0x2047),'msg':_0x849f5a['data']?JSON['stringify'](_0x849f5a[_0x2bcb47(0x524)][_0x2bcb47(0x7fd)]):_0x849f5a[_0x2bcb47(0xd5f)]()});});}function _0x142db3(_0x10b316){const _0x5dfe3a=_0x2abe79;_0x52b018[_0x5dfe3a(0xcef)]=[];const _0x4dc623=_0x465269[_0x5dfe3a(0x1e8a)]()[_0x5dfe3a(0x1189)]('Are\x20you\x20sure?')[_0x5dfe3a(0x80f)]('The\x20zendeskConfiguration\x20will\x20be\x20deleted.')[_0x5dfe3a(0x4bd)]('Delete\x20ZendeskConfiguration')['ok'](_0x5dfe3a(0x25de))[_0x5dfe3a(0x6c3)](_0x5dfe3a(0xcf0))[_0x5dfe3a(0x1f27)](_0x10b316);_0x465269[_0x5dfe3a(0x2615)](_0x4dc623)['then'](function(){const _0x245bf7=_0x5dfe3a;_0x22e537[_0x245bf7(0x252c)][_0x245bf7(0x1fac)]({'id':_0x52b018[_0x245bf7(0x275c)]['id']})[_0x245bf7(0x2945)]['then'](function(){const _0x1452c9=_0x245bf7;_0x3f65c0()['remove'](_0x52b018[_0x1452c9(0x1388)],{'id':_0x52b018[_0x1452c9(0x275c)]['id']}),_0x434a3b[_0x1452c9(0x1c75)]({'title':'ZendeskConfiguration\x20properly\x20deleted!','msg':(_0x52b018[_0x1452c9(0x275c)][_0x1452c9(0x19eb)]||_0x1452c9(0x275c))+'\x20has\x20been\x20deleted!'}),_0x52bf67(_0x52b018[_0x1452c9(0x275c)]);})[_0x245bf7(0x129e)](function(_0x20e98c){const _0x5b01c4=_0x245bf7;if(_0x20e98c[_0x5b01c4(0x524)]&&_0x20e98c[_0x5b01c4(0x524)]['errors']&&_0x20e98c[_0x5b01c4(0x524)][_0x5b01c4(0xcef)]['length']){_0x52b018[_0x5b01c4(0xcef)]=_0x20e98c['data'][_0x5b01c4(0xcef)]||[{'message':_0x20e98c[_0x5b01c4(0xd5f)](),'type':_0x5b01c4(0x2501)}];for(let _0xef7580=0x0;_0xef7580<_0x20e98c['data']['errors']['length'];_0xef7580++){_0x434a3b[_0x5b01c4(0x1980)]({'title':_0x20e98c[_0x5b01c4(0x524)][_0x5b01c4(0xcef)][_0xef7580]['type'],'msg':_0x20e98c[_0x5b01c4(0x524)]['errors'][_0xef7580][_0x5b01c4(0x7fd)]});}}else _0x434a3b[_0x5b01c4(0x1980)]({'title':_0x20e98c[_0x5b01c4(0x107b)]?_0x5b01c4(0x262a)+_0x20e98c[_0x5b01c4(0x107b)]+_0x5b01c4(0x1315)+_0x20e98c[_0x5b01c4(0x167f)]:_0x5b01c4(0x2501),'msg':_0x20e98c[_0x5b01c4(0x524)]?JSON[_0x5b01c4(0x10bb)](_0x20e98c[_0x5b01c4(0x524)][_0x5b01c4(0x7fd)]):_0x20e98c[_0x5b01c4(0x7fd)]||_0x20e98c[_0x5b01c4(0xd5f)]()});});},function(){});}function _0x454ad0(_0xc56df2){return _0xc56df2===null?undefined:new Date(_0xc56df2);}function _0x52bf67(_0x54108c){_0x465269['hide'](_0x54108c);}}const _0x5f3171=_0x294b79;;const _0x1177fd=_0x4acfac['p']+_0x313a4d(0x173b);;_0x363d25[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0x214b),_0x313a4d(0x988),_0x313a4d(0x26b6),'userProfileSection',_0x313a4d(0x247f),'msUtils','toasty',_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x363d25(_0x18b9de,_0x2b6dc3,_0x47e264,_0x49d827,_0x5e3b71,_0x33a469,_0x40f19c,_0x1a046a,_0x18a031,_0x3a49f1,_0xec26d,_0xd74535,_0x1bef45,_0x348444,_0x553ef5,_0xa2fe6b,_0x3c06bf){const _0x313dcf=_0x313a4d,_0x5883f6=this;_0x5883f6[_0x313dcf(0x2690)]=_0xa2fe6b,_0x5883f6[_0x313dcf(0x15b9)]=_0x3c06bf,_0x5883f6[_0x313dcf(0x2321)]=_0x553ef5[_0x313dcf(0xb12)](),_0x5883f6['zendeskAccounts']=_0x18a031||{'count':0x0,'rows':[]},_0x5883f6['userProfile']=_0x3a49f1,_0x5883f6[_0x313dcf(0x1366)]=_0xec26d&&_0xec26d[_0x313dcf(0x51c)]==0x1?_0xec26d['rows'][0x0]:null,_0x5883f6[_0x313dcf(0x2514)]=_0x553ef5['parseCrudPermissions'](_0x5883f6[_0x313dcf(0x1366)]?_0x5883f6[_0x313dcf(0x1366)]['crudPermissions']:null),_0x5883f6['table']=_0x313dcf(0x988),_0x5883f6[_0x313dcf(0x216a)]='',_0x5883f6[_0x313dcf(0x214f)]=null,_0x5883f6[_0x313dcf(0x5d0)]=[],_0x5883f6[_0x313dcf(0x1a56)]={'fields':'createdAt,updatedAt,id,name,type,username,remoteUri,authType,password,token,serverUrl,description','limit':0xa,'page':0x1},_0x5883f6[_0x313dcf(0x11da)]=_0x3f65c0()[_0x313dcf(0x194)]([{'option':'Integration_Tab','value':_0x313dcf(0x17ec)},{'option':_0x313dcf(0xf6a),'value':_0x313dcf(0x1404)}],function(_0x22607c){const _0x4c24b4=_0x313dcf;return _0x3f65c0()[_0x4c24b4(0x5f4)](_0x22607c['value'],new RegExp('\x27','g'),'');}),_0x5883f6[_0x313dcf(0xd5e)]=_0x3f65c0()[_0x313dcf(0x194)]([{'option':_0x313dcf(0x12da),'value':_0x313dcf(0x2008)},{'option':_0x313dcf(0x1357),'value':_0x313dcf(0x19fb)}],function(_0x2b4036){const _0x5b57fd=_0x313dcf;return _0x3f65c0()['replace'](_0x2b4036[_0x5b57fd(0x175d)],new RegExp('\x27','g'),'');}),_0x5883f6[_0x313dcf(0x23f9)]=_0x49773a,_0x5883f6[_0x313dcf(0x1e60)]=_0x9551ba,_0x5883f6[_0x313dcf(0xf0a)]=_0x1c19bf,_0x5883f6['success']=_0x259aeb,_0x5883f6[_0x313dcf(0x233f)]=_0xa48fe,_0x5883f6[_0x313dcf(0x73a)]=_0x2da5f9,_0x5883f6['deleteZendeskAccount']=_0x58b050,_0x5883f6[_0x313dcf(0x1d50)]=_0xb5e139,_0x5883f6[_0x313dcf(0x57c)]=_0x533e6b,_0x5883f6[_0x313dcf(0x308)]=_0x9ee1d6,_0x5883f6[_0x313dcf(0x20fd)]=_0x32fdf5;function _0x49773a(_0x135b23){const _0x102d27=_0x313dcf;_0x47e264['go'](_0x102d27(0x1c55),{'id':_0x135b23['id'],'zendeskAccount':_0x135b23,'crudPermissions':_0x5883f6[_0x102d27(0x2514)]});}function _0x9551ba(_0x1e7b50){const _0x4e7b1e=_0x313dcf;return _0xd74535['intZendeskAccount'][_0x4e7b1e(0x12ff)]({'id':_0x1e7b50['id'],'test':!![]})[_0x4e7b1e(0x2945)][_0x4e7b1e(0x146b)](function(){const _0xce6d3f=_0x4e7b1e;_0x348444[_0xce6d3f(0x1c75)]({'title':'ZendeskAccount\x20properly\x20tested','msg':_0xce6d3f(0x1893)});})[_0x4e7b1e(0x129e)](function(_0x5d641c){const _0x1776f5=_0x4e7b1e;_0x348444[_0x1776f5(0x1980)]({'title':'ZendeskAccount\x20properly\x20tested','msg':_0x5d641c[_0x1776f5(0x524)]?_0x5d641c['data']['message']?_0x5d641c[_0x1776f5(0x524)]['message']:JSON[_0x1776f5(0x10bb)](_0x5d641c[_0x1776f5(0x524)]):_0x5d641c[_0x1776f5(0xd5f)]()});});}function _0x1c19bf(_0x421235,_0x2f5c47){const _0x4fb664=_0x313dcf,_0x2ca17=_0x5e3b71[_0x4fb664(0x1e8a)]()[_0x4fb664(0x1189)](_0x4fb664(0xdb2)+_0x3f65c0()['startCase'](_0x4fb664(0x4f5))+'?')['htmlContent'](''+(_0x421235[_0x4fb664(0x19eb)]||_0x4fb664(0x4f5))+_0x4fb664(0x252f)+_0x4fb664(0xe01))[_0x4fb664(0x4bd)](_0x4fb664(0xdf6))[_0x4fb664(0x1f27)](_0x2f5c47)['ok']('OK')[_0x4fb664(0x6c3)](_0x4fb664(0x39a));_0x5e3b71[_0x4fb664(0x2615)](_0x2ca17)[_0x4fb664(0x146b)](function(){_0x58b050(_0x421235);},function(){const _0x1d435e=_0x4fb664;console[_0x1d435e(0x1a74)](_0x1d435e(0x39a));});}let _0x5bc687=!![],_0x57bbfb=0x1;_0x18b9de[_0x313dcf(0x21e8)](_0x313dcf(0x2669),function(_0x211ca1,_0x3c3176){const _0x183751=_0x313dcf;_0x5bc687?_0x40f19c(function(){_0x5bc687=![];}):(!_0x3c3176&&(_0x57bbfb=_0x5883f6[_0x183751(0x1a56)][_0x183751(0x844)]),_0x211ca1!==_0x3c3176&&(_0x5883f6[_0x183751(0x1a56)][_0x183751(0x844)]=0x1),!_0x211ca1&&(_0x5883f6[_0x183751(0x1a56)][_0x183751(0x844)]=_0x57bbfb),_0x5883f6[_0x183751(0x233f)]());});function _0x259aeb(_0x56a12c){const _0x3d07b9=_0x313dcf;_0x5883f6[_0x3d07b9(0x988)]=_0x56a12c||{'count':0x0,'rows':[]};}function _0xa48fe(){const _0x9b60e6=_0x313dcf;_0x5883f6[_0x9b60e6(0x1a56)][_0x9b60e6(0x145d)]=(_0x5883f6[_0x9b60e6(0x1a56)][_0x9b60e6(0x844)]-0x1)*_0x5883f6['query'][_0x9b60e6(0x221e)],_0x553ef5['hasRole'](_0x9b60e6(0x174b))?_0x5883f6[_0x9b60e6(0xb9c)]=_0xd74535['intZendeskAccount'][_0x9b60e6(0x16b4)](_0x5883f6[_0x9b60e6(0x1a56)],_0x259aeb)['$promise']:(_0x5883f6['query']['id']=_0x5883f6['userProfile']['id'],_0x5883f6[_0x9b60e6(0x1a56)][_0x9b60e6(0x2146)]=_0x9b60e6(0x1fc),_0x5883f6['promise']=_0xd74535[_0x9b60e6(0x26b6)][_0x9b60e6(0x158f)](_0x5883f6[_0x9b60e6(0x1a56)],_0x259aeb)[_0x9b60e6(0x2945)]);}function _0x2da5f9(_0x239cbc,_0xd44444){const _0x4fe1e6=_0x313dcf;_0x5e3b71[_0x4fe1e6(0x2615)]({'controller':_0x4fe1e6(0x121b),'controllerAs':'vm','templateUrl':_0x1177fd,'parent':angular[_0x4fe1e6(0x1853)](_0x33a469[_0x4fe1e6(0x2586)]),'targetEvent':_0x239cbc,'clickOutsideToClose':!![],'locals':{'zendeskAccount':_0xd44444,'zendeskAccounts':_0x5883f6[_0x4fe1e6(0x988)][_0x4fe1e6(0x19c7)],'license':_0x5883f6[_0x4fe1e6(0x2690)],'setting':_0x5883f6[_0x4fe1e6(0x15b9)],'crudPermissions':_0x5883f6[_0x4fe1e6(0x2514)]}});}function _0x58b050(_0x2bad56){const _0x22a2dd=_0x313dcf;_0xd74535[_0x22a2dd(0x2686)][_0x22a2dd(0x1fac)]({'id':_0x2bad56['id']})[_0x22a2dd(0x2945)][_0x22a2dd(0x146b)](function(){const _0x40c0f0=_0x22a2dd;_0x3f65c0()[_0x40c0f0(0x2640)](_0x5883f6[_0x40c0f0(0x988)][_0x40c0f0(0x19c7)],{'id':_0x2bad56['id']}),_0x5883f6[_0x40c0f0(0x988)]['count']-=0x1,!_0x5883f6['zendeskAccounts'][_0x40c0f0(0x19c7)][_0x40c0f0(0x402)]&&_0x5883f6[_0x40c0f0(0x233f)](),_0x348444['success']({'title':_0x3f65c0()[_0x40c0f0(0x20d1)](_0x40c0f0(0x1b39))+_0x40c0f0(0x201c),'msg':_0x2bad56[_0x40c0f0(0x19eb)]?_0x2bad56[_0x40c0f0(0x19eb)]+_0x40c0f0(0x23e3):''});})[_0x22a2dd(0x129e)](function(_0xc5878e){const _0x234dae=_0x22a2dd;if(_0xc5878e[_0x234dae(0x524)]&&_0xc5878e[_0x234dae(0x524)][_0x234dae(0xcef)]&&_0xc5878e[_0x234dae(0x524)][_0x234dae(0xcef)][_0x234dae(0x402)]){_0x5883f6[_0x234dae(0xcef)]=_0xc5878e[_0x234dae(0x524)][_0x234dae(0xcef)]||[{'message':_0xc5878e[_0x234dae(0xd5f)](),'type':'SYSTEM:DELETEintZendeskAccount'}];for(let _0x17e265=0x0;_0x17e265<_0xc5878e[_0x234dae(0x524)][_0x234dae(0xcef)][_0x234dae(0x402)];_0x17e265++){_0x348444['error']({'title':_0xc5878e[_0x234dae(0x524)]['errors'][_0x17e265][_0x234dae(0x1142)],'msg':_0xc5878e[_0x234dae(0x524)][_0x234dae(0xcef)][_0x17e265]['message']});}}else _0x348444[_0x234dae(0x1980)]({'title':_0xc5878e['status']?_0x234dae(0x262a)+_0xc5878e['status']+_0x234dae(0x1315)+_0xc5878e[_0x234dae(0x167f)]:_0x234dae(0x1f67),'msg':_0xc5878e[_0x234dae(0x524)]?JSON[_0x234dae(0x10bb)](_0xc5878e[_0x234dae(0x524)][_0x234dae(0x7fd)]):_0xc5878e[_0x234dae(0x7fd)]||_0xc5878e[_0x234dae(0xd5f)]()});});}function _0xb5e139(){const _0x42f87c=_0x313dcf,_0x29080e=angular[_0x42f87c(0x235a)](_0x5883f6[_0x42f87c(0x5d0)]);return _0x5883f6[_0x42f87c(0x5d0)]=[],_0x29080e;}function _0x533e6b(_0x566874){const _0x59becf=_0x313dcf,_0x2214d7=_0x5e3b71['confirm']()['title'](_0x59becf(0x2215))[_0x59becf(0x1cbe)](''+_0x5883f6[_0x59becf(0x5d0)][_0x59becf(0x402)]+_0x59becf(0x2452)+_0x59becf(0xe01))[_0x59becf(0x4bd)]('delete\x20ZendeskAccounts')['targetEvent'](_0x566874)['ok']('OK')[_0x59becf(0x6c3)](_0x59becf(0x39a));_0x5e3b71[_0x59becf(0x2615)](_0x2214d7)[_0x59becf(0x146b)](function(){const _0x48811=_0x59becf;_0x5883f6[_0x48811(0x5d0)][_0x48811(0x1df5)](function(_0x1d7704){_0x58b050(_0x1d7704);}),_0x5883f6[_0x48811(0x5d0)]=[];});}function _0x9ee1d6(){const _0x382a09=_0x313dcf;_0x5883f6[_0x382a09(0x5d0)]=[];}function _0x32fdf5(){const _0x17edd9=_0x313dcf;_0x5883f6[_0x17edd9(0x5d0)]=_0x5883f6[_0x17edd9(0x988)]['rows'];}}const _0x57fc2b=_0x363d25;;_0x15d976[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x1e58),_0x313a4d(0x26df),_0x313a4d(0x247f),_0x313a4d(0xa87),'license',_0x313a4d(0x15b9),'crudPermissions'];function _0x15d976(_0x10b75c,_0x41b005,_0x4bd6ee,_0x535673,_0x181e27,_0x17713a,_0x3e49dc,_0x11d79b,_0xa195b9,_0x412028,_0x47f504,_0x46088e,_0x4544de,_0x3a9e64){const _0x2c7179=_0x313a4d,_0x1e2047=this;_0x1e2047[_0x2c7179(0x2321)]=_0x47f504[_0x2c7179(0xb12)](),_0x1e2047[_0x2c7179(0xcef)]=[],_0x1e2047['setting']=_0x4544de,_0x1e2047['license']=_0x46088e,_0x1e2047[_0x2c7179(0x2514)]=_0x3a9e64,_0x1e2047[_0x2c7179(0x855)]={},_0x1e2047[_0x2c7179(0x2251)]=_0x1e2047[_0x2c7179(0x15b9)]&&_0x1e2047[_0x2c7179(0x15b9)][_0x2c7179(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x1e2047[_0x2c7179(0x1189)]=_0x2c7179(0x2628),_0x1e2047[_0x2c7179(0x26df)]=angular[_0x2c7179(0x235a)](_0xa195b9),_0x1e2047['zohoAccounts']=_0x11d79b,_0x1e2047[_0x2c7179(0x1483)]=![];!_0x1e2047[_0x2c7179(0x26df)]&&(_0x1e2047[_0x2c7179(0x26df)]={'host':_0x2c7179(0x45b),'zone':'EU','serverUrl':_0x4bd6ee['protocol']()+_0x2c7179(0xb0e)+_0x4bd6ee[_0x2c7179(0x148e)]()+(_0x4bd6ee[_0x2c7179(0x1553)]()?':'+_0x4bd6ee[_0x2c7179(0x1553)]():'')},_0x1e2047[_0x2c7179(0x1189)]=_0x2c7179(0x21d8),_0x1e2047[_0x2c7179(0x1483)]=!![]);_0x1e2047[_0x2c7179(0x89a)]=_0x1571b0,_0x1e2047[_0x2c7179(0xb26)]=_0x585af8,_0x1e2047[_0x2c7179(0x1e26)]=_0x52906f,_0x1e2047[_0x2c7179(0xe73)]=_0x4c3a29,_0x1e2047[_0x2c7179(0x13f3)]=_0x1789b9;function _0x1571b0(){const _0x449133=_0x2c7179;_0x1e2047[_0x449133(0xcef)]=[],_0x412028[_0x449133(0x15d7)][_0x449133(0x1e3)](_0x1e2047[_0x449133(0x26df)])[_0x449133(0x2945)][_0x449133(0x146b)](function(_0xc9375){const _0x35d487=_0x449133;_0x1e2047[_0x35d487(0x1e58)]['unshift'](_0xc9375['toJSON']()),_0x3e49dc[_0x35d487(0x1c75)]({'title':_0x35d487(0x11d6),'msg':_0x1e2047[_0x35d487(0x26df)][_0x35d487(0x19eb)]?_0x1e2047['zohoAccount'][_0x35d487(0x19eb)]+_0x35d487(0x1386):''}),_0x1789b9(_0xc9375);})[_0x449133(0x129e)](function(_0xa65d9b){const _0x57c230=_0x449133;if(_0xa65d9b[_0x57c230(0x524)]&&_0xa65d9b[_0x57c230(0x524)]['errors']&&_0xa65d9b['data'][_0x57c230(0xcef)][_0x57c230(0x402)]){_0x1e2047[_0x57c230(0xcef)]=_0xa65d9b[_0x57c230(0x524)][_0x57c230(0xcef)]||[{'message':_0xa65d9b[_0x57c230(0xd5f)](),'type':'api.intZohoAccount.save'}];for(let _0x4e7889=0x0;_0x4e7889<_0xa65d9b[_0x57c230(0x524)][_0x57c230(0xcef)][_0x57c230(0x402)];_0x4e7889+=0x1){_0x3e49dc['error']({'title':_0xa65d9b[_0x57c230(0x524)][_0x57c230(0xcef)][_0x4e7889]['type'],'msg':_0xa65d9b[_0x57c230(0x524)]['errors'][_0x4e7889][_0x57c230(0x7fd)]});}}else _0x3e49dc[_0x57c230(0x1980)]({'title':_0xa65d9b['status']?_0x57c230(0x262a)+_0xa65d9b[_0x57c230(0x107b)]+_0x57c230(0x1315)+_0xa65d9b[_0x57c230(0x167f)]:_0x57c230(0x111f),'msg':_0xa65d9b[_0x57c230(0x524)]?JSON[_0x57c230(0x10bb)](_0xa65d9b[_0x57c230(0x524)][_0x57c230(0x7fd)]):_0xa65d9b[_0x57c230(0xd5f)]()});});}function _0x585af8(){const _0x15f6a2=_0x2c7179;_0x1e2047['errors']=[],_0x412028[_0x15f6a2(0x15d7)]['update']({'id':_0x1e2047[_0x15f6a2(0x26df)]['id']},_0x1e2047[_0x15f6a2(0x26df)])['$promise'][_0x15f6a2(0x146b)](function(_0x52bc9d){const _0x62f052=_0x15f6a2,_0x59910e=_0x3f65c0()[_0x62f052(0xc84)](_0x1e2047[_0x62f052(0x1e58)],{'id':_0x52bc9d['id']});_0x59910e&&_0x3f65c0()[_0x62f052(0x168d)](_0x59910e,_0x3f65c0()[_0x62f052(0x40e)](_0x52bc9d[_0x62f052(0x2488)](),_0x3f65c0()['keys'](_0x59910e))),_0x3e49dc['success']({'title':_0x62f052(0x11a3),'msg':_0x1e2047[_0x62f052(0x26df)][_0x62f052(0x19eb)]?_0x1e2047['zohoAccount'][_0x62f052(0x19eb)]+_0x62f052(0x24db):''}),_0x1789b9(_0x52bc9d);})[_0x15f6a2(0x129e)](function(_0x867e9b){const _0xcb6493=_0x15f6a2;if(_0x867e9b[_0xcb6493(0x524)]&&_0x867e9b[_0xcb6493(0x524)]['errors']&&_0x867e9b[_0xcb6493(0x524)][_0xcb6493(0xcef)][_0xcb6493(0x402)]){_0x1e2047[_0xcb6493(0xcef)]=_0x867e9b[_0xcb6493(0x524)]['errors']||[{'message':_0x867e9b[_0xcb6493(0xd5f)](),'type':_0xcb6493(0x2784)}];for(let _0x540ed0=0x0;_0x540ed0<_0x867e9b[_0xcb6493(0x524)]['errors']['length'];_0x540ed0++){_0x3e49dc[_0xcb6493(0x1980)]({'title':_0x867e9b['data'][_0xcb6493(0xcef)][_0x540ed0]['type'],'msg':_0x867e9b['data'][_0xcb6493(0xcef)][_0x540ed0][_0xcb6493(0x7fd)]});}}else _0x3e49dc[_0xcb6493(0x1980)]({'title':_0x867e9b[_0xcb6493(0x107b)]?_0xcb6493(0x262a)+_0x867e9b[_0xcb6493(0x107b)]+_0xcb6493(0x1315)+_0x867e9b[_0xcb6493(0x167f)]:_0xcb6493(0x2784),'msg':_0x867e9b[_0xcb6493(0x524)]?JSON[_0xcb6493(0x10bb)](_0x867e9b[_0xcb6493(0x524)]['message']):_0x867e9b['toString']()});});}function _0x52906f(_0x3df30e){const _0xfe59b1=_0x2c7179;_0x1e2047['errors']=[];const _0x6a4c59=_0x535673['confirm']()['title'](_0xfe59b1(0x1d64))[_0xfe59b1(0x80f)]('The\x20zohoAccount\x20will\x20be\x20deleted.')[_0xfe59b1(0x4bd)](_0xfe59b1(0x211))['ok'](_0xfe59b1(0x25de))[_0xfe59b1(0x6c3)](_0xfe59b1(0xcf0))[_0xfe59b1(0x1f27)](_0x3df30e);_0x535673[_0xfe59b1(0x2615)](_0x6a4c59)[_0xfe59b1(0x146b)](function(){const _0x384a6f=_0xfe59b1;_0x412028['intZohoAccount'][_0x384a6f(0x1fac)]({'id':_0x1e2047[_0x384a6f(0x26df)]['id']})[_0x384a6f(0x2945)][_0x384a6f(0x146b)](function(){const _0x1f9a34=_0x384a6f;_0x3f65c0()[_0x1f9a34(0x2640)](_0x1e2047[_0x1f9a34(0x1e58)],{'id':_0x1e2047['zohoAccount']['id']}),_0x3e49dc[_0x1f9a34(0x1c75)]({'title':_0x1f9a34(0x135c),'msg':(_0x1e2047[_0x1f9a34(0x26df)][_0x1f9a34(0x19eb)]||'zohoAccount')+_0x1f9a34(0x23e3)}),_0x1789b9(_0x1e2047[_0x1f9a34(0x26df)]);})[_0x384a6f(0x129e)](function(_0x413e41){const _0x1de224=_0x384a6f;if(_0x413e41[_0x1de224(0x524)]&&_0x413e41[_0x1de224(0x524)]['errors']&&_0x413e41[_0x1de224(0x524)][_0x1de224(0xcef)][_0x1de224(0x402)]){_0x1e2047[_0x1de224(0xcef)]=_0x413e41[_0x1de224(0x524)][_0x1de224(0xcef)]||[{'message':_0x413e41['toString'](),'type':'api.intZohoAccount.delete'}];for(let _0x1698f2=0x0;_0x1698f2<_0x413e41[_0x1de224(0x524)][_0x1de224(0xcef)]['length'];_0x1698f2++){_0x3e49dc[_0x1de224(0x1980)]({'title':_0x413e41[_0x1de224(0x524)][_0x1de224(0xcef)][_0x1698f2][_0x1de224(0x1142)],'msg':_0x413e41[_0x1de224(0x524)][_0x1de224(0xcef)][_0x1698f2][_0x1de224(0x7fd)]});}}else _0x3e49dc['error']({'title':_0x413e41[_0x1de224(0x107b)]?'API:'+_0x413e41[_0x1de224(0x107b)]+_0x1de224(0x1315)+_0x413e41[_0x1de224(0x167f)]:_0x1de224(0xacf),'msg':_0x413e41[_0x1de224(0x524)]?JSON[_0x1de224(0x10bb)](_0x413e41[_0x1de224(0x524)][_0x1de224(0x7fd)]):_0x413e41[_0x1de224(0x7fd)]||_0x413e41[_0x1de224(0xd5f)]()});});},function(){});}function _0x4c3a29(_0x41f9df){return _0x41f9df===null?undefined:new Date(_0x41f9df);}function _0x1789b9(_0xbbf9a6){const _0x563cc9=_0x2c7179;_0x535673[_0x563cc9(0x2458)](_0xbbf9a6);}}const _0x1df74a=_0x15d976;;const _0x3ecf64=_0x4acfac['p']+_0x313a4d(0x16ba);;const _0x128885=_0x4acfac['p']+_0x313a4d(0x1eac);;_0x1f997e['$inject']=['$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87)];function _0x1f997e(_0x372c84,_0x4f7d37,_0x4c7626,_0x5be2bc,_0x396bb7){const _0x3cab40=_0x313a4d,_0x4dc561=this;_0x4dc561[_0x3cab40(0x2321)]=_0x396bb7[_0x3cab40(0xb12)](),_0x4dc561[_0x3cab40(0x26df)]={},_0x4dc561[_0x3cab40(0x76d)]={},_0x4dc561[_0x3cab40(0x1723)]=[],_0x4dc561['selectedZohoConfiguration']=-0x1,_0x4dc561[_0x3cab40(0x2514)],_0x4dc561[_0x3cab40(0x5aa)]=_0x1bb59a,_0x4dc561[_0x3cab40(0x10a3)]=_0xa57e81,_0x4dc561['createOrEditZohoConfiguration']=_0x2e8b90,_0x4dc561[_0x3cab40(0xd5d)]=_0x1503fa,_0x4dc561[_0x3cab40(0x21c)]=_0x43a367;function _0x43a367(){const _0x50b930=_0x3cab40;return _0x4c7626[_0x50b930(0x178a)][_0x50b930(0x18e1)]({'id':_0x4dc561[_0x50b930(0x76d)]['id']},_0x4dc561[_0x50b930(0x76d)])[_0x50b930(0x2945)][_0x50b930(0x146b)](function(){const _0xb7e6c6=_0x50b930;_0x5be2bc[_0xb7e6c6(0x1c75)]({'title':_0xb7e6c6(0x1673),'msg':'Module\x20has\x20been\x20updated!'});})[_0x50b930(0x129e)](function(_0x5b881a){const _0x4db613=_0x50b930;_0x5be2bc['error']({'title':_0x5b881a['status']?_0x4db613(0x262a)+_0x5b881a[_0x4db613(0x107b)]+_0x4db613(0x1315)+_0x5b881a[_0x4db613(0x167f)]:_0x4db613(0x203b),'msg':_0x5b881a[_0x4db613(0x524)]?JSON[_0x4db613(0x10bb)](_0x5b881a[_0x4db613(0x524)]):_0x5b881a[_0x4db613(0xd5f)]()});});}function _0x1bb59a(_0xfa85b4,_0x4c41cc){const _0x33d770=_0x3cab40;_0x4dc561[_0x33d770(0x26df)]=_0xfa85b4,_0x4dc561[_0x33d770(0x2514)]=typeof _0x4c41cc!==_0x33d770(0x2274)?_0x4c41cc:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x4c7626[_0x33d770(0x15d7)][_0x33d770(0xeb)]({'id':_0x4dc561['zohoAccount']['id'],'sort':_0x33d770(0x12f2)})[_0x33d770(0x2945)][_0x33d770(0x146b)](function(_0x54b998){const _0x5ec360=_0x33d770;return _0x4dc561['configurations']=_0x54b998[_0x5ec360(0x19c7)]?_0x54b998[_0x5ec360(0x19c7)]:[],_0x4dc561[_0x5ec360(0x1723)][_0x5ec360(0x402)]&&_0x4dc561[_0x5ec360(0x10a3)](0x0),_0x4c7626[_0x5ec360(0x212)][_0x5ec360(0x16b4)]({'nolimit':!![],'sort':_0x5ec360(0x19eb)})[_0x5ec360(0x2945)];})['then'](function(_0x158b11){const _0x3d55aa=_0x33d770;_0x4dc561[_0x3d55aa(0x85b)]=_0x158b11[_0x3d55aa(0x19c7)]?_0x158b11[_0x3d55aa(0x19c7)]:[],_0x4dc561[_0x3d55aa(0x80c)]=_0x3f65c0()['keyBy'](_0x4dc561[_0x3d55aa(0x85b)],'id');})['catch'](function(_0x40e88f){const _0x506913=_0x33d770;_0x5be2bc[_0x506913(0x1980)]({'title':_0x40e88f[_0x506913(0x107b)]?_0x506913(0x262a)+_0x40e88f[_0x506913(0x107b)]+_0x506913(0x1315)+_0x40e88f[_0x506913(0x167f)]:_0x506913(0x9e7),'msg':_0x40e88f[_0x506913(0x524)]?JSON[_0x506913(0x10bb)](_0x40e88f['data']):_0x40e88f[_0x506913(0xd5f)]()});});}function _0xa57e81(_0x2c575e){const _0xee4cd3=_0x3cab40;_0x4dc561[_0xee4cd3(0x76d)]=_0x4dc561[_0xee4cd3(0x1723)][_0x2c575e],_0x4dc561[_0xee4cd3(0x1ffc)]=_0x2c575e,_0x4c7626[_0xee4cd3(0x178a)][_0xee4cd3(0x18ee)]({'id':_0x4dc561['zohoConfiguration']['id']})[_0xee4cd3(0x2945)]['then'](function(_0x1db0d0){const _0x18df14=_0xee4cd3;return _0x4dc561[_0x18df14(0x3c8)]=_0x1db0d0[_0x18df14(0x19c7)]?_0x1db0d0[_0x18df14(0x19c7)]:[],_0x4c7626[_0x18df14(0x178a)][_0x18df14(0xc2a)]({'id':_0x4dc561[_0x18df14(0x76d)]['id']})[_0x18df14(0x2945)];})[_0xee4cd3(0x146b)](function(_0x2d127d){const _0x209d10=_0xee4cd3;return _0x4dc561[_0x209d10(0x2358)]=_0x2d127d['rows']?_0x2d127d['rows']:[],_0x4c7626[_0x209d10(0x178a)][_0x209d10(0x12ff)]({'id':_0x4dc561[_0x209d10(0x76d)]['id']})['$promise'];})[_0xee4cd3(0x146b)](function(_0x6c17e5){const _0x4d7c59=_0xee4cd3;_0x4dc561[_0x4d7c59(0x2867)]=_0x6c17e5[_0x4d7c59(0x19c7)]?_0x6c17e5[_0x4d7c59(0x19c7)]:[];})[_0xee4cd3(0x129e)](function(_0x110440){const _0x7a7337=_0xee4cd3;_0x5be2bc['error']({'title':_0x110440['status']?_0x7a7337(0x262a)+_0x110440[_0x7a7337(0x107b)]+_0x7a7337(0x1315)+_0x110440['statusText']:_0x7a7337(0x6ca),'msg':_0x110440['data']?JSON[_0x7a7337(0x10bb)](_0x110440[_0x7a7337(0x524)]):_0x110440['toString']()});});}function _0x2e8b90(_0x409369,_0x189116){const _0x4f5a4f=_0x3cab40;_0x372c84[_0x4f5a4f(0x2615)]({'controller':'CreateOrEditZohoConfigurationDialogController','controllerAs':'vm','templateUrl':_0x3ecf64,'parent':angular[_0x4f5a4f(0x1853)](_0x4f7d37['body']),'targetEvent':_0x409369,'clickOutsideToClose':!![],'locals':{'zohoConfiguration':_0x189116,'configurations':_0x4dc561[_0x4f5a4f(0x1723)],'license':null,'setting':null,'crudPermissions':_0x4dc561[_0x4f5a4f(0x2514)]}})[_0x4f5a4f(0x1ec6)](function(){const _0x3af64e=_0x4f5a4f;_0x4dc561[_0x3af64e(0x10a3)](0x0);});}function _0x1503fa(_0x196973,_0x118b63){const _0x3df224=_0x3cab40,_0xd89c80=_0x372c84['confirm']()[_0x3df224(0x1189)](_0x3df224(0x36b))[_0x3df224(0x1cbe)](_0x3df224(0x16d3)+(_0x118b63[_0x3df224(0x19eb)]||_0x3df224(0x76d))+''+_0x3df224(0xe01))[_0x3df224(0x4bd)](_0x3df224(0x2281))['targetEvent'](_0x196973)['ok']('OK')[_0x3df224(0x6c3)](_0x3df224(0x39a));_0x372c84[_0x3df224(0x2615)](_0xd89c80)[_0x3df224(0x146b)](function(){const _0x50a6a6=_0x3df224;_0x4c7626[_0x50a6a6(0x178a)][_0x50a6a6(0x1fac)]({'id':_0x118b63['id']})[_0x50a6a6(0x2945)][_0x50a6a6(0x146b)](function(){const _0x22d683=_0x50a6a6;_0x3f65c0()[_0x22d683(0x2640)](_0x4dc561[_0x22d683(0x1723)],{'id':_0x118b63['id']}),_0x5be2bc[_0x22d683(0x1c75)]({'title':_0x22d683(0x1223),'msg':_0x118b63[_0x22d683(0x19eb)]?_0x118b63[_0x22d683(0x19eb)]+_0x22d683(0x23e3):''});})[_0x50a6a6(0x129e)](function(_0x460ef0){const _0x3bef96=_0x50a6a6;_0x5be2bc[_0x3bef96(0x1980)]({'title':_0x460ef0[_0x3bef96(0x107b)]?_0x3bef96(0x262a)+_0x460ef0[_0x3bef96(0x107b)]+'\x20-\x20'+_0x460ef0[_0x3bef96(0x167f)]:_0x3bef96(0xff1),'msg':_0x460ef0[_0x3bef96(0x524)]?JSON[_0x3bef96(0x10bb)](_0x460ef0[_0x3bef96(0x524)]):_0x460ef0[_0x3bef96(0xd5f)]()});})[_0x50a6a6(0x1ec6)](function(){const _0x566bca=_0x50a6a6;_0x4dc561[_0x566bca(0x10a3)](0x0);});},function(){const _0x4e6adb=_0x3df224;console[_0x4e6adb(0x1a74)]('CANCEL');});}_0x4dc561[_0x3cab40(0x15a6)]=[],_0x4dc561['createOrEditSubject']=_0xe53147,_0x4dc561[_0x3cab40(0x10d5)]=_0x565b30,_0x4dc561[_0x3cab40(0x1777)]=_0x29a911;function _0xe53147(_0x23d9ba,_0x33b990){const _0x57fafa=_0x3cab40;_0x372c84[_0x57fafa(0x2615)]({'controller':_0x57fafa(0x25cb),'controllerAs':'vm','templateUrl':_0x128885,'parent':angular['element'](_0x4f7d37[_0x57fafa(0x2586)]),'targetEvent':_0x23d9ba,'clickOutsideToClose':!![],'locals':{'type':_0x57fafa(0xe32),'item':_0x33b990,'items':_0x4dc561[_0x57fafa(0x3c8)],'configuration':_0x4dc561[_0x57fafa(0x76d)],'account':_0x4dc561['zohoAccount'],'license':null,'setting':null,'crudPermissions':_0x4dc561[_0x57fafa(0x2514)]}});}function _0x28a5cc(_0x421a5c){const _0x452310=_0x3cab40;_0x4c7626['intZohoField'][_0x452310(0x1fac)]({'id':_0x421a5c['id']})[_0x452310(0x2945)][_0x452310(0x146b)](function(){const _0x504328=_0x452310;_0x3f65c0()[_0x504328(0x2640)](_0x4dc561[_0x504328(0x3c8)],{'id':_0x421a5c['id']}),_0x5be2bc['success']({'title':'Subject\x20deleted!','msg':_0x504328(0x761)});})[_0x452310(0x129e)](function(_0x28c6e2){const _0x166592=_0x452310;_0x5be2bc[_0x166592(0x1980)]({'title':_0x28c6e2[_0x166592(0x107b)]?_0x166592(0x262a)+_0x28c6e2[_0x166592(0x107b)]+_0x166592(0x1315)+_0x28c6e2[_0x166592(0x167f)]:_0x166592(0xf6e),'msg':_0x28c6e2['data']?JSON['stringify'](_0x28c6e2['data']):_0x28c6e2[_0x166592(0xd5f)]()});});}function _0x565b30(_0x233852,_0x5943dc){const _0x43d6ec=_0x3cab40,_0x2af97e=_0x372c84['confirm']()[_0x43d6ec(0x1189)](_0x43d6ec(0x317))[_0x43d6ec(0x1cbe)](_0x43d6ec(0xf7f)+_0x43d6ec(0xe01))[_0x43d6ec(0x4bd)](_0x43d6ec(0x5d1))[_0x43d6ec(0x1f27)](_0x233852)['ok']('OK')[_0x43d6ec(0x6c3)](_0x43d6ec(0x39a));_0x372c84[_0x43d6ec(0x2615)](_0x2af97e)[_0x43d6ec(0x146b)](function(){_0x28a5cc(_0x5943dc);},function(){const _0xebcdc5=_0x43d6ec;console[_0xebcdc5(0x1a74)](_0xebcdc5(0x39a));});}function _0x29a911(_0x4cee06){const _0x30b55c=_0x3cab40,_0x2abdea=_0x372c84[_0x30b55c(0x1e8a)]()[_0x30b55c(0x1189)](_0x30b55c(0x2e6))[_0x30b55c(0x1cbe)](_0x30b55c(0x16d3)+_0x4dc561[_0x30b55c(0x15a6)][_0x30b55c(0x402)]+_0x30b55c(0x2452)+_0x30b55c(0xe01))[_0x30b55c(0x4bd)](_0x30b55c(0x1209))[_0x30b55c(0x1f27)](_0x4cee06)['ok']('OK')[_0x30b55c(0x6c3)](_0x30b55c(0x39a));_0x372c84['show'](_0x2abdea)[_0x30b55c(0x146b)](function(){const _0x46517a=_0x30b55c;_0x4dc561[_0x46517a(0x15a6)][_0x46517a(0x1df5)](function(_0xe9e4c7){_0x28a5cc(_0xe9e4c7);}),_0x4dc561[_0x46517a(0x15a6)]=[];});}_0x4dc561[_0x3cab40(0x126)]=[],_0x4dc561[_0x3cab40(0x18da)]=_0x113e0f,_0x4dc561['deleteDescriptionConfirm']=_0x405d0f,_0x4dc561[_0x3cab40(0x2241)]=_0xafeed6;function _0x113e0f(_0x2083b1,_0x3c025a){const _0x244774=_0x3cab40;_0x372c84['show']({'controller':_0x244774(0x25cb),'controllerAs':'vm','templateUrl':_0x128885,'parent':angular[_0x244774(0x1853)](_0x4f7d37[_0x244774(0x2586)]),'targetEvent':_0x2083b1,'clickOutsideToClose':!![],'locals':{'type':_0x244774(0xb17),'item':_0x3c025a,'items':_0x4dc561[_0x244774(0x2358)],'configuration':_0x4dc561[_0x244774(0x76d)],'account':_0x4dc561['zohoAccount'],'license':null,'setting':null,'crudPermissions':_0x4dc561[_0x244774(0x2514)]}});}function _0x215b74(_0x3175bd){const _0xa964f7=_0x3cab40;_0x4c7626[_0xa964f7(0x6b9)][_0xa964f7(0x1fac)]({'id':_0x3175bd['id']})[_0xa964f7(0x2945)]['then'](function(){const _0x33f041=_0xa964f7;_0x3f65c0()['remove'](_0x4dc561['descriptions'],{'id':_0x3175bd['id']}),_0x5be2bc[_0x33f041(0x1c75)]({'title':_0x33f041(0xaf8),'msg':'Description\x20has\x20been\x20deleted!'});})[_0xa964f7(0x129e)](function(_0x2a9186){const _0x35ccf0=_0xa964f7;_0x5be2bc[_0x35ccf0(0x1980)]({'title':_0x2a9186[_0x35ccf0(0x107b)]?_0x35ccf0(0x262a)+_0x2a9186[_0x35ccf0(0x107b)]+_0x35ccf0(0x1315)+_0x2a9186[_0x35ccf0(0x167f)]:'SYSTEM:DELETECONFIGURATIONFIELD','msg':_0x2a9186[_0x35ccf0(0x524)]?JSON[_0x35ccf0(0x10bb)](_0x2a9186[_0x35ccf0(0x524)]):_0x2a9186[_0x35ccf0(0xd5f)]()});});}function _0x405d0f(_0x535570,_0x4fedbc){const _0x36c740=_0x3cab40,_0x1eb25e=_0x372c84[_0x36c740(0x1e8a)]()[_0x36c740(0x1189)](_0x36c740(0x1e9))[_0x36c740(0x1cbe)]('Description'+_0x36c740(0xe01))[_0x36c740(0x4bd)](_0x36c740(0x1c5c))[_0x36c740(0x1f27)](_0x535570)['ok']('OK')[_0x36c740(0x6c3)](_0x36c740(0x39a));_0x372c84[_0x36c740(0x2615)](_0x1eb25e)[_0x36c740(0x146b)](function(){_0x215b74(_0x4fedbc);},function(){const _0x3e1cbe=_0x36c740;console[_0x3e1cbe(0x1a74)](_0x3e1cbe(0x39a));});}function _0xafeed6(_0x2f33a5){const _0x584591=_0x3cab40,_0x4825e8=_0x372c84['confirm']()[_0x584591(0x1189)](_0x584591(0x1acd))[_0x584591(0x1cbe)](_0x584591(0x16d3)+_0x4dc561['selectedDescriptions'][_0x584591(0x402)]+_0x584591(0x2452)+_0x584591(0xe01))[_0x584591(0x4bd)](_0x584591(0x1be7))[_0x584591(0x1f27)](_0x2f33a5)['ok']('OK')[_0x584591(0x6c3)]('CANCEL');_0x372c84[_0x584591(0x2615)](_0x4825e8)[_0x584591(0x146b)](function(){const _0x3d254b=_0x584591;_0x4dc561['selectedDescriptions']['forEach'](function(_0x50ecbf){_0x215b74(_0x50ecbf);}),_0x4dc561[_0x3d254b(0x126)]=[];});}_0x4dc561[_0x3cab40(0x2605)]=[],_0x4dc561[_0x3cab40(0x737)]=_0x462694,_0x4dc561[_0x3cab40(0x2180)]=_0x8b97a0,_0x4dc561[_0x3cab40(0x816)]=_0x52de8d;function _0x462694(_0x5680d4,_0x403531){const _0x3cc6b4=_0x3cab40;_0x372c84[_0x3cc6b4(0x2615)]({'controller':_0x3cc6b4(0x25cb),'controllerAs':'vm','templateUrl':_0x128885,'parent':angular[_0x3cc6b4(0x1853)](_0x4f7d37[_0x3cc6b4(0x2586)]),'targetEvent':_0x5680d4,'clickOutsideToClose':!![],'locals':{'type':_0x3cc6b4(0x65c),'item':_0x403531,'items':_0x4dc561[_0x3cc6b4(0x2867)],'configuration':_0x4dc561[_0x3cc6b4(0x76d)],'account':_0x4dc561[_0x3cc6b4(0x26df)],'license':null,'setting':null,'crudPermissions':_0x4dc561[_0x3cc6b4(0x2514)]}});}function _0x1329e8(_0x2aa6a2){const _0x3a4529=_0x3cab40;_0x4c7626[_0x3a4529(0x6b9)]['delete']({'id':_0x2aa6a2['id']})[_0x3a4529(0x2945)][_0x3a4529(0x146b)](function(){const _0x3ce016=_0x3a4529;_0x3f65c0()[_0x3ce016(0x2640)](_0x4dc561[_0x3ce016(0x2867)],{'id':_0x2aa6a2['id']}),_0x5be2bc['success']({'title':_0x3ce016(0x24ce),'msg':_0x3ce016(0x1c07)});})['catch'](function(_0x504a59){const _0x1d5214=_0x3a4529;_0x5be2bc[_0x1d5214(0x1980)]({'title':_0x504a59[_0x1d5214(0x107b)]?_0x1d5214(0x262a)+_0x504a59[_0x1d5214(0x107b)]+_0x1d5214(0x1315)+_0x504a59[_0x1d5214(0x167f)]:_0x1d5214(0xf6e),'msg':_0x504a59[_0x1d5214(0x524)]?JSON[_0x1d5214(0x10bb)](_0x504a59[_0x1d5214(0x524)]):_0x504a59[_0x1d5214(0xd5f)]()});});}function _0x8b97a0(_0x3f20ea,_0x3f7726){const _0x379ad5=_0x3cab40,_0x3a5bec=_0x372c84[_0x379ad5(0x1e8a)]()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20field?')[_0x379ad5(0x1cbe)](_0x379ad5(0x2216)+'\x20will\x20be\x20deleted.')[_0x379ad5(0x4bd)](_0x379ad5(0x2487))['targetEvent'](_0x3f20ea)['ok']('OK')[_0x379ad5(0x6c3)](_0x379ad5(0x39a));_0x372c84[_0x379ad5(0x2615)](_0x3a5bec)[_0x379ad5(0x146b)](function(){_0x1329e8(_0x3f7726);},function(){console['log']('CANCEL');});}function _0x52de8d(_0x147193){const _0x5e2a2c=_0x3cab40,_0x3fef28=_0x372c84[_0x5e2a2c(0x1e8a)]()[_0x5e2a2c(0x1189)](_0x5e2a2c(0xe75))[_0x5e2a2c(0x1cbe)](_0x5e2a2c(0x16d3)+_0x4dc561[_0x5e2a2c(0x2605)][_0x5e2a2c(0x402)]+_0x5e2a2c(0x2452)+'\x20will\x20be\x20deleted.')['ariaLabel'](_0x5e2a2c(0x176a))['targetEvent'](_0x147193)['ok']('OK')[_0x5e2a2c(0x6c3)](_0x5e2a2c(0x39a));_0x372c84[_0x5e2a2c(0x2615)](_0x3fef28)['then'](function(){const _0x41bb80=_0x5e2a2c;_0x4dc561[_0x41bb80(0x2605)][_0x41bb80(0x1df5)](function(_0x260a26){_0x1329e8(_0x260a26);}),_0x4dc561[_0x41bb80(0x2605)]=[];});}}const _0x4f3ee6=_0x1f997e;;_0x10ca1a[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),'$mdDialog','$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x1723),'zohoConfiguration',_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x10ca1a(_0x29c84a,_0xd60561,_0x544e96,_0x4fbbc3,_0x579651,_0x2af6fd,_0x526f9b,_0x26008a,_0x33ea05,_0x428a8f,_0x42228e,_0x593ba5,_0x150e37,_0x4c82e2){const _0x133083=_0x313a4d,_0x364fbe=this;_0x364fbe['currentUser']=_0x42228e[_0x133083(0xb12)](),_0x364fbe['errors']=[],_0x364fbe[_0x133083(0x15b9)]=_0x150e37,_0x364fbe[_0x133083(0x2690)]=_0x593ba5,_0x364fbe['crudPermissions']=_0x4c82e2,_0x364fbe[_0x133083(0x855)]={},_0x364fbe[_0x133083(0x2251)]=_0x364fbe[_0x133083(0x15b9)]&&_0x364fbe[_0x133083(0x15b9)][_0x133083(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x364fbe['title']=_0x133083(0x126e),_0x364fbe['zohoConfiguration']=angular['copy'](_0x33ea05),_0x364fbe['configurations']=_0x26008a,_0x364fbe['newZohoConfiguration']=![];!_0x364fbe[_0x133083(0x76d)]&&(_0x364fbe[_0x133083(0x76d)]={'channel':'voice','type':_0x133083(0x7b0)},_0x364fbe[_0x133083(0x1189)]=_0x133083(0x10da),_0x364fbe[_0x133083(0x2401)]=!![]);_0x364fbe['addNewZohoConfiguration']=_0x2f24a1,_0x364fbe[_0x133083(0xa89)]=_0x4395ca,_0x364fbe[_0x133083(0xd5d)]=_0x1b266e,_0x364fbe[_0x133083(0xe73)]=_0x4af97c,_0x364fbe[_0x133083(0x13f3)]=_0x3eb509;function _0x2f24a1(){const _0x2ea9fe=_0x133083;_0x364fbe[_0x2ea9fe(0xcef)]=[],_0x428a8f['intZohoAccount'][_0x2ea9fe(0xa17)]({'id':_0xd60561['params']['id']},_0x364fbe[_0x2ea9fe(0x76d)])['$promise'][_0x2ea9fe(0x146b)](function(_0x577297){const _0x56573f=_0x2ea9fe;_0x364fbe[_0x56573f(0x1723)][_0x56573f(0xb3d)](_0x577297['toJSON']()),_0x526f9b[_0x56573f(0x1c75)]({'title':_0x56573f(0x15b5),'msg':_0x364fbe[_0x56573f(0x76d)]['name']?_0x364fbe['zohoConfiguration'][_0x56573f(0x19eb)]+_0x56573f(0x1386):''}),_0x3eb509(_0x577297);})[_0x2ea9fe(0x129e)](function(_0x463415){const _0x235065=_0x2ea9fe;if(_0x463415['data']&&_0x463415[_0x235065(0x524)][_0x235065(0xcef)]&&_0x463415[_0x235065(0x524)][_0x235065(0xcef)][_0x235065(0x402)]){_0x364fbe[_0x235065(0xcef)]=_0x463415[_0x235065(0x524)][_0x235065(0xcef)]||[{'message':_0x463415[_0x235065(0xd5f)](),'type':_0x235065(0x24c)}];for(let _0x3cfe84=0x0;_0x3cfe84<_0x463415['data']['errors'][_0x235065(0x402)];_0x3cfe84+=0x1){_0x526f9b[_0x235065(0x1980)]({'title':_0x463415['data'][_0x235065(0xcef)][_0x3cfe84][_0x235065(0x1142)],'msg':_0x463415[_0x235065(0x524)][_0x235065(0xcef)][_0x3cfe84]['message']});}}else _0x526f9b['error']({'title':_0x463415['status']?_0x235065(0x262a)+_0x463415[_0x235065(0x107b)]+_0x235065(0x1315)+_0x463415[_0x235065(0x167f)]:'api.intZohoConfiguration.save','msg':_0x463415[_0x235065(0x524)]?JSON[_0x235065(0x10bb)](_0x463415[_0x235065(0x524)][_0x235065(0x7fd)]):_0x463415[_0x235065(0xd5f)]()});});}function _0x4395ca(){const _0x48df67=_0x133083;_0x364fbe['errors']=[],_0x428a8f[_0x48df67(0x178a)][_0x48df67(0x18e1)]({'id':_0x364fbe['zohoConfiguration']['id']},_0x364fbe[_0x48df67(0x76d)])[_0x48df67(0x2945)][_0x48df67(0x146b)](function(_0x254da6){const _0x5295fb=_0x48df67,_0x357548=_0x3f65c0()['find'](_0x364fbe[_0x5295fb(0x1723)],{'id':_0x254da6['id']});_0x357548&&_0x3f65c0()[_0x5295fb(0x168d)](_0x357548,_0x3f65c0()['pick'](_0x254da6[_0x5295fb(0x2488)](),_0x3f65c0()[_0x5295fb(0x627)](_0x357548))),_0x526f9b['success']({'title':_0x5295fb(0x1c82),'msg':_0x364fbe[_0x5295fb(0x76d)][_0x5295fb(0x19eb)]?_0x364fbe[_0x5295fb(0x76d)][_0x5295fb(0x19eb)]+'\x20has\x20been\x20saved!':''}),_0x3eb509(_0x254da6);})[_0x48df67(0x129e)](function(_0x1e1d3a){const _0x5442d6=_0x48df67;if(_0x1e1d3a[_0x5442d6(0x524)]&&_0x1e1d3a[_0x5442d6(0x524)][_0x5442d6(0xcef)]&&_0x1e1d3a[_0x5442d6(0x524)][_0x5442d6(0xcef)][_0x5442d6(0x402)]){_0x364fbe[_0x5442d6(0xcef)]=_0x1e1d3a[_0x5442d6(0x524)][_0x5442d6(0xcef)]||[{'message':_0x1e1d3a[_0x5442d6(0xd5f)](),'type':_0x5442d6(0x62d)}];for(let _0x22769c=0x0;_0x22769c<_0x1e1d3a[_0x5442d6(0x524)][_0x5442d6(0xcef)][_0x5442d6(0x402)];_0x22769c++){_0x526f9b['error']({'title':_0x1e1d3a[_0x5442d6(0x524)][_0x5442d6(0xcef)][_0x22769c][_0x5442d6(0x1142)],'msg':_0x1e1d3a['data'][_0x5442d6(0xcef)][_0x22769c][_0x5442d6(0x7fd)]});}}else _0x526f9b[_0x5442d6(0x1980)]({'title':_0x1e1d3a[_0x5442d6(0x107b)]?_0x5442d6(0x262a)+_0x1e1d3a[_0x5442d6(0x107b)]+_0x5442d6(0x1315)+_0x1e1d3a[_0x5442d6(0x167f)]:_0x5442d6(0x62d),'msg':_0x1e1d3a[_0x5442d6(0x524)]?JSON['stringify'](_0x1e1d3a[_0x5442d6(0x524)][_0x5442d6(0x7fd)]):_0x1e1d3a['toString']()});});}function _0x1b266e(_0x3d8fb7){const _0x3bf1d1=_0x133083;_0x364fbe['errors']=[];const _0x20658f=_0x4fbbc3[_0x3bf1d1(0x1e8a)]()[_0x3bf1d1(0x1189)](_0x3bf1d1(0x1d64))[_0x3bf1d1(0x80f)](_0x3bf1d1(0x1391))['ariaLabel']('Delete\x20ZohoConfiguration')['ok'](_0x3bf1d1(0x25de))[_0x3bf1d1(0x6c3)](_0x3bf1d1(0xcf0))[_0x3bf1d1(0x1f27)](_0x3d8fb7);_0x4fbbc3['show'](_0x20658f)[_0x3bf1d1(0x146b)](function(){const _0x4e4cab=_0x3bf1d1;_0x428a8f[_0x4e4cab(0x178a)]['delete']({'id':_0x364fbe[_0x4e4cab(0x76d)]['id']})['$promise'][_0x4e4cab(0x146b)](function(){const _0x23df19=_0x4e4cab;_0x3f65c0()[_0x23df19(0x2640)](_0x364fbe[_0x23df19(0x1723)],{'id':_0x364fbe[_0x23df19(0x76d)]['id']}),_0x526f9b[_0x23df19(0x1c75)]({'title':_0x23df19(0x63b),'msg':(_0x364fbe[_0x23df19(0x76d)][_0x23df19(0x19eb)]||_0x23df19(0x76d))+_0x23df19(0x23e3)}),_0x3eb509(_0x364fbe[_0x23df19(0x76d)]);})['catch'](function(_0x25e61c){const _0x5f116b=_0x4e4cab;if(_0x25e61c[_0x5f116b(0x524)]&&_0x25e61c[_0x5f116b(0x524)][_0x5f116b(0xcef)]&&_0x25e61c['data'][_0x5f116b(0xcef)]['length']){_0x364fbe[_0x5f116b(0xcef)]=_0x25e61c[_0x5f116b(0x524)]['errors']||[{'message':_0x25e61c['toString'](),'type':_0x5f116b(0x10c6)}];for(let _0x5cd3e0=0x0;_0x5cd3e0<_0x25e61c['data'][_0x5f116b(0xcef)][_0x5f116b(0x402)];_0x5cd3e0++){_0x526f9b[_0x5f116b(0x1980)]({'title':_0x25e61c['data']['errors'][_0x5cd3e0][_0x5f116b(0x1142)],'msg':_0x25e61c[_0x5f116b(0x524)][_0x5f116b(0xcef)][_0x5cd3e0]['message']});}}else _0x526f9b[_0x5f116b(0x1980)]({'title':_0x25e61c[_0x5f116b(0x107b)]?_0x5f116b(0x262a)+_0x25e61c[_0x5f116b(0x107b)]+'\x20-\x20'+_0x25e61c[_0x5f116b(0x167f)]:_0x5f116b(0x10c6),'msg':_0x25e61c[_0x5f116b(0x524)]?JSON[_0x5f116b(0x10bb)](_0x25e61c[_0x5f116b(0x524)]['message']):_0x25e61c[_0x5f116b(0x7fd)]||_0x25e61c[_0x5f116b(0xd5f)]()});});},function(){});}function _0x4af97c(_0x26e210){return _0x26e210===null?undefined:new Date(_0x26e210);}function _0x3eb509(_0xf1a2b){_0x4fbbc3['hide'](_0xf1a2b);}}const _0x1ef2e3=_0x10ca1a;;_0x4da618[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),_0x313a4d(0x1fe4),'configuration','items',_0x313a4d(0x2711),'account',_0x313a4d(0x1142),_0x313a4d(0x247f),'Auth',_0x313a4d(0x2514)];function _0x4da618(_0x187fab,_0x41f8db,_0x40f18e,_0x2b1250,_0x57402d,_0xb9c4e1,_0x117664,_0x9d9fc5,_0x241e2a,_0x1ec8ab,_0x52a095,_0x53df7b,_0x20f976){const _0x531480=_0x313a4d,_0x2cd0ea=this;_0x2cd0ea[_0x531480(0x2321)]=_0x53df7b['getCurrentUser'](),_0x2cd0ea[_0x531480(0xcef)]=[],_0x2cd0ea['title']=_0x531480(0x28ec)+_0x3f65c0()[_0x531480(0xd39)](_0x1ec8ab),_0x2cd0ea[_0x531480(0x2711)]=angular['copy'](_0x9d9fc5),_0x2cd0ea['items']=_0x117664,_0x2cd0ea[_0x531480(0x1142)]=_0x1ec8ab,_0x2cd0ea[_0x531480(0x2514)]=_0x20f976,_0x2cd0ea[_0x531480(0x23cd)]=![];!_0x2cd0ea[_0x531480(0x2711)]&&(_0x2cd0ea[_0x531480(0x2711)]={'type':'string'},_0x2cd0ea[_0x531480(0x2711)][_0x3f65c0()[_0x531480(0x83f)](_0x1ec8ab)+'Id']=_0xb9c4e1['id'],_0x2cd0ea[_0x531480(0x1189)]='INTEGRATIONS.NEW_'+_0x3f65c0()[_0x531480(0xd39)](_0x1ec8ab),_0x2cd0ea[_0x531480(0x23cd)]=!![]);_0x2cd0ea[_0x531480(0x1e65)]=_0x286150,_0x2cd0ea[_0x531480(0x150e)]=_0x369189,_0x2cd0ea[_0x531480(0xbaf)]=_0x51551b,_0x2cd0ea[_0x531480(0x21b1)]=_0xcd4524,_0x2cd0ea['closeDialog']=_0x2d53eb,_0x52a095[_0x531480(0x212)][_0x531480(0x16b4)]({'nolimit':!![]})['$promise'][_0x531480(0x146b)](function(_0x4e4bde){const _0x2e8024=_0x531480;return _0x2cd0ea[_0x2e8024(0x85b)]=_0x4e4bde[_0x2e8024(0x19c7)]?_0x4e4bde[_0x2e8024(0x19c7)]:[],_0x52a095[_0x2e8024(0x1cb3)][_0x2e8024(0x1ea2)]()[_0x2e8024(0x2945)];})[_0x531480(0x146b)](function(_0x59c824){const _0x45138f=_0x531480;return _0x2cd0ea[_0x45138f(0x1c8a)]=_0x3f65c0()[_0x45138f(0xa62)](_0x3f65c0()[_0x45138f(0x627)](_0x59c824),['$promise','$resolved',_0x45138f(0xc68),_0x45138f(0x144f),'sourceid']),_0x2cd0ea['voiceAgentReportColumns'][_0x45138f(0x1f47)](_0x45138f(0x643)),_0x52a095[_0x45138f(0x160)][_0x45138f(0x1ea2)]()[_0x45138f(0x2945)];})[_0x531480(0x146b)](function(_0x3b1a3f){const _0x2100ad=_0x531480;_0x2cd0ea[_0x2100ad(0x164b)]=_0x3f65c0()[_0x2100ad(0xa62)](_0x3f65c0()[_0x2100ad(0x627)](_0x3b1a3f),[_0x2100ad(0x2945),_0x2100ad(0x26f2),_0x2100ad(0xc68),'updatedAt',_0x2100ad(0xc3b)]),_0x2cd0ea[_0x2100ad(0x164b)][_0x2100ad(0x1f47)](_0x2100ad(0x643));})[_0x531480(0x129e)](function(_0x40b744){const _0x3ce141=_0x531480;_0x57402d[_0x3ce141(0x1980)]({'title':_0x40b744['status']?_0x3ce141(0x262a)+_0x40b744[_0x3ce141(0x107b)]+_0x3ce141(0x1315)+_0x40b744[_0x3ce141(0x167f)]:_0x3ce141(0x502),'msg':_0x40b744[_0x3ce141(0x524)]?JSON[_0x3ce141(0x10bb)](_0x40b744[_0x3ce141(0x524)]):_0x40b744[_0x3ce141(0xd5f)]()});}),_0x52a095[_0x531480(0x15d7)]['getFields']({'id':_0x241e2a['id'],'ticketType':_0xb9c4e1[_0x531480(0x21be)]?_0xb9c4e1[_0x531480(0x21be)]:undefined})['$promise'][_0x531480(0x146b)](function(_0x51b0c9){const _0x53ead6=_0x531480;_0x2cd0ea[_0x53ead6(0x822)]=!![],_0x2cd0ea['fields']=_0x51b0c9[_0x53ead6(0x19c7)]?_0x51b0c9['rows']:[],_0x2cd0ea[_0x53ead6(0x2711)][_0x53ead6(0x2912)]&&(_0x2cd0ea[_0x53ead6(0x165e)]=_0x3f65c0()[_0x53ead6(0xc84)](_0x2cd0ea[_0x53ead6(0x2867)],{'id':_0x2cd0ea[_0x53ead6(0x2711)][_0x53ead6(0x2912)]}));})[_0x531480(0x129e)](function(_0x53a800){const _0x2cdb54=_0x531480;_0x2cd0ea[_0x2cdb54(0x822)]=![],console['error'](_0x53a800);});function _0x286150(){const _0x338e95=_0x531480;_0x2cd0ea['errors']=[],_0x2cd0ea[_0x338e95(0x165e)]&&(_0x2cd0ea[_0x338e95(0x2711)][_0x338e95(0x2912)]=_0x2cd0ea[_0x338e95(0x165e)]['id'],_0x2cd0ea[_0x338e95(0x2711)][_0x338e95(0x25e3)]=_0x2cd0ea['customField'][_0x338e95(0x19eb)],_0x2cd0ea[_0x338e95(0x2711)][_0x338e95(0x165e)]=_0x2cd0ea['customField'][_0x338e95(0x1802)]),_0x52a095['intZohoField'][_0x338e95(0x1e3)](_0x2cd0ea[_0x338e95(0x2711)])[_0x338e95(0x2945)][_0x338e95(0x146b)](function(_0x2b730c){const _0x372502=_0x338e95;_0x2cd0ea[_0x372502(0x1fd6)][_0x372502(0xb3d)](_0x2b730c),_0x57402d[_0x372502(0x1c75)]({'title':_0x3f65c0()['capitalize'](_0x1ec8ab)+_0x372502(0x1637),'msg':_0x3f65c0()['capitalize'](_0x1ec8ab)+_0x372502(0x1386)}),_0x2d53eb();})[_0x338e95(0x129e)](function(_0x1a4824){const _0x3e85e8=_0x338e95;console[_0x3e85e8(0x1980)](_0x1a4824),_0x2cd0ea[_0x3e85e8(0xcef)]=_0x1a4824['data'][_0x3e85e8(0xcef)]||[{'message':_0x1a4824['toString'](),'type':'api.item.save'}];});}function _0x369189(){const _0x215f47=_0x531480;_0x2cd0ea['errors']=[],_0x2cd0ea[_0x215f47(0x165e)]&&(_0x2cd0ea['item'][_0x215f47(0x2912)]=_0x2cd0ea[_0x215f47(0x165e)]['id'],_0x2cd0ea['item'][_0x215f47(0x25e3)]=_0x2cd0ea[_0x215f47(0x165e)][_0x215f47(0x19eb)],_0x2cd0ea[_0x215f47(0x2711)][_0x215f47(0x165e)]=_0x2cd0ea[_0x215f47(0x165e)]['custom']),_0x52a095[_0x215f47(0x6b9)]['update']({'id':_0x2cd0ea['item']['id']},_0x2cd0ea[_0x215f47(0x2711)])[_0x215f47(0x2945)][_0x215f47(0x146b)](function(_0x3fa551){const _0x2d5327=_0x215f47,_0x24909d=_0x3f65c0()[_0x2d5327(0xc84)](_0x2cd0ea['items'],{'id':_0x3fa551['id']});_0x24909d&&_0x3f65c0()['merge'](_0x24909d,_0x3fa551),_0x57402d[_0x2d5327(0x1c75)]({'title':_0x3f65c0()[_0x2d5327(0x83f)](_0x1ec8ab)+_0x2d5327(0xffe),'msg':_0x3f65c0()[_0x2d5327(0x83f)](_0x1ec8ab)+_0x2d5327(0x24db)}),_0x2d53eb();})['catch'](function(_0x1d594c){const _0x17d125=_0x215f47;console[_0x17d125(0x1980)](_0x1d594c),_0x2cd0ea[_0x17d125(0xcef)]=_0x1d594c[_0x17d125(0x524)][_0x17d125(0xcef)]||[{'message':_0x1d594c[_0x17d125(0xd5f)](),'type':_0x17d125(0x1b4)}];});}function _0x51551b(_0x288283){const _0x463241=_0x531480;_0x2cd0ea[_0x463241(0xcef)]=[];const _0x1a6edf=_0x2b1250['confirm']()[_0x463241(0x1189)](_0x463241(0x1d64))[_0x463241(0x80f)](_0x463241(0xe57)+_0x1ec8ab+'\x20will\x20be\x20deleted.')['ariaLabel'](_0x463241(0xb22)+_0x3f65c0()[_0x463241(0x83f)](_0x1ec8ab))['ok'](_0x463241(0x25de))[_0x463241(0x6c3)](_0x463241(0xcf0))[_0x463241(0x1f27)](_0x288283);_0x2b1250[_0x463241(0x2615)](_0x1a6edf)[_0x463241(0x146b)](function(){const _0x52a3a3=_0x463241;_0x52a095[_0x52a3a3(0x6b9)]['delete']({'id':_0x2cd0ea['item']['id']})[_0x52a3a3(0x2945)]['then'](function(){const _0x3cacf8=_0x52a3a3;_0x3f65c0()[_0x3cacf8(0x2640)](_0x2cd0ea[_0x3cacf8(0x1fd6)],{'id':_0x2cd0ea[_0x3cacf8(0x2711)]['id']}),_0x57402d[_0x3cacf8(0x1c75)]({'title':_0x3f65c0()[_0x3cacf8(0x83f)](_0x1ec8ab)+_0x3cacf8(0x1e6c),'msg':_0x3f65c0()[_0x3cacf8(0x83f)](_0x1ec8ab)+_0x3cacf8(0x23e3)}),_0x2d53eb();})[_0x52a3a3(0x129e)](function(_0x132c97){const _0x1c51f7=_0x52a3a3;console[_0x1c51f7(0x1980)](_0x132c97),_0x2cd0ea[_0x1c51f7(0xcef)]=_0x132c97[_0x1c51f7(0x524)]['errors']||[{'message':_0x132c97[_0x1c51f7(0xd5f)](),'type':_0x1c51f7(0x1cb0)}];});},function(){});}function _0xcd4524(){const _0x2e2baf=_0x531480;return _0x2cd0ea['item']['variableName']!==undefined?_0x2cd0ea[_0x2e2baf(0x2711)]['variableName']:_0x2e2baf(0x1040);}function _0x2d53eb(){const _0x22cd34=_0x531480;_0x2b1250[_0x22cd34(0x2458)]();}}const _0x4d0d78=_0x4da618;;_0x55d5ed['$inject']=[_0x313a4d(0x1862),_0x313a4d(0xd08),'$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x214b),_0x313a4d(0x2690),'setting',_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x26df),'userProfileSection'];function _0x55d5ed(_0x129a6e,_0x2dc414,_0x469531,_0x3609a4,_0x2b2632,_0x164a1d,_0x5db35b,_0x484424,_0x14b22b,_0x4efeb2,_0x1fe0c2,_0x32c27f){const _0x5f00dd=_0x313a4d,_0x203bab=this;_0x203bab[_0x5f00dd(0x2321)]=_0x4efeb2['getCurrentUser'](),_0x203bab['license']=_0x164a1d,_0x203bab['setting']=_0x5db35b,_0x203bab['passwordPattern']=_0x203bab[_0x5f00dd(0x15b9)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x203bab['location']=_0x2dc414[_0x5f00dd(0x2414)]()+_0x5f00dd(0xb0e)+_0x2dc414[_0x5f00dd(0x148e)](),_0x203bab['zohoAccount']=_0x1fe0c2||_0x129a6e[_0x5f00dd(0x16a)][_0x5f00dd(0x26df)]||{},_0x203bab[_0x5f00dd(0x1366)]=_0x32c27f&&_0x32c27f['count']==0x1?_0x32c27f[_0x5f00dd(0x19c7)][0x0]:null,_0x203bab['crudPermissions']=_0x4efeb2[_0x5f00dd(0xe60)](_0x203bab[_0x5f00dd(0x1366)]?_0x203bab[_0x5f00dd(0x1366)][_0x5f00dd(0x2514)]:null),_0x203bab[_0x5f00dd(0x855)]={},_0x203bab[_0x5f00dd(0x1b09)]=_0x129a6e[_0x5f00dd(0x16a)][_0x5f00dd(0x13a3)]||0x0,_0x203bab['alert']=_0x14b22b['info'],_0x203bab[_0x5f00dd(0x281c)]=_0x51c46c,_0x203bab[_0x5f00dd(0xb26)]=_0x2409dd;function _0x51c46c(){const _0x7e4a85=_0x5f00dd;_0x129a6e['go']('app.integrations.zohoAccounts',{},{'reload':_0x7e4a85(0x17b3)});}function _0x2409dd(){const _0x31a09a=_0x5f00dd;_0x484424[_0x31a09a(0x15d7)][_0x31a09a(0x18e1)]({'id':_0x203bab[_0x31a09a(0x26df)]['id']},_0x203bab[_0x31a09a(0x26df)])[_0x31a09a(0x2945)][_0x31a09a(0x146b)](function(){const _0x3b4be8=_0x31a09a;_0x14b22b[_0x3b4be8(0x1c75)]({'title':_0x3b4be8(0x153e),'msg':_0x203bab[_0x3b4be8(0x26df)][_0x3b4be8(0x19eb)]?_0x203bab['zohoAccount'][_0x3b4be8(0x19eb)]+_0x3b4be8(0x6b0):''});})[_0x31a09a(0x129e)](function(_0x1e9d06){const _0x5d2168=_0x31a09a;_0x14b22b['error']({'title':_0x1e9d06[_0x5d2168(0x107b)]?'API:'+_0x1e9d06[_0x5d2168(0x107b)]+'\x20-\x20'+_0x1e9d06[_0x5d2168(0x167f)]:'SYSTEM:GETintZohoAccount','msg':_0x1e9d06['data']?JSON[_0x5d2168(0x10bb)](_0x1e9d06[_0x5d2168(0x524)]):_0x1e9d06[_0x5d2168(0xd5f)]()});});}}const _0x596891=_0x55d5ed;;const _0x4182b4=_0x4acfac['p']+_0x313a4d(0x28d8);;_0x3ee1cc[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$window','$state',_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),'$translate','zohoAccounts',_0x313a4d(0x26b6),_0x313a4d(0x1366),'api',_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x3ee1cc(_0x38c06b,_0x3fecd4,_0x136784,_0x2ab0b3,_0x4aa97f,_0x724051,_0x17116c,_0x488d1e,_0x43f418,_0x52ba3f,_0x17f0f4,_0x544582,_0x223106,_0x4c3ace,_0x4c859c,_0x952b20,_0x25c9c5){const _0x2b0c19=_0x313a4d,_0x5c8f6c=this;_0x5c8f6c[_0x2b0c19(0x2690)]=_0x952b20,_0x5c8f6c[_0x2b0c19(0x15b9)]=_0x25c9c5,_0x5c8f6c[_0x2b0c19(0x2321)]=_0x4c859c[_0x2b0c19(0xb12)](),_0x5c8f6c[_0x2b0c19(0x1e58)]=_0x43f418||{'count':0x0,'rows':[]},_0x5c8f6c[_0x2b0c19(0x26b6)]=_0x52ba3f,_0x5c8f6c[_0x2b0c19(0x1366)]=_0x17f0f4&&_0x17f0f4['count']==0x1?_0x17f0f4[_0x2b0c19(0x19c7)][0x0]:null,_0x5c8f6c[_0x2b0c19(0x2514)]=_0x4c859c['parseCrudPermissions'](_0x5c8f6c[_0x2b0c19(0x1366)]?_0x5c8f6c['userProfileSection'][_0x2b0c19(0x2514)]:null),_0x5c8f6c[_0x2b0c19(0x768)]=_0x2b0c19(0x1e58),_0x5c8f6c[_0x2b0c19(0x216a)]='',_0x5c8f6c['listOrderAsc']=null,_0x5c8f6c[_0x2b0c19(0x11c1)]=[],_0x5c8f6c[_0x2b0c19(0x1a56)]={'fields':_0x2b0c19(0x1496),'limit':0xa,'page':0x1},_0x5c8f6c[_0x2b0c19(0x1918)]=_0x3f65c0()[_0x2b0c19(0x194)]([{'option':_0x2b0c19(0x45b),'value':_0x2b0c19(0x2238)},{'option':'zoho.eu','value':_0x2b0c19(0x231c)}],function(_0x56c637){const _0x4a4099=_0x2b0c19;return _0x3f65c0()[_0x4a4099(0x5f4)](_0x56c637[_0x4a4099(0x175d)],new RegExp('\x27','g'),'');}),_0x5c8f6c['arrayzone']=_0x3f65c0()['keyBy']([{'option':'US','value':_0x2b0c19(0x1c32)},{'option':'AU','value':_0x2b0c19(0x1d1b)},{'option':'EU','value':_0x2b0c19(0x23eb)},{'option':'IN','value':_0x2b0c19(0x8a3)},{'option':'CN','value':'\x27CN\x27'}],function(_0x1bc964){const _0x2f75a0=_0x2b0c19;return _0x3f65c0()['replace'](_0x1bc964[_0x2f75a0(0x175d)],new RegExp('\x27','g'),'');}),_0x5c8f6c['editstate']=_0x458c38,_0x5c8f6c[_0x2b0c19(0x1e60)]=_0x498c27,_0x5c8f6c[_0x2b0c19(0xf0a)]=_0x549f5f,_0x5c8f6c[_0x2b0c19(0x1c75)]=_0x47d0e0,_0x5c8f6c[_0x2b0c19(0x228b)]=_0x4dfb0c,_0x5c8f6c[_0x2b0c19(0x59d)]=_0x14fa64,_0x5c8f6c[_0x2b0c19(0x1e26)]=_0xa68a56,_0x5c8f6c[_0x2b0c19(0x24e5)]=_0x48e09f,_0x5c8f6c[_0x2b0c19(0x566)]=_0x2085e3,_0x5c8f6c[_0x2b0c19(0x288d)]=_0x4a98be,_0x5c8f6c[_0x2b0c19(0xe8e)]=_0x99c2bf;function _0x458c38(_0x33b1a9){const _0x23e6a9=_0x2b0c19;_0x136784['go'](_0x23e6a9(0x232),{'id':_0x33b1a9['id'],'zohoAccount':_0x33b1a9,'crudPermissions':_0x5c8f6c[_0x23e6a9(0x2514)]});}function _0x498c27(_0x168af1){const _0x3dbde0=_0x2b0c19;return _0x544582[_0x3dbde0(0x15d7)]['getFields']({'id':_0x168af1['id'],'test':!![]})[_0x3dbde0(0x2945)][_0x3dbde0(0x146b)](function(){const _0xc8bd90=_0x3dbde0;_0x4c3ace[_0xc8bd90(0x1c75)]({'title':_0xc8bd90(0xaa0),'msg':_0xc8bd90(0x1893)});})[_0x3dbde0(0x129e)](function(_0x595d81){const _0x3a98ec=_0x3dbde0;_0x4c3ace[_0x3a98ec(0x1980)]({'title':'ZohoAccount\x20properly\x20tested','msg':_0x595d81[_0x3a98ec(0x524)]?_0x595d81[_0x3a98ec(0x524)][_0x3a98ec(0x7fd)]?_0x595d81['data']['message']:JSON[_0x3a98ec(0x10bb)](_0x595d81['data']):_0x595d81['toString']()});});}function _0x549f5f(_0x5b0d1a,_0x5b69cd){const _0x5243af=_0x2b0c19,_0x3e5c14=_0x4aa97f[_0x5243af(0x1e8a)]()[_0x5243af(0x1189)](_0x5243af(0xdb2)+_0x3f65c0()[_0x5243af(0x20d1)](_0x5243af(0x26df))+'?')['htmlContent'](''+(_0x5b0d1a[_0x5243af(0x19eb)]||'zohoAccount')+_0x5243af(0x252f)+_0x5243af(0xe01))[_0x5243af(0x4bd)](_0x5243af(0x313))[_0x5243af(0x1f27)](_0x5b69cd)['ok']('OK')[_0x5243af(0x6c3)](_0x5243af(0x39a));_0x4aa97f[_0x5243af(0x2615)](_0x3e5c14)[_0x5243af(0x146b)](function(){_0xa68a56(_0x5b0d1a);},function(){const _0x478789=_0x5243af;console['log'](_0x478789(0x39a));});}let _0x5d9593=!![],_0x452b36=0x1;_0x38c06b['$watch'](_0x2b0c19(0x2669),function(_0x36ce7b,_0xe5749b){const _0x5b6642=_0x2b0c19;_0x5d9593?_0x17116c(function(){_0x5d9593=![];}):(!_0xe5749b&&(_0x452b36=_0x5c8f6c[_0x5b6642(0x1a56)]['page']),_0x36ce7b!==_0xe5749b&&(_0x5c8f6c[_0x5b6642(0x1a56)]['page']=0x1),!_0x36ce7b&&(_0x5c8f6c[_0x5b6642(0x1a56)]['page']=_0x452b36),_0x5c8f6c[_0x5b6642(0x228b)]());});function _0x47d0e0(_0x3e2baa){const _0x26ec0c=_0x2b0c19;_0x5c8f6c[_0x26ec0c(0x1e58)]=_0x3e2baa||{'count':0x0,'rows':[]};}function _0x4dfb0c(){const _0x4ef79e=_0x2b0c19;_0x5c8f6c['query']['offset']=(_0x5c8f6c['query']['page']-0x1)*_0x5c8f6c['query'][_0x4ef79e(0x221e)],_0x4c859c[_0x4ef79e(0x23e0)](_0x4ef79e(0x174b))?_0x5c8f6c[_0x4ef79e(0xb9c)]=_0x544582[_0x4ef79e(0x15d7)][_0x4ef79e(0x16b4)](_0x5c8f6c[_0x4ef79e(0x1a56)],_0x47d0e0)['$promise']:(_0x5c8f6c[_0x4ef79e(0x1a56)]['id']=_0x5c8f6c['userProfile']['id'],_0x5c8f6c[_0x4ef79e(0x1a56)][_0x4ef79e(0x2146)]=_0x4ef79e(0x254a),_0x5c8f6c[_0x4ef79e(0xb9c)]=_0x544582[_0x4ef79e(0x26b6)][_0x4ef79e(0x158f)](_0x5c8f6c[_0x4ef79e(0x1a56)],_0x47d0e0)[_0x4ef79e(0x2945)]);}function _0x14fa64(_0xf463e8,_0xcad895){const _0x2a164e=_0x2b0c19;_0x4aa97f['show']({'controller':_0x2a164e(0x226a),'controllerAs':'vm','templateUrl':_0x4182b4,'parent':angular[_0x2a164e(0x1853)](_0x724051[_0x2a164e(0x2586)]),'targetEvent':_0xf463e8,'clickOutsideToClose':!![],'locals':{'zohoAccount':_0xcad895,'zohoAccounts':_0x5c8f6c[_0x2a164e(0x1e58)]['rows'],'license':_0x5c8f6c['license'],'setting':_0x5c8f6c['setting'],'crudPermissions':_0x5c8f6c[_0x2a164e(0x2514)]}});}function _0xa68a56(_0x52ae76){const _0x3ee628=_0x2b0c19;_0x544582[_0x3ee628(0x15d7)][_0x3ee628(0x1fac)]({'id':_0x52ae76['id']})[_0x3ee628(0x2945)][_0x3ee628(0x146b)](function(){const _0x23e632=_0x3ee628;_0x3f65c0()[_0x23e632(0x2640)](_0x5c8f6c[_0x23e632(0x1e58)][_0x23e632(0x19c7)],{'id':_0x52ae76['id']}),_0x5c8f6c['zohoAccounts']['count']-=0x1,!_0x5c8f6c['zohoAccounts'][_0x23e632(0x19c7)][_0x23e632(0x402)]&&_0x5c8f6c[_0x23e632(0x228b)](),_0x4c3ace['success']({'title':_0x3f65c0()['startCase'](_0x23e632(0x43f))+_0x23e632(0x201c),'msg':_0x52ae76['name']?_0x52ae76[_0x23e632(0x19eb)]+_0x23e632(0x23e3):''});})[_0x3ee628(0x129e)](function(_0x456662){const _0x467a44=_0x3ee628;if(_0x456662[_0x467a44(0x524)]&&_0x456662['data'][_0x467a44(0xcef)]&&_0x456662[_0x467a44(0x524)][_0x467a44(0xcef)][_0x467a44(0x402)]){_0x5c8f6c[_0x467a44(0xcef)]=_0x456662[_0x467a44(0x524)][_0x467a44(0xcef)]||[{'message':_0x456662['toString'](),'type':'SYSTEM:DELETEintZohoAccount'}];for(let _0x5ce69b=0x0;_0x5ce69b<_0x456662[_0x467a44(0x524)][_0x467a44(0xcef)][_0x467a44(0x402)];_0x5ce69b++){_0x4c3ace[_0x467a44(0x1980)]({'title':_0x456662[_0x467a44(0x524)][_0x467a44(0xcef)][_0x5ce69b][_0x467a44(0x1142)],'msg':_0x456662[_0x467a44(0x524)][_0x467a44(0xcef)][_0x5ce69b][_0x467a44(0x7fd)]});}}else _0x4c3ace[_0x467a44(0x1980)]({'title':_0x456662[_0x467a44(0x107b)]?_0x467a44(0x262a)+_0x456662[_0x467a44(0x107b)]+_0x467a44(0x1315)+_0x456662[_0x467a44(0x167f)]:'SYSTEM:DELETEintZohoAccount','msg':_0x456662['data']?JSON[_0x467a44(0x10bb)](_0x456662[_0x467a44(0x524)][_0x467a44(0x7fd)]):_0x456662[_0x467a44(0x7fd)]||_0x456662[_0x467a44(0xd5f)]()});});}function _0x48e09f(){const _0x3026f2=_0x2b0c19,_0x57f975=angular['copy'](_0x5c8f6c[_0x3026f2(0x11c1)]);return _0x5c8f6c['selectedZohoAccounts']=[],_0x57f975;}function _0x2085e3(_0x1d94c5){const _0x224df1=_0x2b0c19,_0x281af2=_0x4aa97f['confirm']()[_0x224df1(0x1189)](_0x224df1(0x2025))[_0x224df1(0x1cbe)](_0x224df1(0x16d3)+_0x5c8f6c[_0x224df1(0x11c1)][_0x224df1(0x402)]+_0x224df1(0x2452)+_0x224df1(0xe01))['ariaLabel'](_0x224df1(0x2729))[_0x224df1(0x1f27)](_0x1d94c5)['ok']('OK')[_0x224df1(0x6c3)](_0x224df1(0x39a));_0x4aa97f[_0x224df1(0x2615)](_0x281af2)[_0x224df1(0x146b)](function(){const _0x11b6bf=_0x224df1;_0x5c8f6c[_0x11b6bf(0x11c1)]['forEach'](function(_0x117b67){_0xa68a56(_0x117b67);}),_0x5c8f6c[_0x11b6bf(0x11c1)]=[];});}function _0x4a98be(){const _0x555dc7=_0x2b0c19;_0x5c8f6c[_0x555dc7(0x11c1)]=[];}function _0x99c2bf(){const _0x3fb424=_0x2b0c19;_0x5c8f6c[_0x3fb424(0x11c1)]=_0x5c8f6c[_0x3fb424(0x1e58)][_0x3fb424(0x19c7)];}}const _0x3b2531=_0x3ee1cc;;_0x37db43[_0x313a4d(0x11c2)]=[_0x313a4d(0x921),_0x313a4d(0x695)];function _0x37db43(_0x1db9b2,_0x20fbac){const _0x18640c=_0x313a4d;_0x1db9b2['state'](_0x18640c(0x112b),{'abstract':!![],'url':_0x18640c(0x1051)})[_0x18640c(0x13d6)]('app.integrations.zendeskAccounts',{'url':_0x18640c(0x1271),'views':{'content@app':{'templateUrl':_0x1bf47d,'controller':_0x18640c(0x27ac)}},'resolve':{'zendeskAccounts':[_0x18640c(0x362),_0x18640c(0xa87),function(_0x39480b,_0x427f14){const _0x1d8680=_0x18640c;return _0x427f14['hasRole']('admin')?_0x39480b[_0x1d8680(0x2922)](_0x1d8680(0x185),{'fields':'createdAt,updatedAt,id,name,type,username,remoteUri,authType,password,token,serverUrl,description','limit':0xa,'offset':0x0}):_0x39480b['resolve']('userProfile@getResources',{'id':_0x427f14['getCurrentUser']()[_0x1d8680(0x209a)],'section':_0x1d8680(0x1fc),'fields':'createdAt,updatedAt,id,name,type,username,remoteUri,authType,password,token,serverUrl,description','limit':0xa,'offset':0x0});}],'userProfile':[_0x18640c(0x362),'Auth',function(_0x49a164,_0x4d104e){const _0x6dcaac=_0x18640c;return _0x4d104e[_0x6dcaac(0x23e0)]('admin')?null:_0x49a164[_0x6dcaac(0x2922)](_0x6dcaac(0x119a),{'fields':'id,name,crudPermissions','id':_0x4d104e['getCurrentUser']()[_0x6dcaac(0x209a)]});}],'userProfileSection':[_0x18640c(0x362),_0x18640c(0xa87),function(_0x3d7fdc,_0x2bd3c4){const _0x1e41f4=_0x18640c;return _0x2bd3c4[_0x1e41f4(0x23e0)](_0x1e41f4(0x174b))?null:_0x3d7fdc[_0x1e41f4(0x2922)]('userProfileSection@get',{'fields':_0x1e41f4(0x1e64),'userProfileId':_0x2bd3c4['getCurrentUser']()[_0x1e41f4(0x209a)],'sectionId':0x515});}]},'authenticate':!![],'permissionId':0x515,'bodyClass':'integrations'})['state']('app.integrations.zendeskAccounts.edit',{'url':_0x18640c(0x2028),'params':{'zendeskAccount':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x31432a,'controller':_0x18640c(0x150f)}},'resolve':{'zendeskAccount':['apiResolver',_0x18640c(0x28c8),function(_0x3de680,_0x390916){const _0x46c2c6=_0x18640c;return _0x3de680[_0x46c2c6(0x2922)](_0x46c2c6(0x185),{'fields':'createdAt,updatedAt,id,name,type,username,remoteUri,authType,password,token,serverUrl,description','id':_0x390916['id']});}],'userProfileSection':[_0x18640c(0x362),_0x18640c(0xa87),function(_0x33fecc,_0x693ad3){const _0x44aedf=_0x18640c;return _0x33fecc[_0x44aedf(0x2922)](_0x44aedf(0x27be),{'fields':_0x44aedf(0x1e64),'userProfileId':_0x693ad3[_0x44aedf(0xb12)]()[_0x44aedf(0x209a)],'sectionId':0x515});}]},'authenticate':!![],'permissionId':0x515,'bodyClass':_0x18640c(0x755)})['state'](_0x18640c(0x991),{'url':'/salesforceAccounts','views':{'content@app':{'templateUrl':_0x9908c7,'controller':_0x18640c(0x2910)}},'resolve':{'salesforceAccounts':['apiResolver',_0x18640c(0xa87),function(_0x1bb2e7,_0x19a628){const _0x548d3c=_0x18640c;return _0x19a628[_0x548d3c(0x23e0)](_0x548d3c(0x174b))?_0x1bb2e7[_0x548d3c(0x2922)](_0x548d3c(0xb07),{'fields':_0x548d3c(0x1a40),'limit':0xa,'offset':0x0}):_0x1bb2e7[_0x548d3c(0x2922)](_0x548d3c(0x938),{'id':_0x19a628[_0x548d3c(0xb12)]()[_0x548d3c(0x209a)],'section':_0x548d3c(0x2938),'fields':_0x548d3c(0x1a40),'limit':0xa,'offset':0x0});}],'userProfile':[_0x18640c(0x362),_0x18640c(0xa87),function(_0x55ea54,_0x5b857d){const _0x35592d=_0x18640c;return _0x5b857d[_0x35592d(0x23e0)](_0x35592d(0x174b))?null:_0x55ea54[_0x35592d(0x2922)]('userProfile@get',{'fields':_0x35592d(0x227),'id':_0x5b857d['getCurrentUser']()['userProfileId']});}],'userProfileSection':[_0x18640c(0x362),_0x18640c(0xa87),function(_0x71c3f4,_0x3bb388){const _0x5953ef=_0x18640c;return _0x3bb388[_0x5953ef(0x23e0)](_0x5953ef(0x174b))?null:_0x71c3f4[_0x5953ef(0x2922)](_0x5953ef(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x3bb388[_0x5953ef(0xb12)]()[_0x5953ef(0x209a)],'sectionId':0x516});}]},'authenticate':!![],'permissionId':0x516,'bodyClass':'integrations'})['state']('app.integrations.salesforceAccounts.edit',{'url':_0x18640c(0x2028),'params':{'salesforceAccount':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0xffe78,'controller':_0x18640c(0x401)}},'resolve':{'salesforceAccount':[_0x18640c(0x362),_0x18640c(0x28c8),function(_0x3cfb13,_0x5a1707){const _0x38d0fa=_0x18640c;return _0x3cfb13[_0x38d0fa(0x2922)](_0x38d0fa(0xb07),{'fields':'createdAt,updatedAt,id,name,type,username,remoteUri,password,clientId,clientSecret,securityToken,serverUrl,description','id':_0x5a1707['id']});}],'userProfileSection':[_0x18640c(0x362),'Auth',function(_0x28ebc3,_0xe45063){const _0x30236e=_0x18640c;return _0x28ebc3['resolve'](_0x30236e(0x27be),{'fields':_0x30236e(0x1e64),'userProfileId':_0xe45063[_0x30236e(0xb12)]()['userProfileId'],'sectionId':0x516});}]},'authenticate':!![],'permissionId':0x516,'bodyClass':_0x18640c(0x755)})[_0x18640c(0x13d6)](_0x18640c(0x229d),{'url':_0x18640c(0xd16),'views':{'content@app':{'templateUrl':_0xb8d797,'controller':_0x18640c(0x2346)}},'resolve':{'freshdeskAccounts':[_0x18640c(0x362),_0x18640c(0xa87),function(_0x5a3a93,_0x413721){const _0x2c724c=_0x18640c;return _0x413721[_0x2c724c(0x23e0)](_0x2c724c(0x174b))?_0x5a3a93['resolve'](_0x2c724c(0x1c9),{'fields':_0x2c724c(0xd82),'limit':0xa,'offset':0x0}):_0x5a3a93['resolve'](_0x2c724c(0x938),{'id':_0x413721[_0x2c724c(0xb12)]()['userProfileId'],'section':_0x2c724c(0x207),'fields':'createdAt,updatedAt,id,name,username,remoteUri,apiKey,serverUrl,description','limit':0xa,'offset':0x0});}],'userProfile':[_0x18640c(0x362),_0x18640c(0xa87),function(_0x81c407,_0x1cae43){const _0x347875=_0x18640c;return _0x1cae43[_0x347875(0x23e0)](_0x347875(0x174b))?null:_0x81c407['resolve'](_0x347875(0x119a),{'fields':_0x347875(0x227),'id':_0x1cae43[_0x347875(0xb12)]()[_0x347875(0x209a)]});}],'userProfileSection':[_0x18640c(0x362),_0x18640c(0xa87),function(_0x4ccaa5,_0x5c0134){const _0x3a277c=_0x18640c;return _0x5c0134[_0x3a277c(0x23e0)](_0x3a277c(0x174b))?null:_0x4ccaa5[_0x3a277c(0x2922)](_0x3a277c(0x27be),{'fields':_0x3a277c(0x1e64),'userProfileId':_0x5c0134['getCurrentUser']()[_0x3a277c(0x209a)],'sectionId':0x517});}]},'authenticate':!![],'permissionId':0x517,'bodyClass':_0x18640c(0x755)})[_0x18640c(0x13d6)](_0x18640c(0x1a98),{'url':'/:id?tab','params':{'freshdeskAccount':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x182d3e,'controller':'FreshdeskAccountController\x20as\x20vm'}},'resolve':{'freshdeskAccount':['apiResolver','$stateParams',function(_0x201dea,_0x2adf30){const _0x500156=_0x18640c;return _0x201dea[_0x500156(0x2922)](_0x500156(0x1c9),{'fields':_0x500156(0xd82),'id':_0x2adf30['id']});}],'userProfileSection':['apiResolver','Auth',function(_0x358c94,_0x279754){const _0x46545b=_0x18640c;return _0x358c94['resolve'](_0x46545b(0x27be),{'fields':_0x46545b(0x1e64),'userProfileId':_0x279754['getCurrentUser']()[_0x46545b(0x209a)],'sectionId':0x517});}]},'authenticate':!![],'permissionId':0x517,'bodyClass':'integrations'})[_0x18640c(0x13d6)]('app.integrations.sugarcrmAccounts',{'url':_0x18640c(0x8df),'views':{'content@app':{'templateUrl':_0x2cbed3,'controller':'SugarcrmAccountsController\x20as\x20vm'}},'resolve':{'sugarcrmAccounts':['apiResolver',_0x18640c(0xa87),function(_0x2b850a,_0x90677b){const _0x56437c=_0x18640c;return _0x90677b[_0x56437c(0x23e0)](_0x56437c(0x174b))?_0x2b850a[_0x56437c(0x2922)](_0x56437c(0x1da4),{'fields':_0x56437c(0x2837),'limit':0xa,'offset':0x0}):_0x2b850a['resolve'](_0x56437c(0x938),{'id':_0x90677b['getCurrentUser']()[_0x56437c(0x209a)],'section':_0x56437c(0x12f0),'fields':'createdAt,updatedAt,id,name,username,remoteUri,password,serverUrl,description','limit':0xa,'offset':0x0});}],'userProfile':['apiResolver','Auth',function(_0x1bb791,_0x4027c3){const _0x9baf8e=_0x18640c;return _0x4027c3['hasRole']('admin')?null:_0x1bb791[_0x9baf8e(0x2922)](_0x9baf8e(0x119a),{'fields':_0x9baf8e(0x227),'id':_0x4027c3[_0x9baf8e(0xb12)]()[_0x9baf8e(0x209a)]});}],'userProfileSection':['apiResolver',_0x18640c(0xa87),function(_0x574c0d,_0x3a1347){const _0x3e6444=_0x18640c;return _0x3a1347[_0x3e6444(0x23e0)](_0x3e6444(0x174b))?null:_0x574c0d[_0x3e6444(0x2922)](_0x3e6444(0x27be),{'fields':_0x3e6444(0x1e64),'userProfileId':_0x3a1347[_0x3e6444(0xb12)]()[_0x3e6444(0x209a)],'sectionId':0x519});}]},'authenticate':!![],'permissionId':0x519,'bodyClass':_0x18640c(0x755)})['state']('app.integrations.sugarcrmAccounts.edit',{'url':_0x18640c(0x2028),'params':{'sugarcrmAccount':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x5036b2,'controller':_0x18640c(0x1917)}},'resolve':{'sugarcrmAccount':[_0x18640c(0x362),_0x18640c(0x28c8),function(_0x4f1756,_0x2b3d4d){const _0x748207=_0x18640c;return _0x4f1756[_0x748207(0x2922)]('intSugarcrmAccount@get',{'fields':'createdAt,updatedAt,id,name,username,remoteUri,password,serverUrl,description','id':_0x2b3d4d['id']});}],'userProfileSection':['apiResolver','Auth',function(_0x32faa9,_0x30e44f){const _0x5f1545=_0x18640c;return _0x32faa9[_0x5f1545(0x2922)](_0x5f1545(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x30e44f[_0x5f1545(0xb12)]()[_0x5f1545(0x209a)],'sectionId':0x519});}]},'authenticate':!![],'permissionId':0x519,'bodyClass':_0x18640c(0x755)})['state']('app.integrations.deskAccounts',{'url':_0x18640c(0x19c8),'views':{'content@app':{'templateUrl':_0x5eb581,'controller':_0x18640c(0x1730)}},'resolve':{'deskAccounts':[_0x18640c(0x362),_0x18640c(0xa87),function(_0x109365,_0x5f3b69){const _0x2c751f=_0x18640c;return _0x5f3b69['hasRole'](_0x2c751f(0x174b))?_0x109365[_0x2c751f(0x2922)](_0x2c751f(0x95d),{'fields':_0x2c751f(0x1e5a),'limit':0xa,'offset':0x0}):_0x109365[_0x2c751f(0x2922)](_0x2c751f(0x938),{'id':_0x5f3b69[_0x2c751f(0xb12)]()['userProfileId'],'section':'DeskAccounts','fields':'createdAt,updatedAt,id,name,type,remoteUri,authType,username,password,serverUrl,description','limit':0xa,'offset':0x0});}],'userProfile':['apiResolver','Auth',function(_0x23b1aa,_0x58120c){const _0x17efbc=_0x18640c;return _0x58120c[_0x17efbc(0x23e0)](_0x17efbc(0x174b))?null:_0x23b1aa[_0x17efbc(0x2922)](_0x17efbc(0x119a),{'fields':_0x17efbc(0x227),'id':_0x58120c[_0x17efbc(0xb12)]()[_0x17efbc(0x209a)]});}],'userProfileSection':[_0x18640c(0x362),_0x18640c(0xa87),function(_0x583358,_0x4f867f){const _0x3b329b=_0x18640c;return _0x4f867f[_0x3b329b(0x23e0)](_0x3b329b(0x174b))?null:_0x583358[_0x3b329b(0x2922)](_0x3b329b(0x27be),{'fields':_0x3b329b(0x1e64),'userProfileId':_0x4f867f[_0x3b329b(0xb12)]()['userProfileId'],'sectionId':0x51a});}]},'authenticate':!![],'permissionId':0x51a,'bodyClass':_0x18640c(0x755)})[_0x18640c(0x13d6)](_0x18640c(0x60b),{'url':'/:id?tab','params':{'deskAccount':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x467534,'controller':_0x18640c(0x875)}},'resolve':{'deskAccount':[_0x18640c(0x362),'$stateParams',function(_0x2f851e,_0x58e418){const _0x19ff2c=_0x18640c;return _0x2f851e[_0x19ff2c(0x2922)](_0x19ff2c(0x95d),{'fields':_0x19ff2c(0x1e5a),'id':_0x58e418['id']});}],'userProfileSection':[_0x18640c(0x362),_0x18640c(0xa87),function(_0x1d4e68,_0x461464){const _0x336846=_0x18640c;return _0x1d4e68[_0x336846(0x2922)](_0x336846(0x27be),{'fields':_0x336846(0x1e64),'userProfileId':_0x461464[_0x336846(0xb12)]()[_0x336846(0x209a)],'sectionId':0x51a});}]},'authenticate':!![],'permissionId':0x51a,'bodyClass':_0x18640c(0x755)})[_0x18640c(0x13d6)](_0x18640c(0x17b3),{'url':'/zohoAccounts','views':{'content@app':{'templateUrl':_0x34830d,'controller':_0x18640c(0x1432)}},'resolve':{'zohoAccounts':[_0x18640c(0x362),_0x18640c(0xa87),function(_0x4ba120,_0x127eeb){const _0x237074=_0x18640c;return _0x127eeb['hasRole'](_0x237074(0x174b))?_0x4ba120['resolve'](_0x237074(0x19b),{'fields':_0x237074(0x1496),'limit':0xa,'offset':0x0}):_0x4ba120['resolve'](_0x237074(0x938),{'id':_0x127eeb['getCurrentUser']()['userProfileId'],'section':_0x237074(0x254a),'fields':_0x237074(0x1496),'limit':0xa,'offset':0x0});}],'userProfile':[_0x18640c(0x362),_0x18640c(0xa87),function(_0x1722aa,_0x4ff859){const _0x1f2699=_0x18640c;return _0x4ff859['hasRole'](_0x1f2699(0x174b))?null:_0x1722aa['resolve'](_0x1f2699(0x119a),{'fields':_0x1f2699(0x227),'id':_0x4ff859['getCurrentUser']()[_0x1f2699(0x209a)]});}],'userProfileSection':['apiResolver','Auth',function(_0x3df949,_0x5c1185){const _0x31933e=_0x18640c;return _0x5c1185[_0x31933e(0x23e0)](_0x31933e(0x174b))?null:_0x3df949[_0x31933e(0x2922)]('userProfileSection@get',{'fields':_0x31933e(0x1e64),'userProfileId':_0x5c1185['getCurrentUser']()[_0x31933e(0x209a)],'sectionId':0x51b});}]},'authenticate':!![],'permissionId':0x51b,'bodyClass':_0x18640c(0x755)})[_0x18640c(0x13d6)](_0x18640c(0x232),{'url':'/:id?tab','params':{'zohoAccount':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x279f5c,'controller':_0x18640c(0x1c46)}},'resolve':{'zohoAccount':[_0x18640c(0x362),_0x18640c(0x28c8),function(_0x47695f,_0x328260){const _0x290287=_0x18640c;return _0x47695f[_0x290287(0x2922)]('intZohoAccount@get',{'fields':'createdAt,updatedAt,id,name,host,zone,clientId,clientSecret,code,refreshToken,serverUrl,description','id':_0x328260['id']});}],'userProfileSection':[_0x18640c(0x362),'Auth',function(_0x2ebc3a,_0xa61372){const _0x395f5a=_0x18640c;return _0x2ebc3a[_0x395f5a(0x2922)](_0x395f5a(0x27be),{'fields':_0x395f5a(0x1e64),'userProfileId':_0xa61372[_0x395f5a(0xb12)]()['userProfileId'],'sectionId':0x51b});}]},'authenticate':!![],'permissionId':0x51b,'bodyClass':_0x18640c(0x755)})[_0x18640c(0x13d6)](_0x18640c(0x217b),{'url':_0x18640c(0x92e),'views':{'content@app':{'templateUrl':_0xcd6f76,'controller':_0x18640c(0x5ec)}},'resolve':{'vtigerAccounts':['apiResolver','Auth',function(_0x2f9b6c,_0x3f00e1){const _0x2b3a1c=_0x18640c;return _0x3f00e1[_0x2b3a1c(0x23e0)]('admin')?_0x2f9b6c[_0x2b3a1c(0x2922)]('intVtigerAccount@get',{'fields':_0x2b3a1c(0x14c4),'limit':0xa,'offset':0x0}):_0x2f9b6c[_0x2b3a1c(0x2922)]('userProfile@getResources',{'id':_0x3f00e1[_0x2b3a1c(0xb12)]()[_0x2b3a1c(0x209a)],'section':_0x2b3a1c(0xdbf),'fields':_0x2b3a1c(0x14c4),'limit':0xa,'offset':0x0});}],'userProfile':[_0x18640c(0x362),_0x18640c(0xa87),function(_0x4b102d,_0x2e4c6a){const _0x1008d8=_0x18640c;return _0x2e4c6a[_0x1008d8(0x23e0)]('admin')?null:_0x4b102d[_0x1008d8(0x2922)](_0x1008d8(0x119a),{'fields':_0x1008d8(0x227),'id':_0x2e4c6a['getCurrentUser']()['userProfileId']});}],'userProfileSection':[_0x18640c(0x362),_0x18640c(0xa87),function(_0x2d651a,_0xe4cdb2){const _0x222a10=_0x18640c;return _0xe4cdb2['hasRole'](_0x222a10(0x174b))?null:_0x2d651a['resolve'](_0x222a10(0x27be),{'fields':_0x222a10(0x1e64),'userProfileId':_0xe4cdb2[_0x222a10(0xb12)]()[_0x222a10(0x209a)],'sectionId':0x51c});}]},'authenticate':!![],'permissionId':0x51c,'bodyClass':_0x18640c(0x755)})[_0x18640c(0x13d6)]('app.integrations.vtigerAccounts.edit',{'url':_0x18640c(0x2028),'params':{'vtigerAccount':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x52d6f1,'controller':'VtigerAccountController\x20as\x20vm'}},'resolve':{'vtigerAccount':[_0x18640c(0x362),_0x18640c(0x28c8),function(_0x3a5137,_0x1e6abd){const _0x1f8019=_0x18640c;return _0x3a5137[_0x1f8019(0x2922)](_0x1f8019(0x236c),{'fields':_0x1f8019(0x14c4),'id':_0x1e6abd['id']});}],'userProfileSection':[_0x18640c(0x362),'Auth',function(_0x41ae4b,_0x2934c1){const _0x5af18b=_0x18640c;return _0x41ae4b[_0x5af18b(0x2922)]('userProfileSection@get',{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x2934c1[_0x5af18b(0xb12)]()['userProfileId'],'sectionId':0x51c});}]},'authenticate':!![],'permissionId':0x51c,'bodyClass':'integrations'})['state'](_0x18640c(0x1881),{'url':'/dynamics365Accounts','views':{'content@app':{'templateUrl':_0x50a1b4,'controller':_0x18640c(0x1d26)}},'resolve':{'dynamics365Accounts':[_0x18640c(0x362),_0x18640c(0xa87),function(_0x5e3682,_0x563876){const _0x457478=_0x18640c;return _0x563876[_0x457478(0x23e0)](_0x457478(0x174b))?_0x5e3682[_0x457478(0x2922)](_0x457478(0x25ea),{'fields':_0x457478(0x691),'limit':0xa,'offset':0x0}):_0x5e3682[_0x457478(0x2922)](_0x457478(0x938),{'id':_0x563876[_0x457478(0xb12)]()[_0x457478(0x209a)],'section':_0x457478(0x47d),'fields':_0x457478(0x691),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver',_0x18640c(0xa87),function(_0xc4aaf,_0x400d04){const _0x58a194=_0x18640c;return _0x400d04[_0x58a194(0x23e0)](_0x58a194(0x174b))?null:_0xc4aaf[_0x58a194(0x2922)]('userProfile@get',{'fields':_0x58a194(0x227),'id':_0x400d04[_0x58a194(0xb12)]()[_0x58a194(0x209a)]});}],'userProfileSection':[_0x18640c(0x362),_0x18640c(0xa87),function(_0x3b78f1,_0x447064){const _0xb376b2=_0x18640c;return _0x447064['hasRole']('admin')?null:_0x3b78f1['resolve'](_0xb376b2(0x27be),{'fields':_0xb376b2(0x1e64),'userProfileId':_0x447064[_0xb376b2(0xb12)]()[_0xb376b2(0x209a)],'sectionId':0x51d});}]},'authenticate':!![],'permissionId':0x51d,'bodyClass':_0x18640c(0x755)})[_0x18640c(0x13d6)](_0x18640c(0xdbd),{'url':_0x18640c(0x2028),'params':{'dynamics365Account':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x7dedfe,'controller':_0x18640c(0x9f3)}},'resolve':{'dynamics365Account':[_0x18640c(0x362),_0x18640c(0x28c8),function(_0x5dc9e9,_0x3417f1){const _0x205b98=_0x18640c;return _0x5dc9e9[_0x205b98(0x2922)](_0x205b98(0x25ea),{'fields':_0x205b98(0x691),'id':_0x3417f1['id']});}],'userProfileSection':['apiResolver','Auth',function(_0x576d3c,_0x36c767){const _0x1609d5=_0x18640c;return _0x576d3c[_0x1609d5(0x2922)](_0x1609d5(0x27be),{'fields':_0x1609d5(0x1e64),'userProfileId':_0x36c767['getCurrentUser']()[_0x1609d5(0x209a)],'sectionId':0x51d});}]},'authenticate':!![],'permissionId':0x51d,'bodyClass':'integrations'})['state'](_0x18640c(0x8a8),{'url':'/servicenowAccounts','views':{'content@app':{'templateUrl':_0x23d4a8,'controller':_0x18640c(0x2084)}},'resolve':{'servicenowAccounts':[_0x18640c(0x362),_0x18640c(0xa87),function(_0x5a3243,_0x53612b){const _0x37d529=_0x18640c;return _0x53612b['hasRole'](_0x37d529(0x174b))?_0x5a3243[_0x37d529(0x2922)](_0x37d529(0x173f),{'fields':_0x37d529(0x1586),'limit':0xa,'offset':0x0}):_0x5a3243[_0x37d529(0x2922)](_0x37d529(0x938),{'id':_0x53612b[_0x37d529(0xb12)]()[_0x37d529(0x209a)],'section':'ServicenowAccounts','fields':_0x37d529(0x1586),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver',_0x18640c(0xa87),function(_0x42aebc,_0x311bdf){const _0x1c3d43=_0x18640c;return _0x311bdf[_0x1c3d43(0x23e0)]('admin')?null:_0x42aebc[_0x1c3d43(0x2922)](_0x1c3d43(0x119a),{'fields':_0x1c3d43(0x227),'id':_0x311bdf[_0x1c3d43(0xb12)]()[_0x1c3d43(0x209a)]});}],'userProfileSection':[_0x18640c(0x362),_0x18640c(0xa87),function(_0x42d3f6,_0x48cdcb){const _0x17c8a4=_0x18640c;return _0x48cdcb[_0x17c8a4(0x23e0)](_0x17c8a4(0x174b))?null:_0x42d3f6['resolve'](_0x17c8a4(0x27be),{'fields':_0x17c8a4(0x1e64),'userProfileId':_0x48cdcb['getCurrentUser']()['userProfileId'],'sectionId':0x51e});}]},'authenticate':!![],'permissionId':0x51e,'bodyClass':_0x18640c(0x755)})['state'](_0x18640c(0x1ddb),{'url':'/:id?tab','params':{'servicenowAccount':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x581d51,'controller':_0x18640c(0x851)}},'resolve':{'servicenowAccount':[_0x18640c(0x362),_0x18640c(0x28c8),function(_0x108ad0,_0x973587){const _0x404673=_0x18640c;return _0x108ad0[_0x404673(0x2922)](_0x404673(0x173f),{'fields':_0x404673(0x1586),'id':_0x973587['id']});}],'userProfileSection':[_0x18640c(0x362),_0x18640c(0xa87),function(_0x274fe9,_0xa468f5){const _0x42a2eb=_0x18640c;return _0x274fe9[_0x42a2eb(0x2922)](_0x42a2eb(0x27be),{'fields':_0x42a2eb(0x1e64),'userProfileId':_0xa468f5[_0x42a2eb(0xb12)]()[_0x42a2eb(0x209a)],'sectionId':0x51e});}]},'authenticate':!![],'permissionId':0x51e,'bodyClass':_0x18640c(0x755)})[_0x18640c(0x13d6)](_0x18640c(0x21f9),{'url':'/freshsalesAccounts','views':{'content@app':{'templateUrl':_0x119f88,'controller':'FreshsalesAccountsController\x20as\x20vm'}},'resolve':{'freshsalesAccounts':['apiResolver','Auth',function(_0x572bc0,_0xa5f88b){const _0x264738=_0x18640c;return _0xa5f88b[_0x264738(0x23e0)]('admin')?_0x572bc0['resolve'](_0x264738(0x1bf5),{'fields':_0x264738(0xd82),'limit':0xa,'offset':0x0}):_0x572bc0[_0x264738(0x2922)](_0x264738(0x938),{'id':_0xa5f88b[_0x264738(0xb12)]()['userProfileId'],'section':_0x264738(0x185a),'fields':_0x264738(0xd82),'limit':0xa,'offset':0x0});}],'userProfile':[_0x18640c(0x362),_0x18640c(0xa87),function(_0x3aa389,_0xff7097){const _0x3e73a1=_0x18640c;return _0xff7097[_0x3e73a1(0x23e0)](_0x3e73a1(0x174b))?null:_0x3aa389['resolve'](_0x3e73a1(0x119a),{'fields':'id,name,crudPermissions','id':_0xff7097['getCurrentUser']()['userProfileId']});}],'userProfileSection':[_0x18640c(0x362),'Auth',function(_0x30e139,_0x2af83c){const _0x4b4c48=_0x18640c;return _0x2af83c[_0x4b4c48(0x23e0)](_0x4b4c48(0x174b))?null:_0x30e139['resolve']('userProfileSection@get',{'fields':_0x4b4c48(0x1e64),'userProfileId':_0x2af83c[_0x4b4c48(0xb12)]()[_0x4b4c48(0x209a)],'sectionId':0x518});}]},'authenticate':!![],'permissionId':0x518,'bodyClass':'integrations'})['state']('app.integrations.freshsalesAccounts.edit',{'url':_0x18640c(0x2028),'params':{'freshsalesAccount':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x2c1489,'controller':_0x18640c(0x292e)}},'resolve':{'freshsalesAccount':[_0x18640c(0x362),'$stateParams',function(_0x1da8bd,_0x127490){const _0x30438b=_0x18640c;return _0x1da8bd['resolve'](_0x30438b(0x1bf5),{'fields':_0x30438b(0xd82),'id':_0x127490['id']});}],'userProfileSection':[_0x18640c(0x362),_0x18640c(0xa87),function(_0x4114ac,_0xb1e2f7){const _0x3aff68=_0x18640c;return _0x4114ac['resolve'](_0x3aff68(0x27be),{'fields':_0x3aff68(0x1e64),'userProfileId':_0xb1e2f7[_0x3aff68(0xb12)]()[_0x3aff68(0x209a)],'sectionId':0x518});}]},'authenticate':!![],'permissionId':0x518,'bodyClass':_0x18640c(0x755)}),_0x20fbac[_0x18640c(0x15bf)](_0x18640c(0x21fb));}angular['module'](_0x313a4d(0x112b),[_0x313a4d(0xdad),_0x313a4d(0x962),_0x313a4d(0x23c7),_0x313a4d(0x963),_0x313a4d(0x19e2),'ckeditor',_0x313a4d(0x195b),_0x313a4d(0x15ef),_0x313a4d(0xc86),'mdPickers',_0x313a4d(0x28a8),'mwFormViewer',_0x313a4d(0x1e57),_0x313a4d(0x581),_0x313a4d(0x1bd2),_0x313a4d(0x1792),_0x313a4d(0x1b65),_0x313a4d(0x1626),_0x313a4d(0x2713),_0x313a4d(0x11df)])['config'](_0x37db43)[_0x313a4d(0x28f0)](_0x313a4d(0x20e2),_0x1226ff)[_0x313a4d(0x28f0)](_0x313a4d(0x7c9),_0x5bc86e)[_0x313a4d(0x28f0)]('DeskAccountConfigurationsController',_0x2bc7c1)[_0x313a4d(0x28f0)](_0x313a4d(0x2275),_0x499774)['controller'](_0x313a4d(0xe35),_0x5b9e74)[_0x313a4d(0x28f0)](_0x313a4d(0xc49),_0x262cf3)[_0x313a4d(0x28f0)](_0x313a4d(0x1781),_0x4f4ff2)['controller'](_0x313a4d(0x2201),_0x1555db)[_0x313a4d(0x28f0)](_0x313a4d(0x58c),_0x306677)['controller']('CreateOrEditDynamics365ConfigurationDialogController',_0xdeff11)[_0x313a4d(0x28f0)](_0x313a4d(0x1ad6),_0x2f7bfb)['controller'](_0x313a4d(0x18cb),_0x340ece)[_0x313a4d(0x28f0)](_0x313a4d(0x157f),_0x2ce68c)[_0x313a4d(0x28f0)]('FreshdeskAccountConfigurationsController',_0x472aab)[_0x313a4d(0x28f0)]('CreateOrEditFreshdeskConfigurationDialogController',_0x53a7b3)[_0x313a4d(0x28f0)](_0x313a4d(0x325),_0x4dcfe6)['controller'](_0x313a4d(0x9b7),_0x523b5a)[_0x313a4d(0x28f0)]('FreshdeskAccountsController',_0x52d955)[_0x313a4d(0x28f0)](_0x313a4d(0x61e),_0x298999)[_0x313a4d(0x28f0)]('FreshsalesAccountConfigurationsController',_0x1b12ab)['controller'](_0x313a4d(0x10d0),_0x250b10)['controller']('CreateOrEditFreshsalesAccountItemDialogController',_0xbf3cff)['controller'](_0x313a4d(0x22f7),_0x944201)['controller']('FreshsalesAccountsController',_0x8d5f5f)['controller'](_0x313a4d(0xc64),_0x4931d5)[_0x313a4d(0x28f0)](_0x313a4d(0x21ed),_0x1dbe55)[_0x313a4d(0x28f0)](_0x313a4d(0x73f),_0x3562a6)[_0x313a4d(0x28f0)](_0x313a4d(0x4ad),_0x2ae4a3)['controller']('SalesforceAccountController',_0x533452)[_0x313a4d(0x28f0)](_0x313a4d(0x1bb4),_0x2f0743)[_0x313a4d(0x28f0)](_0x313a4d(0x8e2),_0x1fe4b5)[_0x313a4d(0x28f0)](_0x313a4d(0x1349),_0x3ddd30)[_0x313a4d(0x28f0)](_0x313a4d(0x18d2),_0x1bd5bd)[_0x313a4d(0x28f0)]('CreateOrEditServicenowAccountItemDialogController',_0x1a0df5)['controller'](_0x313a4d(0x1f1d),_0x5982d5)[_0x313a4d(0x28f0)](_0x313a4d(0xa4c),_0x218c42)[_0x313a4d(0x28f0)]('CreateOrEditSugarcrmAccountDialogController',_0x33c680)[_0x313a4d(0x28f0)](_0x313a4d(0x62e),_0x182f94)[_0x313a4d(0x28f0)](_0x313a4d(0x22f8),_0x2d2887)[_0x313a4d(0x28f0)]('CreateOrEditSugarcrmAccountItemDialogController',_0x4ee3c2)[_0x313a4d(0x28f0)](_0x313a4d(0x1dcb),_0x3835ca)['controller'](_0x313a4d(0x6d4),_0x34c86f)[_0x313a4d(0x28f0)](_0x313a4d(0xe59),_0x35b5b4)[_0x313a4d(0x28f0)]('VtigerAccountConfigurationsController',_0x4e6509)[_0x313a4d(0x28f0)](_0x313a4d(0x18a7),_0x1f53fb)['controller'](_0x313a4d(0x17a0),_0x3fcfbe)[_0x313a4d(0x28f0)]('VtigerAccountController',_0x38fed7)[_0x313a4d(0x28f0)](_0x313a4d(0x11e5),_0x526c0e)[_0x313a4d(0x28f0)](_0x313a4d(0x121b),_0x261080)[_0x313a4d(0x28f0)](_0x313a4d(0x2903),_0x51b098)[_0x313a4d(0x28f0)](_0x313a4d(0x13c2),_0x352cce)[_0x313a4d(0x28f0)](_0x313a4d(0xba1),_0x11d3e7)['controller'](_0x313a4d(0x9a9),_0x5f3171)[_0x313a4d(0x28f0)]('ZendeskAccountsController',_0x57fc2b)[_0x313a4d(0x28f0)](_0x313a4d(0x226a),_0x1df74a)[_0x313a4d(0x28f0)](_0x313a4d(0x19ce),_0x4f3ee6)[_0x313a4d(0x28f0)]('CreateOrEditZohoConfigurationDialogController',_0x1ef2e3)[_0x313a4d(0x28f0)](_0x313a4d(0x25cb),_0x4d0d78)[_0x313a4d(0x28f0)]('ZohoAccountController',_0x596891)[_0x313a4d(0x28f0)](_0x313a4d(0x1854),_0x3b2531);;const _0x57f340=_0x4acfac['p']+_0x313a4d(0x1c15);;const _0x559a37=_0x4acfac['p']+_0x313a4d(0x19d);;const _0x24bf51=_0x4acfac['p']+'src/js/modules/main/apps/settings/views/license/request/dialog.html/dialog.html';;_0x259e9e[_0x313a4d(0x11c2)]=[_0x313a4d(0x4d8),'$mdDialog',_0x313a4d(0x1862),'license',_0x313a4d(0x1fe4),_0x313a4d(0x247f)];function _0x259e9e(_0xa4ee4e,_0x383ae2,_0x21e401,_0x296db9,_0x1197ba,_0x236d24){const _0x57f7bd=_0x313a4d,_0x29ebb7=this;_0x29ebb7[_0x57f7bd(0x2690)]=_0x296db9||{},_0x29ebb7[_0x57f7bd(0x23c0)]=0x0,_0x29ebb7[_0x57f7bd(0x1995)]=0x0,_0x29ebb7[_0x57f7bd(0xd4b)]=0x0,_0x29ebb7['channels']=[{'name':_0x57f7bd(0xe6),'icon':_0x57f7bd(0x165b)},{'name':_0x57f7bd(0xa7f),'icon':_0x57f7bd(0x1bed)},{'name':_0x57f7bd(0x56b),'icon':_0x57f7bd(0x1c3d)},{'name':'messaging','icon':_0x57f7bd(0x1580)},{'name':_0x57f7bd(0x22d9),'icon':'icon-deskphone'},{'name':_0x57f7bd(0x7d9),'icon':_0x57f7bd(0x9b8)},{'name':_0x57f7bd(0xff9),'icon':_0x57f7bd(0x28bf)}],_0x29ebb7[_0x57f7bd(0x122b)]=[{'name':'cm','icon':_0x57f7bd(0x130a)},{'name':'dialer','icon':_0x57f7bd(0x23a1)},{'name':_0x57f7bd(0x1640),'icon':_0x57f7bd(0xccc)}],_0x29ebb7[_0x57f7bd(0x171f)]=[{'name':_0x57f7bd(0x1e42),'icon':'icon-server-plus'},{'name':'jaws','icon':'icon-fish'},{'name':_0x57f7bd(0x1802),'icon':_0x57f7bd(0x177)},{'name':'screenrecording','icon':_0x57f7bd(0xeea)},{'name':'ai','icon':_0x57f7bd(0x21e4)}],_0x29ebb7[_0x57f7bd(0x2ca)]=[{'name':_0x57f7bd(0x1611),'icon':_0x57f7bd(0x1913),'restart':!![],'label':_0x57f7bd(0x2152),'enabled':_0x57f7bd(0xe6)},{'name':_0x57f7bd(0xca9),'icon':_0x57f7bd(0x18b3),'restart':![],'label':_0x57f7bd(0x2ca),'enabled':_0x57f7bd(0xa7f)}],_0x29ebb7['others']=[{'name':_0x57f7bd(0x18e1),'icon':_0x57f7bd(0x1b14)}],_0x236d24[_0x57f7bd(0xe7b)][_0x57f7bd(0x16b4)]({'fields':'id','role':_0x57f7bd(0x1755),'online':!![]})['$promise'][_0x57f7bd(0x146b)](function(_0x5c4721){const _0x34c33e=_0x57f7bd;return _0x29ebb7['agents']=_0x5c4721&&_0x5c4721[_0x34c33e(0x51c)]?_0x5c4721[_0x34c33e(0x51c)]:0x0,_0x236d24[_0x34c33e(0xe7b)]['get']({'fields':'id','role':_0x34c33e(0x2173)})[_0x34c33e(0x2945)];})['then'](function(_0x542e9c){const _0x3ee3cb=_0x57f7bd;return _0x29ebb7[_0x3ee3cb(0x1995)]=_0x542e9c&&_0x542e9c[_0x3ee3cb(0x51c)]?_0x542e9c[_0x3ee3cb(0x51c)]:0x0,_0x236d24['user']['get']({'fields':'id','role':_0x3ee3cb(0x90c)})[_0x3ee3cb(0x2945)];})['then'](function(_0x422b14){const _0x5d0286=_0x57f7bd;_0x29ebb7['telephones']=_0x422b14&&_0x422b14[_0x5d0286(0x51c)]?_0x422b14['count']:0x0;})[_0x57f7bd(0x129e)](function(_0x5e3192){const _0x2e7bdf=_0x57f7bd;console[_0x2e7bdf(0x1a74)](_0x5e3192);});function _0x58bf45(_0x126809){const _0x422993=_0x57f7bd;_0x383ae2['show']({'controller':_0x422993(0x11de),'controllerAs':'vm','templateUrl':_0x559a37,'parent':angular[_0x422993(0x1853)](_0xa4ee4e[_0x422993(0x2586)]),'targetEvent':_0x126809,'clickOutsideToClose':!![],'locals':{'license':_0x296db9}});}function _0x2108b4(_0x496ce7){const _0x455af3=_0x57f7bd;_0x383ae2['show']({'controller':'RequestLicenseDialogController','controllerAs':'vm','templateUrl':_0x24bf51,'parent':angular['element'](_0xa4ee4e[_0x455af3(0x2586)]),'targetEvent':_0x496ce7,'clickOutsideToClose':!![],'locals':{'license':_0x296db9,'channels':_0x29ebb7[_0x455af3(0x2152)],'modules':_0x29ebb7['modules'],'addons':_0x29ebb7[_0x455af3(0x171f)],'sessions':_0x29ebb7[_0x455af3(0x2ca)],'others':_0x29ebb7[_0x455af3(0x511)]}});}function _0x3658f4(){_0x21e401['go']('app.settings.system',{'tab':0x1});}_0x29ebb7[_0x57f7bd(0x1bb7)]=_0x2108b4,_0x29ebb7[_0x57f7bd(0x16be)]=_0x58bf45,_0x29ebb7[_0x57f7bd(0x2689)]=_0x3658f4;}const _0x21a6e4=_0x259e9e;;_0x161492[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'toasty','license',_0x313a4d(0x2152),_0x313a4d(0x122b),_0x313a4d(0x171f),'sessions',_0x313a4d(0x511),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x214b)];function _0x161492(_0x9b676f,_0x4ae7b3,_0x2c68ce,_0x1187de,_0x45e2a4,_0x257232,_0x4cabdd,_0x4a1178,_0x1f84e8,_0x53a53f,_0x35c758){const _0x2914a2=_0x313a4d,_0x1b144c=this;_0x1b144c['errors']=[],_0x1b144c[_0x2914a2(0xe7b)]=_0x53a53f['getCurrentUser'](),_0x1b144c['license']=angular[_0x2914a2(0x235a)](_0x2c68ce),_0x1b144c[_0x2914a2(0x2152)]=_0x1187de,_0x1b144c[_0x2914a2(0x122b)]=_0x45e2a4,_0x1b144c[_0x2914a2(0x171f)]=_0x257232,_0x1b144c[_0x2914a2(0x2ca)]=_0x4cabdd,_0x1b144c[_0x2914a2(0x511)]=_0x4a1178,_0x1b144c[_0x2914a2(0x2690)][_0x2914a2(0x19eb)]=_0x1b144c[_0x2914a2(0xe7b)][_0x2914a2(0x286a)],_0x1b144c['license'][_0x2914a2(0x1a2b)]=_0x1b144c[_0x2914a2(0xe7b)][_0x2914a2(0x1a2b)],_0x1b144c[_0x2914a2(0x212d)]=_0x284063,_0x1b144c['closeDialog']=_0x444f70;function _0x284063(){const _0xaacdcb=_0x2914a2;_0x1b144c[_0xaacdcb(0xcef)]=[],_0x1b144c['table']=_0xaacdcb(0x2133);for(const _0x1a8395 in _0x1b144c['license']){typeof _0x1b144c[_0xaacdcb(0x2690)][_0x1a8395]!==_0xaacdcb(0x2274)&&!_0x3f65c0()[_0xaacdcb(0xa4e)]([_0xaacdcb(0x2945),_0xaacdcb(0x26f2)],_0x1a8395)&&(_0x2c68ce[_0x1a8395]===_0x1b144c[_0xaacdcb(0x2690)][_0x1a8395]?_0x1b144c[_0xaacdcb(0x768)]+='|'+_0x1a8395+'|'+(_0x2c68ce[_0x1a8395]!==undefined?_0x2c68ce[_0x1a8395]:'')+'|'+_0x1b144c[_0xaacdcb(0x2690)][_0x1a8395]+'|\x0a':_0x1b144c[_0xaacdcb(0x768)]+='|'+_0x1a8395+'|{color:red}'+(_0x2c68ce[_0x1a8395]!==undefined?_0x2c68ce[_0x1a8395]:'')+_0xaacdcb(0x59c)+_0x1b144c[_0xaacdcb(0x2690)][_0x1a8395]+_0xaacdcb(0xb32));}_0x1f84e8[_0xaacdcb(0x867)][_0xaacdcb(0x1e3)]({'key':_0xaacdcb(0x1b0c),'summary':_0xaacdcb(0x1b02),'description':_0x1b144c['table'],'issuetype':_0xaacdcb(0x192f)})['$promise'][_0xaacdcb(0x146b)](function(){const _0x144a97=_0xaacdcb;_0x4ae7b3[_0x144a97(0x1c75)]({'title':'Request\x20sent!','msg':_0x35c758[_0x144a97(0xde)]('SETTINGS.LICENSE_REQUEST_SENT'),'timeout':0x3a98}),_0x444f70();})[_0xaacdcb(0x129e)](function(_0x34892b){const _0x43adf2=_0xaacdcb;console['error'](_0x34892b),_0x1b144c['errors']=[{'message':_0x35c758[_0x43adf2(0xde)](_0x43adf2(0xe11)),'type':_0x43adf2(0x2069)}];});}function _0x444f70(){const _0x562b16=_0x2914a2;_0x9b676f[_0x562b16(0x2458)]();}}const _0x455a03=_0x161492;;_0x374e70[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'toasty',_0x313a4d(0x2690),_0x313a4d(0x247f)];function _0x374e70(_0x24af4e,_0x516854,_0x554a93,_0x535301){const _0x33ed8a=_0x313a4d,_0x10b0ce=this;_0x10b0ce[_0x33ed8a(0xcef)]=[],_0x10b0ce[_0x33ed8a(0x2690)]=angular[_0x33ed8a(0x235a)](_0x554a93),_0x10b0ce[_0x33ed8a(0xe4f)],_0x10b0ce[_0x33ed8a(0x16be)]=_0x2ada60,_0x10b0ce[_0x33ed8a(0x13f3)]=_0xa1e031;function _0x2ada60(){const _0xec8dc7=_0x33ed8a;return _0x535301[_0xec8dc7(0x2690)]['update']({'id':0x1,'key':_0x10b0ce['licenseKey']})[_0xec8dc7(0x2945)][_0xec8dc7(0x146b)](function(_0x1138fb){const _0x8b9df2=_0xec8dc7;_0x1138fb&&(_0x1138fb['demo']?_0x516854['error']({'title':_0x8b9df2(0x1103),'msg':_0x8b9df2(0x716)}):_0x516854[_0x8b9df2(0x1c75)]({'title':_0x8b9df2(0x936),'msg':_0x8b9df2(0x20ee)}));})[_0xec8dc7(0x129e)](function(_0x405de4){const _0x526c70=_0xec8dc7;_0x516854[_0x526c70(0x1980)]({'title':_0x405de4[_0x526c70(0x107b)]?_0x526c70(0x262a)+_0x405de4[_0x526c70(0x107b)]+_0x526c70(0x1315)+_0x405de4[_0x526c70(0x167f)]:_0x526c70(0x25e7),'msg':_0x405de4[_0x526c70(0x524)]?JSON['stringify'](_0x405de4[_0x526c70(0x524)]):_0x405de4[_0x526c70(0xd5f)]()});});}function _0xa1e031(){const _0x3b86d5=_0x33ed8a;_0x24af4e[_0x3b86d5(0x2458)]();}}const _0x9e0b3d=_0x374e70;;_0x5c2253[_0x313a4d(0x11c2)]=[_0x313a4d(0x921)];function _0x5c2253(_0x3530d3){const _0x17471d=_0x313a4d;_0x3530d3[_0x17471d(0x13d6)](_0x17471d(0x198b),{'url':_0x17471d(0x22f1),'views':{'content@app':{'templateUrl':_0x57f340,'controller':_0x17471d(0x1c04)}},'authenticate':!![],'permissionId':0x578,'bodyClass':_0x17471d(0xae3)});}angular[_0x313a4d(0x2528)](_0x313a4d(0x198b),[])[_0x313a4d(0x989)](_0x5c2253)['controller']('LicenseController',_0x21a6e4)[_0x313a4d(0x28f0)]('RequestLicenseDialogController',_0x455a03)['controller']('UpdateLicenseDialogController',_0x9e0b3d);;const _0x2a1829=_0x4acfac['p']+_0x313a4d(0x2823);;_0x23fbf7[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0xa0a),_0x313a4d(0x10e8),_0x313a4d(0x1862),'system',_0x313a4d(0x6c6),_0x313a4d(0x1fe4),'api'];function _0x23fbf7(_0x39b052,_0x3d9309,_0x497da1,_0x59669b,_0x5d87a0,_0x5efe89,_0x4915d6,_0xe6b94f){const _0x37b073=_0x313a4d,_0x2d63cc=this;let _0x3b02e5=null;_0x2d63cc[_0x37b073(0x1d47)]=_0x5d87a0||{},_0x2d63cc['processes']=_0x5efe89?_0x5efe89[_0x37b073(0x19c7)]:[],_0x2d63cc['processLimit']=0x7,_0x2d63cc[_0x37b073(0x1b09)]=_0x59669b[_0x37b073(0x16a)][_0x37b073(0x13a3)]||0x0,_0x2d63cc[_0x37b073(0x6a6)]=_0x5071bf,_0x2d63cc[_0x37b073(0x76e)]=_0x16cb78,_0x2d63cc[_0x37b073(0x1042)]=_0x4495cd,_0x2d63cc[_0x37b073(0x5be)]=_0x27a8cc;function _0x4495cd(){const _0x5ea371=_0x37b073;_0x3d9309[_0x5ea371(0x6c3)](_0x3b02e5),_0x3b02e5=null;}function _0x27a8cc(_0x4e6aaf,_0x4fa9f8,_0x588672){const _0x248fcf=_0x37b073,_0x5efe9f=_0x497da1[_0x248fcf(0x1e8a)]()[_0x248fcf(0x1189)](_0x248fcf(0x183f)+_0x4fa9f8+'?')[_0x248fcf(0x199c)](_0x248fcf(0xe2a)+_0x588672[_0x248fcf(0x1c37)]())[_0x248fcf(0x4bd)](_0x248fcf(0x14ed))[_0x248fcf(0x1f27)](_0x4e6aaf)['ok']('Ok')['cancel'](_0x248fcf(0xcf0));_0x497da1[_0x248fcf(0x2615)](_0x5efe9f)[_0x248fcf(0x146b)](function(){const _0xbc01eb=_0x248fcf;return _0xe6b94f[_0xbc01eb(0x1b6f)]['update']({'id':_0x4fa9f8,'status':_0x588672});})['then'](function(){const _0x54b50c=_0x248fcf;_0x3d9309(_0x2d63cc[_0x54b50c(0x76e)],0x3e8,0x1);})[_0x248fcf(0x146b)](function(){const _0x4a36b0=_0x248fcf;_0x4915d6[_0x4a36b0(0x1c75)]({'title':_0x4a36b0(0xfbc),'msg':'Status\x20properly\x20changed\x20to:\x20'+_0x588672});});}function _0x5071bf(){const _0x5208db=_0x37b073;return _0xe6b94f['system'][_0x5208db(0x16b4)]()[_0x5208db(0x2945)]['then'](function(_0x14faff){const _0x57a81e=_0x5208db;_0x3f65c0()[_0x57a81e(0x168d)](_0x2d63cc[_0x57a81e(0x1d47)],_0x14faff);})['catch'](_0x2d63cc[_0x5208db(0x1042)]);}function _0x16cb78(){const _0x15a0c7=_0x37b073;return _0xe6b94f['pm2'][_0x15a0c7(0x16b4)]()[_0x15a0c7(0x2945)][_0x15a0c7(0x146b)](function(_0x456b61){const _0x17e5bf=_0x15a0c7;_0x3f65c0()[_0x17e5bf(0x168d)](_0x2d63cc[_0x17e5bf(0x6c6)],_0x456b61?_0x456b61[_0x17e5bf(0x19c7)]:[]);})[_0x15a0c7(0x129e)](_0x2d63cc['cancelInterval']);}_0x3b02e5=_0x3d9309(_0x2d63cc[_0x37b073(0x6a6)],0x1388),_0x39b052[_0x37b073(0x16ad)](_0x37b073(0x116f),function(){_0x2d63cc['cancelInterval']();});}const _0x49fc32=_0x23fbf7;;_0x53a342[_0x313a4d(0x11c2)]=[_0x313a4d(0x921)];function _0x53a342(_0x4c6f35){const _0xda00b1=_0x313a4d;_0x4c6f35[_0xda00b1(0x13d6)]('app.settings.system',{'url':_0xda00b1(0x163e),'views':{'content@app':{'templateUrl':_0x2a1829,'controller':_0xda00b1(0x1bd0)}},'resolve':{'system':['apiResolver',function(_0x202a76){const _0x2bffd4=_0xda00b1;return _0x202a76[_0x2bffd4(0x2922)](_0x2bffd4(0x252b));}],'processes':[_0xda00b1(0x362),function(_0x10ded4){const _0x296e36=_0xda00b1;return _0x10ded4['resolve'](_0x296e36(0x2016));}]},'authenticate':!![],'permissionId':0x578,'bodyClass':_0xda00b1(0xae3)});}angular['module'](_0x313a4d(0x1c74),[])[_0x313a4d(0x989)](_0x53a342)[_0x313a4d(0x28f0)](_0x313a4d(0x1979),_0x49fc32);;const _0x22327c=_0x4acfac['p']+'src/js/modules/main/apps/settings/views/updates/updates.html/updates.html';;_0x37c55b[_0x313a4d(0x11c2)]=['$mdDialog','$interval',_0x313a4d(0x1aa9),_0x313a4d(0x1abe),'api'];function _0x37c55b(_0x5f1226,_0x254a3d,_0x333a07,_0x22bfd8,_0x45f99c){const _0x2ba64d=_0x313a4d,_0x554265=this;_0x554265[_0x2ba64d(0x1189)]=_0x2ba64d(0x1698),_0x554265[_0x2ba64d(0x2141)]=0x0,_0x554265['updating']=!![],_0x554265[_0x2ba64d(0x3de)]=_0x2ba64d(0x28b0),_0x554265[_0x2ba64d(0x1c75)]=!![],_0x554265[_0x2ba64d(0x2765)]=_0x254a3d(function(){const _0x2e9d29=_0x2ba64d;_0x554265[_0x2e9d29(0x2141)]<0x5a&&(_0x554265[_0x2e9d29(0x2141)]+=0x1);},0x3e8),_0x45f99c[_0x2ba64d(0x11b7)][_0x2ba64d(0x91e)]()[_0x2ba64d(0x2945)][_0x2ba64d(0x146b)](function(_0x2486ff){const _0x1d0958=_0x2ba64d;return _0x554265[_0x1d0958(0x3de)]=_0x2486ff[_0x1d0958(0x3de)]+_0x1d0958(0x646),_0x45f99c[_0x1d0958(0x11b7)][_0x1d0958(0x2450)]()[_0x1d0958(0x2945)];})[_0x2ba64d(0x146b)](function(_0x3b9160){const _0x39cba1=_0x2ba64d;return _0x554265[_0x39cba1(0x3de)]=_0x3b9160['output']+_0x39cba1(0x646),_0x45f99c['version'][_0x39cba1(0x2e0)]()[_0x39cba1(0x2945)];})['then'](function(_0x3c2e67){const _0x42b589=_0x2ba64d;return _0x554265['output']=_0x3c2e67['output']+'...',_0x45f99c['version'][_0x42b589(0x2263)]()['$promise'];})['then'](function(_0xc25612){const _0x18f3db=_0x2ba64d;return _0x554265[_0x18f3db(0x3de)]=_0xc25612['output']+'...',_0x45f99c[_0x18f3db(0x11b7)]['restart']()[_0x18f3db(0x2945)];})[_0x2ba64d(0x146b)](function(){const _0x53d4ae=_0x2ba64d;_0x554265[_0x53d4ae(0x3de)]=_0x53d4ae(0xb92),_0x22bfd8(function(){const _0x17a82f=_0x53d4ae;_0x554265[_0x17a82f(0x25bf)]();},0x7530);})['catch'](function(_0x2caf6c){const _0x33ff6b=_0x2ba64d;_0x554265[_0x33ff6b(0x1c75)]=![],_0x554265[_0x33ff6b(0x25bf)](),_0x554265['output']=JSON[_0x33ff6b(0x10bb)](_0x2caf6c);});function _0x23af95(){const _0xeac304=_0x2ba64d;angular['isDefined'](_0x554265[_0xeac304(0x2765)])&&(_0x254a3d[_0xeac304(0x6c3)](_0x554265[_0xeac304(0x2765)]),_0x554265[_0xeac304(0x2765)]=undefined),_0x554265[_0xeac304(0x2141)]=0x64,_0x554265[_0xeac304(0x12ac)]=![];}function _0x17bf8b(){const _0x291d16=_0x2ba64d;_0x333a07[_0x291d16(0x1002)][_0x291d16(0x2502)]();}function _0x1abbe7(){const _0x214099=_0x2ba64d;_0x5f1226[_0x214099(0x2458)]();}_0x554265[_0x2ba64d(0x13f3)]=_0x1abbe7,_0x554265[_0x2ba64d(0x2502)]=_0x17bf8b,_0x554265[_0x2ba64d(0x25bf)]=_0x23af95;}const _0x379735=_0x37c55b;;const _0x4abee9=_0x4acfac['p']+_0x313a4d(0x1f4c);;_0x4c9881[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),_0x313a4d(0xa0a),_0x313a4d(0x4d8),_0x313a4d(0x11b7)];function _0x4c9881(_0x529efa,_0x4765bc,_0x54f04e,_0xd3bc1d){const _0x20f89f=_0x313a4d,_0x1a20aa=this;_0x1a20aa[_0x20f89f(0x11b7)]=_0xd3bc1d||{};function _0x47cf1e(_0x232a12){const _0xff5a4c=_0x20f89f,_0x17943a=_0x529efa[_0xff5a4c(0x1e8a)]()[_0xff5a4c(0x1189)](_0xff5a4c(0x23bf))[_0xff5a4c(0x1cbe)]('The\x20system\x20request\x20time\x20for\x20the\x20update\x20should\x20be\x20below\x201\x20minute.

Do\x20you\x20agree\x20the\x20terms\x20of\x20this\x20End\x20User\x20License\x20Agreement?')[_0xff5a4c(0x4bd)]('')[_0xff5a4c(0x1f27)](_0x232a12)[_0xff5a4c(0xa70)](!![])[_0xff5a4c(0x3fd)](angular[_0xff5a4c(0x1853)](_0x54f04e[_0xff5a4c(0x2586)]))['ok'](_0xff5a4c(0x250f))[_0xff5a4c(0x6c3)]('Disagree');_0x529efa['show'](_0x17943a)[_0xff5a4c(0x146b)](function(){const _0x27a471=_0xff5a4c;_0x529efa[_0x27a471(0x2615)]({'controller':_0x27a471(0xd2d),'controllerAs':'vm','templateUrl':_0x4abee9,'parent':angular[_0x27a471(0x1853)](_0x54f04e[_0x27a471(0x2586)]),'targetEvent':_0x232a12,'clickOutsideToClose':![]});});}_0x1a20aa[_0x20f89f(0x166)]=_0x47cf1e;}const _0x1ccc8f=_0x4c9881;;_0xb84351['$inject']=[_0x313a4d(0x921)];function _0xb84351(_0x27211){const _0x14977b=_0x313a4d;_0x27211[_0x14977b(0x13d6)](_0x14977b(0x259e),{'url':'/updates','views':{'content@app':{'templateUrl':_0x22327c,'controller':_0x14977b(0x4a4)}},'resolve':{'version':[_0x14977b(0x362),function(_0x412248){const _0x52fddd=_0x14977b;return _0x412248[_0x52fddd(0x2922)](_0x52fddd(0x17a2),{'latest':!![]});}],'userProfile':[_0x14977b(0x362),_0x14977b(0xa87),function(_0x103293,_0x4cc632){const _0x1affa4=_0x14977b;return _0x103293['resolve'](_0x1affa4(0x119a),{'fields':_0x1affa4(0x227),'id':_0x4cc632['getCurrentUser']()[_0x1affa4(0x209a)]});}],'userProfileSection':[_0x14977b(0x362),_0x14977b(0xa87),function(_0x3f509f,_0x25bcea){const _0x2303a1=_0x14977b;return _0x3f509f['resolve']('userProfileSection@get',{'fields':_0x2303a1(0x1e64),'userProfileId':_0x25bcea[_0x2303a1(0xb12)]()['userProfileId'],'sectionId':0x578});}]},'authenticate':!![],'permissionId':0x578,'bodyClass':_0x14977b(0xae3)});}angular[_0x313a4d(0x2528)]('app.settings.updates',[])[_0x313a4d(0x989)](_0xb84351)[_0x313a4d(0x28f0)](_0x313a4d(0xd2d),_0x379735)['controller'](_0x313a4d(0x1b5a),_0x1ccc8f);;const _0xf7a2b6=_0x4acfac['p']+_0x313a4d(0x269d);;const _0x1a8107=_0x4acfac['p']+_0x313a4d(0x2345);;const _0x2fc8da=_0x4acfac['p']+'src/js/modules/main/apps/settings/views/networks/networks.html/networks.html';;const _0x4f3a68=_0x4acfac['p']+'src/js/modules/main/apps/settings/views/customizations/customizations.html/customizations.html';;const _0x4bb142=_0x4acfac['p']+'src/js/modules/main/apps/settings/views/cloudProviders/cloudProviders.html/cloudProviders.html';;const _0x54e388=_0x4acfac['p']+_0x313a4d(0x19c2);;_0x41c34f['$inject']=['$scope','$window',_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),'$translate',_0x313a4d(0x161b),_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x41c34f(_0x152952,_0xf55008,_0x31eab2,_0x4df052,_0x40e7de,_0x21a9df,_0x390eb9,_0xfe9d89,_0x26b1ed,_0x1a2e32,_0x4cd70d,_0x23b3cc,_0x5bb130,_0x26ee45,_0x3fa9c7){const _0x280a10=_0x313a4d,_0x7f5000=this;_0x7f5000[_0x280a10(0x2690)]=_0x26ee45,_0x7f5000[_0x280a10(0x15b9)]=_0x3fa9c7,_0x7f5000[_0x280a10(0x2321)]=_0x5bb130[_0x280a10(0xb12)](),_0x7f5000[_0x280a10(0x161b)]=_0x26b1ed||{'count':0x0,'rows':[]},_0x7f5000[_0x280a10(0x768)]=_0x280a10(0x161b),_0x7f5000[_0x280a10(0x216a)]='',_0x7f5000['listOrderAsc']=null,_0x7f5000['selectedAiTools']=[],_0x7f5000[_0x280a10(0x1a56)]={'fields':_0x280a10(0x1259),'sort':_0x280a10(0x12f2),'limit':0xa,'page':0x1},_0x7f5000['arrayservice']=_0x3f65c0()['keyBy']([{'option':_0x280a10(0x1908),'value':'\x27AmazonAWS\x27'}],function(_0x2b2c84){const _0x52d074=_0x280a10;return _0x3f65c0()['replace'](_0x2b2c84[_0x52d074(0x175d)],new RegExp('\x27','g'),'');}),_0x7f5000[_0x280a10(0x2ed)]=_0x1915ef,_0x7f5000[_0x280a10(0xf0a)]=_0x26ee71,_0x7f5000['success']=_0x4edadb,_0x7f5000[_0x280a10(0x21e2)]=_0x12aafb,_0x7f5000[_0x280a10(0x16e4)]=_0x2ebfc5,_0x7f5000[_0x280a10(0x1c9c)]=_0x5712a2,_0x7f5000['exportSelectedAiTools']=_0x501dc2,_0x7f5000[_0x280a10(0x1311)]=_0x51cb2a,_0x7f5000['deselectAiTools']=_0x3142ed,_0x7f5000['selectAllAiTools']=_0x52383d;function _0x1915ef(_0x266ebb,_0x388a7b){const _0x3b440b=_0x280a10;_0x40e7de['show']({'controller':_0x3b440b(0x453),'controllerAs':'vm','templateUrl':_0x54e388,'parent':angular[_0x3b440b(0x1853)](_0x21a9df[_0x3b440b(0x2586)]),'targetEvent':_0x388a7b,'clickOutsideToClose':!![],'locals':{'cloudProvider':_0x266ebb,'cloudProviders':_0x7f5000['cloudProviders'][_0x3b440b(0x19c7)],'license':_0x7f5000[_0x3b440b(0x2690)],'setting':null,'crudPermissions':_0x7f5000['crudPermissions']}});}function _0x26ee71(_0x191c04,_0x257922){const _0x592899=_0x280a10,_0x594766=_0x40e7de[_0x592899(0x1e8a)]()['title'](_0x592899(0xdb2)+_0x3f65c0()[_0x592899(0x20d1)](_0x592899(0x605))+'?')[_0x592899(0x1cbe)](''+(_0x191c04[_0x592899(0x19eb)]||'cloudProvider')+_0x592899(0x252f)+'\x20will\x20be\x20deleted.')[_0x592899(0x4bd)](_0x592899(0x141f))['targetEvent'](_0x257922)['ok']('OK')[_0x592899(0x6c3)](_0x592899(0x39a));_0x40e7de[_0x592899(0x2615)](_0x594766)[_0x592899(0x146b)](function(){_0x5712a2(_0x191c04);},function(){const _0x6b564=_0x592899;console[_0x6b564(0x1a74)](_0x6b564(0x39a));});}let _0x5193da=!![],_0x2be967=0x1;_0x152952['$watch'](_0x280a10(0x2669),function(_0x41f8b3,_0x527a3f){const _0x38afb4=_0x280a10;_0x5193da?_0x390eb9(function(){_0x5193da=![];}):(!_0x527a3f&&(_0x2be967=_0x7f5000[_0x38afb4(0x1a56)][_0x38afb4(0x844)]),_0x41f8b3!==_0x527a3f&&(_0x7f5000['query']['page']=0x1),!_0x41f8b3&&(_0x7f5000[_0x38afb4(0x1a56)][_0x38afb4(0x844)]=_0x2be967),_0x7f5000[_0x38afb4(0x21e2)]());});function _0x4edadb(_0x3fb835){const _0xa40f21=_0x280a10;_0x7f5000[_0xa40f21(0x161b)]=_0x3fb835||{'count':0x0,'rows':[]};}function _0x12aafb(){const _0x153969=_0x280a10;_0x7f5000[_0x153969(0x1a56)][_0x153969(0x145d)]=(_0x7f5000[_0x153969(0x1a56)]['page']-0x1)*_0x7f5000['query']['limit'],_0x5bb130[_0x153969(0x23e0)]('admin')?_0x7f5000[_0x153969(0xb9c)]=_0x1a2e32['cloudProvider'][_0x153969(0x16b4)](_0x7f5000[_0x153969(0x1a56)],_0x4edadb)['$promise']:(_0x7f5000[_0x153969(0x1a56)]['id']=_0x7f5000[_0x153969(0x26b6)]['id'],_0x7f5000['query']['section']=_0x153969(0x27aa),_0x7f5000[_0x153969(0xb9c)]=_0x1a2e32[_0x153969(0x26b6)][_0x153969(0x158f)](_0x7f5000['query'],_0x4edadb)[_0x153969(0x2945)]);}function _0x2ebfc5(_0x58ed45,_0x16a7f0){const _0x2fe63f=_0x280a10;_0x40e7de['show']({'controller':'CreateOrEditCloudProviderDialogController','controllerAs':'vm','templateUrl':_0x54e388,'parent':angular[_0x2fe63f(0x1853)](_0x21a9df[_0x2fe63f(0x2586)]),'targetEvent':_0x58ed45,'clickOutsideToClose':!![],'locals':{'cloudProvider':_0x16a7f0,'cloudProviders':_0x7f5000[_0x2fe63f(0x161b)]['rows'],'license':_0x7f5000[_0x2fe63f(0x2690)],'setting':_0x7f5000[_0x2fe63f(0x15b9)],'crudPermissions':_0x7f5000[_0x2fe63f(0x2514)]}});}function _0x5712a2(_0x56af16){const _0x3c1af0=_0x280a10;_0x1a2e32[_0x3c1af0(0x605)][_0x3c1af0(0x1fac)]({'id':_0x56af16['id']})[_0x3c1af0(0x2945)]['then'](function(){const _0x33931e=_0x3c1af0;_0x3f65c0()['remove'](_0x7f5000[_0x33931e(0x161b)][_0x33931e(0x19c7)],{'id':_0x56af16['id']}),_0x7f5000['cloudProviders'][_0x33931e(0x51c)]-=0x1,!_0x7f5000[_0x33931e(0x161b)][_0x33931e(0x19c7)]['length']&&_0x7f5000[_0x33931e(0x21e2)](),_0x23b3cc[_0x33931e(0x1c75)]({'title':_0x3f65c0()[_0x33931e(0x20d1)](_0x33931e(0xdb3))+'\x20deleted!','msg':_0x56af16[_0x33931e(0x19eb)]?_0x56af16['name']+_0x33931e(0x23e3):''});})['catch'](function(_0x20b1c5){const _0x3cec42=_0x3c1af0;if(_0x20b1c5[_0x3cec42(0x524)]&&_0x20b1c5[_0x3cec42(0x524)]['errors']&&_0x20b1c5['data'][_0x3cec42(0xcef)][_0x3cec42(0x402)]){_0x7f5000[_0x3cec42(0xcef)]=_0x20b1c5[_0x3cec42(0x524)][_0x3cec42(0xcef)]||[{'message':_0x20b1c5[_0x3cec42(0xd5f)](),'type':_0x3cec42(0x1328)}];for(let _0x1a7092=0x0;_0x1a7092<_0x20b1c5['data'][_0x3cec42(0xcef)][_0x3cec42(0x402)];_0x1a7092++){_0x23b3cc[_0x3cec42(0x1980)]({'title':_0x20b1c5['data'][_0x3cec42(0xcef)][_0x1a7092][_0x3cec42(0x1142)],'msg':_0x20b1c5['data']['errors'][_0x1a7092][_0x3cec42(0x7fd)]});}}else _0x23b3cc[_0x3cec42(0x1980)]({'title':_0x20b1c5[_0x3cec42(0x107b)]?_0x3cec42(0x262a)+_0x20b1c5[_0x3cec42(0x107b)]+_0x3cec42(0x1315)+_0x20b1c5[_0x3cec42(0x167f)]:'SYSTEM:DELETEcloudProvider','msg':_0x20b1c5[_0x3cec42(0x524)]?JSON[_0x3cec42(0x10bb)](_0x20b1c5[_0x3cec42(0x524)]['message']):_0x20b1c5[_0x3cec42(0x7fd)]||_0x20b1c5[_0x3cec42(0xd5f)]()});});}function _0x501dc2(){const _0x2fa031=_0x280a10,_0x125ff1=angular[_0x2fa031(0x235a)](_0x7f5000[_0x2fa031(0xd2f)]);return _0x7f5000[_0x2fa031(0xd2f)]=[],_0x125ff1;}function _0x51cb2a(_0x3afdac){const _0x23342a=_0x280a10,_0x22bb4b=_0x40e7de[_0x23342a(0x1e8a)]()[_0x23342a(0x1189)](_0x23342a(0x1302))[_0x23342a(0x1cbe)](''+_0x7f5000['selectedAiTools'][_0x23342a(0x402)]+_0x23342a(0x2452)+_0x23342a(0xe01))[_0x23342a(0x4bd)]('delete\x20CloudProviders')['targetEvent'](_0x3afdac)['ok']('OK')['cancel'](_0x23342a(0x39a));_0x40e7de['show'](_0x22bb4b)[_0x23342a(0x146b)](function(){const _0x1b3b74=_0x23342a;_0x7f5000[_0x1b3b74(0xd2f)][_0x1b3b74(0x1df5)](function(_0x1c4bcd){_0x5712a2(_0x1c4bcd);}),_0x7f5000['selectedAiTools']=[];});}function _0x3142ed(){const _0x1502c4=_0x280a10;_0x7f5000[_0x1502c4(0xd2f)]=[];}function _0x52383d(){const _0x3a6c5e=_0x280a10;_0x7f5000[_0x3a6c5e(0xd2f)]=_0x7f5000[_0x3a6c5e(0x161b)][_0x3a6c5e(0x19c7)];}}const _0x3e06fa=_0x41c34f;;_0x497209['$inject']=['$scope',_0x313a4d(0x1862),'$location',_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),'toasty',_0x313a4d(0x161b),'cloudProvider',_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),'setting'];function _0x497209(_0x4c09c0,_0x26d18c,_0x2d64c7,_0x27ba3f,_0x197b40,_0x292f0b,_0x438bff,_0x5be04a,_0x2d54f4,_0x482dc6,_0x50c3a4,_0x46501f,_0x5ebfdd){const _0x280ba7=_0x313a4d,_0x1f773b=this;_0x1f773b[_0x280ba7(0x2321)]=_0x50c3a4['getCurrentUser'](),_0x1f773b[_0x280ba7(0xcef)]=[],_0x1f773b['setting']=_0x5ebfdd,_0x1f773b[_0x280ba7(0x2690)]=_0x46501f,_0x1f773b[_0x280ba7(0x855)]={},_0x1f773b['passwordPattern']=_0x1f773b[_0x280ba7(0x15b9)]&&_0x1f773b['setting']['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x1f773b[_0x280ba7(0x1189)]='SETTINGS.EDIT_CLOUDPROVIDER',_0x1f773b[_0x280ba7(0x605)]=angular[_0x280ba7(0x235a)](_0x2d54f4),_0x1f773b[_0x280ba7(0x161b)]=_0x5be04a,_0x1f773b[_0x280ba7(0x1ccd)]=![];!_0x1f773b['cloudProvider']&&(_0x1f773b['cloudProvider']={},_0x1f773b['title']=_0x280ba7(0xde3),_0x1f773b[_0x280ba7(0x1ccd)]=!![]);_0x1f773b[_0x280ba7(0xc48)]=_0x3d97e8,_0x1f773b[_0x280ba7(0x46b)]=_0x458a89,_0x1f773b[_0x280ba7(0x1c9c)]=_0x1e17af,_0x1f773b[_0x280ba7(0xe73)]=_0x4fa653,_0x1f773b[_0x280ba7(0x13f3)]=_0x18f58e;function _0x3d97e8(){const _0x2fa013=_0x280ba7;_0x1f773b[_0x2fa013(0xcef)]=[],_0x482dc6[_0x2fa013(0x605)][_0x2fa013(0x1e3)](_0x1f773b[_0x2fa013(0x605)])['$promise']['then'](function(_0x36ac40){const _0x2a5fae=_0x2fa013;_0x1f773b['cloudProviders'][_0x2a5fae(0xb3d)](_0x36ac40[_0x2a5fae(0x2488)]()),_0x438bff['success']({'title':_0x2a5fae(0x2179),'msg':_0x1f773b[_0x2a5fae(0x605)]['name']?_0x1f773b[_0x2a5fae(0x605)]['name']+_0x2a5fae(0x1386):''}),_0x18f58e(_0x36ac40);})['catch'](function(_0x4fce22){const _0x10fb1d=_0x2fa013;if(_0x4fce22[_0x10fb1d(0x524)]&&_0x4fce22[_0x10fb1d(0x524)]['errors']&&_0x4fce22[_0x10fb1d(0x524)][_0x10fb1d(0xcef)]['length']){_0x1f773b[_0x10fb1d(0xcef)]=_0x4fce22[_0x10fb1d(0x524)][_0x10fb1d(0xcef)]||[{'message':_0x4fce22[_0x10fb1d(0xd5f)](),'type':'api.cloudProvider.save'}];for(let _0x7a3cee=0x0;_0x7a3cee<_0x4fce22[_0x10fb1d(0x524)][_0x10fb1d(0xcef)][_0x10fb1d(0x402)];_0x7a3cee+=0x1){_0x438bff['error']({'title':_0x4fce22['data'][_0x10fb1d(0xcef)][_0x7a3cee]['type'],'msg':_0x4fce22[_0x10fb1d(0x524)][_0x10fb1d(0xcef)][_0x7a3cee][_0x10fb1d(0x7fd)]});}}else _0x438bff['error']({'title':_0x4fce22[_0x10fb1d(0x107b)]?_0x10fb1d(0x262a)+_0x4fce22['status']+'\x20-\x20'+_0x4fce22[_0x10fb1d(0x167f)]:_0x10fb1d(0x780),'msg':_0x4fce22[_0x10fb1d(0x524)]?JSON[_0x10fb1d(0x10bb)](_0x4fce22[_0x10fb1d(0x524)][_0x10fb1d(0x7fd)]):_0x4fce22[_0x10fb1d(0xd5f)]()});});}function _0x458a89(){const _0x25476e=_0x280ba7;_0x1f773b['errors']=[],_0x482dc6['cloudProvider']['update']({'id':_0x1f773b[_0x25476e(0x605)]['id']},_0x1f773b['cloudProvider'])[_0x25476e(0x2945)]['then'](function(_0x1b6ccd){const _0x148a46=_0x25476e,_0x475cf2=_0x3f65c0()[_0x148a46(0xc84)](_0x1f773b[_0x148a46(0x161b)],{'id':_0x1b6ccd['id']});_0x475cf2&&_0x3f65c0()[_0x148a46(0x168d)](_0x475cf2,_0x3f65c0()[_0x148a46(0x40e)](_0x1b6ccd[_0x148a46(0x2488)](),_0x3f65c0()['keys'](_0x475cf2))),_0x438bff[_0x148a46(0x1c75)]({'title':'CloudProvider\x20properly\x20saved!','msg':_0x1f773b[_0x148a46(0x605)]['name']?_0x1f773b[_0x148a46(0x605)][_0x148a46(0x19eb)]+_0x148a46(0x24db):''}),_0x18f58e(_0x1b6ccd);})[_0x25476e(0x129e)](function(_0x23b8b0){const _0x416be0=_0x25476e;if(_0x23b8b0[_0x416be0(0x524)]&&_0x23b8b0[_0x416be0(0x524)][_0x416be0(0xcef)]&&_0x23b8b0[_0x416be0(0x524)]['errors']['length']){_0x1f773b[_0x416be0(0xcef)]=_0x23b8b0[_0x416be0(0x524)][_0x416be0(0xcef)]||[{'message':_0x23b8b0['toString'](),'type':'api.cloudProvider.update'}];for(let _0x57fff4=0x0;_0x57fff4<_0x23b8b0['data'][_0x416be0(0xcef)][_0x416be0(0x402)];_0x57fff4++){_0x438bff['error']({'title':_0x23b8b0[_0x416be0(0x524)]['errors'][_0x57fff4][_0x416be0(0x1142)],'msg':_0x23b8b0[_0x416be0(0x524)][_0x416be0(0xcef)][_0x57fff4]['message']});}}else _0x438bff[_0x416be0(0x1980)]({'title':_0x23b8b0[_0x416be0(0x107b)]?_0x416be0(0x262a)+_0x23b8b0[_0x416be0(0x107b)]+'\x20-\x20'+_0x23b8b0[_0x416be0(0x167f)]:_0x416be0(0x1e88),'msg':_0x23b8b0['data']?JSON[_0x416be0(0x10bb)](_0x23b8b0[_0x416be0(0x524)]['message']):_0x23b8b0[_0x416be0(0xd5f)]()});});}function _0x1e17af(_0x4b5b4d){const _0x13a693=_0x280ba7;_0x1f773b[_0x13a693(0xcef)]=[];const _0x149aec=_0x27ba3f[_0x13a693(0x1e8a)]()[_0x13a693(0x1189)]('Are\x20you\x20sure?')[_0x13a693(0x80f)]('The\x20cloudProvider\x20will\x20be\x20deleted.')[_0x13a693(0x4bd)](_0x13a693(0x8c4))['ok'](_0x13a693(0x25de))[_0x13a693(0x6c3)](_0x13a693(0xcf0))['targetEvent'](_0x4b5b4d);_0x27ba3f[_0x13a693(0x2615)](_0x149aec)[_0x13a693(0x146b)](function(){const _0x3b49e8=_0x13a693;_0x482dc6['cloudProvider'][_0x3b49e8(0x1fac)]({'id':_0x1f773b[_0x3b49e8(0x605)]['id']})[_0x3b49e8(0x2945)][_0x3b49e8(0x146b)](function(){const _0x50c295=_0x3b49e8;_0x3f65c0()[_0x50c295(0x2640)](_0x1f773b[_0x50c295(0x161b)],{'id':_0x1f773b['cloudProvider']['id']}),_0x438bff[_0x50c295(0x1c75)]({'title':'CloudProvider\x20properly\x20deleted!','msg':(_0x1f773b[_0x50c295(0x605)][_0x50c295(0x19eb)]||_0x50c295(0x605))+'\x20has\x20been\x20deleted!'}),_0x18f58e(_0x1f773b[_0x50c295(0x605)]);})[_0x3b49e8(0x129e)](function(_0x5be7b5){const _0x1e151b=_0x3b49e8;if(_0x5be7b5['data']&&_0x5be7b5['data'][_0x1e151b(0xcef)]&&_0x5be7b5[_0x1e151b(0x524)][_0x1e151b(0xcef)]['length']){_0x1f773b[_0x1e151b(0xcef)]=_0x5be7b5['data']['errors']||[{'message':_0x5be7b5['toString'](),'type':_0x1e151b(0x455)}];for(let _0x17a455=0x0;_0x17a455<_0x5be7b5[_0x1e151b(0x524)]['errors'][_0x1e151b(0x402)];_0x17a455++){_0x438bff[_0x1e151b(0x1980)]({'title':_0x5be7b5['data'][_0x1e151b(0xcef)][_0x17a455]['type'],'msg':_0x5be7b5['data'][_0x1e151b(0xcef)][_0x17a455][_0x1e151b(0x7fd)]});}}else _0x438bff[_0x1e151b(0x1980)]({'title':_0x5be7b5['status']?'API:'+_0x5be7b5['status']+_0x1e151b(0x1315)+_0x5be7b5[_0x1e151b(0x167f)]:_0x1e151b(0x455),'msg':_0x5be7b5['data']?JSON['stringify'](_0x5be7b5[_0x1e151b(0x524)][_0x1e151b(0x7fd)]):_0x5be7b5[_0x1e151b(0x7fd)]||_0x5be7b5['toString']()});});},function(){});}function _0x4fa653(_0x1764d4){return _0x1764d4===null?undefined:new Date(_0x1764d4);}function _0x18f58e(_0x30e6a8){const _0x400d14=_0x280ba7;_0x27ba3f[_0x400d14(0x2458)](_0x30e6a8);}}const _0x296e19=_0x497209;;_0x58efe7[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$state',_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x22e),_0x313a4d(0x22b3),_0x313a4d(0x247f),'Auth',_0x313a4d(0x2690),_0x313a4d(0x15b9),'crudPermissions'];function _0x58efe7(_0x5592f0,_0x5807a1,_0x1e3a1c,_0x9956d4,_0x1ac117,_0x4a1902,_0x155712,_0x545590,_0x1f6ca3,_0x4a3da4,_0xe480f9,_0x45dd40,_0xd3874a,_0x18101f){const _0x445875=_0x313a4d,_0x52a3c5=this;_0x52a3c5[_0x445875(0x2321)]=_0xe480f9['getCurrentUser'](),_0x52a3c5['errors']=[],_0x52a3c5[_0x445875(0x15b9)]=_0xd3874a,_0x52a3c5[_0x445875(0x2690)]=_0x45dd40,_0x52a3c5['crudPermissions']=_0x18101f,_0x52a3c5[_0x445875(0x855)]={},_0x52a3c5[_0x445875(0x2251)]=_0x52a3c5[_0x445875(0x15b9)]&&_0x52a3c5['setting']['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x52a3c5[_0x445875(0x1189)]=_0x445875(0x1dc0),_0x52a3c5[_0x445875(0x22b3)]=angular['copy'](_0x1f6ca3),_0x52a3c5['customizations']=_0x545590,_0x52a3c5[_0x445875(0xf2c)]=![];!_0x52a3c5[_0x445875(0x22b3)]&&(_0x52a3c5['customization']={},_0x52a3c5[_0x445875(0x1189)]=_0x445875(0x11b1),_0x52a3c5[_0x445875(0xf2c)]=!![]);_0x52a3c5[_0x445875(0x4a6)]=_0x29c367,_0x52a3c5[_0x445875(0x2159)]=_0x12c327,_0x52a3c5[_0x445875(0xc1d)]=_0x58304c,_0x52a3c5[_0x445875(0xe73)]=_0x2f8f1a,_0x52a3c5[_0x445875(0x13f3)]=_0x16a51d;function _0x29c367(){const _0xc4a908=_0x445875;_0x52a3c5['errors']=[],_0x4a3da4[_0xc4a908(0x15b9)][_0xc4a908(0x1e3)](_0x52a3c5[_0xc4a908(0x22b3)])['$promise'][_0xc4a908(0x146b)](function(_0x5f5425){const _0x1a3caa=_0xc4a908;_0x52a3c5['customizations'][_0x1a3caa(0xb3d)](_0x5f5425['toJSON']()),_0x155712['success']({'title':_0x1a3caa(0x2832),'msg':_0x52a3c5[_0x1a3caa(0x22b3)]['name']?_0x52a3c5[_0x1a3caa(0x22b3)][_0x1a3caa(0x19eb)]+'\x20has\x20been\x20created!':''}),_0x16a51d(_0x5f5425);})[_0xc4a908(0x129e)](function(_0x91421a){const _0x5188f0=_0xc4a908;if(_0x91421a['data']&&_0x91421a[_0x5188f0(0x524)][_0x5188f0(0xcef)]&&_0x91421a[_0x5188f0(0x524)][_0x5188f0(0xcef)][_0x5188f0(0x402)]){_0x52a3c5[_0x5188f0(0xcef)]=_0x91421a[_0x5188f0(0x524)]['errors']||[{'message':_0x91421a['toString'](),'type':_0x5188f0(0x1790)}];for(let _0x5ca28e=0x0;_0x5ca28e<_0x91421a[_0x5188f0(0x524)][_0x5188f0(0xcef)][_0x5188f0(0x402)];_0x5ca28e+=0x1){_0x155712[_0x5188f0(0x1980)]({'title':_0x91421a[_0x5188f0(0x524)][_0x5188f0(0xcef)][_0x5ca28e][_0x5188f0(0x1142)],'msg':_0x91421a['data']['errors'][_0x5ca28e][_0x5188f0(0x7fd)]});}}else _0x155712['error']({'title':_0x91421a[_0x5188f0(0x107b)]?_0x5188f0(0x262a)+_0x91421a[_0x5188f0(0x107b)]+_0x5188f0(0x1315)+_0x91421a['statusText']:_0x5188f0(0x1790),'msg':_0x91421a[_0x5188f0(0x524)]?JSON[_0x5188f0(0x10bb)](_0x91421a[_0x5188f0(0x524)][_0x5188f0(0x7fd)]):_0x91421a[_0x5188f0(0xd5f)]()});});}function _0x12c327(){const _0x1a1c6b=_0x445875;_0x52a3c5['errors']=[],_0x4a3da4[_0x1a1c6b(0x15b9)][_0x1a1c6b(0x18e1)]({'id':_0x52a3c5[_0x1a1c6b(0x22b3)]['id']},_0x52a3c5['customization'])[_0x1a1c6b(0x2945)][_0x1a1c6b(0x146b)](function(_0x3dbada){const _0x597f86=_0x1a1c6b,_0x499cbe=_0x3f65c0()[_0x597f86(0xc84)](_0x52a3c5[_0x597f86(0x22e)],{'id':_0x3dbada['id']});_0x499cbe&&_0x3f65c0()['merge'](_0x499cbe,_0x3f65c0()[_0x597f86(0x40e)](_0x3dbada[_0x597f86(0x2488)](),_0x3f65c0()[_0x597f86(0x627)](_0x499cbe))),_0x155712[_0x597f86(0x1c75)]({'title':_0x597f86(0x1a9a),'msg':_0x52a3c5[_0x597f86(0x22b3)]['name']?_0x52a3c5[_0x597f86(0x22b3)]['name']+_0x597f86(0x24db):''}),_0x16a51d(_0x3dbada);})[_0x1a1c6b(0x129e)](function(_0x2ac99b){const _0x38a103=_0x1a1c6b;if(_0x2ac99b[_0x38a103(0x524)]&&_0x2ac99b[_0x38a103(0x524)][_0x38a103(0xcef)]&&_0x2ac99b[_0x38a103(0x524)]['errors'][_0x38a103(0x402)]){_0x52a3c5[_0x38a103(0xcef)]=_0x2ac99b['data'][_0x38a103(0xcef)]||[{'message':_0x2ac99b[_0x38a103(0xd5f)](),'type':'api.setting.update'}];for(let _0x4d6e65=0x0;_0x4d6e65<_0x2ac99b[_0x38a103(0x524)]['errors'][_0x38a103(0x402)];_0x4d6e65++){_0x155712['error']({'title':_0x2ac99b['data'][_0x38a103(0xcef)][_0x4d6e65][_0x38a103(0x1142)],'msg':_0x2ac99b[_0x38a103(0x524)][_0x38a103(0xcef)][_0x4d6e65][_0x38a103(0x7fd)]});}}else _0x155712[_0x38a103(0x1980)]({'title':_0x2ac99b['status']?'API:'+_0x2ac99b[_0x38a103(0x107b)]+_0x38a103(0x1315)+_0x2ac99b[_0x38a103(0x167f)]:_0x38a103(0xddc),'msg':_0x2ac99b[_0x38a103(0x524)]?JSON[_0x38a103(0x10bb)](_0x2ac99b[_0x38a103(0x524)][_0x38a103(0x7fd)]):_0x2ac99b['toString']()});});}function _0x58304c(_0x3a3a65){const _0x303f94=_0x445875;_0x52a3c5[_0x303f94(0xcef)]=[];const _0x148c6e=_0x9956d4[_0x303f94(0x1e8a)]()[_0x303f94(0x1189)](_0x303f94(0x1d64))[_0x303f94(0x80f)](_0x303f94(0x1015))[_0x303f94(0x4bd)]('Delete\x20Customization')['ok'](_0x303f94(0x25de))['cancel'](_0x303f94(0xcf0))[_0x303f94(0x1f27)](_0x3a3a65);_0x9956d4['show'](_0x148c6e)[_0x303f94(0x146b)](function(){const _0x4d2c00=_0x303f94;_0x4a3da4[_0x4d2c00(0x15b9)][_0x4d2c00(0x1fac)]({'id':_0x52a3c5[_0x4d2c00(0x22b3)]['id']})[_0x4d2c00(0x2945)]['then'](function(){const _0x578639=_0x4d2c00;_0x3f65c0()[_0x578639(0x2640)](_0x52a3c5[_0x578639(0x22e)],{'id':_0x52a3c5['customization']['id']}),_0x155712[_0x578639(0x1c75)]({'title':_0x578639(0x926),'msg':(_0x52a3c5[_0x578639(0x22b3)][_0x578639(0x19eb)]||'customization')+_0x578639(0x23e3)}),_0x16a51d(_0x52a3c5[_0x578639(0x22b3)]);})['catch'](function(_0x27fd3e){const _0x267654=_0x4d2c00;if(_0x27fd3e[_0x267654(0x524)]&&_0x27fd3e[_0x267654(0x524)][_0x267654(0xcef)]&&_0x27fd3e['data'][_0x267654(0xcef)][_0x267654(0x402)]){_0x52a3c5['errors']=_0x27fd3e[_0x267654(0x524)][_0x267654(0xcef)]||[{'message':_0x27fd3e[_0x267654(0xd5f)](),'type':_0x267654(0x254e)}];for(let _0x2f92d6=0x0;_0x2f92d6<_0x27fd3e['data'][_0x267654(0xcef)]['length'];_0x2f92d6++){_0x155712['error']({'title':_0x27fd3e[_0x267654(0x524)]['errors'][_0x2f92d6][_0x267654(0x1142)],'msg':_0x27fd3e[_0x267654(0x524)][_0x267654(0xcef)][_0x2f92d6][_0x267654(0x7fd)]});}}else _0x155712[_0x267654(0x1980)]({'title':_0x27fd3e[_0x267654(0x107b)]?'API:'+_0x27fd3e[_0x267654(0x107b)]+_0x267654(0x1315)+_0x27fd3e[_0x267654(0x167f)]:_0x267654(0x254e),'msg':_0x27fd3e[_0x267654(0x524)]?JSON[_0x267654(0x10bb)](_0x27fd3e[_0x267654(0x524)][_0x267654(0x7fd)]):_0x27fd3e[_0x267654(0x7fd)]||_0x27fd3e[_0x267654(0xd5f)]()});});},function(){});}function _0x2f8f1a(_0x4f94ba){return _0x4f94ba===null?undefined:new Date(_0x4f94ba);}function _0x16a51d(_0x256243){const _0x33449f=_0x445875;_0x9956d4[_0x33449f(0x2458)](_0x256243);}}const _0x212012=_0x58efe7;;_0x1f35ba[_0x313a4d(0x11c2)]=['customizations',_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0x2690),'Auth'];function _0x1f35ba(_0x30b36b,_0x548584,_0x301c54,_0x399afb,_0x79158a){const _0x5eecd0=_0x313a4d,_0x7e7723=this;_0x7e7723[_0x5eecd0(0x2321)]=_0x79158a[_0x5eecd0(0xb12)](),_0x7e7723['license']=_0x399afb,_0x7e7723[_0x5eecd0(0x22e)]=_0x30b36b,_0x7e7723[_0x5eecd0(0x22b3)]={};_0x7e7723['customizations']&&_0x7e7723['customizations'][_0x5eecd0(0x19c7)]&&_0x7e7723[_0x5eecd0(0x22e)]['rows']['length']&&(_0x7e7723[_0x5eecd0(0x22b3)]=_0x7e7723[_0x5eecd0(0x22e)]['rows'][0x0]);_0x7e7723['saveCustomization']=_0xac8bde;function _0xac8bde(){const _0x1324d5=_0x5eecd0;_0x7e7723[_0x1324d5(0x22b3)]['id']?_0x548584[_0x1324d5(0x15b9)]['update']({'id':_0x7e7723['customization']['id']},_0x7e7723[_0x1324d5(0x22b3)])[_0x1324d5(0x2945)][_0x1324d5(0x146b)](function(){const _0x4f2fb3=_0x1324d5;_0x301c54[_0x4f2fb3(0x1c75)]({'title':_0x4f2fb3(0x2200),'msg':_0x7e7723[_0x4f2fb3(0x22b3)][_0x4f2fb3(0x19eb)]?_0x7e7723[_0x4f2fb3(0x22b3)][_0x4f2fb3(0x19eb)]+_0x4f2fb3(0x6b0):''});})[_0x1324d5(0x129e)](function(_0x565081){const _0x1b9f70=_0x1324d5;_0x301c54[_0x1b9f70(0x1980)]({'title':_0x565081[_0x1b9f70(0x107b)]?_0x1b9f70(0x262a)+_0x565081[_0x1b9f70(0x107b)]+_0x1b9f70(0x1315)+_0x565081[_0x1b9f70(0x167f)]:_0x1b9f70(0x83a),'msg':_0x565081[_0x1b9f70(0x524)]?JSON['stringify'](_0x565081[_0x1b9f70(0x524)]):_0x565081[_0x1b9f70(0xd5f)]()});}):_0x548584['setting'][_0x1324d5(0x1e3)](_0x7e7723[_0x1324d5(0x22b3)])['$promise']['then'](function(_0x4bffe7){const _0x572727=_0x1324d5;_0x3f65c0()[_0x572727(0x168d)](_0x7e7723[_0x572727(0x22b3)],_0x4bffe7),_0x301c54['success']({'title':'Customization\x20updated!','msg':_0x7e7723[_0x572727(0x22b3)][_0x572727(0x19eb)]?_0x7e7723['customization']['name']+_0x572727(0x6b0):''});})[_0x1324d5(0x129e)](function(_0x34264a){const _0x799260=_0x1324d5;_0x301c54[_0x799260(0x1980)]({'title':_0x34264a[_0x799260(0x107b)]?_0x799260(0x262a)+_0x34264a[_0x799260(0x107b)]+_0x799260(0x1315)+_0x34264a[_0x799260(0x167f)]:_0x799260(0x83a),'msg':_0x34264a[_0x799260(0x524)]?JSON['stringify'](_0x34264a['data']):_0x34264a[_0x799260(0xd5f)]()});});}}const _0x27095d=_0x1f35ba;;_0x559c33[_0x313a4d(0x11c2)]=['$cookies',_0x313a4d(0x1fe4)];function _0x559c33(_0x1dc93f,_0x44bb2d){const _0x479588=_0x313a4d,_0x5a2838=this,_0x40a572=0x8,_0x5a68c7=_0x40a572*0x400*0x400;_0x5a2838[_0x479588(0x22b3)]={},_0x5a2838[_0x479588(0x4c3)]={'singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0x5a68c7,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x5a2838['ngFlow']={'flow':{}},_0x5a2838['dropping']=![],_0x5a2838['fileAdded']=_0x13a5be,_0x5a2838[_0x479588(0x1dc6)]=_0x4a3042,_0x5a2838[_0x479588(0xc4c)]=_0x5b5ad2,_0x5a2838[_0x479588(0x5aa)]=_0x25e742;function _0x25e742(_0xd1a8e2){const _0x23f85d=_0x479588;_0x5a2838[_0x23f85d(0x22b3)]=angular[_0x23f85d(0x235a)](_0xd1a8e2),_0x5a2838[_0x23f85d(0x4c3)]['target']=_0x23f85d(0x148)['replace'](_0x23f85d(0xa55),_0x5a2838[_0x23f85d(0x22b3)]['id']),_0x5a2838[_0x23f85d(0x22b3)]['images']=[];}function _0x13a5be(_0x3637d5){const _0x594431=_0x479588,_0x1ce284=[_0x594431(0xadf),_0x594431(0x621),_0x594431(0x135f)];if(!_0x3f65c0()[_0x594431(0xa4e)](_0x1ce284,_0x3637d5['getExtension']()))return _0x44bb2d[_0x594431(0x1980)]({'title':_0x594431(0x4c6)+_0x3637d5[_0x594431(0x193f)](),'msg':_0x594431(0x208f)+_0x1ce284[_0x594431(0xb47)]()}),![];if(_0x3637d5[_0x594431(0x4cc)]>_0x5a68c7)return _0x44bb2d['error']({'title':'File\x20too\x20big','msg':_0x594431(0x923)+_0x40a572+'MB'}),![];const _0x3674dd={'id':_0x3637d5[_0x594431(0x1276)],'file':_0x3637d5,'type':_0x594431(0x159c)};return _0x5a2838[_0x594431(0x22b3)][_0x594431(0x1f07)][_0x594431(0xb3d)](_0x3674dd),!![];}function _0x4a3042(){const _0x3d78fa=_0x479588;_0x5a2838['ngFlow'][_0x3d78fa(0x963)][_0x3d78fa(0x1da1)][_0x3d78fa(0xa01)]={'X-Requested-With':_0x3d78fa(0x1f8f),'Authorization':'Bearer\x20'+_0x1dc93f[_0x3d78fa(0x16b4)](_0x3d78fa(0xe2d))},_0x5a2838['ngFlow']['flow'][_0x3d78fa(0x1dc6)]();}function _0x5b5ad2(_0x893708){const _0x48439c=_0x479588;angular[_0x48439c(0x1df5)](_0x5a2838[_0x48439c(0x22b3)][_0x48439c(0x1f07)],function(_0x509505){const _0x179307=_0x48439c;if(_0x509505['id']===_0x893708['uniqueIdentifier']){const _0x4673b3=new FileReader();_0x4673b3['readAsDataURL'](_0x509505[_0x179307(0x252e)][_0x179307(0x252e)]),_0x4673b3['onload']=function(_0x568cee){const _0x46b465=_0x179307;_0x509505[_0x46b465(0x20cc)]=_0x568cee['target'][_0x46b465(0x61a)];},_0x509505['type']=_0x179307(0x106c);}});}}const _0x572f3d=_0x559c33;;_0x38f294[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),_0x313a4d(0x1fe4)];function _0x38f294(_0x37b047,_0x1684dc){const _0x586b49=_0x313a4d,_0x29a8e9=this,_0x40581e=0x8,_0x4e9939=_0x40581e*0x400*0x400;_0x29a8e9['customization']={},_0x29a8e9['ngFlowOptions']={'singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0x4e9939,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x29a8e9[_0x586b49(0x1376)]={'flow':{}},_0x29a8e9['dropping']=![],_0x29a8e9[_0x586b49(0x143d)]=_0x38680a,_0x29a8e9[_0x586b49(0x1dc6)]=_0x106b97,_0x29a8e9[_0x586b49(0xc4c)]=_0x42be7f,_0x29a8e9['init']=_0x3cf923;function _0x3cf923(_0x2b0548){const _0x2884a5=_0x586b49;_0x29a8e9[_0x2884a5(0x22b3)]=angular[_0x2884a5(0x235a)](_0x2b0548),_0x29a8e9[_0x2884a5(0x4c3)]['target']=_0x2884a5(0x11d5)[_0x2884a5(0x5f4)](_0x2884a5(0xa55),_0x29a8e9[_0x2884a5(0x22b3)]['id']),_0x29a8e9[_0x2884a5(0x22b3)][_0x2884a5(0x1f07)]=[];}function _0x38680a(_0x12d111){const _0x134f4d=_0x586b49,_0x1d6ffe=[_0x134f4d(0xadf),_0x134f4d(0x621)];if(!_0x3f65c0()[_0x134f4d(0xa4e)](_0x1d6ffe,_0x12d111[_0x134f4d(0x193f)]()))return _0x1684dc[_0x134f4d(0x1980)]({'title':'Invalid\x20extension:\x20'+_0x12d111['getExtension'](),'msg':_0x134f4d(0x208f)+_0x1d6ffe[_0x134f4d(0xb47)]()}),![];if(_0x12d111[_0x134f4d(0x4cc)]>_0x4e9939)return _0x1684dc[_0x134f4d(0x1980)]({'title':_0x134f4d(0x625),'msg':_0x134f4d(0x923)+_0x40581e+'MB'}),![];const _0x2b5b2d={'id':_0x12d111[_0x134f4d(0x1276)],'file':_0x12d111,'type':'uploading'};return _0x29a8e9[_0x134f4d(0x22b3)][_0x134f4d(0x1f07)][_0x134f4d(0xb3d)](_0x2b5b2d),!![];}function _0x106b97(){const _0x27225b=_0x586b49;_0x29a8e9[_0x27225b(0x1376)][_0x27225b(0x963)]['opts'][_0x27225b(0xa01)]={'X-Requested-With':_0x27225b(0x1f8f),'Authorization':_0x27225b(0x2745)+_0x37b047[_0x27225b(0x16b4)](_0x27225b(0xe2d))},_0x29a8e9[_0x27225b(0x1376)]['flow'][_0x27225b(0x1dc6)]();}function _0x42be7f(_0x2a79e8){const _0x201a61=_0x586b49;angular[_0x201a61(0x1df5)](_0x29a8e9[_0x201a61(0x22b3)]['images'],function(_0x1dabdf){const _0xf40f35=_0x201a61;if(_0x1dabdf['id']===_0x2a79e8[_0xf40f35(0x1276)]){const _0x3c2a5b=new FileReader();_0x3c2a5b[_0xf40f35(0xa0c)](_0x1dabdf[_0xf40f35(0x252e)][_0xf40f35(0x252e)]),_0x3c2a5b['onload']=function(_0x41d3db){const _0x1f8ff2=_0xf40f35;_0x1dabdf['url']=_0x41d3db[_0x1f8ff2(0xe1d)]['result'];},_0x1dabdf[_0xf40f35(0x1142)]=_0xf40f35(0x106c);}});}}const _0x425505=_0x38f294;;_0x5affa8[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),_0x313a4d(0x1fe4)];function _0x5affa8(_0xadf948,_0x493dc0){const _0x159a48=_0x313a4d,_0x267297=this,_0x1ffcf5=0x8,_0x40d5ec=_0x1ffcf5*0x400*0x400;_0x267297[_0x159a48(0x22b3)]={},_0x267297['ngFlowOptions']={'singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0x40d5ec,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x267297['ngFlow']={'flow':{}},_0x267297[_0x159a48(0x240d)]=![],_0x267297['fileAdded']=_0x4ad863,_0x267297[_0x159a48(0x1dc6)]=_0x133a8d,_0x267297[_0x159a48(0xc4c)]=_0x5826c9,_0x267297['init']=_0x3f0aa3;function _0x3f0aa3(_0x5a6d22){const _0x3f1c5d=_0x159a48;_0x267297[_0x3f1c5d(0x22b3)]=angular[_0x3f1c5d(0x235a)](_0x5a6d22),_0x267297[_0x3f1c5d(0x4c3)]['target']=_0x3f1c5d(0x2119)[_0x3f1c5d(0x5f4)](_0x3f1c5d(0xa55),_0x267297['customization']['id']),_0x267297[_0x3f1c5d(0x22b3)][_0x3f1c5d(0x1f07)]=[];}function _0x4ad863(_0xa64cc3){const _0x19a6f0=_0x159a48,_0x3df879=['png',_0x19a6f0(0x621)];if(!_0x3f65c0()[_0x19a6f0(0xa4e)](_0x3df879,_0xa64cc3[_0x19a6f0(0x193f)]()))return _0x493dc0['error']({'title':_0x19a6f0(0x4c6)+_0xa64cc3[_0x19a6f0(0x193f)](),'msg':_0x19a6f0(0x208f)+_0x3df879['join']()}),![];if(_0xa64cc3['size']>_0x40d5ec)return _0x493dc0[_0x19a6f0(0x1980)]({'title':_0x19a6f0(0x625),'msg':_0x19a6f0(0x923)+_0x1ffcf5+'MB'}),![];const _0x55d6a5={'id':_0xa64cc3[_0x19a6f0(0x1276)],'file':_0xa64cc3,'type':_0x19a6f0(0x159c)};return _0x267297['customization'][_0x19a6f0(0x1f07)][_0x19a6f0(0xb3d)](_0x55d6a5),!![];}function _0x133a8d(){const _0x274611=_0x159a48;_0x267297['ngFlow']['flow'][_0x274611(0x1da1)]['headers']={'X-Requested-With':_0x274611(0x1f8f),'Authorization':_0x274611(0x2745)+_0xadf948[_0x274611(0x16b4)](_0x274611(0xe2d))},_0x267297[_0x274611(0x1376)][_0x274611(0x963)][_0x274611(0x1dc6)]();}function _0x5826c9(_0x5054f5){const _0x5862e8=_0x159a48;angular[_0x5862e8(0x1df5)](_0x267297[_0x5862e8(0x22b3)][_0x5862e8(0x1f07)],function(_0xe9c4a3){const _0x58102d=_0x5862e8;if(_0xe9c4a3['id']===_0x5054f5['uniqueIdentifier']){const _0x51b23b=new FileReader();_0x51b23b[_0x58102d(0xa0c)](_0xe9c4a3[_0x58102d(0x252e)][_0x58102d(0x252e)]),_0x51b23b['onload']=function(_0x3ab3dd){const _0xe7327c=_0x58102d;_0xe9c4a3['url']=_0x3ab3dd[_0xe7327c(0xe1d)][_0xe7327c(0x61a)];},_0xe9c4a3[_0x58102d(0x1142)]='image';}});}}const _0x188833=_0x5affa8;;_0x4361b6['$inject']=[_0x313a4d(0x23df),_0x313a4d(0x1fe4)];function _0x4361b6(_0x500b29,_0x5e7f4a){const _0x567b0c=_0x313a4d,_0x1225a1=this,_0x25fd76=0x8,_0x5f5d1b=_0x25fd76*0x400*0x400;_0x1225a1[_0x567b0c(0x22b3)]={},_0x1225a1[_0x567b0c(0x4c3)]={'singleFile':!![],'maxChunkRetries':0x1,'chunkSize':_0x5f5d1b,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![]},_0x1225a1[_0x567b0c(0x1376)]={'flow':{}},_0x1225a1[_0x567b0c(0x240d)]=![],_0x1225a1[_0x567b0c(0x143d)]=_0x3cad9b,_0x1225a1[_0x567b0c(0x1dc6)]=_0xf77d3f,_0x1225a1['fileSuccess']=_0xc98aa6,_0x1225a1['init']=_0x5a8fe0;function _0x5a8fe0(_0x2d4f49){const _0x38ab79=_0x567b0c;_0x1225a1[_0x38ab79(0x22b3)]=angular[_0x38ab79(0x235a)](_0x2d4f49),_0x1225a1[_0x38ab79(0x4c3)][_0x38ab79(0xe1d)]=_0x38ab79(0xce2)['replace'](_0x38ab79(0xa55),_0x1225a1[_0x38ab79(0x22b3)]['id']),_0x1225a1['customization'][_0x38ab79(0x1f07)]=[];}function _0x3cad9b(_0x39e189){const _0x1f870d=_0x567b0c,_0x58b2d9=[_0x1f870d(0xadf),'jpg'];if(!_0x3f65c0()[_0x1f870d(0xa4e)](_0x58b2d9,_0x39e189[_0x1f870d(0x193f)]()))return _0x5e7f4a[_0x1f870d(0x1980)]({'title':_0x1f870d(0x4c6)+_0x39e189[_0x1f870d(0x193f)](),'msg':_0x1f870d(0x208f)+_0x58b2d9[_0x1f870d(0xb47)]()}),![];if(_0x39e189[_0x1f870d(0x4cc)]>_0x5f5d1b)return _0x5e7f4a[_0x1f870d(0x1980)]({'title':_0x1f870d(0x625),'msg':_0x1f870d(0x923)+_0x25fd76+'MB'}),![];const _0x5cde71={'id':_0x39e189[_0x1f870d(0x1276)],'file':_0x39e189,'type':'uploading'};return _0x1225a1[_0x1f870d(0x22b3)][_0x1f870d(0x1f07)][_0x1f870d(0xb3d)](_0x5cde71),!![];}function _0xf77d3f(){const _0x394f2d=_0x567b0c;_0x1225a1[_0x394f2d(0x1376)][_0x394f2d(0x963)][_0x394f2d(0x1da1)][_0x394f2d(0xa01)]={'X-Requested-With':_0x394f2d(0x1f8f),'Authorization':_0x394f2d(0x2745)+_0x500b29[_0x394f2d(0x16b4)]('motion.token')},_0x1225a1[_0x394f2d(0x1376)]['flow'][_0x394f2d(0x1dc6)]();}function _0xc98aa6(_0x45db9a){const _0x5749bc=_0x567b0c;angular[_0x5749bc(0x1df5)](_0x1225a1[_0x5749bc(0x22b3)][_0x5749bc(0x1f07)],function(_0x4c9006){const _0x4ab15c=_0x5749bc;if(_0x4c9006['id']===_0x45db9a[_0x4ab15c(0x1276)]){const _0x171f78=new FileReader();_0x171f78[_0x4ab15c(0xa0c)](_0x4c9006[_0x4ab15c(0x252e)]['file']),_0x171f78[_0x4ab15c(0x20f6)]=function(_0x37218d){const _0xeecf5a=_0x4ab15c;_0x4c9006[_0xeecf5a(0x20cc)]=_0x37218d[_0xeecf5a(0xe1d)]['result'];},_0x4c9006['type']='image';}});}}const _0x42a37b=_0x4361b6;;_0x4d298c[_0x313a4d(0x11c2)]=['$scope','$state','$location',_0x313a4d(0x10e8),'$q','$translate',_0x313a4d(0x1fe4),'generals',_0x313a4d(0x18f),_0x313a4d(0x247f),'Auth',_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x4d298c(_0x226683,_0x4c6032,_0x1dee31,_0x53fb82,_0x47ec62,_0x1decb9,_0x1ac890,_0x55f74d,_0x144af6,_0x5d96a0,_0x5b78ec,_0x17e928,_0x2abc6d,_0x453a04){const _0x580dc7=_0x313a4d,_0x3bdcd2=this;_0x3bdcd2[_0x580dc7(0x2321)]=_0x5b78ec[_0x580dc7(0xb12)](),_0x3bdcd2[_0x580dc7(0xcef)]=[],_0x3bdcd2[_0x580dc7(0x15b9)]=_0x2abc6d,_0x3bdcd2['license']=_0x17e928,_0x3bdcd2[_0x580dc7(0x2514)]=_0x453a04,_0x3bdcd2['hasModulePermissions']={},_0x3bdcd2['passwordPattern']=_0x3bdcd2[_0x580dc7(0x15b9)]&&_0x3bdcd2['setting'][_0x580dc7(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x3bdcd2[_0x580dc7(0x1189)]=_0x580dc7(0x366),_0x3bdcd2[_0x580dc7(0x18f)]=angular[_0x580dc7(0x235a)](_0x144af6),_0x3bdcd2[_0x580dc7(0xc0c)]=_0x55f74d,_0x3bdcd2['newGeneral']=![];!_0x3bdcd2[_0x580dc7(0x18f)]&&(_0x3bdcd2[_0x580dc7(0x18f)]={'min_internal':0x3e8,'chatTimeout':0x1e,'phoneBarAutoUpdater':!![],'phoneBarAutoUpdaterUrl':'https://www.xcally.com/bar/phonebar/autoupdater.xml','splitSizeCsv':0x1388,'splitSizePdf':0x3e8,'splitSizeXlsx':0x1388,'messagesAlignment':_0x580dc7(0x1557),'phoneBarRememberMeEnabled':!![],'allowedLoginAttempts':0x5,'blockDuration':0xa,'loginMailFrequency':0xa,'enforcePasswordHistory':!![],'passwordHistoryLimit':0x3},_0x3bdcd2[_0x580dc7(0x1189)]=_0x580dc7(0x14b5),_0x3bdcd2[_0x580dc7(0x1c93)]=!![]);_0x3bdcd2['addNewGeneral']=_0x179b9f,_0x3bdcd2[_0x580dc7(0x77c)]=_0x479e3f,_0x3bdcd2[_0x580dc7(0x1288)]=_0x3be5ff,_0x3bdcd2[_0x580dc7(0xe73)]=_0x1e2abf,_0x3bdcd2[_0x580dc7(0x13f3)]=_0x321022,_0x5d96a0[_0x580dc7(0x605)]['get']({'fields':_0x580dc7(0x7a7),'sort':'name','nolimit':_0x580dc7(0x1185)})[_0x580dc7(0x2945)][_0x580dc7(0x146b)](function(_0x3fadef){const _0x32d98e=_0x580dc7;_0x3bdcd2[_0x32d98e(0x161b)]=_0x3fadef['rows']||[];})[_0x580dc7(0x129e)](function(_0x123592){const _0x35a13b=_0x580dc7;_0x1ac890[_0x35a13b(0x1980)]({'title':_0x123592[_0x35a13b(0x107b)]?_0x35a13b(0x262a)+_0x123592[_0x35a13b(0x107b)]+_0x35a13b(0x1315)+_0x123592[_0x35a13b(0x167f)]:_0x35a13b(0x1c21),'msg':_0x123592['data']?JSON['stringify'](_0x123592[_0x35a13b(0x524)]):_0x123592['toString']()});});function _0x179b9f(){const _0x37d070=_0x580dc7;_0x3bdcd2[_0x37d070(0xcef)]=[],_0x5d96a0[_0x37d070(0x15b9)][_0x37d070(0x1e3)](_0x3bdcd2['general'])['$promise']['then'](function(_0x1a4fca){const _0x1444fc=_0x37d070;_0x3bdcd2[_0x1444fc(0xc0c)][_0x1444fc(0xb3d)](_0x1a4fca[_0x1444fc(0x2488)]()),_0x1ac890[_0x1444fc(0x1c75)]({'title':'General\x20properly\x20created','msg':_0x3bdcd2[_0x1444fc(0x18f)][_0x1444fc(0x19eb)]?_0x3bdcd2['general'][_0x1444fc(0x19eb)]+_0x1444fc(0x1386):''}),_0x321022(_0x1a4fca);})[_0x37d070(0x129e)](function(_0x1f7412){const _0x4f9edc=_0x37d070;if(_0x1f7412['data']&&_0x1f7412['data'][_0x4f9edc(0xcef)]&&_0x1f7412[_0x4f9edc(0x524)][_0x4f9edc(0xcef)]['length']){_0x3bdcd2[_0x4f9edc(0xcef)]=_0x1f7412[_0x4f9edc(0x524)][_0x4f9edc(0xcef)]||[{'message':_0x1f7412['toString'](),'type':_0x4f9edc(0x1790)}];for(let _0x23210b=0x0;_0x23210b<_0x1f7412[_0x4f9edc(0x524)][_0x4f9edc(0xcef)]['length'];_0x23210b+=0x1){_0x1ac890[_0x4f9edc(0x1980)]({'title':_0x1f7412[_0x4f9edc(0x524)]['errors'][_0x23210b][_0x4f9edc(0x1142)],'msg':_0x1f7412[_0x4f9edc(0x524)][_0x4f9edc(0xcef)][_0x23210b][_0x4f9edc(0x7fd)]});}}else _0x1ac890[_0x4f9edc(0x1980)]({'title':_0x1f7412[_0x4f9edc(0x107b)]?'API:'+_0x1f7412[_0x4f9edc(0x107b)]+_0x4f9edc(0x1315)+_0x1f7412[_0x4f9edc(0x167f)]:_0x4f9edc(0x1790),'msg':_0x1f7412[_0x4f9edc(0x524)]?JSON[_0x4f9edc(0x10bb)](_0x1f7412[_0x4f9edc(0x524)]['message']):_0x1f7412['toString']()});});}function _0x479e3f(){const _0x3de8d7=_0x580dc7;_0x3bdcd2[_0x3de8d7(0xcef)]=[],_0x5d96a0['setting'][_0x3de8d7(0x18e1)]({'id':_0x3bdcd2[_0x3de8d7(0x18f)]['id']},_0x3bdcd2['general'])['$promise']['then'](function(_0x4ae57f){const _0x194cae=_0x3de8d7,_0x1ac133=_0x3f65c0()[_0x194cae(0xc84)](_0x3bdcd2[_0x194cae(0xc0c)],{'id':_0x4ae57f['id']});_0x1ac133&&_0x3f65c0()[_0x194cae(0x168d)](_0x1ac133,_0x3f65c0()[_0x194cae(0x40e)](_0x4ae57f[_0x194cae(0x2488)](),_0x3f65c0()[_0x194cae(0x627)](_0x1ac133))),_0x1ac890['success']({'title':_0x194cae(0x17f7),'msg':_0x3bdcd2[_0x194cae(0x18f)][_0x194cae(0x19eb)]?_0x3bdcd2[_0x194cae(0x18f)][_0x194cae(0x19eb)]+_0x194cae(0x24db):''}),_0x321022(_0x4ae57f);})[_0x3de8d7(0x129e)](function(_0x191eec){const _0x180d25=_0x3de8d7;if(_0x191eec[_0x180d25(0x524)]&&_0x191eec[_0x180d25(0x524)][_0x180d25(0xcef)]&&_0x191eec[_0x180d25(0x524)][_0x180d25(0xcef)][_0x180d25(0x402)]){_0x3bdcd2[_0x180d25(0xcef)]=_0x191eec['data'][_0x180d25(0xcef)]||[{'message':_0x191eec[_0x180d25(0xd5f)](),'type':_0x180d25(0xddc)}];for(let _0xc0abe6=0x0;_0xc0abe6<_0x191eec[_0x180d25(0x524)][_0x180d25(0xcef)][_0x180d25(0x402)];_0xc0abe6++){_0x1ac890['error']({'title':_0x191eec['data'][_0x180d25(0xcef)][_0xc0abe6][_0x180d25(0x1142)],'msg':_0x191eec[_0x180d25(0x524)][_0x180d25(0xcef)][_0xc0abe6]['message']});}}else _0x1ac890['error']({'title':_0x191eec['status']?_0x180d25(0x262a)+_0x191eec[_0x180d25(0x107b)]+_0x180d25(0x1315)+_0x191eec['statusText']:_0x180d25(0xddc),'msg':_0x191eec['data']?JSON[_0x180d25(0x10bb)](_0x191eec[_0x180d25(0x524)][_0x180d25(0x7fd)]):_0x191eec['toString']()});});}function _0x3be5ff(_0x1f676f){const _0x15c0a5=_0x580dc7;_0x3bdcd2[_0x15c0a5(0xcef)]=[];const _0x2e8a96=_0x53fb82[_0x15c0a5(0x1e8a)]()[_0x15c0a5(0x1189)](_0x15c0a5(0x1d64))[_0x15c0a5(0x80f)](_0x15c0a5(0x23dc))[_0x15c0a5(0x4bd)](_0x15c0a5(0x5f8))['ok']('Delete')['cancel'](_0x15c0a5(0xcf0))['targetEvent'](_0x1f676f);_0x53fb82[_0x15c0a5(0x2615)](_0x2e8a96)[_0x15c0a5(0x146b)](function(){const _0x4d7fda=_0x15c0a5;_0x5d96a0['setting']['delete']({'id':_0x3bdcd2[_0x4d7fda(0x18f)]['id']})[_0x4d7fda(0x2945)]['then'](function(){const _0x357a29=_0x4d7fda;_0x3f65c0()[_0x357a29(0x2640)](_0x3bdcd2['generals'],{'id':_0x3bdcd2[_0x357a29(0x18f)]['id']}),_0x1ac890[_0x357a29(0x1c75)]({'title':_0x357a29(0x1fbb),'msg':(_0x3bdcd2[_0x357a29(0x18f)][_0x357a29(0x19eb)]||_0x357a29(0x18f))+_0x357a29(0x23e3)}),_0x321022(_0x3bdcd2[_0x357a29(0x18f)]);})['catch'](function(_0x1ca53d){const _0x33a916=_0x4d7fda;if(_0x1ca53d[_0x33a916(0x524)]&&_0x1ca53d[_0x33a916(0x524)][_0x33a916(0xcef)]&&_0x1ca53d[_0x33a916(0x524)][_0x33a916(0xcef)][_0x33a916(0x402)]){_0x3bdcd2[_0x33a916(0xcef)]=_0x1ca53d[_0x33a916(0x524)][_0x33a916(0xcef)]||[{'message':_0x1ca53d[_0x33a916(0xd5f)](),'type':_0x33a916(0x254e)}];for(let _0x73bc36=0x0;_0x73bc36<_0x1ca53d[_0x33a916(0x524)][_0x33a916(0xcef)][_0x33a916(0x402)];_0x73bc36++){_0x1ac890[_0x33a916(0x1980)]({'title':_0x1ca53d[_0x33a916(0x524)][_0x33a916(0xcef)][_0x73bc36][_0x33a916(0x1142)],'msg':_0x1ca53d[_0x33a916(0x524)][_0x33a916(0xcef)][_0x73bc36]['message']});}}else _0x1ac890[_0x33a916(0x1980)]({'title':_0x1ca53d[_0x33a916(0x107b)]?_0x33a916(0x262a)+_0x1ca53d['status']+_0x33a916(0x1315)+_0x1ca53d[_0x33a916(0x167f)]:_0x33a916(0x254e),'msg':_0x1ca53d['data']?JSON['stringify'](_0x1ca53d[_0x33a916(0x524)][_0x33a916(0x7fd)]):_0x1ca53d[_0x33a916(0x7fd)]||_0x1ca53d[_0x33a916(0xd5f)]()});});},function(){});}function _0x1e2abf(_0x40b35d){return _0x40b35d===null?undefined:new Date(_0x40b35d);}function _0x321022(_0x30ff11){_0x53fb82['hide'](_0x30ff11);}}const _0x4d762b=_0x4d298c;;_0x3d5f2d[_0x313a4d(0x11c2)]=['generals',_0x313a4d(0x247f),'toasty',_0x313a4d(0x2690),_0x313a4d(0xa87)];function _0x3d5f2d(_0xd5bd2f,_0x1c973f,_0x26d5a8,_0xc5e387,_0x4319f9){const _0x563981=_0x313a4d,_0x1ab7c6=this;_0x1ab7c6['currentUser']=_0x4319f9[_0x563981(0xb12)](),_0x1ab7c6[_0x563981(0x2690)]=_0xc5e387,_0x1ab7c6[_0x563981(0xc0c)]=_0xd5bd2f,_0x1ab7c6[_0x563981(0x18f)]={};_0x1ab7c6[_0x563981(0xc0c)]&&_0x1ab7c6[_0x563981(0xc0c)][_0x563981(0x19c7)]&&_0x1ab7c6[_0x563981(0xc0c)][_0x563981(0x19c7)][_0x563981(0x402)]&&(_0x1ab7c6[_0x563981(0x18f)]=_0x1ab7c6['generals'][_0x563981(0x19c7)][0x0]);_0x1c973f[_0x563981(0x605)][_0x563981(0x16b4)]({'fields':_0x563981(0x7a7),'sort':_0x563981(0x19eb),'nolimit':_0x563981(0x1185)})[_0x563981(0x2945)][_0x563981(0x146b)](function(_0x52164f){const _0xe70d36=_0x563981;_0x1ab7c6[_0xe70d36(0x161b)]=_0x52164f[_0xe70d36(0x19c7)]||[];})[_0x563981(0x129e)](function(_0x4dadbb){const _0x5edf98=_0x563981;_0x26d5a8[_0x5edf98(0x1980)]({'title':_0x4dadbb[_0x5edf98(0x107b)]?_0x5edf98(0x262a)+_0x4dadbb[_0x5edf98(0x107b)]+_0x5edf98(0x1315)+_0x4dadbb[_0x5edf98(0x167f)]:_0x5edf98(0x1c21),'msg':_0x4dadbb['data']?JSON['stringify'](_0x4dadbb['data']):_0x4dadbb[_0x5edf98(0xd5f)]()});}),_0x1ab7c6[_0x563981(0x77c)]=_0x4a8ee3;function _0x4a8ee3(){const _0x49ad24=_0x563981;_0x1ab7c6[_0x49ad24(0x18f)]['id']?_0x1c973f[_0x49ad24(0x15b9)][_0x49ad24(0x18e1)]({'id':_0x1ab7c6[_0x49ad24(0x18f)]['id']},_0x1ab7c6[_0x49ad24(0x18f)])[_0x49ad24(0x2945)][_0x49ad24(0x146b)](function(){const _0x242939=_0x49ad24;_0x26d5a8[_0x242939(0x1c75)]({'title':_0x242939(0x725),'msg':_0x1ab7c6[_0x242939(0x18f)][_0x242939(0x19eb)]?_0x1ab7c6[_0x242939(0x18f)][_0x242939(0x19eb)]+_0x242939(0x6b0):''});})['catch'](function(_0x233169){const _0x1a0928=_0x49ad24;_0x26d5a8['error']({'title':_0x233169[_0x1a0928(0x107b)]?'API:'+_0x233169[_0x1a0928(0x107b)]+_0x1a0928(0x1315)+_0x233169[_0x1a0928(0x167f)]:_0x1a0928(0x83a),'msg':_0x233169[_0x1a0928(0x524)]?JSON[_0x1a0928(0x10bb)](_0x233169[_0x1a0928(0x524)]):_0x233169[_0x1a0928(0xd5f)]()});}):_0x1c973f[_0x49ad24(0x15b9)][_0x49ad24(0x1e3)](_0x1ab7c6[_0x49ad24(0x18f)])[_0x49ad24(0x2945)]['then'](function(_0x4c5179){const _0x32c202=_0x49ad24;_0x3f65c0()[_0x32c202(0x168d)](_0x1ab7c6[_0x32c202(0x18f)],_0x4c5179),_0x26d5a8[_0x32c202(0x1c75)]({'title':_0x32c202(0x725),'msg':_0x1ab7c6[_0x32c202(0x18f)][_0x32c202(0x19eb)]?_0x1ab7c6[_0x32c202(0x18f)][_0x32c202(0x19eb)]+_0x32c202(0x6b0):''});})['catch'](function(_0x518af1){const _0x22fac9=_0x49ad24;_0x26d5a8[_0x22fac9(0x1980)]({'title':_0x518af1[_0x22fac9(0x107b)]?_0x22fac9(0x262a)+_0x518af1['status']+_0x22fac9(0x1315)+_0x518af1[_0x22fac9(0x167f)]:_0x22fac9(0x83a),'msg':_0x518af1[_0x22fac9(0x524)]?JSON[_0x22fac9(0x10bb)](_0x518af1[_0x22fac9(0x524)]):_0x518af1[_0x22fac9(0xd5f)]()});});}}const _0x42176b=_0x3d5f2d;;_0x167f5c[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),'$mdDialog','$q',_0x313a4d(0x214b),'toasty',_0x313a4d(0x12d7),_0x313a4d(0x1f0c),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),'setting'];function _0x167f5c(_0x47eb01,_0x35ac96,_0x4812fc,_0x2ce1fd,_0x23dadf,_0x5d5f8f,_0x5ccb43,_0x4a99d1,_0x456a3d,_0x3bd38c,_0x47b85b,_0x44f495,_0x2840f6){const _0x521fa5=_0x313a4d,_0x2e7bcf=this;_0x2e7bcf[_0x521fa5(0x2321)]=_0x47b85b[_0x521fa5(0xb12)](),_0x2e7bcf[_0x521fa5(0xcef)]=[],_0x2e7bcf[_0x521fa5(0x15b9)]=_0x2840f6,_0x2e7bcf[_0x521fa5(0x2690)]=_0x44f495,_0x2e7bcf[_0x521fa5(0x855)]={},_0x2e7bcf[_0x521fa5(0x2251)]=_0x2e7bcf[_0x521fa5(0x15b9)]&&_0x2e7bcf[_0x521fa5(0x15b9)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x2e7bcf[_0x521fa5(0x1189)]=_0x521fa5(0x2511),_0x2e7bcf['network']=angular['copy'](_0x456a3d),_0x2e7bcf['networks']=_0x4a99d1,_0x2e7bcf[_0x521fa5(0x664)]=![];!_0x2e7bcf[_0x521fa5(0x1f0c)]&&(_0x2e7bcf['network']={'type':_0x521fa5(0x765)},_0x2e7bcf[_0x521fa5(0x1189)]='SETTINGS.NEW_NETWORK',_0x2e7bcf['newNetwork']=!![]);_0x2e7bcf[_0x521fa5(0x261c)]=_0x6c7210,_0x2e7bcf[_0x521fa5(0x1dff)]=_0x221b9a,_0x2e7bcf[_0x521fa5(0xce0)]=_0x1af93f,_0x2e7bcf[_0x521fa5(0xe73)]=_0x24ddf1,_0x2e7bcf['closeDialog']=_0x339522;function _0x6c7210(){const _0x430743=_0x521fa5;_0x2e7bcf[_0x430743(0xcef)]=[],_0x3bd38c[_0x430743(0x1f0c)][_0x430743(0x1e3)](_0x2e7bcf[_0x430743(0x1f0c)])['$promise'][_0x430743(0x146b)](function(_0x13e4ca){const _0x3ac683=_0x430743;_0x2e7bcf[_0x3ac683(0x12d7)][_0x3ac683(0xb3d)](_0x13e4ca[_0x3ac683(0x2488)]()),_0x5ccb43['success']({'title':'Network\x20properly\x20created','msg':_0x2e7bcf[_0x3ac683(0x1f0c)][_0x3ac683(0x19eb)]?_0x2e7bcf[_0x3ac683(0x1f0c)][_0x3ac683(0x19eb)]+_0x3ac683(0x1386):''}),_0x339522(_0x13e4ca);})[_0x430743(0x129e)](function(_0x1031af){const _0x2dd76c=_0x430743;if(_0x1031af[_0x2dd76c(0x524)]&&_0x1031af[_0x2dd76c(0x524)]['errors']&&_0x1031af[_0x2dd76c(0x524)]['errors']['length']){_0x2e7bcf[_0x2dd76c(0xcef)]=_0x1031af[_0x2dd76c(0x524)][_0x2dd76c(0xcef)]||[{'message':_0x1031af[_0x2dd76c(0xd5f)](),'type':_0x2dd76c(0x157a)}];for(let _0x5d1c29=0x0;_0x5d1c29<_0x1031af[_0x2dd76c(0x524)][_0x2dd76c(0xcef)][_0x2dd76c(0x402)];_0x5d1c29+=0x1){_0x5ccb43[_0x2dd76c(0x1980)]({'title':_0x1031af['data']['errors'][_0x5d1c29]['type'],'msg':_0x1031af[_0x2dd76c(0x524)]['errors'][_0x5d1c29]['message']});}}else _0x5ccb43['error']({'title':_0x1031af[_0x2dd76c(0x107b)]?_0x2dd76c(0x262a)+_0x1031af[_0x2dd76c(0x107b)]+_0x2dd76c(0x1315)+_0x1031af['statusText']:_0x2dd76c(0x157a),'msg':_0x1031af[_0x2dd76c(0x524)]?JSON[_0x2dd76c(0x10bb)](_0x1031af['data'][_0x2dd76c(0x7fd)]):_0x1031af['toString']()});});}function _0x221b9a(){const _0x454998=_0x521fa5;_0x2e7bcf[_0x454998(0xcef)]=[],_0x3bd38c[_0x454998(0x1f0c)][_0x454998(0x18e1)]({'id':_0x2e7bcf[_0x454998(0x1f0c)]['id']},_0x2e7bcf[_0x454998(0x1f0c)])[_0x454998(0x2945)][_0x454998(0x146b)](function(_0x5e72eb){const _0x13d2e8=_0x454998,_0x21a969=_0x3f65c0()[_0x13d2e8(0xc84)](_0x2e7bcf[_0x13d2e8(0x12d7)],{'id':_0x5e72eb['id']});_0x21a969&&_0x3f65c0()[_0x13d2e8(0x168d)](_0x21a969,_0x3f65c0()[_0x13d2e8(0x40e)](_0x5e72eb[_0x13d2e8(0x2488)](),_0x3f65c0()['keys'](_0x21a969))),_0x5ccb43[_0x13d2e8(0x1c75)]({'title':_0x13d2e8(0x4ea),'msg':_0x2e7bcf[_0x13d2e8(0x1f0c)]['name']?_0x2e7bcf[_0x13d2e8(0x1f0c)]['name']+'\x20has\x20been\x20saved!':''}),_0x339522(_0x5e72eb);})[_0x454998(0x129e)](function(_0xabaf7c){const _0x485380=_0x454998;if(_0xabaf7c[_0x485380(0x524)]&&_0xabaf7c[_0x485380(0x524)][_0x485380(0xcef)]&&_0xabaf7c[_0x485380(0x524)][_0x485380(0xcef)][_0x485380(0x402)]){_0x2e7bcf['errors']=_0xabaf7c[_0x485380(0x524)]['errors']||[{'message':_0xabaf7c[_0x485380(0xd5f)](),'type':'api.network.update'}];for(let _0x363483=0x0;_0x363483<_0xabaf7c[_0x485380(0x524)][_0x485380(0xcef)][_0x485380(0x402)];_0x363483++){_0x5ccb43['error']({'title':_0xabaf7c[_0x485380(0x524)][_0x485380(0xcef)][_0x363483][_0x485380(0x1142)],'msg':_0xabaf7c[_0x485380(0x524)][_0x485380(0xcef)][_0x363483][_0x485380(0x7fd)]});}}else _0x5ccb43[_0x485380(0x1980)]({'title':_0xabaf7c['status']?_0x485380(0x262a)+_0xabaf7c[_0x485380(0x107b)]+_0x485380(0x1315)+_0xabaf7c['statusText']:_0x485380(0x250a),'msg':_0xabaf7c[_0x485380(0x524)]?JSON[_0x485380(0x10bb)](_0xabaf7c['data'][_0x485380(0x7fd)]):_0xabaf7c[_0x485380(0xd5f)]()});});}function _0x1af93f(_0x2faffd){const _0x3f893e=_0x521fa5;_0x2e7bcf[_0x3f893e(0xcef)]=[];const _0x485b67=_0x2ce1fd[_0x3f893e(0x1e8a)]()[_0x3f893e(0x1189)]('Are\x20you\x20sure?')[_0x3f893e(0x80f)]('The\x20network\x20will\x20be\x20deleted.')[_0x3f893e(0x4bd)](_0x3f893e(0x1f11))['ok']('Delete')[_0x3f893e(0x6c3)](_0x3f893e(0xcf0))['targetEvent'](_0x2faffd);_0x2ce1fd[_0x3f893e(0x2615)](_0x485b67)[_0x3f893e(0x146b)](function(){const _0x23b356=_0x3f893e;_0x3bd38c[_0x23b356(0x1f0c)][_0x23b356(0x1fac)]({'id':_0x2e7bcf[_0x23b356(0x1f0c)]['id']})[_0x23b356(0x2945)]['then'](function(){const _0x3dae4b=_0x23b356;_0x3f65c0()[_0x3dae4b(0x2640)](_0x2e7bcf[_0x3dae4b(0x12d7)],{'id':_0x2e7bcf['network']['id']}),_0x5ccb43[_0x3dae4b(0x1c75)]({'title':_0x3dae4b(0x2282),'msg':(_0x2e7bcf[_0x3dae4b(0x1f0c)][_0x3dae4b(0x19eb)]||_0x3dae4b(0x1f0c))+_0x3dae4b(0x23e3)}),_0x339522(_0x2e7bcf[_0x3dae4b(0x1f0c)]);})['catch'](function(_0x3adce0){const _0x5be2a7=_0x23b356;if(_0x3adce0['data']&&_0x3adce0[_0x5be2a7(0x524)][_0x5be2a7(0xcef)]&&_0x3adce0[_0x5be2a7(0x524)][_0x5be2a7(0xcef)]['length']){_0x2e7bcf['errors']=_0x3adce0[_0x5be2a7(0x524)][_0x5be2a7(0xcef)]||[{'message':_0x3adce0[_0x5be2a7(0xd5f)](),'type':'api.network.delete'}];for(let _0x722d39=0x0;_0x722d39<_0x3adce0['data']['errors']['length'];_0x722d39++){_0x5ccb43['error']({'title':_0x3adce0[_0x5be2a7(0x524)]['errors'][_0x722d39][_0x5be2a7(0x1142)],'msg':_0x3adce0[_0x5be2a7(0x524)][_0x5be2a7(0xcef)][_0x722d39][_0x5be2a7(0x7fd)]});}}else _0x5ccb43['error']({'title':_0x3adce0[_0x5be2a7(0x107b)]?'API:'+_0x3adce0['status']+_0x5be2a7(0x1315)+_0x3adce0[_0x5be2a7(0x167f)]:_0x5be2a7(0x136b),'msg':_0x3adce0[_0x5be2a7(0x524)]?JSON['stringify'](_0x3adce0[_0x5be2a7(0x524)][_0x5be2a7(0x7fd)]):_0x3adce0[_0x5be2a7(0x7fd)]||_0x3adce0[_0x5be2a7(0xd5f)]()});});},function(){});}function _0x24ddf1(_0x5279d4){return _0x5279d4===null?undefined:new Date(_0x5279d4);}function _0x339522(_0xe371fe){const _0x25e98c=_0x521fa5;_0x2ce1fd[_0x25e98c(0x2458)](_0xe371fe);}}const _0x3433ae=_0x167f5c;;const _0x2f26ad=_0x4acfac['p']+_0x313a4d(0x1529);;_0x125ce8[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),'$mdSidenav',_0x313a4d(0x10e8),_0x313a4d(0x4d8),'$timeout',_0x313a4d(0x214b),_0x313a4d(0x12d7),_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),'Auth',_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x125ce8(_0xf885f4,_0x1401d1,_0x328311,_0x5289d7,_0x19c6f1,_0x49ba5c,_0x28bd6e,_0x1fb765,_0x23b56f,_0x226e28,_0x151082,_0xe7639a,_0x12bbd4,_0x4080f6,_0x2f61ef){const _0x3c76d5=_0x313a4d,_0x42abd3=this;_0x42abd3[_0x3c76d5(0x2690)]=_0x4080f6,_0x42abd3[_0x3c76d5(0x15b9)]=_0x2f61ef,_0x42abd3[_0x3c76d5(0x2321)]=_0x12bbd4[_0x3c76d5(0xb12)](),_0x42abd3['networks']=_0x23b56f||{'count':0x0,'rows':[]},_0x42abd3[_0x3c76d5(0x768)]='networks',_0x42abd3[_0x3c76d5(0x216a)]='',_0x42abd3[_0x3c76d5(0x214f)]=null,_0x42abd3[_0x3c76d5(0x1336)]=[],_0x42abd3[_0x3c76d5(0x1a56)]={'fields':_0x3c76d5(0x6bd),'sort':'-updatedAt','limit':0xa,'page':0x1},_0x42abd3[_0x3c76d5(0x11da)]=_0x3f65c0()[_0x3c76d5(0x194)]([{'option':_0x3c76d5(0x1971),'value':_0x3c76d5(0x1480)},{'option':_0x3c76d5(0x113),'value':_0x3c76d5(0x123e)},{'option':_0x3c76d5(0x1e54),'value':_0x3c76d5(0xa07)},{'option':_0x3c76d5(0x832),'value':_0x3c76d5(0x1fa1)}],function(_0x3632b7){const _0x16a327=_0x3c76d5;return _0x3f65c0()[_0x16a327(0x5f4)](_0x3632b7[_0x16a327(0x175d)],new RegExp('\x27','g'),'');}),_0x42abd3[_0x3c76d5(0x2ed)]=_0x58c460,_0x42abd3[_0x3c76d5(0xf0a)]=_0x26a872,_0x42abd3[_0x3c76d5(0x1c75)]=_0x296228,_0x42abd3[_0x3c76d5(0xd86)]=_0x9f14cf,_0x42abd3[_0x3c76d5(0x2258)]=_0x46933d,_0x42abd3['deleteNetwork']=_0x1af168,_0x42abd3['exportSelectedNetworks']=_0x48953e,_0x42abd3['deleteSelectedNetworks']=_0xb6007f,_0x42abd3[_0x3c76d5(0x108b)]=_0x4f786d,_0x42abd3['selectAllNetworks']=_0xd5330a;function _0x58c460(_0x4f1d42,_0x455460){const _0x53274a=_0x3c76d5;_0x19c6f1[_0x53274a(0x2615)]({'controller':_0x53274a(0x199a),'controllerAs':'vm','templateUrl':_0x2f26ad,'parent':angular[_0x53274a(0x1853)](_0x49ba5c[_0x53274a(0x2586)]),'targetEvent':_0x455460,'clickOutsideToClose':!![],'locals':{'network':_0x4f1d42,'networks':_0x42abd3[_0x53274a(0x12d7)][_0x53274a(0x19c7)],'license':_0x42abd3[_0x53274a(0x2690)],'setting':null,'crudPermissions':_0x42abd3[_0x53274a(0x2514)]}});}function _0x26a872(_0x29f468,_0x64a078){const _0x18902f=_0x3c76d5,_0x4288d8=_0x19c6f1[_0x18902f(0x1e8a)]()['title'](_0x18902f(0xdb2)+_0x3f65c0()[_0x18902f(0x20d1)](_0x18902f(0x1f0c))+'?')['htmlContent'](_0x18902f(0x16d3)+(_0x29f468['name']||_0x18902f(0x1f0c))+_0x18902f(0x252f)+_0x18902f(0xe01))['ariaLabel'](_0x18902f(0x12ad))[_0x18902f(0x1f27)](_0x64a078)['ok']('OK')['cancel'](_0x18902f(0x39a));_0x19c6f1[_0x18902f(0x2615)](_0x4288d8)[_0x18902f(0x146b)](function(){_0x1af168(_0x29f468);},function(){const _0x44cbc6=_0x18902f;console[_0x44cbc6(0x1a74)](_0x44cbc6(0x39a));});}let _0xf04803=!![],_0x12d98e=0x1;_0xf885f4['$watch'](_0x3c76d5(0x2669),function(_0x2e8efa,_0x549b4b){const _0x3275bd=_0x3c76d5;_0xf04803?_0x28bd6e(function(){_0xf04803=![];}):(!_0x549b4b&&(_0x12d98e=_0x42abd3['query']['page']),_0x2e8efa!==_0x549b4b&&(_0x42abd3[_0x3275bd(0x1a56)][_0x3275bd(0x844)]=0x1),!_0x2e8efa&&(_0x42abd3[_0x3275bd(0x1a56)][_0x3275bd(0x844)]=_0x12d98e),_0x42abd3[_0x3275bd(0xd86)]());});function _0x296228(_0x24f58a){_0x42abd3['networks']=_0x24f58a||{'count':0x0,'rows':[]};}function _0x9f14cf(){const _0x42713f=_0x3c76d5;_0x42abd3[_0x42713f(0x1a56)][_0x42713f(0x145d)]=(_0x42abd3[_0x42713f(0x1a56)]['page']-0x1)*_0x42abd3[_0x42713f(0x1a56)][_0x42713f(0x221e)],_0x12bbd4[_0x42713f(0x23e0)](_0x42713f(0x174b))?_0x42abd3['promise']=_0x226e28[_0x42713f(0x1f0c)][_0x42713f(0x16b4)](_0x42abd3[_0x42713f(0x1a56)],_0x296228)['$promise']:(_0x42abd3[_0x42713f(0x1a56)]['id']=_0x42abd3[_0x42713f(0x26b6)]['id'],_0x42abd3[_0x42713f(0x1a56)][_0x42713f(0x2146)]=_0x42713f(0xfd5),_0x42abd3[_0x42713f(0xb9c)]=_0x226e28[_0x42713f(0x26b6)]['getResources'](_0x42abd3['query'],_0x296228)[_0x42713f(0x2945)]);}function _0x46933d(_0x1657cc,_0x163131){const _0xe47cda=_0x3c76d5;_0x19c6f1[_0xe47cda(0x2615)]({'controller':_0xe47cda(0x199a),'controllerAs':'vm','templateUrl':_0x2f26ad,'parent':angular[_0xe47cda(0x1853)](_0x49ba5c[_0xe47cda(0x2586)]),'targetEvent':_0x1657cc,'clickOutsideToClose':!![],'locals':{'network':_0x163131,'networks':_0x42abd3['networks'][_0xe47cda(0x19c7)],'license':_0x42abd3[_0xe47cda(0x2690)],'setting':_0x42abd3[_0xe47cda(0x15b9)],'crudPermissions':_0x42abd3[_0xe47cda(0x2514)]}});}function _0x1af168(_0x5a006a){const _0x56d2a2=_0x3c76d5;_0x226e28[_0x56d2a2(0x1f0c)][_0x56d2a2(0x1fac)]({'id':_0x5a006a['id']})[_0x56d2a2(0x2945)][_0x56d2a2(0x146b)](function(){const _0x34a823=_0x56d2a2;_0x3f65c0()[_0x34a823(0x2640)](_0x42abd3[_0x34a823(0x12d7)][_0x34a823(0x19c7)],{'id':_0x5a006a['id']}),_0x42abd3['networks'][_0x34a823(0x51c)]-=0x1,!_0x42abd3['networks'][_0x34a823(0x19c7)][_0x34a823(0x402)]&&_0x42abd3[_0x34a823(0xd86)](),_0xe7639a['success']({'title':_0x3f65c0()[_0x34a823(0x20d1)]('Network')+_0x34a823(0x201c),'msg':_0x5a006a[_0x34a823(0x19eb)]?_0x5a006a[_0x34a823(0x19eb)]+_0x34a823(0x23e3):''});})[_0x56d2a2(0x129e)](function(_0x3e6921){const _0x3f4dcb=_0x56d2a2;if(_0x3e6921[_0x3f4dcb(0x524)]&&_0x3e6921['data'][_0x3f4dcb(0xcef)]&&_0x3e6921['data']['errors'][_0x3f4dcb(0x402)]){_0x42abd3['errors']=_0x3e6921[_0x3f4dcb(0x524)]['errors']||[{'message':_0x3e6921[_0x3f4dcb(0xd5f)](),'type':_0x3f4dcb(0xe30)}];for(let _0x1101d8=0x0;_0x1101d8<_0x3e6921[_0x3f4dcb(0x524)]['errors']['length'];_0x1101d8++){_0xe7639a['error']({'title':_0x3e6921[_0x3f4dcb(0x524)]['errors'][_0x1101d8][_0x3f4dcb(0x1142)],'msg':_0x3e6921[_0x3f4dcb(0x524)][_0x3f4dcb(0xcef)][_0x1101d8]['message']});}}else _0xe7639a['error']({'title':_0x3e6921['status']?_0x3f4dcb(0x262a)+_0x3e6921[_0x3f4dcb(0x107b)]+'\x20-\x20'+_0x3e6921[_0x3f4dcb(0x167f)]:_0x3f4dcb(0xe30),'msg':_0x3e6921[_0x3f4dcb(0x524)]?JSON[_0x3f4dcb(0x10bb)](_0x3e6921[_0x3f4dcb(0x524)][_0x3f4dcb(0x7fd)]):_0x3e6921[_0x3f4dcb(0x7fd)]||_0x3e6921[_0x3f4dcb(0xd5f)]()});});}function _0x48953e(){const _0x23e048=_0x3c76d5,_0x1bfa7d=angular['copy'](_0x42abd3[_0x23e048(0x1336)]);return _0x42abd3[_0x23e048(0x1336)]=[],_0x1bfa7d;}function _0xb6007f(_0x51bf2b){const _0x241fdc=_0x3c76d5,_0x44bcb9=_0x19c6f1[_0x241fdc(0x1e8a)]()[_0x241fdc(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20networks?')[_0x241fdc(0x1cbe)](_0x241fdc(0x16d3)+_0x42abd3[_0x241fdc(0x1336)][_0x241fdc(0x402)]+_0x241fdc(0x2452)+_0x241fdc(0xe01))['ariaLabel'](_0x241fdc(0x3ba))[_0x241fdc(0x1f27)](_0x51bf2b)['ok']('OK')['cancel'](_0x241fdc(0x39a));_0x19c6f1[_0x241fdc(0x2615)](_0x44bcb9)['then'](function(){const _0x311488=_0x241fdc;_0x42abd3[_0x311488(0x1336)]['forEach'](function(_0x4fb103){_0x1af168(_0x4fb103);}),_0x42abd3[_0x311488(0x1336)]=[];});}function _0x4f786d(){_0x42abd3['selectedNetworks']=[];}function _0xd5330a(){const _0x1174ac=_0x3c76d5;_0x42abd3[_0x1174ac(0x1336)]=_0x42abd3[_0x1174ac(0x12d7)][_0x1174ac(0x19c7)];}}const _0x33f7b0=_0x125ce8;;_0x2be8ff[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),'smtps',_0x313a4d(0x249e),_0x313a4d(0x247f),'Auth',_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x2be8ff(_0x20ada1,_0x5131fc,_0x3aefbe,_0x34ac9b,_0x348c77,_0x238d02,_0x1ca31f,_0x5e091f,_0x42446d,_0x25523b,_0x4469af,_0x1c3498,_0x48afdd,_0x2a9258){const _0x2d676e=_0x313a4d,_0x5c5ec8=this;_0x5c5ec8[_0x2d676e(0x2321)]=_0x4469af[_0x2d676e(0xb12)](),_0x5c5ec8[_0x2d676e(0xcef)]=[],_0x5c5ec8[_0x2d676e(0x15b9)]=_0x48afdd,_0x5c5ec8[_0x2d676e(0x2690)]=_0x1c3498,_0x5c5ec8[_0x2d676e(0x2514)]=_0x2a9258,_0x5c5ec8[_0x2d676e(0x855)]={},_0x5c5ec8[_0x2d676e(0x2251)]=_0x5c5ec8[_0x2d676e(0x15b9)]&&_0x5c5ec8[_0x2d676e(0x15b9)][_0x2d676e(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x5c5ec8['title']=_0x2d676e(0x878),_0x5c5ec8[_0x2d676e(0x249e)]=angular['copy'](_0x42446d),_0x5c5ec8['smtps']=_0x5e091f,_0x5c5ec8[_0x2d676e(0x2587)]=![];!_0x5c5ec8[_0x2d676e(0x249e)]&&(_0x5c5ec8[_0x2d676e(0x249e)]={'service':!![]},_0x5c5ec8['title']=_0x2d676e(0x19f0),_0x5c5ec8[_0x2d676e(0x2587)]=!![]);_0x5c5ec8[_0x2d676e(0x1044)]=_0x5c1393,_0x5c5ec8['saveSmtp']=_0x47855f,_0x5c5ec8[_0x2d676e(0x253c)]=_0x5cdfb2,_0x5c5ec8[_0x2d676e(0xe73)]=_0x1c5e1e,_0x5c5ec8[_0x2d676e(0x13f3)]=_0x1edec4;function _0x5c1393(){const _0x433e97=_0x2d676e;_0x5c5ec8['errors']=[],_0x25523b[_0x433e97(0x67d)][_0x433e97(0x1e3)](_0x5c5ec8[_0x433e97(0x249e)])[_0x433e97(0x2945)][_0x433e97(0x146b)](function(_0x1d5950){const _0x50a5dc=_0x433e97;_0x5c5ec8[_0x50a5dc(0x4a5)]['unshift'](_0x1d5950[_0x50a5dc(0x2488)]()),_0x1ca31f['success']({'title':_0x50a5dc(0x2815),'msg':_0x5c5ec8[_0x50a5dc(0x249e)][_0x50a5dc(0x19eb)]?_0x5c5ec8[_0x50a5dc(0x249e)][_0x50a5dc(0x19eb)]+_0x50a5dc(0x1386):''}),_0x1edec4(_0x1d5950);})[_0x433e97(0x129e)](function(_0x4f6ad2){const _0x2d22cb=_0x433e97;if(_0x4f6ad2['data']&&_0x4f6ad2['data'][_0x2d22cb(0xcef)]&&_0x4f6ad2[_0x2d22cb(0x524)][_0x2d22cb(0xcef)][_0x2d22cb(0x402)]){_0x5c5ec8[_0x2d22cb(0xcef)]=_0x4f6ad2[_0x2d22cb(0x524)][_0x2d22cb(0xcef)]||[{'message':_0x4f6ad2[_0x2d22cb(0xd5f)](),'type':'api.mailAccount.save'}];for(let _0x5b82a4=0x0;_0x5b82a4<_0x4f6ad2[_0x2d22cb(0x524)]['errors'][_0x2d22cb(0x402)];_0x5b82a4+=0x1){_0x1ca31f[_0x2d22cb(0x1980)]({'title':_0x4f6ad2['data'][_0x2d22cb(0xcef)][_0x5b82a4]['type'],'msg':_0x4f6ad2[_0x2d22cb(0x524)][_0x2d22cb(0xcef)][_0x5b82a4][_0x2d22cb(0x7fd)]});}}else _0x1ca31f[_0x2d22cb(0x1980)]({'title':_0x4f6ad2[_0x2d22cb(0x107b)]?_0x2d22cb(0x262a)+_0x4f6ad2['status']+_0x2d22cb(0x1315)+_0x4f6ad2[_0x2d22cb(0x167f)]:_0x2d22cb(0x489),'msg':_0x4f6ad2[_0x2d22cb(0x524)]?JSON[_0x2d22cb(0x10bb)](_0x4f6ad2[_0x2d22cb(0x524)][_0x2d22cb(0x7fd)]):_0x4f6ad2['toString']()});});}function _0x47855f(){const _0xc61ba7=_0x2d676e;_0x5c5ec8['errors']=[],_0x25523b[_0xc61ba7(0x67d)][_0xc61ba7(0x18e1)]({'id':_0x5c5ec8[_0xc61ba7(0x249e)]['id']},_0x5c5ec8[_0xc61ba7(0x249e)])[_0xc61ba7(0x2945)][_0xc61ba7(0x146b)](function(_0x413b4f){const _0x2076ac=_0xc61ba7,_0x37af06=_0x3f65c0()['find'](_0x5c5ec8[_0x2076ac(0x4a5)],{'id':_0x413b4f['id']});_0x37af06&&_0x3f65c0()[_0x2076ac(0x168d)](_0x37af06,_0x3f65c0()[_0x2076ac(0x40e)](_0x413b4f['toJSON'](),_0x3f65c0()[_0x2076ac(0x627)](_0x37af06))),_0x1ca31f[_0x2076ac(0x1c75)]({'title':_0x2076ac(0x1085),'msg':_0x5c5ec8[_0x2076ac(0x249e)][_0x2076ac(0x19eb)]?_0x5c5ec8[_0x2076ac(0x249e)][_0x2076ac(0x19eb)]+_0x2076ac(0x24db):''}),_0x1edec4(_0x413b4f);})[_0xc61ba7(0x129e)](function(_0x577bfb){const _0x50bf08=_0xc61ba7;if(_0x577bfb[_0x50bf08(0x524)]&&_0x577bfb[_0x50bf08(0x524)][_0x50bf08(0xcef)]&&_0x577bfb[_0x50bf08(0x524)][_0x50bf08(0xcef)]['length']){_0x5c5ec8[_0x50bf08(0xcef)]=_0x577bfb[_0x50bf08(0x524)][_0x50bf08(0xcef)]||[{'message':_0x577bfb['toString'](),'type':_0x50bf08(0xa6c)}];for(let _0x79a711=0x0;_0x79a711<_0x577bfb[_0x50bf08(0x524)][_0x50bf08(0xcef)][_0x50bf08(0x402)];_0x79a711++){_0x1ca31f['error']({'title':_0x577bfb[_0x50bf08(0x524)]['errors'][_0x79a711][_0x50bf08(0x1142)],'msg':_0x577bfb[_0x50bf08(0x524)][_0x50bf08(0xcef)][_0x79a711][_0x50bf08(0x7fd)]});}}else _0x1ca31f[_0x50bf08(0x1980)]({'title':_0x577bfb[_0x50bf08(0x107b)]?_0x50bf08(0x262a)+_0x577bfb[_0x50bf08(0x107b)]+_0x50bf08(0x1315)+_0x577bfb[_0x50bf08(0x167f)]:'api.mailAccount.update','msg':_0x577bfb[_0x50bf08(0x524)]?JSON[_0x50bf08(0x10bb)](_0x577bfb['data'][_0x50bf08(0x7fd)]):_0x577bfb['toString']()});});}function _0x5cdfb2(_0x165297){const _0x13357e=_0x2d676e;_0x5c5ec8[_0x13357e(0xcef)]=[];const _0x27eec9=_0x34ac9b[_0x13357e(0x1e8a)]()[_0x13357e(0x1189)](_0x13357e(0x1d64))[_0x13357e(0x80f)](_0x13357e(0x13fe))[_0x13357e(0x4bd)](_0x13357e(0x2316))['ok'](_0x13357e(0x25de))[_0x13357e(0x6c3)]('Cancel')[_0x13357e(0x1f27)](_0x165297);_0x34ac9b[_0x13357e(0x2615)](_0x27eec9)[_0x13357e(0x146b)](function(){const _0x47b0ba=_0x13357e;_0x25523b[_0x47b0ba(0x67d)]['delete']({'id':_0x5c5ec8[_0x47b0ba(0x249e)]['id']})[_0x47b0ba(0x2945)][_0x47b0ba(0x146b)](function(){const _0x3f47cd=_0x47b0ba;_0x3f65c0()[_0x3f47cd(0x2640)](_0x5c5ec8[_0x3f47cd(0x4a5)],{'id':_0x5c5ec8['smtp']['id']}),_0x1ca31f[_0x3f47cd(0x1c75)]({'title':_0x3f47cd(0x1267),'msg':(_0x5c5ec8[_0x3f47cd(0x249e)][_0x3f47cd(0x19eb)]||_0x3f47cd(0x249e))+_0x3f47cd(0x23e3)}),_0x1edec4(_0x5c5ec8['smtp']);})[_0x47b0ba(0x129e)](function(_0x4b2902){const _0x465fd1=_0x47b0ba;if(_0x4b2902[_0x465fd1(0x524)]&&_0x4b2902[_0x465fd1(0x524)][_0x465fd1(0xcef)]&&_0x4b2902[_0x465fd1(0x524)][_0x465fd1(0xcef)][_0x465fd1(0x402)]){_0x5c5ec8[_0x465fd1(0xcef)]=_0x4b2902['data']['errors']||[{'message':_0x4b2902['toString'](),'type':_0x465fd1(0x14b0)}];for(let _0x185b81=0x0;_0x185b81<_0x4b2902[_0x465fd1(0x524)][_0x465fd1(0xcef)]['length'];_0x185b81++){_0x1ca31f['error']({'title':_0x4b2902[_0x465fd1(0x524)][_0x465fd1(0xcef)][_0x185b81][_0x465fd1(0x1142)],'msg':_0x4b2902[_0x465fd1(0x524)][_0x465fd1(0xcef)][_0x185b81][_0x465fd1(0x7fd)]});}}else _0x1ca31f[_0x465fd1(0x1980)]({'title':_0x4b2902['status']?'API:'+_0x4b2902[_0x465fd1(0x107b)]+'\x20-\x20'+_0x4b2902[_0x465fd1(0x167f)]:'api.mailAccount.delete','msg':_0x4b2902['data']?JSON[_0x465fd1(0x10bb)](_0x4b2902[_0x465fd1(0x524)][_0x465fd1(0x7fd)]):_0x4b2902[_0x465fd1(0x7fd)]||_0x4b2902[_0x465fd1(0xd5f)]()});});},function(){});}function _0x1c5e1e(_0x5c3aaa){return _0x5c3aaa===null?undefined:new Date(_0x5c3aaa);}function _0x1edec4(_0x4177f1){const _0x2efd86=_0x2d676e;_0x34ac9b[_0x2efd86(0x2458)](_0x4177f1);}}const _0x487936=_0x2be8ff;;_0x4d5799['$inject']=[_0x313a4d(0x4a5),_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0x2690),'Auth'];function _0x4d5799(_0x594114,_0x245149,_0x580985,_0x3f10da,_0x4228a5){const _0x417d7d=_0x313a4d,_0x5a39ac=this;_0x5a39ac[_0x417d7d(0x2321)]=_0x4228a5['getCurrentUser'](),_0x5a39ac[_0x417d7d(0x2690)]=_0x3f10da,_0x5a39ac[_0x417d7d(0x4a5)]=_0x594114,_0x5a39ac[_0x417d7d(0x249e)]={};_0x5a39ac['smtps']&&_0x5a39ac[_0x417d7d(0x4a5)]['rows']&&_0x5a39ac[_0x417d7d(0x4a5)][_0x417d7d(0x19c7)]['length']?_0x5a39ac['smtp']=_0x5a39ac[_0x417d7d(0x4a5)][_0x417d7d(0x19c7)][0x0]:_0x5a39ac[_0x417d7d(0x249e)]={'service':!![],'Smtp':{'service':null}};_0x5a39ac[_0x417d7d(0x15b3)]=_0x3f296b,_0x5a39ac[_0x417d7d(0x218e)]=_0x1a7fa9;function _0x1a7fa9(){const _0x2a8a71=_0x417d7d;_0x5a39ac['smtp']['id']&&_0x245149['mailAccount'][_0x2a8a71(0x218e)]({'id':_0x5a39ac['smtp']['id']})['$promise']['then'](function(){const _0x9528f6=_0x2a8a71;_0x580985['success']({'title':_0x9528f6(0x22c4),'msg':_0x5a39ac[_0x9528f6(0x249e)]['name']?_0x5a39ac[_0x9528f6(0x249e)]['name']+'\x20has\x20been\x20verified!':''});})[_0x2a8a71(0x129e)](function(_0x1e9c53){const _0x494be5=_0x2a8a71;_0x580985[_0x494be5(0x1980)]({'title':_0x494be5(0x3b5),'msg':_0x1e9c53[_0x494be5(0x524)]?JSON['stringify'](_0x1e9c53['data']):_0x1e9c53[_0x494be5(0xd5f)](),'timeout':0x2710});});}function _0x3f296b(){const _0x5d176b=_0x417d7d;_0x5a39ac[_0x5d176b(0x249e)]['id']?_0x245149[_0x5d176b(0x67d)][_0x5d176b(0x18e1)]({'id':_0x5a39ac['smtp']['id']},_0x5a39ac['smtp'])[_0x5d176b(0x2945)][_0x5d176b(0x146b)](function(){const _0x228d6a=_0x5d176b;_0x580985['success']({'title':_0x228d6a(0x1f9),'msg':_0x5a39ac[_0x228d6a(0x249e)][_0x228d6a(0x19eb)]?_0x5a39ac[_0x228d6a(0x249e)][_0x228d6a(0x19eb)]+_0x228d6a(0x6b0):''});})[_0x5d176b(0x129e)](function(_0x42d0fe){const _0x5aa9b1=_0x5d176b;_0x580985[_0x5aa9b1(0x1980)]({'title':_0x42d0fe[_0x5aa9b1(0x107b)]?_0x5aa9b1(0x262a)+_0x42d0fe[_0x5aa9b1(0x107b)]+_0x5aa9b1(0x1315)+_0x42d0fe[_0x5aa9b1(0x167f)]:'SYSTEM:GETmailAccount','msg':_0x42d0fe[_0x5aa9b1(0x524)]?JSON[_0x5aa9b1(0x10bb)](_0x42d0fe[_0x5aa9b1(0x524)]):_0x42d0fe[_0x5aa9b1(0xd5f)]()});}):_0x245149['mailAccount']['save'](_0x5a39ac['smtp'])['$promise'][_0x5d176b(0x146b)](function(_0x2d2a5c){const _0x12325c=_0x5d176b;_0x3f65c0()['merge'](_0x5a39ac[_0x12325c(0x249e)],_0x2d2a5c),_0x580985['success']({'title':'Smtp\x20updated!','msg':_0x5a39ac['smtp']['name']?_0x5a39ac['smtp'][_0x12325c(0x19eb)]+_0x12325c(0x6b0):''});})[_0x5d176b(0x129e)](function(_0x3b7aa2){const _0x283470=_0x5d176b;_0x580985[_0x283470(0x1980)]({'title':_0x3b7aa2['status']?_0x283470(0x262a)+_0x3b7aa2[_0x283470(0x107b)]+_0x283470(0x1315)+_0x3b7aa2[_0x283470(0x167f)]:_0x283470(0x12eb),'msg':_0x3b7aa2[_0x283470(0x524)]?JSON[_0x283470(0x10bb)](_0x3b7aa2['data']):_0x3b7aa2[_0x283470(0xd5f)]()});});}}const _0x5b3948=_0x4d5799;;_0x23235a[_0x313a4d(0x11c2)]=[_0x313a4d(0x921),'$translatePartialLoaderProvider'];function _0x23235a(_0x4b5d6e,_0x2a7c76){const _0x320971=_0x313a4d;_0x4b5d6e[_0x320971(0x13d6)](_0x320971(0x175),{'abstract':!![],'url':_0x320971(0x1a0f)})['state']('app.settings.generals',{'url':_0x320971(0x1318),'views':{'content@app':{'templateUrl':_0xf7a2b6,'controller':_0x320971(0x164)}},'resolve':{'generals':[_0x320971(0x362),function(_0x3dc073){const _0x2f6eea=_0x320971;return _0x3dc073[_0x2f6eea(0x2922)](_0x2f6eea(0x20b),{'fields':'createdAt,updatedAt,id,min_internal,securePassword,callRecordingEncryption,chatTimeout,phoneBarAutoUpdater,defaultScreenRecordingPath,phoneBarAutoUpdaterUrl,enableEmailPreview,split,splitSizeCsv,splitSizePdf,splitSizeXlsx,messagesAlignment,phoneBarRememberMeEnabled,rtlSupport,allowedLoginAttempts,blockDuration,loginMailFrequency,language,bucket,transcribe,transcribeRegion,transcribeAccountId,sentiment,sentimentRegion,sentimentAccountId,googleSsoEnabled,enforcePasswordHistory,passwordHistoryLimit','limit':0xa,'offset':0x0});}]},'authenticate':!![],'permissionId':0x578,'bodyClass':_0x320971(0xae3)})[_0x320971(0x13d6)](_0x320971(0x1174),{'url':_0x320971(0x981),'views':{'content@app':{'templateUrl':_0x1a8107,'controller':'SmtpController\x20as\x20vm'}},'resolve':{'smtps':[_0x320971(0x362),function(_0x133043){const _0x98e5f1=_0x320971;return _0x133043[_0x98e5f1(0x2922)](_0x98e5f1(0x541),{'fields':'createdAt,updatedAt,id,service,name,email,Smtp.service,Smtp.host,Smtp.port,Smtp.secure,Smtp.authentication,Smtp.user,Smtp.pass,description','service':'1','limit':0xa,'offset':0x0});}]},'authenticate':!![],'permissionId':0x578,'bodyClass':_0x320971(0xae3)})[_0x320971(0x13d6)](_0x320971(0xe1c),{'url':_0x320971(0x1a0d),'views':{'content@app':{'templateUrl':_0x2fc8da,'controller':_0x320971(0x11bb)}},'resolve':{'networks':[_0x320971(0x362),function(_0x53ce50){const _0x56ed45=_0x320971;return _0x53ce50[_0x56ed45(0x2922)](_0x56ed45(0xed4),{'fields':_0x56ed45(0x6bd),'sort':_0x56ed45(0x12f2),'limit':0xa,'offset':0x0});}]},'authenticate':!![],'permissionId':0x578,'bodyClass':_0x320971(0xae3)})[_0x320971(0x13d6)]('app.settings.customizations',{'url':_0x320971(0x326),'views':{'content@app':{'templateUrl':_0x4f3a68,'controller':_0x320971(0x9df)}},'resolve':{'customizations':['apiResolver',function(_0x48ffd6){const _0x507da9=_0x320971;return _0x48ffd6[_0x507da9(0x2922)]('setting@get',{'fields':'createdAt,updatedAt,id,defaultLogo,defaultLoginLogo,defaultFavicon,defaultHeaderWhiteLabel,headerWhiteLabel,defaultPageTitle,pageTitle,defaultPreferred','limit':0xa,'offset':0x0});}]},'authenticate':!![],'permissionId':0x578,'bodyClass':'settings'})[_0x320971(0x13d6)](_0x320971(0x2004),{'url':'/cloudProviders','views':{'content@app':{'templateUrl':_0x4bb142,'controller':_0x320971(0x234a)}},'resolve':{'cloudProviders':[_0x320971(0x362),function(_0x59fde5){const _0x450d01=_0x320971;return _0x59fde5[_0x450d01(0x2922)]('cloudProvider@get',{'fields':_0x450d01(0x1259),'sort':'-updatedAt','limit':0xa,'offset':0x0});}]},'authenticate':!![],'permissionId':0x578,'bodyClass':'settings'}),_0x2a7c76['addPart'](_0x320971(0x9cd));}angular['module']('app.settings',[_0x313a4d(0xdad),'ngPassword',_0x313a4d(0x23c7),_0x313a4d(0x963),'mdColorPicker',_0x313a4d(0x27c1),_0x313a4d(0x195b),_0x313a4d(0x15ef),_0x313a4d(0xc86),_0x313a4d(0x1f5f),_0x313a4d(0x28a8),'mwFormViewer','mwFormUtils',_0x313a4d(0x581),_0x313a4d(0x1bd2),_0x313a4d(0x1792),_0x313a4d(0x1b65),_0x313a4d(0x1626),_0x313a4d(0x2713),'angular.filter','app.settings.updates',_0x313a4d(0x198b),_0x313a4d(0x1c74)])[_0x313a4d(0x989)](_0x23235a)[_0x313a4d(0x28f0)](_0x313a4d(0x491),_0x3e06fa)['controller'](_0x313a4d(0x453),_0x296e19)['controller'](_0x313a4d(0x1afe),_0x212012)[_0x313a4d(0x28f0)](_0x313a4d(0xf59),_0x27095d)['controller']('CustomizationfaviconController',_0x572f3d)[_0x313a4d(0x28f0)](_0x313a4d(0x21df),_0x425505)[_0x313a4d(0x28f0)](_0x313a4d(0x1a5a),_0x188833)[_0x313a4d(0x28f0)](_0x313a4d(0x983),_0x42a37b)[_0x313a4d(0x28f0)](_0x313a4d(0x24a8),_0x4d762b)['controller'](_0x313a4d(0x2617),_0x42176b)['controller'](_0x313a4d(0x199a),_0x3433ae)[_0x313a4d(0x28f0)](_0x313a4d(0x197d),_0x33f7b0)[_0x313a4d(0x28f0)](_0x313a4d(0x1fb7),_0x487936)[_0x313a4d(0x28f0)](_0x313a4d(0xb4d),_0x5b3948);;const _0x3a9e=_0x4acfac['p']+_0x313a4d(0x1030);;const _0x48ccf9=_0x4acfac['p']+'src/js/modules/main/apps/motiondialer/views/realtime/agents/view.html/view.html';;const _0x55dc5e=_0x4acfac['p']+_0x313a4d(0x27b5);;const _0x13d5bf=_0x4acfac['p']+_0x313a4d(0x1046);;const _0x40b2c8=_0x4acfac['p']+_0x313a4d(0x886);;const _0x374ed1=_0x4acfac['p']+_0x313a4d(0x21ea);;const _0x43482f=_0x4acfac['p']+'src/js/modules/main/apps/motiondialer/views/realtime/ivr_campaigns/view.html/view.html';;const _0x33ee4d=_0x4acfac['p']+_0x313a4d(0xee9);;_0x20aa3a[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1abe),_0x313a4d(0x10e8),'$document',_0x313a4d(0x1aa9),_0x313a4d(0x214b),_0x313a4d(0x247f),'userProfile',_0x313a4d(0x1366),_0x313a4d(0xa87),'socket',_0x313a4d(0x1fe4),'agents',_0x313a4d(0x2647)];function _0x20aa3a(_0x5173df,_0x1bc64b,_0x30f34b,_0x492659,_0x593a18,_0x54654c,_0x14a09b,_0x35ee57,_0x2c89ea,_0x320832,_0x181100,_0x42ebb6,_0x348b27,_0x3243ee){const _0x376c41=_0x313a4d,_0x48e547=this;_0x48e547[_0x376c41(0x2321)]=_0x320832[_0x376c41(0xb12)](),_0x48e547[_0x376c41(0x23c0)]=_0x348b27?_0x348b27:[],_0x48e547[_0x376c41(0x2647)]=_0x3243ee,_0x48e547[_0x376c41(0x2097)]={'count':0x0,'rows':[]},_0x48e547[_0x376c41(0x181b)]=![],_0x48e547[_0x376c41(0x258a)]=!![],_0x48e547[_0x376c41(0x26b6)]=_0x35ee57,_0x48e547['userProfileSection']=_0x2c89ea&&_0x2c89ea[_0x376c41(0x51c)]==0x1?_0x2c89ea[_0x376c41(0x19c7)][0x0]:null,_0x48e547[_0x376c41(0x2514)]=_0x320832[_0x376c41(0xe60)](_0x48e547['userProfileSection']?_0x48e547['userProfileSection'][_0x376c41(0x2514)]:null),_0x48e547[_0x376c41(0x1a56)]={'fields':_0x376c41(0x655),'type':'outbound','queuecallerexitreason':'TIMEOUT'+','+_0x376c41(0x1f0a),'sort':_0x376c41(0x12f2),'limit':0xa,'page':0x1},_0x48e547[_0x376c41(0x1d84)]=_0x219fbc,_0x48e547[_0x376c41(0x2482)]=_0x5d7117,_0x48e547[_0x376c41(0x1e2e)]=_0x2a8a84,_0x48e547[_0x376c41(0x25ed)]=_0x36975d,_0x48e547[_0x376c41(0x196c)]=_0x4e15eb,_0x48e547[_0x376c41(0x6b3)]=_0x20f774,_0x181100[_0x376c41(0x13b7)]('voiceQueueReport:save',_0x48e547[_0x376c41(0x196c)]),_0x181100['on']('voiceQueueReport:update',_0x48e547[_0x376c41(0x6b3)]),_0x35aa21();function _0x35aa21(){const _0x273716=_0x376c41;let _0x156e13=_0x593a18[_0x273716(0x1c39)][_0x273716(0x1519)]('motion2.realtime.abandoned.bull.abandonedCalls:'+_0x48e547[_0x273716(0x2321)]['id']);if(_0x156e13)_0x156e13=JSON[_0x273716(0x975)](_0x156e13),_0x156e13&&_0x156e13[_0x273716(0x1a56)]&&(_0x48e547[_0x273716(0x1a56)]=_0x3f65c0()[_0x273716(0x168d)](_0x156e13['query'],_0x3f65c0()['omit'](_0x48e547['query'],['sort',_0x273716(0x221e),'page'])),_0x48e547[_0x273716(0x1a56)]=_0x3f65c0()['omit'](_0x48e547['query'],_0x273716(0x1dd6)));else{const _0x56c809={'$gte':_0x2deec6()()[_0x273716(0x1fcc)]('month')[_0x273716(0x65f)](0x0,!![])['format'](),'$lte':_0x2deec6()()[_0x273716(0x824)](_0x273716(0x12b7))[_0x273716(0x65f)](0x0,!![])[_0x273716(0x22b0)]()};_0x48e547[_0x273716(0x1a56)][_0x273716(0xc68)]=_0x56c809;}_0x320832[_0x273716(0x23e0)]('admin')?_0x14a09b['user'][_0x273716(0xc53)]({'id':_0x320832[_0x273716(0xb12)]()['id'],'fields':_0x273716(0x7a7),'channel':_0x273716(0xe6),'type':_0x273716(0xc9c),'nolimit':!![]})[_0x273716(0x2945)][_0x273716(0x146b)](function(_0x3f2661){const _0x3b8a10=_0x273716;_0x48e547[_0x3b8a10(0x1b32)]=_0x3f2661||{'count':0x0,'rows':[]},_0x48e547['queuesMap']=_0x3f65c0()[_0x3b8a10(0x1de4)](_0x3f65c0()[_0x3b8a10(0x194)](_0x48e547[_0x3b8a10(0x1b32)][_0x3b8a10(0x19c7)],'name'),_0x3b8a10(0x19eb));})[_0x273716(0x129e)](function(_0x5b60eb){const _0x428643=_0x273716;console[_0x428643(0x1980)](_0x5b60eb);})['finally'](function(){const _0x1f0c50=_0x273716;_0x48e547[_0x1f0c50(0x28af)]=_0x423bf7(),_0x48e547[_0x1f0c50(0x1d84)]();}):_0x14a09b['userProfile'][_0x273716(0x158f)]({'id':_0x48e547['currentUser'][_0x273716(0x209a)],'section':_0x273716(0x15d6),'channel':_0x273716(0xe6),'type':_0x273716(0xc9c),'sort':'-updatedAt','nolimit':!![]})[_0x273716(0x2945)][_0x273716(0x146b)](function(_0x19ca92){const _0x5caca1=_0x273716;_0x48e547[_0x5caca1(0x1b32)]=_0x19ca92||{'count':0x0,'rows':[]},_0x48e547['queuesMap']=_0x3f65c0()[_0x5caca1(0x1de4)](_0x3f65c0()[_0x5caca1(0x194)](_0x48e547[_0x5caca1(0x1b32)]['rows'],_0x5caca1(0x19eb)),'name');})[_0x273716(0x129e)](function(_0x5d7608){const _0x50a8b4=_0x273716;console[_0x50a8b4(0x1980)](_0x5d7608);})['finally'](function(){const _0x367278=_0x273716;_0x48e547[_0x367278(0x28af)]=_0x423bf7(),_0x48e547['getAbandonedCalls']();});}function _0x423bf7(){const _0x1d129b=_0x376c41;return[{'name':'Start\x20Date','key':'createdAt','type':_0x1d129b(0x1a10),'label':'DASHBOARDS.SELECT_DATE'},{'name':_0x1d129b(0x294a),'key':_0x1d129b(0x19ac),'type':'select','label':_0x1d129b(0x127),'options':_0x48e547[_0x1d129b(0x23c0)][_0x1d129b(0x19c7)],'customOptions':[{'value':_0x1d129b(0xa03),'translate':_0x1d129b(0x38d)},{'value':undefined,'translate':_0x1d129b(0x175e)}]},{'name':_0x1d129b(0x1cd3),'key':'queue','type':'multiselect','label':'DASHBOARDS.SELECT_CAMPAIGN','options':_0x48e547[_0x1d129b(0x1b32)][_0x1d129b(0x19c7)]}];}function _0x219fbc(){const _0x582226=_0x376c41;_0x48e547['refresh']=![],_0x48e547['queues']['count']>0x0?(_0x48e547[_0x582226(0x1a56)][_0x582226(0x1c72)]=_0x48e547[_0x582226(0x1b32)][_0x582226(0x51c)]===0x1?_0x48e547['queues'][_0x582226(0x19c7)][0x0]['name']:_0x48e547[_0x582226(0x1a56)][_0x582226(0x1c72)],_0x48e547[_0x582226(0x1a56)][_0x582226(0x145d)]=(_0x48e547['query']['page']-0x1)*_0x48e547[_0x582226(0x1a56)]['limit'],_0x593a18[_0x582226(0x1c39)]['setItem'](_0x582226(0x789)+_0x48e547[_0x582226(0x2321)]['id'],JSON['stringify']({'query':_0x3f65c0()[_0x582226(0x2432)](_0x48e547[_0x582226(0x1a56)],_0x582226(0x1c72))})),_0x48e547['promise']=_0x14a09b[_0x582226(0x19ef)]['getVoiceQueuesReport'](_0x48e547[_0x582226(0x1a56)],_0x478038)[_0x582226(0x2945)]):_0x478038(null);}function _0x478038(_0xe2c9cd){_0x48e547['abandonedCalls']=_0xe2c9cd||{'count':0x0,'rows':[]},_0x48e547['refresh']=!![];}function _0x5d7117(){const _0x4c1f98=_0x376c41;_0x48e547[_0x4c1f98(0x181b)]=![],_0x48e547[_0x4c1f98(0x1d84)]();}function _0x4e15eb(_0xfb6311){const _0x8db700=_0x376c41,_0x370558=_0x8db700(0xc9c);_0xfb6311&&_0x48e547[_0x8db700(0x212b)]&&_0xfb6311[_0x8db700(0x1c72)][_0x8db700(0x1142)]===_0x370558&&_0x48e547[_0x8db700(0x212b)][_0xfb6311[_0x8db700(0x1c72)]]&&(_0x48e547[_0x8db700(0x181b)]=!![]);}function _0x20f774(_0x1d3af8){const _0x1fcd1c=_0x376c41;if(_0x1d3af8){const _0xf976e5=_0x3f65c0()[_0x1fcd1c(0x99c)](_0x48e547['abandonedCalls'][_0x1fcd1c(0x19c7)],['id',_0x1d3af8['id']]);_0xf976e5>=0x0&&_0x3f65c0()['merge'](_0x48e547[_0x1fcd1c(0x2097)][_0x1fcd1c(0x19c7)][_0xf976e5],_0x1d3af8);}}function _0x2a8a84(_0x15457d,_0x575450){const _0x3c57af=_0x376c41;_0x15457d&&_0x14a09b[_0x3c57af(0x19ef)][_0x3c57af(0x18e1)]({'id':_0x15457d['id'],'lastAssignedTo':_0x575450?_0x575450[_0x3c57af(0x19eb)]:null,'assigned':_0x575450?!![]:![]})[_0x3c57af(0x2945)]['catch'](function(_0x2dcc1c){const _0x27a9ce=_0x3c57af;console[_0x27a9ce(0x1a74)](_0x2dcc1c);});}function _0x36975d(_0x4dde80){const _0xd8a6b9=_0x376c41;_0x30f34b[_0xd8a6b9(0x2615)]({'controller':_0xd8a6b9(0x1dd0),'controllerAs':'vm','templateUrl':_0x33ee4d,'parent':angular[_0xd8a6b9(0x1853)](_0x492659[_0xd8a6b9(0x2586)]),'targetEvent':_0x4dde80,'resolve':{'globalDispositions':['apiResolver',function(_0x104e38){const _0x2d9fbc=_0xd8a6b9;return _0x104e38['resolve'](_0x2d9fbc(0x1041),{'MailAccountId':_0x2d9fbc(0x203c),'FaxAccountId':_0x2d9fbc(0x203c),'SmsAccountId':_0x2d9fbc(0x203c),'WhatsappAccountId':_0x2d9fbc(0x203c),'OpenchannelAccountId':_0x2d9fbc(0x203c),'ChatWebsiteId':_0x2d9fbc(0x203c),'ListId':_0x2d9fbc(0x203c)});}]},'locals':{'dispositions':_0x48e547[_0xd8a6b9(0x2647)],'call':_0x4dde80}})['then'](function(_0xaa6909){const _0x44f32e=_0xd8a6b9;if(_0xaa6909){const _0xc417={'id':_0x4dde80['id'],'disposition':_0xaa6909['firstLevel']||null,'secondDisposition':_0xaa6909[_0x44f32e(0x4af)]||null,'thirdDisposition':_0xaa6909['thirdLevel']||null};_0x14a09b['voiceQueueReport'][_0x44f32e(0x18e1)](_0xc417)[_0x44f32e(0x2945)][_0x44f32e(0x129e)](function(_0x11d8df){const _0x369b19=_0x44f32e;console[_0x369b19(0x1a74)](_0x11d8df);});}});}let _0x21ce1b=!![],_0x628290=0x1;_0x5173df[_0x376c41(0x21e8)](_0x376c41(0x2669),function(_0x24a827,_0x546dcc){const _0x5c4dce=_0x376c41;_0x21ce1b?_0x1bc64b(function(){_0x21ce1b=![];}):(!_0x546dcc&&(_0x628290=_0x48e547['query']['page']),_0x24a827!==_0x546dcc&&(_0x48e547[_0x5c4dce(0x1a56)][_0x5c4dce(0x844)]=0x1),!_0x24a827&&(_0x48e547[_0x5c4dce(0x1a56)][_0x5c4dce(0x844)]=_0x628290),_0x48e547['getAbandonedCalls']());}),_0x5173df[_0x376c41(0x16ad)]('$destroy',function(){const _0x7ce17e=_0x376c41;_0x181100[_0x7ce17e(0x1c5f)](_0x7ce17e(0xfd4)),_0x181100['removeAllListeners']('voiceQueueReport:update');});}const _0x4be860=_0x20aa3a;;_0x1ad269[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$q','$timeout','$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x1ee0),_0x313a4d(0x23bb),_0x313a4d(0x23c0),_0x313a4d(0x2445),_0x313a4d(0x247f),_0x313a4d(0x279d),'toasty','helperClasses',_0x313a4d(0x26b6),'userProfileSection',_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0xa0a)];function _0x1ad269(_0x4a4360,_0x4a1c85,_0x1d7d81,_0x764c4f,_0x17b641,_0x547c61,_0x2e678a,_0x16dc36,_0x261bcd,_0x26fcc9,_0x4ddcc1,_0x208d3b,_0x159213,_0x5bed2c,_0x2c8a60,_0x46a6d0,_0x2d09bc,_0x4bbaf4){const _0x4cbef6=_0x313a4d,_0x32e81a=this,_0xdfb885=[_0x4cbef6(0x1fce),_0x4cbef6(0x538),'lastLoginAt',_0x4cbef6(0x192a),_0x4cbef6(0x1125),_0x4cbef6(0x192a),_0x4cbef6(0x113f),_0x4cbef6(0x2bb),_0x4cbef6(0x5f7),'openchannelPause','mailPause',_0x4cbef6(0x17c),_0x4cbef6(0x1f9d),_0x4cbef6(0x25c4),_0x4cbef6(0x211c),'chatCapacity',_0x4cbef6(0x15fd),'mailCapacity',_0x4cbef6(0x1820),'whatsappCapacity',_0x4cbef6(0x1cf6),_0x4cbef6(0xaac),_0x4cbef6(0x247),_0x4cbef6(0x1025),'smsCurrentCapacity','whatsappCurrentCapacity',_0x4cbef6(0x1815),_0x4cbef6(0xfc3),_0x4cbef6(0xfae),_0x4cbef6(0x1fc6),_0x4cbef6(0x22f6),_0x4cbef6(0xfdf),'faxStatus','voiceStatus',_0x4cbef6(0x1a22),_0x4cbef6(0x6cb),_0x4cbef6(0x10cd),_0x4cbef6(0x1f5c),'whatsappStatusTime','faxStatusTime','voiceStatusTime',_0x4cbef6(0x1c72),'destconnectedlinenum',_0x4cbef6(0x1ca2),_0x4cbef6(0x4e3),_0x4cbef6(0x22c3)],_0x2e7a7b=[_0x4cbef6(0x5f7),'openchannelPause',_0x4cbef6(0x1e9e),_0x4cbef6(0x17c),_0x4cbef6(0x1f9d),_0x4cbef6(0x25c4),'voicePause','online'];_0x32e81a['currentUser']=_0x46a6d0['getCurrentUser'](),_0x32e81a[_0x4cbef6(0xe3e)]=['ringing',_0x4cbef6(0xfe4),'busy',_0x4cbef6(0x776),_0x4cbef6(0x254d)],_0x32e81a[_0x4cbef6(0x23bb)]=_0x2e678a||{'count':0x0,'rows':[]},_0x32e81a[_0x4cbef6(0x2690)]=_0x2d09bc,_0x32e81a[_0x4cbef6(0x26b6)]=_0x5bed2c,_0x32e81a['userProfileSection']=_0x2c8a60&&_0x2c8a60[_0x4cbef6(0x51c)]==0x1?_0x2c8a60[_0x4cbef6(0x19c7)][0x0]:null,_0x32e81a[_0x4cbef6(0x2514)]=_0x46a6d0[_0x4cbef6(0xe60)](_0x32e81a[_0x4cbef6(0x1366)]?_0x32e81a[_0x4cbef6(0x1366)][_0x4cbef6(0x2514)]:null),_0x32e81a[_0x4cbef6(0x23c0)]=_0x16dc36?_0x3f65c0()[_0x4cbef6(0x194)](_0x16dc36[_0x4cbef6(0x19c7)]?_0x16dc36[_0x4cbef6(0x19c7)]:[],'id'):{},_0x32e81a['rpcAgents']=_0x261bcd?_0x3f65c0()[_0x4cbef6(0x194)](_0x261bcd['rows']?_0x261bcd['rows']:[],'id'):{},_0x32e81a[_0x4cbef6(0x285)]={},_0x32e81a[_0x4cbef6(0x2412)]=![],_0x32e81a[_0x4cbef6(0x206e)]=[],_0x32e81a[_0x4cbef6(0x626)]={};for(const _0x8e40d4 in _0x32e81a[_0x4cbef6(0x23c0)]){typeof _0x32e81a[_0x4cbef6(0x23c0)][_0x8e40d4]!==_0x4cbef6(0x2274)&&(_0x32e81a['agents'][_0x8e40d4][_0x4cbef6(0x5aa)]=!![]);}_0x32e81a[_0x4cbef6(0x1a56)]={'limit':0xa,'page':0x1,'order':_0x4cbef6(0x286a),'globalStatusFilter':'','pauseTypeFilter':''},_0x32e81a[_0x4cbef6(0x2044)]=_0x316c54,_0x32e81a['queueAdd']=_0x2c684d,_0x32e81a[_0x4cbef6(0x1e3e)]=_0xa4743c,_0x32e81a[_0x4cbef6(0x693)]=_0x34d30e,_0x32e81a[_0x4cbef6(0x232c)]=_0x2fb43c,_0x32e81a[_0x4cbef6(0x1eaa)]=_0x300a3f,_0x32e81a[_0x4cbef6(0x1775)]=_0x55108d,_0x32e81a['isPause']=_0x89755f,_0x32e81a[_0x4cbef6(0x169)]=_0x22f9fe,_0x32e81a[_0x4cbef6(0x1d53)]=_0x35a47e,_0x32e81a['onSave']=_0x3aa3f8,_0x32e81a[_0x4cbef6(0x1a76)]=_0x2f49ff,_0x32e81a[_0x4cbef6(0x3d4)]=_0x45116e,_0x32e81a['onConnect']=_0xf019d5,_0x32e81a['onComplete']=_0x566f10,_0x32e81a[_0x4cbef6(0x1b80)]=_0x40594d,_0x4ddcc1['on'](_0x4cbef6(0x17de),_0x32e81a[_0x4cbef6(0x1db0)]),_0x4ddcc1['on']('user:update',_0x32e81a['onUpdate']),_0x4ddcc1['on'](_0x4cbef6(0x118c),_0x32e81a[_0x4cbef6(0x201a)]),_0x4ddcc1['on'](_0x4cbef6(0x198f),_0x32e81a['onComplete']),_0x45116e();let _0x3fbd59=_0x4bbaf4(function(){const _0x465f83=_0x4cbef6;_0x32e81a['load']&&(_0x32e81a[_0x465f83(0x2412)]=![],_0x45116e());},0x3e7);function _0x45116e(){const _0x5d8bb1=_0x4cbef6;_0x32e81a[_0x5d8bb1(0x2412)]=![];const _0x33fe4b=_0x4a1c85[_0x5d8bb1(0xce3)]();_0x32e81a[_0x5d8bb1(0xb9c)]=_0x33fe4b['promise'],_0x32e81a[_0x5d8bb1(0x202e)]=[],_0x32e81a[_0x5d8bb1(0x206e)]=[],_0x3f65c0()[_0x5d8bb1(0x1a04)](_0x32e81a[_0x5d8bb1(0x23c0)],function(_0x150012,_0x25e07){const _0x3f909e=_0x5d8bb1;_0x32e81a[_0x3f909e(0x2445)][_0x25e07]&&_0x3f65c0()[_0x3f909e(0x168d)](_0x150012,_0x3f65c0()[_0x3f909e(0x40e)](_0x3f65c0()['omit'](_0x32e81a[_0x3f909e(0x2445)][_0x25e07],_0x2e7a7b),_0xdfb885)),_0x55108d(_0x150012),_0x150012[_0x3f909e(0x538)]?(_0x32e81a[_0x3f909e(0x202e)][_0x3f909e(0x1f47)](_0x150012),_0x32e81a[_0x3f909e(0x626)][_0x150012[_0x3f909e(0x113f)]]=_0x25e07):_0x150012[_0x3f909e(0xed1)]=_0x3f65c0()[_0x3f909e(0x123)](_0x2deec6()()[_0x3f909e(0x22b0)]('x'));});_0x32e81a[_0x5d8bb1(0x1a56)][_0x5d8bb1(0x1500)]&&_0x3f65c0()[_0x5d8bb1(0x2640)](_0x32e81a[_0x5d8bb1(0x202e)],function(_0x36d7e5){const _0x39b641=_0x5d8bb1;return _0x36d7e5[_0x39b641(0x72b)]!==_0x32e81a[_0x39b641(0x1a56)]['globalStatusFilter'];});_0x32e81a['query'][_0x5d8bb1(0x301)]&&_0x3f65c0()[_0x5d8bb1(0x2640)](_0x32e81a[_0x5d8bb1(0x202e)],function(_0x426b6c){const _0x345f50=_0x5d8bb1;return _0x426b6c[_0x345f50(0x1125)]!==_0x32e81a[_0x345f50(0x1a56)]['pauseTypeFilter'];});_0x32e81a[_0x5d8bb1(0x1a56)][_0x5d8bb1(0x1dd6)]&&_0x3f65c0()[_0x5d8bb1(0x2640)](_0x32e81a['filteredAgents'],function(_0x580b8e){const _0x2213cf=_0x5d8bb1;return _0x580b8e['fullname'][_0x2213cf(0x256e)]()[_0x2213cf(0x172b)](_0x32e81a[_0x2213cf(0x1a56)][_0x2213cf(0x1dd6)][_0x2213cf(0x256e)]())<0x0;});_0x32e81a[_0x5d8bb1(0x202e)]=_0x5d8566(_0x32e81a[_0x5d8bb1(0x202e)]);const _0x6beda1=(_0x32e81a[_0x5d8bb1(0x1a56)][_0x5d8bb1(0x844)]-0x1)*_0x32e81a[_0x5d8bb1(0x1a56)][_0x5d8bb1(0x221e)];_0x32e81a['paginatedAgents']=_0x3f65c0()[_0x5d8bb1(0x854)](_0x32e81a[_0x5d8bb1(0x202e)],_0x6beda1)[_0x5d8bb1(0x1298)](0x0,_0x32e81a[_0x5d8bb1(0x1a56)][_0x5d8bb1(0x221e)]);for(let _0x42eaaf=0x0;_0x42eaaf<_0x32e81a[_0x5d8bb1(0x285)][_0x5d8bb1(0x402)];_0x42eaaf+=0x1){_0x32e81a[_0x5d8bb1(0x206e)][_0x5d8bb1(0x1f47)](_0x32e81a['paginatedAgents'][_0x42eaaf]['id']);}_0x33fe4b['resolve'](),_0x32e81a[_0x5d8bb1(0x2412)]=!![];}function _0x3aa3f8(_0xbf9c8b){const _0xab1a98=_0x4cbef6;_0x32e81a['agents'][_0xbf9c8b['id']]&&(_0x3f65c0()[_0xab1a98(0x168d)](_0x32e81a[_0xab1a98(0x23c0)][_0xbf9c8b['id']],_0x3f65c0()[_0xab1a98(0x40e)](_0x3f65c0()[_0xab1a98(0x2432)](_0xbf9c8b,_0x2e7a7b),_0xdfb885)),_0x3f65c0()[_0xab1a98(0x168d)](_0x32e81a[_0xab1a98(0x2445)][_0xbf9c8b['id']],_0x3f65c0()[_0xab1a98(0x40e)](_0x3f65c0()[_0xab1a98(0x2432)](_0xbf9c8b,_0x2e7a7b),_0xdfb885)),_0x55108d(_0x32e81a['agents'][_0xbf9c8b['id']]));}function _0x2f49ff(_0x2d30ee){const _0x3c1fff=_0x4cbef6;_0x32e81a[_0x3c1fff(0x23c0)][_0x2d30ee['id']]&&(_0x3f65c0()['merge'](_0x32e81a[_0x3c1fff(0x23c0)][_0x2d30ee['id']],_0x3f65c0()['pick'](_0x2d30ee,_0xdfb885)),_0x3f65c0()[_0x3c1fff(0x168d)](_0x32e81a['rpcAgents'][_0x2d30ee['id']],_0x3f65c0()[_0x3c1fff(0x40e)](_0x2d30ee,_0xdfb885)),_0x2d30ee[_0x3c1fff(0x192a)]&&(_0x32e81a[_0x3c1fff(0x23c0)][_0x2d30ee['id']][_0x3c1fff(0xed1)]=_0x2d30ee['lastPauseAt'],_0x32e81a['rpcAgents'][_0x2d30ee['id']][_0x3c1fff(0xed1)]=_0x2d30ee['lastPauseAt']),_0x55108d(_0x32e81a[_0x3c1fff(0x23c0)][_0x2d30ee['id']]));}function _0xf019d5(_0x36e17a){const _0x3a3c63=_0x4cbef6;_0x32e81a[_0x3a3c63(0x23c0)][_0x32e81a['agentInternal'][_0x36e17a[_0x3a3c63(0x1fb9)]]]&&(_0x3f65c0()[_0x3a3c63(0x168d)](_0x32e81a['agents'][_0x32e81a[_0x3a3c63(0x626)][_0x36e17a[_0x3a3c63(0x1fb9)]]],_0x3f65c0()['pick'](_0x36e17a,[_0x3a3c63(0x1c72),_0x3a3c63(0x1e8d)])),_0x3f65c0()[_0x3a3c63(0x168d)](_0x32e81a[_0x3a3c63(0x2445)][_0x32e81a['agentInternal'][_0x36e17a[_0x3a3c63(0x1fb9)]]],_0x3f65c0()[_0x3a3c63(0x40e)](_0x36e17a,[_0x3a3c63(0x1c72),_0x3a3c63(0x1e8d)])));}function _0x566f10(_0x27884b){const _0x5e1ad9=_0x4cbef6;_0x32e81a[_0x5e1ad9(0x23c0)][_0x32e81a[_0x5e1ad9(0x626)][_0x27884b['destaccountcode']]]&&(_0x32e81a[_0x5e1ad9(0x23c0)][_0x32e81a[_0x5e1ad9(0x626)][_0x27884b[_0x5e1ad9(0x1fb9)]]]=_0x3f65c0()['omit'](_0x32e81a[_0x5e1ad9(0x23c0)][_0x32e81a['agentInternal'][_0x27884b[_0x5e1ad9(0x1fb9)]]],[_0x5e1ad9(0x1c72),_0x5e1ad9(0x1e8d)]),_0x32e81a[_0x5e1ad9(0x2445)][_0x32e81a[_0x5e1ad9(0x626)][_0x27884b['destaccountcode']]]=_0x3f65c0()['omit'](_0x32e81a[_0x5e1ad9(0x2445)][_0x32e81a[_0x5e1ad9(0x626)][_0x27884b[_0x5e1ad9(0x1fb9)]]],[_0x5e1ad9(0x1c72),_0x5e1ad9(0x1e8d)]));}function _0x316c54(_0x5a6ad0){const _0x1663f5=_0x4cbef6;return _0x26fcc9[_0x1663f5(0xe7b)][_0x1663f5(0x2044)]({'id':_0x5a6ad0['id'],'device':_0x1663f5(0xfc4),'agent_id':_0x5a6ad0['id'],'agent_name':_0x5a6ad0[_0x1663f5(0x19eb)]})[_0x1663f5(0x2945)]['then'](function(){const _0x7d7dcc=_0x1663f5;_0x208d3b[_0x7d7dcc(0x1c75)]({'title':_0x7d7dcc(0x1c70),'msg':_0x5a6ad0[_0x7d7dcc(0x286a)]+'\x20\x20properly\x20logout'}),_0x32e81a[_0x7d7dcc(0x23c0)][_0x5a6ad0['id']]&&(_0x32e81a['agents'][_0x5a6ad0['id']]['online']=![]),_0x32e81a[_0x7d7dcc(0x2445)][_0x5a6ad0['id']]&&(_0x32e81a[_0x7d7dcc(0x2445)][_0x5a6ad0['id']][_0x7d7dcc(0x538)]=![]),_0x45116e();})['catch'](function(_0xf7856d){const _0x32fed2=_0x1663f5;_0x208d3b[_0x32fed2(0x1980)]({'title':_0xf7856d[_0x32fed2(0x107b)]?'API:'+_0xf7856d[_0x32fed2(0x107b)]+_0x32fed2(0x1315)+_0xf7856d['statusText']:_0x32fed2(0x23c6),'msg':_0xf7856d[_0x32fed2(0x524)]?JSON[_0x32fed2(0x10bb)](_0xf7856d[_0x32fed2(0x524)]['message']):_0xf7856d[_0x32fed2(0xd5f)]()});});}function _0xa4743c(_0x1f0198,_0x3cc870){const _0x13293=_0x4cbef6;return _0x26fcc9[_0x13293(0xe7b)][_0x13293(0x1e3e)]({'id':_0x1f0198['id'],'type':_0x3cc870})['$promise'][_0x13293(0x146b)](function(_0x18efba){const _0x52634e=_0x13293;_0x32e81a[_0x52634e(0x23c0)][_0x1f0198['id']]&&_0x3f65c0()['merge'](_0x32e81a[_0x52634e(0x23c0)][_0x1f0198['id']],_0x3f65c0()['pick'](_0x18efba,_0xdfb885)),_0x32e81a[_0x52634e(0x2445)][_0x1f0198['id']]&&_0x3f65c0()[_0x52634e(0x168d)](_0x32e81a[_0x52634e(0x2445)][_0x1f0198['id']],_0x3f65c0()[_0x52634e(0x40e)](_0x18efba,_0xdfb885)),_0x55108d(_0x32e81a[_0x52634e(0x23c0)][_0x1f0198['id']]);})[_0x13293(0x129e)](function(_0x31d5d3){const _0x28d54b=_0x13293;_0x208d3b['error']({'title':_0x31d5d3[_0x28d54b(0x107b)]?_0x28d54b(0x262a)+_0x31d5d3[_0x28d54b(0x107b)]+_0x28d54b(0x1315)+_0x31d5d3[_0x28d54b(0x167f)]:_0x28d54b(0x23c6),'msg':_0x31d5d3[_0x28d54b(0x524)]?JSON[_0x28d54b(0x10bb)](_0x31d5d3['data'][_0x28d54b(0x7fd)]):_0x31d5d3[_0x28d54b(0xd5f)]()});});}function _0x34d30e(_0x44863c){const _0x15b7c9=_0x4cbef6;return _0x26fcc9['user'][_0x15b7c9(0x974)]({'id':_0x44863c['id']})[_0x15b7c9(0x2945)]['then'](function(_0x533c88){const _0x3f18ff=_0x15b7c9;_0x32e81a[_0x3f18ff(0x23c0)][_0x44863c['id']]&&_0x3f65c0()['merge'](_0x32e81a['agents'][_0x44863c['id']],_0x3f65c0()['pick'](_0x533c88,_0xdfb885)),_0x32e81a['rpcAgents'][_0x44863c['id']]&&_0x3f65c0()[_0x3f18ff(0x168d)](_0x32e81a[_0x3f18ff(0x2445)][_0x44863c['id']],_0x3f65c0()[_0x3f18ff(0x40e)](_0x533c88,_0xdfb885)),_0x55108d(_0x32e81a[_0x3f18ff(0x23c0)][_0x44863c['id']]);})[_0x15b7c9(0x129e)](function(_0x2daab5){const _0x58a197=_0x15b7c9;_0x208d3b[_0x58a197(0x1980)]({'title':_0x2daab5[_0x58a197(0x107b)]?_0x58a197(0x262a)+_0x2daab5[_0x58a197(0x107b)]+_0x58a197(0x1315)+_0x2daab5['statusText']:_0x58a197(0x23c6),'msg':_0x2daab5[_0x58a197(0x524)]?JSON[_0x58a197(0x10bb)](_0x2daab5['data'][_0x58a197(0x7fd)]):_0x2daab5[_0x58a197(0xd5f)]()});});}function _0x2fb43c(_0xb43b9b){const _0x5f381f=_0x4cbef6;_0x764c4f['show'](_0x764c4f[_0x5f381f(0x861)]()['clickOutsideToClose'](!![])[_0x5f381f(0x1189)](_0x5f381f(0x2783))[_0x5f381f(0x1cbe)](_0x5f381f(0x1f77))['ok']('Ok')[_0x5f381f(0x1f27)](_0xb43b9b));}function _0x2c684d(_0x1a1262,_0x362585){const _0x55a064=_0x4cbef6;_0x764c4f['show']({'controller':'AgentqueueaddController','controllerAs':'vm','templateUrl':_0xbb22f1,'parent':angular[_0x55a064(0x1853)](_0x17b641[_0x55a064(0x2586)]),'targetEvent':_0x362585,'clickOutsideToClose':!![],'locals':{'agent':_0x1a1262,'agents':[],'channel':_0x55a064(0xe6),'direction':_0x55a064(0xc9c),'crudPermissions':_0x32e81a[_0x55a064(0x2514)]}});}function _0x89755f(_0x2f0466){const _0xf9fe2d=_0x4cbef6;return _0x2f0466===_0xf9fe2d(0x1e3e)||_0x2f0466==='*pause';}function _0x22f9fe(_0x45f21c){const _0x4413da=_0x4cbef6;return _0x45f21c===_0x4413da(0x1e3e);}function _0x35a47e(_0x4e06d0){return _0x4e06d0==='*pause';}function _0x482748(_0x5ccf2a){const _0xf29805=_0x4cbef6;return _0x5ccf2a===_0xf29805(0xb09)||_0x5ccf2a===_0xf29805(0x207d)||_0x5ccf2a===_0xf29805(0x85d)||_0x5ccf2a===_0xf29805(0xad4)||_0x3f65c0()[_0xf29805(0x1b36)](_0x5ccf2a);}function _0x300a3f(_0x4c7db3,_0x178c76){const _0x3f5280=_0x4cbef6;if(_0x178c76===_0x3f5280(0xe6)){if(_0x4c7db3[_0x178c76+_0x3f5280(0x74e)]!==_0x3f5280(0xb09))return _0x4c7db3[_0x178c76+_0x3f5280(0x74e)];if(_0x4c7db3[_0x178c76+'Pause'])return _0x4c7db3[_0x178c76+'Status']='pause',_0x3f5280(0x1e3e);return _0x3f5280(0xb09);}else{if(_0x4c7db3[_0x178c76+'Pause'])return _0x4c7db3[_0x178c76+_0x3f5280(0x74e)]='pause',_0x3f5280(0x1e3e);return _0x4c7db3[_0x178c76+_0x3f5280(0x74e)];}}function _0x55108d(_0x2f08fb){const _0x7fa323=_0x4cbef6;let _0x5d6d20='unknown';if(_0x3f65c0()['every']([_0x300a3f(_0x2f08fb,_0x7fa323(0xe6)),_0x300a3f(_0x2f08fb,'chat'),_0x300a3f(_0x2f08fb,_0x7fa323(0x56b)),_0x300a3f(_0x2f08fb,'openchannel'),_0x300a3f(_0x2f08fb,_0x7fa323(0x929)),_0x300a3f(_0x2f08fb,_0x7fa323(0xff9)),_0x300a3f(_0x2f08fb,_0x7fa323(0x22d9))],_0x89755f))_0x5d6d20=_0x7fa323(0x1e3e);else{if(_0x3f65c0()['some']([_0x300a3f(_0x2f08fb,'voice'),_0x300a3f(_0x2f08fb,_0x7fa323(0xa7f)),_0x300a3f(_0x2f08fb,_0x7fa323(0x56b)),_0x300a3f(_0x2f08fb,'openchannel'),_0x300a3f(_0x2f08fb,_0x7fa323(0x929)),_0x300a3f(_0x2f08fb,_0x7fa323(0xff9)),_0x300a3f(_0x2f08fb,'fax')],_0x89755f))_0x5d6d20=_0x7fa323(0x1127);else _0x3f65c0()[_0x7fa323(0xb14)]([_0x300a3f(_0x2f08fb,_0x7fa323(0xe6)),_0x300a3f(_0x2f08fb,_0x7fa323(0xa7f)),_0x300a3f(_0x2f08fb,_0x7fa323(0x56b)),_0x300a3f(_0x2f08fb,_0x7fa323(0x7d9)),_0x300a3f(_0x2f08fb,_0x7fa323(0x929)),_0x300a3f(_0x2f08fb,_0x7fa323(0xff9)),_0x300a3f(_0x2f08fb,_0x7fa323(0x22d9))],_0x482748)?_0x5d6d20=_0x7fa323(0xb09):_0x5d6d20=_0x7fa323(0x26a7);}_0x2f08fb['globalStatus']!==_0x5d6d20&&(_0x2f08fb[_0x7fa323(0x72b)]=_0x5d6d20,_0x2f08fb[_0x7fa323(0x5aa)]?(_0x2f08fb[_0x7fa323(0x5aa)]=![],_0x2f08fb[_0x7fa323(0xed1)]=_0x89755f(_0x5d6d20)?_0x3f65c0()[_0x7fa323(0x123)](_0x2deec6()(_0x2f08fb[_0x7fa323(0x192a)])[_0x7fa323(0x22b0)]('x')):_0x3f65c0()[_0x7fa323(0x727)]([_0x2f08fb[_0x7fa323(0x1dda)],_0x2f08fb[_0x7fa323(0x1a22)],_0x2f08fb[_0x7fa323(0x10cd)],_0x2f08fb[_0x7fa323(0x1f5c)],_0x2f08fb[_0x7fa323(0xadd)],_0x2f08fb['openchannelStatusTime'],_0x2f08fb[_0x7fa323(0x47a)]])):_0x2f08fb['globalStatusTime']=_0x3f65c0()[_0x7fa323(0x123)](_0x2deec6()()['format']('x')));}function _0x5d8566(_0x316bc6){const _0x387448=_0x4cbef6,_0x1afe10=_0x3f65c0()[_0x387448(0xd4d)](_0x32e81a[_0x387448(0x1a56)][_0x387448(0x206e)],'-')?_0x387448(0x2517):_0x387448(0x195);return _0x3f65c0()[_0x387448(0x979)](_0x316bc6,[_0x32e81a['query'][_0x387448(0x206e)]['replace']('-','')],[_0x1afe10]);}function _0x4f0af6(){const _0x5f365c=_0x4cbef6;_0x3fbd59&&(_0x4bbaf4[_0x5f365c(0x6c3)](_0x3fbd59),_0x3fbd59=null);}function _0x40594d(_0x2b2802,_0x78327){const _0x456168=_0x4cbef6;return _0x26fcc9[_0x456168(0xe7b)][_0x456168(0x18e1)]({'id':_0x2b2802['id']},{'screenrecording':_0x78327})[_0x456168(0x2945)]['catch'](function(_0x53b1ef){const _0x2b153e=_0x456168;_0x208d3b[_0x2b153e(0x1980)]({'title':_0x53b1ef['status']?'API:'+_0x53b1ef['status']+_0x2b153e(0x1315)+_0x53b1ef[_0x2b153e(0x167f)]:_0x2b153e(0x254f),'msg':_0x53b1ef[_0x2b153e(0x524)]?JSON[_0x2b153e(0x10bb)](_0x53b1ef[_0x2b153e(0x524)][_0x2b153e(0x7fd)]):_0x53b1ef[_0x2b153e(0xd5f)]()});});}_0x4a4360['$on']('$destroy',function(){const _0x468772=_0x4cbef6;_0x4ddcc1[_0x468772(0x1c5f)](_0x468772(0x17de)),_0x4ddcc1[_0x468772(0x1c5f)](_0x468772(0x324)),_0x4ddcc1[_0x468772(0x1c5f)](_0x468772(0x118c)),_0x4ddcc1['removeAllListeners'](_0x468772(0x198f)),_0x4f0af6();});}const _0x1ebd7a=_0x1ad269;;const _0x513fc7=_0x4acfac['p']+'src/js/modules/main/apps/motiondialer/views/ivrCampaigns/create/dialog.html/dialog.html';;_0x2a3dfc['$inject']=['$scope',_0x313a4d(0x1abe),_0x313a4d(0x10e8),'$document','$interval',_0x313a4d(0x163),_0x313a4d(0x1ccb),_0x313a4d(0x247f),_0x313a4d(0x279d),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0xa87)];function _0x2a3dfc(_0x18f487,_0x582516,_0x594fdd,_0x1bb54d,_0x1f103a,_0x177ce1,_0x2c07b7,_0x47e4ec,_0x5c25d1,_0x28a5ae,_0x34560e,_0x3313d8){const _0x3f4f11=_0x313a4d,_0x1a3d24=this,_0x4bab3c=['name','active',_0x3f4f11(0x114c),_0x3f4f11(0x7fd),_0x3f4f11(0x1e38),_0x3f4f11(0x1ff2),'SquareProjectId',_0x3f4f11(0x13c4),_0x3f4f11(0x1b77),_0x3f4f11(0x183d),_0x3f4f11(0xb0b),_0x3f4f11(0x121),_0x3f4f11(0xb17)];_0x1a3d24['currentUser']=_0x3313d8[_0x3f4f11(0xb12)](),_0x1a3d24[_0x3f4f11(0x51c)]=_0x2c07b7[_0x3f4f11(0x51c)],_0x1a3d24['campaigns']=_0x2c07b7?_0x3f65c0()[_0x3f4f11(0x194)](_0x2c07b7[_0x3f4f11(0x19c7)]?_0x2c07b7['rows']:[],'id'):{},_0x1a3d24[_0x3f4f11(0x163)]=_0x177ce1?_0x3f65c0()[_0x3f4f11(0x194)](_0x177ce1[_0x3f4f11(0x19c7)]?_0x177ce1['rows']:[],'id'):{},_0x1a3d24['userProfile']=_0x28a5ae,_0x1a3d24[_0x3f4f11(0x1366)]=_0x34560e&&_0x34560e['count']==0x1?_0x34560e[_0x3f4f11(0x19c7)][0x0]:null,_0x1a3d24['crudPermissions']=_0x3313d8[_0x3f4f11(0xe60)](_0x1a3d24[_0x3f4f11(0x1366)]?_0x1a3d24[_0x3f4f11(0x1366)][_0x3f4f11(0x2514)]:null),_0x1a3d24[_0x3f4f11(0x1a56)]={'sort':_0x3f4f11(0x12f2),'limit':0xa,'page':0x1},_0x1a3d24['success']=_0x48d718,_0x1a3d24[_0x3f4f11(0x519)]=_0x5c4fe2,_0x1a3d24[_0x3f4f11(0x1db0)]=_0x260110,_0x1a3d24[_0x3f4f11(0x20de)]=_0x1c81ab,_0x1a3d24['createOrEditIvrCampaign']=_0x43b662,_0x5c25d1[_0x3f4f11(0x13b7)](_0x3f4f11(0x1d5e),_0x1a3d24[_0x3f4f11(0x1db0)]),_0x1a3d24['interval']=_0x1f103a(function(){},0x3e7);function _0x1c81ab(){const _0x3616aa=_0x3f4f11;_0x3f65c0()[_0x3616aa(0x1a04)](_0x1a3d24[_0x3616aa(0x1ccb)],function(_0x1a61c5,_0x34be23){const _0x38e96c=_0x3616aa;_0x1a3d24[_0x38e96c(0x163)][_0x34be23]&&_0x3f65c0()[_0x38e96c(0x168d)](_0x1a61c5,_0x3f65c0()[_0x38e96c(0x40e)](_0x1a3d24[_0x38e96c(0x163)][_0x34be23],_0x4bab3c));});}function _0x260110(_0x4f7aa6){const _0x2e44ef=_0x3f4f11;_0x1a3d24[_0x2e44ef(0x1ccb)][_0x4f7aa6['id']]&&(_0x3f65c0()[_0x2e44ef(0x168d)](_0x1a3d24['campaigns'][_0x4f7aa6['id']],_0x3f65c0()[_0x2e44ef(0x40e)](_0x4f7aa6,_0x4bab3c)),_0x3f65c0()[_0x2e44ef(0x168d)](_0x1a3d24['rpcCampaigns'][_0x4f7aa6['id']],_0x3f65c0()[_0x2e44ef(0x40e)](_0x4f7aa6,_0x4bab3c)));}let _0x4d128c=!![],_0x741ab2=0x1;_0x18f487[_0x3f4f11(0x21e8)](_0x3f4f11(0x2669),function(_0x413afa,_0x39fea9){const _0x421860=_0x3f4f11;_0x4d128c?_0x582516(function(){_0x4d128c=![];}):(!_0x39fea9&&(_0x741ab2=_0x1a3d24[_0x421860(0x1a56)][_0x421860(0x844)]),_0x413afa!==_0x39fea9&&(_0x1a3d24['query'][_0x421860(0x844)]=0x1),!_0x413afa&&(_0x1a3d24['query'][_0x421860(0x844)]=_0x741ab2),_0x1a3d24['getCampaigns']());});function _0x48d718(_0x45cfa0){const _0x1d52f8=_0x3f4f11;_0x1a3d24[_0x1d52f8(0x51c)]=_0x45cfa0[_0x1d52f8(0x51c)],_0x1a3d24[_0x1d52f8(0x1ccb)]=_0x45cfa0?_0x3f65c0()[_0x1d52f8(0x194)](_0x45cfa0['rows']?_0x45cfa0[_0x1d52f8(0x19c7)]:[],'id'):{},_0x1c81ab();}function _0x5c4fe2(){const _0x21f4a1=_0x3f4f11;_0x1a3d24['query']['offset']=(_0x1a3d24[_0x21f4a1(0x1a56)][_0x21f4a1(0x844)]-0x1)*_0x1a3d24[_0x21f4a1(0x1a56)][_0x21f4a1(0x221e)],_0x3313d8[_0x21f4a1(0x23e0)]('admin')?_0x1a3d24[_0x21f4a1(0xb9c)]=_0x47e4ec[_0x21f4a1(0x8dc)]['get'](_0x1a3d24[_0x21f4a1(0x1a56)],_0x48d718)['$promise']:(_0x1a3d24['query']['id']=_0x1a3d24[_0x21f4a1(0x26b6)]['id'],_0x1a3d24['query'][_0x21f4a1(0x2146)]=_0x21f4a1(0x27f9),_0x1a3d24[_0x21f4a1(0xb9c)]=_0x47e4ec['userProfile']['getResources'](_0x1a3d24['query'],_0x48d718)['$promise']);}function _0x43b662(_0x3788af,_0x43387c){const _0x2eee36=_0x3f4f11;_0x594fdd[_0x2eee36(0x2615)]({'controller':_0x2eee36(0x6da),'controllerAs':'vm','templateUrl':_0x513fc7,'parent':angular[_0x2eee36(0x1853)](_0x1bb54d[_0x2eee36(0x2586)]),'targetEvent':_0x3788af,'clickOutsideToClose':!![],'locals':{'ivrCampaign':_0x43387c,'ivrCampaigns':_0x3f65c0()[_0x2eee36(0x81b)](_0x1a3d24[_0x2eee36(0x1ccb)]),'license':null,'setting':null,'crudPermissions':_0x1a3d24[_0x2eee36(0x2514)]}});}_0x18f487[_0x3f4f11(0x16ad)]('$destroy',function(){const _0xa5657b=_0x3f4f11;_0x5c25d1[_0xa5657b(0x1c5f)](_0xa5657b(0x1d5e)),_0x1a3d24[_0xa5657b(0x2765)]&&_0x1f103a[_0xa5657b(0x6c3)](_0x1a3d24[_0xa5657b(0x2765)]);});}const _0x37baea=_0x2a3dfc;;_0x4744e3[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1abe),_0x313a4d(0x10e8),_0x313a4d(0x23c0),_0x313a4d(0x1b32),_0x313a4d(0x18e),_0x313a4d(0x247f),_0x313a4d(0x279d),_0x313a4d(0x1ac0),_0x313a4d(0x1fe4),_0x313a4d(0x26b6),'userProfileSection','Auth'];function _0x4744e3(_0xb19054,_0x48756a,_0x3215e0,_0x58ff9a,_0x3509c1,_0x5ca93e,_0x4af7a6,_0x2a4962,_0x36fe33,_0xa8b19e,_0x5bbeb1,_0x55c5a8,_0x3eee6c){const _0x1057cd=_0x313a4d,_0x53f06d=this;_0x53f06d[_0x1057cd(0x2321)]=_0x3eee6c['getCurrentUser'](),_0x53f06d[_0x1057cd(0x23c0)]=_0x58ff9a||{'count':0x0,'rows':[]},_0x53f06d[_0x1057cd(0x1b32)]=_0x3509c1?_0x3f65c0()['keyBy'](_0x3509c1[_0x1057cd(0x19c7)]?_0x3509c1[_0x1057cd(0x19c7)]:[],_0x1057cd(0x19eb)):{},_0x53f06d['rpcVoiceQueuesChannelsTmp']=_0x5ca93e?_0x3f65c0()[_0x1057cd(0x194)](_0x5ca93e['rows']?_0x3f65c0()[_0x1057cd(0x1dd6)](_0x5ca93e[_0x1057cd(0x19c7)],{'type':_0x1057cd(0xc9c)}):[],_0x1057cd(0x4f8)):{},_0x53f06d[_0x1057cd(0x18e)]={},_0x53f06d['userProfile']=_0x5bbeb1,_0x53f06d[_0x1057cd(0x1366)]=_0x55c5a8&&_0x55c5a8['count']==0x1?_0x55c5a8[_0x1057cd(0x19c7)][0x0]:null,_0x53f06d[_0x1057cd(0x2514)]=_0x3eee6c['parseCrudPermissions'](_0x53f06d['userProfileSection']?_0x53f06d[_0x1057cd(0x1366)][_0x1057cd(0x2514)]:null),_0x53f06d['queryChannels']={'limit':0xa,'page':0x1},_0x53f06d['getSize']=_0x2bcf91,_0x53f06d[_0x1057cd(0x20de)]=_0x218cc9,_0x53f06d['onSave']=_0x504013,_0x53f06d['onRemove']=_0x53f868,_0x53f06d['hangup']=_0x5b5772,_0x53f06d[_0x1057cd(0x1309)]=_0x1b4f2f,_0x53f06d[_0x1057cd(0x1bb3)]=_0x4355c8,_0x2a4962['on']('voice_queue_channel:save',_0x53f06d[_0x1057cd(0x1db0)]),_0x2a4962['on'](_0x1057cd(0xeb8),_0x53f06d[_0x1057cd(0xe7)]);function _0x2bcf91(_0x5121c4){const _0x39f048=_0x1057cd;return _0x3f65c0()[_0x39f048(0x4cc)](_0x5121c4);}function _0x218cc9(){const _0xe89d9f=_0x1057cd;_0x3f65c0()[_0xe89d9f(0x1a04)](_0x53f06d[_0xe89d9f(0x2160)],function(_0x51a741,_0x32be99){const _0x3de039=_0xe89d9f;_0x3f65c0()[_0x3de039(0xc84)](_0x53f06d[_0x3de039(0x1b32)],{'name':_0x51a741['name']})&&(_0x53f06d[_0x3de039(0x18e)][_0x32be99]=_0x51a741);});}function _0x504013(_0x2e13b5){const _0x5443e0=_0x1057cd;_0x2e13b5[_0x5443e0(0x1142)]===_0x5443e0(0xc9c)&&(_0x3f65c0()['find'](_0x53f06d[_0x5443e0(0x1b32)],{'name':_0x2e13b5[_0x5443e0(0x19eb)]})&&(_0x53f06d[_0x5443e0(0x18e)][_0x2e13b5['uniqueid']]=_0x2e13b5));}function _0x53f868(_0x1536e0){const _0x17dbb5=_0x1057cd;_0x53f06d[_0x17dbb5(0x18e)][_0x1536e0[_0x17dbb5(0x4f8)]]&&delete _0x53f06d[_0x17dbb5(0x18e)][_0x1536e0['uniqueid']];}function _0x5b5772(_0x2889b1){const _0x3b9aeb=_0x1057cd;return _0x4af7a6[_0x3b9aeb(0x1af7)][_0x3b9aeb(0xdae)]({'uniqueid':_0x2889b1})['$promise'][_0x3b9aeb(0x146b)](function(_0x3a0bb8){const _0x3d0ee0=_0x3b9aeb;_0xa8b19e[_0x3d0ee0(0x1c75)]({'title':'Channel\x20'+_0x2889b1+_0x3d0ee0(0x1419),'msg':_0x3a0bb8[_0x3d0ee0(0x7fd)]||''});})[_0x3b9aeb(0x129e)](function(_0x16b670){const _0xc0a3a6=_0x3b9aeb;_0xa8b19e[_0xc0a3a6(0x1980)]({'title':_0x16b670[_0xc0a3a6(0x107b)]+_0xc0a3a6(0x1315)+_0x16b670[_0xc0a3a6(0x167f)],'msg':JSON[_0xc0a3a6(0x10bb)](_0x16b670[_0xc0a3a6(0x524)])||_0x16b670['message'][_0xc0a3a6(0xb47)]('\x20')});});}function _0x1b4f2f(_0x35b9bd,_0x53b790,_0x269b7){const _0x1520e1=_0x1057cd;return _0x4af7a6[_0x1520e1(0x1af7)][_0x1520e1(0x1741)]({'uniqueid':_0x35b9bd,'exten':_0x53b790,'context':_0x269b7})[_0x1520e1(0x2945)]['then'](function(_0x1c8f58){const _0x5d794a=_0x1520e1;_0xa8b19e[_0x5d794a(0x1c75)]({'title':_0x5d794a(0x26c4)+_0x35b9bd+_0x5d794a(0x16e),'msg':_0x1c8f58[_0x5d794a(0x7fd)]||''});})[_0x1520e1(0x129e)](function(_0x1714a8){const _0x5a1ccf=_0x1520e1;_0xa8b19e['error']({'title':_0x1714a8[_0x5a1ccf(0x107b)]+'\x20-\x20'+_0x1714a8[_0x5a1ccf(0x167f)],'msg':JSON[_0x5a1ccf(0x10bb)](_0x1714a8[_0x5a1ccf(0x524)])||_0x1714a8[_0x5a1ccf(0x7fd)][_0x5a1ccf(0xb47)]('\x20')});});}function _0x4355c8(_0x150e0f,_0x174b8c){const _0x7872be=_0x1057cd,_0xa433ed=_0x3215e0[_0x7872be(0x212a)]()[_0x7872be(0x1189)](_0x7872be(0x1d8c))[_0x7872be(0x1a02)](_0x7872be(0x27b7))['targetEvent'](_0x150e0f)['ok']('Ok')[_0x7872be(0x6c3)](_0x7872be(0xcf0));return _0x3215e0['show'](_0xa433ed)[_0x7872be(0x146b)](function(_0x34ace0){const _0x43b03b=_0x7872be;return _0x4af7a6[_0x43b03b(0x1af7)][_0x43b03b(0x1741)]({'uniqueid':_0x174b8c,'exten':_0x34ace0})['$promise'];})[_0x7872be(0x146b)](function(_0x45ed19){const _0x4aba81=_0x7872be;_0xa8b19e[_0x4aba81(0x1c75)]({'title':_0x4aba81(0x26c4)+_0x174b8c+_0x4aba81(0x16e),'msg':_0x45ed19['message']||''});})['catch'](function(_0xb82514){const _0x2a74c2=_0x7872be;_0xa8b19e[_0x2a74c2(0x1980)]({'title':_0xb82514['status']+_0x2a74c2(0x1315)+_0xb82514[_0x2a74c2(0x167f)],'msg':JSON[_0x2a74c2(0x10bb)](_0xb82514[_0x2a74c2(0x524)])||_0xb82514[_0x2a74c2(0x7fd)][_0x2a74c2(0xb47)]('\x20')});});}_0xb19054[_0x1057cd(0x16ad)](_0x1057cd(0x116f),function(){const _0x527893=_0x1057cd;_0x2a4962[_0x527893(0x1c5f)]('voice_queue_channel:save'),_0x2a4962[_0x527893(0x1c5f)]('voice_queue_channel:remove');});}const _0x2a3aeb=_0x4744e3;;_0x419a87[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1abe),_0x313a4d(0xa0a),_0x313a4d(0x1b32),_0x313a4d(0x21af),_0x313a4d(0x247f),_0x313a4d(0x279d),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0xa87)];function _0x419a87(_0x5aff66,_0x1a1043,_0x5f2f29,_0x3b23b6,_0x40631f,_0x85fcec,_0x4c58ba,_0x12db55,_0x14f560,_0x25804d){const _0x4c3dae=_0x313a4d,_0x3e494a=this,_0x55fa1c=[_0x4c3dae(0x2877),_0x4c3dae(0x23c3),'sumHoldTime',_0x4c3dae(0x14fa),_0x4c3dae(0x2761),'outboundNoAnswerCallsDay','outboundBusyCallsDay',_0x4c3dae(0x23db),'outboundNoSuchCallsDay','outboundUnknownCallsDay',_0x4c3dae(0xcb5),_0x4c3dae(0x3d7),_0x4c3dae(0x230a),_0x4c3dae(0x1196),_0x4c3dae(0x176f),_0x4c3dae(0x53e),'outboundRejectCallsDay'];_0x3e494a['count']=_0x3b23b6[_0x4c3dae(0x51c)],_0x3e494a[_0x4c3dae(0x1b32)]=_0x3b23b6?_0x3f65c0()[_0x4c3dae(0x194)](_0x3b23b6[_0x4c3dae(0x19c7)]?_0x3b23b6[_0x4c3dae(0x19c7)]:[],'id'):{},_0x3e494a['rpcQueues']=_0x40631f?_0x3f65c0()['keyBy'](_0x40631f['rows']?_0x40631f['rows']:[],'id'):{},_0x3e494a[_0x4c3dae(0x26b6)]=_0x12db55,_0x3e494a['userProfileSection']=_0x14f560&&_0x14f560[_0x4c3dae(0x51c)]==0x1?_0x14f560[_0x4c3dae(0x19c7)][0x0]:null,_0x3e494a[_0x4c3dae(0x2514)]=_0x25804d[_0x4c3dae(0xe60)](_0x3e494a[_0x4c3dae(0x1366)]?_0x3e494a[_0x4c3dae(0x1366)]['crudPermissions']:null);_0x25804d[_0x4c3dae(0x23e0)](_0x4c3dae(0x174b))?_0x3e494a['query']={'type':_0x4c3dae(0xc9c),'fields':_0x4c3dae(0x7a7),'sort':'-updatedAt','limit':0xa,'page':0x1}:_0x3e494a[_0x4c3dae(0x1a56)]={'id':_0x25804d['getCurrentUser']()['id'],'channel':_0x4c3dae(0xe6),'type':_0x4c3dae(0xc9c),'fields':_0x4c3dae(0x7a7),'sort':_0x4c3dae(0x12f2),'limit':0xa,'page':0x1};_0x3e494a['success']=_0x49de43,_0x3e494a['getQueues']=_0x5a7016,_0x3e494a[_0x4c3dae(0x1db0)]=_0x567082,_0x3e494a[_0x4c3dae(0x20de)]=_0x1d22ff,_0x4c58ba[_0x4c3dae(0x13b7)](_0x4c3dae(0x101d),_0x3e494a['onSave']),_0x3e494a[_0x4c3dae(0x2765)]=_0x5f2f29(function(){},0x3e7);function _0x1d22ff(){const _0x2f2491=_0x4c3dae;_0x3f65c0()['forIn'](_0x3e494a[_0x2f2491(0x1b32)],function(_0x44ab08,_0x7d6b7a){const _0x41f80c=_0x2f2491;_0x3e494a['rpcQueues'][_0x7d6b7a]&&_0x3f65c0()[_0x41f80c(0x168d)](_0x44ab08,_0x3f65c0()[_0x41f80c(0x40e)](_0x3e494a['rpcQueues'][_0x7d6b7a],_0x55fa1c));});}function _0x567082(_0x5e5bbd){const _0x1872a9=_0x4c3dae;_0x3e494a[_0x1872a9(0x1b32)][_0x5e5bbd['id']]&&_0x3f65c0()[_0x1872a9(0x168d)](_0x3e494a['queues'][_0x5e5bbd['id']],_0x3f65c0()[_0x1872a9(0x40e)](_0x5e5bbd,_0x55fa1c));}let _0x12754f=!![],_0x5eab5a=0x1;_0x5aff66[_0x4c3dae(0x21e8)](_0x4c3dae(0x2669),function(_0x392cd3,_0x374163){const _0x3c6486=_0x4c3dae;_0x12754f?_0x1a1043(function(){_0x12754f=![];}):(!_0x374163&&(_0x5eab5a=_0x3e494a[_0x3c6486(0x1a56)][_0x3c6486(0x844)]),_0x392cd3!==_0x374163&&(_0x3e494a['query']['page']=0x1),!_0x392cd3&&(_0x3e494a[_0x3c6486(0x1a56)][_0x3c6486(0x844)]=_0x5eab5a),_0x3e494a[_0x3c6486(0xc53)]());});function _0x49de43(_0xce2cac){const _0x4a4f6f=_0x4c3dae;_0x3e494a['count']=_0xce2cac[_0x4a4f6f(0x51c)],_0x3e494a['queues']=_0xce2cac?_0x3f65c0()['keyBy'](_0xce2cac['rows']?_0xce2cac['rows']:[],'id'):{},_0x1d22ff();}function _0x5a7016(){const _0x270add=_0x4c3dae;_0x3e494a[_0x270add(0x1a56)]['offset']=(_0x3e494a[_0x270add(0x1a56)][_0x270add(0x844)]-0x1)*_0x3e494a[_0x270add(0x1a56)]['limit'],_0x25804d[_0x270add(0x23e0)](_0x270add(0x174b))?_0x3e494a[_0x270add(0xb9c)]=_0x85fcec['voiceQueue'][_0x270add(0x16b4)](_0x3e494a[_0x270add(0x1a56)],_0x49de43)[_0x270add(0x2945)]:(_0x3e494a['query']['id']=_0x3e494a['userProfile']['id'],_0x3e494a[_0x270add(0x1a56)][_0x270add(0x2146)]=_0x270add(0x15d6),_0x3e494a[_0x270add(0xb9c)]=_0x85fcec[_0x270add(0x26b6)]['getResources'](_0x3e494a[_0x270add(0x1a56)],_0x49de43)[_0x270add(0x2945)]);}_0x5aff66['$on'](_0x4c3dae(0x116f),function(){const _0x499e96=_0x4c3dae;_0x4c58ba[_0x499e96(0x1c5f)](_0x499e96(0x101d)),_0x3e494a[_0x499e96(0x2765)]&&_0x5f2f29[_0x499e96(0x6c3)](_0x3e494a[_0x499e96(0x2765)]);});}const _0x437e89=_0x419a87;;const _0x403428=_0x4acfac['p']+_0x313a4d(0xb39);;const _0x40fdaf=_0x4acfac['p']+_0x313a4d(0x500);;const _0x4619e2=_0x4acfac['p']+_0x313a4d(0x1e87);;_0x23a7ee[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$timeout',_0x313a4d(0x10e8),'$q',_0x313a4d(0x4d8),_0x313a4d(0xa0a),_0x313a4d(0x1b32),_0x313a4d(0x21af),_0x313a4d(0x247f),_0x313a4d(0x279d),_0x313a4d(0x1fe4),_0x313a4d(0x26b6),'userProfileSection',_0x313a4d(0xa87)];function _0x23a7ee(_0x37b834,_0x24cc2b,_0x16eb9d,_0x5b1248,_0x514e73,_0x596eb4,_0x4823fd,_0xfd05c0,_0x1cd644,_0x107f25,_0x241c6c,_0x53ccde,_0x35bccd,_0x48476c){const _0x3aec47=_0x313a4d,_0x166472=this,_0x2674c3=[_0x3aec47(0x2398),'available',_0x3aec47(0x12a3),_0x3aec47(0x10ed),_0x3aec47(0x166f),_0x3aec47(0x1e38),'message',_0x3aec47(0x1095),_0x3aec47(0x1a69),'Trunk','paused',_0x3aec47(0x16fb),_0x3aec47(0x1816),_0x3aec47(0x21f4),_0x3aec47(0xbc1),_0x3aec47(0x123f),_0x3aec47(0x585),'dialPredictiveInterval','dialPredictiveIntervalMaxThreshold','dialPredictiveIntervalMinThreshold','predictiveIntervalAvailable','predictiveIntervalTotalCalls',_0x3aec47(0x27bc),'predictiveIntervalDroppedCallsTimeout',_0x3aec47(0x321),_0x3aec47(0xfd),_0x3aec47(0x27cc)];_0x166472['currentUser']=_0x48476c[_0x3aec47(0xb12)](),_0x166472[_0x3aec47(0x51c)]=_0x4823fd['count']?_0x4823fd[_0x3aec47(0x51c)]:0x0,_0x166472[_0x3aec47(0x1b32)]=_0x4823fd?_0x3f65c0()[_0x3aec47(0x194)](_0x4823fd[_0x3aec47(0x19c7)]?_0x4823fd[_0x3aec47(0x19c7)]:[],'id'):{},_0x166472[_0x3aec47(0x21af)]=_0xfd05c0?_0x3f65c0()['keyBy'](_0xfd05c0[_0x3aec47(0x19c7)]?_0xfd05c0[_0x3aec47(0x19c7)]:[],'id'):{},_0x166472[_0x3aec47(0x26b6)]=_0x53ccde,_0x166472[_0x3aec47(0x1366)]=_0x35bccd&&_0x35bccd['count']==0x1?_0x35bccd[_0x3aec47(0x19c7)][0x0]:null,_0x166472['crudPermissions']=_0x48476c[_0x3aec47(0xe60)](_0x166472[_0x3aec47(0x1366)]?_0x166472[_0x3aec47(0x1366)][_0x3aec47(0x2514)]:null);_0x48476c['hasRole'](_0x3aec47(0x174b))?_0x166472[_0x3aec47(0x1a56)]={'type':_0x3aec47(0xc9c),'sort':_0x3aec47(0x12f2),'limit':0xa,'page':0x1}:_0x166472[_0x3aec47(0x1a56)]={'id':_0x166472[_0x3aec47(0x2321)]['id'],'channel':_0x3aec47(0xe6),'type':_0x3aec47(0xc9c),'sort':_0x3aec47(0x12f2),'limit':0xa,'page':0x1};_0x166472[_0x3aec47(0x1c75)]=_0x53f4b9,_0x166472['getQueues']=_0x3e1b3a,_0x166472[_0x3aec47(0x28ca)]=_0x5b75bb,_0x166472[_0x3aec47(0x6f7)]=_0x1903cb,_0x166472['agentAdd']=_0x2be6a4,_0x166472['updateQueue']=_0x237220,_0x166472[_0x3aec47(0x1db0)]=_0x2f747f,_0x166472[_0x3aec47(0x285f)]=_0x34c648,_0x166472['onPause']=_0x571a4a,_0x166472[_0x3aec47(0x1c6c)]=_0x588177,_0x166472[_0x3aec47(0x600)]=_0x109457,_0x166472['$onInit']=_0x2b53ae,_0x166472['order']=[],_0x3f65c0()[_0x3aec47(0x1a04)](_0x166472['queues'],function(_0xc724e7){const _0x5a5a23=_0x3aec47;_0x166472[_0x5a5a23(0x206e)][_0x5a5a23(0x1f47)](_0xc724e7['id']);}),_0x107f25[_0x3aec47(0x13b7)](_0x3aec47(0x101d),_0x166472[_0x3aec47(0x1db0)]),_0x166472['interval']=_0x596eb4(function(){},0x3e7),_0x107f25['on'](_0x3aec47(0x26cb),_0x166472['onSaveMember']),_0x107f25['on'](_0x3aec47(0x324),_0x166472[_0x3aec47(0x1028)]),_0x107f25['on'](_0x3aec47(0x1d3e),_0x166472[_0x3aec47(0x600)]),_0x107f25['on'](_0x3aec47(0x109d),_0x166472[_0x3aec47(0x1c6c)]);function _0x2b53ae(){const _0x47430b=_0x3aec47,_0x5d2d8c=[];return _0x3f65c0()['forIn'](_0x166472[_0x47430b(0x1b32)],function(_0x59202b,_0x1c6b7d){const _0x2f9f21=_0x47430b;_0x59202b[_0x2f9f21(0x1e3d)]=0x0,_0x59202b['loggedInDb']=0x0,_0x166472[_0x2f9f21(0x1b32)][_0x1c6b7d][_0x2f9f21(0x23c0)]={},_0x5d2d8c[_0x2f9f21(0x1f47)](_0x1cd644['voiceQueue'][_0x2f9f21(0x24c3)]({'id':_0x1c6b7d})[_0x2f9f21(0x2945)]),_0x166472[_0x2f9f21(0x21af)][_0x1c6b7d]&&_0x3f65c0()[_0x2f9f21(0x168d)](_0x59202b,_0x3f65c0()['pick'](_0x166472[_0x2f9f21(0x21af)][_0x1c6b7d],_0x2674c3));}),_0x5b1248[_0x47430b(0x1be2)](_0x5d2d8c)[_0x47430b(0x146b)](function(_0x547071){const _0x573145=_0x47430b;for(let _0x17dece=0x0;_0x17dece<_0x547071['length'];_0x17dece+=0x1){for(let _0x30dd17=0x0;_0x30dd17<_0x547071[_0x17dece][_0x573145(0x19c7)][_0x573145(0x402)];_0x30dd17++){_0x547071[_0x17dece][_0x573145(0x19c7)][_0x30dd17][_0x573145(0x1e3d)]&&_0x166472[_0x573145(0x1b32)][_0x547071[_0x17dece]['rows'][_0x30dd17][_0x573145(0x6ed)]]['paused']++,_0x166472[_0x573145(0x1b32)][_0x547071[_0x17dece][_0x573145(0x19c7)][_0x30dd17]['VoiceQueueId']][_0x573145(0x1899)]++,_0x166472[_0x573145(0x1b32)][_0x547071[_0x17dece]['rows'][_0x30dd17][_0x573145(0x6ed)]][_0x573145(0x23c0)][_0x547071[_0x17dece][_0x573145(0x19c7)][_0x30dd17]['UserId']]=_0x547071[_0x17dece]['rows'][_0x30dd17][_0x573145(0x6ed)];}}})[_0x47430b(0x129e)](function(_0x2e6839){const _0x6ef9bc=_0x47430b;console[_0x6ef9bc(0x1980)](_0x2e6839);});}function _0x2f747f(_0x53e42e){const _0x329af9=_0x3aec47;_0x166472[_0x329af9(0x1b32)][_0x53e42e['id']]&&(_0x3f65c0()['merge'](_0x166472['queues'][_0x53e42e['id']],_0x3f65c0()[_0x329af9(0x40e)](_0x53e42e,_0x2674c3)),_0x3f65c0()[_0x329af9(0x1b36)](_0x53e42e['startPredictive'])&&(_0x166472['queues'][_0x53e42e['id']][_0x329af9(0x16fb)]=undefined));}function _0x34c648(_0x5f4843){const _0x3e98a2=_0x3aec47;_0x166472[_0x3e98a2(0x1b32)][_0x5f4843[_0x3e98a2(0x160b)]]&&_0x166472[_0x3e98a2(0x1b32)][_0x5f4843[_0x3e98a2(0x160b)]][_0x3e98a2(0x1899)]++;}function _0x571a4a(_0x303b38){const _0x21ae6f=_0x3aec47;console[_0x21ae6f(0x1a74)]('onPause',_0x303b38);if(_0x303b38[_0x21ae6f(0x211c)])_0x3f65c0()[_0x21ae6f(0x1a04)](_0x166472[_0x21ae6f(0x1b32)],function(_0x3ea3b2){const _0x10d21a=_0x21ae6f;_0x3ea3b2['agents'][_0x303b38['id']]&&(_0x3ea3b2[_0x10d21a(0x1e3d)]+=0x1,console[_0x10d21a(0x1a74)](_0x10d21a(0x1e3e)));});else!_0x303b38[_0x21ae6f(0x211c)]&&_0x3f65c0()['forIn'](_0x166472[_0x21ae6f(0x1b32)],function(_0x22c1d1){const _0x2ac2e6=_0x21ae6f;_0x22c1d1[_0x2ac2e6(0x23c0)][_0x303b38['id']]&&(_0x22c1d1[_0x2ac2e6(0x1e3d)]>0x0&&(_0x22c1d1[_0x2ac2e6(0x1e3d)]-=0x1,console[_0x2ac2e6(0x1a74)](_0x2ac2e6(0x974))));});}function _0x588177(_0x42430b){const _0x1e00df=_0x3aec47;console['log'](_0x1e00df(0x414),_0x42430b),_0x166472[_0x1e00df(0x1b32)][_0x42430b[_0x1e00df(0x6ed)]]&&(_0x166472[_0x1e00df(0x1b32)][_0x42430b[_0x1e00df(0x6ed)]][_0x1e00df(0x1899)]+=0x1,_0x166472['queues'][_0x42430b[_0x1e00df(0x6ed)]][_0x1e00df(0x23c0)][_0x42430b[_0x1e00df(0xea2)]]=_0x42430b[_0x1e00df(0x6ed)]);}function _0x109457(_0x2492ee){const _0x4da9fb=_0x3aec47;console[_0x4da9fb(0x1a74)](_0x4da9fb(0x600),_0x2492ee),_0x166472[_0x4da9fb(0x1b32)][_0x2492ee[_0x4da9fb(0x6ed)]]&&_0x166472['queues'][_0x2492ee['VoiceQueueId']][_0x4da9fb(0x1899)]>0x0&&(_0x166472[_0x4da9fb(0x1b32)][_0x2492ee[_0x4da9fb(0x6ed)]][_0x4da9fb(0x1899)]-=0x1,delete _0x166472[_0x4da9fb(0x1b32)][_0x2492ee[_0x4da9fb(0x6ed)]][_0x4da9fb(0x23c0)][_0x2492ee['UserId']]);}let _0x2af032=!![],_0x516b1c=0x1;_0x37b834[_0x3aec47(0x21e8)](_0x3aec47(0x2669),function(_0x40f4bb,_0x3bf90e){const _0x40fd7c=_0x3aec47;_0x2af032?_0x24cc2b(function(){_0x2af032=![];}):(!_0x3bf90e&&(_0x516b1c=_0x166472[_0x40fd7c(0x1a56)][_0x40fd7c(0x844)]),_0x40f4bb!==_0x3bf90e&&(_0x166472[_0x40fd7c(0x1a56)][_0x40fd7c(0x844)]=0x1),!_0x40f4bb&&(_0x166472[_0x40fd7c(0x1a56)][_0x40fd7c(0x844)]=_0x516b1c),_0x166472[_0x40fd7c(0xc53)]());});function _0x53f4b9(_0x529dfa){const _0x4af21e=_0x3aec47;_0x166472['count']=_0x529dfa[_0x4af21e(0x51c)],_0x166472[_0x4af21e(0x1b32)]=_0x529dfa?_0x3f65c0()[_0x4af21e(0x194)](_0x529dfa['rows']?_0x529dfa[_0x4af21e(0x19c7)]:[],'id'):{};if(_0x529dfa[_0x4af21e(0x19c7)]){_0x166472['order']=[];for(let _0x4e20c4=0x0;_0x4e20c4<_0x529dfa['rows'][_0x4af21e(0x402)];_0x4e20c4++){_0x166472[_0x4af21e(0x206e)][_0x4af21e(0x1f47)](_0x529dfa[_0x4af21e(0x19c7)][_0x4e20c4]['id']);}}_0x2b53ae();}function _0x3e1b3a(){const _0xbd0366=_0x3aec47;_0x166472[_0xbd0366(0x1a56)][_0xbd0366(0x145d)]=(_0x166472['query']['page']-0x1)*_0x166472[_0xbd0366(0x1a56)][_0xbd0366(0x221e)],_0x48476c['hasRole']('admin')?_0x166472[_0xbd0366(0xb9c)]=_0x1cd644['voiceQueue'][_0xbd0366(0x16b4)](_0x166472['query'],_0x53f4b9)[_0xbd0366(0x2945)]:(_0x166472[_0xbd0366(0x1a56)]['id']=_0x166472[_0xbd0366(0x26b6)]['id'],_0x166472[_0xbd0366(0x1a56)]['section']='QueueCampaigns',_0x166472[_0xbd0366(0xb9c)]=_0x1cd644[_0xbd0366(0x26b6)]['getResources'](_0x166472[_0xbd0366(0x1a56)],_0x53f4b9)[_0xbd0366(0x2945)]);}function _0x5b75bb(_0x599e71,_0x3a4d84){const _0x1c04ce=_0x3aec47;_0x16eb9d[_0x1c04ce(0x2615)]({'controller':_0x1c04ce(0x2573),'controllerAs':'vm','templateUrl':_0x403428,'parent':angular['element'](_0x514e73[_0x1c04ce(0x2586)]),'targetEvent':_0x599e71,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0x3a4d84,'queueCampaigns':_0x3f65c0()[_0x1c04ce(0x81b)](_0x166472[_0x1c04ce(0x1b32)]),'license':null,'setting':null,'crudPermissions':_0x166472[_0x1c04ce(0x2514)]}});}function _0x1903cb(_0x8a82c5,_0x427d61){const _0x3933f4=_0x3aec47;_0x16eb9d[_0x3933f4(0x2615)]({'controller':_0x3933f4(0xe2f),'controllerAs':'vm','templateUrl':_0x40fdaf,'parent':angular[_0x3933f4(0x1853)](_0x514e73[_0x3933f4(0x2586)]),'targetEvent':_0x8a82c5,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0x427d61}});}function _0x2be6a4(_0xc2cbf1,_0x571d4a){const _0x1933de=_0x3aec47;_0x16eb9d['show']({'controller':_0x1933de(0x86a),'controllerAs':'vm','templateUrl':_0x4619e2,'parent':angular[_0x1933de(0x1853)](_0x514e73[_0x1933de(0x2586)]),'targetEvent':_0xc2cbf1,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0x571d4a,'queueCampaigns':_0x166472[_0x1933de(0x1b32)]?_0x166472[_0x1933de(0x1b32)][_0x1933de(0x19c7)]:[],'realtime':!![],'crudPermissions':_0x166472[_0x1933de(0x2514)]}});}function _0x237220(_0x370681){const _0x233499=_0x3aec47;return _0x1cd644['voiceQueue']['update'](_0x370681)[_0x233499(0x2945)][_0x233499(0x146b)](function(){const _0x530c57=_0x233499;_0x241c6c[_0x530c57(0x1c75)]({'title':_0x530c57(0x5f1),'msg':_0x370681[_0x530c57(0x19eb)]?_0x370681[_0x530c57(0x19eb)]+'\x20has\x20been\x20updated!':''});})[_0x233499(0x129e)](function(_0x2bb32c){const _0x3dc040=_0x233499;_0x241c6c[_0x3dc040(0x1980)]({'title':'Error\x20creating\x20queue!','msg':_0x2bb32c[_0x3dc040(0x7fd)]});});}_0x37b834[_0x3aec47(0x16ad)](_0x3aec47(0x116f),function(){const _0x1a4d4e=_0x3aec47;_0x107f25[_0x1a4d4e(0x1c5f)](_0x1a4d4e(0x101d)),_0x166472['interval']&&_0x596eb4[_0x1a4d4e(0x6c3)](_0x166472[_0x1a4d4e(0x2765)]),_0x107f25[_0x1a4d4e(0x1c5f)]('userVoiceQueueRt:save'),_0x107f25[_0x1a4d4e(0x1c5f)](_0x1a4d4e(0x324)),_0x107f25[_0x1a4d4e(0x1c5f)](_0x1a4d4e(0x1d3e)),_0x107f25[_0x1a4d4e(0x1c5f)](_0x1a4d4e(0x109d));});}const _0x35af3b=_0x23a7ee;;_0x3deba3[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$interval',_0x313a4d(0x1f0f)];function _0x3deba3(_0x237b0f,_0x509ab3,_0x2c068d){const _0x45f54e=_0x313a4d,_0x558f3f=this;_0x558f3f[_0x45f54e(0x1f0f)]=_0x2c068d,_0x558f3f[_0x45f54e(0x20d6)]=_0x13799d,_0x558f3f[_0x45f54e(0x26f5)]=_0x249edb,_0x558f3f[_0x45f54e(0x13f3)]=_0x25effb;function _0x13799d(_0x5816f0,_0x582f35){const _0x5d51d8=_0x45f54e;return _0x2deec6()(_0x5816f0)[_0x5d51d8(0x387)]('m',_0x582f35)['format']('HH:mm:ss');}function _0x249edb(_0x14647d){const _0x6b879e=_0x45f54e;return _0x2deec6()(_0x14647d)['format'](_0x6b879e(0x14e));}function _0x25effb(){const _0x211c9f=_0x45f54e;_0x237b0f[_0x211c9f(0x2458)]();}}const _0xc6607b=_0x3deba3;;function _0x32b247(){const _0x2d24f6=_0x313a4d;return{'status':{'registered':_0x2d24f6(0xdf4),'unregistered':_0x2d24f6(0x4c7),'lagged':'red-300-fg\x20icon-close-circle','reachable':_0x2d24f6(0xdf4),'unreachable':'red-300-fg\x20icon-close-circle','unknown':_0x2d24f6(0xc4b)},'state':{'unknown':_0x2d24f6(0x1fd7),'not_inuse':'green-300-fg\x20icon-phone-hangup','inuse':_0x2d24f6(0x255c),'busy':_0x2d24f6(0xb3c),'invalid':_0x2d24f6(0x1fd7),'unavailable':_0x2d24f6(0x1fd7),'ringing':'blue-300-fg\x20icon-phone-incoming','ringinuse':'blue-300-fg\x20icon-phone-incoming','onhold':'blue-300-fg\x20icon-phone-paused'},'channelStatus':{'ring':_0x2d24f6(0x1172),'up':_0x2d24f6(0x1668),'hangup':_0x2d24f6(0x135d)},'channelStatusOut':{'ring':_0x2d24f6(0x1a13),'up':_0x2d24f6(0x1668),'hangup':'red-300-fg\x20icon-phone-hangup'}};}const _0x26990b=_0x32b247;;_0xdd424a[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0x279d)];function _0xdd424a(_0x4dbc38,_0x1080d6,_0x4e9d84){const _0x1bc52a=_0x313a4d,_0x57ada1=this;_0x4e9d84['disconnect'](),_0x4e9d84[_0x1bc52a(0x2e3)](),_0x57ada1['selectedTab']=0x0;switch(_0x1080d6[_0x1bc52a(0x2d7)][_0x1bc52a(0x19eb)]){case _0x1bc52a(0x1438):_0x57ada1[_0x1bc52a(0x1b09)]=0x0;break;case _0x1bc52a(0x181f):_0x57ada1[_0x1bc52a(0x1b09)]=0x1;break;case _0x1bc52a(0x1952):_0x57ada1[_0x1bc52a(0x1b09)]=0x2;break;case'app.motiondialer.realtime.abandonedcalls':_0x57ada1[_0x1bc52a(0x1b09)]=0x3;break;case _0x1bc52a(0x1617):_0x57ada1['selectedTab']=0x4;break;case'app.motiondialer.realtime.ivr_campaigns':_0x57ada1[_0x1bc52a(0x1b09)]=0x5;break;default:_0x57ada1[_0x1bc52a(0x1b09)]=0x0,_0x1080d6['go'](_0x1bc52a(0x1438));}_0x4dbc38[_0x1bc52a(0x21e8)]('vm.selectedTab',function(_0x47b5d3,_0x48697a){const _0x25dc86=_0x1bc52a;if(_0x47b5d3!==_0x48697a)switch(_0x47b5d3){case 0x0:_0x1080d6['go']('app.motiondialer.realtime.agents');break;case 0x1:_0x1080d6['go'](_0x25dc86(0x181f));break;case 0x2:_0x1080d6['go'](_0x25dc86(0x1952));break;case 0x3:_0x1080d6['go']('app.motiondialer.realtime.abandonedcalls');break;case 0x4:_0x1080d6['go'](_0x25dc86(0x1617));break;case 0x5:_0x1080d6['go'](_0x25dc86(0x22cb));break;default:_0x1080d6['go'](_0x25dc86(0x1438));}});}const _0xbd9c7c=_0xdd424a;;_0x3b2e93[_0x313a4d(0x11c2)]=['$stateProvider'];function _0x3b2e93(_0x4e08dc){const _0x22159d=_0x313a4d;_0x4e08dc['state'](_0x22159d(0x595),{'url':_0x22159d(0x178b),'views':{'content@app':{'templateUrl':_0x3a9e,'controller':_0x22159d(0x24de)}},'resolve':{'userProfile':[_0x22159d(0x362),'Auth',function(_0x5c4047,_0x55f360){const _0x882552=_0x22159d;return _0x55f360[_0x882552(0x23e0)]('admin')?null:_0x5c4047[_0x882552(0x2922)](_0x882552(0x119a),{'fields':_0x882552(0x227),'id':_0x55f360[_0x882552(0xb12)]()[_0x882552(0x209a)]});}],'userProfileSection':[_0x22159d(0x362),_0x22159d(0xa87),function(_0x4f5bee,_0x5b84f8){const _0x933535=_0x22159d;return _0x5b84f8['hasRole']('admin')?null:_0x4f5bee[_0x933535(0x2922)](_0x933535(0x27be),{'fields':_0x933535(0x1e64),'userProfileId':_0x5b84f8[_0x933535(0xb12)]()[_0x933535(0x209a)],'sectionId':0x5e6});}]},'authenticate':!![],'permissionId':0x5e6})[_0x22159d(0x13d6)](_0x22159d(0x1438),{'url':_0x22159d(0x1507),'controller':_0x22159d(0x553),'templateUrl':_0x48ccf9,'resolve':{'pauses':[_0x22159d(0x362),function(_0x6cb9b9){const _0x25b0f7=_0x22159d;return _0x6cb9b9[_0x25b0f7(0x2922)]('pause@get',{'nolimit':!![]});}],'agents':[_0x22159d(0x362),'Auth',function(_0x4b8017,_0x3670f2){const _0x4df6b8=_0x22159d;return _0x3670f2[_0x4df6b8(0x23e0)](_0x4df6b8(0x174b))?_0x4b8017['resolve'](_0x4df6b8(0x2484),{'fields':'id,name,fullname,role,userpic,internal,online,voicePause,openchannelPause,faxPause,chatPause,smsPause,whatsappPause,mailPause,pauseType,lastPauseAt,lastLoginAt,lastPauseAt,chatCapacity,mailCapacity,openchannelCapacity,faxCapacity,smsCapacity,whatsappCapacity,screenrecording,phoneBarEnableScreenRecordingByAgent,phoneBarEnableAutomaticScreenRecording','role':_0x4df6b8(0x1755),'sort':_0x4df6b8(0x286a),'nolimit':!![]}):_0x4b8017['resolve'](_0x4df6b8(0x938),{'id':_0x3670f2[_0x4df6b8(0xb12)]()['userProfileId'],'section':'Agents','fields':_0x4df6b8(0x2869),'role':_0x4df6b8(0x1755),'sort':_0x4df6b8(0x286a),'nolimit':!![]});}],'userProfile':[_0x22159d(0x362),_0x22159d(0xa87),function(_0x226b53,_0x10693d){const _0xdf9523=_0x22159d;return _0x10693d[_0xdf9523(0x23e0)](_0xdf9523(0x174b))?null:_0x226b53[_0xdf9523(0x2922)](_0xdf9523(0x119a),{'fields':_0xdf9523(0x227),'id':_0x10693d[_0xdf9523(0xb12)]()[_0xdf9523(0x209a)]});}],'userProfileSection':['apiResolver',_0x22159d(0xa87),function(_0x5b0cfd,_0x50dab2){const _0x645ff5=_0x22159d;return _0x50dab2['hasRole'](_0x645ff5(0x174b))?null:_0x5b0cfd[_0x645ff5(0x2922)](_0x645ff5(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x50dab2[_0x645ff5(0xb12)]()[_0x645ff5(0x209a)],'sectionId':0xca});}],'rpcAgents':[_0x22159d(0x362),function(_0x31b888){const _0x5eadd3=_0x22159d;return _0x31b888[_0x5eadd3(0x2922)]('rpc@getAgents');}]},'authenticate':!![],'permissionId':0x5e6})[_0x22159d(0x13d6)]('app.motiondialer.realtime.queues',{'url':_0x22159d(0xf15),'controller':'QueuesMotionDialerRealtimeController\x20as\x20vm','templateUrl':_0x55dc5e,'resolve':{'queues':[_0x22159d(0x362),'Auth',function(_0x531898,_0x354e4b){const _0x1877e9=_0x22159d;return _0x354e4b[_0x1877e9(0x23e0)]('admin')?_0x531898[_0x1877e9(0x2922)](_0x1877e9(0x5c0),{'type':_0x1877e9(0xc9c),'sort':_0x1877e9(0x12f2),'limit':0xa,'offset':0x0}):_0x531898['resolve']('userProfile@getResources',{'id':_0x354e4b[_0x1877e9(0xb12)]()[_0x1877e9(0x209a)],'section':_0x1877e9(0x15d6),'channel':_0x1877e9(0xe6),'type':_0x1877e9(0xc9c),'sort':_0x1877e9(0x12f2),'limit':0xa,'page':0x1});}],'userProfile':['apiResolver','Auth',function(_0x2d0905,_0x295cad){const _0x2b4c10=_0x22159d;return _0x295cad['hasRole']('admin')?null:_0x2d0905[_0x2b4c10(0x2922)](_0x2b4c10(0x119a),{'fields':_0x2b4c10(0x227),'id':_0x295cad[_0x2b4c10(0xb12)]()[_0x2b4c10(0x209a)]});}],'userProfileSection':[_0x22159d(0x362),_0x22159d(0xa87),function(_0x2c6ffd,_0x1e6efa){const _0x39bb4b=_0x22159d;return _0x1e6efa['hasRole'](_0x39bb4b(0x174b))?null:_0x2c6ffd[_0x39bb4b(0x2922)]('userProfileSection@get',{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x1e6efa[_0x39bb4b(0xb12)]()[_0x39bb4b(0x209a)],'sectionId':0x5dd});}],'rpcQueues':[_0x22159d(0x362),function(_0x5a7402){const _0x52c616=_0x22159d;return _0x5a7402['resolve'](_0x52c616(0x684));}]},'authenticate':!![],'permissionId':0x5e6})['state'](_0x22159d(0x1952),{'url':_0x22159d(0x12c),'controller':_0x22159d(0x276d),'templateUrl':_0x13d5bf,'resolve':{'agents':['apiResolver',_0x22159d(0xa87),function(_0x5032bf,_0x476aa7){const _0x295501=_0x22159d;return _0x476aa7[_0x295501(0x23e0)](_0x295501(0x174b))?_0x5032bf[_0x295501(0x2922)](_0x295501(0x2484),{'fields':'id,name,role,context','role':'agent','nolimit':!![]}):_0x5032bf[_0x295501(0x2922)](_0x295501(0x938),{'id':_0x476aa7[_0x295501(0xb12)]()[_0x295501(0x209a)],'section':_0x295501(0xca8),'fields':_0x295501(0x2041),'role':_0x295501(0x1755),'nolimit':!![]});}],'queues':[_0x22159d(0x362),'Auth',function(_0x1fda02,_0x205d40){const _0x4df0ba=_0x22159d;return _0x205d40[_0x4df0ba(0x23e0)](_0x4df0ba(0x174b))?_0x1fda02['resolve'](_0x4df0ba(0x5c0),{'type':'outbound','sort':_0x4df0ba(0x12f2),'nolimit':!![]}):_0x1fda02[_0x4df0ba(0x2922)](_0x4df0ba(0x938),{'id':_0x205d40[_0x4df0ba(0xb12)]()['userProfileId'],'section':'QueueCampaigns','channel':_0x4df0ba(0xe6),'type':'outbound','sort':_0x4df0ba(0x12f2),'nolimit':!![]});}],'userProfile':[_0x22159d(0x362),_0x22159d(0xa87),function(_0x11d836,_0x53f065){const _0x295f4d=_0x22159d;return _0x53f065[_0x295f4d(0x23e0)](_0x295f4d(0x174b))?null:_0x11d836[_0x295f4d(0x2922)](_0x295f4d(0x119a),{'fields':_0x295f4d(0x227),'id':_0x53f065['getCurrentUser']()[_0x295f4d(0x209a)]});}],'userProfileSection':['apiResolver',_0x22159d(0xa87),function(_0x223c6a,_0x538690){const _0x184b56=_0x22159d;return _0x538690[_0x184b56(0x23e0)](_0x184b56(0x174b))?null:_0x223c6a[_0x184b56(0x2922)]('userProfileSection@get',{'fields':_0x184b56(0x1e64),'userProfileId':_0x538690[_0x184b56(0xb12)]()[_0x184b56(0x209a)],'sectionId':0x5dd});}],'rpcVoiceQueuesChannels':[_0x22159d(0x362),function(_0x541d0d){const _0xa9dee3=_0x22159d;return _0x541d0d[_0xa9dee3(0x2922)](_0xa9dee3(0x1b41));}]},'authenticate':!![],'permissionId':0x5e6})[_0x22159d(0x13d6)](_0x22159d(0x20f7),{'url':'/abandonedcalls','controller':_0x22159d(0x2b9),'templateUrl':_0x40b2c8,'resolve':{'agents':[_0x22159d(0x362),'Auth',function(_0x2ed137,_0x2fc81d){const _0x491a44=_0x22159d;return _0x2fc81d['hasRole'](_0x491a44(0x174b))?_0x2ed137[_0x491a44(0x2922)](_0x491a44(0x2484),{'fields':_0x491a44(0x2041),'role':_0x491a44(0x1755),'nolimit':!![]}):_0x2ed137[_0x491a44(0x2922)]('userProfile@getResources',{'id':_0x2fc81d['getCurrentUser']()[_0x491a44(0x209a)],'section':'Agents','fields':_0x491a44(0x2041),'role':'agent','nolimit':!![]});}],'queues':[_0x22159d(0x362),_0x22159d(0xa87),function(_0x4f1ea8,_0x8d485f){const _0x41c21a=_0x22159d;return _0x8d485f['hasRole']('admin')?_0x4f1ea8[_0x41c21a(0x2922)](_0x41c21a(0x5c0),{'type':_0x41c21a(0xc9c),'sort':_0x41c21a(0x12f2),'nolimit':!![]}):_0x4f1ea8[_0x41c21a(0x2922)](_0x41c21a(0x938),{'id':_0x8d485f[_0x41c21a(0xb12)]()[_0x41c21a(0x209a)],'section':_0x41c21a(0x15d6),'channel':_0x41c21a(0xe6),'type':_0x41c21a(0xc9c),'sort':_0x41c21a(0x12f2),'nolimit':!![]});}],'dispositions':['apiResolver','Auth',function(_0x17c7e8,_0x332a6e){const _0x4adedb=_0x22159d;return _0x332a6e[_0x4adedb(0x23e0)]('admin')?_0x17c7e8[_0x4adedb(0x2922)]('disposition@get',{'fields':_0x4adedb(0x211a),'sort':_0x4adedb(0x12f2),'MailAccountId':_0x4adedb(0x203c),'FaxAccountId':'null','SmsAccountId':'null','WhatsappAccountId':'null','OpenchannelAccountId':'null','ChatWebsiteId':_0x4adedb(0x203c),'ListId':_0x4adedb(0x203c),'nolimit':!![]}):_0x17c7e8['resolve'](_0x4adedb(0x938),{'id':_0x332a6e['getCurrentUser']()[_0x4adedb(0x209a)],'section':_0x4adedb(0x1754),'fields':'createdAt,updatedAt,id,name','sort':_0x4adedb(0x12f2),'MailAccountId':_0x4adedb(0x203c),'FaxAccountId':'null','SmsAccountId':_0x4adedb(0x203c),'WhatsappAccountId':_0x4adedb(0x203c),'OpenchannelAccountId':_0x4adedb(0x203c),'ChatWebsiteId':_0x4adedb(0x203c),'ListId':_0x4adedb(0x203c),'nolimit':!![]});}],'userProfile':[_0x22159d(0x362),_0x22159d(0xa87),function(_0x11eabe,_0x46cba8){const _0x4cb6fc=_0x22159d;return _0x46cba8[_0x4cb6fc(0x23e0)](_0x4cb6fc(0x174b))?null:_0x11eabe['resolve'](_0x4cb6fc(0x119a),{'fields':_0x4cb6fc(0x227),'id':_0x46cba8[_0x4cb6fc(0xb12)]()[_0x4cb6fc(0x209a)]});}],'userProfileSection':[_0x22159d(0x362),'Auth',function(_0x398b6b,_0x3eaff1){const _0x1f1fc7=_0x22159d;return _0x3eaff1[_0x1f1fc7(0x23e0)](_0x1f1fc7(0x174b))?null:_0x398b6b[_0x1f1fc7(0x2922)](_0x1f1fc7(0x27be),{'fields':_0x1f1fc7(0x1e64),'userProfileId':_0x3eaff1[_0x1f1fc7(0xb12)]()['userProfileId'],'sectionId':0x5dd});}]},'authenticate':!![],'permissionId':0x5e6})[_0x22159d(0x13d6)](_0x22159d(0x1617),{'url':_0x22159d(0x85c),'controller':_0x22159d(0x945),'templateUrl':_0x374ed1,'resolve':{'queues':['apiResolver',_0x22159d(0xa87),function(_0x2b2503,_0x505895){const _0x47efb5=_0x22159d;return _0x505895[_0x47efb5(0x23e0)](_0x47efb5(0x174b))?_0x2b2503[_0x47efb5(0x2922)](_0x47efb5(0x5c0),{'type':'outbound','fields':'id,name','sort':_0x47efb5(0x12f2),'limit':0xa,'offset':0x0}):_0x2b2503['resolve'](_0x47efb5(0x938),{'id':_0x505895['getCurrentUser']()[_0x47efb5(0x209a)],'section':_0x47efb5(0x15d6),'channel':_0x47efb5(0xe6),'type':'outbound','sort':_0x47efb5(0x12f2),'nolimit':!![]});}],'userProfile':[_0x22159d(0x362),_0x22159d(0xa87),function(_0x5b3566,_0x38035a){const _0x314529=_0x22159d;return _0x38035a[_0x314529(0x23e0)](_0x314529(0x174b))?null:_0x5b3566[_0x314529(0x2922)](_0x314529(0x119a),{'fields':_0x314529(0x227),'id':_0x38035a[_0x314529(0xb12)]()[_0x314529(0x209a)]});}],'userProfileSection':['apiResolver',_0x22159d(0xa87),function(_0x204d90,_0x508750){const _0x31752e=_0x22159d;return _0x508750[_0x31752e(0x23e0)](_0x31752e(0x174b))?null:_0x204d90[_0x31752e(0x2922)](_0x31752e(0x27be),{'fields':_0x31752e(0x1e64),'userProfileId':_0x508750[_0x31752e(0xb12)]()[_0x31752e(0x209a)],'sectionId':0x5dd});}],'rpcQueues':[_0x22159d(0x362),function(_0x18940f){const _0x29b710=_0x22159d;return _0x18940f[_0x29b710(0x2922)]('rpc@getVoiceQueues');}]},'authenticate':!![],'permissionId':0x5e6})['state'](_0x22159d(0x22cb),{'url':_0x22159d(0x1b3),'controller':_0x22159d(0xe5),'templateUrl':_0x43482f,'resolve':{'campaigns':[_0x22159d(0x362),_0x22159d(0xa87),function(_0x12cef6,_0x1b148d){const _0x5d3ae4=_0x22159d;return _0x1b148d[_0x5d3ae4(0x23e0)](_0x5d3ae4(0x174b))?_0x12cef6[_0x5d3ae4(0x2922)]('campaign@get',{'sort':_0x5d3ae4(0x12f2),'limit':0xa,'offset':0x0}):_0x12cef6[_0x5d3ae4(0x2922)](_0x5d3ae4(0x938),{'id':_0x1b148d['getCurrentUser']()['userProfileId'],'section':'IvrCampaigns','sort':_0x5d3ae4(0x12f2),'limit':0xa,'offset':0x0});}],'userProfile':[_0x22159d(0x362),_0x22159d(0xa87),function(_0x24da13,_0x283736){const _0x1e8c4a=_0x22159d;return _0x283736[_0x1e8c4a(0x23e0)]('admin')?null:_0x24da13['resolve']('userProfile@get',{'fields':_0x1e8c4a(0x227),'id':_0x283736['getCurrentUser']()[_0x1e8c4a(0x209a)]});}],'userProfileSection':[_0x22159d(0x362),'Auth',function(_0x5f3a32,_0xb41a9a){const _0x1ad3e1=_0x22159d;return _0xb41a9a[_0x1ad3e1(0x23e0)]('admin')?null:_0x5f3a32[_0x1ad3e1(0x2922)](_0x1ad3e1(0x27be),{'fields':_0x1ad3e1(0x1e64),'userProfileId':_0xb41a9a[_0x1ad3e1(0xb12)]()[_0x1ad3e1(0x209a)],'sectionId':0x5de});}],'rpcCampaigns':[_0x22159d(0x362),function(_0x423493){const _0x52f9bf=_0x22159d;return _0x423493[_0x52f9bf(0x2922)]('rpc@getCampaigns');}]},'authenticate':!![],'permissionId':0x5e6});}angular['module'](_0x313a4d(0x595),[])[_0x313a4d(0x989)](_0x3b2e93)[_0x313a4d(0x28f0)](_0x313a4d(0x13df),_0x4be860)['controller'](_0x313a4d(0x25f),_0x1ebd7a)[_0x313a4d(0x28f0)](_0x313a4d(0x9fe),_0x37baea)['controller'](_0x313a4d(0xd65),_0x2a3aeb)[_0x313a4d(0x28f0)]('QueueParamsMotionDialerRealtimeController',_0x437e89)[_0x313a4d(0x28f0)](_0x313a4d(0xe4a),_0x35af3b)[_0x313a4d(0x28f0)](_0x313a4d(0xe2f),_0xc6607b)[_0x313a4d(0x1750)](_0x313a4d(0x1ac0),_0x26990b)[_0x313a4d(0x28f0)](_0x313a4d(0x141),_0xbd9c7c);;const _0x2c840a=_0x4acfac['p']+_0x313a4d(0x1819);;const _0x5b75f9=_0x4acfac['p']+'src/js/modules/main/apps/motiondialer/views/queueCampaigns/edit/view.html/view.html';;const _0x491eec=_0x4acfac['p']+_0x313a4d(0x1858);;const _0xb6a0f4=_0x4acfac['p']+'src/js/modules/main/apps/motiondialer/views/ivrCampaigns/edit/view.html/view.html';;_0x288da0[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x13f8),_0x313a4d(0x958),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x288da0(_0x9fa347,_0x18ce60,_0x4fef7f,_0xe54911,_0x143bf2,_0x1c1426,_0x34d1ed,_0x44d9c8,_0x338ad2,_0x554f66,_0x5cd529,_0x9e04f3,_0x266d63,_0x342f87){const _0x5e02f5=_0x313a4d,_0x2ae371=this;_0x2ae371[_0x5e02f5(0x2321)]=_0x5cd529[_0x5e02f5(0xb12)](),_0x2ae371[_0x5e02f5(0xcef)]=[],_0x2ae371[_0x5e02f5(0x15b9)]=_0x266d63,_0x2ae371[_0x5e02f5(0x2690)]=_0x9e04f3,_0x2ae371[_0x5e02f5(0x2514)]=_0x342f87,_0x2ae371[_0x5e02f5(0x855)]={},_0x2ae371[_0x5e02f5(0x2251)]=_0x2ae371['setting']&&_0x2ae371['setting']['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x2ae371[_0x5e02f5(0x1189)]=_0x5e02f5(0x87c),_0x2ae371[_0x5e02f5(0x958)]=angular['copy'](_0x338ad2),_0x2ae371[_0x5e02f5(0x13f8)]=_0x44d9c8,_0x2ae371[_0x5e02f5(0x1bbd)]=![];!_0x2ae371[_0x5e02f5(0x958)]&&(_0x2ae371[_0x5e02f5(0x958)]={'active':!![],'type':_0x5e02f5(0x1f0),'dialCheckDuplicateType':_0x5e02f5(0xd85),'dialCutDigit':0x0,'limitCalls':0x1e,'dialRandomLastDigitCallerIdNumber':0x0,'dialOriginateTimeout':0x1e,'dialGlobalMaxRetry':0x4,'dialCongestionMaxRetry':0x3,'dialCongestionRetryFrequency':0x96,'dialBusyMaxRetry':0x3,'dialBusyRetryFrequency':0x96,'dialNoAnswerMaxRetry':0x3,'dialNoAnswerRetryFrequency':0x96,'dialNoSuchNumberMaxRetry':0x3,'dialNoSuchNumberRetryFrequency':0x96,'dialDropMaxRetry':0x3,'dialDropRetryFrequency':0x96,'dialAbandonedMaxRetry':0x3,'dialAbandonedRetryFrequency':0x96,'dialMachineMaxRetry':0x3,'dialMachineRetryFrequency':0x96,'dialAgentRejectMaxRetry':0x3,'dialAgentRejectRetryFrequency':0x96,'dialOrderByScheduledAt':_0x5e02f5(0x1734),'dialGlobalInterval':_0x5e02f5(0x259f),'dialAMDInitialSilence':0x9c4,'dialAMDGreeting':0x5dc,'dialAMDAfterGreetingSilence':0x320,'dialAMDTotalAnalysisTime':0x1388,'dialAMDMinWordLength':0x64,'dialAMDBetweenWordsSilence':0x32,'dialAMDMaximumNumberOfWords':0x3,'dialAMDSilenceThreshold':0x100,'dialAMDMaximumWordLength':0x1388},_0x2ae371['title']=_0x5e02f5(0x26b8),_0x2ae371['newIvrCampaign']=!![]);_0x2ae371[_0x5e02f5(0x3ab)]=_0x42afae,_0x2ae371[_0x5e02f5(0x1093)]=_0x447a45,_0x2ae371[_0x5e02f5(0x3b4)]=_0x2d5cf6,_0x2ae371[_0x5e02f5(0xe73)]=_0x38071d,_0x2ae371['closeDialog']=_0x597c80,_0x5cd529['hasRole'](_0x5e02f5(0x174b))?_0x554f66[_0x5e02f5(0x279f)][_0x5e02f5(0x16b4)]({'fields':'id,name','sort':_0x5e02f5(0x19eb),'table':_0x5e02f5(0x1185)})[_0x5e02f5(0x2945)]['then'](function(_0x51b77f){const _0x3056b0=_0x5e02f5;_0x2ae371[_0x3056b0(0x2433)]=_0x51b77f[_0x3056b0(0x19c7)]||[];})[_0x5e02f5(0x129e)](function(_0x32afe3){const _0x3c61b4=_0x5e02f5;_0x34d1ed[_0x3c61b4(0x1980)]({'title':_0x32afe3['status']?_0x3c61b4(0x262a)+_0x32afe3[_0x3c61b4(0x107b)]+_0x3c61b4(0x1315)+_0x32afe3['statusText']:_0x3c61b4(0xfc0),'msg':_0x32afe3[_0x3c61b4(0x524)]?JSON[_0x3c61b4(0x10bb)](_0x32afe3[_0x3c61b4(0x524)]):_0x32afe3[_0x3c61b4(0xd5f)]()});}):_0x554f66[_0x5e02f5(0x279f)][_0x5e02f5(0x16b4)]({'fields':'id,name','sort':_0x5e02f5(0x19eb),'table':'true'})[_0x5e02f5(0x2945)][_0x5e02f5(0x146b)](function(_0x31f603){const _0x46160b=_0x5e02f5;_0x2ae371[_0x46160b(0x2433)]=_0x31f603['rows']||[];})[_0x5e02f5(0x146b)](function(){const _0x5d1c1d=_0x5e02f5;return _0x554f66['userProfileSection'][_0x5d1c1d(0x16b4)]({'userProfileId':_0x2ae371[_0x5d1c1d(0x2321)][_0x5d1c1d(0x209a)],'sectionId':0x3f3})[_0x5d1c1d(0x2945)];})[_0x5e02f5(0x146b)](function(_0x1bd875){const _0x182fb3=_0x5e02f5,_0x3a52ee=_0x1bd875&&_0x1bd875[_0x182fb3(0x19c7)]?_0x1bd875[_0x182fb3(0x19c7)][0x0]:null;if(!_0x3a52ee)_0x2ae371[_0x182fb3(0x2433)]=[];else{if(!_0x3a52ee[_0x182fb3(0x11d2)])return _0x554f66[_0x182fb3(0xdcc)]['get']({'sectionId':_0x3a52ee['id']})[_0x182fb3(0x2945)][_0x182fb3(0x146b)](function(_0x4d9f1c){const _0x23abef=_0x182fb3,_0x2e0cf7=_0x3f65c0()[_0x23abef(0x205)](_0x4d9f1c[_0x23abef(0x19c7)],function(_0x46fbca){const _0x39310b=_0x23abef;return _0x3f65c0()['find'](_0x2ae371[_0x39310b(0x2433)],{'id':_0x46fbca[_0x39310b(0x18b8)]});});_0x2ae371[_0x23abef(0x2433)][_0x23abef(0x1df5)](function(_0x348ea0){const _0x292e68=_0x23abef;!_0x3f65c0()[_0x292e68(0x1360)](_0x2e0cf7,['id',_0x348ea0['id']])&&(_0x348ea0[_0x292e68(0x8ff)]=![]),_0x2e0cf7[_0x292e68(0x1f47)](_0x348ea0);}),_0x2ae371[_0x23abef(0x2433)]=_0x2e0cf7;});}})[_0x5e02f5(0x129e)](function(_0x27b470){const _0x412aff=_0x5e02f5;_0x34d1ed[_0x412aff(0x1980)]({'title':_0x27b470[_0x412aff(0x107b)]?_0x412aff(0x262a)+_0x27b470['status']+_0x412aff(0x1315)+_0x27b470[_0x412aff(0x167f)]:'SYSTEM:GETtrunks','msg':_0x27b470[_0x412aff(0x524)]?JSON['stringify'](_0x27b470['data']):_0x27b470[_0x412aff(0xd5f)]()});}),_0x5cd529[_0x5e02f5(0x23e0)](_0x5e02f5(0x174b))?_0x554f66['squareProject'][_0x5e02f5(0x16b4)]({'fields':'id,name','sort':_0x5e02f5(0x19eb)})[_0x5e02f5(0x2945)][_0x5e02f5(0x146b)](function(_0xbd7b0c){const _0x33d9db=_0x5e02f5;_0x2ae371[_0x33d9db(0x1991)]=_0xbd7b0c[_0x33d9db(0x19c7)]||[];})[_0x5e02f5(0x129e)](function(_0x5c7765){const _0x4bc38e=_0x5e02f5;_0x34d1ed[_0x4bc38e(0x1980)]({'title':_0x5c7765[_0x4bc38e(0x107b)]?_0x4bc38e(0x262a)+_0x5c7765['status']+_0x4bc38e(0x1315)+_0x5c7765[_0x4bc38e(0x167f)]:'SYSTEM:GET_PROJECTS','msg':_0x5c7765[_0x4bc38e(0x524)]?JSON[_0x4bc38e(0x10bb)](_0x5c7765[_0x4bc38e(0x524)]):_0x5c7765['toString']()});}):_0x554f66[_0x5e02f5(0x9b1)]['get']({'fields':_0x5e02f5(0x7a7),'sort':'name'})[_0x5e02f5(0x2945)][_0x5e02f5(0x146b)](function(_0x56ed40){const _0x41e29b=_0x5e02f5;_0x2ae371[_0x41e29b(0x1991)]=_0x56ed40[_0x41e29b(0x19c7)]||[];})[_0x5e02f5(0x146b)](function(){const _0x5c5c6b=_0x5e02f5;return _0x554f66[_0x5c5c6b(0x1366)]['get']({'userProfileId':_0x2ae371[_0x5c5c6b(0x2321)][_0x5c5c6b(0x209a)],'sectionId':0x44f})[_0x5c5c6b(0x2945)];})[_0x5e02f5(0x146b)](function(_0x38eb7b){const _0x37166a=_0x5e02f5,_0x3cf096=_0x38eb7b&&_0x38eb7b[_0x37166a(0x19c7)]?_0x38eb7b['rows'][0x0]:null;if(!_0x3cf096){const _0x283808=[];let _0x5ae4b7=null;_0x2ae371[_0x37166a(0x958)]&&(_0x5ae4b7=_0x3f65c0()['find'](_0x2ae371[_0x37166a(0x1991)],{'id':Number(_0x2ae371[_0x37166a(0x958)][_0x37166a(0x223c)])}));for(let _0x42f5ac=0x0;_0x42f5ac<_0x2ae371['projects'][_0x37166a(0x402)];_0x42f5ac++){_0x5ae4b7&&_0x2ae371[_0x37166a(0x1991)][_0x42f5ac]['id']===_0x5ae4b7['id']&&(_0x2ae371[_0x37166a(0x1991)][_0x42f5ac][_0x37166a(0x8ff)]=![],_0x283808[_0x37166a(0x1f47)](_0x2ae371[_0x37166a(0x1991)][_0x42f5ac]));}_0x2ae371[_0x37166a(0x1991)]=_0x283808;}else{if(!_0x3cf096[_0x37166a(0x11d2)])return _0x554f66['userProfileResource'][_0x37166a(0x16b4)]({'sectionId':_0x3cf096['id']})[_0x37166a(0x2945)][_0x37166a(0x146b)](function(_0x38a2db){const _0x9a1c08=_0x37166a,_0x30e359=_0x3f65c0()[_0x9a1c08(0x205)](_0x38a2db[_0x9a1c08(0x19c7)],function(_0xb096e7){const _0xda44ee=_0x9a1c08;return _0x3f65c0()[_0xda44ee(0xc84)](_0x2ae371[_0xda44ee(0x1991)],{'id':_0xb096e7[_0xda44ee(0x18b8)]});});let _0x2ef3eb=null;_0x2ae371[_0x9a1c08(0x958)]&&(_0x2ef3eb=_0x3f65c0()[_0x9a1c08(0xc84)](_0x2ae371['projects'],{'id':Number(_0x2ae371[_0x9a1c08(0x958)][_0x9a1c08(0x223c)])}));if(_0x2ef3eb&&!_0x3f65c0()[_0x9a1c08(0x1360)](_0x30e359,['id',_0x2ef3eb['id']])){const _0x2d9d66=_0x3f65c0()[_0x9a1c08(0xc84)](_0x2ae371[_0x9a1c08(0x1991)],{'id':_0x2ef3eb['id']});_0x2d9d66[_0x9a1c08(0x8ff)]=![],_0x30e359[_0x9a1c08(0x1f47)](_0x2d9d66);}_0x2ae371['projects']=_0x30e359;});}})[_0x5e02f5(0x129e)](function(_0xe22385){const _0x2a4e1b=_0x5e02f5;_0x34d1ed[_0x2a4e1b(0x1980)]({'title':_0xe22385[_0x2a4e1b(0x107b)]?'API:'+_0xe22385[_0x2a4e1b(0x107b)]+_0x2a4e1b(0x1315)+_0xe22385[_0x2a4e1b(0x167f)]:_0x2a4e1b(0x193b),'msg':_0xe22385['data']?JSON[_0x2a4e1b(0x10bb)](_0xe22385[_0x2a4e1b(0x524)]):_0xe22385[_0x2a4e1b(0xd5f)]()});}),_0x5cd529[_0x5e02f5(0x23e0)](_0x5e02f5(0x174b))?_0x554f66[_0x5e02f5(0x2765)]['get']({'fields':_0x5e02f5(0x7a7),'sort':'name','IntervalId':_0x5e02f5(0x203c)})['$promise'][_0x5e02f5(0x146b)](function(_0x3dd31e){const _0x5234d5=_0x5e02f5;_0x2ae371[_0x5234d5(0x2765)]=_0x3dd31e[_0x5234d5(0x19c7)]||[];})[_0x5e02f5(0x129e)](function(_0x2df6f4){const _0x45393d=_0x5e02f5;_0x34d1ed[_0x45393d(0x1980)]({'title':_0x2df6f4[_0x45393d(0x107b)]?_0x45393d(0x262a)+_0x2df6f4['status']+_0x45393d(0x1315)+_0x2df6f4['statusText']:_0x45393d(0x12c5),'msg':_0x2df6f4[_0x45393d(0x524)]?JSON[_0x45393d(0x10bb)](_0x2df6f4['data']):_0x2df6f4[_0x45393d(0xd5f)]()});}):_0x554f66[_0x5e02f5(0x2765)]['get']({'fields':_0x5e02f5(0x7a7),'sort':_0x5e02f5(0x19eb),'IntervalId':_0x5e02f5(0x203c)})[_0x5e02f5(0x2945)][_0x5e02f5(0x146b)](function(_0x4e2c7a){const _0x2aa84=_0x5e02f5;_0x2ae371[_0x2aa84(0x2765)]=_0x4e2c7a[_0x2aa84(0x19c7)]||[];})[_0x5e02f5(0x146b)](function(){const _0x2f56d6=_0x5e02f5;return _0x554f66[_0x2f56d6(0x1366)][_0x2f56d6(0x16b4)]({'userProfileId':_0x2ae371[_0x2f56d6(0x2321)][_0x2f56d6(0x209a)],'sectionId':0x3ec})[_0x2f56d6(0x2945)];})[_0x5e02f5(0x146b)](function(_0x3ec8bf){const _0x35789b=_0x5e02f5,_0x1f02c1=_0x3ec8bf&&_0x3ec8bf['rows']?_0x3ec8bf[_0x35789b(0x19c7)][0x0]:null;if(!_0x1f02c1){const _0x2f0d27=[];let _0x51d225=null;_0x2ae371[_0x35789b(0x958)]&&(_0x51d225=_0x3f65c0()[_0x35789b(0xc84)](_0x2ae371['interval'],{'id':Number(_0x2ae371[_0x35789b(0x958)][_0x35789b(0x1ff2)])}));for(let _0x810bb9=0x0;_0x810bb9<_0x2ae371[_0x35789b(0x2765)][_0x35789b(0x402)];_0x810bb9++){_0x51d225&&_0x2ae371[_0x35789b(0x2765)][_0x810bb9]['id']===_0x51d225['id']&&(_0x2ae371[_0x35789b(0x2765)][_0x810bb9][_0x35789b(0x8ff)]=![],_0x2f0d27['push'](_0x2ae371[_0x35789b(0x2765)][_0x810bb9]));}_0x2ae371['interval']=_0x2f0d27;}else{if(!_0x1f02c1[_0x35789b(0x11d2)])return _0x554f66[_0x35789b(0xdcc)]['get']({'sectionId':_0x1f02c1['id']})['$promise'][_0x35789b(0x146b)](function(_0x35d8c6){const _0x3efbc0=_0x35789b,_0x381c84=_0x3f65c0()[_0x3efbc0(0x205)](_0x35d8c6[_0x3efbc0(0x19c7)],function(_0x1e2ad4){const _0x5bafc6=_0x3efbc0;return _0x3f65c0()[_0x5bafc6(0xc84)](_0x2ae371[_0x5bafc6(0x2765)],{'id':_0x1e2ad4['resourceId']});});let _0x378e8b=null;_0x2ae371[_0x3efbc0(0x958)]&&(_0x378e8b=_0x3f65c0()[_0x3efbc0(0xc84)](_0x2ae371[_0x3efbc0(0x2765)],{'id':Number(_0x2ae371[_0x3efbc0(0x958)][_0x3efbc0(0x1ff2)])}));if(_0x378e8b&&!_0x3f65c0()[_0x3efbc0(0x1360)](_0x381c84,['id',_0x378e8b['id']])){const _0xa1c2f=_0x3f65c0()['find'](_0x2ae371[_0x3efbc0(0x2765)],{'id':_0x378e8b['id']});_0xa1c2f[_0x3efbc0(0x8ff)]=![],_0x381c84[_0x3efbc0(0x1f47)](_0xa1c2f);}_0x2ae371['interval']=_0x381c84;});}})['catch'](function(_0x3bcd70){const _0x7483b5=_0x5e02f5;_0x34d1ed[_0x7483b5(0x1980)]({'title':_0x3bcd70['status']?'API:'+_0x3bcd70[_0x7483b5(0x107b)]+_0x7483b5(0x1315)+_0x3bcd70['statusText']:_0x7483b5(0x8b6),'msg':_0x3bcd70[_0x7483b5(0x524)]?JSON[_0x7483b5(0x10bb)](_0x3bcd70[_0x7483b5(0x524)]):_0x3bcd70[_0x7483b5(0xd5f)]()});});function _0x42afae(){const _0x1aaafb=_0x5e02f5;_0x2ae371[_0x1aaafb(0xcef)]=[],_0x554f66['campaign'][_0x1aaafb(0x1e3)](_0x2ae371['ivrCampaign'])[_0x1aaafb(0x2945)][_0x1aaafb(0x146b)](function(_0x26649f){const _0x447135=_0x1aaafb;_0x2ae371[_0x447135(0x13f8)][_0x447135(0xb3d)](_0x26649f['toJSON']()),_0x34d1ed[_0x447135(0x1c75)]({'title':'IvrCampaign\x20properly\x20created','msg':_0x2ae371[_0x447135(0x958)][_0x447135(0x19eb)]?_0x2ae371[_0x447135(0x958)]['name']+_0x447135(0x1386):''}),_0x597c80(_0x26649f);})[_0x1aaafb(0x129e)](function(_0x2631c3){const _0x358781=_0x1aaafb;if(_0x2631c3[_0x358781(0x524)]&&_0x2631c3[_0x358781(0x524)][_0x358781(0xcef)]&&_0x2631c3[_0x358781(0x524)]['errors'][_0x358781(0x402)]){_0x2ae371[_0x358781(0xcef)]=_0x2631c3[_0x358781(0x524)][_0x358781(0xcef)]||[{'message':_0x2631c3[_0x358781(0xd5f)](),'type':_0x358781(0x105b)}];for(let _0x4e6804=0x0;_0x4e6804<_0x2631c3[_0x358781(0x524)][_0x358781(0xcef)][_0x358781(0x402)];_0x4e6804+=0x1){_0x34d1ed[_0x358781(0x1980)]({'title':_0x2631c3['data']['errors'][_0x4e6804][_0x358781(0x1142)],'msg':_0x2631c3[_0x358781(0x524)][_0x358781(0xcef)][_0x4e6804][_0x358781(0x7fd)]});}}else _0x34d1ed['error']({'title':_0x2631c3['status']?_0x358781(0x262a)+_0x2631c3['status']+_0x358781(0x1315)+_0x2631c3['statusText']:_0x358781(0x105b),'msg':_0x2631c3[_0x358781(0x524)]?JSON[_0x358781(0x10bb)](_0x2631c3[_0x358781(0x524)][_0x358781(0x7fd)]):_0x2631c3[_0x358781(0xd5f)]()});});}function _0x447a45(){const _0x13f648=_0x5e02f5;_0x2ae371[_0x13f648(0xcef)]=[],_0x554f66[_0x13f648(0x8dc)][_0x13f648(0x18e1)]({'id':_0x2ae371[_0x13f648(0x958)]['id']},_0x2ae371[_0x13f648(0x958)])[_0x13f648(0x2945)]['then'](function(_0xba6545){const _0x1eb720=_0x13f648,_0x2d6e9b=_0x3f65c0()['find'](_0x2ae371['ivrCampaigns'],{'id':_0xba6545['id']});_0x2d6e9b&&_0x3f65c0()['merge'](_0x2d6e9b,_0x3f65c0()[_0x1eb720(0x40e)](_0xba6545['toJSON'](),_0x3f65c0()[_0x1eb720(0x627)](_0x2d6e9b))),_0x34d1ed[_0x1eb720(0x1c75)]({'title':_0x1eb720(0x28d),'msg':_0x2ae371[_0x1eb720(0x958)]['name']?_0x2ae371['ivrCampaign'][_0x1eb720(0x19eb)]+_0x1eb720(0x24db):''}),_0x597c80(_0xba6545);})['catch'](function(_0x27e5aa){const _0x4c0f13=_0x13f648;if(_0x27e5aa[_0x4c0f13(0x524)]&&_0x27e5aa[_0x4c0f13(0x524)][_0x4c0f13(0xcef)]&&_0x27e5aa[_0x4c0f13(0x524)][_0x4c0f13(0xcef)]['length']){_0x2ae371[_0x4c0f13(0xcef)]=_0x27e5aa[_0x4c0f13(0x524)][_0x4c0f13(0xcef)]||[{'message':_0x27e5aa['toString'](),'type':_0x4c0f13(0x1248)}];for(let _0x329baf=0x0;_0x329baf<_0x27e5aa[_0x4c0f13(0x524)][_0x4c0f13(0xcef)][_0x4c0f13(0x402)];_0x329baf++){_0x34d1ed['error']({'title':_0x27e5aa[_0x4c0f13(0x524)][_0x4c0f13(0xcef)][_0x329baf][_0x4c0f13(0x1142)],'msg':_0x27e5aa[_0x4c0f13(0x524)][_0x4c0f13(0xcef)][_0x329baf]['message']});}}else _0x34d1ed[_0x4c0f13(0x1980)]({'title':_0x27e5aa['status']?_0x4c0f13(0x262a)+_0x27e5aa['status']+_0x4c0f13(0x1315)+_0x27e5aa[_0x4c0f13(0x167f)]:_0x4c0f13(0x1248),'msg':_0x27e5aa['data']?JSON[_0x4c0f13(0x10bb)](_0x27e5aa['data'][_0x4c0f13(0x7fd)]):_0x27e5aa['toString']()});});}function _0x2d5cf6(_0x4127bb){const _0x24e810=_0x5e02f5;_0x2ae371[_0x24e810(0xcef)]=[];const _0x35fa46=_0xe54911[_0x24e810(0x1e8a)]()[_0x24e810(0x1189)](_0x24e810(0x1d64))['content']('The\x20ivrCampaign\x20will\x20be\x20deleted.')[_0x24e810(0x4bd)](_0x24e810(0x4d6))['ok']('Delete')[_0x24e810(0x6c3)](_0x24e810(0xcf0))[_0x24e810(0x1f27)](_0x4127bb);_0xe54911['show'](_0x35fa46)[_0x24e810(0x146b)](function(){const _0x30ff39=_0x24e810;_0x554f66[_0x30ff39(0x8dc)][_0x30ff39(0x1fac)]({'id':_0x2ae371['ivrCampaign']['id']})['$promise']['then'](function(){const _0x5e8c2e=_0x30ff39;_0x3f65c0()[_0x5e8c2e(0x2640)](_0x2ae371['ivrCampaigns'],{'id':_0x2ae371[_0x5e8c2e(0x958)]['id']}),_0x34d1ed[_0x5e8c2e(0x1c75)]({'title':_0x5e8c2e(0x1d27),'msg':(_0x2ae371[_0x5e8c2e(0x958)][_0x5e8c2e(0x19eb)]||_0x5e8c2e(0x958))+'\x20has\x20been\x20deleted!'}),_0x597c80(_0x2ae371[_0x5e8c2e(0x958)]);})[_0x30ff39(0x129e)](function(_0x42a116){const _0x6ff9a0=_0x30ff39;if(_0x42a116[_0x6ff9a0(0x524)]&&_0x42a116[_0x6ff9a0(0x524)][_0x6ff9a0(0xcef)]&&_0x42a116[_0x6ff9a0(0x524)]['errors'][_0x6ff9a0(0x402)]){_0x2ae371['errors']=_0x42a116['data']['errors']||[{'message':_0x42a116[_0x6ff9a0(0xd5f)](),'type':_0x6ff9a0(0x24b3)}];for(let _0x32bdd2=0x0;_0x32bdd2<_0x42a116[_0x6ff9a0(0x524)][_0x6ff9a0(0xcef)][_0x6ff9a0(0x402)];_0x32bdd2++){_0x34d1ed[_0x6ff9a0(0x1980)]({'title':_0x42a116[_0x6ff9a0(0x524)][_0x6ff9a0(0xcef)][_0x32bdd2][_0x6ff9a0(0x1142)],'msg':_0x42a116[_0x6ff9a0(0x524)][_0x6ff9a0(0xcef)][_0x32bdd2][_0x6ff9a0(0x7fd)]});}}else _0x34d1ed['error']({'title':_0x42a116[_0x6ff9a0(0x107b)]?'API:'+_0x42a116['status']+_0x6ff9a0(0x1315)+_0x42a116[_0x6ff9a0(0x167f)]:_0x6ff9a0(0x24b3),'msg':_0x42a116[_0x6ff9a0(0x524)]?JSON[_0x6ff9a0(0x10bb)](_0x42a116[_0x6ff9a0(0x524)][_0x6ff9a0(0x7fd)]):_0x42a116[_0x6ff9a0(0x7fd)]||_0x42a116['toString']()});});},function(){});}function _0x38071d(_0x3cbf6c){return _0x3cbf6c===null?undefined:new Date(_0x3cbf6c);}function _0x597c80(_0xafc69f){const _0x590f70=_0x5e02f5;_0xe54911[_0x590f70(0x2458)](_0xafc69f);}}const _0x590974=_0x288da0;;_0x479872['$inject']=[_0x313a4d(0x10e8),'$q','toasty',_0x313a4d(0x247f),_0x313a4d(0x958),_0x313a4d(0x214b),'$timeout',_0x313a4d(0xa87),'crudPermissions'];function _0x479872(_0x38f00,_0x26e563,_0x11b066,_0x606b5e,_0x10f82e,_0x347aa8,_0x213171,_0x58d836,_0x2d9dce){const _0x1723cb=_0x313a4d,_0x24721a=this;_0x24721a[_0x1723cb(0x2321)]=_0x58d836[_0x1723cb(0xb12)](),_0x24721a[_0x1723cb(0x958)]=_0x10f82e,_0x24721a[_0x1723cb(0x2514)]=_0x2d9dce,_0x24721a[_0x1723cb(0x161a)]=_0x1723cb(0x1446),_0x24721a[_0x1723cb(0x706)]=_0x1723cb(0x1e5f),_0x24721a['addApi']=_0x1723cb(0xd03),_0x24721a['removeApi']=_0x1723cb(0x191f),_0x24721a[_0x1723cb(0x1fd6)]=[],_0x24721a[_0x1723cb(0xc4e)]=[],_0x24721a[_0x1723cb(0x1ecf)]=[],_0x24721a[_0x1723cb(0x2e8)]=[],_0x24721a[_0x1723cb(0xa65)]=[],_0x24721a[_0x1723cb(0x132)]=![],_0x24721a[_0x1723cb(0x1699)]=![],_0x24721a[_0x1723cb(0x1f8a)]={'readOnly':!_0x24721a[_0x1723cb(0x2514)]['canEdit'],'allowedItems':[],'selectedItems':[],'showSelectAndDeselectAll':![],'orderBy':_0x1723cb(0x19eb),'line1':_0x1723cb(0x19eb),'line2':'','line3':'','labelAll':_0x347aa8[_0x1723cb(0xde)](_0x1723cb(0x11e0)),'labelSelected':_0x347aa8['instant']('MOTIONDIALER.SELECTED_LISTS'),'transferCallback':function(){const _0x5984a5=_0x1723cb,_0x1a0be2=_0x3f65c0()[_0x5984a5(0x1883)](_0x24721a[_0x5984a5(0xa65)],_0x24721a[_0x5984a5(0x1ecf)],'id');_0x24721a[_0x5984a5(0x132)]=_0x3f65c0()[_0x5984a5(0x2635)](_0x1a0be2)?![]:!![];}},_0x24721a[_0x1723cb(0x3d4)]=_0x226048,_0x24721a[_0x1723cb(0x24d1)]=_0x24ed08,_0x24721a[_0x1723cb(0x13f3)]=_0x1ca4ad;function _0x226048(){const _0x168cf6=_0x1723cb;return _0x58d836[_0x168cf6(0x23e0)]('admin')?_0x465b37()[_0x168cf6(0x129e)](function(_0x752f78){const _0x57c142=_0x168cf6;_0x11b066[_0x57c142(0x1980)]({'title':_0x752f78[_0x57c142(0x107b)]?_0x57c142(0x262a)+_0x752f78[_0x57c142(0x107b)]+_0x57c142(0x1315)+_0x752f78['statusText']:_0x57c142(0x474),'msg':_0x752f78['status']?JSON[_0x57c142(0x10bb)](_0x752f78['data']):_0x752f78['toString']()});}):_0x3a3651()['then'](function(_0x6ebb76){const _0x3c8f32=_0x168cf6;return _0x24721a[_0x3c8f32(0x2146)]=_0x6ebb76,_0x465b37();})[_0x168cf6(0x129e)](function(_0x3a9b04){const _0xd78c71=_0x168cf6;_0x11b066['error']({'title':_0x3a9b04[_0xd78c71(0x107b)]?'API:'+_0x3a9b04[_0xd78c71(0x107b)]+_0xd78c71(0x1315)+_0x3a9b04['statusText']:_0xd78c71(0x474),'msg':_0x3a9b04[_0xd78c71(0x107b)]?JSON[_0xd78c71(0x10bb)](_0x3a9b04[_0xd78c71(0x524)]):_0x3a9b04['toString']()});});}function _0x3a3651(){return _0x26e563(function(_0x593599,_0x2ce793){const _0x2446e3=a0_0x3bb9;_0x606b5e[_0x2446e3(0x1366)]['get']({'userProfileId':_0x24721a[_0x2446e3(0x2321)][_0x2446e3(0x209a)],'name':'Lists'})[_0x2446e3(0x2945)]['then'](function(_0x1f9f81){const _0x459cd6=_0x2446e3,_0x471afc=_0x1f9f81&&_0x1f9f81[_0x459cd6(0x19c7)]?_0x1f9f81['rows'][0x0]:null;_0x593599(_0x471afc);})[_0x2446e3(0x129e)](function(_0x4aaed0){_0x2ce793(_0x4aaed0);});});}function _0x465b37(){return _0x26e563(function(_0x185570,_0x1f0850){const _0x4f3bc9=a0_0x3bb9;return _0x75d974()[_0x4f3bc9(0x146b)](function(_0x293566){const _0x14b376=_0x4f3bc9;return _0x24721a[_0x14b376(0x1fd6)]=_0x293566[_0x14b376(0x19c7)]?_0x293566[_0x14b376(0x19c7)]:[],_0x58d836[_0x14b376(0x23e0)](_0x14b376(0x174b))?_0x293566:_0x24721a[_0x14b376(0x2146)]?_0x24721a[_0x14b376(0x2146)][_0x14b376(0x11d2)]?_0x293566:_0x1b6fc8():null;})[_0x4f3bc9(0x146b)](function(_0x6edb62){const _0x24ff4f=_0x4f3bc9,_0x15ed5d=_0x6edb62&&_0x6edb62[_0x24ff4f(0x19c7)]?_0x6edb62['rows']:[];return _0x24721a[_0x24ff4f(0xc4e)]=_0x3f65c0()[_0x24ff4f(0x205)](_0x15ed5d,function(_0x22edfb){const _0x452017=_0x24ff4f;return _0x3f65c0()[_0x452017(0xc84)](_0x24721a[_0x452017(0x1fd6)],{'id':_0x58d836['hasRole']('admin')||_0x24721a[_0x452017(0x2146)]['autoAssociation']?_0x22edfb['id']:_0x22edfb[_0x452017(0x18b8)]});}),_0x24721a['startingAllowedItems']=angular['copy'](_0x24721a['allowedItems']),_0x24721a[_0x24ff4f(0x1fd6)]['forEach'](function(_0x2a599c){const _0x2451f3=_0x24ff4f,_0x271802=_0x3f65c0()[_0x2451f3(0xc84)](_0x24721a[_0x2451f3(0xc4e)],{'id':_0x2a599c['id']});_0x24721a[_0x2451f3(0x2321)]['role']===_0x2451f3(0x174b)?_0x2a599c['isValid']=!![]:_0x2a599c['isValid']=typeof _0x271802!==_0x2451f3(0x2274)?!![]:![];}),_0x2c28e5();})['then'](function(_0x1590f3){const _0xc1ca8b=_0x4f3bc9,_0x4cc6d4=_0x1590f3&&_0x1590f3[_0xc1ca8b(0x19c7)]?_0x1590f3[_0xc1ca8b(0x19c7)]:[];_0x24721a['selectedItems']=_0x3f65c0()['map'](_0x4cc6d4,function(_0x38d5bd){const _0x1b51c9=_0xc1ca8b;return _0x3f65c0()[_0x1b51c9(0xc84)](_0x24721a[_0x1b51c9(0x1fd6)],{'id':_0x38d5bd['id']});}),_0x24721a['startingSelectedItems']=angular[_0xc1ca8b(0x235a)](_0x24721a[_0xc1ca8b(0x1ecf)]),_0x24721a[_0xc1ca8b(0x1f8a)]['selectedItems']=_0x24721a[_0xc1ca8b(0x1ecf)],_0x24721a[_0xc1ca8b(0x1f8a)][_0xc1ca8b(0x1fd6)]=_0x3f65c0()[_0xc1ca8b(0x2796)](_0x24721a['allowedItems'],_0x24721a[_0xc1ca8b(0x1f8a)][_0xc1ca8b(0x1ecf)],'id'),_0x185570();})[_0x4f3bc9(0x129e)](function(_0x540e18){_0x1f0850(_0x540e18);});});}function _0x1b6fc8(){return _0x26e563(function(_0x19abb6,_0x2ce9b3){const _0x4a0a29=a0_0x3bb9;return _0x606b5e[_0x4a0a29(0xdcc)][_0x4a0a29(0x16b4)]({'sectionId':_0x24721a[_0x4a0a29(0x2146)]['id'],'nolimit':!![]})['$promise']['then'](function(_0x354080){_0x19abb6(_0x354080);})[_0x4a0a29(0x129e)](function(_0x3f58a9){_0x2ce9b3(_0x3f58a9);});});}function _0x2c28e5(){return _0x26e563(function(_0x4392aa,_0x480926){const _0x417ac4=a0_0x3bb9,_0x21f486=_0x606b5e[_0x24721a[_0x417ac4(0x161a)]];return _0x21f486[_0x24721a['getApi']]({'id':_0x24721a[_0x417ac4(0x958)]['id'],'fields':_0x417ac4(0x7a7),'nolimit':!![]})['$promise'][_0x417ac4(0x146b)](function(_0x242055){_0x4392aa(_0x242055);})[_0x417ac4(0x129e)](function(_0x4fc4fe){_0x480926(_0x4fc4fe);});});}function _0x75d974(){return _0x26e563(function(_0x52806c,_0x261b0f){const _0x353df3=a0_0x3bb9;return _0x606b5e['cmList']['get']({'fields':_0x353df3(0x7a7),'nolimit':!![]})[_0x353df3(0x2945)][_0x353df3(0x146b)](function(_0x6b1a86){_0x52806c(_0x6b1a86);})['catch'](function(_0x1eb3c8){_0x261b0f(_0x1eb3c8);});});}function _0x243ef1(_0x204ba9){return _0x26e563(function(_0x46d5e1,_0x189676){const _0x5b87f4=a0_0x3bb9;if(_0x3f65c0()['isEmpty'](_0x204ba9))_0x46d5e1();else{const _0x1494c1=_0x606b5e[_0x24721a[_0x5b87f4(0x161a)]];_0x1494c1[_0x24721a['addApi']]({'id':_0x24721a[_0x5b87f4(0x958)]['id'],'ids':_0x3f65c0()[_0x5b87f4(0x205)](_0x204ba9,'id')})[_0x5b87f4(0x2945)][_0x5b87f4(0x146b)](function(){_0x46d5e1();})[_0x5b87f4(0x129e)](function(_0x26cd2e){_0x189676(_0x26cd2e);});}});}function _0x24e186(_0x4683ee){return _0x26e563(function(_0x154761,_0x24e801){const _0x163255=a0_0x3bb9;if(_0x3f65c0()[_0x163255(0x2635)](_0x4683ee))_0x154761();else{const _0x1f55a0=_0x606b5e[_0x24721a[_0x163255(0x161a)]];_0x1f55a0[_0x24721a[_0x163255(0x1ae9)]]({'id':_0x24721a[_0x163255(0x958)]['id'],'ids':_0x3f65c0()[_0x163255(0x205)](_0x4683ee,'id')})[_0x163255(0x2945)][_0x163255(0x146b)](function(){_0x154761();})[_0x163255(0x129e)](function(_0x5e8ec6){_0x24e801(_0x5e8ec6);});}});}function _0x24ed08(){const _0x38cce5=_0x1723cb;_0x24721a[_0x38cce5(0x1699)]=!![];const _0x4ad42a=_0x3f65c0()['differenceBy'](_0x24721a[_0x38cce5(0xa65)],_0x24721a['selectedItems'],'id'),_0x28a47d=_0x3f65c0()[_0x38cce5(0x2796)](_0x24721a[_0x38cce5(0x1ecf)],_0x24721a[_0x38cce5(0xa65)],'id');return _0x24e186(_0x4ad42a)['then'](function(){return _0x243ef1(_0x28a47d);})[_0x38cce5(0x146b)](function(){const _0x18375e=_0x38cce5;_0x24721a[_0x18375e(0x132)]=![],_0x24721a[_0x18375e(0x2e8)]=angular[_0x18375e(0x235a)](_0x24721a[_0x18375e(0xc4e)]),_0x24721a[_0x18375e(0xa65)]=angular[_0x18375e(0x235a)](_0x24721a[_0x18375e(0x1ecf)]),_0x11b066['success']({'title':_0x18375e(0x4c0),'msg':_0x18375e(0x1031)});})[_0x38cce5(0x129e)](function(_0x54d54d){const _0x52f1c2=_0x38cce5;_0x11b066['error']({'title':_0x54d54d[_0x52f1c2(0x107b)]?_0x52f1c2(0x262a)+_0x54d54d['status']+_0x52f1c2(0x1315)+_0x54d54d[_0x52f1c2(0x167f)]:_0x52f1c2(0xa56),'msg':_0x54d54d[_0x52f1c2(0x107b)]?JSON[_0x52f1c2(0x10bb)](_0x54d54d[_0x52f1c2(0x524)]):_0x54d54d[_0x52f1c2(0xd5f)]()});})[_0x38cce5(0x1ec6)](function(){const _0x2d6016=_0x38cce5;_0x24721a[_0x2d6016(0x1699)]=![];});}function _0x1ca4ad(){_0x38f00['hide']();}}const _0x466d4b=_0x479872;;_0x26b19f[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),_0x313a4d(0x1862),_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0x958),_0x313a4d(0x13f8),_0x313a4d(0x214b)];function _0x26b19f(_0x2b1587,_0x401e2a,_0x57df57,_0x1da9a7,_0xb9af4c,_0x5994df,_0x577f36){const _0x5880f7=_0x313a4d,_0x840da5=this;_0x840da5['newIvrCampaign']=!![],_0x840da5[_0x5880f7(0x958)]=angular[_0x5880f7(0x235a)](_0xb9af4c),_0x840da5[_0x5880f7(0x13f8)]=_0x5994df,_0x840da5[_0x5880f7(0x1189)]=_0x577f36[_0x5880f7(0xde)]('MOTIONDIALER.CLONE_IVRCAMPAIGN');_0xb9af4c&&_0xb9af4c[_0x5880f7(0x19eb)]&&(_0x840da5[_0x5880f7(0x1189)]+=':\x20'+_0xb9af4c[_0x5880f7(0x19eb)]);_0x840da5[_0x5880f7(0x3ff)]=_0x1332dd,_0x840da5[_0x5880f7(0x13f3)]=_0x949db1;function _0x1332dd(){const _0x256d96=_0x5880f7;return _0x1da9a7['campaign'][_0x256d96(0x12cc)]({'id':_0xb9af4c['id']},_0x840da5[_0x256d96(0x958)])[_0x256d96(0x2945)][_0x256d96(0x146b)](function(_0x410e37){const _0x23763e=_0x256d96;_0x840da5['ivrCampaigns'][_0x23763e(0xb3d)](_0x410e37[_0x23763e(0x2488)]()),_0x57df57['success']({'title':_0x23763e(0x8ce),'msg':_0x840da5['ivrCampaign'][_0x23763e(0x19eb)]?_0x840da5[_0x23763e(0x958)][_0x23763e(0x19eb)]+_0x23763e(0x1b63):''}),_0x401e2a['go'](_0x23763e(0x2463),{'id':_0x410e37['id']}),_0x949db1(_0x410e37);})[_0x256d96(0x129e)](function(_0x3aef55){const _0x3f97f1=_0x256d96;if(_0x3aef55[_0x3f97f1(0x524)]&&_0x3aef55[_0x3f97f1(0x524)][_0x3f97f1(0xcef)]&&_0x3aef55[_0x3f97f1(0x524)]['errors'][_0x3f97f1(0x402)]){_0x840da5['errors']=_0x3aef55[_0x3f97f1(0x524)][_0x3f97f1(0xcef)]||[{'message':_0x3aef55[_0x3f97f1(0xd5f)](),'type':_0x3f97f1(0x586)}];for(let _0x1bc734=0x0;_0x1bc734<_0x3aef55[_0x3f97f1(0x524)][_0x3f97f1(0xcef)][_0x3f97f1(0x402)];_0x1bc734+=0x1){_0x57df57[_0x3f97f1(0x1980)]({'title':_0x3aef55[_0x3f97f1(0x524)][_0x3f97f1(0xcef)][_0x1bc734][_0x3f97f1(0x1142)],'msg':_0x3aef55[_0x3f97f1(0x524)][_0x3f97f1(0xcef)][_0x1bc734]['message']});}}else _0x57df57[_0x3f97f1(0x1980)]({'title':_0x3aef55[_0x3f97f1(0x107b)]?_0x3f97f1(0x262a)+_0x3aef55[_0x3f97f1(0x107b)]+_0x3f97f1(0x1315)+_0x3aef55['statusText']:'api.campaign.clone','msg':_0x3aef55[_0x3f97f1(0x524)]?JSON['stringify'](_0x3aef55['data'][_0x3f97f1(0x7fd)]):_0x3aef55[_0x3f97f1(0xd5f)]()});});}function _0x949db1(_0x34cf3c){const _0x24237a=_0x5880f7;_0x2b1587[_0x24237a(0x2458)](_0x34cf3c);}}const _0x573f5d=_0x26b19f;;_0xc79e97[_0x313a4d(0x11c2)]=['$cookies',_0x313a4d(0x910),_0x313a4d(0x1862),'$q',_0x313a4d(0x214b),'$timeout','$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0xa87)];function _0xc79e97(_0x322ff3,_0x3adcd5,_0x3a50d5,_0x5dcbbb,_0x30ac07,_0x175199,_0x5a34ab,_0x2c4cc1,_0x33ca2a,_0x366542,_0x1473fe){const _0x5dced5=_0x313a4d,_0x32c505=this;_0x32c505[_0x5dced5(0x2321)]=_0x1473fe['getCurrentUser'](),_0x32c505[_0x5dced5(0x958)]={},_0x32c505[_0x5dced5(0x790)]={'count':0x0,'rows':[]},_0x32c505[_0x5dced5(0x1d5a)]=[],_0x32c505['crudPermissions'],_0x32c505[_0x5dced5(0x1a56)]={'fields':'createdAt,updatedAt,ContactId,phone','sort':'-id','limit':0xa,'page':0x1},_0x32c505[_0x5dced5(0x5aa)]=_0x21571c,_0x32c505['deleteConfirm']=_0x5c8eee,_0x32c505[_0x5dced5(0x1c75)]=_0x5a36c4,_0x32c505[_0x5dced5(0x1663)]=_0x3c9ee0,_0x32c505[_0x5dced5(0x996)]=_0x4acb2b,_0x32c505[_0x5dced5(0x166d)]=_0x3c3bd6,_0x32c505[_0x5dced5(0x2df)]=_0x3fe27d;function _0x21571c(_0x275ea3,_0x42daa2){const _0x1b75ca=_0x5dced5;_0x32c505[_0x1b75ca(0x958)]=_0x275ea3,_0x32c505[_0x1b75ca(0x2514)]=typeof _0x42daa2!==_0x1b75ca(0x2274)?_0x42daa2:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x32c505[_0x1b75ca(0x1a56)][_0x1b75ca(0x1ee8)]=_0x32c505['ivrCampaign']['id'],_0x32c505[_0x1b75ca(0x1a56)]['id']=_0x32c505[_0x1b75ca(0x958)]['id'],_0x3c9ee0();}function _0x5c8eee(_0x22d286,_0x47d11b){const _0x3d072b=_0x5dced5,_0x4568f6=_0x5a34ab[_0x3d072b(0x1e8a)]()[_0x3d072b(0x1189)](_0x3d072b(0x1477))[_0x3d072b(0x1cbe)](_0x3d072b(0x16d3)+(_0x22d286[_0x3d072b(0x19eb)]||_0x22d286['id']&&_0x3f65c0()[_0x3d072b(0x21cf)](_0x3d072b(0x19cf))+_0x22d286['id']||_0x3d072b(0x17b1))+_0x3d072b(0x252f)+'\x20will\x20be\x20deleted.')[_0x3d072b(0x4bd)](_0x3d072b(0x126a))[_0x3d072b(0x1f27)](_0x47d11b)['ok']('OK')[_0x3d072b(0x6c3)](_0x3d072b(0x39a));_0x5a34ab[_0x3d072b(0x2615)](_0x4568f6)[_0x3d072b(0x146b)](function(){_0x3c3bd6(_0x22d286);},function(){const _0x567bee=_0x3d072b;console['log'](_0x567bee(0x39a));});}function _0x5a36c4(_0x3aff0f){const _0x3d12d5=_0x5dced5;_0x32c505[_0x3d12d5(0x790)]=_0x3aff0f||{'count':0x0,'rows':[]};}function _0x3c9ee0(){const _0x13b6d8=_0x5dced5;_0x32c505[_0x13b6d8(0x1a56)][_0x13b6d8(0x145d)]=(_0x32c505[_0x13b6d8(0x1a56)][_0x13b6d8(0x844)]-0x1)*_0x32c505['query'][_0x13b6d8(0x221e)],_0x32c505[_0x13b6d8(0xb9c)]=_0x366542['campaign']['getHopperBlacks'](_0x32c505['query'],_0x5a36c4)[_0x13b6d8(0x2945)];}function _0x3c3bd6(_0x226e49){const _0x64ace1=_0x5dced5;_0x366542[_0x64ace1(0x17b1)][_0x64ace1(0x1fac)]({'id':_0x226e49['id']})['$promise'][_0x64ace1(0x146b)](function(){const _0x4b8eda=_0x64ace1;_0x3f65c0()['remove'](_0x32c505[_0x4b8eda(0x790)][_0x4b8eda(0x19c7)],{'id':_0x226e49['id']}),_0x32c505[_0x4b8eda(0x790)][_0x4b8eda(0x51c)]-=0x1,!_0x32c505[_0x4b8eda(0x790)][_0x4b8eda(0x19c7)][_0x4b8eda(0x402)]&&_0x3c9ee0(),_0x33ca2a['success']({'title':'HopperBlack\x20deleted!','msg':_0x226e49[_0x4b8eda(0x19eb)]?_0x226e49[_0x4b8eda(0x19eb)]+_0x4b8eda(0x23e3):''});})['catch'](function(_0x121b82){const _0x254c13=_0x64ace1;if(_0x121b82[_0x254c13(0x524)]&&_0x121b82['data'][_0x254c13(0xcef)]&&_0x121b82[_0x254c13(0x524)][_0x254c13(0xcef)]['length']){_0x32c505[_0x254c13(0xcef)]=_0x121b82[_0x254c13(0x524)][_0x254c13(0xcef)]||[{'message':_0x121b82[_0x254c13(0xd5f)](),'type':_0x254c13(0x17e9)}];for(let _0x2a03e2=0x0;_0x2a03e2<_0x121b82['data'][_0x254c13(0xcef)][_0x254c13(0x402)];_0x2a03e2++){_0x33ca2a[_0x254c13(0x1980)]({'title':_0x121b82[_0x254c13(0x524)][_0x254c13(0xcef)][_0x2a03e2]['type'],'msg':_0x121b82[_0x254c13(0x524)][_0x254c13(0xcef)][_0x2a03e2][_0x254c13(0x7fd)]});}}else _0x33ca2a[_0x254c13(0x1980)]({'title':_0x121b82[_0x254c13(0x107b)]?_0x254c13(0x262a)+_0x121b82[_0x254c13(0x107b)]+_0x254c13(0x1315)+_0x121b82[_0x254c13(0x167f)]:_0x254c13(0x17e9),'msg':_0x121b82[_0x254c13(0x524)]?JSON[_0x254c13(0x10bb)](_0x121b82[_0x254c13(0x524)][_0x254c13(0x7fd)]):_0x121b82[_0x254c13(0x7fd)]||_0x121b82[_0x254c13(0xd5f)]()});});}function _0x4acb2b(){const _0x3e47e5=_0x5dced5,_0x3f6b6f=angular[_0x3e47e5(0x235a)](_0x32c505[_0x3e47e5(0x1d5a)]);return _0x32c505[_0x3e47e5(0x1d5a)]=[],_0x3f6b6f;}function _0x3fe27d(_0x1dfdba){const _0x4a9218=_0x5dced5,_0x1def58=_0x5a34ab[_0x4a9218(0x1e8a)]()[_0x4a9218(0x1189)](_0x4a9218(0x3d6))[_0x4a9218(0x1cbe)](''+_0x32c505[_0x4a9218(0x1d5a)][_0x4a9218(0x402)]+'\x20selected'+_0x4a9218(0xe01))[_0x4a9218(0x4bd)](_0x4a9218(0x14db))[_0x4a9218(0x1f27)](_0x1dfdba)['ok']('OK')[_0x4a9218(0x6c3)]('CANCEL');_0x5a34ab[_0x4a9218(0x2615)](_0x1def58)[_0x4a9218(0x146b)](function(){const _0x4cc0d0=_0x4a9218;_0x32c505[_0x4cc0d0(0x1d5a)]['forEach'](function(_0x5be98c){_0x3c3bd6(_0x5be98c);}),_0x32c505[_0x4cc0d0(0x1d5a)]=[];});}let _0x939ded=!![],_0x563766=0x1;_0x3adcd5['$watch'](_0x5dced5(0x117f),function(_0x3944e1,_0x32704f){const _0x354a4a=_0x5dced5;_0x939ded?_0x175199(function(){_0x939ded=![];}):(!_0x32704f&&(_0x563766=_0x32c505['query'][_0x354a4a(0x844)]),_0x3944e1!==_0x32704f&&(_0x32c505['query'][_0x354a4a(0x844)]=0x1),!_0x3944e1&&(_0x32c505['query'][_0x354a4a(0x844)]=_0x563766),_0x3c9ee0());});}const _0x5a968d=_0xc79e97;;_0x5d251f[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),_0x313a4d(0x910),'$state','$q',_0x313a4d(0x214b),_0x313a4d(0x1abe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1fe4),_0x313a4d(0x247f),'Auth'];function _0x5d251f(_0x4e79af,_0x2e36b3,_0x1f151e,_0x3fb5e1,_0x2f1daf,_0x11488a,_0x25cbeb,_0x380851,_0x3a853d,_0x45544c,_0x53167e){const _0xd88ec3=_0x313a4d,_0x93b1e9=this;_0x93b1e9[_0xd88ec3(0x2321)]=_0x53167e[_0xd88ec3(0xb12)](),_0x93b1e9[_0xd88ec3(0x1471)]={},_0x93b1e9['ivrCampaign']={},_0x93b1e9[_0xd88ec3(0xab6)]={'count':0x0,'rows':[]},_0x93b1e9[_0xd88ec3(0x6d7)]=[],_0x93b1e9[_0xd88ec3(0x2514)],_0x93b1e9[_0xd88ec3(0x1a56)]={'fields':'createdAt,updatedAt,uniqueid,ContactId,calleridnum,calleridname,statedesc,countcongestionretry,countbusyretry,countnoanswerretry,countnosuchnumberretry,countdropretry,countabandonedretry,countmachineretry,countagentrejectretry,countglobal,scheduledat,starttime,responsetime,endtime,disposition,ListId,UserId,VoiceQueueId,CampaignId,recallme,deleted,campaign','sort':'-id','limit':0xa,'page':0x1},_0x93b1e9['init']=_0x23d1a3,_0x93b1e9[_0xd88ec3(0x19b4)]=_0x3a24af,_0x93b1e9[_0xd88ec3(0x1c75)]=_0x3262af,_0x93b1e9[_0xd88ec3(0x1b8c)]=_0x3eefae,_0x93b1e9['exportSelectedIvrCampaignHopperFinals']=_0x2ee6b3,_0x93b1e9[_0xd88ec3(0x21b7)]=_0x5dc5b8,_0x93b1e9[_0xd88ec3(0x19d2)]=_0x592b20,_0x93b1e9['moveIvrCampaignHopperFinal']=_0x545eed,_0x93b1e9['moveContactsIvrCampaignHopperFinal']=_0x319680,_0x93b1e9[_0xd88ec3(0x197a)]=_0x4e7a7e,_0x93b1e9[_0xd88ec3(0x1b48)]=_0xa823d5,_0x93b1e9[_0xd88ec3(0x1546)]=_0x1f02d6;function _0x23d1a3(_0x162441,_0x19d884){const _0x419cb3=_0xd88ec3;_0x93b1e9[_0x419cb3(0x958)]=_0x162441,_0x93b1e9['crudPermissions']=typeof _0x19d884!==_0x419cb3(0x2274)?_0x19d884:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x93b1e9[_0x419cb3(0x1a56)]['CampaignId']=_0x93b1e9['ivrCampaign']['id'],_0x93b1e9[_0x419cb3(0x1a56)]['id']=_0x93b1e9[_0x419cb3(0x958)]['id'],_0x3eefae();}function _0x3a24af(_0x1b6e94,_0x4b7c5e){const _0xe6ec4=_0xd88ec3,_0x164d30=_0x25cbeb[_0xe6ec4(0x1e8a)]()[_0xe6ec4(0x1189)](_0xe6ec4(0xdf7))[_0xe6ec4(0x1cbe)](''+(_0x1b6e94[_0xe6ec4(0x19eb)]||_0x1b6e94['id']&&_0x3f65c0()[_0xe6ec4(0x21cf)](_0xe6ec4(0x1463))+_0x1b6e94['id']||'hopperFinal')+_0xe6ec4(0x252f)+_0xe6ec4(0xe01))['ariaLabel'](_0xe6ec4(0x20d))['targetEvent'](_0x4b7c5e)['ok']('OK')[_0xe6ec4(0x6c3)]('CANCEL');_0x25cbeb[_0xe6ec4(0x2615)](_0x164d30)[_0xe6ec4(0x146b)](function(){_0x5dc5b8(_0x1b6e94);},function(){const _0xc7991a=_0xe6ec4;console['log'](_0xc7991a(0x39a));});}function _0x3262af(_0x34c4cb){const _0x5e3e2e=_0xd88ec3;_0x93b1e9[_0x5e3e2e(0xab6)]=_0x34c4cb||{'count':0x0,'rows':[]};}function _0x3eefae(){const _0x3f4428=_0xd88ec3;_0x93b1e9[_0x3f4428(0x1a56)][_0x3f4428(0x145d)]=(_0x93b1e9[_0x3f4428(0x1a56)][_0x3f4428(0x844)]-0x1)*_0x93b1e9[_0x3f4428(0x1a56)][_0x3f4428(0x221e)],_0x93b1e9[_0x3f4428(0xb9c)]=_0x45544c[_0x3f4428(0x8dc)][_0x3f4428(0x642)](_0x93b1e9[_0x3f4428(0x1a56)],_0x3262af)[_0x3f4428(0x2945)];}function _0x5dc5b8(_0x648dcb){const _0x50f510=_0xd88ec3;_0x45544c[_0x50f510(0xafc)][_0x50f510(0x1fac)]({'id':_0x648dcb['id']})[_0x50f510(0x2945)][_0x50f510(0x146b)](function(){const _0xd7a090=_0x50f510;_0x3f65c0()['remove'](_0x93b1e9['ivrCampaignHopperFinals'][_0xd7a090(0x19c7)],{'id':_0x648dcb['id']}),_0x93b1e9[_0xd7a090(0xab6)][_0xd7a090(0x51c)]-=0x1,!_0x93b1e9[_0xd7a090(0xab6)][_0xd7a090(0x19c7)][_0xd7a090(0x402)]&&_0x3eefae(),_0x3a853d[_0xd7a090(0x1c75)]({'title':'HopperFinal\x20deleted!','msg':_0x648dcb[_0xd7a090(0x19eb)]?_0x648dcb['name']+_0xd7a090(0x23e3):''});})[_0x50f510(0x129e)](function(_0x4168a4){const _0x153bc6=_0x50f510;if(_0x4168a4[_0x153bc6(0x524)]&&_0x4168a4[_0x153bc6(0x524)]['errors']&&_0x4168a4[_0x153bc6(0x524)]['errors'][_0x153bc6(0x402)]){_0x93b1e9['errors']=_0x4168a4[_0x153bc6(0x524)][_0x153bc6(0xcef)]||[{'message':_0x4168a4[_0x153bc6(0xd5f)](),'type':_0x153bc6(0x17e9)}];for(let _0x72e48c=0x0;_0x72e48c<_0x4168a4['data'][_0x153bc6(0xcef)]['length'];_0x72e48c++){_0x3a853d[_0x153bc6(0x1980)]({'title':_0x4168a4[_0x153bc6(0x524)][_0x153bc6(0xcef)][_0x72e48c]['type'],'msg':_0x4168a4[_0x153bc6(0x524)][_0x153bc6(0xcef)][_0x72e48c][_0x153bc6(0x7fd)]});}}else _0x3a853d['error']({'title':_0x4168a4['status']?_0x153bc6(0x262a)+_0x4168a4['status']+'\x20-\x20'+_0x4168a4[_0x153bc6(0x167f)]:_0x153bc6(0x17e9),'msg':_0x4168a4[_0x153bc6(0x524)]?JSON['stringify'](_0x4168a4[_0x153bc6(0x524)][_0x153bc6(0x7fd)]):_0x4168a4[_0x153bc6(0x7fd)]||_0x4168a4[_0x153bc6(0xd5f)]()});});}function _0x2ee6b3(){const _0x13cc39=_0xd88ec3,_0xd14b5d=angular[_0x13cc39(0x235a)](_0x93b1e9[_0x13cc39(0x6d7)]);return _0x93b1e9[_0x13cc39(0x6d7)]=[],_0xd14b5d;}function _0x592b20(_0x30f66f){const _0x59ce8a=_0xd88ec3,_0x980947=_0x25cbeb[_0x59ce8a(0x1e8a)]()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20hopperFinals?')[_0x59ce8a(0x1cbe)](_0x59ce8a(0x16d3)+_0x93b1e9[_0x59ce8a(0x6d7)][_0x59ce8a(0x402)]+_0x59ce8a(0x2452)+_0x59ce8a(0xe01))[_0x59ce8a(0x4bd)](_0x59ce8a(0x40d))[_0x59ce8a(0x1f27)](_0x30f66f)['ok']('OK')[_0x59ce8a(0x6c3)](_0x59ce8a(0x39a));_0x25cbeb[_0x59ce8a(0x2615)](_0x980947)[_0x59ce8a(0x146b)](function(){const _0x5e693e=_0x59ce8a;_0x93b1e9[_0x5e693e(0x6d7)]['forEach'](function(_0x467895){_0x5dc5b8(_0x467895);}),_0x93b1e9[_0x5e693e(0x6d7)]=[];});}function _0x319680(_0x58a241){const _0x56e6c4=_0xd88ec3;_0x45544c[_0x56e6c4(0x2018)][_0x56e6c4(0x2881)]({'id':_0x93b1e9['query']['id'],'state':_0x58a241})[_0x56e6c4(0x2945)][_0x56e6c4(0x146b)](function(_0x345fd0){const _0x22ced3=_0x56e6c4;_0x3f65c0()['isNil'](_0x345fd0)&&_0x3f65c0()[_0x22ced3(0x1b36)](_0x345fd0[0x0])?_0x3a853d[_0x22ced3(0x271e)]({'title':_0x22ced3(0xf6f),'msg':'0'}):_0x3a853d['info']({'title':'Restored\x20Contacts','msg':JSON[_0x22ced3(0x10bb)](_0x345fd0[0x0]['affectedRows'])});})[_0x56e6c4(0x129e)](function(_0x5e84fa){const _0x1e88b1=_0x56e6c4;_0x3a853d['error']({'title':_0x5e84fa[_0x1e88b1(0x107b)]?_0x1e88b1(0x262a)+_0x5e84fa['status']+_0x1e88b1(0x1315)+_0x5e84fa[_0x1e88b1(0x167f)]:_0x1e88b1(0xfac),'msg':_0x5e84fa[_0x1e88b1(0x524)]?JSON[_0x1e88b1(0x10bb)](_0x5e84fa[_0x1e88b1(0x524)][_0x1e88b1(0x7fd)]):_0x5e84fa[_0x1e88b1(0x7fd)]||_0x5e84fa[_0x1e88b1(0xd5f)]()});});}function _0x4e7a7e(_0x4a5f3a){const _0x493527=_0xd88ec3;_0x45544c[_0x493527(0x2018)][_0x493527(0x197a)]({'id':_0x4a5f3a})[_0x493527(0x2945)][_0x493527(0x146b)](function(_0x30bd92){const _0x1fbfc9=_0x493527;_0x3f65c0()[_0x1fbfc9(0x168d)](_0x93b1e9[_0x1fbfc9(0x1471)],_0x30bd92[0x0]);})[_0x493527(0x129e)](function(_0x13c7e9){const _0x1af010=_0x493527;_0x3a853d[_0x1af010(0x1980)]({'title':_0x13c7e9[_0x1af010(0x107b)]?_0x1af010(0x262a)+_0x13c7e9[_0x1af010(0x107b)]+_0x1af010(0x1315)+_0x13c7e9[_0x1af010(0x167f)]:'SYSTEM:GETcampaign','msg':_0x13c7e9[_0x1af010(0x524)]?JSON[_0x1af010(0x10bb)](_0x13c7e9[_0x1af010(0x524)][_0x1af010(0x7fd)]):_0x13c7e9['message']||_0x13c7e9[_0x1af010(0xd5f)]()});});}function _0x1f02d6(_0x4e4c4e){const _0x2916e9=_0xd88ec3,_0x504e2d=_0x25cbeb['confirm']()['title']('Are\x20you\x20sure\x20want\x20to\x20restore\x20the\x20selected\x20contacts?')[_0x2916e9(0x1cbe)]('\x20Contacts\x20selected'+_0x2916e9(0x1996))['ariaLabel']('Restore\x20Contacts')['targetEvent'](_0x4e4c4e)['ok']('OK')[_0x2916e9(0x6c3)](_0x2916e9(0x39a));_0x25cbeb['show'](_0x504e2d)['then'](function(){const _0x436234=_0x2916e9;_0x93b1e9['selectedIvrCampaignHopperFinals'][_0x436234(0x1df5)](function(_0x34b9f1){_0x545eed(_0x34b9f1);}),_0x93b1e9[_0x436234(0x6d7)]=[];});}function _0xa823d5(_0x4e10ef,_0x142365){const _0x152de2=_0xd88ec3,_0x651186=_0x25cbeb[_0x152de2(0x1e8a)]()['title'](_0x152de2(0x1a48))[_0x152de2(0x1cbe)](_0x152de2(0x16d3)+'Contact'+_0x152de2(0x252f)+'\x20will\x20be\x20restored.')[_0x152de2(0x4bd)]('Restore\x20Contact')[_0x152de2(0x1f27)](_0x142365)['ok']('OK')['cancel'](_0x152de2(0x39a));_0x25cbeb['show'](_0x651186)[_0x152de2(0x146b)](function(){_0x545eed(_0x4e10ef);},function(){console['log']('CANCEL');});}function _0x3e688b(_0x1fba14){const _0x343632=_0xd88ec3,_0xe4885a={};return _0xe4885a['phone']=_0x1fba14[_0x343632(0xa5c)],_0xe4885a[_0x343632(0x432)]=_0x2deec6()()[_0x343632(0x22b0)](_0x343632(0x1ce2)),_0xe4885a[_0x343632(0x283e)]=0x0,_0xe4885a[_0x343632(0x24c9)]=0x0,_0xe4885a[_0x343632(0x1b71)]=0x0,_0xe4885a['countglobal']=0x0,_0xe4885a['ContactId']=_0x1fba14['ContactId'],_0xe4885a[_0x343632(0xb7c)]=_0x1fba14[_0x343632(0xb7c)],_0xe4885a[_0x343632(0xea2)]=_0x1fba14[_0x343632(0x27af)]?null:_0x1fba14[_0x343632(0xea2)],_0xe4885a[_0x343632(0x6ed)]=_0x1fba14[_0x343632(0x6ed)],_0xe4885a['CampaignId']=_0x1fba14[_0x343632(0x1ee8)],_0xe4885a[_0x343632(0xa6a)]=_0x1fba14[_0x343632(0x2762)]?0x3:0x2,_0xe4885a[_0x343632(0x2762)]=_0x1fba14[_0x343632(0x2762)]?!![]:![],_0xe4885a;}function _0xeaea1(_0x4104c3){const _0x4d7073=_0xd88ec3,_0x22a5d2={};return _0x22a5d2['calleridnum']=_0x4104c3[_0x4d7073(0xa5c)],_0x22a5d2[_0x4d7073(0x1772)]=_0x4104c3[_0x4d7073(0x1772)],_0x22a5d2[_0x4d7073(0x432)]=_0x2deec6()()[_0x4d7073(0x22b0)](_0x4d7073(0x1ce2)),_0x22a5d2['countbusyretry']=_0x4104c3['countbusyretry'],_0x22a5d2['countcongestionretry']=_0x4104c3['countcongestionretry'],_0x22a5d2['countnoanswerretry']=_0x4104c3['countnoanswerretry'],_0x22a5d2[_0x4d7073(0x1adf)]=_0x4104c3[_0x4d7073(0x1adf)],_0x22a5d2[_0x4d7073(0x932)]=_0x4104c3[_0x4d7073(0x932)],_0x22a5d2[_0x4d7073(0xb7c)]=_0x4104c3[_0x4d7073(0xb7c)],_0x22a5d2[_0x4d7073(0xea2)]=_0x53167e[_0x4d7073(0xb12)]()['id'],_0x22a5d2['VoiceQueueId']=_0x4104c3['VoiceQueueId'],_0x22a5d2[_0x4d7073(0x1ee8)]=_0x4104c3[_0x4d7073(0x1ee8)],_0x22a5d2['editedat']=_0x2deec6()()[_0x4d7073(0x22b0)](_0x4d7073(0x1ce2)),_0x22a5d2[_0x4d7073(0x6aa)]=!![],_0x22a5d2[_0x4d7073(0x13d6)]=0x19,_0x22a5d2['statedesc']='Restored',_0x22a5d2['campaign']=_0x4104c3['campaign'],_0x22a5d2[_0x4d7073(0xaeb)]=_0x4104c3[_0x4d7073(0x6ed)]?_0x4d7073(0x1c72):_0x4d7073(0x1f0),_0x22a5d2;}function _0x545eed(_0x4f070b){const _0x48b553=_0xd88ec3;_0x45544c['cmHopperFinal']['checkContactHopper'](_0x4f070b)[_0x48b553(0x2945)][_0x48b553(0x146b)](function(_0x11bea9){const _0x3788c9=_0x48b553;_0x3a853d[_0x3788c9(0x271e)]({'title':'The\x20contact\x20is\x20in\x20the\x20hopper','msg':_0x3788c9(0x151)+_0x11bea9['ContactId']});})[_0x48b553(0x129e)](function(_0x252eaa){const _0x1580f5=_0x48b553;_0x252eaa[_0x1580f5(0x524)]===_0x1580f5(0x159d)?_0x45544c[_0x1580f5(0x39f)]['save'](_0x3e688b(_0x4f070b))[_0x1580f5(0x2945)][_0x1580f5(0x146b)](function(_0x5dd3c7){const _0x410a4d=_0x1580f5;_0x3a853d[_0x410a4d(0x271e)]({'title':_0x410a4d(0x819),'msg':_0x410a4d(0x151)+_0x5dd3c7[_0x410a4d(0x932)]}),_0x5dd3c7[_0x410a4d(0x932)]&&_0x45544c[_0x410a4d(0x22e3)][_0x410a4d(0x1e3)](_0xeaea1(_0x4f070b));})[_0x1580f5(0x129e)](function(_0x296675){const _0x5143b5=_0x1580f5;!_0x3f65c0()[_0x5143b5(0x1b36)](_0x296675['data'])&&_0x296675['data']['message']===_0x5143b5(0xe0e)?_0x3a853d[_0x5143b5(0x271e)]({'title':'The\x20contact\x20is\x20in\x20the\x20hopper','msg':'Contact\x20ID\x20'+_0x4f070b[_0x5143b5(0x932)]}):_0x3a853d[_0x5143b5(0x1980)]({'title':_0x296675['status']?_0x5143b5(0x262a)+_0x296675[_0x5143b5(0x107b)]+_0x5143b5(0x1315)+_0x296675[_0x5143b5(0x167f)]:'SYSTEM:GETvoiceQueue','msg':_0x296675[_0x5143b5(0x524)]?JSON[_0x5143b5(0x10bb)](_0x296675[_0x5143b5(0x524)][_0x5143b5(0x7fd)]):_0x296675['message']||_0x296675[_0x5143b5(0xd5f)]()});}):_0x3a853d[_0x1580f5(0x1980)]({'title':_0x252eaa[_0x1580f5(0x107b)]?'API:'+_0x252eaa[_0x1580f5(0x107b)]+_0x1580f5(0x1315)+_0x252eaa[_0x1580f5(0x167f)]:_0x1580f5(0xfac),'msg':_0x252eaa[_0x1580f5(0x524)]?JSON[_0x1580f5(0x10bb)](_0x252eaa[_0x1580f5(0x524)][_0x1580f5(0x7fd)]):_0x252eaa['message']||_0x252eaa[_0x1580f5(0xd5f)]()});});}let _0x2667b1=!![],_0x2119cc=0x1;_0x2e36b3[_0xd88ec3(0x21e8)](_0xd88ec3(0x117f),function(_0x51f014,_0x581dbd){const _0x138f71=_0xd88ec3;_0x2667b1?_0x11488a(function(){_0x2667b1=![];}):(!_0x581dbd&&(_0x2119cc=_0x93b1e9[_0x138f71(0x1a56)][_0x138f71(0x844)]),_0x51f014!==_0x581dbd&&(_0x93b1e9['query']['page']=0x1),!_0x51f014&&(_0x93b1e9[_0x138f71(0x1a56)][_0x138f71(0x844)]=_0x2119cc),_0x3eefae());});}const _0x254d1c=_0x5d251f;;_0x3bba6c[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),_0x313a4d(0x910),'$state','$q','$translate','$timeout',_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1fe4),_0x313a4d(0x247f),'Auth'];function _0x3bba6c(_0x5affa9,_0x2be927,_0xdca8e8,_0x5e48bf,_0x30fce7,_0x39efcf,_0x2f7c99,_0x3c03f7,_0x2e4f87,_0xd5b1e2,_0x466f25){const _0x3cdb1c=_0x313a4d,_0x2f40ab=this;_0x2f40ab['currentUser']=_0x466f25[_0x3cdb1c(0xb12)](),_0x2f40ab[_0x3cdb1c(0x958)]={},_0x2f40ab['ivrCampaignHopperHistories']={'count':0x0,'rows':[]},_0x2f40ab[_0x3cdb1c(0x1522)]=[],_0x2f40ab['crudPermissions'],_0x2f40ab[_0x3cdb1c(0x1a56)]={'fields':_0x3cdb1c(0x1cf7),'sort':_0x3cdb1c(0xef6),'limit':0xa,'page':0x1},_0x2f40ab[_0x3cdb1c(0x5aa)]=_0x3ef201,_0x2f40ab[_0x3cdb1c(0x19b4)]=_0x9895c5,_0x2f40ab[_0x3cdb1c(0x1c75)]=_0x27930c,_0x2f40ab[_0x3cdb1c(0x20a)]=_0x148f8c,_0x2f40ab['exportSelectedIvrCampaignHopperHistories']=_0x168c1a,_0x2f40ab['deleteIvrCampaignHopperHistory']=_0x17fbe5,_0x2f40ab[_0x3cdb1c(0x1a24)]=_0x401168;function _0x3ef201(_0x3f4b6a,_0x46ac4d){const _0x253270=_0x3cdb1c;_0x2f40ab['ivrCampaign']=_0x3f4b6a,_0x2f40ab[_0x253270(0x2514)]=typeof _0x46ac4d!==_0x253270(0x2274)?_0x46ac4d:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x2f40ab['query'][_0x253270(0x1ee8)]=_0x2f40ab[_0x253270(0x958)]['id'],_0x2f40ab[_0x253270(0x1a56)]['id']=_0x2f40ab[_0x253270(0x958)]['id'],_0x148f8c();}function _0x9895c5(_0x2ffaf3,_0x5a4599){const _0x3d6f93=_0x3cdb1c,_0x7560dd=_0x2f7c99['confirm']()[_0x3d6f93(0x1189)](_0x3d6f93(0x2136))[_0x3d6f93(0x1cbe)](_0x3d6f93(0x16d3)+(_0x2ffaf3[_0x3d6f93(0x19eb)]||_0x2ffaf3['id']&&_0x3f65c0()['upperFirst'](_0x3d6f93(0x1493))+_0x2ffaf3['id']||_0x3d6f93(0x2040))+_0x3d6f93(0x252f)+_0x3d6f93(0xe01))['ariaLabel'](_0x3d6f93(0x1655))[_0x3d6f93(0x1f27)](_0x5a4599)['ok']('OK')[_0x3d6f93(0x6c3)](_0x3d6f93(0x39a));_0x2f7c99[_0x3d6f93(0x2615)](_0x7560dd)[_0x3d6f93(0x146b)](function(){_0x17fbe5(_0x2ffaf3);},function(){const _0x37676c=_0x3d6f93;console[_0x37676c(0x1a74)]('CANCEL');});}function _0x27930c(_0x2cb06d){const _0x55295a=_0x3cdb1c;_0x2f40ab[_0x55295a(0x22bc)]=_0x2cb06d||{'count':0x0,'rows':[]};}function _0x148f8c(){const _0x20bde3=_0x3cdb1c;_0x2f40ab[_0x20bde3(0x1a56)]['offset']=(_0x2f40ab[_0x20bde3(0x1a56)][_0x20bde3(0x844)]-0x1)*_0x2f40ab[_0x20bde3(0x1a56)]['limit'],_0x2f40ab[_0x20bde3(0xb9c)]=_0xd5b1e2[_0x20bde3(0x8dc)][_0x20bde3(0x12b3)](_0x2f40ab[_0x20bde3(0x1a56)],_0x27930c)['$promise'];}function _0x17fbe5(_0x10ac66){const _0x43bc4c=_0x3cdb1c;_0xd5b1e2[_0x43bc4c(0x2040)][_0x43bc4c(0x1fac)]({'id':_0x10ac66['id']})[_0x43bc4c(0x2945)][_0x43bc4c(0x146b)](function(){const _0x35257d=_0x43bc4c;_0x3f65c0()['remove'](_0x2f40ab[_0x35257d(0x22bc)][_0x35257d(0x19c7)],{'id':_0x10ac66['id']}),_0x2f40ab[_0x35257d(0x22bc)][_0x35257d(0x51c)]-=0x1,!_0x2f40ab[_0x35257d(0x22bc)][_0x35257d(0x19c7)][_0x35257d(0x402)]&&_0x148f8c(),_0x2e4f87[_0x35257d(0x1c75)]({'title':_0x35257d(0x1187),'msg':_0x10ac66[_0x35257d(0x19eb)]?_0x10ac66[_0x35257d(0x19eb)]+_0x35257d(0x23e3):''});})[_0x43bc4c(0x129e)](function(_0x479423){const _0x20a9bd=_0x43bc4c;if(_0x479423[_0x20a9bd(0x524)]&&_0x479423['data'][_0x20a9bd(0xcef)]&&_0x479423[_0x20a9bd(0x524)]['errors']['length']){_0x2f40ab[_0x20a9bd(0xcef)]=_0x479423[_0x20a9bd(0x524)][_0x20a9bd(0xcef)]||[{'message':_0x479423[_0x20a9bd(0xd5f)](),'type':'SYSTEM:GETcampaign'}];for(let _0x48ae6b=0x0;_0x48ae6b<_0x479423[_0x20a9bd(0x524)][_0x20a9bd(0xcef)][_0x20a9bd(0x402)];_0x48ae6b++){_0x2e4f87[_0x20a9bd(0x1980)]({'title':_0x479423[_0x20a9bd(0x524)][_0x20a9bd(0xcef)][_0x48ae6b]['type'],'msg':_0x479423[_0x20a9bd(0x524)][_0x20a9bd(0xcef)][_0x48ae6b][_0x20a9bd(0x7fd)]});}}else _0x2e4f87[_0x20a9bd(0x1980)]({'title':_0x479423[_0x20a9bd(0x107b)]?_0x20a9bd(0x262a)+_0x479423['status']+_0x20a9bd(0x1315)+_0x479423[_0x20a9bd(0x167f)]:_0x20a9bd(0x17e9),'msg':_0x479423[_0x20a9bd(0x524)]?JSON[_0x20a9bd(0x10bb)](_0x479423[_0x20a9bd(0x524)][_0x20a9bd(0x7fd)]):_0x479423[_0x20a9bd(0x7fd)]||_0x479423['toString']()});});}function _0x168c1a(){const _0x32068f=_0x3cdb1c,_0x391899=angular[_0x32068f(0x235a)](_0x2f40ab[_0x32068f(0x1522)]);return _0x2f40ab[_0x32068f(0x1522)]=[],_0x391899;}function _0x401168(_0x4a6155){const _0x50ac40=_0x3cdb1c,_0x54becc=_0x2f7c99['confirm']()[_0x50ac40(0x1189)](_0x50ac40(0x1683))[_0x50ac40(0x1cbe)](_0x50ac40(0x16d3)+_0x2f40ab['selectedIvrCampaignHopperHistories'][_0x50ac40(0x402)]+_0x50ac40(0x2452)+'\x20will\x20be\x20deleted.')[_0x50ac40(0x4bd)](_0x50ac40(0x111c))[_0x50ac40(0x1f27)](_0x4a6155)['ok']('OK')[_0x50ac40(0x6c3)](_0x50ac40(0x39a));_0x2f7c99[_0x50ac40(0x2615)](_0x54becc)[_0x50ac40(0x146b)](function(){const _0x20172b=_0x50ac40;_0x2f40ab[_0x20172b(0x1522)][_0x20172b(0x1df5)](function(_0x78b38a){_0x17fbe5(_0x78b38a);}),_0x2f40ab[_0x20172b(0x1522)]=[];});}let _0x253b52=!![],_0x22bea6=0x1;_0x2be927[_0x3cdb1c(0x21e8)](_0x3cdb1c(0x117f),function(_0x2ec349,_0x276cc2){const _0x39a3a5=_0x3cdb1c;_0x253b52?_0x39efcf(function(){_0x253b52=![];}):(!_0x276cc2&&(_0x22bea6=_0x2f40ab[_0x39a3a5(0x1a56)]['page']),_0x2ec349!==_0x276cc2&&(_0x2f40ab[_0x39a3a5(0x1a56)][_0x39a3a5(0x844)]=0x1),!_0x2ec349&&(_0x2f40ab[_0x39a3a5(0x1a56)]['page']=_0x22bea6),_0x148f8c());});}const _0x424793=_0x3bba6c;;_0x540106['$inject']=[_0x313a4d(0x23df),_0x313a4d(0x910),_0x313a4d(0x1862),'$q',_0x313a4d(0x214b),_0x313a4d(0x1abe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1fe4),'api','Auth'];function _0x540106(_0x308a8f,_0x54d289,_0xe24ef3,_0x2898a3,_0x4b2361,_0x27b9df,_0x59ae1b,_0x30a006,_0x5a3e88,_0x32163c,_0xced07e){const _0x15d7bb=_0x313a4d,_0x4f4097=this;_0x4f4097[_0x15d7bb(0x2321)]=_0xced07e[_0x15d7bb(0xb12)](),_0x4f4097['ivrCampaign']={},_0x4f4097[_0x15d7bb(0x1880)]={'count':0x0,'rows':[]},_0x4f4097[_0x15d7bb(0xbbe)]=[],_0x4f4097['crudPermissions'],_0x4f4097[_0x15d7bb(0x1a56)]={'fields':_0x15d7bb(0x1b94),'sort':_0x15d7bb(0x12f2),'limit':0xa,'page':0x1},_0x4f4097[_0x15d7bb(0x221d)]=_0x3f65c0()[_0x15d7bb(0x194)]([{'option':_0x15d7bb(0xa73),'value':_0x15d7bb(0x1428)},{'option':_0x15d7bb(0x25c5),'value':_0x15d7bb(0x1f63)},{'option':_0x15d7bb(0x6c4),'value':'\x272\x27'},{'option':_0x15d7bb(0xce5),'value':_0x15d7bb(0x729)},{'option':_0x15d7bb(0x2188),'value':_0x15d7bb(0x35c)}],function(_0x258b08){const _0x531292=_0x15d7bb;return _0x3f65c0()[_0x531292(0x5f4)](_0x258b08['value'],new RegExp('\x27','g'),'');}),_0x4f4097[_0x15d7bb(0x5aa)]=_0x209ae5,_0x4f4097[_0x15d7bb(0x19b4)]=_0x3b1471,_0x4f4097['success']=_0x4a80b8,_0x4f4097[_0x15d7bb(0x2204)]=_0x56b811,_0x4f4097[_0x15d7bb(0x1f79)]=_0x13ad11,_0x4f4097[_0x15d7bb(0x1bf1)]=_0x39aef3,_0x4f4097[_0x15d7bb(0x6ef)]=_0x304230,_0x4f4097[_0x15d7bb(0x11db)]=_0x1de2d9,_0xced07e['hasRole']('admin')?_0x32163c[_0x15d7bb(0xe7b)][_0x15d7bb(0x16b4)]({'fields':_0x15d7bb(0x7a7),'role':_0x15d7bb(0x1755),'sort':_0x15d7bb(0x19eb),'nolimit':_0x15d7bb(0x1185)})[_0x15d7bb(0x2945)][_0x15d7bb(0x146b)](function(_0x5351e6){const _0x439fe1=_0x15d7bb;_0x4f4097[_0x439fe1(0x23c0)]=_0x5351e6[_0x439fe1(0x19c7)]||[];})[_0x15d7bb(0x129e)](function(_0x124262){const _0x59a45f=_0x15d7bb;_0x5a3e88[_0x59a45f(0x1980)]({'title':_0x124262[_0x59a45f(0x107b)]?'API:'+_0x124262[_0x59a45f(0x107b)]+_0x59a45f(0x1315)+_0x124262[_0x59a45f(0x167f)]:_0x59a45f(0x557),'msg':_0x124262['data']?JSON['stringify'](_0x124262[_0x59a45f(0x524)]):_0x124262['toString']()});}):_0x32163c['user'][_0x15d7bb(0x16b4)]({'fields':_0x15d7bb(0x7a7),'role':'agent','sort':'name','nolimit':_0x15d7bb(0x1185)})['$promise'][_0x15d7bb(0x146b)](function(_0x583096){const _0x403e04=_0x15d7bb;_0x4f4097[_0x403e04(0x23c0)]=_0x583096[_0x403e04(0x19c7)]||[];})[_0x15d7bb(0x146b)](function(){const _0x58eb49=_0x15d7bb;return _0x32163c[_0x58eb49(0x1366)][_0x58eb49(0x16b4)]({'userProfileId':_0x4f4097[_0x58eb49(0x2321)][_0x58eb49(0x209a)],'sectionId':0xca})['$promise'];})[_0x15d7bb(0x146b)](function(_0x337acd){const _0x50fc49=_0x15d7bb,_0x44bb75=_0x337acd&&_0x337acd[_0x50fc49(0x19c7)]?_0x337acd[_0x50fc49(0x19c7)][0x0]:null;if(!_0x44bb75)_0x4f4097[_0x50fc49(0x23c0)]=[];else{if(!_0x44bb75[_0x50fc49(0x11d2)])return _0x32163c[_0x50fc49(0xdcc)][_0x50fc49(0x16b4)]({'sectionId':_0x44bb75['id']})[_0x50fc49(0x2945)][_0x50fc49(0x146b)](function(_0x454e99){const _0x37243f=_0x50fc49,_0x549ff1=_0x3f65c0()[_0x37243f(0x205)](_0x454e99['rows'],function(_0x4b4ad6){const _0x33cd1c=_0x37243f;return _0x3f65c0()[_0x33cd1c(0xc84)](_0x4f4097['agents'],{'id':_0x4b4ad6[_0x33cd1c(0x18b8)]});});_0x4f4097[_0x37243f(0x23c0)]['forEach'](function(_0x54a13e){const _0x19600c=_0x37243f;!_0x3f65c0()['some'](_0x549ff1,['id',_0x54a13e['id']])&&(_0x54a13e[_0x19600c(0x8ff)]=![]),_0x549ff1['push'](_0x54a13e);}),_0x4f4097[_0x37243f(0x23c0)]=_0x549ff1;});}})[_0x15d7bb(0x129e)](function(_0x2675c8){const _0x45fbef=_0x15d7bb;_0x5a3e88[_0x45fbef(0x1980)]({'title':_0x2675c8[_0x45fbef(0x107b)]?_0x45fbef(0x262a)+_0x2675c8[_0x45fbef(0x107b)]+_0x45fbef(0x1315)+_0x2675c8['statusText']:'SYSTEM:GETagents','msg':_0x2675c8[_0x45fbef(0x524)]?JSON[_0x45fbef(0x10bb)](_0x2675c8['data']):_0x2675c8[_0x45fbef(0xd5f)]()});});function _0x209ae5(_0x4361ec,_0x1e657d){const _0x228ad6=_0x15d7bb;_0x4f4097[_0x228ad6(0x958)]=_0x4361ec,_0x4f4097[_0x228ad6(0x2514)]=typeof _0x1e657d!==_0x228ad6(0x2274)?_0x1e657d:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x4f4097[_0x228ad6(0x1a56)][_0x228ad6(0x1ee8)]=_0x4f4097[_0x228ad6(0x958)]['id'],_0x4f4097[_0x228ad6(0x1a56)]['id']=_0x4f4097[_0x228ad6(0x958)]['id'],_0x56b811();}function _0x3b1471(_0x3c1e55,_0x548c1a){const _0x3082f0=_0x15d7bb,_0x20e591=_0x59ae1b[_0x3082f0(0x1e8a)]()[_0x3082f0(0x1189)](_0x3082f0(0x13ea))[_0x3082f0(0x1cbe)](_0x3082f0(0x16d3)+(_0x3c1e55[_0x3082f0(0x19eb)]||_0x3c1e55['id']&&_0x3f65c0()[_0x3082f0(0x21cf)](_0x3082f0(0x2551))+_0x3c1e55['id']||_0x3082f0(0x10fa))+_0x3082f0(0x252f)+_0x3082f0(0xe01))['ariaLabel'](_0x3082f0(0xb62))[_0x3082f0(0x1f27)](_0x548c1a)['ok']('OK')[_0x3082f0(0x6c3)]('CANCEL');_0x59ae1b['show'](_0x20e591)[_0x3082f0(0x146b)](function(){_0x304230(_0x3c1e55);},function(){const _0x49d0cb=_0x3082f0;console[_0x49d0cb(0x1a74)]('CANCEL');});}function _0x4a80b8(_0x1fa128){const _0xc323f5=_0x15d7bb;_0x4f4097[_0xc323f5(0x1880)]=_0x1fa128||{'count':0x0,'rows':[]};}function _0x56b811(){const _0x2b9ed8=_0x15d7bb;_0x4f4097[_0x2b9ed8(0x1a56)][_0x2b9ed8(0x145d)]=(_0x4f4097[_0x2b9ed8(0x1a56)]['page']-0x1)*_0x4f4097[_0x2b9ed8(0x1a56)][_0x2b9ed8(0x221e)],_0x4f4097[_0x2b9ed8(0xb9c)]=_0x32163c['campaign']['getHoppers'](_0x4f4097['query'],_0x4a80b8)[_0x2b9ed8(0x2945)];}function _0x13ad11(_0x1accb1,_0x151eaf){const _0x5ed217=_0x15d7bb;_0x59ae1b['show']({'controller':'CreateOrEditHopperCampaignIdDialogController','controllerAs':'vm','templateUrl':_0x581f39,'parent':angular[_0x5ed217(0x1853)](_0x30a006[_0x5ed217(0x2586)]),'targetEvent':_0x1accb1,'clickOutsideToClose':!![],'locals':{'ivrCampaign':_0x4f4097[_0x5ed217(0x958)],'hopper':_0x151eaf,'hoppers':_0x4f4097[_0x5ed217(0x1880)][_0x5ed217(0x19c7)],'license':null,'setting':null,'crudPermissions':_0x4f4097['crudPermissions']}});}function _0x304230(_0x9f40f2){const _0x46891e=_0x15d7bb;_0x32163c['cmHopper'][_0x46891e(0x1fac)]({'id':_0x9f40f2['id']})[_0x46891e(0x2945)]['then'](function(){const _0x38d1d9=_0x46891e;_0x3f65c0()['remove'](_0x4f4097[_0x38d1d9(0x1880)][_0x38d1d9(0x19c7)],{'id':_0x9f40f2['id']}),_0x4f4097[_0x38d1d9(0x1880)][_0x38d1d9(0x51c)]-=0x1,!_0x4f4097[_0x38d1d9(0x1880)][_0x38d1d9(0x19c7)][_0x38d1d9(0x402)]&&_0x56b811(),_0x5a3e88[_0x38d1d9(0x1c75)]({'title':'Hopper\x20deleted!','msg':_0x9f40f2['name']?_0x9f40f2['name']+_0x38d1d9(0x23e3):''});})[_0x46891e(0x129e)](function(_0x57f222){const _0x25c5d7=_0x46891e;if(_0x57f222['data']&&_0x57f222['data'][_0x25c5d7(0xcef)]&&_0x57f222[_0x25c5d7(0x524)][_0x25c5d7(0xcef)]['length']){_0x4f4097[_0x25c5d7(0xcef)]=_0x57f222[_0x25c5d7(0x524)][_0x25c5d7(0xcef)]||[{'message':_0x57f222[_0x25c5d7(0xd5f)](),'type':_0x25c5d7(0x17e9)}];for(let _0x46c2b6=0x0;_0x46c2b6<_0x57f222['data']['errors'][_0x25c5d7(0x402)];_0x46c2b6++){_0x5a3e88['error']({'title':_0x57f222[_0x25c5d7(0x524)]['errors'][_0x46c2b6][_0x25c5d7(0x1142)],'msg':_0x57f222[_0x25c5d7(0x524)]['errors'][_0x46c2b6][_0x25c5d7(0x7fd)]});}}else _0x5a3e88['error']({'title':_0x57f222[_0x25c5d7(0x107b)]?_0x25c5d7(0x262a)+_0x57f222[_0x25c5d7(0x107b)]+'\x20-\x20'+_0x57f222[_0x25c5d7(0x167f)]:_0x25c5d7(0x17e9),'msg':_0x57f222[_0x25c5d7(0x524)]?JSON[_0x25c5d7(0x10bb)](_0x57f222[_0x25c5d7(0x524)][_0x25c5d7(0x7fd)]):_0x57f222[_0x25c5d7(0x7fd)]||_0x57f222[_0x25c5d7(0xd5f)]()});});}function _0x39aef3(){const _0x7cc39a=_0x15d7bb,_0x2c7b96=angular['copy'](_0x4f4097[_0x7cc39a(0xbbe)]);return _0x4f4097[_0x7cc39a(0xbbe)]=[],_0x2c7b96;}function _0x1de2d9(_0xc101e8){const _0x438a6d=_0x15d7bb,_0x2b29f7=_0x59ae1b[_0x438a6d(0x1e8a)]()[_0x438a6d(0x1189)](_0x438a6d(0x3e7))['htmlContent'](''+_0x4f4097[_0x438a6d(0xbbe)][_0x438a6d(0x402)]+_0x438a6d(0x2452)+_0x438a6d(0xe01))[_0x438a6d(0x4bd)](_0x438a6d(0xaca))['targetEvent'](_0xc101e8)['ok']('OK')[_0x438a6d(0x6c3)](_0x438a6d(0x39a));_0x59ae1b['show'](_0x2b29f7)[_0x438a6d(0x146b)](function(){const _0x4851fa=_0x438a6d;_0x4f4097[_0x4851fa(0xbbe)][_0x4851fa(0x1df5)](function(_0x4b0f16){_0x304230(_0x4b0f16);}),_0x4f4097[_0x4851fa(0xbbe)]=[];});}let _0x9574a=!![],_0x21b1fe=0x1;_0x54d289['$watch'](_0x15d7bb(0x117f),function(_0x3919a3,_0x1b94bf){const _0xd97158=_0x15d7bb;_0x9574a?_0x27b9df(function(){_0x9574a=![];}):(!_0x1b94bf&&(_0x21b1fe=_0x4f4097['query'][_0xd97158(0x844)]),_0x3919a3!==_0x1b94bf&&(_0x4f4097[_0xd97158(0x1a56)]['page']=0x1),!_0x3919a3&&(_0x4f4097[_0xd97158(0x1a56)]['page']=_0x21b1fe),_0x56b811());});}const _0x545edb=_0x540106;;_0x4d6cbd[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),'$mdDialog','$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),'hoppers','hopper','api',_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x4d6cbd(_0x43cedb,_0x2d7b5f,_0x3ebb1e,_0x196607,_0x1fb9a1,_0x17d41a,_0x40ebf7,_0x1333f8,_0xef5d81,_0x2de2c5,_0x2afd45,_0x2187f9,_0x8d6fe8,_0x5bd9b5){const _0x7deede=_0x313a4d,_0x38037b=this;_0x38037b[_0x7deede(0x2321)]=_0x2afd45[_0x7deede(0xb12)](),_0x38037b['errors']=[],_0x38037b['setting']=_0x8d6fe8,_0x38037b[_0x7deede(0x2690)]=_0x2187f9,_0x38037b[_0x7deede(0x2514)]=_0x5bd9b5,_0x38037b[_0x7deede(0x855)]={},_0x38037b[_0x7deede(0x2251)]=_0x38037b[_0x7deede(0x15b9)]&&_0x38037b[_0x7deede(0x15b9)][_0x7deede(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x38037b[_0x7deede(0x1189)]=_0x7deede(0x1fd),_0x38037b['hopper']=angular[_0x7deede(0x235a)](_0xef5d81),_0x38037b['hoppers']=_0x1333f8,_0x38037b[_0x7deede(0xaa9)]=![];!_0x38037b[_0x7deede(0x10fa)]&&(_0x38037b[_0x7deede(0x10fa)]={},_0x38037b['title']=_0x7deede(0x1147),_0x38037b['newHopper']=!![]);_0x2d7b5f[_0x7deede(0x16a)]['id']&&(_0x38037b[_0x7deede(0x10fa)]['CampaignId']=_0x2d7b5f['params']['id']);_0x38037b[_0x7deede(0x6fe)]=_0x26a88c,_0x38037b['saveHopper']=_0x4a6762,_0x38037b[_0x7deede(0x238e)]=_0x309a72,_0x38037b[_0x7deede(0xe73)]=_0x5a3846,_0x38037b[_0x7deede(0x13f3)]=_0x5ad395,_0x2afd45['hasRole']('admin')?_0x2de2c5[_0x7deede(0xe7b)][_0x7deede(0x16b4)]({'fields':_0x7deede(0x7a7),'role':'agent','sort':_0x7deede(0x19eb),'nolimit':'true'})[_0x7deede(0x2945)][_0x7deede(0x146b)](function(_0x32a929){const _0x10c209=_0x7deede;_0x38037b[_0x10c209(0x23c0)]=_0x32a929['rows']||[];})[_0x7deede(0x129e)](function(_0x236901){const _0x29bfe3=_0x7deede;_0x40ebf7[_0x29bfe3(0x1980)]({'title':_0x236901[_0x29bfe3(0x107b)]?_0x29bfe3(0x262a)+_0x236901[_0x29bfe3(0x107b)]+'\x20-\x20'+_0x236901[_0x29bfe3(0x167f)]:'SYSTEM:GET_AGENTS','msg':_0x236901['data']?JSON[_0x29bfe3(0x10bb)](_0x236901[_0x29bfe3(0x524)]):_0x236901[_0x29bfe3(0xd5f)]()});}):_0x2de2c5[_0x7deede(0xe7b)][_0x7deede(0x16b4)]({'fields':_0x7deede(0x7a7),'role':'agent','sort':_0x7deede(0x19eb),'nolimit':_0x7deede(0x1185)})[_0x7deede(0x2945)][_0x7deede(0x146b)](function(_0x2f8525){const _0x2dbfba=_0x7deede;_0x38037b[_0x2dbfba(0x23c0)]=_0x2f8525[_0x2dbfba(0x19c7)]||[];})[_0x7deede(0x146b)](function(){const _0xa325f6=_0x7deede;return _0x2de2c5[_0xa325f6(0x1366)][_0xa325f6(0x16b4)]({'userProfileId':_0x38037b[_0xa325f6(0x2321)]['userProfileId'],'sectionId':0xca})[_0xa325f6(0x2945)];})['then'](function(_0x1bdc8c){const _0xd35308=_0x7deede,_0x24a070=_0x1bdc8c&&_0x1bdc8c['rows']?_0x1bdc8c['rows'][0x0]:null;if(!_0x24a070)_0x38037b[_0xd35308(0x23c0)]=[];else{if(!_0x24a070[_0xd35308(0x11d2)])return _0x2de2c5[_0xd35308(0xdcc)][_0xd35308(0x16b4)]({'sectionId':_0x24a070['id']})['$promise'][_0xd35308(0x146b)](function(_0x5bfccb){const _0x307232=_0xd35308,_0x3fae87=_0x3f65c0()[_0x307232(0x205)](_0x5bfccb[_0x307232(0x19c7)],function(_0x4f62dd){const _0x1f20f8=_0x307232;return _0x3f65c0()[_0x1f20f8(0xc84)](_0x38037b[_0x1f20f8(0x23c0)],{'id':_0x4f62dd[_0x1f20f8(0x18b8)]});});_0x38037b['agents'][_0x307232(0x1df5)](function(_0x3f672c){const _0x2d4876=_0x307232;!_0x3f65c0()[_0x2d4876(0x1360)](_0x3fae87,['id',_0x3f672c['id']])&&(_0x3f672c[_0x2d4876(0x8ff)]=![]),_0x3fae87[_0x2d4876(0x1f47)](_0x3f672c);}),_0x38037b[_0x307232(0x23c0)]=_0x3fae87;});}})[_0x7deede(0x129e)](function(_0x3bebe3){const _0x1c1346=_0x7deede;_0x40ebf7[_0x1c1346(0x1980)]({'title':_0x3bebe3[_0x1c1346(0x107b)]?_0x1c1346(0x262a)+_0x3bebe3[_0x1c1346(0x107b)]+_0x1c1346(0x1315)+_0x3bebe3[_0x1c1346(0x167f)]:_0x1c1346(0x788),'msg':_0x3bebe3['data']?JSON['stringify'](_0x3bebe3[_0x1c1346(0x524)]):_0x3bebe3['toString']()});});function _0x26a88c(){const _0x4e5792=_0x7deede;_0x38037b[_0x4e5792(0xcef)]=[],_0x2de2c5[_0x4e5792(0x39f)][_0x4e5792(0x1e3)](_0x38037b[_0x4e5792(0x10fa)])[_0x4e5792(0x2945)][_0x4e5792(0x146b)](function(_0x3896dd){const _0x510a6e=_0x4e5792;_0x38037b['hoppers'][_0x510a6e(0xb3d)](_0x3896dd[_0x510a6e(0x2488)]()),_0x40ebf7[_0x510a6e(0x1c75)]({'title':_0x510a6e(0x1976),'msg':_0x38037b[_0x510a6e(0x10fa)]['name']?_0x38037b[_0x510a6e(0x10fa)]['name']+_0x510a6e(0x1386):''}),_0x5ad395(_0x3896dd);})[_0x4e5792(0x129e)](function(_0x1cc7d1){const _0x350010=_0x4e5792;if(_0x1cc7d1[_0x350010(0x524)]&&_0x1cc7d1[_0x350010(0x524)]['errors']&&_0x1cc7d1['data'][_0x350010(0xcef)][_0x350010(0x402)]){_0x38037b[_0x350010(0xcef)]=_0x1cc7d1['data'][_0x350010(0xcef)]||[{'message':_0x1cc7d1[_0x350010(0xd5f)](),'type':_0x350010(0x800)}];for(let _0x549915=0x0;_0x549915<_0x1cc7d1[_0x350010(0x524)][_0x350010(0xcef)][_0x350010(0x402)];_0x549915+=0x1){_0x40ebf7[_0x350010(0x1980)]({'title':_0x1cc7d1[_0x350010(0x524)][_0x350010(0xcef)][_0x549915][_0x350010(0x1142)],'msg':_0x1cc7d1['data'][_0x350010(0xcef)][_0x549915]['message']});}}else _0x40ebf7['error']({'title':_0x1cc7d1[_0x350010(0x107b)]?_0x350010(0x262a)+_0x1cc7d1['status']+_0x350010(0x1315)+_0x1cc7d1[_0x350010(0x167f)]:_0x350010(0x800),'msg':_0x1cc7d1[_0x350010(0x524)]?JSON['stringify'](_0x1cc7d1[_0x350010(0x524)][_0x350010(0x7fd)]):_0x1cc7d1[_0x350010(0xd5f)]()});});}function _0x4a6762(){const _0x3f0a55=_0x7deede;_0x38037b[_0x3f0a55(0xcef)]=[];let _0x2637c9,_0x3217ce,_0x23ba67,_0x24fa9c;_0x38037b[_0x3f0a55(0x10fa)]['UserId']=_0x38037b[_0x3f0a55(0x10fa)][_0x3f0a55(0x2762)]?_0x38037b[_0x3f0a55(0x10fa)][_0x3f0a55(0xea2)]:null,_0x38037b[_0x3f0a55(0x10fa)]['OwnerId']=_0x2afd45[_0x3f0a55(0xb12)]()['id'],_0x2de2c5[_0x3f0a55(0x39f)][_0x3f0a55(0x16b4)]({'id':_0x38037b[_0x3f0a55(0x10fa)]['id'],'attributes':[_0x3f0a55(0x432)]})[_0x3f0a55(0x2945)][_0x3f0a55(0x146b)](function(_0x212029){const _0x54a7dc=_0x3f0a55;_0x3f65c0()[_0x54a7dc(0x1b36)](_0x212029)||_0x3f65c0()[_0x54a7dc(0x2635)](_0x212029)?_0x2637c9=_0x2deec6()()[_0x54a7dc(0x22b0)](_0x54a7dc(0x1ce2)):_0x2637c9=_0x212029[_0x54a7dc(0x432)];})[_0x3f0a55(0x1ec6)](function(){const _0x2e81c1=_0x3f0a55;_0x2de2c5[_0x2e81c1(0x39f)][_0x2e81c1(0x18e1)]({'id':_0x38037b[_0x2e81c1(0x10fa)]['id']},_0x3f65c0()[_0x2e81c1(0x2432)](_0x38037b[_0x2e81c1(0x10fa)],['VoiceQueueId',_0x2e81c1(0x1ee8),_0x2e81c1(0x932),_0x2e81c1(0xb7c)]))['$promise']['then'](function(_0x4f6714){const _0x57d22e=_0x2e81c1;_0x3217ce=_0x4f6714,_0x2de2c5[_0x57d22e(0x18c3)]['get']({'id':_0x3217ce[_0x57d22e(0x932)],'attributes':[_0x57d22e(0x1ed4),_0x57d22e(0xee4)]})[_0x57d22e(0x2945)][_0x57d22e(0x146b)](function(_0x45b33f){const _0x39e556=_0x57d22e;!_0x3f65c0()[_0x39e556(0x1b36)](_0x45b33f)&&!_0x3f65c0()['isNil'](_0x45b33f[_0x39e556(0x1ed4)])&&(_0x23ba67=_0x45b33f['firstName'],!_0x3f65c0()[_0x39e556(0x1b36)](_0x45b33f[_0x39e556(0xee4)])&&(_0x23ba67+='\x20'+_0x45b33f['lastName']));})[_0x57d22e(0x129e)](function(){_0x23ba67=undefined;})['finally'](function(){const _0x3f9d9f=_0x57d22e;_0x2de2c5[_0x3f9d9f(0x8dc)]['get']({'raw':![],'id':_0x3217ce[_0x3f9d9f(0x1ee8)],'attributes':[_0x3f9d9f(0x19eb)]})[_0x3f9d9f(0x2945)]['then'](function(_0x410b65){const _0x56d1fc=_0x3f9d9f;_0x24fa9c=_0x410b65[_0x56d1fc(0x19eb)];})[_0x3f9d9f(0x129e)](function(){_0x24fa9c=undefined;})['finally'](function(){const _0x39802e=_0x3f9d9f;_0x2de2c5[_0x39802e(0x22e3)]['save']({'state':0x18,'statedesc':'Edited','scheduledat':_0x2637c9,'calleridnum':_0x3217ce[_0x39802e(0x1d55)],'calleridname':_0x23ba67,'campaigntype':_0x3217ce[_0x39802e(0x6ed)]?_0x39802e(0x1c72):_0x39802e(0x1f0),'campaign':_0x24fa9c,'editedat':_0x2deec6()()[_0x39802e(0x22b0)](_0x39802e(0x1ce2)),'edited':!![],'ListId':_0x3217ce[_0x39802e(0xb7c)],'UserId':_0x2afd45[_0x39802e(0xb12)]()['id'],'VoiceQueueId':_0x3217ce['VoiceQueueId'],'CampaignId':_0x3217ce['CampaignId'],'ContactId':_0x3217ce[_0x39802e(0x932)],'callback':_0x3217ce[_0x39802e(0x2268)],'callbackuniqueid':_0x3217ce[_0x39802e(0x2679)],'countbusyretry':_0x3217ce['countbusyretry'],'countcongestionretry':_0x3217ce[_0x39802e(0x24c9)],'countglobal':_0x3217ce[_0x39802e(0x1adf)],'countnoanswerretry':_0x3217ce[_0x39802e(0x1b71)],'followuptime':_0x3217ce[_0x39802e(0x1a47)],'recallme':_0x3217ce[_0x39802e(0x2762)]});});});const _0x113fbd=_0x3f65c0()['find'](_0x38037b[_0x57d22e(0x9f0)],{'id':_0x4f6714['id']});_0x113fbd&&_0x3f65c0()[_0x57d22e(0x168d)](_0x113fbd,_0x3f65c0()[_0x57d22e(0x40e)](_0x4f6714[_0x57d22e(0x2488)](),_0x3f65c0()['keys'](_0x113fbd))),_0x40ebf7[_0x57d22e(0x1c75)]({'title':_0x57d22e(0x17e3),'msg':_0x38037b[_0x57d22e(0x10fa)][_0x57d22e(0x19eb)]?_0x38037b[_0x57d22e(0x10fa)][_0x57d22e(0x19eb)]+'\x20has\x20been\x20saved!':''}),_0x5ad395(_0x4f6714);})['catch'](function(_0x4d4b00){const _0x49635c=_0x2e81c1;if(_0x4d4b00['data']&&_0x4d4b00[_0x49635c(0x524)][_0x49635c(0xcef)]&&_0x4d4b00['data'][_0x49635c(0xcef)][_0x49635c(0x402)]){_0x38037b['errors']=_0x4d4b00[_0x49635c(0x524)][_0x49635c(0xcef)]||[{'message':_0x4d4b00[_0x49635c(0xd5f)](),'type':'api.cmHopper.update'}];for(let _0x42d931=0x0;_0x42d931<_0x4d4b00[_0x49635c(0x524)][_0x49635c(0xcef)]['length'];_0x42d931++){_0x40ebf7[_0x49635c(0x1980)]({'title':_0x4d4b00['data']['errors'][_0x42d931][_0x49635c(0x1142)],'msg':_0x4d4b00[_0x49635c(0x524)][_0x49635c(0xcef)][_0x42d931][_0x49635c(0x7fd)]});}}else _0x40ebf7[_0x49635c(0x1980)]({'title':_0x4d4b00[_0x49635c(0x107b)]?'API:'+_0x4d4b00[_0x49635c(0x107b)]+_0x49635c(0x1315)+_0x4d4b00[_0x49635c(0x167f)]:_0x49635c(0x1a93),'msg':_0x4d4b00[_0x49635c(0x524)]?JSON[_0x49635c(0x10bb)](_0x4d4b00[_0x49635c(0x524)]['message']):_0x4d4b00['toString']()});});});}function _0x309a72(_0x39866b){const _0x460dd4=_0x7deede;_0x38037b['errors']=[];const _0x4421d2=_0x196607[_0x460dd4(0x1e8a)]()['title']('Are\x20you\x20sure?')['content']('The\x20hopper\x20will\x20be\x20deleted.')['ariaLabel'](_0x460dd4(0x16c8))['ok'](_0x460dd4(0x25de))[_0x460dd4(0x6c3)](_0x460dd4(0xcf0))['targetEvent'](_0x39866b);_0x196607[_0x460dd4(0x2615)](_0x4421d2)[_0x460dd4(0x146b)](function(){const _0x2079f6=_0x460dd4;_0x2de2c5[_0x2079f6(0x39f)][_0x2079f6(0x1fac)]({'id':_0x38037b[_0x2079f6(0x10fa)]['id']})['$promise']['then'](function(){const _0x168cb1=_0x2079f6;_0x3f65c0()[_0x168cb1(0x2640)](_0x38037b[_0x168cb1(0x9f0)],{'id':_0x38037b['hopper']['id']}),_0x40ebf7['success']({'title':_0x168cb1(0x1a50),'msg':(_0x38037b[_0x168cb1(0x10fa)]['name']||'hopper')+_0x168cb1(0x23e3)}),_0x5ad395(_0x38037b[_0x168cb1(0x10fa)]);})[_0x2079f6(0x129e)](function(_0x2379c7){const _0x32d214=_0x2079f6;if(_0x2379c7[_0x32d214(0x524)]&&_0x2379c7[_0x32d214(0x524)][_0x32d214(0xcef)]&&_0x2379c7[_0x32d214(0x524)][_0x32d214(0xcef)][_0x32d214(0x402)]){_0x38037b[_0x32d214(0xcef)]=_0x2379c7[_0x32d214(0x524)]['errors']||[{'message':_0x2379c7['toString'](),'type':_0x32d214(0x5d5)}];for(let _0x49611d=0x0;_0x49611d<_0x2379c7[_0x32d214(0x524)][_0x32d214(0xcef)][_0x32d214(0x402)];_0x49611d++){_0x40ebf7[_0x32d214(0x1980)]({'title':_0x2379c7['data'][_0x32d214(0xcef)][_0x49611d]['type'],'msg':_0x2379c7[_0x32d214(0x524)][_0x32d214(0xcef)][_0x49611d][_0x32d214(0x7fd)]});}}else _0x40ebf7[_0x32d214(0x1980)]({'title':_0x2379c7[_0x32d214(0x107b)]?_0x32d214(0x262a)+_0x2379c7[_0x32d214(0x107b)]+_0x32d214(0x1315)+_0x2379c7[_0x32d214(0x167f)]:_0x32d214(0x5d5),'msg':_0x2379c7[_0x32d214(0x524)]?JSON[_0x32d214(0x10bb)](_0x2379c7['data'][_0x32d214(0x7fd)]):_0x2379c7[_0x32d214(0x7fd)]||_0x2379c7['toString']()});});},function(){});}function _0x5a3846(_0x2d4b5a){return _0x2d4b5a===null?undefined:new Date(_0x2d4b5a);}function _0x5ad395(_0x204aed){_0x196607['hide'](_0x204aed);}}const _0x2a5b35=_0x4d6cbd;;_0xa18f61[_0x313a4d(0x11c2)]=[_0x313a4d(0x247f),_0x313a4d(0x1fe4)];function _0xa18f61(_0x39e432,_0x3e8e0f){const _0x3fe745=_0x313a4d,_0x3a5c5e=this;_0x3a5c5e[_0x3fe745(0x958)]={},_0x3a5c5e[_0x3fe745(0x5aa)]=_0x11dfbe;function _0x11dfbe(_0x58c0c1){const _0x4e1a80=_0x3fe745;_0x3a5c5e[_0x4e1a80(0x958)]=_0x58c0c1,_0x39e432[_0x4e1a80(0x39f)]['get']({'limit':0x0,'CampaignId':_0x58c0c1['id']})[_0x4e1a80(0x2945)][_0x4e1a80(0x146b)](function(_0x4a1829){const _0x2e1688=_0x4e1a80;return _0x3a5c5e['total']=_0x4a1829[_0x2e1688(0x51c)]||0x0,_0x39e432[_0x2e1688(0x39f)][_0x2e1688(0x16b4)]({'limit':0x0,'CampaignId':_0x58c0c1['id'],'active':![],'countbusyretry':0x0,'countcongestionretry':0x0,'countnoanswerretry':0x0})[_0x2e1688(0x2945)];})[_0x4e1a80(0x146b)](function(_0xf035f6){const _0x4e6103=_0x4e1a80;return _0x3a5c5e[_0x4e6103(0xbb0)]=_0xf035f6['count']||0x0,_0x39e432[_0x4e6103(0x2018)][_0x4e6103(0x16b4)]({'limit':0x0,'CampaignId':_0x58c0c1['id']})[_0x4e6103(0x2945)];})[_0x4e1a80(0x146b)](function(_0x3c3389){_0x3a5c5e['closed']=_0x3c3389['count']||0x0;})['catch'](function(_0xc9c1fc){const _0x4fc9ff=_0x4e1a80;_0x3e8e0f[_0x4fc9ff(0x1980)]({'title':_0xc9c1fc[_0x4fc9ff(0x107b)]?_0x4fc9ff(0x262a)+_0xc9c1fc[_0x4fc9ff(0x107b)]+_0x4fc9ff(0x1315)+_0xc9c1fc[_0x4fc9ff(0x167f)]:_0x4fc9ff(0x220e),'msg':_0xc9c1fc['data']?JSON[_0x4fc9ff(0x10bb)](_0xc9c1fc[_0x4fc9ff(0x524)]):_0xc9c1fc[_0x4fc9ff(0xd5f)]()});});}}const _0x28c262=_0xa18f61;;_0x36f596['$inject']=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x247f),'ivrCampaign',_0x313a4d(0x214b),_0x313a4d(0x1abe),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x36f596(_0x5df555,_0x4f5ba3,_0x1408b8,_0x106f90,_0xf4069f,_0x3d74fd,_0x28ea90,_0x91bcb7,_0x4223eb){const _0x437068=_0x313a4d,_0x485c47=this;_0x485c47[_0x437068(0x2321)]=_0x91bcb7[_0x437068(0xb12)](),_0x485c47['ivrCampaign']=_0xf4069f,_0x485c47['crudPermissions']=_0x4223eb,_0x485c47['apiRoute']=_0x437068(0x8dc),_0x485c47[_0x437068(0x706)]='getLists',_0x485c47[_0x437068(0x8f4)]=_0x437068(0x2352),_0x485c47['removeApi']='removeLists',_0x485c47[_0x437068(0x1fd6)]=[],_0x485c47[_0x437068(0xc4e)]=[],_0x485c47[_0x437068(0x1ecf)]=[],_0x485c47[_0x437068(0x2e8)]=[],_0x485c47[_0x437068(0xa65)]=[],_0x485c47[_0x437068(0x132)]=![],_0x485c47[_0x437068(0x1699)]=![],_0x485c47[_0x437068(0x1f8a)]={'readOnly':!_0x485c47[_0x437068(0x2514)][_0x437068(0x15f4)],'allowedItems':[],'selectedItems':[],'showSelectAndDeselectAll':![],'orderBy':_0x437068(0x19eb),'line1':'name','line2':'','line3':'','labelAll':_0x3d74fd[_0x437068(0xde)](_0x437068(0x11e0)),'labelSelected':_0x3d74fd[_0x437068(0xde)](_0x437068(0x1ded)),'transferCallback':function(){const _0x268d97=_0x437068,_0x5345dc=_0x3f65c0()[_0x268d97(0x1883)](_0x485c47[_0x268d97(0xa65)],_0x485c47[_0x268d97(0x1ecf)],'id');_0x485c47[_0x268d97(0x132)]=_0x3f65c0()[_0x268d97(0x2635)](_0x5345dc)?![]:!![];}},_0x485c47['onInit']=_0x156f38,_0x485c47[_0x437068(0x24d1)]=_0x323d5d,_0x485c47[_0x437068(0x13f3)]=_0x285864;function _0x156f38(){const _0x5637cf=_0x437068;return _0x91bcb7[_0x5637cf(0x23e0)](_0x5637cf(0x174b))?_0x5435c5()[_0x5637cf(0x129e)](function(_0x446098){const _0x38fda7=_0x5637cf;_0x1408b8['error']({'title':_0x446098[_0x38fda7(0x107b)]?_0x38fda7(0x262a)+_0x446098[_0x38fda7(0x107b)]+'\x20-\x20'+_0x446098[_0x38fda7(0x167f)]:'SYSTEM:GETLISTS','msg':_0x446098[_0x38fda7(0x107b)]?JSON[_0x38fda7(0x10bb)](_0x446098[_0x38fda7(0x524)]):_0x446098[_0x38fda7(0xd5f)]()});}):_0x40839d()[_0x5637cf(0x146b)](function(_0x29b0ac){const _0x420883=_0x5637cf;return _0x485c47[_0x420883(0x2146)]=_0x29b0ac,_0x5435c5();})['catch'](function(_0x3f001e){const _0x537782=_0x5637cf;_0x1408b8[_0x537782(0x1980)]({'title':_0x3f001e[_0x537782(0x107b)]?_0x537782(0x262a)+_0x3f001e['status']+_0x537782(0x1315)+_0x3f001e[_0x537782(0x167f)]:_0x537782(0x474),'msg':_0x3f001e[_0x537782(0x107b)]?JSON['stringify'](_0x3f001e[_0x537782(0x524)]):_0x3f001e['toString']()});});}function _0x40839d(){return _0x4f5ba3(function(_0x1dfd2e,_0x1a1a13){const _0x131586=a0_0x3bb9;_0x106f90[_0x131586(0x1366)][_0x131586(0x16b4)]({'userProfileId':_0x485c47[_0x131586(0x2321)]['userProfileId'],'name':_0x131586(0x174f)})[_0x131586(0x2945)][_0x131586(0x146b)](function(_0x556d00){const _0x44689f=_0x131586,_0x65ed16=_0x556d00&&_0x556d00[_0x44689f(0x19c7)]?_0x556d00[_0x44689f(0x19c7)][0x0]:null;_0x1dfd2e(_0x65ed16);})[_0x131586(0x129e)](function(_0x58e008){_0x1a1a13(_0x58e008);});});}function _0x5435c5(){return _0x4f5ba3(function(_0xeac5e6,_0x4a89cf){const _0x44f380=a0_0x3bb9;return _0x499b46()['then'](function(_0x1ae0b8){const _0x3f6ef3=a0_0x3bb9;return _0x485c47[_0x3f6ef3(0x1fd6)]=_0x1ae0b8[_0x3f6ef3(0x19c7)]?_0x1ae0b8[_0x3f6ef3(0x19c7)]:[],_0x91bcb7['hasRole'](_0x3f6ef3(0x174b))?_0x1ae0b8:_0x485c47[_0x3f6ef3(0x2146)]?_0x485c47[_0x3f6ef3(0x2146)]['autoAssociation']?_0x1ae0b8:_0x5d30dc():null;})[_0x44f380(0x146b)](function(_0x14d0fe){const _0x168dae=_0x44f380,_0x23e7e9=_0x14d0fe&&_0x14d0fe[_0x168dae(0x19c7)]?_0x14d0fe[_0x168dae(0x19c7)]:[];return _0x485c47[_0x168dae(0xc4e)]=_0x3f65c0()[_0x168dae(0x205)](_0x23e7e9,function(_0x568bbb){const _0x4aa1e7=_0x168dae;return _0x3f65c0()['find'](_0x485c47[_0x4aa1e7(0x1fd6)],{'id':_0x91bcb7['hasRole'](_0x4aa1e7(0x174b))||_0x485c47[_0x4aa1e7(0x2146)][_0x4aa1e7(0x11d2)]?_0x568bbb['id']:_0x568bbb[_0x4aa1e7(0x18b8)]});}),_0x485c47[_0x168dae(0x2e8)]=angular['copy'](_0x485c47[_0x168dae(0xc4e)]),_0x485c47['items']['forEach'](function(_0x14294d){const _0xfbe407=_0x168dae,_0x348214=_0x3f65c0()['find'](_0x485c47[_0xfbe407(0xc4e)],{'id':_0x14294d['id']});_0x485c47[_0xfbe407(0x2321)][_0xfbe407(0xfb0)]==='admin'?_0x14294d[_0xfbe407(0x146f)]=!![]:_0x14294d[_0xfbe407(0x146f)]=typeof _0x348214!==_0xfbe407(0x2274)?!![]:![];}),_0x296487();})[_0x44f380(0x146b)](function(_0x7e98bf){const _0x52708d=_0x44f380,_0x436608=_0x7e98bf&&_0x7e98bf[_0x52708d(0x19c7)]?_0x7e98bf[_0x52708d(0x19c7)]:[];_0x485c47[_0x52708d(0x1ecf)]=_0x3f65c0()[_0x52708d(0x205)](_0x436608,function(_0x22ea6e){const _0x771a9f=_0x52708d;return _0x3f65c0()[_0x771a9f(0xc84)](_0x485c47[_0x771a9f(0x1fd6)],{'id':_0x22ea6e['id']});}),_0x485c47[_0x52708d(0xa65)]=angular[_0x52708d(0x235a)](_0x485c47[_0x52708d(0x1ecf)]),_0x485c47[_0x52708d(0x1f8a)][_0x52708d(0x1ecf)]=_0x485c47[_0x52708d(0x1ecf)],_0x485c47[_0x52708d(0x1f8a)]['items']=_0x3f65c0()[_0x52708d(0x2796)](_0x485c47[_0x52708d(0xc4e)],_0x485c47[_0x52708d(0x1f8a)][_0x52708d(0x1ecf)],'id'),_0xeac5e6();})[_0x44f380(0x129e)](function(_0x21e4c8){_0x4a89cf(_0x21e4c8);});});}function _0x5d30dc(){return _0x4f5ba3(function(_0x46c38e,_0x5f0cee){const _0x4deed4=a0_0x3bb9;return _0x106f90[_0x4deed4(0xdcc)]['get']({'sectionId':_0x485c47[_0x4deed4(0x2146)]['id'],'nolimit':!![]})[_0x4deed4(0x2945)][_0x4deed4(0x146b)](function(_0x4ee340){_0x46c38e(_0x4ee340);})[_0x4deed4(0x129e)](function(_0x53c66e){_0x5f0cee(_0x53c66e);});});}function _0x296487(){return _0x4f5ba3(function(_0x28d682,_0x56d30a){const _0x41bcdd=a0_0x3bb9,_0x4ae3a6=_0x106f90[_0x485c47['apiRoute']];return _0x4ae3a6[_0x485c47[_0x41bcdd(0x706)]]({'id':_0x485c47[_0x41bcdd(0x958)]['id'],'fields':_0x41bcdd(0x7a7),'nolimit':!![]})[_0x41bcdd(0x2945)][_0x41bcdd(0x146b)](function(_0x4e308b){_0x28d682(_0x4e308b);})[_0x41bcdd(0x129e)](function(_0x58f759){_0x56d30a(_0x58f759);});});}function _0x499b46(){return _0x4f5ba3(function(_0x38dd30,_0x2adf8d){const _0x625eb8=a0_0x3bb9;return _0x106f90[_0x625eb8(0xf03)]['get']({'fields':_0x625eb8(0x7a7),'nolimit':!![]})[_0x625eb8(0x2945)]['then'](function(_0x1afd7b){_0x38dd30(_0x1afd7b);})['catch'](function(_0x4a1509){_0x2adf8d(_0x4a1509);});});}function _0x28a035(_0x389ec3){return _0x4f5ba3(function(_0xf6e0e3,_0xc99180){const _0x5073b0=a0_0x3bb9;if(_0x3f65c0()[_0x5073b0(0x2635)](_0x389ec3))_0xf6e0e3();else{const _0x53e714=_0x106f90[_0x485c47['apiRoute']];_0x53e714[_0x485c47[_0x5073b0(0x8f4)]]({'id':_0x485c47[_0x5073b0(0x958)]['id'],'ids':_0x3f65c0()[_0x5073b0(0x205)](_0x389ec3,'id')})[_0x5073b0(0x2945)][_0x5073b0(0x146b)](function(){_0xf6e0e3();})['catch'](function(_0x7e01aa){_0xc99180(_0x7e01aa);});}});}function _0x2104a7(_0x5f18e0){return _0x4f5ba3(function(_0x1fc7af,_0x518b58){const _0x27d2d7=a0_0x3bb9;if(_0x3f65c0()['isEmpty'](_0x5f18e0))_0x1fc7af();else{const _0x110509=_0x106f90[_0x485c47[_0x27d2d7(0x161a)]];_0x110509[_0x485c47['removeApi']]({'id':_0x485c47['ivrCampaign']['id'],'ids':_0x3f65c0()['map'](_0x5f18e0,'id')})['$promise'][_0x27d2d7(0x146b)](function(){_0x1fc7af();})[_0x27d2d7(0x129e)](function(_0x1861eb){_0x518b58(_0x1861eb);});}});}function _0x323d5d(){const _0x1568c6=_0x437068;_0x485c47[_0x1568c6(0x1699)]=!![];const _0x5a2a78=_0x3f65c0()[_0x1568c6(0x2796)](_0x485c47['startingSelectedItems'],_0x485c47[_0x1568c6(0x1ecf)],'id'),_0x2b48bd=_0x3f65c0()[_0x1568c6(0x2796)](_0x485c47[_0x1568c6(0x1ecf)],_0x485c47[_0x1568c6(0xa65)],'id');return _0x2104a7(_0x5a2a78)[_0x1568c6(0x146b)](function(){return _0x28a035(_0x2b48bd);})['then'](function(){const _0x22333f=_0x1568c6;_0x485c47[_0x22333f(0x132)]=![],_0x485c47[_0x22333f(0x2e8)]=angular[_0x22333f(0x235a)](_0x485c47[_0x22333f(0xc4e)]),_0x485c47[_0x22333f(0xa65)]=angular[_0x22333f(0x235a)](_0x485c47[_0x22333f(0x1ecf)]),_0x1408b8[_0x22333f(0x1c75)]({'title':'SUCCESS','msg':'Lists\x20association\x20has\x20been\x20updated!'});})[_0x1568c6(0x129e)](function(_0x13dda5){const _0x5c9887=_0x1568c6;_0x1408b8['error']({'title':_0x13dda5[_0x5c9887(0x107b)]?'API:'+_0x13dda5['status']+'\x20-\x20'+_0x13dda5[_0x5c9887(0x167f)]:_0x5c9887(0xa56),'msg':_0x13dda5[_0x5c9887(0x107b)]?JSON[_0x5c9887(0x10bb)](_0x13dda5[_0x5c9887(0x524)]):_0x13dda5[_0x5c9887(0xd5f)]()});})['finally'](function(){const _0x6d876d=_0x1568c6;_0x485c47[_0x6d876d(0x1699)]=![];});}function _0x285864(){const _0x4a0488=_0x437068;_0x5df555[_0x4a0488(0x2458)]();}}const _0x5dc952=_0x36f596;;_0x4bba2f['$inject']=[_0x313a4d(0x910),_0x313a4d(0x10e8),_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0x958),_0x313a4d(0x1014),_0x313a4d(0x2dc),'$q'];function _0x4bba2f(_0x355308,_0x1c04b2,_0x4b6420,_0x4be131,_0x23f6b5,_0x5a89fa,_0x2702aa,_0x277acf){const _0x5a4afe=_0x313a4d,_0xb37c6d=this;_0xb37c6d['selected']=[],_0xb37c6d['items']=_0x5a89fa?_0x5a89fa:[],_0xb37c6d[_0x5a4afe(0x1699)]=![],_0xb37c6d[_0x5a4afe(0x13f3)]=_0x4c9854,_0xb37c6d[_0x5a4afe(0xbf4)]=_0x5dd0c3,_0xb37c6d[_0x5a4afe(0x1f05)]=_0x3a9c38,_0xb37c6d[_0x5a4afe(0xf52)]=_0x3c2b7c,_0xb37c6d[_0x5a4afe(0x2735)]=_0x537518,_0xb37c6d['toggleAll']=_0x468c56,_0xb37c6d['listSelected']=_0x45caa3;function _0x3a9c38(_0x72393d,_0xd0e33){const _0x3c0b3a=_0x5a4afe,_0x35acab=_0xd0e33[_0x3c0b3a(0x172b)](_0x72393d);_0x35acab>-0x1?_0xd0e33[_0x3c0b3a(0x1f7d)](_0x35acab,0x1):_0xd0e33[_0x3c0b3a(0x1f47)](_0x72393d);}function _0x5dd0c3(_0x31ad80,_0x3911b3){const _0xa179b=_0x5a4afe;return _0x3911b3[_0xa179b(0x172b)](_0x31ad80)>-0x1;}function _0x3c2b7c(){const _0x548d48=_0x5a4afe;return _0xb37c6d[_0x548d48(0x839)]['length']!==0x0&&_0xb37c6d[_0x548d48(0x839)]['length']!==_0xb37c6d['items'][_0x548d48(0x402)];}function _0x537518(){const _0x5d7b38=_0x5a4afe;return _0xb37c6d['selected'][_0x5d7b38(0x402)]===_0xb37c6d[_0x5d7b38(0x1fd6)]['length'];}function _0x468c56(){const _0x1cdc49=_0x5a4afe;if(_0xb37c6d[_0x1cdc49(0x839)][_0x1cdc49(0x402)]===_0xb37c6d[_0x1cdc49(0x1fd6)][_0x1cdc49(0x402)])_0xb37c6d[_0x1cdc49(0x839)]=[];else(_0xb37c6d[_0x1cdc49(0x839)][_0x1cdc49(0x402)]===0x0||_0xb37c6d[_0x1cdc49(0x839)]['length']>0x0)&&(_0xb37c6d['selected']=_0xb37c6d[_0x1cdc49(0x1fd6)]['slice'](0x0));}function _0x4c9854(){const _0x4bcf5b=_0x5a4afe;_0x1c04b2[_0x4bcf5b(0x2458)]();}function _0x45caa3(){const _0x2145e4=_0x5a4afe;_0xb37c6d[_0x2145e4(0x1699)]=!![];const _0x6444c7=_0x12a4d4();_0x6444c7[_0x2145e4(0x1ec6)](function(){_0xb37c6d['loading']=![],_0x4c9854();});}function _0x12a4d4(){return _0x277acf(function(_0x19d414){const _0x57fcf7=a0_0x3bb9;for(let _0x429511=0x0;_0x429511<_0xb37c6d[_0x57fcf7(0x839)]['length'];_0x429511+=0x1){_0x1bf477(_0x23f6b5['id'],_0xb37c6d[_0x57fcf7(0x839)][_0x429511]);}_0x149110(_0x19d414);});}function _0x1bf477(_0x5f5175,_0x2fcb00){const _0x30b26f=_0x5a4afe,_0x360c25=_0x2fcb00['id'];_0x2702aa[_0x30b26f(0x177a)](function(){const _0x299974=_0x30b26f;return _0x4be131[_0x299974(0x8dc)][_0x299974(0x1def)]({'id':_0x5f5175,'ids':_0x360c25})[_0x299974(0x2945)]['then'](function(){const _0x23b012=_0x299974;return _0x4be131[_0x23b012(0x8dc)][_0x23b012(0x2352)]({'id':_0x5f5175,'ids':_0x360c25,'dialCheckDuplicateType':_0x23b012(0x6d2)})[_0x23b012(0x2945)];})[_0x299974(0x146b)](function(){const _0xd1173=_0x299974;_0x4b6420[_0xd1173(0x1c75)]({'title':_0xd1173(0x273d),'msg':_0xd1173(0x18aa)+_0x2fcb00['name']+_0xd1173(0x1c44)});})[_0x299974(0x129e)](function(_0xdcf80a){const _0x241d46=_0x299974;_0x4b6420[_0x241d46(0x1980)]({'title':_0xdcf80a['status']?_0x241d46(0x262a)+_0xdcf80a[_0x241d46(0x107b)]+_0x241d46(0x1315)+_0xdcf80a[_0x241d46(0x167f)]:'SYSTEM:queueCampaign.RESETLIST','msg':_0xdcf80a[_0x241d46(0x107b)]?JSON['stringify'](_0xdcf80a['data']):_0xdcf80a['toString']()});});});}function _0x149110(_0x5adb6f){const _0x52df98=_0x5a4afe;_0x2702aa[_0x52df98(0x177a)](function(){return _0x5adb6f();});}}const _0x50a298=_0x4bba2f;;const _0x4c9ed3=_0x4acfac['p']+_0x313a4d(0x2191);;const _0xd68527=_0x4acfac['p']+_0x313a4d(0x11d3);;const _0x52912f=_0x4acfac['p']+_0x313a4d(0x679);;const _0x4f89f2=_0x4acfac['p']+'src/js/modules/main/apps/motiondialer/views/ivrCampaigns/edit/resetlist/resetlist.html/resetlist.html';;_0x5c7954[_0x313a4d(0x11c2)]=['$state',_0x313a4d(0xd08),'$mdDialog',_0x313a4d(0x4d8),'$translate',_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x247f),'toasty',_0x313a4d(0xa87),_0x313a4d(0x958),_0x313a4d(0x1366)];function _0x5c7954(_0x5aaa49,_0x56f3f6,_0x1c13b7,_0x59534f,_0x32a8d4,_0x30b28e,_0x26fe50,_0x1124d1,_0x362e03,_0x2fdb42,_0x338d6d,_0x3a990b){const _0x5837f9=_0x313a4d,_0x1b48ec=this;_0x1b48ec[_0x5837f9(0x2321)]=_0x2fdb42['getCurrentUser'](),_0x1b48ec[_0x5837f9(0x2690)]=_0x30b28e,_0x1b48ec['setting']=_0x26fe50,_0x1b48ec['passwordPattern']=_0x1b48ec[_0x5837f9(0x15b9)][_0x5837f9(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x1b48ec[_0x5837f9(0x1002)]=_0x56f3f6[_0x5837f9(0x2414)]()+_0x5837f9(0xb0e)+_0x56f3f6[_0x5837f9(0x148e)](),_0x1b48ec['ivrCampaign']=_0x338d6d||_0x5aaa49['params'][_0x5837f9(0x958)]||{},_0x1b48ec['userProfileSection']=_0x3a990b&&_0x3a990b[_0x5837f9(0x51c)]==0x1?_0x3a990b['rows'][0x0]:null,_0x1b48ec[_0x5837f9(0x2514)]=_0x2fdb42['parseCrudPermissions'](_0x1b48ec[_0x5837f9(0x1366)]?_0x1b48ec[_0x5837f9(0x1366)][_0x5837f9(0x2514)]:null),_0x1b48ec['hasModulePermissions']={},_0x1b48ec['selectedTab']=_0x5aaa49[_0x5837f9(0x16a)][_0x5837f9(0x13a3)]||0x0,_0x1b48ec['clonedialog']=_0x42d781,_0x1b48ec['listadddialog']=_0x2d66be,_0x1b48ec['blacklistadddialog']=_0x5e2ac0,_0x1b48ec[_0x5837f9(0x6a9)]=_0x55ea72,_0x1b48ec[_0x5837f9(0x3a9)]=_0x2a8208,_0x1b48ec[_0x5837f9(0x861)]=_0x362e03[_0x5837f9(0x271e)],_0x1b48ec[_0x5837f9(0x1cf9)]=_0x10d639,_0x1b48ec[_0x5837f9(0x1093)]=_0x50b3ce,_0x2fdb42['hasRole'](_0x5837f9(0x174b))?_0x1124d1['trunk']['get']({'fields':'id,name','sort':_0x5837f9(0x19eb)})[_0x5837f9(0x2945)][_0x5837f9(0x146b)](function(_0x4c03a9){const _0x52ce46=_0x5837f9;_0x1b48ec[_0x52ce46(0x2433)]=_0x4c03a9[_0x52ce46(0x19c7)]||[];})[_0x5837f9(0x129e)](function(_0x7ab894){const _0x25f77e=_0x5837f9;_0x362e03[_0x25f77e(0x1980)]({'title':_0x7ab894['status']?'API:'+_0x7ab894[_0x25f77e(0x107b)]+_0x25f77e(0x1315)+_0x7ab894['statusText']:_0x25f77e(0xfc0),'msg':_0x7ab894[_0x25f77e(0x524)]?JSON[_0x25f77e(0x10bb)](_0x7ab894['data']):_0x7ab894['toString']()});}):_0x1124d1[_0x5837f9(0x279f)][_0x5837f9(0x16b4)]({'fields':_0x5837f9(0x7a7),'sort':'name'})[_0x5837f9(0x2945)][_0x5837f9(0x146b)](function(_0x106f2c){const _0x3f8a0f=_0x5837f9;_0x1b48ec[_0x3f8a0f(0x2433)]=_0x106f2c[_0x3f8a0f(0x19c7)]||[];})[_0x5837f9(0x146b)](function(){const _0x28733c=_0x5837f9;return _0x1124d1['userProfileSection'][_0x28733c(0x16b4)]({'userProfileId':_0x1b48ec[_0x28733c(0x2321)][_0x28733c(0x209a)],'sectionId':0x3f3})[_0x28733c(0x2945)];})['then'](function(_0x5e8c82){const _0x4f17a3=_0x5837f9,_0x14f0fc=_0x5e8c82&&_0x5e8c82[_0x4f17a3(0x19c7)]?_0x5e8c82[_0x4f17a3(0x19c7)][0x0]:null;if(!_0x14f0fc)_0x1b48ec[_0x4f17a3(0x2433)]=[];else{if(!_0x14f0fc[_0x4f17a3(0x11d2)])return _0x1124d1[_0x4f17a3(0xdcc)][_0x4f17a3(0x16b4)]({'sectionId':_0x14f0fc['id']})['$promise']['then'](function(_0x112b4a){const _0xebca11=_0x4f17a3,_0x560f68=_0x3f65c0()[_0xebca11(0x205)](_0x112b4a[_0xebca11(0x19c7)],function(_0x2487b8){const _0x4ac72f=_0xebca11;return _0x3f65c0()[_0x4ac72f(0xc84)](_0x1b48ec['trunks'],{'id':_0x2487b8[_0x4ac72f(0x18b8)]});});_0x1b48ec[_0xebca11(0x2433)][_0xebca11(0x1df5)](function(_0x28bc06){const _0x3cd45f=_0xebca11;!_0x3f65c0()[_0x3cd45f(0x1360)](_0x560f68,['id',_0x28bc06['id']])&&(_0x28bc06[_0x3cd45f(0x8ff)]=![]),_0x560f68[_0x3cd45f(0x1f47)](_0x28bc06);}),_0x1b48ec[_0xebca11(0x2433)]=_0x560f68;});}})[_0x5837f9(0x129e)](function(_0x2dbf9){const _0x52f922=_0x5837f9;_0x362e03[_0x52f922(0x1980)]({'title':_0x2dbf9['status']?'API:'+_0x2dbf9[_0x52f922(0x107b)]+_0x52f922(0x1315)+_0x2dbf9[_0x52f922(0x167f)]:_0x52f922(0x2436),'msg':_0x2dbf9[_0x52f922(0x524)]?JSON[_0x52f922(0x10bb)](_0x2dbf9[_0x52f922(0x524)]):_0x2dbf9[_0x52f922(0xd5f)]()});}),_0x2fdb42['hasRole'](_0x5837f9(0x174b))?_0x1124d1[_0x5837f9(0x9b1)][_0x5837f9(0x16b4)]({'fields':_0x5837f9(0x7a7),'sort':_0x5837f9(0x19eb)})['$promise'][_0x5837f9(0x146b)](function(_0x27b0e3){const _0x5b6627=_0x5837f9;_0x1b48ec[_0x5b6627(0x1991)]=_0x27b0e3[_0x5b6627(0x19c7)]||[];})['catch'](function(_0x2c6aa7){const _0x49f619=_0x5837f9;_0x362e03[_0x49f619(0x1980)]({'title':_0x2c6aa7[_0x49f619(0x107b)]?_0x49f619(0x262a)+_0x2c6aa7[_0x49f619(0x107b)]+_0x49f619(0x1315)+_0x2c6aa7[_0x49f619(0x167f)]:_0x49f619(0x1764),'msg':_0x2c6aa7[_0x49f619(0x524)]?JSON[_0x49f619(0x10bb)](_0x2c6aa7[_0x49f619(0x524)]):_0x2c6aa7[_0x49f619(0xd5f)]()});}):_0x1124d1[_0x5837f9(0x9b1)][_0x5837f9(0x16b4)]({'fields':_0x5837f9(0x7a7),'sort':_0x5837f9(0x19eb)})[_0x5837f9(0x2945)][_0x5837f9(0x146b)](function(_0x56e5dd){const _0xe11910=_0x5837f9;_0x1b48ec[_0xe11910(0x1991)]=_0x56e5dd['rows']||[];})['then'](function(){const _0x558748=_0x5837f9;return _0x1124d1['userProfileSection'][_0x558748(0x16b4)]({'userProfileId':_0x1b48ec[_0x558748(0x2321)][_0x558748(0x209a)],'sectionId':0x44f})['$promise'];})['then'](function(_0x50e805){const _0x514273=_0x5837f9,_0x42eab9=_0x50e805&&_0x50e805[_0x514273(0x19c7)]?_0x50e805[_0x514273(0x19c7)][0x0]:null;if(!_0x42eab9){const _0x55db5a=[];let _0x205fb4=null;_0x1b48ec['ivrCampaign']&&(_0x205fb4=_0x3f65c0()[_0x514273(0xc84)](_0x1b48ec[_0x514273(0x1991)],{'id':Number(_0x1b48ec[_0x514273(0x958)][_0x514273(0x223c)])}));for(let _0x218c23=0x0;_0x218c23<_0x1b48ec[_0x514273(0x1991)][_0x514273(0x402)];_0x218c23++){_0x205fb4&&_0x1b48ec[_0x514273(0x1991)][_0x218c23]['id']===_0x205fb4['id']&&(_0x1b48ec[_0x514273(0x1991)][_0x218c23][_0x514273(0x8ff)]=![],_0x55db5a[_0x514273(0x1f47)](_0x1b48ec['projects'][_0x218c23]));}_0x1b48ec[_0x514273(0x1991)]=_0x55db5a;}else{if(!_0x42eab9['autoAssociation'])return _0x1124d1[_0x514273(0xdcc)][_0x514273(0x16b4)]({'sectionId':_0x42eab9['id']})['$promise']['then'](function(_0x218fc0){const _0x2c17d2=_0x514273,_0x5b0092=_0x3f65c0()[_0x2c17d2(0x205)](_0x218fc0['rows'],function(_0x4d913c){const _0x4f6bd1=_0x2c17d2;return _0x3f65c0()[_0x4f6bd1(0xc84)](_0x1b48ec[_0x4f6bd1(0x1991)],{'id':_0x4d913c[_0x4f6bd1(0x18b8)]});});let _0x14c965=null;_0x1b48ec[_0x2c17d2(0x958)]&&(_0x14c965=_0x3f65c0()[_0x2c17d2(0xc84)](_0x1b48ec[_0x2c17d2(0x1991)],{'id':Number(_0x1b48ec[_0x2c17d2(0x958)][_0x2c17d2(0x223c)])}));if(_0x14c965&&!_0x3f65c0()[_0x2c17d2(0x1360)](_0x5b0092,['id',_0x14c965['id']])){const _0x2e08f4=_0x3f65c0()[_0x2c17d2(0xc84)](_0x1b48ec[_0x2c17d2(0x1991)],{'id':_0x14c965['id']});_0x2e08f4['canSelect']=![],_0x5b0092[_0x2c17d2(0x1f47)](_0x2e08f4);}_0x1b48ec[_0x2c17d2(0x1991)]=_0x5b0092;});}})['catch'](function(_0x48c260){const _0x51fa0b=_0x5837f9;_0x362e03['error']({'title':_0x48c260[_0x51fa0b(0x107b)]?_0x51fa0b(0x262a)+_0x48c260[_0x51fa0b(0x107b)]+'\x20-\x20'+_0x48c260['statusText']:_0x51fa0b(0x193b),'msg':_0x48c260[_0x51fa0b(0x524)]?JSON[_0x51fa0b(0x10bb)](_0x48c260[_0x51fa0b(0x524)]):_0x48c260[_0x51fa0b(0xd5f)]()});}),_0x2fdb42[_0x5837f9(0x23e0)](_0x5837f9(0x174b))?_0x1124d1['interval'][_0x5837f9(0x16b4)]({'fields':_0x5837f9(0x7a7),'sort':_0x5837f9(0x19eb),'IntervalId':_0x5837f9(0x203c)})[_0x5837f9(0x2945)][_0x5837f9(0x146b)](function(_0x575b28){const _0x40cb1f=_0x5837f9;_0x1b48ec[_0x40cb1f(0x2765)]=_0x575b28[_0x40cb1f(0x19c7)]||[];})[_0x5837f9(0x129e)](function(_0x11561c){const _0x318313=_0x5837f9;_0x362e03['error']({'title':_0x11561c[_0x318313(0x107b)]?'API:'+_0x11561c['status']+_0x318313(0x1315)+_0x11561c[_0x318313(0x167f)]:'SYSTEM:GET_INTERVAL','msg':_0x11561c['data']?JSON[_0x318313(0x10bb)](_0x11561c[_0x318313(0x524)]):_0x11561c['toString']()});}):_0x1124d1[_0x5837f9(0x2765)][_0x5837f9(0x16b4)]({'fields':_0x5837f9(0x7a7),'sort':_0x5837f9(0x19eb),'IntervalId':_0x5837f9(0x203c)})['$promise'][_0x5837f9(0x146b)](function(_0x255e46){const _0x4b8e02=_0x5837f9;_0x1b48ec[_0x4b8e02(0x2765)]=_0x255e46[_0x4b8e02(0x19c7)]||[];})[_0x5837f9(0x146b)](function(){const _0x10d59f=_0x5837f9;return _0x1124d1['userProfileSection'][_0x10d59f(0x16b4)]({'userProfileId':_0x1b48ec['currentUser'][_0x10d59f(0x209a)],'sectionId':0x3ec})[_0x10d59f(0x2945)];})[_0x5837f9(0x146b)](function(_0x17c300){const _0x2496f2=_0x5837f9,_0x3c55b0=_0x17c300&&_0x17c300[_0x2496f2(0x19c7)]?_0x17c300[_0x2496f2(0x19c7)][0x0]:null;if(!_0x3c55b0){const _0x4602a8=[];let _0x1f5d4f=null;_0x1b48ec[_0x2496f2(0x958)]&&(_0x1f5d4f=_0x3f65c0()[_0x2496f2(0xc84)](_0x1b48ec[_0x2496f2(0x2765)],{'id':Number(_0x1b48ec[_0x2496f2(0x958)][_0x2496f2(0x1ff2)])}));for(let _0xe86356=0x0;_0xe86356<_0x1b48ec['interval'][_0x2496f2(0x402)];_0xe86356++){_0x1f5d4f&&_0x1b48ec[_0x2496f2(0x2765)][_0xe86356]['id']===_0x1f5d4f['id']&&(_0x1b48ec[_0x2496f2(0x2765)][_0xe86356][_0x2496f2(0x8ff)]=![],_0x4602a8[_0x2496f2(0x1f47)](_0x1b48ec[_0x2496f2(0x2765)][_0xe86356]));}_0x1b48ec[_0x2496f2(0x2765)]=_0x4602a8;}else{if(!_0x3c55b0[_0x2496f2(0x11d2)])return _0x1124d1[_0x2496f2(0xdcc)]['get']({'sectionId':_0x3c55b0['id']})[_0x2496f2(0x2945)]['then'](function(_0x27babf){const _0x41101a=_0x2496f2,_0x380eb7=_0x3f65c0()[_0x41101a(0x205)](_0x27babf[_0x41101a(0x19c7)],function(_0xcc8ad6){const _0x608cca=_0x41101a;return _0x3f65c0()[_0x608cca(0xc84)](_0x1b48ec[_0x608cca(0x2765)],{'id':_0xcc8ad6['resourceId']});});let _0x51046a=null;_0x1b48ec[_0x41101a(0x958)]&&(_0x51046a=_0x3f65c0()[_0x41101a(0xc84)](_0x1b48ec[_0x41101a(0x2765)],{'id':Number(_0x1b48ec[_0x41101a(0x958)][_0x41101a(0x1ff2)])}));if(_0x51046a&&!_0x3f65c0()[_0x41101a(0x1360)](_0x380eb7,['id',_0x51046a['id']])){const _0xdc4d8=_0x3f65c0()['find'](_0x1b48ec[_0x41101a(0x2765)],{'id':_0x51046a['id']});_0xdc4d8[_0x41101a(0x8ff)]=![],_0x380eb7[_0x41101a(0x1f47)](_0xdc4d8);}_0x1b48ec['interval']=_0x380eb7;});}})[_0x5837f9(0x129e)](function(_0x5d683a){const _0x5b13d8=_0x5837f9;_0x362e03['error']({'title':_0x5d683a[_0x5b13d8(0x107b)]?'API:'+_0x5d683a[_0x5b13d8(0x107b)]+_0x5b13d8(0x1315)+_0x5d683a[_0x5b13d8(0x167f)]:_0x5b13d8(0x8b6),'msg':_0x5d683a[_0x5b13d8(0x524)]?JSON['stringify'](_0x5d683a[_0x5b13d8(0x524)]):_0x5d683a[_0x5b13d8(0xd5f)]()});});function _0x42d781(_0x50dbfa,_0x3edb16){const _0x3a1b90=_0x5837f9;_0x1c13b7[_0x3a1b90(0x2615)]({'controller':_0x3a1b90(0x2724),'controllerAs':'vm','templateUrl':_0x4c9ed3,'parent':angular['element'](_0x59534f['body']),'targetEvent':_0x3edb16,'clickOutsideToClose':!![],'locals':{'ivrCampaign':_0x50dbfa,'ivrCampaigns':_0x1b48ec[_0x3a1b90(0x13f8)]?_0x1b48ec['ivrCampaigns'][_0x3a1b90(0x19c7)]:[],'crudPermissions':_0x1b48ec[_0x3a1b90(0x2514)]}});}function _0x2d66be(_0x2718c1,_0x30aead){const _0x582c96=_0x5837f9;_0x1c13b7['show']({'controller':_0x582c96(0x222d),'controllerAs':'vm','templateUrl':_0xd68527,'parent':angular[_0x582c96(0x1853)](_0x59534f[_0x582c96(0x2586)]),'targetEvent':_0x30aead,'clickOutsideToClose':!![],'locals':{'ivrCampaign':_0x2718c1,'ivrCampaigns':_0x1b48ec[_0x582c96(0x13f8)]?_0x1b48ec[_0x582c96(0x13f8)][_0x582c96(0x19c7)]:[],'crudPermissions':_0x1b48ec[_0x582c96(0x2514)]}});}function _0x5e2ac0(_0x406f89,_0x3f6f40){const _0x40669e=_0x5837f9;_0x1c13b7['show']({'controller':_0x40669e(0x22de),'controllerAs':'vm','templateUrl':_0x52912f,'parent':angular['element'](_0x59534f[_0x40669e(0x2586)]),'targetEvent':_0x3f6f40,'clickOutsideToClose':!![],'locals':{'ivrCampaign':_0x406f89,'ivrCampaigns':_0x1b48ec[_0x40669e(0x13f8)]?_0x1b48ec[_0x40669e(0x13f8)][_0x40669e(0x19c7)]:[],'crudPermissions':_0x1b48ec[_0x40669e(0x2514)]}});}function _0x11ae27(_0x174756,_0x5171eb){const _0x50e1f0=_0x5837f9,_0x2a0ef7=_0x5171eb['id'];_0x1124d1[_0x50e1f0(0x8dc)][_0x50e1f0(0x1def)]({'id':_0x174756,'ids':_0x2a0ef7})['$promise']['then'](function(){const _0x3c20e4=_0x50e1f0;return _0x1124d1[_0x3c20e4(0x8dc)][_0x3c20e4(0x2352)]({'id':_0x174756,'ids':_0x2a0ef7,'dialCheckDuplicateType':'never'})[_0x3c20e4(0x2945)];})[_0x50e1f0(0x146b)](function(){const _0x260734=_0x50e1f0;_0x362e03[_0x260734(0x1c75)]({'title':_0x260734(0x273d),'msg':_0x260734(0x18aa)+_0x5171eb[_0x260734(0x19eb)]+_0x260734(0x1c44)});})[_0x50e1f0(0x129e)](function(_0x420bbe){const _0x2791c5=_0x50e1f0;_0x362e03[_0x2791c5(0x1980)]({'title':_0x420bbe[_0x2791c5(0x107b)]?_0x2791c5(0x262a)+_0x420bbe[_0x2791c5(0x107b)]+_0x2791c5(0x1315)+_0x420bbe[_0x2791c5(0x167f)]:_0x2791c5(0x2095),'msg':_0x420bbe['status']?JSON[_0x2791c5(0x10bb)](_0x420bbe['data']):_0x420bbe[_0x2791c5(0xd5f)]()});});}function _0x55ea72(_0x1ec545,_0x533a52){const _0x4aab14=_0x5837f9;_0x1124d1['campaign'][_0x4aab14(0x233d)]({'id':_0x1ec545['id'],'fields':_0x4aab14(0x7a7),'nolimit':!![]})['$promise'][_0x4aab14(0x146b)](function(_0x275106){const _0x565b8d=_0x4aab14;if(_0x3f65c0()[_0x565b8d(0x1b36)](_0x275106['rows'])||_0x3f65c0()[_0x565b8d(0x2635)](_0x275106[_0x565b8d(0x19c7)]))_0x362e03[_0x565b8d(0x271e)]({'title':'Campaign\x20Without\x20Lists'});else{if(_0x275106['rows'][_0x565b8d(0x402)]===0x1){const _0x23dfbf=_0x1c13b7[_0x565b8d(0x1e8a)]()[_0x565b8d(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20reset\x20the\x20list\x20'+_0x275106[_0x565b8d(0x19c7)][0x0]['name']+'\x20?')[_0x565b8d(0x1cbe)](_0x565b8d(0x16d3)+_0x275106[_0x565b8d(0x19c7)][0x0]['name']+_0x565b8d(0x252f)+'\x20will\x20be\x20reset.')[_0x565b8d(0x4bd)](_0x565b8d(0x2276))[_0x565b8d(0x1f27)](_0x533a52)['ok']('OK')[_0x565b8d(0x6c3)]('CANCEL');_0x1c13b7['show'](_0x23dfbf)['then'](function(){const _0x277ad4=_0x565b8d;_0x11ae27(_0x1b48ec[_0x277ad4(0x958)]['id'],_0x275106[_0x277ad4(0x19c7)][0x0]);});}else _0x1c13b7[_0x565b8d(0x2615)]({'controller':_0x565b8d(0x18d1),'controllerAs':'vm','templateUrl':_0x4f89f2,'parent':angular[_0x565b8d(0x1853)](_0x59534f[_0x565b8d(0x2586)]),'targetEvent':_0x533a52,'clickOutsideToClose':![],'locals':{'ivrCampaign':_0x1ec545,'listsCampaign':_0x275106?_0x275106[_0x565b8d(0x19c7)]:[]}});}})[_0x4aab14(0x129e)](function(_0x4c1bd7){const _0x13e083=_0x4aab14;_0x362e03['error']({'title':_0x4c1bd7['status']?_0x13e083(0x262a)+_0x4c1bd7[_0x13e083(0x107b)]+_0x13e083(0x1315)+_0x4c1bd7['statusText']:'SYSTEM:RESETLIST','msg':_0x4c1bd7['status']?JSON['stringify'](_0x4c1bd7[_0x13e083(0x524)]):_0x4c1bd7['toString']()});});}function _0x2a8208(){const _0x648c95=_0x5837f9;if(_0x2fdb42[_0x648c95(0x23e0)]('admin'))_0x5aaa49['go'](_0x648c95(0x22cb),{});else return _0x1124d1['userProfileSection'][_0x648c95(0x16b4)]({'userProfileId':_0x2fdb42[_0x648c95(0xb12)]()[_0x648c95(0x209a)],'sectionId':0x5e6})['$promise']['then'](function(_0x26303b){const _0x196033=_0x648c95,_0x57dc0e=_0x26303b&&_0x26303b['rows']?_0x26303b[_0x196033(0x19c7)][0x0]:null;_0x57dc0e&&_0x57dc0e[_0x196033(0x193e)]?_0x5aaa49['go'](_0x196033(0x22cb),{}):_0x362e03[_0x196033(0x271e)]({'title':_0x32a8d4[_0x196033(0xde)](_0x196033(0xb27)),'msg':_0x32a8d4[_0x196033(0xde)](_0x196033(0x174a))});})[_0x648c95(0x129e)](function(_0x575f7d){const _0x3febdb=_0x648c95;_0x362e03[_0x3febdb(0x1980)]({'title':_0x575f7d[_0x3febdb(0x107b)]?_0x3febdb(0x262a)+_0x575f7d['status']+_0x3febdb(0x1315)+_0x575f7d[_0x3febdb(0x167f)]:_0x3febdb(0x698),'msg':_0x575f7d['status']?JSON['stringify'](_0x575f7d[_0x3febdb(0x524)]):_0x575f7d[_0x3febdb(0xd5f)]()});});}function _0x10d639(){const _0xd2eada=_0x5837f9;_0x5aaa49['go']('app.motiondialer.ivrCampaigns',{},{'reload':_0xd2eada(0x21b0)});}function _0x50b3ce(){const _0x239812=_0x5837f9;_0x1124d1[_0x239812(0x8dc)][_0x239812(0x18e1)]({'id':_0x1b48ec[_0x239812(0x958)]['id']},_0x1b48ec['ivrCampaign'])['$promise'][_0x239812(0x146b)](function(){const _0xfc7a50=_0x239812;_0x362e03[_0xfc7a50(0x1c75)]({'title':_0xfc7a50(0xeb1),'msg':_0x1b48ec[_0xfc7a50(0x958)][_0xfc7a50(0x19eb)]?_0x1b48ec[_0xfc7a50(0x958)][_0xfc7a50(0x19eb)]+'\x20has\x20been\x20updated!':''});})[_0x239812(0x129e)](function(_0x5020a8){const _0x1c4f92=_0x239812;_0x362e03[_0x1c4f92(0x1980)]({'title':_0x5020a8[_0x1c4f92(0x107b)]?_0x1c4f92(0x262a)+_0x5020a8[_0x1c4f92(0x107b)]+_0x1c4f92(0x1315)+_0x5020a8['statusText']:_0x1c4f92(0x17e9),'msg':_0x5020a8['data']?JSON[_0x1c4f92(0x10bb)](_0x5020a8['data']):_0x5020a8[_0x1c4f92(0xd5f)]()});});}}const _0x11b9fc=_0x5c7954;;_0x51ae18[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x1aa9),'$state','$mdSidenav',_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0x214b),_0x313a4d(0x13f8),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),'Auth',_0x313a4d(0x2690),'setting'];function _0x51ae18(_0x1fd3f5,_0x11aec3,_0x54ab2c,_0x2476eb,_0x73e6ab,_0x4e9063,_0x21ca60,_0xbba65a,_0x124f5a,_0x314175,_0x4d6252,_0x5cac6f,_0x3e0506,_0x36bdbf,_0xa7b0c9,_0x38e382,_0x17b9bc){const _0x532a1e=_0x313a4d,_0x259ae4=this;_0x259ae4[_0x532a1e(0x2690)]=_0x38e382,_0x259ae4[_0x532a1e(0x15b9)]=_0x17b9bc,_0x259ae4[_0x532a1e(0x2321)]=_0xa7b0c9['getCurrentUser'](),_0x259ae4[_0x532a1e(0x13f8)]=_0x124f5a||{'count':0x0,'rows':[]},_0x259ae4[_0x532a1e(0x26b6)]=_0x314175,_0x259ae4['userProfileSection']=_0x4d6252&&_0x4d6252[_0x532a1e(0x51c)]==0x1?_0x4d6252[_0x532a1e(0x19c7)][0x0]:null,_0x259ae4['crudPermissions']=_0xa7b0c9[_0x532a1e(0xe60)](_0x259ae4[_0x532a1e(0x1366)]?_0x259ae4[_0x532a1e(0x1366)][_0x532a1e(0x2514)]:null),_0x259ae4[_0x532a1e(0x768)]=_0x532a1e(0x13f8),_0x259ae4['listOrder']='',_0x259ae4[_0x532a1e(0x214f)]=null,_0x259ae4[_0x532a1e(0xfab)]=[],_0x259ae4[_0x532a1e(0x1a56)]={'fields':_0x532a1e(0x1870),'type':_0x532a1e(0x1f0),'sort':_0x532a1e(0x12f2),'limit':0xa,'page':0x1},_0x259ae4[_0x532a1e(0x265)]=_0x3f65c0()[_0x532a1e(0x194)]([{'option':'ALWAYS','value':_0x532a1e(0x220a)},{'option':'NEVER','value':_0x532a1e(0xc7b)},{'option':'ONLY_IF_OPEN','value':_0x532a1e(0x6c8)}],function(_0x430e26){const _0x16135f=_0x532a1e;return _0x3f65c0()[_0x16135f(0x5f4)](_0x430e26[_0x16135f(0x175d)],new RegExp('\x27','g'),'');}),_0x259ae4['arraydialOrderByScheduledAt']=_0x3f65c0()['keyBy']([{'option':'DESC','value':_0x532a1e(0x191)},{'option':_0x532a1e(0x1734),'value':_0x532a1e(0x3b8)}],function(_0x4ec45d){const _0x28deb5=_0x532a1e;return _0x3f65c0()['replace'](_0x4ec45d[_0x28deb5(0x175d)],new RegExp('\x27','g'),'');}),_0x259ae4[_0x532a1e(0x23f9)]=_0xdfbd35,_0x259ae4[_0x532a1e(0xa26)]=_0x132300,_0x259ae4[_0x532a1e(0xa4a)]=_0x283867,_0x259ae4[_0x532a1e(0x1f45)]=_0x44a248,_0x259ae4[_0x532a1e(0xf0a)]=_0x3993ff,_0x259ae4[_0x532a1e(0x138d)]=_0xc70a9d,_0x259ae4['success']=_0x34fa7e,_0x259ae4[_0x532a1e(0xeee)]=_0x18b6b5,_0x259ae4[_0x532a1e(0x17e2)]=_0x5d82f5,_0x259ae4[_0x532a1e(0x3b4)]=_0x283cd0,_0x259ae4[_0x532a1e(0x1b7e)]=_0x4bf125,_0x259ae4['deleteSelectedIvrCampaigns']=_0x523adf,_0x259ae4[_0x532a1e(0x550)]=_0x49cf7f,_0x259ae4[_0x532a1e(0xf8f)]=_0x2cc6c4,_0xa7b0c9[_0x532a1e(0x23e0)](_0x532a1e(0x174b))?_0x5cac6f[_0x532a1e(0x279f)][_0x532a1e(0x16b4)]({'fields':'id,name','sort':_0x532a1e(0x19eb),'table':_0x532a1e(0x1185)})[_0x532a1e(0x2945)]['then'](function(_0x25d6c5){const _0x5f2d5a=_0x532a1e;_0x259ae4[_0x5f2d5a(0x2433)]=_0x25d6c5[_0x5f2d5a(0x19c7)]||[];})['catch'](function(_0x3ce3a3){const _0x16ede1=_0x532a1e;_0x36bdbf[_0x16ede1(0x1980)]({'title':_0x3ce3a3[_0x16ede1(0x107b)]?_0x16ede1(0x262a)+_0x3ce3a3['status']+_0x16ede1(0x1315)+_0x3ce3a3[_0x16ede1(0x167f)]:_0x16ede1(0xfc0),'msg':_0x3ce3a3[_0x16ede1(0x524)]?JSON[_0x16ede1(0x10bb)](_0x3ce3a3['data']):_0x3ce3a3[_0x16ede1(0xd5f)]()});}):_0x5cac6f['trunk']['get']({'fields':'id,name','sort':_0x532a1e(0x19eb),'table':_0x532a1e(0x1185)})[_0x532a1e(0x2945)][_0x532a1e(0x146b)](function(_0x359d58){const _0x50a983=_0x532a1e;_0x259ae4[_0x50a983(0x2433)]=_0x359d58[_0x50a983(0x19c7)]||[];})[_0x532a1e(0x146b)](function(){const _0x3226d9=_0x532a1e;return _0x5cac6f[_0x3226d9(0x1366)]['get']({'userProfileId':_0x259ae4[_0x3226d9(0x2321)][_0x3226d9(0x209a)],'sectionId':0x3f3})['$promise'];})[_0x532a1e(0x146b)](function(_0x1b6bd2){const _0x36fc60=_0x532a1e,_0xcfd5c2=_0x1b6bd2&&_0x1b6bd2[_0x36fc60(0x19c7)]?_0x1b6bd2['rows'][0x0]:null;if(!_0xcfd5c2)_0x259ae4[_0x36fc60(0x2433)]=[];else{if(!_0xcfd5c2['autoAssociation'])return _0x5cac6f[_0x36fc60(0xdcc)][_0x36fc60(0x16b4)]({'sectionId':_0xcfd5c2['id']})[_0x36fc60(0x2945)]['then'](function(_0x4b00d1){const _0x100994=_0x36fc60,_0x1bfc8e=_0x3f65c0()[_0x100994(0x205)](_0x4b00d1['rows'],function(_0x3bfe90){const _0x5c8129=_0x100994;return _0x3f65c0()['find'](_0x259ae4['trunks'],{'id':_0x3bfe90[_0x5c8129(0x18b8)]});});_0x259ae4[_0x100994(0x2433)][_0x100994(0x1df5)](function(_0x46357c){const _0xcb85e8=_0x100994;!_0x3f65c0()[_0xcb85e8(0x1360)](_0x1bfc8e,['id',_0x46357c['id']])&&(_0x46357c['canSelect']=![]),_0x1bfc8e[_0xcb85e8(0x1f47)](_0x46357c);}),_0x259ae4[_0x100994(0x2433)]=_0x1bfc8e;});}})[_0x532a1e(0x129e)](function(_0x142c0){const _0x486157=_0x532a1e;_0x36bdbf[_0x486157(0x1980)]({'title':_0x142c0[_0x486157(0x107b)]?_0x486157(0x262a)+_0x142c0[_0x486157(0x107b)]+'\x20-\x20'+_0x142c0[_0x486157(0x167f)]:_0x486157(0x2436),'msg':_0x142c0[_0x486157(0x524)]?JSON[_0x486157(0x10bb)](_0x142c0['data']):_0x142c0['toString']()});}),_0xa7b0c9['hasRole'](_0x532a1e(0x174b))?_0x5cac6f[_0x532a1e(0x9b1)][_0x532a1e(0x16b4)]({'fields':_0x532a1e(0x7a7),'sort':_0x532a1e(0x19eb)})['$promise'][_0x532a1e(0x146b)](function(_0xbdec1b){const _0xb91134=_0x532a1e;_0x259ae4[_0xb91134(0x1991)]=_0xbdec1b[_0xb91134(0x19c7)]||[];})[_0x532a1e(0x129e)](function(_0xeaedd9){const _0x4733a2=_0x532a1e;_0x36bdbf[_0x4733a2(0x1980)]({'title':_0xeaedd9[_0x4733a2(0x107b)]?_0x4733a2(0x262a)+_0xeaedd9['status']+_0x4733a2(0x1315)+_0xeaedd9[_0x4733a2(0x167f)]:_0x4733a2(0x1764),'msg':_0xeaedd9['data']?JSON['stringify'](_0xeaedd9[_0x4733a2(0x524)]):_0xeaedd9[_0x4733a2(0xd5f)]()});}):_0x5cac6f['squareProject'][_0x532a1e(0x16b4)]({'fields':'id,name','sort':_0x532a1e(0x19eb)})['$promise']['then'](function(_0x311fb8){const _0x196555=_0x532a1e;_0x259ae4['projects']=_0x311fb8[_0x196555(0x19c7)]||[];})[_0x532a1e(0x146b)](function(){const _0x3c4cca=_0x532a1e;return _0x5cac6f[_0x3c4cca(0x1366)][_0x3c4cca(0x16b4)]({'userProfileId':_0x259ae4[_0x3c4cca(0x2321)][_0x3c4cca(0x209a)],'sectionId':0x44f})[_0x3c4cca(0x2945)];})[_0x532a1e(0x146b)](function(_0x3609ab){const _0x606e84=_0x532a1e,_0xd618ad=_0x3609ab&&_0x3609ab['rows']?_0x3609ab[_0x606e84(0x19c7)][0x0]:null;if(!_0xd618ad){const _0x56c824=[],_0x4bba4f=[];_0x259ae4[_0x606e84(0x13f8)][_0x606e84(0x19c7)][_0x606e84(0x1df5)](function(_0x1cc2e5){const _0x5f4ea1=_0x606e84,_0x4323b0=_0x3f65c0()[_0x5f4ea1(0xc84)](_0x259ae4[_0x5f4ea1(0x1991)],{'id':Number(_0x1cc2e5[_0x5f4ea1(0x223c)])});_0x4bba4f['push'](_0x4323b0);});for(let _0x47f871=0x0;_0x47f871<_0x259ae4[_0x606e84(0x1991)][_0x606e84(0x402)];_0x47f871++){const _0x818e5=_0x3f65c0()[_0x606e84(0x1360)](_0x4bba4f,{'id':_0x259ae4['projects'][_0x47f871]['id']});_0x818e5&&(_0x259ae4[_0x606e84(0x1991)][_0x47f871][_0x606e84(0x8ff)]=![],_0x56c824[_0x606e84(0x1f47)](_0x259ae4['projects'][_0x47f871]));}_0x259ae4['projects']=_0x56c824;}else{if(!_0xd618ad[_0x606e84(0x11d2)])return _0x5cac6f[_0x606e84(0xdcc)]['get']({'sectionId':_0xd618ad['id']})[_0x606e84(0x2945)]['then'](function(_0x43185b){const _0x151c54=_0x606e84,_0x5772ca=_0x3f65c0()[_0x151c54(0x205)](_0x43185b['rows'],function(_0x5768f0){return _0x3f65c0()['find'](_0x259ae4['projects'],{'id':_0x5768f0['resourceId']});});let _0x431251=null;_0x431251=[],_0x259ae4[_0x151c54(0x13f8)][_0x151c54(0x19c7)][_0x151c54(0x1df5)](function(_0x3d78a0){const _0xfeb34d=_0x151c54,_0xbbebd=_0x3f65c0()[_0xfeb34d(0xc84)](_0x259ae4[_0xfeb34d(0x1991)],{'id':Number(_0x3d78a0[_0xfeb34d(0x223c)])});_0x431251[_0xfeb34d(0x1f47)](_0xbbebd);}),!_0x3f65c0()[_0x151c54(0x2635)](_0x431251)&&_0x431251[_0x151c54(0x1df5)](function(_0x95eb7f){const _0x2a2caf=_0x151c54;if(!_0x3f65c0()['some'](_0x5772ca,['id',_0x95eb7f['id']])){const _0x9ef4dd=_0x3f65c0()[_0x2a2caf(0xc84)](_0x259ae4['projects'],{'id':_0x95eb7f['id']});_0x9ef4dd[_0x2a2caf(0x8ff)]=![],_0x5772ca[_0x2a2caf(0x1f47)](_0x9ef4dd);}}),_0x259ae4[_0x151c54(0x1991)]=_0x5772ca;});}})['catch'](function(_0x4e3f43){const _0x15ee82=_0x532a1e;_0x36bdbf['error']({'title':_0x4e3f43[_0x15ee82(0x107b)]?_0x15ee82(0x262a)+_0x4e3f43[_0x15ee82(0x107b)]+_0x15ee82(0x1315)+_0x4e3f43[_0x15ee82(0x167f)]:_0x15ee82(0x193b),'msg':_0x4e3f43[_0x15ee82(0x524)]?JSON[_0x15ee82(0x10bb)](_0x4e3f43[_0x15ee82(0x524)]):_0x4e3f43[_0x15ee82(0xd5f)]()});}),_0xa7b0c9['hasRole']('admin')?_0x5cac6f['interval']['get']({'fields':'id,name','sort':_0x532a1e(0x19eb),'IntervalId':'null'})[_0x532a1e(0x2945)]['then'](function(_0x128e69){_0x259ae4['interval']=_0x128e69['rows']||[];})[_0x532a1e(0x129e)](function(_0x3c64a5){const _0x3899f3=_0x532a1e;_0x36bdbf[_0x3899f3(0x1980)]({'title':_0x3c64a5[_0x3899f3(0x107b)]?_0x3899f3(0x262a)+_0x3c64a5['status']+_0x3899f3(0x1315)+_0x3c64a5[_0x3899f3(0x167f)]:_0x3899f3(0x12c5),'msg':_0x3c64a5[_0x3899f3(0x524)]?JSON[_0x3899f3(0x10bb)](_0x3c64a5['data']):_0x3c64a5['toString']()});}):_0x5cac6f[_0x532a1e(0x2765)][_0x532a1e(0x16b4)]({'fields':_0x532a1e(0x7a7),'sort':'name','IntervalId':'null'})[_0x532a1e(0x2945)]['then'](function(_0x34d953){const _0x23ad6d=_0x532a1e;_0x259ae4['interval']=_0x34d953[_0x23ad6d(0x19c7)]||[];})[_0x532a1e(0x146b)](function(){const _0x2999ae=_0x532a1e;return _0x5cac6f[_0x2999ae(0x1366)][_0x2999ae(0x16b4)]({'userProfileId':_0x259ae4[_0x2999ae(0x2321)]['userProfileId'],'sectionId':0x3ec})[_0x2999ae(0x2945)];})['then'](function(_0x3fa5fa){const _0x3b3081=_0x532a1e,_0x3b2b15=_0x3fa5fa&&_0x3fa5fa[_0x3b3081(0x19c7)]?_0x3fa5fa[_0x3b3081(0x19c7)][0x0]:null;if(!_0x3b2b15){const _0x1326e7=[];let _0x49423b=null;_0x259ae4[_0x3b3081(0x958)]&&(_0x49423b=_0x3f65c0()[_0x3b3081(0xc84)](_0x259ae4[_0x3b3081(0x2765)],{'id':Number(_0x259ae4[_0x3b3081(0x958)][_0x3b3081(0x1ff2)])}));for(let _0x4d5817=0x0;_0x4d5817<_0x259ae4[_0x3b3081(0x2765)][_0x3b3081(0x402)];_0x4d5817++){_0x49423b&&_0x259ae4['interval'][_0x4d5817]['id']===_0x49423b['id']&&(_0x259ae4[_0x3b3081(0x2765)][_0x4d5817][_0x3b3081(0x8ff)]=![],_0x1326e7[_0x3b3081(0x1f47)](_0x259ae4[_0x3b3081(0x2765)][_0x4d5817]));}_0x259ae4[_0x3b3081(0x2765)]=_0x1326e7;}else{if(!_0x3b2b15[_0x3b3081(0x11d2)])return _0x5cac6f[_0x3b3081(0xdcc)][_0x3b3081(0x16b4)]({'sectionId':_0x3b2b15['id']})['$promise'][_0x3b3081(0x146b)](function(_0x49301b){const _0x4506d0=_0x3b3081,_0x189bd1=_0x3f65c0()[_0x4506d0(0x205)](_0x49301b[_0x4506d0(0x19c7)],function(_0x58f38b){const _0x4bd687=_0x4506d0;return _0x3f65c0()[_0x4bd687(0xc84)](_0x259ae4[_0x4bd687(0x2765)],{'id':_0x58f38b[_0x4bd687(0x18b8)]});});let _0x10d86b=null;_0x259ae4[_0x4506d0(0x958)]&&(_0x10d86b=_0x3f65c0()[_0x4506d0(0xc84)](_0x259ae4['interval'],{'id':Number(_0x259ae4[_0x4506d0(0x958)][_0x4506d0(0x1ff2)])}));if(_0x10d86b&&!_0x3f65c0()[_0x4506d0(0x1360)](_0x189bd1,['id',_0x10d86b['id']])){const _0x3ed2e2=_0x3f65c0()['find'](_0x259ae4[_0x4506d0(0x2765)],{'id':_0x10d86b['id']});_0x3ed2e2['canSelect']=![],_0x189bd1[_0x4506d0(0x1f47)](_0x3ed2e2);}_0x259ae4[_0x4506d0(0x2765)]=_0x189bd1;});}})[_0x532a1e(0x129e)](function(_0x631c9c){const _0x1c827f=_0x532a1e;_0x36bdbf[_0x1c827f(0x1980)]({'title':_0x631c9c['status']?_0x1c827f(0x262a)+_0x631c9c[_0x1c827f(0x107b)]+_0x1c827f(0x1315)+_0x631c9c['statusText']:_0x1c827f(0x8b6),'msg':_0x631c9c[_0x1c827f(0x524)]?JSON[_0x1c827f(0x10bb)](_0x631c9c['data']):_0x631c9c[_0x1c827f(0xd5f)]()});});function _0xdfbd35(_0x207b05){const _0x5864ee=_0x532a1e;_0x54ab2c['go']('app.motiondialer.ivrCampaigns.edit',{'id':_0x207b05['id'],'ivrCampaign':_0x207b05,'crudPermissions':_0x259ae4[_0x5864ee(0x2514)]});}function _0x132300(_0x478df6,_0x331e38){const _0x25e1a1=_0x532a1e;_0x73e6ab[_0x25e1a1(0x2615)]({'controller':_0x25e1a1(0x2724),'controllerAs':'vm','templateUrl':_0x4c9ed3,'parent':angular['element'](_0x4e9063[_0x25e1a1(0x2586)]),'targetEvent':_0x331e38,'clickOutsideToClose':!![],'locals':{'ivrCampaign':_0x478df6,'ivrCampaigns':_0x259ae4[_0x25e1a1(0x13f8)]?_0x259ae4[_0x25e1a1(0x13f8)][_0x25e1a1(0x19c7)]:[],'crudPermissions':_0x259ae4[_0x25e1a1(0x2514)]}});}function _0x283867(_0x2c331d,_0x117b4a){const _0x59de37=_0x532a1e;_0x73e6ab[_0x59de37(0x2615)]({'controller':_0x59de37(0x222d),'controllerAs':'vm','templateUrl':_0xd68527,'parent':angular[_0x59de37(0x1853)](_0x4e9063['body']),'targetEvent':_0x117b4a,'clickOutsideToClose':!![],'locals':{'ivrCampaign':_0x2c331d,'ivrCampaigns':_0x259ae4[_0x59de37(0x13f8)]?_0x259ae4['ivrCampaigns']['rows']:[],'crudPermissions':_0x259ae4[_0x59de37(0x2514)]}});}function _0x44a248(_0x2e2dc3,_0x4bd0de){const _0x4ebc51=_0x532a1e;_0x73e6ab[_0x4ebc51(0x2615)]({'controller':_0x4ebc51(0x22de),'controllerAs':'vm','templateUrl':_0x52912f,'parent':angular[_0x4ebc51(0x1853)](_0x4e9063[_0x4ebc51(0x2586)]),'targetEvent':_0x4bd0de,'clickOutsideToClose':!![],'locals':{'ivrCampaign':_0x2e2dc3,'ivrCampaigns':_0x259ae4[_0x4ebc51(0x13f8)]?_0x259ae4[_0x4ebc51(0x13f8)][_0x4ebc51(0x19c7)]:[],'crudPermissions':_0x259ae4[_0x4ebc51(0x2514)]}});}function _0x3993ff(_0x124ce1,_0x14abfb){const _0x3f3823=_0x532a1e,_0x34282d=_0x73e6ab['confirm']()[_0x3f3823(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20'+_0x3f65c0()['startCase'](_0x3f3823(0x958))+'?')[_0x3f3823(0x1cbe)](_0x3f3823(0x16d3)+(_0x124ce1[_0x3f3823(0x19eb)]||_0x3f3823(0x958))+_0x3f3823(0x252f)+_0x3f3823(0xe01))[_0x3f3823(0x4bd)](_0x3f3823(0x1db3))['targetEvent'](_0x14abfb)['ok']('OK')[_0x3f3823(0x6c3)](_0x3f3823(0x39a));_0x73e6ab[_0x3f3823(0x2615)](_0x34282d)[_0x3f3823(0x146b)](function(){_0x283cd0(_0x124ce1);},function(){const _0xd60789=_0x3f3823;console[_0xd60789(0x1a74)](_0xd60789(0x39a));});}function _0xc70a9d(){const _0x29b60f=_0x532a1e;if(_0xa7b0c9['hasRole'](_0x29b60f(0x174b)))_0x54ab2c['go']('app.motiondialer.realtime.ivr_campaigns',{});else return _0x5cac6f['userProfileSection'][_0x29b60f(0x16b4)]({'userProfileId':_0xa7b0c9[_0x29b60f(0xb12)]()[_0x29b60f(0x209a)],'sectionId':0x5e6})[_0x29b60f(0x2945)][_0x29b60f(0x146b)](function(_0x4f75ca){const _0x27c6b5=_0x29b60f,_0x43ffe6=_0x4f75ca&&_0x4f75ca['rows']?_0x4f75ca[_0x27c6b5(0x19c7)][0x0]:null;_0x43ffe6&&_0x43ffe6['enabled']?_0x54ab2c['go'](_0x27c6b5(0x22cb),{}):_0x36bdbf[_0x27c6b5(0x271e)]({'title':_0xbba65a[_0x27c6b5(0xde)](_0x27c6b5(0xb27)),'msg':_0xbba65a[_0x27c6b5(0xde)](_0x27c6b5(0x174a))});})[_0x29b60f(0x129e)](function(_0x48150d){const _0x2348bb=_0x29b60f;_0x36bdbf[_0x2348bb(0x1980)]({'title':_0x48150d[_0x2348bb(0x107b)]?'API:'+_0x48150d['status']+_0x2348bb(0x1315)+_0x48150d[_0x2348bb(0x167f)]:'USERPROFILE:GET_SECTION','msg':_0x48150d[_0x2348bb(0x107b)]?JSON[_0x2348bb(0x10bb)](_0x48150d[_0x2348bb(0x524)]):_0x48150d['toString']()});});}let _0x139acb=!![],_0x5b461f=0x1;_0x1fd3f5['$watch'](_0x532a1e(0x2669),function(_0x24e953,_0x49ce84){const _0x2171e7=_0x532a1e;_0x139acb?_0x21ca60(function(){_0x139acb=![];}):(!_0x49ce84&&(_0x5b461f=_0x259ae4[_0x2171e7(0x1a56)]['page']),_0x24e953!==_0x49ce84&&(_0x259ae4[_0x2171e7(0x1a56)]['page']=0x1),!_0x24e953&&(_0x259ae4[_0x2171e7(0x1a56)][_0x2171e7(0x844)]=_0x5b461f),_0x259ae4[_0x2171e7(0xeee)]());});function _0x34fa7e(_0x3cb6da){const _0xb4a13d=_0x532a1e;_0x259ae4[_0xb4a13d(0x13f8)]=_0x3cb6da||{'count':0x0,'rows':[]};}function _0x18b6b5(){const _0x19c8dd=_0x532a1e;_0x259ae4[_0x19c8dd(0x1a56)][_0x19c8dd(0x145d)]=(_0x259ae4[_0x19c8dd(0x1a56)][_0x19c8dd(0x844)]-0x1)*_0x259ae4['query']['limit'],_0xa7b0c9[_0x19c8dd(0x23e0)](_0x19c8dd(0x174b))?_0x259ae4[_0x19c8dd(0xb9c)]=_0x5cac6f[_0x19c8dd(0x8dc)][_0x19c8dd(0x16b4)](_0x259ae4[_0x19c8dd(0x1a56)],_0x34fa7e)['$promise']:(_0x259ae4['query']['id']=_0x259ae4[_0x19c8dd(0x26b6)]['id'],_0x259ae4[_0x19c8dd(0x1a56)][_0x19c8dd(0x2146)]=_0x19c8dd(0x27f9),_0x259ae4['promise']=_0x5cac6f[_0x19c8dd(0x26b6)]['getResources'](_0x259ae4['query'],_0x34fa7e)[_0x19c8dd(0x2945)]);}function _0x5d82f5(_0x5a9f88,_0x4a80e3){const _0x56b856=_0x532a1e;_0x73e6ab['show']({'controller':_0x56b856(0x6da),'controllerAs':'vm','templateUrl':_0x513fc7,'parent':angular[_0x56b856(0x1853)](_0x4e9063['body']),'targetEvent':_0x5a9f88,'clickOutsideToClose':!![],'locals':{'ivrCampaign':_0x4a80e3,'ivrCampaigns':_0x259ae4[_0x56b856(0x13f8)][_0x56b856(0x19c7)],'license':_0x259ae4[_0x56b856(0x2690)],'setting':_0x259ae4['setting'],'crudPermissions':_0x259ae4[_0x56b856(0x2514)]}});}function _0x283cd0(_0x536b0c){const _0x3f03fd=_0x532a1e;_0x5cac6f[_0x3f03fd(0x8dc)][_0x3f03fd(0x1fac)]({'id':_0x536b0c['id']})[_0x3f03fd(0x2945)][_0x3f03fd(0x146b)](function(){const _0x483eff=_0x3f03fd;_0x3f65c0()['remove'](_0x259ae4[_0x483eff(0x13f8)][_0x483eff(0x19c7)],{'id':_0x536b0c['id']}),_0x259ae4[_0x483eff(0x13f8)]['count']-=0x1,!_0x259ae4[_0x483eff(0x13f8)]['rows'][_0x483eff(0x402)]&&_0x259ae4['getIvrCampaigns'](),_0x36bdbf['success']({'title':_0x3f65c0()[_0x483eff(0x20d1)]('IvrCampaign')+'\x20deleted!','msg':_0x536b0c[_0x483eff(0x19eb)]?_0x536b0c[_0x483eff(0x19eb)]+'\x20has\x20been\x20deleted!':''});})[_0x3f03fd(0x129e)](function(_0x941ebf){const _0x1eec3a=_0x3f03fd;if(_0x941ebf[_0x1eec3a(0x524)]&&_0x941ebf['data'][_0x1eec3a(0xcef)]&&_0x941ebf[_0x1eec3a(0x524)][_0x1eec3a(0xcef)][_0x1eec3a(0x402)]){_0x259ae4['errors']=_0x941ebf[_0x1eec3a(0x524)][_0x1eec3a(0xcef)]||[{'message':_0x941ebf[_0x1eec3a(0xd5f)](),'type':_0x1eec3a(0xb83)}];for(let _0xff77f0=0x0;_0xff77f0<_0x941ebf[_0x1eec3a(0x524)][_0x1eec3a(0xcef)]['length'];_0xff77f0++){_0x36bdbf[_0x1eec3a(0x1980)]({'title':_0x941ebf[_0x1eec3a(0x524)][_0x1eec3a(0xcef)][_0xff77f0][_0x1eec3a(0x1142)],'msg':_0x941ebf[_0x1eec3a(0x524)][_0x1eec3a(0xcef)][_0xff77f0][_0x1eec3a(0x7fd)]});}}else _0x36bdbf['error']({'title':_0x941ebf[_0x1eec3a(0x107b)]?_0x1eec3a(0x262a)+_0x941ebf[_0x1eec3a(0x107b)]+_0x1eec3a(0x1315)+_0x941ebf[_0x1eec3a(0x167f)]:_0x1eec3a(0xb83),'msg':_0x941ebf[_0x1eec3a(0x524)]?JSON['stringify'](_0x941ebf[_0x1eec3a(0x524)][_0x1eec3a(0x7fd)]):_0x941ebf[_0x1eec3a(0x7fd)]||_0x941ebf['toString']()});});}function _0x4bf125(){const _0x332ccb=_0x532a1e,_0x56716f=angular['copy'](_0x259ae4[_0x332ccb(0xfab)]);return _0x259ae4['selectedIvrCampaigns']=[],_0x56716f;}function _0x523adf(_0xa2f242){const _0x3a5e66=_0x532a1e,_0x140902=_0x73e6ab[_0x3a5e66(0x1e8a)]()['title'](_0x3a5e66(0x1535))[_0x3a5e66(0x1cbe)](_0x3a5e66(0x16d3)+_0x259ae4[_0x3a5e66(0xfab)][_0x3a5e66(0x402)]+_0x3a5e66(0x2452)+_0x3a5e66(0xe01))[_0x3a5e66(0x4bd)](_0x3a5e66(0x1e1f))[_0x3a5e66(0x1f27)](_0xa2f242)['ok']('OK')[_0x3a5e66(0x6c3)](_0x3a5e66(0x39a));_0x73e6ab[_0x3a5e66(0x2615)](_0x140902)['then'](function(){const _0x5bf431=_0x3a5e66;_0x259ae4[_0x5bf431(0xfab)][_0x5bf431(0x1df5)](function(_0x347af3){_0x283cd0(_0x347af3);}),_0x259ae4[_0x5bf431(0xfab)]=[];});}function _0x49cf7f(){const _0x3b9420=_0x532a1e;_0x259ae4[_0x3b9420(0xfab)]=[];}function _0x2cc6c4(){const _0x5e440c=_0x532a1e;_0x259ae4['selectedIvrCampaigns']=_0x259ae4[_0x5e440c(0x13f8)][_0x5e440c(0x19c7)];}}const _0x742fe9=_0x51ae18;;_0x5f34ef[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$state','$location',_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x17c4),'queueCampaign',_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x5f34ef(_0x1c37e4,_0x3d6ad8,_0x3d8c53,_0x184bee,_0x340f22,_0x153dd9,_0x3dc0be,_0x3bc72b,_0x584515,_0x4a84c2,_0x6a4c56,_0x2ff7d4,_0x1cf1e8,_0x4f6cfa){const _0x4bcbc9=_0x313a4d,_0x17bdd6=this;_0x17bdd6[_0x4bcbc9(0x2321)]=_0x6a4c56['getCurrentUser'](),_0x17bdd6[_0x4bcbc9(0xcef)]=[],_0x17bdd6['setting']=_0x1cf1e8,_0x17bdd6[_0x4bcbc9(0x2690)]=_0x2ff7d4,_0x17bdd6['crudPermissions']=_0x4f6cfa,_0x17bdd6[_0x4bcbc9(0x855)]={},_0x17bdd6['passwordPattern']=_0x17bdd6[_0x4bcbc9(0x15b9)]&&_0x17bdd6[_0x4bcbc9(0x15b9)][_0x4bcbc9(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x17bdd6[_0x4bcbc9(0x1189)]=_0x4bcbc9(0x1eab),_0x17bdd6[_0x4bcbc9(0x1f0f)]=angular[_0x4bcbc9(0x235a)](_0x584515),_0x17bdd6[_0x4bcbc9(0x17c4)]=_0x3bc72b,_0x17bdd6[_0x4bcbc9(0xba6)]=![];!_0x17bdd6[_0x4bcbc9(0x1f0f)]&&(_0x17bdd6['queueCampaign']={'dialActive':!![],'type':'outbound','dialCheckDuplicateType':_0x4bcbc9(0xd85),'dialCutDigit':0x0,'autopause':'no','ringinuse':'no','timeoutrestart':'no','setinterfacevar':'no','setqueuevar':'no','setqueueentryvar':'no','dialOrderByScheduledAt':_0x4bcbc9(0x1734),'dialGlobalInterval':_0x4bcbc9(0x259f),'dialAMDInitialSilence':0x9c4,'dialAMDGreeting':0x5dc,'dialAMDAfterGreetingSilence':0x320,'dialAMDTotalAnalysisTime':0x1388,'dialAMDMinWordLength':0x64,'dialAMDBetweenWordsSilence':0x32,'dialAMDMaximumNumberOfWords':0x3,'dialAMDSilenceThreshold':0x100,'dialAMDMaximumWordLength':0x1388,'dialMethod':'progressive','dialPowerLevel':0x1,'dialPredictiveOptimization':'dropRate','dialPredictiveOptimizationPercentage':0x3,'dialPredictiveInterval':0xa,'dialLimitQueue':0x0,'dialRandomLastDigitCallerIdNumber':0x0,'dialOriginateTimeout':0x1e,'dialQueueOptions':_0x4bcbc9(0x919),'dialQueueTimeout':0x3,'strategy':_0x4bcbc9(0x533),'timeout':0x3,'retry':0x3,'weight':0x1,'dialGlobalMaxRetry':0x4,'dialCongestionMaxRetry':0x3,'dialCongestionRetryFrequency':0x96,'dialBusyMaxRetry':0x3,'dialBusyRetryFrequency':0x96,'dialNoAnswerMaxRetry':0x3,'dialNoAnswerRetryFrequency':0x96,'dialNoSuchNumberMaxRetry':0x3,'dialNoSuchNumberRetryFrequency':0x96,'dialDropMaxRetry':0x3,'dialDropRetryFrequency':0x96,'dialAbandonedMaxRetry':0x3,'dialAbandonedRetryFrequency':0x96,'dialMachineMaxRetry':0x3,'dialMachineRetryFrequency':0x96,'dialAgentRejectMaxRetry':0x3,'dialAgentRejectRetryFrequency':0x96,'dialPredictiveIntervalMaxThreshold':0x14,'dialPredictiveIntervalMinThreshold':0xa},_0x17bdd6[_0x4bcbc9(0x1189)]='MOTIONDIALER.NEW_QUEUECAMPAIGN',_0x17bdd6[_0x4bcbc9(0xba6)]=!![]);_0x17bdd6[_0x4bcbc9(0x26a2)]=_0x1616c8,_0x17bdd6[_0x4bcbc9(0x27a9)]=_0x432afd,_0x17bdd6[_0x4bcbc9(0x696)]=_0x28c726,_0x17bdd6[_0x4bcbc9(0xe73)]=_0x33a009,_0x17bdd6[_0x4bcbc9(0x13f3)]=_0x4d560d,_0x6a4c56[_0x4bcbc9(0x23e0)](_0x4bcbc9(0x174b))?_0x4a84c2[_0x4bcbc9(0x279f)][_0x4bcbc9(0x16b4)]({'fields':_0x4bcbc9(0x7a7),'sort':_0x4bcbc9(0x19eb)})[_0x4bcbc9(0x2945)][_0x4bcbc9(0x146b)](function(_0x506b4f){const _0x569ec4=_0x4bcbc9;_0x17bdd6[_0x569ec4(0x2433)]=_0x506b4f[_0x569ec4(0x19c7)]||[];})['catch'](function(_0x3f5cde){const _0x19153a=_0x4bcbc9;_0x3dc0be[_0x19153a(0x1980)]({'title':_0x3f5cde[_0x19153a(0x107b)]?_0x19153a(0x262a)+_0x3f5cde[_0x19153a(0x107b)]+_0x19153a(0x1315)+_0x3f5cde[_0x19153a(0x167f)]:_0x19153a(0xfc0),'msg':_0x3f5cde[_0x19153a(0x524)]?JSON[_0x19153a(0x10bb)](_0x3f5cde[_0x19153a(0x524)]):_0x3f5cde[_0x19153a(0xd5f)]()});}):_0x4a84c2[_0x4bcbc9(0x279f)][_0x4bcbc9(0x16b4)]({'fields':'id,name','sort':_0x4bcbc9(0x19eb)})[_0x4bcbc9(0x2945)][_0x4bcbc9(0x146b)](function(_0x5a0867){const _0x4bea19=_0x4bcbc9;_0x17bdd6[_0x4bea19(0x2433)]=_0x5a0867['rows']||[];})['then'](function(){const _0x32fd28=_0x4bcbc9;return _0x4a84c2['userProfileSection']['get']({'userProfileId':_0x17bdd6['currentUser'][_0x32fd28(0x209a)],'sectionId':0x3f3})['$promise'];})[_0x4bcbc9(0x146b)](function(_0x417cf5){const _0x259ce7=_0x4bcbc9,_0x53320e=_0x417cf5&&_0x417cf5[_0x259ce7(0x19c7)]?_0x417cf5['rows'][0x0]:null;if(!_0x53320e){const _0x2d4eab=[];let _0x2b1331=null;_0x17bdd6[_0x259ce7(0x1f0f)]&&(_0x2b1331=_0x3f65c0()[_0x259ce7(0xc84)](_0x17bdd6['trunks'],{'id':Number(_0x17bdd6[_0x259ce7(0x1f0f)][_0x259ce7(0x1b77)])}));for(let _0x45c799=0x0;_0x45c799<_0x17bdd6[_0x259ce7(0x2433)][_0x259ce7(0x402)];_0x45c799++){_0x2b1331&&_0x17bdd6[_0x259ce7(0x2433)][_0x45c799]['id']===_0x2b1331['id']&&(_0x17bdd6['trunks'][_0x45c799]['canSelect']=![],_0x2d4eab['push'](_0x17bdd6[_0x259ce7(0x2433)][_0x45c799]));}_0x17bdd6[_0x259ce7(0x2433)]=_0x2d4eab;}else{if(!_0x53320e['autoAssociation'])return _0x4a84c2['userProfileResource'][_0x259ce7(0x16b4)]({'sectionId':_0x53320e['id']})['$promise']['then'](function(_0xa3fe69){const _0x2ded13=_0x259ce7,_0xe1eb1f=_0x3f65c0()[_0x2ded13(0x205)](_0xa3fe69[_0x2ded13(0x19c7)],function(_0x1297c8){const _0x45a221=_0x2ded13;return _0x3f65c0()[_0x45a221(0xc84)](_0x17bdd6[_0x45a221(0x2433)],{'id':_0x1297c8['resourceId']});});let _0x25d99e=null;_0x17bdd6[_0x2ded13(0x1f0f)]&&(_0x25d99e=_0x3f65c0()['find'](_0x17bdd6['trunks'],{'id':Number(_0x17bdd6[_0x2ded13(0x1f0f)][_0x2ded13(0x1b77)])}));if(_0x25d99e&&!_0x3f65c0()[_0x2ded13(0x1360)](_0xe1eb1f,['id',_0x25d99e['id']])){const _0x3e6b97=_0x3f65c0()[_0x2ded13(0xc84)](_0x17bdd6[_0x2ded13(0x2433)],{'id':_0x25d99e['id']});_0x3e6b97[_0x2ded13(0x8ff)]=![],_0xe1eb1f[_0x2ded13(0x1f47)](_0x3e6b97);}_0x17bdd6[_0x2ded13(0x2433)]=_0xe1eb1f;});}})[_0x4bcbc9(0x129e)](function(_0x2a3c72){const _0xb39e9c=_0x4bcbc9;_0x3dc0be[_0xb39e9c(0x1980)]({'title':_0x2a3c72[_0xb39e9c(0x107b)]?'API:'+_0x2a3c72[_0xb39e9c(0x107b)]+'\x20-\x20'+_0x2a3c72['statusText']:_0xb39e9c(0x2436),'msg':_0x2a3c72[_0xb39e9c(0x524)]?JSON[_0xb39e9c(0x10bb)](_0x2a3c72[_0xb39e9c(0x524)]):_0x2a3c72['toString']()});}),_0x6a4c56[_0x4bcbc9(0x23e0)](_0x4bcbc9(0x174b))?_0x4a84c2[_0x4bcbc9(0x2765)][_0x4bcbc9(0x16b4)]({'fields':_0x4bcbc9(0x7a7),'sort':_0x4bcbc9(0x19eb),'IntervalId':_0x4bcbc9(0x203c)})[_0x4bcbc9(0x2945)][_0x4bcbc9(0x146b)](function(_0xc5da4c){_0x17bdd6['interval']=_0xc5da4c['rows']||[];})[_0x4bcbc9(0x129e)](function(_0x447734){const _0x197111=_0x4bcbc9;_0x3dc0be['error']({'title':_0x447734[_0x197111(0x107b)]?'API:'+_0x447734[_0x197111(0x107b)]+_0x197111(0x1315)+_0x447734[_0x197111(0x167f)]:_0x197111(0x12c5),'msg':_0x447734['data']?JSON[_0x197111(0x10bb)](_0x447734[_0x197111(0x524)]):_0x447734[_0x197111(0xd5f)]()});}):_0x4a84c2[_0x4bcbc9(0x2765)]['get']({'fields':_0x4bcbc9(0x7a7),'sort':_0x4bcbc9(0x19eb),'IntervalId':_0x4bcbc9(0x203c)})[_0x4bcbc9(0x2945)]['then'](function(_0x2b6747){const _0x5374e9=_0x4bcbc9;_0x17bdd6[_0x5374e9(0x2765)]=_0x2b6747['rows']||[];})['then'](function(){const _0x419b7c=_0x4bcbc9;return _0x4a84c2[_0x419b7c(0x1366)][_0x419b7c(0x16b4)]({'userProfileId':_0x17bdd6[_0x419b7c(0x2321)][_0x419b7c(0x209a)],'sectionId':0x3ec})[_0x419b7c(0x2945)];})[_0x4bcbc9(0x146b)](function(_0x4416b1){const _0x57f8d2=_0x4bcbc9,_0x42b631=_0x4416b1&&_0x4416b1['rows']?_0x4416b1[_0x57f8d2(0x19c7)][0x0]:null;if(!_0x42b631){const _0x1077a2=[];let _0xc1741e=null;_0x17bdd6['queueCampaign']&&(_0xc1741e=_0x3f65c0()['find'](_0x17bdd6[_0x57f8d2(0x2765)],{'id':Number(_0x17bdd6['queueCampaign']['IntervalId'])}));for(let _0xe92322=0x0;_0xe92322<_0x17bdd6[_0x57f8d2(0x2765)][_0x57f8d2(0x402)];_0xe92322++){_0xc1741e&&_0x17bdd6[_0x57f8d2(0x2765)][_0xe92322]['id']===_0xc1741e['id']&&(_0x17bdd6[_0x57f8d2(0x2765)][_0xe92322][_0x57f8d2(0x8ff)]=![],_0x1077a2[_0x57f8d2(0x1f47)](_0x17bdd6['interval'][_0xe92322]));}_0x17bdd6[_0x57f8d2(0x2765)]=_0x1077a2;}else{if(!_0x42b631[_0x57f8d2(0x11d2)])return _0x4a84c2[_0x57f8d2(0xdcc)][_0x57f8d2(0x16b4)]({'sectionId':_0x42b631['id']})[_0x57f8d2(0x2945)][_0x57f8d2(0x146b)](function(_0x5690ef){const _0xb78cac=_0x57f8d2,_0xc6de2f=_0x3f65c0()['map'](_0x5690ef[_0xb78cac(0x19c7)],function(_0x167165){const _0xe1a5c4=_0xb78cac;return _0x3f65c0()[_0xe1a5c4(0xc84)](_0x17bdd6[_0xe1a5c4(0x2765)],{'id':_0x167165[_0xe1a5c4(0x18b8)]});});let _0x545e6c=null;_0x17bdd6[_0xb78cac(0x1f0f)]&&(_0x545e6c=_0x3f65c0()[_0xb78cac(0xc84)](_0x17bdd6['interval'],{'id':Number(_0x17bdd6[_0xb78cac(0x1f0f)][_0xb78cac(0x1ff2)])}));if(_0x545e6c&&!_0x3f65c0()[_0xb78cac(0x1360)](_0xc6de2f,['id',_0x545e6c['id']])){const _0x88fdca=_0x3f65c0()['find'](_0x17bdd6[_0xb78cac(0x2765)],{'id':_0x545e6c['id']});_0x88fdca[_0xb78cac(0x8ff)]=![],_0xc6de2f[_0xb78cac(0x1f47)](_0x88fdca);}_0x17bdd6[_0xb78cac(0x2765)]=_0xc6de2f;});}})[_0x4bcbc9(0x129e)](function(_0x116232){const _0x43c2af=_0x4bcbc9;_0x3dc0be[_0x43c2af(0x1980)]({'title':_0x116232[_0x43c2af(0x107b)]?'API:'+_0x116232[_0x43c2af(0x107b)]+_0x43c2af(0x1315)+_0x116232[_0x43c2af(0x167f)]:'SYSTEM:GETinterval','msg':_0x116232[_0x43c2af(0x524)]?JSON[_0x43c2af(0x10bb)](_0x116232['data']):_0x116232[_0x43c2af(0xd5f)]()});});function _0x1616c8(){const _0xa8edd1=_0x4bcbc9;_0x17bdd6[_0xa8edd1(0xcef)]=[],_0x4a84c2[_0xa8edd1(0x1446)][_0xa8edd1(0x1e3)](_0x17bdd6[_0xa8edd1(0x1f0f)])[_0xa8edd1(0x2945)]['then'](function(_0xac551d){const _0x521cb2=_0xa8edd1;_0x17bdd6[_0x521cb2(0x17c4)][_0x521cb2(0xb3d)](_0xac551d[_0x521cb2(0x2488)]()),_0x3dc0be[_0x521cb2(0x1c75)]({'title':'QueueCampaign\x20properly\x20created','msg':_0x17bdd6[_0x521cb2(0x1f0f)][_0x521cb2(0x19eb)]?_0x17bdd6[_0x521cb2(0x1f0f)][_0x521cb2(0x19eb)]+'\x20has\x20been\x20created!':''}),_0x4d560d(_0xac551d);})[_0xa8edd1(0x129e)](function(_0x3e4757){const _0x2fe6c8=_0xa8edd1;if(_0x3e4757['data']&&_0x3e4757[_0x2fe6c8(0x524)][_0x2fe6c8(0xcef)]&&_0x3e4757[_0x2fe6c8(0x524)][_0x2fe6c8(0xcef)]['length']){_0x17bdd6[_0x2fe6c8(0xcef)]=_0x3e4757['data'][_0x2fe6c8(0xcef)]||[{'message':_0x3e4757[_0x2fe6c8(0xd5f)](),'type':'api.voiceQueue.save'}];for(let _0x5270b8=0x0;_0x5270b8<_0x3e4757[_0x2fe6c8(0x524)]['errors'][_0x2fe6c8(0x402)];_0x5270b8+=0x1){_0x3dc0be[_0x2fe6c8(0x1980)]({'title':_0x3e4757[_0x2fe6c8(0x524)]['errors'][_0x5270b8][_0x2fe6c8(0x1142)],'msg':_0x3e4757[_0x2fe6c8(0x524)][_0x2fe6c8(0xcef)][_0x5270b8][_0x2fe6c8(0x7fd)]});}}else _0x3dc0be[_0x2fe6c8(0x1980)]({'title':_0x3e4757[_0x2fe6c8(0x107b)]?_0x2fe6c8(0x262a)+_0x3e4757['status']+_0x2fe6c8(0x1315)+_0x3e4757['statusText']:'api.voiceQueue.save','msg':_0x3e4757[_0x2fe6c8(0x524)]?JSON[_0x2fe6c8(0x10bb)](_0x3e4757['data']['message']):_0x3e4757[_0x2fe6c8(0xd5f)]()});});}function _0x432afd(){const _0x56765e=_0x4bcbc9;_0x17bdd6['errors']=[],_0x4a84c2['voiceQueue'][_0x56765e(0x18e1)]({'id':_0x17bdd6[_0x56765e(0x1f0f)]['id']},_0x17bdd6['queueCampaign'])[_0x56765e(0x2945)][_0x56765e(0x146b)](function(_0xbb05ab){const _0x1eecac=_0x56765e,_0x50175a=_0x3f65c0()['find'](_0x17bdd6[_0x1eecac(0x17c4)],{'id':_0xbb05ab['id']});_0x50175a&&_0x3f65c0()[_0x1eecac(0x168d)](_0x50175a,_0x3f65c0()[_0x1eecac(0x40e)](_0xbb05ab[_0x1eecac(0x2488)](),_0x3f65c0()[_0x1eecac(0x627)](_0x50175a))),_0x3dc0be[_0x1eecac(0x1c75)]({'title':'QueueCampaign\x20properly\x20saved!','msg':_0x17bdd6[_0x1eecac(0x1f0f)][_0x1eecac(0x19eb)]?_0x17bdd6['queueCampaign'][_0x1eecac(0x19eb)]+_0x1eecac(0x24db):''}),_0x4d560d(_0xbb05ab);})[_0x56765e(0x129e)](function(_0x47d05b){const _0x195255=_0x56765e;if(_0x47d05b[_0x195255(0x524)]&&_0x47d05b['data'][_0x195255(0xcef)]&&_0x47d05b[_0x195255(0x524)][_0x195255(0xcef)][_0x195255(0x402)]){_0x17bdd6[_0x195255(0xcef)]=_0x47d05b['data']['errors']||[{'message':_0x47d05b[_0x195255(0xd5f)](),'type':_0x195255(0x221f)}];for(let _0xace93c=0x0;_0xace93c<_0x47d05b[_0x195255(0x524)][_0x195255(0xcef)][_0x195255(0x402)];_0xace93c++){_0x3dc0be['error']({'title':_0x47d05b[_0x195255(0x524)][_0x195255(0xcef)][_0xace93c]['type'],'msg':_0x47d05b[_0x195255(0x524)][_0x195255(0xcef)][_0xace93c][_0x195255(0x7fd)]});}}else _0x3dc0be['error']({'title':_0x47d05b[_0x195255(0x107b)]?_0x195255(0x262a)+_0x47d05b['status']+_0x195255(0x1315)+_0x47d05b[_0x195255(0x167f)]:_0x195255(0x221f),'msg':_0x47d05b[_0x195255(0x524)]?JSON[_0x195255(0x10bb)](_0x47d05b[_0x195255(0x524)][_0x195255(0x7fd)]):_0x47d05b['toString']()});});}function _0x28c726(_0x52c554){const _0x34a205=_0x4bcbc9;_0x17bdd6[_0x34a205(0xcef)]=[];const _0x4d1062=_0x184bee[_0x34a205(0x1e8a)]()[_0x34a205(0x1189)]('Are\x20you\x20sure?')['content'](_0x34a205(0x23a))[_0x34a205(0x4bd)](_0x34a205(0x280e))['ok']('Delete')[_0x34a205(0x6c3)](_0x34a205(0xcf0))['targetEvent'](_0x52c554);_0x184bee[_0x34a205(0x2615)](_0x4d1062)['then'](function(){const _0x5e2c4c=_0x34a205;_0x4a84c2[_0x5e2c4c(0x1446)]['delete']({'id':_0x17bdd6['queueCampaign']['id']})['$promise'][_0x5e2c4c(0x146b)](function(){const _0x16977a=_0x5e2c4c;_0x3f65c0()[_0x16977a(0x2640)](_0x17bdd6['queueCampaigns'],{'id':_0x17bdd6[_0x16977a(0x1f0f)]['id']}),_0x3dc0be[_0x16977a(0x1c75)]({'title':_0x16977a(0x2508),'msg':(_0x17bdd6['queueCampaign'][_0x16977a(0x19eb)]||'queueCampaign')+_0x16977a(0x23e3)}),_0x4d560d(_0x17bdd6['queueCampaign']);})['catch'](function(_0x9eb683){const _0x562170=_0x5e2c4c;if(_0x9eb683[_0x562170(0x524)]&&_0x9eb683[_0x562170(0x524)]['errors']&&_0x9eb683[_0x562170(0x524)]['errors'][_0x562170(0x402)]){_0x17bdd6[_0x562170(0xcef)]=_0x9eb683[_0x562170(0x524)][_0x562170(0xcef)]||[{'message':_0x9eb683[_0x562170(0xd5f)](),'type':_0x562170(0xf2e)}];for(let _0x72c08b=0x0;_0x72c08b<_0x9eb683[_0x562170(0x524)][_0x562170(0xcef)][_0x562170(0x402)];_0x72c08b++){_0x3dc0be[_0x562170(0x1980)]({'title':_0x9eb683[_0x562170(0x524)][_0x562170(0xcef)][_0x72c08b][_0x562170(0x1142)],'msg':_0x9eb683[_0x562170(0x524)][_0x562170(0xcef)][_0x72c08b][_0x562170(0x7fd)]});}}else _0x3dc0be['error']({'title':_0x9eb683[_0x562170(0x107b)]?'API:'+_0x9eb683['status']+_0x562170(0x1315)+_0x9eb683[_0x562170(0x167f)]:'api.voiceQueue.delete','msg':_0x9eb683[_0x562170(0x524)]?JSON[_0x562170(0x10bb)](_0x9eb683[_0x562170(0x524)][_0x562170(0x7fd)]):_0x9eb683[_0x562170(0x7fd)]||_0x9eb683[_0x562170(0xd5f)]()});});},function(){});}function _0x33a009(_0x43311d){return _0x43311d===null?undefined:new Date(_0x43311d);}function _0x4d560d(_0x5632af){_0x184bee['hide'](_0x5632af);}}const _0x730d04=_0x5f34ef;;_0x1c832b[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x247f),'queueCampaign',_0x313a4d(0x17c4),_0x313a4d(0xfc4),_0x313a4d(0x214b),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x1c832b(_0x4d8eed,_0x46ebb5,_0x2d30dd,_0x5a2060,_0x310744,_0x4e0d7e,_0x5502e2,_0x1e2f55,_0x52fd26,_0x28c926){const _0x4bd12b=_0x313a4d,_0x54b75a=this;_0x54b75a['currentUser']=_0x52fd26[_0x4bd12b(0xb12)](),_0x54b75a[_0x4bd12b(0x1f0f)]=_0x310744,_0x54b75a[_0x4bd12b(0x2514)]=_0x28c926,_0x54b75a[_0x4bd12b(0x188d)]=0x0,_0x54b75a[_0x4bd12b(0xfc4)]=_0x5502e2,_0x54b75a[_0x4bd12b(0x1fd6)]=[],_0x54b75a[_0x4bd12b(0xc4e)]=[],_0x54b75a[_0x4bd12b(0x1ecf)]=[],_0x54b75a['startingAllowedItems']=[],_0x54b75a[_0x4bd12b(0xa65)]=[],_0x54b75a[_0x4bd12b(0x132)]=![],_0x54b75a[_0x4bd12b(0x3d4)]=_0x4871a5,_0x54b75a[_0x4bd12b(0x14c2)]=_0x10bc52,_0x54b75a[_0x4bd12b(0x13f3)]=_0x3af6e1,_0x54b75a[_0x4bd12b(0x1f8a)]={'readOnly':!_0x54b75a['crudPermissions']['canEdit'],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x4bd12b(0x19eb),'line1':_0x4bd12b(0x286a),'line2':[_0x4bd12b(0x19eb),_0x4bd12b(0x113f)],'line3':_0x4bd12b(0x188d),'labelAll':_0x1e2f55[_0x4bd12b(0xde)](_0x4bd12b(0x2050)),'labelSelected':_0x1e2f55[_0x4bd12b(0xde)](_0x4bd12b(0xf73)),'transferCallback':function(_0x13c341,_0x55ec06){const _0x4a9b94=_0x4bd12b;_0x55ec06?_0x13c341[_0x4a9b94(0x1df5)](function(_0x5ddfda){const _0x3363fa=_0x4a9b94;_0x5ddfda[_0x3363fa(0x188d)]=undefined;}):_0x13c341[_0x4a9b94(0x1df5)](function(_0x59dbc9){const _0x40593f=_0x4a9b94;_0x59dbc9['penalty']=_0x40593f(0x2505)+_0x54b75a[_0x40593f(0x188d)];});const _0x466bdb=_0x3f65c0()['xorWith'](_0x54b75a[_0x4a9b94(0xa65)],_0x54b75a[_0x4a9b94(0x1ecf)],function(_0x39e278,_0x5d4311){const _0xd7b864=_0x4a9b94;return _0x39e278['id']===_0x5d4311['id']&&_0x39e278[_0xd7b864(0x188d)]===_0x5d4311[_0xd7b864(0x188d)];});_0x54b75a[_0x4a9b94(0x132)]=_0x3f65c0()[_0x4a9b94(0x2635)](_0x466bdb)?![]:!![];}};function _0x4871a5(){const _0x42fdcf=_0x4bd12b;return _0x52fd26['hasRole'](_0x42fdcf(0x174b))?_0xe017b7()[_0x42fdcf(0x129e)](function(_0xa773){const _0x5e0381=_0x42fdcf;_0x2d30dd[_0x5e0381(0x1980)]({'title':_0xa773['status']?_0x5e0381(0x262a)+_0xa773['status']+'\x20-\x20'+_0xa773['statusText']:_0x5e0381(0x557),'msg':_0xa773[_0x5e0381(0x107b)]?JSON[_0x5e0381(0x10bb)](_0xa773[_0x5e0381(0x524)]):_0xa773[_0x5e0381(0xd5f)]()});}):_0x461660()[_0x42fdcf(0x146b)](function(_0x216be8){const _0x14c803=_0x42fdcf;return _0x54b75a[_0x14c803(0x2146)]=_0x216be8,_0xe017b7();})['catch'](function(_0x33859f){const _0x36aed0=_0x42fdcf;_0x2d30dd[_0x36aed0(0x1980)]({'title':_0x33859f['status']?_0x36aed0(0x262a)+_0x33859f['status']+_0x36aed0(0x1315)+_0x33859f[_0x36aed0(0x167f)]:_0x36aed0(0x557),'msg':_0x33859f[_0x36aed0(0x107b)]?JSON[_0x36aed0(0x10bb)](_0x33859f[_0x36aed0(0x524)]):_0x33859f[_0x36aed0(0xd5f)]()});});}function _0x461660(){return _0x46ebb5(function(_0x4df6f1,_0x31c58c){const _0x5bf94e=a0_0x3bb9;_0x5a2060[_0x5bf94e(0x1366)]['get']({'userProfileId':_0x54b75a[_0x5bf94e(0x2321)][_0x5bf94e(0x209a)],'name':_0x5bf94e(0xca8)})[_0x5bf94e(0x2945)][_0x5bf94e(0x146b)](function(_0x3774cd){const _0x2408f2=_0x5bf94e,_0x4caf60=_0x3774cd&&_0x3774cd['rows']?_0x3774cd[_0x2408f2(0x19c7)][0x0]:null;_0x4df6f1(_0x4caf60);})[_0x5bf94e(0x129e)](function(_0x3666a0){_0x31c58c(_0x3666a0);});});}function _0xe017b7(){return _0x46ebb5(function(_0x16f8bb,_0x5ad211){const _0x306915=a0_0x3bb9;return _0x4024ec()[_0x306915(0x146b)](function(_0x4974b8){const _0x57019d=_0x306915;return _0x54b75a[_0x57019d(0x1fd6)]=_0x4974b8[_0x57019d(0x19c7)]?_0x4974b8[_0x57019d(0x19c7)]:[],_0x52fd26[_0x57019d(0x23e0)](_0x57019d(0x174b))?_0x4974b8:_0x54b75a[_0x57019d(0x2146)]?_0x54b75a[_0x57019d(0x2146)][_0x57019d(0x11d2)]?_0x4974b8:_0x21f925():null;})['then'](function(_0x1fb78f){const _0x189461=_0x306915,_0x5b08b2=_0x1fb78f&&_0x1fb78f[_0x189461(0x19c7)]?_0x1fb78f[_0x189461(0x19c7)]:[];return _0x54b75a[_0x189461(0xc4e)]=_0x3f65c0()[_0x189461(0x205)](_0x5b08b2,function(_0x20332a){const _0x39f48f=_0x189461;return _0x3f65c0()[_0x39f48f(0xc84)](_0x54b75a[_0x39f48f(0x1fd6)],{'id':_0x52fd26[_0x39f48f(0x23e0)](_0x39f48f(0x174b))||_0x54b75a[_0x39f48f(0x2146)][_0x39f48f(0x11d2)]?_0x20332a['id']:_0x20332a['resourceId']});}),_0x54b75a[_0x189461(0x2e8)]=angular[_0x189461(0x235a)](_0x54b75a['allowedItems']),_0x54b75a[_0x189461(0x1fd6)][_0x189461(0x1df5)](function(_0x1a80f4){const _0x83920d=_0x189461,_0x2a5a36=_0x3f65c0()[_0x83920d(0xc84)](_0x54b75a[_0x83920d(0xc4e)],{'id':_0x1a80f4['id']});_0x52fd26[_0x83920d(0x23e0)](_0x83920d(0x174b))?_0x1a80f4['isValid']=!![]:_0x1a80f4[_0x83920d(0x146f)]=typeof _0x2a5a36!==_0x83920d(0x2274)?!![]:![];}),_0x3c7852();})[_0x306915(0x146b)](function(_0x5a1f84){const _0x283f9b=_0x306915,_0x4439c8=_0x5a1f84&&_0x5a1f84['rows']?_0x5a1f84[_0x283f9b(0x19c7)]:[];_0x54b75a[_0x283f9b(0x1ecf)]=_0x3f65c0()[_0x283f9b(0x205)](_0x4439c8,function(_0x4f1d8c){const _0x3e532b=_0x283f9b,_0x3e1f19=_0x3f65c0()['find'](_0x54b75a[_0x3e532b(0x1fd6)],{'id':_0x4f1d8c['id']});return _0x3e1f19['penalty']=_0x4f1d8c[_0x3e532b(0x1f91)]?'penalty\x20'+_0x4f1d8c['UserVoiceQueue']['penalty']:'',_0x3e1f19[_0x3e532b(0x113f)]=typeof _0x4f1d8c[_0x3e532b(0x113f)]!==_0x3e532b(0x2274)?'<'+_0x4f1d8c[_0x3e532b(0x113f)]+'>':'',_0x3e1f19;}),_0x54b75a[_0x283f9b(0xa65)]=angular[_0x283f9b(0x235a)](_0x54b75a[_0x283f9b(0x1ecf)]),_0x54b75a[_0x283f9b(0x1f8a)]['selectedItems']=_0x54b75a[_0x283f9b(0x1ecf)],_0x54b75a[_0x283f9b(0x1f8a)][_0x283f9b(0x1fd6)]=_0x3f65c0()['differenceBy'](_0x54b75a[_0x283f9b(0xc4e)],_0x54b75a[_0x283f9b(0x1f8a)]['selectedItems'],'id'),_0x16f8bb();})[_0x306915(0x129e)](function(_0x1cabca){_0x5ad211(_0x1cabca);});});}function _0x21f925(){return _0x46ebb5(function(_0x427ef3,_0x406233){const _0x5ee249=a0_0x3bb9;return _0x5a2060['userProfileResource'][_0x5ee249(0x16b4)]({'sectionId':_0x54b75a[_0x5ee249(0x2146)]['id'],'nolimit':!![]})[_0x5ee249(0x2945)][_0x5ee249(0x146b)](function(_0x1b4acb){_0x427ef3(_0x1b4acb);})[_0x5ee249(0x129e)](function(_0xa0f252){_0x406233(_0xa0f252);});});}function _0x3c7852(){return _0x46ebb5(function(_0x167adb,_0x2f3c49){const _0x17e839=a0_0x3bb9;return _0x5a2060[_0x17e839(0x1446)]['getAgents']({'id':_0x54b75a[_0x17e839(0x1f0f)]['id'],'fields':_0x17e839(0x1569),'nolimit':!![],'role':'agent'})[_0x17e839(0x2945)][_0x17e839(0x146b)](function(_0x284da4){_0x167adb(_0x284da4);})[_0x17e839(0x129e)](function(_0x4ed810){_0x2f3c49(_0x4ed810);});});}function _0x4024ec(){return _0x46ebb5(function(_0x5bb124,_0x48f5d4){const _0x1286a9=a0_0x3bb9;return _0x5a2060[_0x1286a9(0xe7b)][_0x1286a9(0x16b4)]({'fields':_0x1286a9(0x1569),'nolimit':!![],'role':_0x1286a9(0x1755)})[_0x1286a9(0x2945)][_0x1286a9(0x146b)](function(_0x15617a){_0x5bb124(_0x15617a);})[_0x1286a9(0x129e)](function(_0x15e0f6){_0x48f5d4(_0x15e0f6);});});}function _0x2c8a9b(_0x74b33e){return _0x46ebb5(function(_0x4e9782,_0x2e85f1){const _0x283463=a0_0x3bb9;if(_0x3f65c0()[_0x283463(0x2635)](_0x74b33e))_0x4e9782();else{const _0x9bfe6b=[],_0x5e9def=_0x3f65c0()[_0x283463(0x253)](_0x74b33e,_0x283463(0x188d));for(let _0x6234ef=0x0;_0x6234ef'+_0x1a6b74(0xe01))[_0x1a6b74(0x4bd)](_0x1a6b74(0x14db))[_0x1a6b74(0x1f27)](_0x1c9ae2)['ok']('OK')['cancel'](_0x1a6b74(0x39a));_0x443519[_0x1a6b74(0x2615)](_0x1a28ef)[_0x1a6b74(0x146b)](function(){const _0x44eb26=_0x1a6b74;_0x26e1c6[_0x44eb26(0x821)][_0x44eb26(0x1df5)](function(_0x5587eb){_0x11774d(_0x5587eb);}),_0x26e1c6['selectedQueueCampaignHopperBlacks']=[];});}let _0x4e6530=!![],_0x494108=0x1;_0x5017d5['$watch']('vm_dc.query.filter',function(_0x365c0c,_0x219322){const _0x33fb9=_0x2cd4ce;_0x4e6530?_0xf36818(function(){_0x4e6530=![];}):(!_0x219322&&(_0x494108=_0x26e1c6['query'][_0x33fb9(0x844)]),_0x365c0c!==_0x219322&&(_0x26e1c6['query'][_0x33fb9(0x844)]=0x1),!_0x365c0c&&(_0x26e1c6[_0x33fb9(0x1a56)][_0x33fb9(0x844)]=_0x494108),_0x4ffd63());});}const _0xc0e1a3=_0x2b2d44;;_0x469bf6[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),_0x313a4d(0x910),_0x313a4d(0x1862),'$q',_0x313a4d(0x214b),_0x313a4d(0x1abe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1fe4),'api',_0x313a4d(0xa87)];function _0x469bf6(_0x521535,_0x57eb32,_0x4b1ff6,_0x4c4aa9,_0x274bf8,_0x141a8f,_0x3de416,_0x4f0b43,_0x4cd380,_0x1f6e74,_0x239049){const _0x144b69=_0x313a4d,_0x58f197=this;_0x58f197['currentUser']=_0x239049[_0x144b69(0xb12)](),_0x58f197[_0x144b69(0x1471)]={},_0x58f197['queueCampaign']={},_0x58f197[_0x144b69(0x16a5)]={'count':0x0,'rows':[]},_0x58f197['selectedQueueCampaignHopperFinals']=[],_0x58f197[_0x144b69(0x2514)],_0x58f197['query']={'fields':'createdAt,updatedAt,uniqueid,ContactId,calleridnum,calleridname,statedesc,countcongestionretry,countbusyretry,countnoanswerretry,countnosuchnumberretry,countdropretry,countabandonedretry,countmachineretry,countagentrejectretry,countglobal,scheduledat,starttime,responsetime,endtime,disposition,secondDisposition,thirdDisposition,ListId,UserId,VoiceQueueId,CampaignId,recallme,deleted,campaign','sort':'-id','limit':0xa,'page':0x1},_0x58f197[_0x144b69(0x5aa)]=_0x28b267,_0x58f197['deleteConfirm']=_0x41373c,_0x58f197[_0x144b69(0x1c75)]=_0x2fe549,_0x58f197[_0x144b69(0x1f73)]=_0x28a656,_0x58f197[_0x144b69(0x71f)]=_0x276d8c,_0x58f197[_0x144b69(0x1ebf)]=_0x1baf8a,_0x58f197['deleteSelectedQueueCampaignHopperFinals']=_0x1951a7,_0x58f197[_0x144b69(0x249b)]=_0x1da937,_0x58f197[_0x144b69(0x1795)]=_0x1e27bc,_0x58f197[_0x144b69(0x1686)]=_0x1139d8,_0x58f197['moveConfirm']=_0x3388a3,_0x58f197[_0x144b69(0xde8)]=_0x4b6cee;function _0x28b267(_0x3b6bde,_0x149427){const _0x465e98=_0x144b69;_0x58f197[_0x465e98(0x1f0f)]=_0x3b6bde,_0x58f197[_0x465e98(0x2514)]=typeof _0x149427!==_0x465e98(0x2274)?_0x149427:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x58f197[_0x465e98(0x1a56)][_0x465e98(0x6ed)]=_0x58f197[_0x465e98(0x1f0f)]['id'],_0x58f197[_0x465e98(0x1a56)]['id']=_0x58f197['queueCampaign']['id'],_0x28a656();}function _0x41373c(_0x49d894,_0x5ed8ad){const _0x570219=_0x144b69,_0x133295=_0x3de416[_0x570219(0x1e8a)]()['title'](_0x570219(0xdf7))[_0x570219(0x1cbe)](_0x570219(0x16d3)+(_0x49d894[_0x570219(0x19eb)]||_0x49d894['id']&&_0x3f65c0()['upperFirst'](_0x570219(0x1463))+_0x49d894['id']||_0x570219(0xafc))+_0x570219(0x252f)+_0x570219(0xe01))[_0x570219(0x4bd)](_0x570219(0x20d))[_0x570219(0x1f27)](_0x5ed8ad)['ok']('OK')[_0x570219(0x6c3)](_0x570219(0x39a));_0x3de416[_0x570219(0x2615)](_0x133295)[_0x570219(0x146b)](function(){_0x1baf8a(_0x49d894);},function(){const _0x46b32c=_0x570219;console[_0x46b32c(0x1a74)](_0x46b32c(0x39a));});}function _0x2fe549(_0x55f997){const _0x346680=_0x144b69;_0x58f197[_0x346680(0x16a5)]=_0x55f997||{'count':0x0,'rows':[]};}function _0x28a656(){const _0x6b8608=_0x144b69;_0x58f197[_0x6b8608(0x1a56)][_0x6b8608(0x145d)]=(_0x58f197[_0x6b8608(0x1a56)][_0x6b8608(0x844)]-0x1)*_0x58f197['query'][_0x6b8608(0x221e)],_0x58f197[_0x6b8608(0xb9c)]=_0x1f6e74[_0x6b8608(0x1446)]['getHopperFinals'](_0x58f197[_0x6b8608(0x1a56)],_0x2fe549)[_0x6b8608(0x2945)];}function _0x1baf8a(_0x384b66){const _0x528815=_0x144b69;_0x1f6e74[_0x528815(0xafc)][_0x528815(0x1fac)]({'id':_0x384b66['id']})[_0x528815(0x2945)][_0x528815(0x146b)](function(){const _0x211b34=_0x528815;_0x3f65c0()[_0x211b34(0x2640)](_0x58f197[_0x211b34(0x16a5)][_0x211b34(0x19c7)],{'id':_0x384b66['id']}),_0x58f197[_0x211b34(0x16a5)]['count']-=0x1,!_0x58f197[_0x211b34(0x16a5)][_0x211b34(0x19c7)]['length']&&_0x28a656(),_0x4cd380[_0x211b34(0x1c75)]({'title':_0x211b34(0x1f3d),'msg':_0x384b66[_0x211b34(0x19eb)]?_0x384b66[_0x211b34(0x19eb)]+_0x211b34(0x23e3):''});})['catch'](function(_0x214784){const _0x1caa1e=_0x528815;if(_0x214784['data']&&_0x214784['data'][_0x1caa1e(0xcef)]&&_0x214784[_0x1caa1e(0x524)][_0x1caa1e(0xcef)][_0x1caa1e(0x402)]){_0x58f197[_0x1caa1e(0xcef)]=_0x214784[_0x1caa1e(0x524)]['errors']||[{'message':_0x214784[_0x1caa1e(0xd5f)](),'type':'SYSTEM:GETvoiceQueue'}];for(let _0x15b1ef=0x0;_0x15b1ef<_0x214784[_0x1caa1e(0x524)]['errors'][_0x1caa1e(0x402)];_0x15b1ef++){_0x4cd380['error']({'title':_0x214784['data']['errors'][_0x15b1ef][_0x1caa1e(0x1142)],'msg':_0x214784['data'][_0x1caa1e(0xcef)][_0x15b1ef][_0x1caa1e(0x7fd)]});}}else _0x4cd380[_0x1caa1e(0x1980)]({'title':_0x214784['status']?_0x1caa1e(0x262a)+_0x214784[_0x1caa1e(0x107b)]+_0x1caa1e(0x1315)+_0x214784[_0x1caa1e(0x167f)]:_0x1caa1e(0xfac),'msg':_0x214784[_0x1caa1e(0x524)]?JSON['stringify'](_0x214784['data'][_0x1caa1e(0x7fd)]):_0x214784['message']||_0x214784[_0x1caa1e(0xd5f)]()});});}function _0x276d8c(){const _0x35acd6=_0x144b69,_0x54bfe0=angular[_0x35acd6(0x235a)](_0x58f197[_0x35acd6(0x2520)]);return _0x58f197['selectedQueueCampaignHopperFinals']=[],_0x54bfe0;}function _0x1951a7(_0x39d77d){const _0x495633=_0x144b69,_0x19deb6=_0x3de416[_0x495633(0x1e8a)]()[_0x495633(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20hopperFinals?')['htmlContent'](_0x495633(0x16d3)+_0x58f197['selectedQueueCampaignHopperFinals']['length']+_0x495633(0x2452)+_0x495633(0xe01))['ariaLabel'](_0x495633(0x40d))[_0x495633(0x1f27)](_0x39d77d)['ok']('OK')['cancel'](_0x495633(0x39a));_0x3de416[_0x495633(0x2615)](_0x19deb6)[_0x495633(0x146b)](function(){const _0x577d40=_0x495633;_0x58f197[_0x577d40(0x2520)][_0x577d40(0x1df5)](function(_0x148102){_0x1baf8a(_0x148102);}),_0x58f197['selectedQueueCampaignHopperFinals']=[];});}function _0x1e27bc(_0x1172a5){const _0x1bd0b4=_0x144b69;_0x1f6e74['cmHopperFinal'][_0x1bd0b4(0x1795)]({'id':_0x58f197[_0x1bd0b4(0x1a56)]['id'],'state':_0x1172a5})[_0x1bd0b4(0x2945)][_0x1bd0b4(0x146b)](function(_0xa3f777){const _0x1bd46d=_0x1bd0b4;_0x3f65c0()['isNil'](_0xa3f777)&&_0x3f65c0()[_0x1bd46d(0x1b36)](_0xa3f777[0x0])?_0x4cd380['info']({'title':_0x1bd46d(0xf6f),'msg':'0'}):_0x4cd380[_0x1bd46d(0x271e)]({'title':'Restored\x20Contacts','msg':JSON['stringify'](_0xa3f777[0x0][_0x1bd46d(0xaf4)])});})[_0x1bd0b4(0x129e)](function(_0x27e723){const _0x55adbe=_0x1bd0b4;_0x4cd380[_0x55adbe(0x1980)]({'title':_0x27e723['status']?_0x55adbe(0x262a)+_0x27e723[_0x55adbe(0x107b)]+'\x20-\x20'+_0x27e723[_0x55adbe(0x167f)]:_0x55adbe(0xfac),'msg':_0x27e723['data']?JSON['stringify'](_0x27e723[_0x55adbe(0x524)][_0x55adbe(0x7fd)]):_0x27e723[_0x55adbe(0x7fd)]||_0x27e723[_0x55adbe(0xd5f)]()});});}function _0x1139d8(_0x453851){const _0x233320=_0x144b69;_0x1f6e74['cmHopperFinal'][_0x233320(0x1686)]({'id':_0x453851})[_0x233320(0x2945)]['then'](function(_0x543997){const _0xb90fbd=_0x233320;_0x3f65c0()[_0xb90fbd(0x168d)](_0x58f197[_0xb90fbd(0x1471)],_0x543997[0x0]);})[_0x233320(0x129e)](function(_0xbed15){const _0x52434f=_0x233320;_0x4cd380[_0x52434f(0x1980)]({'title':_0xbed15[_0x52434f(0x107b)]?_0x52434f(0x262a)+_0xbed15[_0x52434f(0x107b)]+_0x52434f(0x1315)+_0xbed15[_0x52434f(0x167f)]:_0x52434f(0xfac),'msg':_0xbed15[_0x52434f(0x524)]?JSON[_0x52434f(0x10bb)](_0xbed15[_0x52434f(0x524)][_0x52434f(0x7fd)]):_0xbed15[_0x52434f(0x7fd)]||_0xbed15[_0x52434f(0xd5f)]()});});}function _0x4b6cee(_0x5e0558){const _0x2bfb2b=_0x144b69,_0x5880e9=_0x3de416[_0x2bfb2b(0x1e8a)]()[_0x2bfb2b(0x1189)](_0x2bfb2b(0x131a))['htmlContent'](_0x2bfb2b(0x1898)+_0x2bfb2b(0x1996))[_0x2bfb2b(0x4bd)]('Restore\x20Contacts')[_0x2bfb2b(0x1f27)](_0x5e0558)['ok']('OK')[_0x2bfb2b(0x6c3)](_0x2bfb2b(0x39a));_0x3de416[_0x2bfb2b(0x2615)](_0x5880e9)[_0x2bfb2b(0x146b)](function(){const _0x440b25=_0x2bfb2b;_0x58f197[_0x440b25(0x2520)]['forEach'](function(_0x4854a2){_0x1da937(_0x4854a2);}),_0x58f197[_0x440b25(0x2520)]=[];});}function _0x3388a3(_0x3e26c1,_0x3c03e5){const _0x3dde23=_0x144b69,_0x3e49e0=_0x3de416[_0x3dde23(0x1e8a)]()[_0x3dde23(0x1189)](_0x3dde23(0x1a48))[_0x3dde23(0x1cbe)](_0x3dde23(0x16d3)+'Contact'+_0x3dde23(0x252f)+_0x3dde23(0x1996))[_0x3dde23(0x4bd)]('Restore\x20Contact')['targetEvent'](_0x3c03e5)['ok']('OK')[_0x3dde23(0x6c3)](_0x3dde23(0x39a));_0x3de416['show'](_0x3e49e0)['then'](function(){_0x1da937(_0x3e26c1);},function(){const _0x29379e=_0x3dde23;console['log'](_0x29379e(0x39a));});}function _0x2d96a8(_0x2106b5){const _0x11042c=_0x144b69,_0x473bb9={};return _0x473bb9[_0x11042c(0x1d55)]=_0x2106b5[_0x11042c(0xa5c)],_0x473bb9[_0x11042c(0x432)]=_0x2deec6()()[_0x11042c(0x22b0)](_0x11042c(0x1ce2)),_0x473bb9[_0x11042c(0x283e)]=0x0,_0x473bb9[_0x11042c(0x24c9)]=0x0,_0x473bb9[_0x11042c(0x1b71)]=0x0,_0x473bb9[_0x11042c(0x1adf)]=0x0,_0x473bb9[_0x11042c(0x932)]=_0x2106b5[_0x11042c(0x932)],_0x473bb9[_0x11042c(0xb7c)]=_0x2106b5[_0x11042c(0xb7c)],_0x473bb9['UserId']=_0x2106b5[_0x11042c(0x27af)]?null:_0x2106b5[_0x11042c(0xea2)],_0x473bb9['VoiceQueueId']=_0x2106b5[_0x11042c(0x6ed)],_0x473bb9[_0x11042c(0x1ee8)]=_0x2106b5[_0x11042c(0x1ee8)],_0x473bb9['priority']=_0x2106b5[_0x11042c(0x2762)]?0x3:0x2,_0x473bb9[_0x11042c(0x2762)]=_0x2106b5[_0x11042c(0x2762)]?!![]:![],_0x473bb9;}function _0x4b0884(_0x3e575e){const _0x5a73c7=_0x144b69,_0x30789d={};return _0x30789d[_0x5a73c7(0xa5c)]=_0x3e575e['calleridnum'],_0x30789d[_0x5a73c7(0x1772)]=_0x3e575e['calleridname'],_0x30789d[_0x5a73c7(0x432)]=_0x2deec6()()['format'](_0x5a73c7(0x1ce2)),_0x30789d['countbusyretry']=_0x3e575e[_0x5a73c7(0x283e)],_0x30789d['countcongestionretry']=_0x3e575e[_0x5a73c7(0x24c9)],_0x30789d[_0x5a73c7(0x1b71)]=_0x3e575e['countnoanswerretry'],_0x30789d['countglobal']=_0x3e575e['countglobal'],_0x30789d[_0x5a73c7(0x932)]=_0x3e575e[_0x5a73c7(0x932)],_0x30789d[_0x5a73c7(0xb7c)]=_0x3e575e[_0x5a73c7(0xb7c)],_0x30789d[_0x5a73c7(0xea2)]=_0x239049[_0x5a73c7(0xb12)]()['id'],_0x30789d[_0x5a73c7(0x6ed)]=_0x3e575e[_0x5a73c7(0x6ed)],_0x30789d[_0x5a73c7(0x1ee8)]=_0x3e575e[_0x5a73c7(0x1ee8)],_0x30789d[_0x5a73c7(0x178d)]=_0x2deec6()()[_0x5a73c7(0x22b0)]('YYYY-MM-DD\x20HH:mm:ss'),_0x30789d[_0x5a73c7(0x6aa)]=!![],_0x30789d['state']=0x19,_0x30789d['statedesc']=_0x5a73c7(0x10fb),_0x30789d[_0x5a73c7(0x8dc)]=_0x3e575e[_0x5a73c7(0x8dc)],_0x30789d[_0x5a73c7(0xaeb)]=_0x3e575e[_0x5a73c7(0x6ed)]?_0x5a73c7(0x1c72):_0x5a73c7(0x1f0),_0x30789d;}function _0x1da937(_0x25b67d){const _0x448000=_0x144b69;_0x1f6e74['cmHopperFinal'][_0x448000(0xbb7)](_0x25b67d)['$promise'][_0x448000(0x146b)](function(_0x132233){const _0xeebc1=_0x448000;_0x4cd380[_0xeebc1(0x271e)]({'title':_0xeebc1(0xc5b),'msg':_0xeebc1(0x151)+_0x132233[_0xeebc1(0x932)]});})[_0x448000(0x129e)](function(_0x337973){const _0x7889f8=_0x448000;_0x337973['data']===_0x7889f8(0x159d)?_0x1f6e74[_0x7889f8(0x39f)]['save'](_0x2d96a8(_0x25b67d))['$promise'][_0x7889f8(0x146b)](function(_0x5b919e){const _0x5f4ad5=_0x7889f8;_0x4cd380[_0x5f4ad5(0x271e)]({'title':'Restored\x20Contact','msg':_0x5f4ad5(0x151)+_0x5b919e[_0x5f4ad5(0x932)]}),_0x5b919e[_0x5f4ad5(0x932)]&&_0x1f6e74[_0x5f4ad5(0x22e3)][_0x5f4ad5(0x1e3)](_0x4b0884(_0x25b67d));})[_0x7889f8(0x129e)](function(_0x18b8ed){const _0x153bdf=_0x7889f8;!_0x3f65c0()['isNil'](_0x18b8ed[_0x153bdf(0x524)])&&_0x18b8ed['data'][_0x153bdf(0x7fd)]===_0x153bdf(0xe0e)?_0x4cd380[_0x153bdf(0x271e)]({'title':_0x153bdf(0xc5b),'msg':_0x153bdf(0x151)+_0x25b67d[_0x153bdf(0x932)]}):_0x4cd380[_0x153bdf(0x1980)]({'title':_0x18b8ed['status']?_0x153bdf(0x262a)+_0x18b8ed[_0x153bdf(0x107b)]+_0x153bdf(0x1315)+_0x18b8ed['statusText']:_0x153bdf(0xfac),'msg':_0x18b8ed[_0x153bdf(0x524)]?JSON[_0x153bdf(0x10bb)](_0x18b8ed[_0x153bdf(0x524)][_0x153bdf(0x7fd)]):_0x18b8ed['message']||_0x18b8ed['toString']()});}):_0x4cd380[_0x7889f8(0x1980)]({'title':_0x337973[_0x7889f8(0x107b)]?'API:'+_0x337973[_0x7889f8(0x107b)]+_0x7889f8(0x1315)+_0x337973[_0x7889f8(0x167f)]:_0x7889f8(0xfac),'msg':_0x337973[_0x7889f8(0x524)]?JSON[_0x7889f8(0x10bb)](_0x337973[_0x7889f8(0x524)][_0x7889f8(0x7fd)]):_0x337973[_0x7889f8(0x7fd)]||_0x337973[_0x7889f8(0xd5f)]()});});}let _0x56cf2e=!![],_0x2f1702=0x1;_0x57eb32[_0x144b69(0x21e8)](_0x144b69(0x117f),function(_0x139d76,_0x230714){const _0x1596ff=_0x144b69;_0x56cf2e?_0x141a8f(function(){_0x56cf2e=![];}):(!_0x230714&&(_0x2f1702=_0x58f197[_0x1596ff(0x1a56)]['page']),_0x139d76!==_0x230714&&(_0x58f197['query'][_0x1596ff(0x844)]=0x1),!_0x139d76&&(_0x58f197[_0x1596ff(0x1a56)][_0x1596ff(0x844)]=_0x2f1702),_0x28a656());});}const _0x14308a=_0x469bf6;;_0x34ec2e[_0x313a4d(0x11c2)]=['$cookies',_0x313a4d(0x910),_0x313a4d(0x1862),'$q','$translate',_0x313a4d(0x1abe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),'toasty',_0x313a4d(0x247f),_0x313a4d(0xa87)];function _0x34ec2e(_0x165909,_0x1c32de,_0x37ce35,_0x39c02b,_0x311cb0,_0x3ffbc9,_0x46c64a,_0x68172e,_0x59c3dd,_0x47d140,_0x51e44f){const _0x219fca=_0x313a4d,_0x2ec799=this;_0x2ec799[_0x219fca(0x2321)]=_0x51e44f[_0x219fca(0xb12)](),_0x2ec799[_0x219fca(0x1f0f)]={},_0x2ec799[_0x219fca(0x2760)]={'count':0x0,'rows':[]},_0x2ec799[_0x219fca(0x44f)]=[],_0x2ec799[_0x219fca(0x2514)],_0x2ec799[_0x219fca(0x1a56)]={'fields':_0x219fca(0x1cf7),'sort':_0x219fca(0xef6),'limit':0xa,'page':0x1},_0x2ec799['init']=_0x25835f,_0x2ec799['deleteConfirm']=_0x103a1a,_0x2ec799[_0x219fca(0x1c75)]=_0x35586e,_0x2ec799[_0x219fca(0x54c)]=_0x49dea9,_0x2ec799[_0x219fca(0xb3b)]=_0x59fa59,_0x2ec799['deleteQueueCampaignHopperHistory']=_0x26148b,_0x2ec799[_0x219fca(0x1afc)]=_0xe3f941;function _0x25835f(_0x2c25b7,_0x329f78){const _0xb4688e=_0x219fca;_0x2ec799[_0xb4688e(0x1f0f)]=_0x2c25b7,_0x2ec799['crudPermissions']=typeof _0x329f78!==_0xb4688e(0x2274)?_0x329f78:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x2ec799[_0xb4688e(0x1a56)][_0xb4688e(0x6ed)]=_0x2ec799['queueCampaign']['id'],_0x2ec799['query']['id']=_0x2ec799[_0xb4688e(0x1f0f)]['id'],_0x49dea9();}function _0x103a1a(_0x490cac,_0x5c94fc){const _0x532e73=_0x219fca,_0x2823a3=_0x46c64a[_0x532e73(0x1e8a)]()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20hopperHistory?')[_0x532e73(0x1cbe)](_0x532e73(0x16d3)+(_0x490cac[_0x532e73(0x19eb)]||_0x490cac['id']&&_0x3f65c0()[_0x532e73(0x21cf)](_0x532e73(0x1493))+_0x490cac['id']||'hopperHistory')+''+'\x20will\x20be\x20deleted.')['ariaLabel'](_0x532e73(0x1655))[_0x532e73(0x1f27)](_0x5c94fc)['ok']('OK')['cancel']('CANCEL');_0x46c64a[_0x532e73(0x2615)](_0x2823a3)[_0x532e73(0x146b)](function(){_0x26148b(_0x490cac);},function(){const _0x8b86d7=_0x532e73;console[_0x8b86d7(0x1a74)](_0x8b86d7(0x39a));});}function _0x35586e(_0x4e6666){_0x2ec799['queueCampaignHopperHistories']=_0x4e6666||{'count':0x0,'rows':[]};}function _0x49dea9(){const _0x34abc3=_0x219fca;_0x2ec799[_0x34abc3(0x1a56)][_0x34abc3(0x145d)]=(_0x2ec799['query'][_0x34abc3(0x844)]-0x1)*_0x2ec799['query'][_0x34abc3(0x221e)],_0x2ec799[_0x34abc3(0xb9c)]=_0x47d140['voiceQueue'][_0x34abc3(0x12b3)](_0x2ec799[_0x34abc3(0x1a56)],_0x35586e)[_0x34abc3(0x2945)];}function _0x26148b(_0x1b2dfc){const _0x4cdeb1=_0x219fca;_0x47d140[_0x4cdeb1(0x2040)]['delete']({'id':_0x1b2dfc['id']})[_0x4cdeb1(0x2945)][_0x4cdeb1(0x146b)](function(){const _0x48886c=_0x4cdeb1;_0x3f65c0()[_0x48886c(0x2640)](_0x2ec799['queueCampaignHopperHistories'][_0x48886c(0x19c7)],{'id':_0x1b2dfc['id']}),_0x2ec799['queueCampaignHopperHistories'][_0x48886c(0x51c)]-=0x1,!_0x2ec799[_0x48886c(0x2760)]['rows'][_0x48886c(0x402)]&&_0x49dea9(),_0x59c3dd['success']({'title':_0x48886c(0x1187),'msg':_0x1b2dfc[_0x48886c(0x19eb)]?_0x1b2dfc[_0x48886c(0x19eb)]+'\x20has\x20been\x20deleted!':''});})[_0x4cdeb1(0x129e)](function(_0x32d3e7){const _0x528301=_0x4cdeb1;if(_0x32d3e7[_0x528301(0x524)]&&_0x32d3e7[_0x528301(0x524)][_0x528301(0xcef)]&&_0x32d3e7[_0x528301(0x524)][_0x528301(0xcef)][_0x528301(0x402)]){_0x2ec799['errors']=_0x32d3e7[_0x528301(0x524)]['errors']||[{'message':_0x32d3e7[_0x528301(0xd5f)](),'type':_0x528301(0xfac)}];for(let _0x560209=0x0;_0x560209<_0x32d3e7['data']['errors'][_0x528301(0x402)];_0x560209++){_0x59c3dd['error']({'title':_0x32d3e7[_0x528301(0x524)][_0x528301(0xcef)][_0x560209][_0x528301(0x1142)],'msg':_0x32d3e7['data'][_0x528301(0xcef)][_0x560209][_0x528301(0x7fd)]});}}else _0x59c3dd[_0x528301(0x1980)]({'title':_0x32d3e7[_0x528301(0x107b)]?_0x528301(0x262a)+_0x32d3e7[_0x528301(0x107b)]+'\x20-\x20'+_0x32d3e7[_0x528301(0x167f)]:'SYSTEM:GETvoiceQueue','msg':_0x32d3e7[_0x528301(0x524)]?JSON[_0x528301(0x10bb)](_0x32d3e7[_0x528301(0x524)]['message']):_0x32d3e7[_0x528301(0x7fd)]||_0x32d3e7['toString']()});});}function _0x59fa59(){const _0x198246=_0x219fca,_0x3c4345=angular[_0x198246(0x235a)](_0x2ec799['selectedQueueCampaignHopperHistories']);return _0x2ec799['selectedQueueCampaignHopperHistories']=[],_0x3c4345;}function _0xe3f941(_0x13e681){const _0x2db566=_0x219fca,_0x4bc726=_0x46c64a[_0x2db566(0x1e8a)]()[_0x2db566(0x1189)](_0x2db566(0x1683))[_0x2db566(0x1cbe)](_0x2db566(0x16d3)+_0x2ec799[_0x2db566(0x44f)]['length']+_0x2db566(0x2452)+_0x2db566(0xe01))[_0x2db566(0x4bd)](_0x2db566(0x111c))['targetEvent'](_0x13e681)['ok']('OK')[_0x2db566(0x6c3)]('CANCEL');_0x46c64a[_0x2db566(0x2615)](_0x4bc726)[_0x2db566(0x146b)](function(){const _0x3c4bf8=_0x2db566;_0x2ec799[_0x3c4bf8(0x44f)][_0x3c4bf8(0x1df5)](function(_0x49d513){_0x26148b(_0x49d513);}),_0x2ec799['selectedQueueCampaignHopperHistories']=[];});}let _0x26aa78=!![],_0xb1e614=0x1;_0x1c32de['$watch'](_0x219fca(0x117f),function(_0x245d25,_0x38c70f){const _0x1249ce=_0x219fca;_0x26aa78?_0x3ffbc9(function(){_0x26aa78=![];}):(!_0x38c70f&&(_0xb1e614=_0x2ec799[_0x1249ce(0x1a56)][_0x1249ce(0x844)]),_0x245d25!==_0x38c70f&&(_0x2ec799[_0x1249ce(0x1a56)]['page']=0x1),!_0x245d25&&(_0x2ec799[_0x1249ce(0x1a56)][_0x1249ce(0x844)]=_0xb1e614),_0x49dea9());});}const _0x3f7b53=_0x34ec2e;;_0x1ac9c8[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),_0x313a4d(0x910),_0x313a4d(0x1862),'$q','$translate',_0x313a4d(0x1abe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0xa87)];function _0x1ac9c8(_0x1ce94d,_0x4287c2,_0x426a61,_0x4f7aa1,_0x509f52,_0x11b611,_0x444a3e,_0x572a10,_0x2cb1b0,_0x5d605e,_0x2db403){const _0xfe2b49=_0x313a4d,_0x5a5510=this;_0x5a5510['currentUser']=_0x2db403[_0xfe2b49(0xb12)](),_0x5a5510[_0xfe2b49(0x1f0f)]={},_0x5a5510[_0xfe2b49(0x172f)]={'count':0x0,'rows':[]},_0x5a5510[_0xfe2b49(0x10aa)]=[],_0x5a5510['crudPermissions'],_0x5a5510[_0xfe2b49(0x1a56)]={'fields':_0xfe2b49(0x352),'sort':_0xfe2b49(0x12f2),'limit':0xa,'page':0x1},_0x5a5510['arraypriority']=_0x3f65c0()[_0xfe2b49(0x194)]([{'option':_0xfe2b49(0xa73),'value':_0xfe2b49(0x1428)},{'option':_0xfe2b49(0x25c5),'value':_0xfe2b49(0x1f63)},{'option':_0xfe2b49(0x6c4),'value':_0xfe2b49(0x12f4)},{'option':_0xfe2b49(0xce5),'value':_0xfe2b49(0x729)},{'option':_0xfe2b49(0x2188),'value':_0xfe2b49(0x35c)}],function(_0x2069d7){const _0xc88556=_0xfe2b49;return _0x3f65c0()[_0xc88556(0x5f4)](_0x2069d7[_0xc88556(0x175d)],new RegExp('\x27','g'),'');}),_0x5a5510[_0xfe2b49(0x5aa)]=_0x203c7e,_0x5a5510[_0xfe2b49(0x19b4)]=_0x28c9b9,_0x5a5510[_0xfe2b49(0x1c75)]=_0x288dac,_0x5a5510[_0xfe2b49(0xcce)]=_0xb318c8,_0x5a5510[_0xfe2b49(0x1bc8)]=_0x19470d,_0x5a5510['exportSelectedQueueCampaignHoppers']=_0x501f66,_0x5a5510[_0xfe2b49(0xb71)]=_0x299dd3,_0x5a5510['deleteSelectedQueueCampaignHoppers']=_0x5dd4d8,_0x2db403[_0xfe2b49(0x23e0)](_0xfe2b49(0x174b))?_0x5d605e['user'][_0xfe2b49(0x16b4)]({'fields':_0xfe2b49(0x7a7),'role':_0xfe2b49(0x1755),'sort':'name','nolimit':_0xfe2b49(0x1185)})[_0xfe2b49(0x2945)]['then'](function(_0x10603c){const _0x3a79dc=_0xfe2b49;_0x5a5510['agents']=_0x10603c[_0x3a79dc(0x19c7)]||[];})['catch'](function(_0x5b86da){const _0x3f9f65=_0xfe2b49;_0x2cb1b0['error']({'title':_0x5b86da[_0x3f9f65(0x107b)]?'API:'+_0x5b86da[_0x3f9f65(0x107b)]+_0x3f9f65(0x1315)+_0x5b86da[_0x3f9f65(0x167f)]:'SYSTEM:GET_AGENTS','msg':_0x5b86da[_0x3f9f65(0x524)]?JSON[_0x3f9f65(0x10bb)](_0x5b86da[_0x3f9f65(0x524)]):_0x5b86da[_0x3f9f65(0xd5f)]()});}):_0x5d605e[_0xfe2b49(0xe7b)][_0xfe2b49(0x16b4)]({'fields':_0xfe2b49(0x7a7),'role':_0xfe2b49(0x1755),'sort':_0xfe2b49(0x19eb),'nolimit':_0xfe2b49(0x1185)})[_0xfe2b49(0x2945)]['then'](function(_0x353ec8){const _0x39a8a3=_0xfe2b49;_0x5a5510[_0x39a8a3(0x23c0)]=_0x353ec8[_0x39a8a3(0x19c7)]||[];})[_0xfe2b49(0x146b)](function(){const _0x385f73=_0xfe2b49;return _0x5d605e[_0x385f73(0x1366)][_0x385f73(0x16b4)]({'userProfileId':_0x5a5510[_0x385f73(0x2321)][_0x385f73(0x209a)],'sectionId':0xca})['$promise'];})[_0xfe2b49(0x146b)](function(_0x680cf1){const _0x3db478=_0xfe2b49,_0x18a432=_0x680cf1&&_0x680cf1[_0x3db478(0x19c7)]?_0x680cf1['rows'][0x0]:null;if(!_0x18a432){const _0x4028dc=[];let _0x7e1029=null;_0x5a5510[_0x3db478(0x1f0f)]&&(_0x7e1029=_0x3f65c0()[_0x3db478(0xc84)](_0x5a5510['agents'],{'id':Number(_0x5a5510[_0x3db478(0x1f0f)][_0x3db478(0xea2)])}));for(let _0x4b3209=0x0;_0x4b3209<_0x5a5510[_0x3db478(0x23c0)][_0x3db478(0x402)];_0x4b3209++){_0x7e1029&&_0x5a5510['agents'][_0x4b3209]['id']===_0x7e1029['id']&&(_0x5a5510[_0x3db478(0x23c0)][_0x4b3209][_0x3db478(0x8ff)]=![],_0x4028dc[_0x3db478(0x1f47)](_0x5a5510[_0x3db478(0x23c0)][_0x4b3209]));}_0x5a5510[_0x3db478(0x23c0)]=_0x4028dc;}else{if(!_0x18a432[_0x3db478(0x11d2)])return _0x5d605e[_0x3db478(0xdcc)][_0x3db478(0x16b4)]({'sectionId':_0x18a432['id']})['$promise'][_0x3db478(0x146b)](function(_0x552660){const _0x13ad9c=_0x3db478,_0x14800d=_0x3f65c0()[_0x13ad9c(0x205)](_0x552660[_0x13ad9c(0x19c7)],function(_0x191513){const _0x24c1a8=_0x13ad9c;return _0x3f65c0()[_0x24c1a8(0xc84)](_0x5a5510[_0x24c1a8(0x23c0)],{'id':_0x191513[_0x24c1a8(0x18b8)]});});let _0xfacd04=null;_0x5a5510[_0x13ad9c(0x1f0f)]&&(_0xfacd04=_0x3f65c0()[_0x13ad9c(0xc84)](_0x5a5510['agents'],{'id':Number(_0x5a5510['queueCampaign']['UserId'])}));if(_0xfacd04&&!_0x3f65c0()[_0x13ad9c(0x1360)](_0x14800d,['id',_0xfacd04['id']])){const _0x13c59c=_0x3f65c0()['find'](_0x5a5510[_0x13ad9c(0x23c0)],{'id':_0xfacd04['id']});_0x13c59c[_0x13ad9c(0x8ff)]=![],_0x14800d[_0x13ad9c(0x1f47)](_0x13c59c);}_0x5a5510['agents']=_0x14800d;});}})[_0xfe2b49(0x129e)](function(_0x1b6f4d){const _0x3f7cfa=_0xfe2b49;_0x2cb1b0['error']({'title':_0x1b6f4d[_0x3f7cfa(0x107b)]?_0x3f7cfa(0x262a)+_0x1b6f4d['status']+'\x20-\x20'+_0x1b6f4d[_0x3f7cfa(0x167f)]:_0x3f7cfa(0x788),'msg':_0x1b6f4d[_0x3f7cfa(0x524)]?JSON[_0x3f7cfa(0x10bb)](_0x1b6f4d['data']):_0x1b6f4d[_0x3f7cfa(0xd5f)]()});});function _0x203c7e(_0x33fdd9,_0x459048){const _0x502f36=_0xfe2b49;_0x5a5510[_0x502f36(0x1f0f)]=_0x33fdd9,_0x5a5510['crudPermissions']=typeof _0x459048!==_0x502f36(0x2274)?_0x459048:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x5a5510[_0x502f36(0x1a56)]['VoiceQueueId']=_0x5a5510[_0x502f36(0x1f0f)]['id'],_0x5a5510['query']['id']=_0x5a5510[_0x502f36(0x1f0f)]['id'],_0xb318c8();}function _0x28c9b9(_0x45c31d,_0x1432ea){const _0x34a666=_0xfe2b49,_0x15bb54=_0x444a3e[_0x34a666(0x1e8a)]()[_0x34a666(0x1189)](_0x34a666(0x13ea))[_0x34a666(0x1cbe)](''+(_0x45c31d[_0x34a666(0x19eb)]||_0x45c31d['id']&&_0x3f65c0()['upperFirst']('hopper\x20#')+_0x45c31d['id']||'hopper')+''+'\x20will\x20be\x20deleted.')[_0x34a666(0x4bd)](_0x34a666(0xb62))[_0x34a666(0x1f27)](_0x1432ea)['ok']('OK')['cancel'](_0x34a666(0x39a));_0x444a3e[_0x34a666(0x2615)](_0x15bb54)[_0x34a666(0x146b)](function(){_0x299dd3(_0x45c31d);},function(){const _0x19813f=_0x34a666;console[_0x19813f(0x1a74)](_0x19813f(0x39a));});}function _0x288dac(_0x21d6de){const _0x42e0ec=_0xfe2b49;_0x5a5510[_0x42e0ec(0x172f)]=_0x21d6de||{'count':0x0,'rows':[]};}function _0xb318c8(){const _0x5bf262=_0xfe2b49;_0x5a5510[_0x5bf262(0x1a56)][_0x5bf262(0x145d)]=(_0x5a5510[_0x5bf262(0x1a56)][_0x5bf262(0x844)]-0x1)*_0x5a5510[_0x5bf262(0x1a56)][_0x5bf262(0x221e)],_0x5a5510[_0x5bf262(0xb9c)]=_0x5d605e['voiceQueue'][_0x5bf262(0x3b6)](_0x5a5510[_0x5bf262(0x1a56)],_0x288dac)[_0x5bf262(0x2945)];}function _0x19470d(_0x21f6f3,_0x147251){const _0x55ab06=_0xfe2b49;_0x444a3e[_0x55ab06(0x2615)]({'controller':'CreateOrEditHopperVoiceQueueIdDialogController','controllerAs':'vm','templateUrl':_0x24c092,'parent':angular['element'](_0x572a10[_0x55ab06(0x2586)]),'targetEvent':_0x21f6f3,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0x5a5510[_0x55ab06(0x1f0f)],'hopper':_0x147251,'hoppers':_0x5a5510[_0x55ab06(0x172f)][_0x55ab06(0x19c7)],'license':null,'setting':null,'crudPermissions':_0x5a5510['crudPermissions']}});}function _0x299dd3(_0xaa4ecf){const _0x149983=_0xfe2b49;_0x5d605e['cmHopper'][_0x149983(0x1fac)]({'id':_0xaa4ecf['id']})[_0x149983(0x2945)][_0x149983(0x146b)](function(){const _0x2cb0cc=_0x149983;_0x3f65c0()[_0x2cb0cc(0x2640)](_0x5a5510[_0x2cb0cc(0x172f)][_0x2cb0cc(0x19c7)],{'id':_0xaa4ecf['id']}),_0x5a5510['queueCampaignHoppers']['count']-=0x1,!_0x5a5510['queueCampaignHoppers'][_0x2cb0cc(0x19c7)][_0x2cb0cc(0x402)]&&_0xb318c8(),_0x2cb1b0['success']({'title':'Hopper\x20deleted!','msg':_0xaa4ecf['name']?_0xaa4ecf[_0x2cb0cc(0x19eb)]+_0x2cb0cc(0x23e3):''});})['catch'](function(_0xcbb773){const _0x5f55d5=_0x149983;if(_0xcbb773[_0x5f55d5(0x524)]&&_0xcbb773[_0x5f55d5(0x524)][_0x5f55d5(0xcef)]&&_0xcbb773[_0x5f55d5(0x524)][_0x5f55d5(0xcef)][_0x5f55d5(0x402)]){_0x5a5510[_0x5f55d5(0xcef)]=_0xcbb773['data']['errors']||[{'message':_0xcbb773[_0x5f55d5(0xd5f)](),'type':_0x5f55d5(0xfac)}];for(let _0x3577ab=0x0;_0x3577ab<_0xcbb773[_0x5f55d5(0x524)][_0x5f55d5(0xcef)][_0x5f55d5(0x402)];_0x3577ab++){_0x2cb1b0[_0x5f55d5(0x1980)]({'title':_0xcbb773['data']['errors'][_0x3577ab][_0x5f55d5(0x1142)],'msg':_0xcbb773['data'][_0x5f55d5(0xcef)][_0x3577ab]['message']});}}else _0x2cb1b0['error']({'title':_0xcbb773[_0x5f55d5(0x107b)]?'API:'+_0xcbb773['status']+_0x5f55d5(0x1315)+_0xcbb773[_0x5f55d5(0x167f)]:_0x5f55d5(0xfac),'msg':_0xcbb773['data']?JSON['stringify'](_0xcbb773[_0x5f55d5(0x524)][_0x5f55d5(0x7fd)]):_0xcbb773['message']||_0xcbb773[_0x5f55d5(0xd5f)]()});});}function _0x501f66(){const _0x1f9036=_0xfe2b49,_0x206b5c=angular[_0x1f9036(0x235a)](_0x5a5510['selectedQueueCampaignHoppers']);return _0x5a5510['selectedQueueCampaignHoppers']=[],_0x206b5c;}function _0x5dd4d8(_0x3092b7){const _0x263ec7=_0xfe2b49,_0x3f98f8=_0x444a3e[_0x263ec7(0x1e8a)]()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20hoppers?')['htmlContent'](''+_0x5a5510[_0x263ec7(0x10aa)][_0x263ec7(0x402)]+'\x20selected'+'\x20will\x20be\x20deleted.')[_0x263ec7(0x4bd)](_0x263ec7(0xaca))[_0x263ec7(0x1f27)](_0x3092b7)['ok']('OK')['cancel'](_0x263ec7(0x39a));_0x444a3e[_0x263ec7(0x2615)](_0x3f98f8)['then'](function(){const _0x3dce2f=_0x263ec7;_0x5a5510[_0x3dce2f(0x10aa)][_0x3dce2f(0x1df5)](function(_0xfa7196){_0x299dd3(_0xfa7196);}),_0x5a5510[_0x3dce2f(0x10aa)]=[];});}let _0x1a740d=!![],_0x5bbe3a=0x1;_0x4287c2['$watch']('vm_dc.query.filter',function(_0x15106d,_0x4e9751){const _0x1ae54d=_0xfe2b49;_0x1a740d?_0x11b611(function(){_0x1a740d=![];}):(!_0x4e9751&&(_0x5bbe3a=_0x5a5510[_0x1ae54d(0x1a56)][_0x1ae54d(0x844)]),_0x15106d!==_0x4e9751&&(_0x5a5510[_0x1ae54d(0x1a56)][_0x1ae54d(0x844)]=0x1),!_0x15106d&&(_0x5a5510['query'][_0x1ae54d(0x844)]=_0x5bbe3a),_0xb318c8());});}const _0x1cc8b3=_0x1ac9c8;;_0x3337aa[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),'$location',_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),'toasty',_0x313a4d(0x9f0),_0x313a4d(0x10fa),_0x313a4d(0x247f),'Auth',_0x313a4d(0x2690),'setting',_0x313a4d(0x2514)];function _0x3337aa(_0xc0a21b,_0x456d26,_0x1bdb6e,_0x24c01f,_0xd365cc,_0x43c03d,_0x5edb97,_0x57e2c1,_0x23537c,_0x460822,_0x2b7b0b,_0x3d58f2,_0x53ae31,_0x143e52){const _0x11b05f=_0x313a4d,_0x14629f=this;_0x14629f['currentUser']=_0x2b7b0b[_0x11b05f(0xb12)](),_0x14629f[_0x11b05f(0xcef)]=[],_0x14629f[_0x11b05f(0x15b9)]=_0x53ae31,_0x14629f[_0x11b05f(0x2690)]=_0x3d58f2,_0x14629f['crudPermissions']=_0x143e52,_0x14629f[_0x11b05f(0x855)]={},_0x14629f['passwordPattern']=_0x14629f[_0x11b05f(0x15b9)]&&_0x14629f[_0x11b05f(0x15b9)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x14629f['title']=_0x11b05f(0x1fd),_0x14629f['hopper']=angular[_0x11b05f(0x235a)](_0x23537c),_0x14629f['hoppers']=_0x57e2c1,_0x14629f['newHopper']=![];!_0x14629f[_0x11b05f(0x10fa)]&&(_0x14629f[_0x11b05f(0x10fa)]={},_0x14629f[_0x11b05f(0x1189)]=_0x11b05f(0x1147),_0x14629f[_0x11b05f(0xaa9)]=!![]);_0x456d26[_0x11b05f(0x16a)]['id']&&(_0x14629f[_0x11b05f(0x10fa)][_0x11b05f(0x6ed)]=_0x456d26[_0x11b05f(0x16a)]['id']);_0x14629f[_0x11b05f(0x6fe)]=_0x5ba761,_0x14629f['saveHopper']=_0x436252,_0x14629f['deleteHopper']=_0x2fc999,_0x14629f[_0x11b05f(0xe73)]=_0x23a8f2,_0x14629f[_0x11b05f(0x13f3)]=_0x2db2aa,_0x2b7b0b[_0x11b05f(0x23e0)]('admin')?_0x460822[_0x11b05f(0xe7b)]['get']({'fields':'id,name','role':_0x11b05f(0x1755),'sort':_0x11b05f(0x19eb),'nolimit':_0x11b05f(0x1185)})['$promise'][_0x11b05f(0x146b)](function(_0x35fe05){const _0x1992fa=_0x11b05f;_0x14629f[_0x1992fa(0x23c0)]=_0x35fe05[_0x1992fa(0x19c7)]||[];})['catch'](function(_0x56de1b){const _0x420cd8=_0x11b05f;_0x5edb97[_0x420cd8(0x1980)]({'title':_0x56de1b[_0x420cd8(0x107b)]?_0x420cd8(0x262a)+_0x56de1b[_0x420cd8(0x107b)]+_0x420cd8(0x1315)+_0x56de1b[_0x420cd8(0x167f)]:'SYSTEM:GET_AGENTS','msg':_0x56de1b['data']?JSON[_0x420cd8(0x10bb)](_0x56de1b[_0x420cd8(0x524)]):_0x56de1b[_0x420cd8(0xd5f)]()});}):_0x460822[_0x11b05f(0xe7b)][_0x11b05f(0x16b4)]({'fields':_0x11b05f(0x7a7),'role':_0x11b05f(0x1755),'sort':'name','nolimit':_0x11b05f(0x1185)})[_0x11b05f(0x2945)][_0x11b05f(0x146b)](function(_0xb84758){const _0x29f2af=_0x11b05f;_0x14629f[_0x29f2af(0x23c0)]=_0xb84758['rows']||[];})['then'](function(){const _0x4b7c17=_0x11b05f;return _0x460822[_0x4b7c17(0x1366)][_0x4b7c17(0x16b4)]({'userProfileId':_0x14629f[_0x4b7c17(0x2321)][_0x4b7c17(0x209a)],'sectionId':0xca})[_0x4b7c17(0x2945)];})['then'](function(_0x2b4b65){const _0x138a3c=_0x11b05f,_0x5d6e46=_0x2b4b65&&_0x2b4b65[_0x138a3c(0x19c7)]?_0x2b4b65[_0x138a3c(0x19c7)][0x0]:null;if(!_0x5d6e46){const _0x208c46=[];let _0x3394ad=null;_0x14629f[_0x138a3c(0x10fa)]&&(_0x3394ad=_0x3f65c0()[_0x138a3c(0xc84)](_0x14629f[_0x138a3c(0x23c0)],{'id':Number(_0x14629f[_0x138a3c(0x10fa)][_0x138a3c(0xea2)])}));for(let _0x19b8b1=0x0;_0x19b8b1<_0x14629f[_0x138a3c(0x23c0)][_0x138a3c(0x402)];_0x19b8b1++){_0x3394ad&&_0x14629f[_0x138a3c(0x23c0)][_0x19b8b1]['id']===_0x3394ad['id']&&(_0x14629f[_0x138a3c(0x23c0)][_0x19b8b1][_0x138a3c(0x8ff)]=![],_0x208c46[_0x138a3c(0x1f47)](_0x14629f[_0x138a3c(0x23c0)][_0x19b8b1]));}_0x14629f[_0x138a3c(0x23c0)]=_0x208c46;}else{if(!_0x5d6e46[_0x138a3c(0x11d2)])return _0x460822[_0x138a3c(0xdcc)][_0x138a3c(0x16b4)]({'sectionId':_0x5d6e46['id']})[_0x138a3c(0x2945)]['then'](function(_0x521c78){const _0x50007f=_0x138a3c,_0x1383b0=_0x3f65c0()[_0x50007f(0x205)](_0x521c78[_0x50007f(0x19c7)],function(_0x5c9eaa){const _0x1fb226=_0x50007f;return _0x3f65c0()[_0x1fb226(0xc84)](_0x14629f[_0x1fb226(0x23c0)],{'id':_0x5c9eaa['resourceId']});});let _0xc5fd21=null;_0x14629f[_0x50007f(0x10fa)]&&(_0xc5fd21=_0x3f65c0()[_0x50007f(0xc84)](_0x14629f[_0x50007f(0x23c0)],{'id':Number(_0x14629f[_0x50007f(0x10fa)][_0x50007f(0xea2)])}));if(_0xc5fd21&&!_0x3f65c0()['some'](_0x1383b0,['id',_0xc5fd21['id']])){const _0x122329=_0x3f65c0()[_0x50007f(0xc84)](_0x14629f['agents'],{'id':_0xc5fd21['id']});_0x122329[_0x50007f(0x8ff)]=![],_0x1383b0[_0x50007f(0x1f47)](_0x122329);}_0x14629f[_0x50007f(0x23c0)]=_0x1383b0;});}})[_0x11b05f(0x129e)](function(_0x1b72c){const _0x4096d1=_0x11b05f;_0x5edb97[_0x4096d1(0x1980)]({'title':_0x1b72c[_0x4096d1(0x107b)]?_0x4096d1(0x262a)+_0x1b72c[_0x4096d1(0x107b)]+_0x4096d1(0x1315)+_0x1b72c[_0x4096d1(0x167f)]:_0x4096d1(0x788),'msg':_0x1b72c[_0x4096d1(0x524)]?JSON[_0x4096d1(0x10bb)](_0x1b72c['data']):_0x1b72c['toString']()});});function _0x5ba761(){const _0x33e2d6=_0x11b05f;_0x14629f[_0x33e2d6(0xcef)]=[],_0x460822['cmHopper'][_0x33e2d6(0x1e3)](_0x14629f[_0x33e2d6(0x10fa)])[_0x33e2d6(0x2945)][_0x33e2d6(0x146b)](function(_0x5d89a1){const _0x3b6217=_0x33e2d6;_0x14629f[_0x3b6217(0x9f0)][_0x3b6217(0xb3d)](_0x5d89a1[_0x3b6217(0x2488)]()),_0x5edb97[_0x3b6217(0x1c75)]({'title':_0x3b6217(0x1976),'msg':_0x14629f[_0x3b6217(0x10fa)]['name']?_0x14629f['hopper'][_0x3b6217(0x19eb)]+'\x20has\x20been\x20created!':''}),_0x2db2aa(_0x5d89a1);})[_0x33e2d6(0x129e)](function(_0x43d67f){const _0x1c3819=_0x33e2d6;if(_0x43d67f[_0x1c3819(0x524)]&&_0x43d67f[_0x1c3819(0x524)][_0x1c3819(0xcef)]&&_0x43d67f[_0x1c3819(0x524)][_0x1c3819(0xcef)][_0x1c3819(0x402)]){_0x14629f[_0x1c3819(0xcef)]=_0x43d67f[_0x1c3819(0x524)]['errors']||[{'message':_0x43d67f['toString'](),'type':_0x1c3819(0x800)}];for(let _0x2e7d3b=0x0;_0x2e7d3b<_0x43d67f[_0x1c3819(0x524)][_0x1c3819(0xcef)][_0x1c3819(0x402)];_0x2e7d3b+=0x1){_0x5edb97[_0x1c3819(0x1980)]({'title':_0x43d67f[_0x1c3819(0x524)][_0x1c3819(0xcef)][_0x2e7d3b][_0x1c3819(0x1142)],'msg':_0x43d67f[_0x1c3819(0x524)][_0x1c3819(0xcef)][_0x2e7d3b]['message']});}}else _0x5edb97[_0x1c3819(0x1980)]({'title':_0x43d67f[_0x1c3819(0x107b)]?_0x1c3819(0x262a)+_0x43d67f[_0x1c3819(0x107b)]+_0x1c3819(0x1315)+_0x43d67f[_0x1c3819(0x167f)]:_0x1c3819(0x800),'msg':_0x43d67f[_0x1c3819(0x524)]?JSON['stringify'](_0x43d67f[_0x1c3819(0x524)][_0x1c3819(0x7fd)]):_0x43d67f[_0x1c3819(0xd5f)]()});});}function _0x436252(){const _0x4fb77f=_0x11b05f;_0x14629f[_0x4fb77f(0xcef)]=[];let _0x554eab,_0x54c58c,_0x53618a,_0x5eafbf;_0x14629f[_0x4fb77f(0x10fa)]['UserId']=_0x14629f[_0x4fb77f(0x10fa)][_0x4fb77f(0x2762)]?_0x14629f[_0x4fb77f(0x10fa)][_0x4fb77f(0xea2)]:null,_0x14629f[_0x4fb77f(0x10fa)]['OwnerId']=_0x2b7b0b[_0x4fb77f(0xb12)]()['id'],_0x460822[_0x4fb77f(0x39f)][_0x4fb77f(0x16b4)]({'id':_0x14629f[_0x4fb77f(0x10fa)]['id'],'attributes':[_0x4fb77f(0x432)]})[_0x4fb77f(0x2945)][_0x4fb77f(0x146b)](function(_0x446472){const _0x181fe4=_0x4fb77f;_0x3f65c0()[_0x181fe4(0x1b36)](_0x446472)||_0x3f65c0()[_0x181fe4(0x2635)](_0x446472)?_0x554eab=_0x2deec6()()[_0x181fe4(0x22b0)]('YYYY-MM-DD\x20HH:mm:ss'):_0x554eab=_0x446472['scheduledat'];})['finally'](function(){const _0x5014e4=_0x4fb77f;_0x460822[_0x5014e4(0x39f)][_0x5014e4(0x18e1)]({'id':_0x14629f['hopper']['id']},_0x3f65c0()[_0x5014e4(0x2432)](_0x14629f[_0x5014e4(0x10fa)],[_0x5014e4(0x6ed),'CampaignId','ContactId','ListId']))[_0x5014e4(0x2945)]['then'](function(_0x208a91){const _0xb654=_0x5014e4;_0x54c58c=_0x208a91,_0x460822[_0xb654(0x18c3)]['get']({'id':_0x54c58c[_0xb654(0x932)],'attributes':[_0xb654(0x1ed4),_0xb654(0xee4)]})[_0xb654(0x2945)]['then'](function(_0x291579){const _0x2565ff=_0xb654;!_0x3f65c0()[_0x2565ff(0x1b36)](_0x291579)&&!_0x3f65c0()[_0x2565ff(0x1b36)](_0x291579[_0x2565ff(0x1ed4)])&&(_0x53618a=_0x291579[_0x2565ff(0x1ed4)],!_0x3f65c0()[_0x2565ff(0x1b36)](_0x291579[_0x2565ff(0xee4)])&&(_0x53618a+='\x20'+_0x291579[_0x2565ff(0xee4)]));})['catch'](function(){_0x53618a=undefined;})[_0xb654(0x1ec6)](function(){const _0x34ba84=_0xb654;_0x460822[_0x34ba84(0x1446)][_0x34ba84(0x16b4)]({'raw':![],'id':_0x54c58c[_0x34ba84(0x6ed)],'attributes':['name']})['$promise']['then'](function(_0x44e6a6){const _0x808ddb=_0x34ba84;_0x5eafbf=_0x44e6a6[_0x808ddb(0x19eb)];})[_0x34ba84(0x129e)](function(){_0x5eafbf=undefined;})[_0x34ba84(0x1ec6)](function(){const _0x1fb0d8=_0x34ba84;_0x460822['cmHopperHistory'][_0x1fb0d8(0x1e3)]({'state':0x18,'statedesc':_0x1fb0d8(0xc7e),'scheduledat':_0x554eab,'calleridnum':_0x54c58c['phone'],'calleridname':_0x53618a,'campaigntype':_0x54c58c['VoiceQueueId']?_0x1fb0d8(0x1c72):_0x1fb0d8(0x1f0),'campaign':_0x5eafbf,'editedat':_0x2deec6()()[_0x1fb0d8(0x22b0)]('YYYY-MM-DD\x20HH:mm:ss'),'edited':!![],'ListId':_0x54c58c[_0x1fb0d8(0xb7c)],'UserId':_0x2b7b0b[_0x1fb0d8(0xb12)]()['id'],'VoiceQueueId':_0x54c58c[_0x1fb0d8(0x6ed)],'CampaignId':_0x54c58c[_0x1fb0d8(0x1ee8)],'ContactId':_0x54c58c['ContactId'],'callback':_0x54c58c['callback'],'callbackuniqueid':_0x54c58c[_0x1fb0d8(0x2679)],'countbusyretry':_0x54c58c[_0x1fb0d8(0x283e)],'countcongestionretry':_0x54c58c['countcongestionretry'],'countglobal':_0x54c58c[_0x1fb0d8(0x1adf)],'countnoanswerretry':_0x54c58c[_0x1fb0d8(0x1b71)],'followuptime':_0x54c58c['followuptime'],'recallme':_0x54c58c['recallme']});});});const _0x343e3f=_0x3f65c0()[_0xb654(0xc84)](_0x14629f['hoppers'],{'id':_0x208a91['id']});_0x343e3f&&_0x3f65c0()[_0xb654(0x168d)](_0x343e3f,_0x3f65c0()['pick'](_0x208a91[_0xb654(0x2488)](),_0x3f65c0()[_0xb654(0x627)](_0x343e3f))),_0x5edb97[_0xb654(0x1c75)]({'title':_0xb654(0x17e3),'msg':_0x14629f[_0xb654(0x10fa)]['name']?_0x14629f[_0xb654(0x10fa)][_0xb654(0x19eb)]+_0xb654(0x24db):''}),_0x2db2aa(_0x208a91);})['catch'](function(_0x119689){const _0x2faad6=_0x5014e4;if(_0x119689[_0x2faad6(0x524)]&&_0x119689[_0x2faad6(0x524)][_0x2faad6(0xcef)]&&_0x119689[_0x2faad6(0x524)]['errors']['length']){_0x14629f[_0x2faad6(0xcef)]=_0x119689[_0x2faad6(0x524)][_0x2faad6(0xcef)]||[{'message':_0x119689['toString'](),'type':_0x2faad6(0x1a93)}];for(let _0x35bb8f=0x0;_0x35bb8f<_0x119689[_0x2faad6(0x524)][_0x2faad6(0xcef)][_0x2faad6(0x402)];_0x35bb8f++){_0x5edb97[_0x2faad6(0x1980)]({'title':_0x119689['data'][_0x2faad6(0xcef)][_0x35bb8f][_0x2faad6(0x1142)],'msg':_0x119689[_0x2faad6(0x524)]['errors'][_0x35bb8f]['message']});}}else _0x5edb97[_0x2faad6(0x1980)]({'title':_0x119689[_0x2faad6(0x107b)]?_0x2faad6(0x262a)+_0x119689[_0x2faad6(0x107b)]+_0x2faad6(0x1315)+_0x119689[_0x2faad6(0x167f)]:'api.cmHopper.update','msg':_0x119689['data']?JSON['stringify'](_0x119689[_0x2faad6(0x524)][_0x2faad6(0x7fd)]):_0x119689[_0x2faad6(0xd5f)]()});});});}function _0x2fc999(_0xf9e12a){const _0xa356dd=_0x11b05f;_0x14629f[_0xa356dd(0xcef)]=[];const _0xf30e77=_0x24c01f[_0xa356dd(0x1e8a)]()['title'](_0xa356dd(0x1d64))[_0xa356dd(0x80f)]('The\x20hopper\x20will\x20be\x20deleted.')['ariaLabel'](_0xa356dd(0x16c8))['ok'](_0xa356dd(0x25de))['cancel'](_0xa356dd(0xcf0))[_0xa356dd(0x1f27)](_0xf9e12a);_0x24c01f[_0xa356dd(0x2615)](_0xf30e77)[_0xa356dd(0x146b)](function(){const _0x97a16b=_0xa356dd;_0x460822[_0x97a16b(0x39f)]['delete']({'id':_0x14629f['hopper']['id']})[_0x97a16b(0x2945)][_0x97a16b(0x146b)](function(){const _0x508f3e=_0x97a16b;_0x3f65c0()[_0x508f3e(0x2640)](_0x14629f['hoppers'],{'id':_0x14629f[_0x508f3e(0x10fa)]['id']}),_0x5edb97[_0x508f3e(0x1c75)]({'title':'Hopper\x20properly\x20deleted!','msg':(_0x14629f['hopper'][_0x508f3e(0x19eb)]||_0x508f3e(0x10fa))+_0x508f3e(0x23e3)}),_0x2db2aa(_0x14629f[_0x508f3e(0x10fa)]);})[_0x97a16b(0x129e)](function(_0x541c46){const _0x3efa4a=_0x97a16b;if(_0x541c46['data']&&_0x541c46[_0x3efa4a(0x524)][_0x3efa4a(0xcef)]&&_0x541c46[_0x3efa4a(0x524)][_0x3efa4a(0xcef)][_0x3efa4a(0x402)]){_0x14629f['errors']=_0x541c46['data'][_0x3efa4a(0xcef)]||[{'message':_0x541c46[_0x3efa4a(0xd5f)](),'type':_0x3efa4a(0x5d5)}];for(let _0x364a7c=0x0;_0x364a7c<_0x541c46[_0x3efa4a(0x524)][_0x3efa4a(0xcef)]['length'];_0x364a7c++){_0x5edb97[_0x3efa4a(0x1980)]({'title':_0x541c46[_0x3efa4a(0x524)][_0x3efa4a(0xcef)][_0x364a7c][_0x3efa4a(0x1142)],'msg':_0x541c46[_0x3efa4a(0x524)]['errors'][_0x364a7c][_0x3efa4a(0x7fd)]});}}else _0x5edb97['error']({'title':_0x541c46[_0x3efa4a(0x107b)]?_0x3efa4a(0x262a)+_0x541c46[_0x3efa4a(0x107b)]+'\x20-\x20'+_0x541c46[_0x3efa4a(0x167f)]:_0x3efa4a(0x5d5),'msg':_0x541c46[_0x3efa4a(0x524)]?JSON[_0x3efa4a(0x10bb)](_0x541c46[_0x3efa4a(0x524)][_0x3efa4a(0x7fd)]):_0x541c46[_0x3efa4a(0x7fd)]||_0x541c46[_0x3efa4a(0xd5f)]()});});},function(){});}function _0x23a8f2(_0x4be29e){return _0x4be29e===null?undefined:new Date(_0x4be29e);}function _0x2db2aa(_0x44b888){const _0x1b95b7=_0x11b05f;_0x24c01f[_0x1b95b7(0x2458)](_0x44b888);}}const _0x13c860=_0x3337aa;;_0x505161[_0x313a4d(0x11c2)]=[_0x313a4d(0x247f),_0x313a4d(0x1fe4)];function _0x505161(_0x4e14c0,_0x4cd00f){const _0x11249a=_0x313a4d,_0x2e015c=this;_0x2e015c[_0x11249a(0x1f0f)]={},_0x2e015c['init']=_0x40adf1;function _0x40adf1(_0x4c2457){const _0x438e6e=_0x11249a;_0x2e015c[_0x438e6e(0x1f0f)]=_0x4c2457,_0x4e14c0[_0x438e6e(0x39f)]['get']({'limit':0x0,'VoiceQueueId':_0x4c2457['id']})[_0x438e6e(0x2945)][_0x438e6e(0x146b)](function(_0x5e8254){const _0x166992=_0x438e6e;return _0x2e015c[_0x166992(0x2877)]=_0x5e8254['count']||0x0,_0x4e14c0['cmHopper'][_0x166992(0x16b4)]({'limit':0x0,'VoiceQueueId':_0x4c2457['id'],'active':![],'countbusyretry':0x0,'countcongestionretry':0x0,'countnoanswerretry':0x0})[_0x166992(0x2945)];})['then'](function(_0x4886c1){const _0x17ad67=_0x438e6e;return _0x2e015c[_0x17ad67(0xbb0)]=_0x4886c1[_0x17ad67(0x51c)]||0x0,_0x4e14c0[_0x17ad67(0x2018)]['get']({'limit':0x0,'VoiceQueueId':_0x4c2457['id']})[_0x17ad67(0x2945)];})[_0x438e6e(0x146b)](function(_0x165380){const _0xe3ef1d=_0x438e6e;_0x2e015c[_0xe3ef1d(0x1943)]=_0x165380[_0xe3ef1d(0x51c)]||0x0;})['catch'](function(_0x2b726e){const _0x37027c=_0x438e6e;_0x4cd00f[_0x37027c(0x1980)]({'title':_0x2b726e[_0x37027c(0x107b)]?_0x37027c(0x262a)+_0x2b726e[_0x37027c(0x107b)]+'\x20-\x20'+_0x2b726e[_0x37027c(0x167f)]:_0x37027c(0x220e),'msg':_0x2b726e[_0x37027c(0x524)]?JSON['stringify'](_0x2b726e[_0x37027c(0x524)]):_0x2b726e[_0x37027c(0xd5f)]()});});}}const _0x204849=_0x505161;;_0x971f04[_0x313a4d(0x11c2)]=['$mdDialog','$q','toasty',_0x313a4d(0x247f),_0x313a4d(0x1f0f),_0x313a4d(0x214b),_0x313a4d(0x1abe),'Auth',_0x313a4d(0x2514)];function _0x971f04(_0x3112b8,_0x3f339c,_0x5af736,_0xc48747,_0x509ce4,_0x3c1097,_0x47b774,_0x56ac22,_0x1df0f0){const _0x1f05c7=_0x313a4d,_0x40e5ff=this;_0x40e5ff[_0x1f05c7(0x2321)]=_0x56ac22[_0x1f05c7(0xb12)](),_0x40e5ff[_0x1f05c7(0x1f0f)]=_0x509ce4,_0x40e5ff[_0x1f05c7(0x2514)]=_0x1df0f0,_0x40e5ff[_0x1f05c7(0x161a)]=_0x1f05c7(0x1446),_0x40e5ff['getApi']=_0x1f05c7(0x233d),_0x40e5ff[_0x1f05c7(0x8f4)]='addLists',_0x40e5ff[_0x1f05c7(0x1ae9)]='removeLists',_0x40e5ff[_0x1f05c7(0x1fd6)]=[],_0x40e5ff[_0x1f05c7(0xc4e)]=[],_0x40e5ff['selectedItems']=[],_0x40e5ff['startingAllowedItems']=[],_0x40e5ff['startingSelectedItems']=[],_0x40e5ff[_0x1f05c7(0x132)]=![],_0x40e5ff[_0x1f05c7(0x1699)]=![],_0x40e5ff[_0x1f05c7(0x1f8a)]={'readOnly':!_0x40e5ff['crudPermissions'][_0x1f05c7(0x15f4)],'allowedItems':[],'selectedItems':[],'showSelectAndDeselectAll':![],'orderBy':_0x1f05c7(0x19eb),'line1':'name','line2':'','line3':'','labelAll':_0x3c1097[_0x1f05c7(0xde)](_0x1f05c7(0x11e0)),'labelSelected':_0x3c1097[_0x1f05c7(0xde)]('MOTIONDIALER.SELECTED_LISTS'),'transferCallback':function(){const _0x3f32f6=_0x1f05c7,_0x1f3af8=_0x3f65c0()[_0x3f32f6(0x1883)](_0x40e5ff[_0x3f32f6(0xa65)],_0x40e5ff[_0x3f32f6(0x1ecf)],'id');_0x40e5ff[_0x3f32f6(0x132)]=_0x3f65c0()[_0x3f32f6(0x2635)](_0x1f3af8)?![]:!![];}},_0x40e5ff[_0x1f05c7(0x3d4)]=_0x472e76,_0x40e5ff[_0x1f05c7(0x24d1)]=_0x14ebec,_0x40e5ff[_0x1f05c7(0x13f3)]=_0x2e2ce3;function _0x472e76(){const _0x4c5b5e=_0x1f05c7;return _0x56ac22[_0x4c5b5e(0x23e0)](_0x4c5b5e(0x174b))?_0x2ed1a4()['catch'](function(_0x5b3be2){const _0xb300c2=_0x4c5b5e;_0x5af736[_0xb300c2(0x1980)]({'title':_0x5b3be2[_0xb300c2(0x107b)]?_0xb300c2(0x262a)+_0x5b3be2['status']+_0xb300c2(0x1315)+_0x5b3be2[_0xb300c2(0x167f)]:_0xb300c2(0x474),'msg':_0x5b3be2[_0xb300c2(0x107b)]?JSON[_0xb300c2(0x10bb)](_0x5b3be2['data']):_0x5b3be2[_0xb300c2(0xd5f)]()});}):_0x12db91()[_0x4c5b5e(0x146b)](function(_0x40f0de){const _0x1ad908=_0x4c5b5e;return _0x40e5ff[_0x1ad908(0x2146)]=_0x40f0de,_0x2ed1a4();})[_0x4c5b5e(0x129e)](function(_0x13260f){const _0x46d2da=_0x4c5b5e;_0x5af736['error']({'title':_0x13260f[_0x46d2da(0x107b)]?_0x46d2da(0x262a)+_0x13260f[_0x46d2da(0x107b)]+_0x46d2da(0x1315)+_0x13260f[_0x46d2da(0x167f)]:'SYSTEM:GETLISTS','msg':_0x13260f[_0x46d2da(0x107b)]?JSON[_0x46d2da(0x10bb)](_0x13260f[_0x46d2da(0x524)]):_0x13260f['toString']()});});}function _0x12db91(){return _0x3f339c(function(_0x3cf33e,_0x4cafb7){const _0x206639=a0_0x3bb9;_0xc48747[_0x206639(0x1366)][_0x206639(0x16b4)]({'userProfileId':_0x40e5ff[_0x206639(0x2321)][_0x206639(0x209a)],'name':_0x206639(0x174f)})[_0x206639(0x2945)][_0x206639(0x146b)](function(_0x5e52ef){const _0x25d90b=_0x206639,_0x282e09=_0x5e52ef&&_0x5e52ef[_0x25d90b(0x19c7)]?_0x5e52ef[_0x25d90b(0x19c7)][0x0]:null;_0x3cf33e(_0x282e09);})['catch'](function(_0x394582){_0x4cafb7(_0x394582);});});}function _0x2ed1a4(){return _0x3f339c(function(_0x518d30,_0x5c066e){const _0x2c10ff=a0_0x3bb9;return _0x65c835()[_0x2c10ff(0x146b)](function(_0x46ee64){const _0x319503=_0x2c10ff;return _0x40e5ff[_0x319503(0x1fd6)]=_0x46ee64['rows']?_0x46ee64['rows']:[],_0x56ac22[_0x319503(0x23e0)](_0x319503(0x174b))?_0x46ee64:_0x40e5ff[_0x319503(0x2146)]?_0x40e5ff[_0x319503(0x2146)][_0x319503(0x11d2)]?_0x46ee64:_0x56051f():null;})[_0x2c10ff(0x146b)](function(_0xa810b9){const _0x3442d0=_0x2c10ff,_0x56e64a=_0xa810b9&&_0xa810b9[_0x3442d0(0x19c7)]?_0xa810b9['rows']:[];return _0x40e5ff[_0x3442d0(0xc4e)]=_0x3f65c0()[_0x3442d0(0x205)](_0x56e64a,function(_0x44188b){const _0x25d200=_0x3442d0;return _0x3f65c0()['find'](_0x40e5ff['items'],{'id':_0x56ac22[_0x25d200(0x23e0)](_0x25d200(0x174b))||_0x40e5ff[_0x25d200(0x2146)][_0x25d200(0x11d2)]?_0x44188b['id']:_0x44188b[_0x25d200(0x18b8)]});}),_0x40e5ff['startingAllowedItems']=angular[_0x3442d0(0x235a)](_0x40e5ff[_0x3442d0(0xc4e)]),_0x40e5ff['items'][_0x3442d0(0x1df5)](function(_0x32119f){const _0x4e4137=_0x3442d0,_0x4b6aba=_0x3f65c0()[_0x4e4137(0xc84)](_0x40e5ff['allowedItems'],{'id':_0x32119f['id']});_0x40e5ff['currentUser'][_0x4e4137(0xfb0)]===_0x4e4137(0x174b)?_0x32119f[_0x4e4137(0x146f)]=!![]:_0x32119f[_0x4e4137(0x146f)]=typeof _0x4b6aba!==_0x4e4137(0x2274)?!![]:![];}),_0x22082d();})['then'](function(_0x4ace0d){const _0x483f87=_0x2c10ff,_0x381b45=_0x4ace0d&&_0x4ace0d[_0x483f87(0x19c7)]?_0x4ace0d[_0x483f87(0x19c7)]:[];_0x40e5ff['selectedItems']=_0x3f65c0()[_0x483f87(0x205)](_0x381b45,function(_0xd2e237){return _0x3f65c0()['find'](_0x40e5ff['items'],{'id':_0xd2e237['id']});}),_0x40e5ff[_0x483f87(0xa65)]=angular[_0x483f87(0x235a)](_0x40e5ff['selectedItems']),_0x40e5ff[_0x483f87(0x1f8a)][_0x483f87(0x1ecf)]=_0x40e5ff['selectedItems'],_0x40e5ff[_0x483f87(0x1f8a)]['items']=_0x3f65c0()[_0x483f87(0x2796)](_0x40e5ff[_0x483f87(0xc4e)],_0x40e5ff['dualMultiselectOptions'][_0x483f87(0x1ecf)],'id'),_0x518d30();})[_0x2c10ff(0x129e)](function(_0x40433f){_0x5c066e(_0x40433f);});});}function _0x56051f(){return _0x3f339c(function(_0x213c96,_0x5f344f){const _0x7d39e1=a0_0x3bb9;return _0xc48747['userProfileResource'][_0x7d39e1(0x16b4)]({'sectionId':_0x40e5ff[_0x7d39e1(0x2146)]['id'],'nolimit':!![]})[_0x7d39e1(0x2945)]['then'](function(_0x53300b){_0x213c96(_0x53300b);})[_0x7d39e1(0x129e)](function(_0x4f7c5){_0x5f344f(_0x4f7c5);});});}function _0x22082d(){return _0x3f339c(function(_0x721e36,_0x539981){const _0x31b6dc=a0_0x3bb9,_0x1fd2e0=_0xc48747[_0x40e5ff[_0x31b6dc(0x161a)]];return _0x1fd2e0[_0x40e5ff[_0x31b6dc(0x706)]]({'id':_0x40e5ff['queueCampaign']['id'],'fields':_0x31b6dc(0x7a7),'nolimit':!![]})[_0x31b6dc(0x2945)]['then'](function(_0x8731e4){_0x721e36(_0x8731e4);})['catch'](function(_0xc79067){_0x539981(_0xc79067);});});}function _0x65c835(){return _0x3f339c(function(_0x16ec5d,_0x253815){const _0x51ee32=a0_0x3bb9;return _0xc48747['cmList'][_0x51ee32(0x16b4)]({'fields':'id,name','nolimit':!![]})[_0x51ee32(0x2945)][_0x51ee32(0x146b)](function(_0x4017c9){_0x16ec5d(_0x4017c9);})[_0x51ee32(0x129e)](function(_0x3fc2f2){_0x253815(_0x3fc2f2);});});}function _0x218f8d(_0x2a2dfe){return _0x3f339c(function(_0xbf2d18,_0x4d2f9f){const _0x3d0303=a0_0x3bb9;if(_0x3f65c0()['isEmpty'](_0x2a2dfe))_0xbf2d18();else{const _0x41f1b9=_0xc48747[_0x40e5ff[_0x3d0303(0x161a)]];_0x41f1b9[_0x40e5ff['addApi']]({'id':_0x40e5ff[_0x3d0303(0x1f0f)]['id'],'ids':_0x3f65c0()[_0x3d0303(0x205)](_0x2a2dfe,'id')})[_0x3d0303(0x2945)][_0x3d0303(0x146b)](function(){_0xbf2d18();})[_0x3d0303(0x129e)](function(_0x909903){_0x4d2f9f(_0x909903);});}});}function _0x2808fb(_0x8e4cd1){return _0x3f339c(function(_0x434505,_0x48c00d){const _0x2dd66a=a0_0x3bb9;if(_0x3f65c0()[_0x2dd66a(0x2635)](_0x8e4cd1))_0x434505();else{const _0x4202a7=_0xc48747[_0x40e5ff[_0x2dd66a(0x161a)]];_0x4202a7[_0x40e5ff[_0x2dd66a(0x1ae9)]]({'id':_0x40e5ff[_0x2dd66a(0x1f0f)]['id'],'ids':_0x3f65c0()['map'](_0x8e4cd1,'id')})[_0x2dd66a(0x2945)]['then'](function(){_0x434505();})[_0x2dd66a(0x129e)](function(_0xe80f57){_0x48c00d(_0xe80f57);});}});}function _0x14ebec(){const _0x2996f7=_0x1f05c7;_0x40e5ff['loading']=!![];const _0x19d323=_0x3f65c0()[_0x2996f7(0x2796)](_0x40e5ff[_0x2996f7(0xa65)],_0x40e5ff[_0x2996f7(0x1ecf)],'id'),_0x58c9c1=_0x3f65c0()[_0x2996f7(0x2796)](_0x40e5ff['selectedItems'],_0x40e5ff[_0x2996f7(0xa65)],'id');return _0x2808fb(_0x19d323)[_0x2996f7(0x146b)](function(){return _0x218f8d(_0x58c9c1);})[_0x2996f7(0x146b)](function(){const _0x4694b9=_0x2996f7;_0x40e5ff[_0x4694b9(0x132)]=![],_0x40e5ff[_0x4694b9(0x2e8)]=angular[_0x4694b9(0x235a)](_0x40e5ff['allowedItems']),_0x40e5ff[_0x4694b9(0xa65)]=angular['copy'](_0x40e5ff[_0x4694b9(0x1ecf)]),_0x5af736[_0x4694b9(0x1c75)]({'title':'SUCCESS','msg':_0x4694b9(0x1031)});})[_0x2996f7(0x129e)](function(_0xecedda){const _0x1333e5=_0x2996f7;_0x5af736[_0x1333e5(0x1980)]({'title':_0xecedda[_0x1333e5(0x107b)]?'API:'+_0xecedda[_0x1333e5(0x107b)]+'\x20-\x20'+_0xecedda[_0x1333e5(0x167f)]:_0x1333e5(0xa56),'msg':_0xecedda[_0x1333e5(0x107b)]?JSON[_0x1333e5(0x10bb)](_0xecedda['data']):_0xecedda[_0x1333e5(0xd5f)]()});})[_0x2996f7(0x1ec6)](function(){const _0x18ddd9=_0x2996f7;_0x40e5ff[_0x18ddd9(0x1699)]=![];});}function _0x2e2ce3(){const _0xd8f11c=_0x1f05c7;_0x3112b8[_0xd8f11c(0x2458)]();}}const _0x23cbf1=_0x971f04;;_0x11c75d[_0x313a4d(0x11c2)]=['$scope','$mdDialog',_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0x1f0f),_0x313a4d(0x1014),_0x313a4d(0x2dc),'$q'];function _0x11c75d(_0x2c8f77,_0x5918cb,_0x5030f2,_0x4adf4a,_0x1de4fc,_0x34a993,_0x445418,_0x45c2dc){const _0x335a81=_0x313a4d,_0x317fed=this;_0x317fed[_0x335a81(0x839)]=[],_0x317fed[_0x335a81(0x1fd6)]=_0x34a993?_0x34a993:[],_0x317fed[_0x335a81(0x1699)]=![],_0x317fed['closeDialog']=_0x5e0014,_0x317fed[_0x335a81(0xbf4)]=_0x5af8a3,_0x317fed[_0x335a81(0x1f05)]=_0x343719,_0x317fed[_0x335a81(0xf52)]=_0x36c2e2,_0x317fed[_0x335a81(0x2735)]=_0x348cac,_0x317fed[_0x335a81(0x1d8a)]=_0x55d024,_0x317fed[_0x335a81(0x1f66)]=_0x1e1c44;function _0x343719(_0x2ecf13,_0x582727){const _0x384094=_0x335a81,_0x4d3401=_0x582727['indexOf'](_0x2ecf13);_0x4d3401>-0x1?_0x582727[_0x384094(0x1f7d)](_0x4d3401,0x1):_0x582727[_0x384094(0x1f47)](_0x2ecf13);}function _0x5af8a3(_0x3382dc,_0x318d2d){const _0x282fd4=_0x335a81;return _0x318d2d[_0x282fd4(0x172b)](_0x3382dc)>-0x1;}function _0x36c2e2(){const _0x312cdd=_0x335a81;return _0x317fed[_0x312cdd(0x839)]['length']!==0x0&&_0x317fed[_0x312cdd(0x839)][_0x312cdd(0x402)]!==_0x317fed['items'][_0x312cdd(0x402)];}function _0x348cac(){const _0x1d05f5=_0x335a81;return _0x317fed[_0x1d05f5(0x839)][_0x1d05f5(0x402)]===_0x317fed['items'][_0x1d05f5(0x402)];}function _0x55d024(){const _0x529fca=_0x335a81;if(_0x317fed[_0x529fca(0x839)][_0x529fca(0x402)]===_0x317fed[_0x529fca(0x1fd6)][_0x529fca(0x402)])_0x317fed[_0x529fca(0x839)]=[];else(_0x317fed['selected'][_0x529fca(0x402)]===0x0||_0x317fed[_0x529fca(0x839)][_0x529fca(0x402)]>0x0)&&(_0x317fed[_0x529fca(0x839)]=_0x317fed[_0x529fca(0x1fd6)][_0x529fca(0x1298)](0x0));}function _0x5e0014(){_0x5918cb['hide']();}function _0x1e1c44(){const _0x9cd903=_0x335a81;_0x317fed[_0x9cd903(0x1699)]=!![];const _0x511d9d=_0x673595();_0x511d9d[_0x9cd903(0x1ec6)](function(){const _0x41272a=_0x9cd903;_0x317fed[_0x41272a(0x1699)]=![],_0x5e0014();});}function _0x673595(){return _0x45c2dc(function(_0x34e968){const _0x5569e9=a0_0x3bb9;for(let _0x47dd73=0x0;_0x47dd73<_0x317fed[_0x5569e9(0x839)][_0x5569e9(0x402)];_0x47dd73+=0x1){_0x32fe82(_0x1de4fc['id'],_0x317fed[_0x5569e9(0x839)][_0x47dd73]);}_0x5db71d(_0x34e968);});}function _0x32fe82(_0x5cbe09,_0x5751da){const _0x4e3c14=_0x5751da['id'];_0x445418['enqueue'](function(){const _0x3bae14=a0_0x3bb9;return _0x4adf4a[_0x3bae14(0x1446)][_0x3bae14(0x1def)]({'id':_0x5cbe09,'ids':_0x4e3c14})[_0x3bae14(0x2945)]['then'](function(){const _0x36d43b=_0x3bae14;return _0x4adf4a[_0x36d43b(0x1446)][_0x36d43b(0x2352)]({'id':_0x5cbe09,'ids':_0x4e3c14,'dialCheckDuplicateType':_0x36d43b(0x6d2)})[_0x36d43b(0x2945)];})[_0x3bae14(0x146b)](function(){const _0x150a32=_0x3bae14;_0x5030f2['success']({'title':'Reset\x20Succesfull','msg':_0x150a32(0x18aa)+_0x5751da[_0x150a32(0x19eb)]+_0x150a32(0x1c44)});})[_0x3bae14(0x129e)](function(_0x5ba158){const _0x1dea52=_0x3bae14;_0x5030f2[_0x1dea52(0x1980)]({'title':_0x5ba158['status']?_0x1dea52(0x262a)+_0x5ba158[_0x1dea52(0x107b)]+_0x1dea52(0x1315)+_0x5ba158[_0x1dea52(0x167f)]:_0x1dea52(0xa74),'msg':_0x5ba158[_0x1dea52(0x107b)]?JSON[_0x1dea52(0x10bb)](_0x5ba158[_0x1dea52(0x524)]):_0x5ba158[_0x1dea52(0xd5f)]()});});});}function _0x5db71d(_0x53bddf){const _0x5608f4=_0x335a81;_0x445418[_0x5608f4(0x177a)](function(){return _0x53bddf();});}}const _0x5e55c4=_0x11c75d;;_0x3db5de[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),'api','queueCampaign',_0x313a4d(0x214b),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x3db5de(_0x40a547,_0x49236b,_0xd6f8b6,_0x3e6645,_0x57daec,_0x4f3b0d,_0x23aac5,_0x2e854e){const _0x14fd2c=_0x313a4d,_0x7c786=this;_0x7c786['currentUser']=_0x23aac5[_0x14fd2c(0xb12)](),_0x7c786[_0x14fd2c(0x1f0f)]=_0x57daec,_0x7c786[_0x14fd2c(0x2514)]=_0x2e854e,_0x7c786[_0x14fd2c(0x188d)]=0x0,_0x7c786[_0x14fd2c(0x1fd6)]=[],_0x7c786[_0x14fd2c(0xc4e)]=[],_0x7c786[_0x14fd2c(0x1ecf)]=[],_0x7c786[_0x14fd2c(0x2e8)]=[],_0x7c786[_0x14fd2c(0xa65)]=[],_0x7c786[_0x14fd2c(0x132)]=![],_0x7c786['dualMultiselectOptions']={'readOnly':!_0x7c786['crudPermissions'][_0x14fd2c(0x15f4)],'allowedItems':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':'name','line1':_0x14fd2c(0x19eb),'line2':'','line3':'','labelAll':_0x4f3b0d[_0x14fd2c(0xde)](_0x14fd2c(0x218d)),'labelSelected':_0x4f3b0d[_0x14fd2c(0xde)](_0x14fd2c(0x1ae7)),'transferCallback':function(_0x2e4c08,_0x38b2a0){const _0x40f06f=_0x14fd2c;_0x38b2a0?_0x2e4c08[_0x40f06f(0x1df5)](function(_0x363fbf){const _0x1d461f=_0x40f06f;_0x363fbf[_0x1d461f(0x188d)]=undefined;}):_0x2e4c08['forEach'](function(_0x408029){const _0x5e018c=_0x40f06f;_0x408029[_0x5e018c(0x188d)]=_0x5e018c(0x2505)+_0x7c786['penalty'];});const _0x142941=_0x3f65c0()['xorBy'](_0x7c786[_0x40f06f(0xa65)],_0x7c786['selectedItems'],'id');_0x7c786['pendingChanges']=_0x3f65c0()[_0x40f06f(0x2635)](_0x142941)?![]:!![];}},_0x7c786['onInit']=_0x104abc,_0x7c786['saveTeams']=_0x5dd0e5,_0x7c786['closeDialog']=_0x4bd4b8;function _0x104abc(){const _0x23e068=_0x14fd2c;return _0x23aac5[_0x23e068(0x23e0)](_0x23e068(0x174b))?_0x14feb7()[_0x23e068(0x129e)](function(_0x236c3a){const _0x13d526=_0x23e068;_0xd6f8b6['error']({'title':_0x236c3a[_0x13d526(0x107b)]?_0x13d526(0x262a)+_0x236c3a['status']+_0x13d526(0x1315)+_0x236c3a['statusText']:'SYSTEM:GET_TEAMS','msg':_0x236c3a['status']?JSON[_0x13d526(0x10bb)](_0x236c3a['data']):_0x236c3a['toString']()});}):_0xb59a83()[_0x23e068(0x146b)](function(_0x550d2a){const _0x5d8224=_0x23e068;return _0x7c786[_0x5d8224(0x2146)]=_0x550d2a,_0x14feb7();})[_0x23e068(0x129e)](function(_0x1ceacd){const _0x43b343=_0x23e068;_0xd6f8b6[_0x43b343(0x1980)]({'title':_0x1ceacd['status']?_0x43b343(0x262a)+_0x1ceacd['status']+_0x43b343(0x1315)+_0x1ceacd[_0x43b343(0x167f)]:_0x43b343(0x5a5),'msg':_0x1ceacd[_0x43b343(0x107b)]?JSON[_0x43b343(0x10bb)](_0x1ceacd[_0x43b343(0x524)]):_0x1ceacd['toString']()});});}function _0xb59a83(){return _0x49236b(function(_0x5e733a,_0xe95f07){const _0x28ab34=a0_0x3bb9;_0x3e6645['userProfileSection'][_0x28ab34(0x16b4)]({'userProfileId':_0x7c786['currentUser'][_0x28ab34(0x209a)],'name':_0x28ab34(0x21ae)})[_0x28ab34(0x2945)][_0x28ab34(0x146b)](function(_0x4ff805){const _0x31f9c2=_0x28ab34,_0x37c944=_0x4ff805&&_0x4ff805['rows']?_0x4ff805[_0x31f9c2(0x19c7)][0x0]:null;_0x5e733a(_0x37c944);})[_0x28ab34(0x129e)](function(_0x325180){_0xe95f07(_0x325180);});});}function _0x14feb7(){return _0x49236b(function(_0x3fa666,_0x42b394){const _0x53dd71=a0_0x3bb9;return _0x114f81()[_0x53dd71(0x146b)](function(_0x572ba9){const _0x4f8662=_0x53dd71;return _0x7c786['items']=_0x572ba9[_0x4f8662(0x19c7)]?_0x572ba9['rows']:[],_0x23aac5['hasRole']('admin')?_0x572ba9:_0x7c786['section']?_0x7c786[_0x4f8662(0x2146)][_0x4f8662(0x11d2)]?_0x572ba9:_0x34bdfe():null;})[_0x53dd71(0x146b)](function(_0x55c9d7){const _0x5f4bdc=_0x53dd71,_0x26c083=_0x55c9d7&&_0x55c9d7[_0x5f4bdc(0x19c7)]?_0x55c9d7[_0x5f4bdc(0x19c7)]:[];return _0x7c786[_0x5f4bdc(0xc4e)]=_0x3f65c0()['map'](_0x26c083,function(_0x1fb0ce){const _0x4040cb=_0x5f4bdc;return _0x3f65c0()[_0x4040cb(0xc84)](_0x7c786[_0x4040cb(0x1fd6)],{'id':_0x23aac5[_0x4040cb(0x23e0)]('admin')||_0x7c786['section']['autoAssociation']?_0x1fb0ce['id']:_0x1fb0ce[_0x4040cb(0x18b8)]});}),_0x7c786[_0x5f4bdc(0x1fd6)]['forEach'](function(_0x469189){const _0x532277=_0x5f4bdc,_0x2bdef2=_0x3f65c0()['find'](_0x7c786[_0x532277(0xc4e)],{'id':_0x469189['id']});_0x23aac5[_0x532277(0x23e0)](_0x532277(0x174b))?_0x469189['isValid']=!![]:_0x469189['isValid']=typeof _0x2bdef2!=='undefined'?!![]:![];}),_0x1633e6();})[_0x53dd71(0x146b)](function(_0x540b26){const _0x3cc30f=_0x53dd71,_0x5d82fb=_0x540b26&&_0x540b26[_0x3cc30f(0x19c7)]?_0x540b26[_0x3cc30f(0x19c7)]:[];_0x7c786[_0x3cc30f(0x1ecf)]=_0x3f65c0()[_0x3cc30f(0x205)](_0x5d82fb,function(_0x293784){const _0x21f5d1=_0x3cc30f;return _0x3f65c0()[_0x21f5d1(0xc84)](_0x7c786[_0x21f5d1(0x1fd6)],{'id':_0x293784['id']});}),_0x7c786[_0x3cc30f(0xa65)]=angular['copy'](_0x7c786[_0x3cc30f(0x1ecf)]),_0x7c786[_0x3cc30f(0x1f8a)]['selectedItems']=_0x7c786['selectedItems'],_0x7c786['dualMultiselectOptions']['items']=_0x3f65c0()['differenceBy'](_0x7c786['allowedItems'],_0x7c786[_0x3cc30f(0x1f8a)]['selectedItems'],'id'),_0x3fa666();})[_0x53dd71(0x129e)](function(_0x4e623b){_0x42b394(_0x4e623b);});});}function _0x34bdfe(){return _0x49236b(function(_0x2eec35,_0xbba418){const _0x5d445e=a0_0x3bb9;return _0x3e6645[_0x5d445e(0xdcc)][_0x5d445e(0x16b4)]({'sectionId':_0x7c786[_0x5d445e(0x2146)]['id'],'nolimit':!![]})[_0x5d445e(0x2945)][_0x5d445e(0x146b)](function(_0x37bde5){_0x2eec35(_0x37bde5);})[_0x5d445e(0x129e)](function(_0x539267){_0xbba418(_0x539267);});});}function _0x1633e6(){return _0x49236b(function(_0x522280,_0x4d55ad){const _0x3ff706=a0_0x3bb9;return _0x3e6645[_0x3ff706(0x1446)][_0x3ff706(0x11d1)]({'id':_0x7c786['queueCampaign']['id'],'fields':_0x3ff706(0x7a7),'nolimit':!![]})[_0x3ff706(0x2945)]['then'](function(_0x1c0381){_0x522280(_0x1c0381);})['catch'](function(_0x1197c4){_0x4d55ad(_0x1197c4);});});}function _0x114f81(){return _0x49236b(function(_0xeaf545,_0xefb47){const _0x557898=a0_0x3bb9;return _0x3e6645[_0x557898(0x23f1)]['get']({'fields':_0x557898(0x7a7),'nolimit':!![]})['$promise'][_0x557898(0x146b)](function(_0x1fbc99){_0xeaf545(_0x1fbc99);})[_0x557898(0x129e)](function(_0x4fc8ff){_0xefb47(_0x4fc8ff);});});}function _0x292aff(_0x4c5c15){return _0x49236b(function(_0x3dc41e,_0x1fc645){const _0x1a44a1=a0_0x3bb9;if(_0x3f65c0()[_0x1a44a1(0x2635)](_0x4c5c15))_0x3dc41e();else{const _0x3606ef=_0x3f65c0()['map'](_0x4c5c15,function(_0x42f203){const _0x103517=_0x1a44a1;return{'id':_0x42f203['id'],'penalty':_0x3f65c0()[_0x103517(0x15a3)](_0x42f203[_0x103517(0x188d)],_0x103517(0x2505))};});return _0x3e6645['voiceQueue']['addTeams']({'id':_0x7c786[_0x1a44a1(0x1f0f)]['id'],'teams':_0x3606ef})[_0x1a44a1(0x2945)][_0x1a44a1(0x146b)](function(){_0x3dc41e();})[_0x1a44a1(0x129e)](function(_0x515eef){_0x1fc645(_0x515eef);});}});}function _0x24609a(_0x26f87d){return _0x49236b(function(_0x213852,_0x24e0c9){const _0x520ac4=a0_0x3bb9;_0x3f65c0()['isEmpty'](_0x26f87d)?_0x213852():_0x3e6645[_0x520ac4(0x1446)][_0x520ac4(0xfa4)]({'id':_0x7c786['queueCampaign']['id'],'ids':_0x3f65c0()['map'](_0x26f87d,'id')})[_0x520ac4(0x2945)][_0x520ac4(0x146b)](function(){_0x213852();})['catch'](function(_0x460096){_0x24e0c9(_0x460096);});});}function _0x5dd0e5(){const _0x59957c=_0x14fd2c,_0x8490d3=_0x3f65c0()[_0x59957c(0x2796)](_0x7c786['startingSelectedItems'],_0x7c786[_0x59957c(0x1ecf)],'id'),_0x37a1bd=_0x3f65c0()[_0x59957c(0x2796)](_0x7c786[_0x59957c(0x1ecf)],_0x7c786['startingSelectedItems'],'id');return _0x24609a(_0x8490d3)[_0x59957c(0x146b)](function(){return _0x292aff(_0x37a1bd);})[_0x59957c(0x146b)](function(){const _0x38ffd7=_0x59957c;_0x7c786[_0x38ffd7(0x132)]=![],_0x7c786['startingAllowedItems']=angular[_0x38ffd7(0x235a)](_0x7c786['allowedItems']),_0x7c786['startingSelectedItems']=angular[_0x38ffd7(0x235a)](_0x7c786[_0x38ffd7(0x1ecf)]),_0xd6f8b6['success']({'title':'SUCCESS','msg':_0x38ffd7(0xf08)});})['catch'](function(_0x39b721){const _0x3f5d86=_0x59957c;_0xd6f8b6['error']({'title':_0x39b721['status']?'API:'+_0x39b721[_0x3f5d86(0x107b)]+_0x3f5d86(0x1315)+_0x39b721[_0x3f5d86(0x167f)]:_0x3f5d86(0xa56),'msg':_0x39b721[_0x3f5d86(0x107b)]?JSON[_0x3f5d86(0x10bb)](_0x39b721[_0x3f5d86(0x524)]):_0x39b721[_0x3f5d86(0xd5f)]()});});}function _0x4bd4b8(){const _0x263c09=_0x14fd2c;_0x40a547[_0x263c09(0x2458)]();}}const _0x16032b=_0x3db5de;;const _0x3f6aea=_0x4acfac['p']+_0x313a4d(0x8a4);;const _0x2010aa=_0x4acfac['p']+_0x313a4d(0xf64);;const _0xaffb8e=_0x4acfac['p']+'src/js/modules/main/apps/motiondialer/views/queueCampaigns/edit/blacklistadd/blacklistadd.html/blacklistadd.html';;const _0x412655=_0x4acfac['p']+_0x313a4d(0x1ae);;const _0x1afd81=_0x4acfac['p']+_0x313a4d(0x207c);;_0x13a4f8['$inject']=[_0x313a4d(0x1862),_0x313a4d(0xd08),'$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x214b),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x1f0f),'userProfileSection'];function _0x13a4f8(_0x4f3689,_0x167f91,_0x28b2d6,_0x26e7ca,_0x1569e0,_0x4f6916,_0xa73ee4,_0x4f590d,_0x2abc97,_0x26d6e0,_0xd4f7a0,_0x11154e){const _0x35749c=_0x313a4d,_0x36c4d7=this;_0x36c4d7[_0x35749c(0x2321)]=_0x26d6e0[_0x35749c(0xb12)](),_0x36c4d7[_0x35749c(0x2690)]=_0x4f6916,_0x36c4d7['setting']=_0xa73ee4,_0x36c4d7[_0x35749c(0x2251)]=_0x36c4d7['setting'][_0x35749c(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x36c4d7[_0x35749c(0x1002)]=_0x167f91[_0x35749c(0x2414)]()+_0x35749c(0xb0e)+_0x167f91['host'](),_0x36c4d7['queueCampaign']=_0xd4f7a0||_0x4f3689[_0x35749c(0x16a)]['queueCampaign']||{},_0x36c4d7[_0x35749c(0x1366)]=_0x11154e&&_0x11154e[_0x35749c(0x51c)]==0x1?_0x11154e[_0x35749c(0x19c7)][0x0]:null,_0x36c4d7[_0x35749c(0x2514)]=_0x26d6e0[_0x35749c(0xe60)](_0x36c4d7['userProfileSection']?_0x36c4d7['userProfileSection'][_0x35749c(0x2514)]:null),_0x36c4d7[_0x35749c(0x855)]={},_0x36c4d7['selectedTab']=_0x4f3689['params']['tab']||0x0,_0x36c4d7['clonedialog']=_0x3fd8ab,_0x36c4d7['listadddialog']=_0x1f6f99,_0x36c4d7[_0x35749c(0x1f45)]=_0x123918,_0x36c4d7[_0x35749c(0x244)]=_0x574bfe,_0x36c4d7[_0x35749c(0x1027)]=_0x2a708b,_0x36c4d7[_0x35749c(0x6a9)]=_0x13b2fd,_0x36c4d7[_0x35749c(0x3a9)]=_0x5e0df5,_0x36c4d7[_0x35749c(0x861)]=_0x2abc97[_0x35749c(0x271e)],_0x36c4d7[_0x35749c(0x4cd)]=_0x230cee,_0x36c4d7[_0x35749c(0x27a9)]=_0x384822,_0x26d6e0['hasRole']('admin')?_0x4f590d[_0x35749c(0x7bd)][_0x35749c(0x16b4)]({'fields':_0x35749c(0x7a7),'nolimit':_0x35749c(0x1185)})[_0x35749c(0x2945)][_0x35749c(0x146b)](function(_0x49c6e1){const _0xee0389=_0x35749c;_0x36c4d7[_0xee0389(0x19a8)]=_0x49c6e1[_0xee0389(0x19c7)]||[];})['catch'](function(_0x5f4dd6){const _0x4527aa=_0x35749c;_0x2abc97[_0x4527aa(0x1980)]({'title':_0x5f4dd6[_0x4527aa(0x107b)]?_0x4527aa(0x262a)+_0x5f4dd6['status']+_0x4527aa(0x1315)+_0x5f4dd6[_0x4527aa(0x167f)]:'SYSTEM:GET_MUSICONHOLDS','msg':_0x5f4dd6[_0x4527aa(0x524)]?JSON[_0x4527aa(0x10bb)](_0x5f4dd6[_0x4527aa(0x524)]):_0x5f4dd6[_0x4527aa(0xd5f)]()});}):_0x4f590d[_0x35749c(0x7bd)][_0x35749c(0x16b4)]({'fields':_0x35749c(0x7a7),'nolimit':_0x35749c(0x1185)})[_0x35749c(0x2945)][_0x35749c(0x146b)](function(_0x387184){const _0x33320b=_0x35749c;_0x36c4d7[_0x33320b(0x19a8)]=_0x387184[_0x33320b(0x19c7)]||[];})[_0x35749c(0x146b)](function(){const _0x275cf0=_0x35749c;return _0x4f590d[_0x275cf0(0x1366)]['get']({'userProfileId':_0x36c4d7[_0x275cf0(0x2321)][_0x275cf0(0x209a)],'sectionId':0x197})['$promise'];})[_0x35749c(0x146b)](function(_0x1475b3){const _0x2915d1=_0x35749c,_0x868e1e=_0x1475b3&&_0x1475b3[_0x2915d1(0x19c7)]?_0x1475b3[_0x2915d1(0x19c7)][0x0]:null;if(!_0x868e1e)return _0x4f590d[_0x2915d1(0x7bd)][_0x2915d1(0x16b4)]({'fields':'id,name','nolimit':'true','defaultEntry':0x1})[_0x2915d1(0x2945)][_0x2915d1(0x146b)](function(_0xc00b23){const _0x3fd055=_0x2915d1;_0x36c4d7[_0x3fd055(0x19a8)]=_0xc00b23[_0x3fd055(0x19c7)]||[];});else{if(!_0x868e1e[_0x2915d1(0x11d2)])return _0x4f590d[_0x2915d1(0xdcc)][_0x2915d1(0x16b4)]({'sectionId':_0x868e1e['id']})[_0x2915d1(0x2945)][_0x2915d1(0x146b)](function(_0x1c25a0){const _0x4b2165=_0x2915d1,_0x936799=_0x3f65c0()[_0x4b2165(0x205)](_0x1c25a0[_0x4b2165(0x19c7)],function(_0x2aed17){const _0x2f71a3=_0x4b2165;return _0x3f65c0()[_0x2f71a3(0xc84)](_0x36c4d7[_0x2f71a3(0x19a8)],{'id':_0x2aed17[_0x2f71a3(0x18b8)]});});let _0x5e7e8a=null;_0x36c4d7[_0x4b2165(0x1f0f)]&&(_0x5e7e8a=_0x3f65c0()[_0x4b2165(0xc84)](_0x36c4d7[_0x4b2165(0x19a8)],{'name':_0x36c4d7[_0x4b2165(0x1f0f)][_0x4b2165(0x23ee)]}));if(_0x5e7e8a&&!_0x3f65c0()['some'](_0x936799,['id',_0x5e7e8a['id']])){const _0x33d446=_0x3f65c0()['find'](_0x36c4d7[_0x4b2165(0x19a8)],{'id':_0x5e7e8a['id']});_0x33d446['canSelect']=![],_0x936799['push'](_0x33d446);}_0x36c4d7[_0x4b2165(0x19a8)]=_0x936799;});}})[_0x35749c(0x129e)](function(_0xc320b3){const _0x24f0b1=_0x35749c;_0x2abc97[_0x24f0b1(0x1980)]({'title':_0xc320b3['status']?_0x24f0b1(0x262a)+_0xc320b3['status']+_0x24f0b1(0x1315)+_0xc320b3[_0x24f0b1(0x167f)]:_0x24f0b1(0x9e2),'msg':_0xc320b3[_0x24f0b1(0x524)]?JSON['stringify'](_0xc320b3[_0x24f0b1(0x524)]):_0xc320b3[_0x24f0b1(0xd5f)]()});}),_0x26d6e0[_0x35749c(0x23e0)](_0x35749c(0x174b))?_0x4f590d[_0x35749c(0x279f)]['get']({'fields':'id,name','sort':'name'})[_0x35749c(0x2945)][_0x35749c(0x146b)](function(_0x39595e){const _0x4e84c7=_0x35749c;_0x36c4d7[_0x4e84c7(0x2433)]=_0x39595e[_0x4e84c7(0x19c7)]||[];})[_0x35749c(0x129e)](function(_0x2b1d34){const _0x164fc=_0x35749c;_0x2abc97[_0x164fc(0x1980)]({'title':_0x2b1d34[_0x164fc(0x107b)]?'API:'+_0x2b1d34[_0x164fc(0x107b)]+_0x164fc(0x1315)+_0x2b1d34['statusText']:_0x164fc(0xfc0),'msg':_0x2b1d34[_0x164fc(0x524)]?JSON['stringify'](_0x2b1d34[_0x164fc(0x524)]):_0x2b1d34['toString']()});}):_0x4f590d[_0x35749c(0x279f)][_0x35749c(0x16b4)]({'fields':_0x35749c(0x7a7),'sort':'name'})[_0x35749c(0x2945)][_0x35749c(0x146b)](function(_0x2dcf6f){const _0x3f960e=_0x35749c;_0x36c4d7[_0x3f960e(0x2433)]=_0x2dcf6f['rows']||[];})[_0x35749c(0x146b)](function(){const _0x7cf064=_0x35749c;return _0x4f590d[_0x7cf064(0x1366)]['get']({'userProfileId':_0x36c4d7[_0x7cf064(0x2321)][_0x7cf064(0x209a)],'sectionId':0x3f3})[_0x7cf064(0x2945)];})[_0x35749c(0x146b)](function(_0x246a2c){const _0xa3b3c6=_0x35749c,_0x15aa67=_0x246a2c&&_0x246a2c['rows']?_0x246a2c[_0xa3b3c6(0x19c7)][0x0]:null;if(!_0x15aa67){const _0x4716b9=[];let _0x594b9d=null;_0x36c4d7[_0xa3b3c6(0x1f0f)]&&(_0x594b9d=_0x3f65c0()['find'](_0x36c4d7[_0xa3b3c6(0x2433)],{'id':Number(_0x36c4d7[_0xa3b3c6(0x1f0f)][_0xa3b3c6(0x1b77)])}));for(let _0x86f603=0x0;_0x86f603<_0x36c4d7[_0xa3b3c6(0x2433)][_0xa3b3c6(0x402)];_0x86f603++){_0x594b9d&&_0x36c4d7['trunks'][_0x86f603]['id']===_0x594b9d['id']&&(_0x36c4d7[_0xa3b3c6(0x2433)][_0x86f603][_0xa3b3c6(0x8ff)]=![],_0x4716b9['push'](_0x36c4d7[_0xa3b3c6(0x2433)][_0x86f603]));}_0x36c4d7['trunks']=_0x4716b9;}else{if(!_0x15aa67['autoAssociation'])return _0x4f590d['userProfileResource']['get']({'sectionId':_0x15aa67['id']})[_0xa3b3c6(0x2945)]['then'](function(_0x20647d){const _0x46a830=_0xa3b3c6,_0xadf144=_0x3f65c0()[_0x46a830(0x205)](_0x20647d['rows'],function(_0x174ccd){const _0x39097c=_0x46a830;return _0x3f65c0()[_0x39097c(0xc84)](_0x36c4d7[_0x39097c(0x2433)],{'id':_0x174ccd['resourceId']});});let _0x1470f8=null;_0x36c4d7[_0x46a830(0x1f0f)]&&(_0x1470f8=_0x3f65c0()[_0x46a830(0xc84)](_0x36c4d7[_0x46a830(0x2433)],{'id':Number(_0x36c4d7[_0x46a830(0x1f0f)][_0x46a830(0x1b77)])}));if(_0x1470f8&&!_0x3f65c0()['some'](_0xadf144,['id',_0x1470f8['id']])){const _0x34e908=_0x3f65c0()[_0x46a830(0xc84)](_0x36c4d7[_0x46a830(0x2433)],{'id':_0x1470f8['id']});_0x34e908[_0x46a830(0x8ff)]=![],_0xadf144[_0x46a830(0x1f47)](_0x34e908);}_0x36c4d7[_0x46a830(0x2433)]=_0xadf144;});}})[_0x35749c(0x129e)](function(_0x59b9c8){const _0x2876bc=_0x35749c;_0x2abc97[_0x2876bc(0x1980)]({'title':_0x59b9c8[_0x2876bc(0x107b)]?_0x2876bc(0x262a)+_0x59b9c8[_0x2876bc(0x107b)]+_0x2876bc(0x1315)+_0x59b9c8[_0x2876bc(0x167f)]:_0x2876bc(0x2436),'msg':_0x59b9c8[_0x2876bc(0x524)]?JSON['stringify'](_0x59b9c8['data']):_0x59b9c8[_0x2876bc(0xd5f)]()});}),_0x26d6e0[_0x35749c(0x23e0)](_0x35749c(0x174b))?_0x4f590d[_0x35749c(0x9b1)]['get']({'fields':_0x35749c(0x7a7),'sort':_0x35749c(0x19eb)})[_0x35749c(0x2945)]['then'](function(_0x178332){const _0x5a4d1d=_0x35749c;_0x36c4d7[_0x5a4d1d(0x1991)]=_0x178332['rows']||[];})[_0x35749c(0x129e)](function(_0x35c729){const _0x1246da=_0x35749c;_0x2abc97[_0x1246da(0x1980)]({'title':_0x35c729[_0x1246da(0x107b)]?'API:'+_0x35c729[_0x1246da(0x107b)]+_0x1246da(0x1315)+_0x35c729[_0x1246da(0x167f)]:_0x1246da(0x1764),'msg':_0x35c729['data']?JSON[_0x1246da(0x10bb)](_0x35c729['data']):_0x35c729[_0x1246da(0xd5f)]()});}):_0x4f590d['squareProject']['get']({'fields':'id,name','sort':_0x35749c(0x19eb)})[_0x35749c(0x2945)][_0x35749c(0x146b)](function(_0x573cd1){_0x36c4d7['projects']=_0x573cd1['rows']||[];})[_0x35749c(0x146b)](function(){const _0x11304b=_0x35749c;return _0x4f590d[_0x11304b(0x1366)][_0x11304b(0x16b4)]({'userProfileId':_0x36c4d7[_0x11304b(0x2321)][_0x11304b(0x209a)],'sectionId':0x44f})[_0x11304b(0x2945)];})[_0x35749c(0x146b)](function(_0xfdea82){const _0x6fd3b0=_0x35749c,_0x10b286=_0xfdea82&&_0xfdea82[_0x6fd3b0(0x19c7)]?_0xfdea82[_0x6fd3b0(0x19c7)][0x0]:null;if(!_0x10b286){const _0x4576cb=[];let _0xe88ea3=null;_0x36c4d7[_0x6fd3b0(0x1f0f)]&&(_0xe88ea3=_0x3f65c0()[_0x6fd3b0(0xc84)](_0x36c4d7[_0x6fd3b0(0x1991)],{'name':_0x36c4d7[_0x6fd3b0(0x1f0f)]['dialQueueProject']}));for(let _0x4f58b7=0x0;_0x4f58b7<_0x36c4d7[_0x6fd3b0(0x1991)][_0x6fd3b0(0x402)];_0x4f58b7++){_0xe88ea3&&_0x36c4d7[_0x6fd3b0(0x1991)][_0x4f58b7]['id']===_0xe88ea3['id']&&(_0x36c4d7[_0x6fd3b0(0x1991)][_0x4f58b7]['canSelect']=![],_0x4576cb['push'](_0x36c4d7[_0x6fd3b0(0x1991)][_0x4f58b7]));}_0x36c4d7[_0x6fd3b0(0x1991)]=_0x4576cb;}else{if(!_0x10b286['autoAssociation'])return _0x4f590d['userProfileResource'][_0x6fd3b0(0x16b4)]({'sectionId':_0x10b286['id']})[_0x6fd3b0(0x2945)][_0x6fd3b0(0x146b)](function(_0x619981){const _0x31e5eb=_0x6fd3b0,_0x4d11ff=_0x3f65c0()['map'](_0x619981['rows'],function(_0x2d45e7){const _0x506c6a=a0_0x3bb9;return _0x3f65c0()[_0x506c6a(0xc84)](_0x36c4d7['projects'],{'id':_0x2d45e7[_0x506c6a(0x18b8)]});});let _0x43580f=null;_0x36c4d7[_0x31e5eb(0x1f0f)]&&(_0x43580f=_0x3f65c0()[_0x31e5eb(0xc84)](_0x36c4d7[_0x31e5eb(0x1991)],{'name':_0x36c4d7['queueCampaign'][_0x31e5eb(0xb0b)]}));if(_0x43580f&&!_0x3f65c0()[_0x31e5eb(0x1360)](_0x4d11ff,['id',_0x43580f['id']])){const _0x382d0c=_0x3f65c0()['find'](_0x36c4d7['projects'],{'id':_0x43580f['id']});_0x382d0c[_0x31e5eb(0x8ff)]=![],_0x4d11ff[_0x31e5eb(0x1f47)](_0x382d0c);}_0x36c4d7[_0x31e5eb(0x1991)]=_0x4d11ff;});}})[_0x35749c(0x129e)](function(_0x2d9026){const _0x4a8600=_0x35749c;_0x2abc97[_0x4a8600(0x1980)]({'title':_0x2d9026[_0x4a8600(0x107b)]?_0x4a8600(0x262a)+_0x2d9026[_0x4a8600(0x107b)]+_0x4a8600(0x1315)+_0x2d9026['statusText']:_0x4a8600(0x193b),'msg':_0x2d9026[_0x4a8600(0x524)]?JSON[_0x4a8600(0x10bb)](_0x2d9026['data']):_0x2d9026[_0x4a8600(0xd5f)]()});}),_0x26d6e0[_0x35749c(0x23e0)]('admin')?_0x4f590d[_0x35749c(0x2765)][_0x35749c(0x16b4)]({'fields':_0x35749c(0x7a7),'sort':'name','IntervalId':_0x35749c(0x203c)})[_0x35749c(0x2945)][_0x35749c(0x146b)](function(_0x39b23b){const _0x512368=_0x35749c;_0x36c4d7[_0x512368(0x2765)]=_0x39b23b[_0x512368(0x19c7)]||[];})[_0x35749c(0x129e)](function(_0x3f2d17){const _0x443f83=_0x35749c;_0x2abc97[_0x443f83(0x1980)]({'title':_0x3f2d17[_0x443f83(0x107b)]?_0x443f83(0x262a)+_0x3f2d17['status']+_0x443f83(0x1315)+_0x3f2d17[_0x443f83(0x167f)]:_0x443f83(0x12c5),'msg':_0x3f2d17[_0x443f83(0x524)]?JSON[_0x443f83(0x10bb)](_0x3f2d17[_0x443f83(0x524)]):_0x3f2d17[_0x443f83(0xd5f)]()});}):_0x4f590d[_0x35749c(0x2765)]['get']({'fields':_0x35749c(0x7a7),'sort':'name','IntervalId':'null'})['$promise']['then'](function(_0x64d7d4){const _0x31a388=_0x35749c;_0x36c4d7['interval']=_0x64d7d4[_0x31a388(0x19c7)]||[];})['then'](function(){const _0x52ad99=_0x35749c;return _0x4f590d[_0x52ad99(0x1366)]['get']({'userProfileId':_0x36c4d7[_0x52ad99(0x2321)][_0x52ad99(0x209a)],'sectionId':0x3ec})[_0x52ad99(0x2945)];})[_0x35749c(0x146b)](function(_0x4b86dc){const _0x3440ad=_0x35749c,_0x2c4b51=_0x4b86dc&&_0x4b86dc[_0x3440ad(0x19c7)]?_0x4b86dc[_0x3440ad(0x19c7)][0x0]:null;if(!_0x2c4b51){const _0x24c97a=[];let _0x4ac737=null;_0x36c4d7[_0x3440ad(0x1f0f)]&&(_0x4ac737=_0x3f65c0()[_0x3440ad(0xc84)](_0x36c4d7[_0x3440ad(0x2765)],{'id':Number(_0x36c4d7[_0x3440ad(0x1f0f)][_0x3440ad(0x1ff2)])}));for(let _0x27687f=0x0;_0x27687f<_0x36c4d7['interval'][_0x3440ad(0x402)];_0x27687f++){_0x4ac737&&_0x36c4d7[_0x3440ad(0x2765)][_0x27687f]['id']===_0x4ac737['id']&&(_0x36c4d7[_0x3440ad(0x2765)][_0x27687f][_0x3440ad(0x8ff)]=![],_0x24c97a['push'](_0x36c4d7['interval'][_0x27687f]));}_0x36c4d7['interval']=_0x24c97a;}else{if(!_0x2c4b51[_0x3440ad(0x11d2)])return _0x4f590d[_0x3440ad(0xdcc)][_0x3440ad(0x16b4)]({'sectionId':_0x2c4b51['id']})[_0x3440ad(0x2945)][_0x3440ad(0x146b)](function(_0xe9a8a){const _0x270b51=_0x3440ad,_0x4d5d62=_0x3f65c0()[_0x270b51(0x205)](_0xe9a8a[_0x270b51(0x19c7)],function(_0x530e87){const _0x44e2b7=_0x270b51;return _0x3f65c0()[_0x44e2b7(0xc84)](_0x36c4d7['interval'],{'id':_0x530e87[_0x44e2b7(0x18b8)]});});let _0x266a37=null;_0x36c4d7['queueCampaign']&&(_0x266a37=_0x3f65c0()[_0x270b51(0xc84)](_0x36c4d7[_0x270b51(0x2765)],{'id':Number(_0x36c4d7['queueCampaign'][_0x270b51(0x1ff2)])}));if(_0x266a37&&!_0x3f65c0()['some'](_0x4d5d62,['id',_0x266a37['id']])){const _0x549293=_0x3f65c0()[_0x270b51(0xc84)](_0x36c4d7[_0x270b51(0x2765)],{'id':_0x266a37['id']});_0x549293[_0x270b51(0x8ff)]=![],_0x4d5d62[_0x270b51(0x1f47)](_0x549293);}_0x36c4d7[_0x270b51(0x2765)]=_0x4d5d62;});}})[_0x35749c(0x129e)](function(_0x4baad5){const _0x56ff06=_0x35749c;_0x2abc97[_0x56ff06(0x1980)]({'title':_0x4baad5['status']?_0x56ff06(0x262a)+_0x4baad5[_0x56ff06(0x107b)]+_0x56ff06(0x1315)+_0x4baad5[_0x56ff06(0x167f)]:_0x56ff06(0x8b6),'msg':_0x4baad5[_0x56ff06(0x524)]?JSON[_0x56ff06(0x10bb)](_0x4baad5[_0x56ff06(0x524)]):_0x4baad5[_0x56ff06(0xd5f)]()});}),_0x26d6e0[_0x35749c(0x23e0)](_0x35749c(0x174b))?_0x4f590d[_0x35749c(0x1e3e)]['get']({'fields':_0x35749c(0x69a),'sort':_0x35749c(0x19eb),'nolimit':_0x35749c(0x1185)})[_0x35749c(0x2945)][_0x35749c(0x146b)](function(_0x4a5d69){const _0x20d964=_0x35749c;_0x36c4d7[_0x20d964(0x23bb)]=_0x4a5d69[_0x20d964(0x19c7)]||[];})[_0x35749c(0x129e)](function(_0x8ae5c7){const _0x22064a=_0x35749c;_0x2abc97['error']({'title':_0x8ae5c7[_0x22064a(0x107b)]?'API:'+_0x8ae5c7[_0x22064a(0x107b)]+_0x22064a(0x1315)+_0x8ae5c7[_0x22064a(0x167f)]:_0x22064a(0x1e82),'msg':_0x8ae5c7[_0x22064a(0x524)]?JSON[_0x22064a(0x10bb)](_0x8ae5c7[_0x22064a(0x524)]):_0x8ae5c7['toString']()});}):_0x4f590d[_0x35749c(0x1e3e)][_0x35749c(0x16b4)]({'fields':_0x35749c(0x69a),'sort':'name','nolimit':'true'})[_0x35749c(0x2945)][_0x35749c(0x146b)](function(_0x443bbe){const _0x269711=_0x35749c;_0x36c4d7[_0x269711(0x23bb)]=_0x443bbe['rows']||[];})[_0x35749c(0x146b)](function(){const _0x42ffd9=_0x35749c;return _0x4f590d[_0x42ffd9(0x1366)][_0x42ffd9(0x16b4)]({'userProfileId':_0x36c4d7[_0x42ffd9(0x2321)][_0x42ffd9(0x209a)],'sectionId':0x3ed})['$promise'];})[_0x35749c(0x146b)](function(_0x25727a){const _0x20e03f=_0x35749c,_0x11afd8=_0x25727a&&_0x25727a[_0x20e03f(0x19c7)]?_0x25727a[_0x20e03f(0x19c7)][0x0]:null;if(!_0x11afd8)_0x36c4d7['pauses']=[];else{if(!_0x11afd8[_0x20e03f(0x11d2)])return _0x4f590d[_0x20e03f(0xdcc)][_0x20e03f(0x16b4)]({'sectionId':_0x11afd8['id']})['$promise'][_0x20e03f(0x146b)](function(_0x39ce18){const _0x3427cd=_0x20e03f,_0x28c3f6=_0x3f65c0()[_0x3427cd(0x205)](_0x39ce18[_0x3427cd(0x19c7)],function(_0x5987bf){const _0x48d1db=_0x3427cd;return _0x3f65c0()[_0x48d1db(0xc84)](_0x36c4d7[_0x48d1db(0x23bb)],{'id':_0x5987bf['resourceId']});});_0x36c4d7[_0x3427cd(0x23bb)]['forEach'](function(_0x593658){const _0x435270=_0x3427cd;!_0x3f65c0()['some'](_0x28c3f6,['id',_0x593658['id']])&&(_0x593658['canSelect']=![]),_0x28c3f6[_0x435270(0x1f47)](_0x593658);}),_0x36c4d7[_0x3427cd(0x23bb)]=_0x28c3f6;});}})[_0x35749c(0x129e)](function(_0x5500c7){const _0x488ea8=_0x35749c;_0x2abc97['error']({'title':_0x5500c7[_0x488ea8(0x107b)]?_0x488ea8(0x262a)+_0x5500c7[_0x488ea8(0x107b)]+_0x488ea8(0x1315)+_0x5500c7['statusText']:'SYSTEM:GETpauses','msg':_0x5500c7['data']?JSON[_0x488ea8(0x10bb)](_0x5500c7[_0x488ea8(0x524)]):_0x5500c7[_0x488ea8(0xd5f)]()});});function _0x3fd8ab(_0x50bde2,_0x4b3b92){const _0x3e5cc1=_0x35749c;_0x28b2d6['show']({'controller':_0x3e5cc1(0x256a),'controllerAs':'vm','templateUrl':_0x3f6aea,'parent':angular[_0x3e5cc1(0x1853)](_0x26e7ca[_0x3e5cc1(0x2586)]),'targetEvent':_0x4b3b92,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0x50bde2,'queueCampaigns':_0x36c4d7[_0x3e5cc1(0x17c4)]?_0x36c4d7[_0x3e5cc1(0x17c4)][_0x3e5cc1(0x19c7)]:[],'crudPermissions':_0x36c4d7[_0x3e5cc1(0x2514)]}});}function _0x1f6f99(_0x38be0c,_0x3d97d8){const _0x5859e2=_0x35749c;_0x28b2d6['show']({'controller':_0x5859e2(0x1b9d),'controllerAs':'vm','templateUrl':_0x2010aa,'parent':angular[_0x5859e2(0x1853)](_0x26e7ca[_0x5859e2(0x2586)]),'targetEvent':_0x3d97d8,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0x38be0c,'queueCampaigns':_0x36c4d7[_0x5859e2(0x17c4)]?_0x36c4d7[_0x5859e2(0x17c4)]['rows']:[],'crudPermissions':_0x36c4d7[_0x5859e2(0x2514)]}});}function _0x123918(_0x3d504c,_0x2dddb4){const _0x3e8f1e=_0x35749c;_0x28b2d6['show']({'controller':_0x3e8f1e(0x214),'controllerAs':'vm','templateUrl':_0xaffb8e,'parent':angular[_0x3e8f1e(0x1853)](_0x26e7ca[_0x3e8f1e(0x2586)]),'targetEvent':_0x2dddb4,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0x3d504c,'queueCampaigns':_0x36c4d7[_0x3e8f1e(0x17c4)]?_0x36c4d7[_0x3e8f1e(0x17c4)][_0x3e8f1e(0x19c7)]:[],'crudPermissions':_0x36c4d7[_0x3e8f1e(0x2514)]}});}function _0x574bfe(_0x293221,_0x166537){const _0x14e7e4=_0x35749c;_0x28b2d6[_0x14e7e4(0x2615)]({'controller':_0x14e7e4(0x26ca),'controllerAs':'vm','templateUrl':_0x412655,'parent':angular['element'](_0x26e7ca['body']),'targetEvent':_0x166537,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0x293221,'queueCampaigns':_0x36c4d7[_0x14e7e4(0x17c4)]?_0x36c4d7['queueCampaigns'][_0x14e7e4(0x19c7)]:[],'crudPermissions':_0x36c4d7[_0x14e7e4(0x2514)]}});}function _0x2a708b(_0x30029f,_0x49ce03){const _0x595e18=_0x35749c;_0x28b2d6[_0x595e18(0x2615)]({'controller':_0x595e18(0x86a),'controllerAs':'vm','templateUrl':_0x4619e2,'parent':angular['element'](_0x26e7ca['body']),'targetEvent':_0x49ce03,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0x30029f,'queueCampaigns':_0x36c4d7[_0x595e18(0x17c4)]?_0x36c4d7[_0x595e18(0x17c4)][_0x595e18(0x19c7)]:[],'crudPermissions':_0x36c4d7['crudPermissions'],'realtime':![]}});}function _0x27a527(_0x2dd055,_0x2b136d){const _0x19dc61=_0x35749c,_0x510432=_0x2b136d['id'];_0x4f590d[_0x19dc61(0x1446)]['removeLists']({'id':_0x2dd055,'ids':_0x510432})[_0x19dc61(0x2945)]['then'](function(){const _0x2d14fe=_0x19dc61;return _0x4f590d[_0x2d14fe(0x1446)]['addLists']({'id':_0x2dd055,'ids':_0x510432,'dialCheckDuplicateType':_0x2d14fe(0x6d2)})[_0x2d14fe(0x2945)];})[_0x19dc61(0x146b)](function(){const _0x3fdf4f=_0x19dc61;_0x2abc97[_0x3fdf4f(0x1c75)]({'title':_0x3fdf4f(0x273d),'msg':_0x3fdf4f(0x18aa)+_0x2b136d[_0x3fdf4f(0x19eb)]+_0x3fdf4f(0x1c44)});})[_0x19dc61(0x129e)](function(_0x5170b2){const _0x2c6a7b=_0x19dc61;_0x2abc97[_0x2c6a7b(0x1980)]({'title':_0x5170b2['status']?_0x2c6a7b(0x262a)+_0x5170b2[_0x2c6a7b(0x107b)]+'\x20-\x20'+_0x5170b2['statusText']:_0x2c6a7b(0x12b9),'msg':_0x5170b2[_0x2c6a7b(0x107b)]?JSON[_0x2c6a7b(0x10bb)](_0x5170b2[_0x2c6a7b(0x524)]):_0x5170b2[_0x2c6a7b(0xd5f)]()});});}function _0x13b2fd(_0x520693,_0x204fbf){const _0x262596=_0x35749c;_0x4f590d[_0x262596(0x1446)][_0x262596(0x233d)]({'id':_0x520693['id'],'fields':_0x262596(0x7a7),'nolimit':!![]})['$promise']['then'](function(_0x1a8781){const _0x2ba4e0=_0x262596;if(_0x3f65c0()[_0x2ba4e0(0x1b36)](_0x1a8781[_0x2ba4e0(0x19c7)])||_0x3f65c0()['isEmpty'](_0x1a8781[_0x2ba4e0(0x19c7)]))_0x2abc97['info']({'title':_0x2ba4e0(0x11e3)});else{if(_0x1a8781[_0x2ba4e0(0x19c7)][_0x2ba4e0(0x402)]===0x1){const _0x1de9af=_0x28b2d6[_0x2ba4e0(0x1e8a)]()[_0x2ba4e0(0x1189)](_0x2ba4e0(0x13f0)+_0x1a8781[_0x2ba4e0(0x19c7)][0x0][_0x2ba4e0(0x19eb)]+'\x20?')[_0x2ba4e0(0x1cbe)](_0x2ba4e0(0x16d3)+_0x1a8781['rows'][0x0][_0x2ba4e0(0x19eb)]+_0x2ba4e0(0x252f)+_0x2ba4e0(0x2790))[_0x2ba4e0(0x4bd)]('Reset\x20List')[_0x2ba4e0(0x1f27)](_0x204fbf)['ok']('OK')['cancel'](_0x2ba4e0(0x39a));_0x28b2d6[_0x2ba4e0(0x2615)](_0x1de9af)[_0x2ba4e0(0x146b)](function(){const _0x493aee=_0x2ba4e0;_0x27a527(_0x36c4d7[_0x493aee(0x1f0f)]['id'],_0x1a8781[_0x493aee(0x19c7)][0x0]);});}else _0x28b2d6[_0x2ba4e0(0x2615)]({'controller':_0x2ba4e0(0x26f1),'controllerAs':'vm','templateUrl':_0x1afd81,'parent':angular[_0x2ba4e0(0x1853)](_0x26e7ca[_0x2ba4e0(0x2586)]),'targetEvent':_0x204fbf,'clickOutsideToClose':![],'locals':{'queueCampaign':_0x520693,'listsCampaign':_0x1a8781?_0x1a8781[_0x2ba4e0(0x19c7)]:[]}});}})['catch'](function(_0x591073){const _0xfe8514=_0x262596;_0x2abc97[_0xfe8514(0x1980)]({'title':_0x591073[_0xfe8514(0x107b)]?'API:'+_0x591073['status']+_0xfe8514(0x1315)+_0x591073[_0xfe8514(0x167f)]:_0xfe8514(0x5ba),'msg':_0x591073['status']?JSON[_0xfe8514(0x10bb)](_0x591073[_0xfe8514(0x524)]):_0x591073[_0xfe8514(0xd5f)]()});});}function _0x5e0df5(){const _0x3d836c=_0x35749c;if(_0x26d6e0['hasRole'](_0x3d836c(0x174b)))_0x4f3689['go'](_0x3d836c(0x181f),{});else return _0x4f590d[_0x3d836c(0x1366)]['get']({'userProfileId':_0x26d6e0['getCurrentUser']()[_0x3d836c(0x209a)],'sectionId':0x5e6})['$promise'][_0x3d836c(0x146b)](function(_0x50ddc6){const _0x495db4=_0x3d836c,_0x5a4db7=_0x50ddc6&&_0x50ddc6[_0x495db4(0x19c7)]?_0x50ddc6[_0x495db4(0x19c7)][0x0]:null;_0x5a4db7&&_0x5a4db7[_0x495db4(0x193e)]?_0x4f3689['go'](_0x495db4(0x181f),{}):_0x2abc97['info']({'title':_0x1569e0['instant']('STAFF.PERMISSIONS_UNAUTHORIZED_REDIRECT_TITLE'),'msg':_0x1569e0[_0x495db4(0xde)](_0x495db4(0x174a))});})[_0x3d836c(0x129e)](function(_0x2464ad){const _0x3549d9=_0x3d836c;_0x2abc97['error']({'title':_0x2464ad['status']?_0x3549d9(0x262a)+_0x2464ad['status']+_0x3549d9(0x1315)+_0x2464ad[_0x3549d9(0x167f)]:_0x3549d9(0x698),'msg':_0x2464ad[_0x3549d9(0x107b)]?JSON[_0x3549d9(0x10bb)](_0x2464ad[_0x3549d9(0x524)]):_0x2464ad[_0x3549d9(0xd5f)]()});});}function _0x230cee(){const _0x1942a1=_0x35749c;_0x4f3689['go'](_0x1942a1(0xab7),{},{'reload':_0x1942a1(0xab7)});}function _0x384822(){const _0x371561=_0x35749c;_0x4f590d['voiceQueue']['update']({'id':_0x36c4d7[_0x371561(0x1f0f)]['id']},_0x36c4d7[_0x371561(0x1f0f)])[_0x371561(0x2945)]['then'](function(){const _0x4f8ba2=_0x371561;_0x2abc97[_0x4f8ba2(0x1c75)]({'title':'QueueCampaign\x20updated!','msg':_0x36c4d7[_0x4f8ba2(0x1f0f)][_0x4f8ba2(0x19eb)]?_0x36c4d7[_0x4f8ba2(0x1f0f)][_0x4f8ba2(0x19eb)]+_0x4f8ba2(0x6b0):''});})['catch'](function(_0x2a5ef7){const _0xd3e4d9=_0x371561;_0x2abc97[_0xd3e4d9(0x1980)]({'title':_0x2a5ef7['status']?_0xd3e4d9(0x262a)+_0x2a5ef7['status']+_0xd3e4d9(0x1315)+_0x2a5ef7[_0xd3e4d9(0x167f)]:_0xd3e4d9(0xfac),'msg':_0x2a5ef7[_0xd3e4d9(0x524)]?JSON[_0xd3e4d9(0x10bb)](_0x2a5ef7['data']):_0x2a5ef7[_0xd3e4d9(0xd5f)]()});});}}const _0x813b36=_0x13a4f8;;_0x28e8e0[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$window',_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0x214b),_0x313a4d(0x17c4),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x2690),'setting'];function _0x28e8e0(_0x129853,_0x2c36a3,_0xd8a711,_0x34cda3,_0x378b42,_0x33f52a,_0x479cf3,_0x133c11,_0x146b3b,_0x5deeb7,_0x5c616e,_0x5ed839,_0xd29612,_0x400087,_0x248777,_0x16210f,_0x4fe43d){const _0x6f49e8=_0x313a4d,_0x15522e=this;_0x15522e['license']=_0x16210f,_0x15522e[_0x6f49e8(0x15b9)]=_0x4fe43d,_0x15522e[_0x6f49e8(0x2321)]=_0x248777[_0x6f49e8(0xb12)](),_0x15522e[_0x6f49e8(0x17c4)]=_0x146b3b||{'count':0x0,'rows':[]},_0x15522e[_0x6f49e8(0x26b6)]=_0x5deeb7,_0x15522e[_0x6f49e8(0x1366)]=_0x5c616e&&_0x5c616e['count']==0x1?_0x5c616e[_0x6f49e8(0x19c7)][0x0]:null,_0x15522e['crudPermissions']=_0x248777[_0x6f49e8(0xe60)](_0x15522e[_0x6f49e8(0x1366)]?_0x15522e['userProfileSection'][_0x6f49e8(0x2514)]:null),_0x15522e[_0x6f49e8(0x768)]=_0x6f49e8(0x17c4),_0x15522e[_0x6f49e8(0x216a)]='',_0x15522e['listOrderAsc']=null,_0x15522e['selectedQueueCampaigns']=[],_0x15522e[_0x6f49e8(0x1a56)]={'fields':_0x6f49e8(0xe62),'type':'outbound','sort':_0x6f49e8(0x12f2),'channel':'voice','limit':0xa,'page':0x1},_0x15522e['arraydialCheckDuplicateType']=_0x3f65c0()[_0x6f49e8(0x194)]([{'option':_0x6f49e8(0x111e),'value':_0x6f49e8(0x220a)},{'option':_0x6f49e8(0x1a05),'value':'\x27never\x27'},{'option':'ONLY_IF_OPEN','value':'\x27onlyIfOpen\x27'}],function(_0x303a7e){const _0x2bbcaf=_0x6f49e8;return _0x3f65c0()[_0x2bbcaf(0x5f4)](_0x303a7e[_0x2bbcaf(0x175d)],new RegExp('\x27','g'),'');}),_0x15522e[_0x6f49e8(0x14f)]=_0x3f65c0()[_0x6f49e8(0x194)]([{'option':_0x6f49e8(0x1b97),'value':_0x6f49e8(0x26cd)},{'option':'No','value':'\x27no\x27'}],function(_0x5b7cfe){const _0x751504=_0x6f49e8;return _0x3f65c0()[_0x751504(0x5f4)](_0x5b7cfe['value'],new RegExp('\x27','g'),'');}),_0x15522e[_0x6f49e8(0x1cc1)]=_0x3f65c0()[_0x6f49e8(0x194)]([{'option':_0x6f49e8(0x1b97),'value':_0x6f49e8(0x2339)},{'option':'No','value':_0x6f49e8(0x74f)}],function(_0x27d26d){const _0x46843d=_0x6f49e8;return _0x3f65c0()[_0x46843d(0x5f4)](_0x27d26d[_0x46843d(0x175d)],new RegExp('\x27','g'),'');}),_0x15522e['arraytimeoutrestart']=_0x3f65c0()[_0x6f49e8(0x194)]([{'option':'Yes','value':_0x6f49e8(0x2339)},{'option':'No','value':'\x27no\x27'}],function(_0x4ed659){const _0x5c74cb=_0x6f49e8;return _0x3f65c0()[_0x5c74cb(0x5f4)](_0x4ed659[_0x5c74cb(0x175d)],new RegExp('\x27','g'),'');}),_0x15522e[_0x6f49e8(0x638)]=_0x3f65c0()[_0x6f49e8(0x194)]([{'option':_0x6f49e8(0x180),'value':'\x27\x27'},{'option':_0x6f49e8(0x52d),'value':'\x27wav\x27'},{'option':_0x6f49e8(0x306),'value':_0x6f49e8(0x21a1)},{'option':_0x6f49e8(0xdf0),'value':_0x6f49e8(0x5a3)}],function(_0x5df0f3){const _0x3fafdc=_0x6f49e8;return _0x3f65c0()[_0x3fafdc(0x5f4)](_0x5df0f3[_0x3fafdc(0x175d)],new RegExp('\x27','g'),'');}),_0x15522e[_0x6f49e8(0x21bb)]=_0x3f65c0()['keyBy']([{'option':_0x6f49e8(0x1b97),'value':'\x27yes\x27'},{'option':'No','value':'\x27no\x27'}],function(_0xdda2d6){const _0xb1c4bf=_0x6f49e8;return _0x3f65c0()[_0xb1c4bf(0x5f4)](_0xdda2d6[_0xb1c4bf(0x175d)],new RegExp('\x27','g'),'');}),_0x15522e[_0x6f49e8(0x2673)]=_0x3f65c0()[_0x6f49e8(0x194)]([{'option':_0x6f49e8(0x1b97),'value':_0x6f49e8(0x2339)},{'option':'No','value':_0x6f49e8(0x74f)}],function(_0x5ca1d5){const _0x10f419=_0x6f49e8;return _0x3f65c0()[_0x10f419(0x5f4)](_0x5ca1d5['value'],new RegExp('\x27','g'),'');}),_0x15522e[_0x6f49e8(0x213c)]=_0x3f65c0()[_0x6f49e8(0x194)]([{'option':'Yes','value':_0x6f49e8(0x2339)},{'option':'No','value':_0x6f49e8(0x74f)}],function(_0x100d74){const _0x17d279=_0x6f49e8;return _0x3f65c0()[_0x17d279(0x5f4)](_0x100d74[_0x17d279(0x175d)],new RegExp('\x27','g'),'');}),_0x15522e[_0x6f49e8(0x1ca6)]=_0x3f65c0()[_0x6f49e8(0x194)]([{'option':'DESC','value':_0x6f49e8(0x191)},{'option':_0x6f49e8(0x1734),'value':_0x6f49e8(0x3b8)}],function(_0x15bd11){const _0x3a9044=_0x6f49e8;return _0x3f65c0()[_0x3a9044(0x5f4)](_0x15bd11[_0x3a9044(0x175d)],new RegExp('\x27','g'),'');}),_0x15522e[_0x6f49e8(0xffd)]=_0x3f65c0()[_0x6f49e8(0x194)]([{'option':_0x6f49e8(0x13a9),'value':'\x27progressive\x27'},{'option':_0x6f49e8(0x11c5),'value':_0x6f49e8(0x1836)},{'option':_0x6f49e8(0x110),'value':_0x6f49e8(0x21d2)},{'option':_0x6f49e8(0x4e4),'value':_0x6f49e8(0x5b7)},{'option':_0x6f49e8(0x67b),'value':_0x6f49e8(0x236d)}],function(_0x4fc90b){const _0x242a26=_0x6f49e8;return _0x3f65c0()[_0x242a26(0x5f4)](_0x4fc90b[_0x242a26(0x175d)],new RegExp('\x27','g'),'');}),_0x15522e['arraydialPredictiveOptimization']=_0x3f65c0()[_0x6f49e8(0x194)]([{'option':_0x6f49e8(0x153d),'value':'\x27agentBusyFactor\x27'},{'option':_0x6f49e8(0x1e62),'value':_0x6f49e8(0x1317)}],function(_0x59ba59){return _0x3f65c0()['replace'](_0x59ba59['value'],new RegExp('\x27','g'),'');}),_0x15522e[_0x6f49e8(0x280a)]=_0x3f65c0()[_0x6f49e8(0x194)]([{'option':_0x6f49e8(0x28f7),'value':'\x27rrmemory\x27'},{'option':_0x6f49e8(0x37c),'value':_0x6f49e8(0x52f),'ngIf':'vm.queueCampaign.dialMethod\x20===\x20\x27booked\x27'},{'option':_0x6f49e8(0x251a),'value':'\x27leastrecent\x27','ngIf':_0x6f49e8(0x12d5)},{'option':_0x6f49e8(0x1567),'value':_0x6f49e8(0x8ec),'ngIf':_0x6f49e8(0x12d5)},{'option':_0x6f49e8(0x1944),'value':_0x6f49e8(0xb40),'ngIf':'vm.queueCampaign.dialMethod\x20!==\x20\x27booked\x27'},{'option':_0x6f49e8(0x1745),'value':_0x6f49e8(0xbdf),'ngIf':_0x6f49e8(0x12d5)},{'option':_0x6f49e8(0x24e3),'value':_0x6f49e8(0x1da7),'ngIf':_0x6f49e8(0x12d5)}],function(_0x55e533){const _0x51bd27=_0x6f49e8;return _0x3f65c0()[_0x51bd27(0x5f4)](_0x55e533[_0x51bd27(0x175d)],new RegExp('\x27','g'),'');}),_0x15522e[_0x6f49e8(0x23f9)]=_0x140ed5,_0x15522e[_0x6f49e8(0xa26)]=_0x1f9858,_0x15522e[_0x6f49e8(0xa4a)]=_0x8f239d,_0x15522e[_0x6f49e8(0x1f45)]=_0x1a10fb,_0x15522e[_0x6f49e8(0x244)]=_0xb39956,_0x15522e[_0x6f49e8(0x1027)]=_0x9e3ce7,_0x15522e[_0x6f49e8(0xf0a)]=_0x4336cc,_0x15522e['gotorealtimegoto']=_0x5a28c0,_0x15522e[_0x6f49e8(0x1c75)]=_0x5833ec,_0x15522e[_0x6f49e8(0x12e3)]=_0xb5f2e1,_0x15522e[_0x6f49e8(0x1c42)]=_0xca8785,_0x15522e['deleteQueueCampaign']=_0x57118b,_0x15522e[_0x6f49e8(0x26d5)]=_0x25519f,_0x15522e[_0x6f49e8(0x1d1f)]=_0x2ab02c,_0x15522e[_0x6f49e8(0x2237)]=_0x3b2f42,_0x15522e[_0x6f49e8(0x2387)]=_0x3d5224,_0x248777[_0x6f49e8(0x23e0)](_0x6f49e8(0x174b))?_0x5ed839[_0x6f49e8(0x279f)][_0x6f49e8(0x16b4)]({'fields':_0x6f49e8(0x7a7),'sort':_0x6f49e8(0x19eb)})[_0x6f49e8(0x2945)]['then'](function(_0x167354){const _0x508c82=_0x6f49e8;_0x15522e[_0x508c82(0x2433)]=_0x167354[_0x508c82(0x19c7)]||[];})[_0x6f49e8(0x129e)](function(_0x3e5e86){const _0x3b5807=_0x6f49e8;_0x400087[_0x3b5807(0x1980)]({'title':_0x3e5e86[_0x3b5807(0x107b)]?_0x3b5807(0x262a)+_0x3e5e86[_0x3b5807(0x107b)]+_0x3b5807(0x1315)+_0x3e5e86[_0x3b5807(0x167f)]:_0x3b5807(0xfc0),'msg':_0x3e5e86['data']?JSON[_0x3b5807(0x10bb)](_0x3e5e86[_0x3b5807(0x524)]):_0x3e5e86['toString']()});}):_0x5ed839[_0x6f49e8(0x279f)][_0x6f49e8(0x16b4)]({'fields':'id,name','sort':'name'})[_0x6f49e8(0x2945)][_0x6f49e8(0x146b)](function(_0xb0228e){const _0x482c18=_0x6f49e8;_0x15522e[_0x482c18(0x2433)]=_0xb0228e[_0x482c18(0x19c7)]||[];})['then'](function(){const _0x1d6d4d=_0x6f49e8;return _0x5ed839['userProfileSection']['get']({'userProfileId':_0x15522e[_0x1d6d4d(0x2321)][_0x1d6d4d(0x209a)],'sectionId':0x3f3})[_0x1d6d4d(0x2945)];})['then'](function(_0x12a106){const _0x4fc1ab=_0x6f49e8,_0x4298b9=_0x12a106&&_0x12a106[_0x4fc1ab(0x19c7)]?_0x12a106[_0x4fc1ab(0x19c7)][0x0]:null;if(!_0x4298b9){const _0x5285ff=[],_0x594979=[];_0x15522e[_0x4fc1ab(0x17c4)][_0x4fc1ab(0x19c7)][_0x4fc1ab(0x1df5)](function(_0xcca51a){const _0x55b64d=_0x4fc1ab,_0x4b8662=_0x3f65c0()[_0x55b64d(0xc84)](_0x15522e[_0x55b64d(0x2433)],{'id':Number(_0xcca51a[_0x55b64d(0x1b77)])});_0x594979[_0x55b64d(0x1f47)](_0x4b8662);});for(let _0x3d273e=0x0;_0x3d273e<_0x15522e[_0x4fc1ab(0x2433)][_0x4fc1ab(0x402)];_0x3d273e++){const _0xa0ca4c=_0x3f65c0()[_0x4fc1ab(0x1360)](_0x594979,{'id':_0x15522e[_0x4fc1ab(0x2433)][_0x3d273e]['id']});_0xa0ca4c&&(_0x15522e[_0x4fc1ab(0x2433)][_0x3d273e][_0x4fc1ab(0x8ff)]=![],_0x5285ff['push'](_0x15522e[_0x4fc1ab(0x2433)][_0x3d273e]));}_0x15522e['trunks']=_0x5285ff;}else{if(!_0x4298b9[_0x4fc1ab(0x11d2)])return _0x5ed839[_0x4fc1ab(0xdcc)]['get']({'sectionId':_0x4298b9['id']})[_0x4fc1ab(0x2945)][_0x4fc1ab(0x146b)](function(_0x413571){const _0x48f01a=_0x4fc1ab,_0x6ea6e3=_0x3f65c0()[_0x48f01a(0x205)](_0x413571[_0x48f01a(0x19c7)],function(_0x99474a){const _0x297f58=_0x48f01a;return _0x3f65c0()[_0x297f58(0xc84)](_0x15522e['trunks'],{'id':_0x99474a[_0x297f58(0x18b8)]});});let _0x4bb42c=null;_0x4bb42c=[],_0x15522e[_0x48f01a(0x17c4)][_0x48f01a(0x19c7)]['forEach'](function(_0x450011){const _0x190def=_0x48f01a,_0x56d576=_0x3f65c0()[_0x190def(0xc84)](_0x15522e['trunks'],{'id':Number(_0x450011[_0x190def(0x1b77)])});_0x4bb42c[_0x190def(0x1f47)](_0x56d576);}),!_0x3f65c0()[_0x48f01a(0x2635)](_0x4bb42c)&&_0x4bb42c['forEach'](function(_0x14ed28){const _0x237c0b=_0x48f01a;if(!_0x3f65c0()[_0x237c0b(0x1360)](_0x6ea6e3,['id',_0x14ed28['id']])){const _0x4981a9=_0x3f65c0()['find'](_0x15522e[_0x237c0b(0x2433)],{'id':_0x14ed28['id']});_0x4981a9[_0x237c0b(0x8ff)]=![],_0x6ea6e3['push'](_0x4981a9);}}),_0x15522e[_0x48f01a(0x2433)]=_0x6ea6e3;});}})[_0x6f49e8(0x129e)](function(_0x2c860e){const _0x566586=_0x6f49e8;_0x400087[_0x566586(0x1980)]({'title':_0x2c860e[_0x566586(0x107b)]?_0x566586(0x262a)+_0x2c860e[_0x566586(0x107b)]+'\x20-\x20'+_0x2c860e[_0x566586(0x167f)]:_0x566586(0x2436),'msg':_0x2c860e[_0x566586(0x524)]?JSON[_0x566586(0x10bb)](_0x2c860e[_0x566586(0x524)]):_0x2c860e[_0x566586(0xd5f)]()});}),_0x248777[_0x6f49e8(0x23e0)](_0x6f49e8(0x174b))?_0x5ed839[_0x6f49e8(0x2765)][_0x6f49e8(0x16b4)]({'fields':_0x6f49e8(0x7a7),'sort':_0x6f49e8(0x19eb),'IntervalId':'null'})['$promise'][_0x6f49e8(0x146b)](function(_0x52ec9d){const _0x58355f=_0x6f49e8;_0x15522e[_0x58355f(0x2765)]=_0x52ec9d[_0x58355f(0x19c7)]||[];})[_0x6f49e8(0x129e)](function(_0x3afdad){const _0x111c64=_0x6f49e8;_0x400087[_0x111c64(0x1980)]({'title':_0x3afdad['status']?_0x111c64(0x262a)+_0x3afdad[_0x111c64(0x107b)]+'\x20-\x20'+_0x3afdad[_0x111c64(0x167f)]:'SYSTEM:GET_INTERVAL','msg':_0x3afdad[_0x111c64(0x524)]?JSON[_0x111c64(0x10bb)](_0x3afdad['data']):_0x3afdad[_0x111c64(0xd5f)]()});}):_0x5ed839[_0x6f49e8(0x2765)]['get']({'fields':_0x6f49e8(0x7a7),'sort':_0x6f49e8(0x19eb),'IntervalId':_0x6f49e8(0x203c)})[_0x6f49e8(0x2945)][_0x6f49e8(0x146b)](function(_0x43944c){const _0x3e7382=_0x6f49e8;_0x15522e['interval']=_0x43944c[_0x3e7382(0x19c7)]||[];})[_0x6f49e8(0x146b)](function(){const _0x4c6214=_0x6f49e8;return _0x5ed839['userProfileSection'][_0x4c6214(0x16b4)]({'userProfileId':_0x15522e['currentUser']['userProfileId'],'sectionId':0x3ec})[_0x4c6214(0x2945)];})['then'](function(_0x3baac7){const _0x9acc9=_0x6f49e8,_0xf3259c=_0x3baac7&&_0x3baac7[_0x9acc9(0x19c7)]?_0x3baac7[_0x9acc9(0x19c7)][0x0]:null;if(!_0xf3259c){const _0x30abc8=[];let _0x26acaf=null;_0x15522e[_0x9acc9(0x1f0f)]&&(_0x26acaf=_0x3f65c0()[_0x9acc9(0xc84)](_0x15522e[_0x9acc9(0x2765)],{'id':Number(_0x15522e[_0x9acc9(0x1f0f)][_0x9acc9(0x1ff2)])}));for(let _0x30b3bc=0x0;_0x30b3bc<_0x15522e[_0x9acc9(0x2765)]['length'];_0x30b3bc++){_0x26acaf&&_0x15522e[_0x9acc9(0x2765)][_0x30b3bc]['id']===_0x26acaf['id']&&(_0x15522e[_0x9acc9(0x2765)][_0x30b3bc][_0x9acc9(0x8ff)]=![],_0x30abc8[_0x9acc9(0x1f47)](_0x15522e[_0x9acc9(0x2765)][_0x30b3bc]));}_0x15522e[_0x9acc9(0x2765)]=_0x30abc8;}else{if(!_0xf3259c[_0x9acc9(0x11d2)])return _0x5ed839[_0x9acc9(0xdcc)]['get']({'sectionId':_0xf3259c['id']})[_0x9acc9(0x2945)][_0x9acc9(0x146b)](function(_0x19384d){const _0x165691=_0x9acc9,_0x2df2ce=_0x3f65c0()[_0x165691(0x205)](_0x19384d[_0x165691(0x19c7)],function(_0xf6a252){const _0x1864f7=_0x165691;return _0x3f65c0()['find'](_0x15522e[_0x1864f7(0x2765)],{'id':_0xf6a252[_0x1864f7(0x18b8)]});});let _0x11c5ef=null;_0x15522e[_0x165691(0x1f0f)]&&(_0x11c5ef=_0x3f65c0()[_0x165691(0xc84)](_0x15522e['interval'],{'id':Number(_0x15522e[_0x165691(0x1f0f)][_0x165691(0x1ff2)])}));if(_0x11c5ef&&!_0x3f65c0()[_0x165691(0x1360)](_0x2df2ce,['id',_0x11c5ef['id']])){const _0x1be12f=_0x3f65c0()[_0x165691(0xc84)](_0x15522e[_0x165691(0x2765)],{'id':_0x11c5ef['id']});_0x1be12f[_0x165691(0x8ff)]=![],_0x2df2ce['push'](_0x1be12f);}_0x15522e[_0x165691(0x2765)]=_0x2df2ce;});}})['catch'](function(_0x181408){const _0x14f233=_0x6f49e8;_0x400087[_0x14f233(0x1980)]({'title':_0x181408[_0x14f233(0x107b)]?_0x14f233(0x262a)+_0x181408[_0x14f233(0x107b)]+_0x14f233(0x1315)+_0x181408['statusText']:'SYSTEM:GETinterval','msg':_0x181408[_0x14f233(0x524)]?JSON['stringify'](_0x181408[_0x14f233(0x524)]):_0x181408[_0x14f233(0xd5f)]()});});function _0x140ed5(_0x228eef){const _0x2ecaee=_0x6f49e8;_0xd8a711['go'](_0x2ecaee(0x1179),{'id':_0x228eef['id'],'queueCampaign':_0x228eef,'crudPermissions':_0x15522e['crudPermissions']});}function _0x1f9858(_0x214cb9,_0x259d37){const _0x192f5b=_0x6f49e8;_0x378b42['show']({'controller':_0x192f5b(0x256a),'controllerAs':'vm','templateUrl':_0x3f6aea,'parent':angular['element'](_0x33f52a[_0x192f5b(0x2586)]),'targetEvent':_0x259d37,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0x214cb9,'queueCampaigns':_0x15522e[_0x192f5b(0x17c4)]?_0x15522e['queueCampaigns'][_0x192f5b(0x19c7)]:[],'crudPermissions':_0x15522e['crudPermissions']}});}function _0x8f239d(_0x34f75e,_0x88aca8){const _0x2dee65=_0x6f49e8;_0x378b42['show']({'controller':'QueueCampaignlistaddController','controllerAs':'vm','templateUrl':_0x2010aa,'parent':angular[_0x2dee65(0x1853)](_0x33f52a[_0x2dee65(0x2586)]),'targetEvent':_0x88aca8,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0x34f75e,'queueCampaigns':_0x15522e[_0x2dee65(0x17c4)]?_0x15522e[_0x2dee65(0x17c4)][_0x2dee65(0x19c7)]:[],'crudPermissions':_0x15522e[_0x2dee65(0x2514)]}});}function _0x1a10fb(_0x467d1d,_0x1ce289){const _0x19a98a=_0x6f49e8;_0x378b42[_0x19a98a(0x2615)]({'controller':'QueueCampaignblacklistaddController','controllerAs':'vm','templateUrl':_0xaffb8e,'parent':angular[_0x19a98a(0x1853)](_0x33f52a[_0x19a98a(0x2586)]),'targetEvent':_0x1ce289,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0x467d1d,'queueCampaigns':_0x15522e['queueCampaigns']?_0x15522e[_0x19a98a(0x17c4)][_0x19a98a(0x19c7)]:[],'crudPermissions':_0x15522e['crudPermissions']}});}function _0xb39956(_0xe5fb4b,_0x5e93fc){const _0x5ad675=_0x6f49e8;_0x378b42[_0x5ad675(0x2615)]({'controller':_0x5ad675(0x26ca),'controllerAs':'vm','templateUrl':_0x412655,'parent':angular['element'](_0x33f52a[_0x5ad675(0x2586)]),'targetEvent':_0x5e93fc,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0xe5fb4b,'queueCampaigns':_0x15522e['queueCampaigns']?_0x15522e['queueCampaigns']['rows']:[],'crudPermissions':_0x15522e['crudPermissions']}});}function _0x9e3ce7(_0x5bda62,_0x282edc){const _0x532b42=_0x6f49e8;_0x378b42[_0x532b42(0x2615)]({'controller':_0x532b42(0x86a),'controllerAs':'vm','templateUrl':_0x4619e2,'parent':angular['element'](_0x33f52a['body']),'targetEvent':_0x282edc,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0x5bda62,'queueCampaigns':_0x15522e['queueCampaigns']?_0x15522e['queueCampaigns'][_0x532b42(0x19c7)]:[],'crudPermissions':_0x15522e['crudPermissions'],'realtime':![]}});}function _0x4336cc(_0x2042cf,_0x3bdf2a){const _0x28dbfb=_0x6f49e8,_0x4a1698=_0x378b42[_0x28dbfb(0x1e8a)]()[_0x28dbfb(0x1189)](_0x28dbfb(0xdb2)+_0x3f65c0()[_0x28dbfb(0x20d1)](_0x28dbfb(0x1f0f))+'?')[_0x28dbfb(0x1cbe)](_0x28dbfb(0x16d3)+(_0x2042cf[_0x28dbfb(0x19eb)]||_0x28dbfb(0x1f0f))+_0x28dbfb(0x252f)+_0x28dbfb(0xe01))['ariaLabel'](_0x28dbfb(0x12b1))[_0x28dbfb(0x1f27)](_0x3bdf2a)['ok']('OK')['cancel'](_0x28dbfb(0x39a));_0x378b42['show'](_0x4a1698)['then'](function(){_0x57118b(_0x2042cf);},function(){const _0x3b361b=_0x28dbfb;console[_0x3b361b(0x1a74)](_0x3b361b(0x39a));});}function _0x5a28c0(){const _0x8c01e1=_0x6f49e8;if(_0x248777[_0x8c01e1(0x23e0)](_0x8c01e1(0x174b)))_0xd8a711['go'](_0x8c01e1(0x181f),{});else return _0x5ed839[_0x8c01e1(0x1366)][_0x8c01e1(0x16b4)]({'userProfileId':_0x248777[_0x8c01e1(0xb12)]()[_0x8c01e1(0x209a)],'sectionId':0x5e6})[_0x8c01e1(0x2945)][_0x8c01e1(0x146b)](function(_0x319a77){const _0x274607=_0x8c01e1,_0x383db9=_0x319a77&&_0x319a77[_0x274607(0x19c7)]?_0x319a77[_0x274607(0x19c7)][0x0]:null;_0x383db9&&_0x383db9[_0x274607(0x193e)]?_0xd8a711['go'](_0x274607(0x181f),{}):_0x400087[_0x274607(0x271e)]({'title':_0x133c11[_0x274607(0xde)](_0x274607(0xb27)),'msg':_0x133c11['instant']('STAFF.PERMISSIONS_UNAUTHORIZED_REDIRECT_MESSAGE')});})['catch'](function(_0x4a4a63){const _0x19b166=_0x8c01e1;_0x400087[_0x19b166(0x1980)]({'title':_0x4a4a63[_0x19b166(0x107b)]?'API:'+_0x4a4a63[_0x19b166(0x107b)]+'\x20-\x20'+_0x4a4a63[_0x19b166(0x167f)]:_0x19b166(0x698),'msg':_0x4a4a63['status']?JSON['stringify'](_0x4a4a63['data']):_0x4a4a63[_0x19b166(0xd5f)]()});});}let _0x4f2e16=!![],_0x4e6ace=0x1;_0x129853['$watch'](_0x6f49e8(0x2669),function(_0x419409,_0x4cfc4b){const _0x599fa8=_0x6f49e8;_0x4f2e16?_0x479cf3(function(){_0x4f2e16=![];}):(!_0x4cfc4b&&(_0x4e6ace=_0x15522e['query'][_0x599fa8(0x844)]),_0x419409!==_0x4cfc4b&&(_0x15522e[_0x599fa8(0x1a56)]['page']=0x1),!_0x419409&&(_0x15522e[_0x599fa8(0x1a56)][_0x599fa8(0x844)]=_0x4e6ace),_0x15522e[_0x599fa8(0x12e3)]());});function _0x5833ec(_0x4f7e07){_0x15522e['queueCampaigns']=_0x4f7e07||{'count':0x0,'rows':[]};}function _0xb5f2e1(){const _0x1a22e8=_0x6f49e8;_0x15522e[_0x1a22e8(0x1a56)]['offset']=(_0x15522e[_0x1a22e8(0x1a56)][_0x1a22e8(0x844)]-0x1)*_0x15522e['query'][_0x1a22e8(0x221e)],_0x248777['hasRole'](_0x1a22e8(0x174b))?_0x15522e[_0x1a22e8(0xb9c)]=_0x5ed839['voiceQueue'][_0x1a22e8(0x16b4)](_0x15522e[_0x1a22e8(0x1a56)],_0x5833ec)['$promise']:(_0x15522e['query']['id']=_0x15522e[_0x1a22e8(0x26b6)]['id'],_0x15522e[_0x1a22e8(0x1a56)][_0x1a22e8(0x2146)]='QueueCampaigns',_0x15522e[_0x1a22e8(0xb9c)]=_0x5ed839[_0x1a22e8(0x26b6)]['getResources'](_0x15522e['query'],_0x5833ec)[_0x1a22e8(0x2945)]);}function _0xca8785(_0x50d88e,_0xb3843a){const _0x5a800b=_0x6f49e8;_0x378b42[_0x5a800b(0x2615)]({'controller':_0x5a800b(0x2573),'controllerAs':'vm','templateUrl':_0x403428,'parent':angular[_0x5a800b(0x1853)](_0x33f52a[_0x5a800b(0x2586)]),'targetEvent':_0x50d88e,'clickOutsideToClose':!![],'locals':{'queueCampaign':_0xb3843a,'queueCampaigns':_0x15522e[_0x5a800b(0x17c4)]['rows'],'license':_0x15522e[_0x5a800b(0x2690)],'setting':_0x15522e['setting'],'crudPermissions':_0x15522e[_0x5a800b(0x2514)]}});}function _0x57118b(_0xc4a9ff){const _0x27da5c=_0x6f49e8;_0x5ed839['voiceQueue'][_0x27da5c(0x1fac)]({'id':_0xc4a9ff['id']})['$promise'][_0x27da5c(0x146b)](function(){const _0x40cd1e=_0x27da5c;_0x3f65c0()['remove'](_0x15522e['queueCampaigns'][_0x40cd1e(0x19c7)],{'id':_0xc4a9ff['id']}),_0x15522e[_0x40cd1e(0x17c4)][_0x40cd1e(0x51c)]-=0x1,!_0x15522e[_0x40cd1e(0x17c4)][_0x40cd1e(0x19c7)][_0x40cd1e(0x402)]&&_0x15522e[_0x40cd1e(0x12e3)](),_0x400087[_0x40cd1e(0x1c75)]({'title':_0x3f65c0()['startCase'](_0x40cd1e(0x15ff))+_0x40cd1e(0x201c),'msg':_0xc4a9ff[_0x40cd1e(0x19eb)]?_0xc4a9ff[_0x40cd1e(0x19eb)]+_0x40cd1e(0x23e3):''});})[_0x27da5c(0x129e)](function(_0x40dbf2){const _0x26ef8e=_0x27da5c;if(_0x40dbf2[_0x26ef8e(0x524)]&&_0x40dbf2[_0x26ef8e(0x524)][_0x26ef8e(0xcef)]&&_0x40dbf2[_0x26ef8e(0x524)][_0x26ef8e(0xcef)][_0x26ef8e(0x402)]){_0x15522e[_0x26ef8e(0xcef)]=_0x40dbf2[_0x26ef8e(0x524)][_0x26ef8e(0xcef)]||[{'message':_0x40dbf2[_0x26ef8e(0xd5f)](),'type':_0x26ef8e(0x798)}];for(let _0x3fdfe8=0x0;_0x3fdfe8<_0x40dbf2['data'][_0x26ef8e(0xcef)][_0x26ef8e(0x402)];_0x3fdfe8++){_0x400087['error']({'title':_0x40dbf2[_0x26ef8e(0x524)][_0x26ef8e(0xcef)][_0x3fdfe8][_0x26ef8e(0x1142)],'msg':_0x40dbf2[_0x26ef8e(0x524)]['errors'][_0x3fdfe8][_0x26ef8e(0x7fd)]});}}else _0x400087['error']({'title':_0x40dbf2['status']?_0x26ef8e(0x262a)+_0x40dbf2[_0x26ef8e(0x107b)]+'\x20-\x20'+_0x40dbf2[_0x26ef8e(0x167f)]:'SYSTEM:DELETEvoiceQueue','msg':_0x40dbf2['data']?JSON['stringify'](_0x40dbf2['data'][_0x26ef8e(0x7fd)]):_0x40dbf2[_0x26ef8e(0x7fd)]||_0x40dbf2['toString']()});});}function _0x25519f(){const _0x4081d3=_0x6f49e8,_0x217c82=angular[_0x4081d3(0x235a)](_0x15522e[_0x4081d3(0x128)]);return _0x15522e[_0x4081d3(0x128)]=[],_0x217c82;}function _0x2ab02c(_0x7ed0ce){const _0x2f9187=_0x6f49e8,_0x599b87=_0x378b42[_0x2f9187(0x1e8a)]()[_0x2f9187(0x1189)](_0x2f9187(0x1591))[_0x2f9187(0x1cbe)](_0x2f9187(0x16d3)+_0x15522e[_0x2f9187(0x128)][_0x2f9187(0x402)]+_0x2f9187(0x2452)+_0x2f9187(0xe01))[_0x2f9187(0x4bd)](_0x2f9187(0x29f))[_0x2f9187(0x1f27)](_0x7ed0ce)['ok']('OK')[_0x2f9187(0x6c3)](_0x2f9187(0x39a));_0x378b42[_0x2f9187(0x2615)](_0x599b87)[_0x2f9187(0x146b)](function(){const _0x556574=_0x2f9187;_0x15522e[_0x556574(0x128)]['forEach'](function(_0xc61d6){_0x57118b(_0xc61d6);}),_0x15522e[_0x556574(0x128)]=[];});}function _0x3b2f42(){_0x15522e['selectedQueueCampaigns']=[];}function _0x3d5224(){const _0x1d7bf5=_0x6f49e8;_0x15522e[_0x1d7bf5(0x128)]=_0x15522e[_0x1d7bf5(0x17c4)][_0x1d7bf5(0x19c7)];}}const _0x5beeac=_0x28e8e0;;_0x67e793['$inject']=[_0x313a4d(0x921),_0x313a4d(0x695)];function _0x67e793(_0x3bbbd2,_0x5d991f){const _0xac76a=_0x313a4d;_0x3bbbd2[_0xac76a(0x13d6)](_0xac76a(0x234d),{'abstract':!![],'url':_0xac76a(0x1d80)})['state'](_0xac76a(0xab7),{'url':_0xac76a(0x263a),'views':{'content@app':{'templateUrl':_0x2c840a,'controller':_0xac76a(0x16ec)}},'resolve':{'queueCampaigns':[_0xac76a(0x362),'Auth',function(_0x1793e6,_0x41c1e8){const _0x4da732=_0xac76a;return _0x41c1e8[_0x4da732(0x23e0)](_0x4da732(0x174b))?_0x1793e6[_0x4da732(0x2922)](_0x4da732(0x5c0),{'fields':_0x4da732(0xe62),'type':_0x4da732(0xc9c),'sort':'-updatedAt','channel':_0x4da732(0xe6),'limit':0xa,'offset':0x0}):_0x1793e6[_0x4da732(0x2922)](_0x4da732(0x938),{'id':_0x41c1e8[_0x4da732(0xb12)]()[_0x4da732(0x209a)],'section':_0x4da732(0x15d6),'fields':_0x4da732(0xe62),'type':_0x4da732(0xc9c),'sort':_0x4da732(0x12f2),'channel':_0x4da732(0xe6),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver',_0xac76a(0xa87),function(_0x430282,_0x354f0c){const _0x4f00b4=_0xac76a;return _0x354f0c['hasRole'](_0x4f00b4(0x174b))?null:_0x430282[_0x4f00b4(0x2922)](_0x4f00b4(0x119a),{'fields':_0x4f00b4(0x227),'id':_0x354f0c['getCurrentUser']()[_0x4f00b4(0x209a)]});}],'userProfileSection':['apiResolver',_0xac76a(0xa87),function(_0x407af4,_0xb41bf8){const _0x54c03=_0xac76a;return _0xb41bf8['hasRole'](_0x54c03(0x174b))?null:_0x407af4[_0x54c03(0x2922)]('userProfileSection@get',{'fields':_0x54c03(0x1e64),'userProfileId':_0xb41bf8['getCurrentUser']()[_0x54c03(0x209a)],'sectionId':0x5dd});}]},'authenticate':!![],'permissionId':0x5dd,'bodyClass':_0xac76a(0x24da)})[_0xac76a(0x13d6)](_0xac76a(0x1179),{'url':_0xac76a(0x2028),'params':{'queueCampaign':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x5b75f9,'controller':_0xac76a(0xcc6)}},'resolve':{'queueCampaign':[_0xac76a(0x362),_0xac76a(0x28c8),function(_0x3023f9,_0x3cbbfd){const _0x5a7ea1=_0xac76a;return _0x3023f9[_0x5a7ea1(0x2922)](_0x5a7ea1(0x5c0),{'fields':'createdAt,updatedAt,id,name,dialActive,TrunkId,TrunkBackupId,type,IntervalId,dialCheckDuplicateType,dialCutDigit,acw,acwTimeout,autopause,ringinuse,memberdelay,timeoutrestart,monitor_format,context,setinterfacevar,setqueuevar,setqueueentryvar,dialOrderByScheduledAt,dialRecallMeTimeout,dialRecallInQueue,dialGlobalInterval,dialTimezone,dialAMDActive,dialAMDInitialSilence,dialAMDGreeting,dialAMDAfterGreetingSilence,dialAMDTotalAnalysisTime,dialAMDMinWordLength,dialAMDBetweenWordsSilence,dialAMDMaximumNumberOfWords,dialAMDSilenceThreshold,dialAMDMaximumWordLength,dialMethod,dialPowerLevel,dialPredictiveOptimization,dialPredictiveOptimizationPercentage,dialPredictiveInterval,dialLimitQueue,dialOriginateCallerIdName,dialOriginateCallerIdNumber,dialRandomLastDigitCallerIdNumber,dialOriginateTimeout,dialPrefix,dialQueueOptions,dialQueueTimeout,strategy,timeout,retry,wrapuptime,weight,musiconhold,dialQueueProject,dialQueueProject2,dialAgiAfterHangupAgent,dialGlobalMaxRetry,dialCongestionMaxRetry,dialCongestionRetryFrequency,dialBusyMaxRetry,dialBusyRetryFrequency,dialNoAnswerMaxRetry,dialNoAnswerRetryFrequency,dialNoSuchNumberMaxRetry,dialNoSuchNumberRetryFrequency,dialDropMaxRetry,dialDropRetryFrequency,dialAbandonedMaxRetry,dialAbandonedRetryFrequency,dialMachineMaxRetry,dialMachineRetryFrequency,dialAgentRejectMaxRetry,dialAgentRejectRetryFrequency,description,mandatoryDisposition,mandatoryDispositionPauseId,dialPredictiveIntervalMaxThreshold,dialPredictiveIntervalMinThreshold','id':_0x3cbbfd['id']});}],'userProfileSection':[_0xac76a(0x362),_0xac76a(0xa87),function(_0x367a6f,_0x4a4949){const _0x4444ab=_0xac76a;return _0x367a6f[_0x4444ab(0x2922)]('userProfileSection@get',{'fields':_0x4444ab(0x1e64),'userProfileId':_0x4a4949[_0x4444ab(0xb12)]()[_0x4444ab(0x209a)],'sectionId':0x5dd});}]},'authenticate':!![],'permissionId':0x5dd,'bodyClass':_0xac76a(0x24da)})[_0xac76a(0x13d6)](_0xac76a(0x21b0),{'url':_0xac76a(0x3be),'views':{'content@app':{'templateUrl':_0x491eec,'controller':_0xac76a(0x23ae)}},'resolve':{'ivrCampaigns':[_0xac76a(0x362),'Auth',function(_0x3a3f7c,_0xdeb480){const _0x935a3a=_0xac76a;return _0xdeb480[_0x935a3a(0x23e0)](_0x935a3a(0x174b))?_0x3a3f7c[_0x935a3a(0x2922)](_0x935a3a(0x221a),{'fields':_0x935a3a(0x1870),'type':_0x935a3a(0x1f0),'sort':_0x935a3a(0x12f2),'limit':0xa,'offset':0x0}):_0x3a3f7c[_0x935a3a(0x2922)](_0x935a3a(0x938),{'id':_0xdeb480['getCurrentUser']()[_0x935a3a(0x209a)],'section':_0x935a3a(0x27f9),'fields':_0x935a3a(0x1870),'type':'ivr','sort':_0x935a3a(0x12f2),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver',_0xac76a(0xa87),function(_0x5bb897,_0x15b81b){const _0x102103=_0xac76a;return _0x15b81b[_0x102103(0x23e0)](_0x102103(0x174b))?null:_0x5bb897[_0x102103(0x2922)](_0x102103(0x119a),{'fields':_0x102103(0x227),'id':_0x15b81b[_0x102103(0xb12)]()['userProfileId']});}],'userProfileSection':['apiResolver','Auth',function(_0x349322,_0xd07c82){const _0x20fb43=_0xac76a;return _0xd07c82[_0x20fb43(0x23e0)](_0x20fb43(0x174b))?null:_0x349322['resolve'](_0x20fb43(0x27be),{'fields':_0x20fb43(0x1e64),'userProfileId':_0xd07c82[_0x20fb43(0xb12)]()['userProfileId'],'sectionId':0x5de});}]},'authenticate':!![],'permissionId':0x5de,'bodyClass':_0xac76a(0x24da)})['state'](_0xac76a(0x2463),{'url':_0xac76a(0x2028),'params':{'ivrCampaign':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0xb6a0f4,'controller':_0xac76a(0x935)}},'resolve':{'ivrCampaign':[_0xac76a(0x362),_0xac76a(0x28c8),function(_0x28c37d,_0x17f2ae){const _0x29f2c5=_0xac76a;return _0x28c37d[_0x29f2c5(0x2922)]('campaign@get',{'fields':_0x29f2c5(0x1870),'id':_0x17f2ae['id']});}],'userProfileSection':[_0xac76a(0x362),_0xac76a(0xa87),function(_0x5952af,_0x4f8c93){const _0xcf288=_0xac76a;return _0x5952af[_0xcf288(0x2922)]('userProfileSection@get',{'fields':_0xcf288(0x1e64),'userProfileId':_0x4f8c93[_0xcf288(0xb12)]()['userProfileId'],'sectionId':0x5de});}]},'authenticate':!![],'permissionId':0x5de,'bodyClass':_0xac76a(0x24da)}),_0x5d991f[_0xac76a(0x15bf)]('app/main/apps/motiondialer');}angular['module'](_0x313a4d(0x234d),[_0x313a4d(0xdad),_0x313a4d(0x962),'md.data.table',_0x313a4d(0x963),_0x313a4d(0x19e2),_0x313a4d(0x27c1),_0x313a4d(0x195b),_0x313a4d(0x15ef),_0x313a4d(0xc86),_0x313a4d(0x1f5f),_0x313a4d(0x28a8),_0x313a4d(0xfb2),_0x313a4d(0x1e57),_0x313a4d(0x581),_0x313a4d(0x1bd2),'ngEmbed',_0x313a4d(0x1b65),_0x313a4d(0x1626),_0x313a4d(0x2713),'angular.filter','app.motiondialer.realtime'])[_0x313a4d(0x989)](_0x67e793)['controller']('CreateOrEditIvrCampaignDialogController',_0x590974)[_0x313a4d(0x28f0)](_0x313a4d(0x22de),_0x466d4b)[_0x313a4d(0x28f0)]('IvrCampaigncloneController',_0x573f5d)['controller'](_0x313a4d(0x1047),_0x5a968d)[_0x313a4d(0x28f0)](_0x313a4d(0x1ef5),_0x254d1c)[_0x313a4d(0x28f0)](_0x313a4d(0x1a00),_0x424793)[_0x313a4d(0x28f0)]('IvrCampaignHoppersController',_0x545edb)[_0x313a4d(0x28f0)](_0x313a4d(0x375),_0x2a5b35)[_0x313a4d(0x28f0)](_0x313a4d(0x22f5),_0x28c262)['controller'](_0x313a4d(0x222d),_0x5dc952)['controller'](_0x313a4d(0x18d1),_0x50a298)['controller'](_0x313a4d(0x16f2),_0x11b9fc)[_0x313a4d(0x28f0)]('IvrCampaignsController',_0x742fe9)[_0x313a4d(0x28f0)](_0x313a4d(0x2573),_0x730d04)['controller'](_0x313a4d(0x86a),_0x11a584)[_0x313a4d(0x28f0)](_0x313a4d(0x214),_0x3f8d16)[_0x313a4d(0x28f0)](_0x313a4d(0x256a),_0x4b17d0)[_0x313a4d(0x28f0)]('QueueCampaignHopperBlacksController',_0xc0e1a3)[_0x313a4d(0x28f0)]('QueueCampaignHopperFinalsController',_0x14308a)['controller']('QueueCampaignHopperHistoriesController',_0x3f7b53)[_0x313a4d(0x28f0)]('QueueCampaignHoppersController',_0x1cc8b3)[_0x313a4d(0x28f0)]('CreateOrEditHopperVoiceQueueIdDialogController',_0x13c860)[_0x313a4d(0x28f0)](_0x313a4d(0x1ef3),_0x204849)[_0x313a4d(0x28f0)]('QueueCampaignlistaddController',_0x23cbf1)[_0x313a4d(0x28f0)]('QueueCampaignresetlistController',_0x5e55c4)[_0x313a4d(0x28f0)](_0x313a4d(0x26ca),_0x16032b)[_0x313a4d(0x28f0)](_0x313a4d(0x279c),_0x813b36)[_0x313a4d(0x28f0)]('QueueCampaignsController',_0x5beeac);;const _0x55e607=_0x4acfac['p']+_0x313a4d(0x1224);;_0x290b71[_0x313a4d(0x11c2)]=[_0x313a4d(0x271e),_0x313a4d(0x2690),_0x313a4d(0x247f)];function _0x3a5de9(_0x3f8c2f){const _0xbf8a17=_0x313a4d,_0x5d644e=_0x2deec6()(_0x3f8c2f);return _0x5d644e[_0xbf8a17(0x146f)]()?_0x5d644e[_0xbf8a17(0x22b0)](_0xbf8a17(0xb2f)):'';}function _0x290b71(_0x1b7b22,_0x42d161,_0x763efe){const _0x45df7b=_0x313a4d,_0x244899=this;_0x244899[_0x45df7b(0x1b1f)]=_0x763efe['baseUrl'],_0x244899[_0x45df7b(0x271e)]={..._0x1b7b22,'buildDate':_0x3a5de9(_0x1b7b22['buildDate']),'commitDate':_0x3a5de9(_0x1b7b22[_0x45df7b(0x4d7)]),'client':{'name':_0x45df7b(0x62c),'version':_0x45df7b(0x1293),'shortHash':'9666e78','branch':_0x45df7b(0xf6),'tag':'','committedOn':_0x45df7b(0x1572),'buildDate':_0x45df7b(0xc43)}},_0x244899[_0x45df7b(0x2690)]=_0x42d161;}const _0x253a7a=_0x290b71;;_0x3e7ade[_0x313a4d(0x11c2)]=['$stateProvider'];function _0x3e7ade(_0x3ac845){const _0x11b99e=_0x313a4d;_0x3ac845[_0x11b99e(0x13d6)](_0x11b99e(0x2542),{'url':_0x11b99e(0x331),'views':{'content@app':{'templateUrl':_0x55e607,'controller':'AboutController\x20as\x20vm'}},'resolve':{'info':['apiResolver',function(_0x424153){const _0x30026f=_0x11b99e;return _0x424153[_0x30026f(0x2922)](_0x30026f(0x17a2));}]},'authenticate':!![],'permissionId':0x640,'bodyClass':_0x11b99e(0x2cb)});}angular[_0x313a4d(0x2528)](_0x313a4d(0x2542),[])['config'](_0x3e7ade)['controller']('AboutController',_0x253a7a);;_0x5666c2[_0x313a4d(0x11c2)]=[_0x313a4d(0x921),_0x313a4d(0x695)];function _0x5666c2(_0x12bbae,_0x1d4182){const _0x37a7ac=_0x313a4d;_0x12bbae[_0x37a7ac(0x13d6)](_0x37a7ac(0x23ba),{'abstract':!![],'url':'/help'}),_0x1d4182['addPart']('app/main/apps/help');}angular['module'](_0x313a4d(0x23ba),[_0x313a4d(0xdad),_0x313a4d(0x962),_0x313a4d(0x23c7),_0x313a4d(0x963),_0x313a4d(0x19e2),'ckeditor',_0x313a4d(0x195b),'ngAria',_0x313a4d(0xc86),_0x313a4d(0x1f5f),'mwFormBuilder',_0x313a4d(0xfb2),_0x313a4d(0x1e57),_0x313a4d(0x581),_0x313a4d(0x1bd2),_0x313a4d(0x1792),_0x313a4d(0x1b65),_0x313a4d(0x1626),_0x313a4d(0x2713),_0x313a4d(0x11df),_0x313a4d(0x2542)])[_0x313a4d(0x989)](_0x5666c2);;const _0x5a1655=_0x4acfac['p']+_0x313a4d(0x1d0a);;const _0x434199=_0x4acfac['p']+_0x313a4d(0x27e2);;_0x5e4f6d['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),'$mdDialog','$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x1991),_0x313a4d(0x9e0),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),'crudPermissions'];function _0x5e4f6d(_0x5eb04b,_0x129dcd,_0x2218c6,_0x3d9962,_0x1d17be,_0x1a0933,_0x43c498,_0x419ec5,_0x1752a8,_0x3700d4,_0x398d02,_0x59dfc6,_0x1c9a9d,_0x48c5a0){const _0x267225=_0x313a4d,_0x518e0a=this;_0x518e0a[_0x267225(0x2321)]=_0x398d02[_0x267225(0xb12)](),_0x518e0a['errors']=[],_0x518e0a[_0x267225(0x15b9)]=_0x1c9a9d,_0x518e0a[_0x267225(0x2690)]=_0x59dfc6,_0x518e0a[_0x267225(0x2514)]=_0x48c5a0,_0x518e0a[_0x267225(0x855)]={},_0x518e0a['passwordPattern']=_0x518e0a[_0x267225(0x15b9)]&&_0x518e0a[_0x267225(0x15b9)][_0x267225(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x518e0a[_0x267225(0x1189)]=_0x267225(0x1fcd),_0x518e0a['project']=angular[_0x267225(0x235a)](_0x1752a8),_0x518e0a[_0x267225(0x1991)]=_0x419ec5,_0x518e0a[_0x267225(0x125c)]=![];!_0x518e0a[_0x267225(0x9e0)]&&(_0x518e0a[_0x267225(0x9e0)]={'enableUncompleteSave':!![],'sendUnpauseOnSubmit':!![]},_0x518e0a[_0x267225(0x1189)]=_0x267225(0x8d0),_0x518e0a[_0x267225(0x125c)]=!![]);_0x518e0a[_0x267225(0x8ad)]=_0x481ab4,_0x518e0a[_0x267225(0x1994)]=_0x22af33,_0x518e0a[_0x267225(0x767)]=_0x538367,_0x518e0a[_0x267225(0xe73)]=_0x1aa24c,_0x518e0a[_0x267225(0x13f3)]=_0x5a31d9;function _0x481ab4(){const _0x4798a7=_0x267225;_0x518e0a['errors']=[],_0x3700d4[_0x4798a7(0x20c8)][_0x4798a7(0x1e3)](_0x518e0a[_0x4798a7(0x9e0)])['$promise'][_0x4798a7(0x146b)](function(_0x9c60c6){const _0x192882=_0x4798a7;_0x518e0a['projects'][_0x192882(0xb3d)](_0x9c60c6[_0x192882(0x2488)]()),_0x43c498['success']({'title':'Project\x20properly\x20created','msg':_0x518e0a[_0x192882(0x9e0)][_0x192882(0x19eb)]?_0x518e0a['project'][_0x192882(0x19eb)]+'\x20has\x20been\x20created!':''}),_0x5a31d9(_0x9c60c6);})[_0x4798a7(0x129e)](function(_0xf28310){const _0x39afaa=_0x4798a7;if(_0xf28310['data']&&_0xf28310['data'][_0x39afaa(0xcef)]&&_0xf28310[_0x39afaa(0x524)][_0x39afaa(0xcef)][_0x39afaa(0x402)]){_0x518e0a[_0x39afaa(0xcef)]=_0xf28310['data'][_0x39afaa(0xcef)]||[{'message':_0xf28310[_0x39afaa(0xd5f)](),'type':_0x39afaa(0x383)}];for(let _0x38574e=0x0;_0x38574e<_0xf28310['data'][_0x39afaa(0xcef)][_0x39afaa(0x402)];_0x38574e+=0x1){_0x43c498[_0x39afaa(0x1980)]({'title':_0xf28310[_0x39afaa(0x524)]['errors'][_0x38574e]['type'],'msg':_0xf28310['data']['errors'][_0x38574e]['message']});}}else _0x43c498['error']({'title':_0xf28310[_0x39afaa(0x107b)]?_0x39afaa(0x262a)+_0xf28310[_0x39afaa(0x107b)]+_0x39afaa(0x1315)+_0xf28310[_0x39afaa(0x167f)]:_0x39afaa(0x383),'msg':_0xf28310[_0x39afaa(0x524)]?JSON['stringify'](_0xf28310['data'][_0x39afaa(0x7fd)]):_0xf28310[_0x39afaa(0xd5f)]()});});}function _0x22af33(){const _0x36e588=_0x267225;_0x518e0a['errors']=[],_0x3700d4[_0x36e588(0x20c8)][_0x36e588(0x18e1)]({'id':_0x518e0a['project']['id']},_0x518e0a['project'])['$promise'][_0x36e588(0x146b)](function(_0x32e08a){const _0x455013=_0x36e588,_0x15fbf9=_0x3f65c0()['find'](_0x518e0a[_0x455013(0x1991)],{'id':_0x32e08a['id']});_0x15fbf9&&_0x3f65c0()[_0x455013(0x168d)](_0x15fbf9,_0x3f65c0()[_0x455013(0x40e)](_0x32e08a['toJSON'](),_0x3f65c0()[_0x455013(0x627)](_0x15fbf9))),_0x43c498[_0x455013(0x1c75)]({'title':_0x455013(0x81e),'msg':_0x518e0a[_0x455013(0x9e0)][_0x455013(0x19eb)]?_0x518e0a['project']['name']+'\x20has\x20been\x20saved!':''}),_0x5a31d9(_0x32e08a);})['catch'](function(_0x3ee438){const _0x852723=_0x36e588;if(_0x3ee438['data']&&_0x3ee438[_0x852723(0x524)][_0x852723(0xcef)]&&_0x3ee438[_0x852723(0x524)][_0x852723(0xcef)][_0x852723(0x402)]){_0x518e0a[_0x852723(0xcef)]=_0x3ee438[_0x852723(0x524)][_0x852723(0xcef)]||[{'message':_0x3ee438[_0x852723(0xd5f)](),'type':_0x852723(0x229b)}];for(let _0x2d6563=0x0;_0x2d6563<_0x3ee438[_0x852723(0x524)][_0x852723(0xcef)][_0x852723(0x402)];_0x2d6563++){_0x43c498['error']({'title':_0x3ee438['data'][_0x852723(0xcef)][_0x2d6563][_0x852723(0x1142)],'msg':_0x3ee438[_0x852723(0x524)][_0x852723(0xcef)][_0x2d6563]['message']});}}else _0x43c498[_0x852723(0x1980)]({'title':_0x3ee438['status']?_0x852723(0x262a)+_0x3ee438[_0x852723(0x107b)]+_0x852723(0x1315)+_0x3ee438[_0x852723(0x167f)]:'api.jscriptyProject.update','msg':_0x3ee438['data']?JSON[_0x852723(0x10bb)](_0x3ee438[_0x852723(0x524)]['message']):_0x3ee438[_0x852723(0xd5f)]()});});}function _0x538367(_0x51e082){const _0x277a1e=_0x267225;_0x518e0a[_0x277a1e(0xcef)]=[];const _0x39b871=_0x3d9962[_0x277a1e(0x1e8a)]()[_0x277a1e(0x1189)]('Are\x20you\x20sure?')[_0x277a1e(0x80f)]('The\x20project\x20will\x20be\x20deleted.')[_0x277a1e(0x4bd)](_0x277a1e(0x1c81))['ok']('Delete')['cancel'](_0x277a1e(0xcf0))[_0x277a1e(0x1f27)](_0x51e082);_0x3d9962[_0x277a1e(0x2615)](_0x39b871)[_0x277a1e(0x146b)](function(){const _0x5a6a27=_0x277a1e;_0x3700d4[_0x5a6a27(0x20c8)][_0x5a6a27(0x1fac)]({'id':_0x518e0a[_0x5a6a27(0x9e0)]['id']})[_0x5a6a27(0x2945)][_0x5a6a27(0x146b)](function(){const _0x5e2127=_0x5a6a27;_0x3f65c0()[_0x5e2127(0x2640)](_0x518e0a[_0x5e2127(0x1991)],{'id':_0x518e0a[_0x5e2127(0x9e0)]['id']}),_0x43c498[_0x5e2127(0x1c75)]({'title':_0x5e2127(0x182f),'msg':(_0x518e0a['project'][_0x5e2127(0x19eb)]||_0x5e2127(0x9e0))+'\x20has\x20been\x20deleted!'}),_0x5a31d9(_0x518e0a[_0x5e2127(0x9e0)]);})[_0x5a6a27(0x129e)](function(_0x2eaf16){const _0x353da6=_0x5a6a27;if(_0x2eaf16[_0x353da6(0x524)]&&_0x2eaf16[_0x353da6(0x524)][_0x353da6(0xcef)]&&_0x2eaf16['data'][_0x353da6(0xcef)]['length']){_0x518e0a[_0x353da6(0xcef)]=_0x2eaf16[_0x353da6(0x524)][_0x353da6(0xcef)]||[{'message':_0x2eaf16[_0x353da6(0xd5f)](),'type':_0x353da6(0x14e2)}];for(let _0x45b5a2=0x0;_0x45b5a2<_0x2eaf16['data']['errors']['length'];_0x45b5a2++){_0x43c498[_0x353da6(0x1980)]({'title':_0x2eaf16[_0x353da6(0x524)][_0x353da6(0xcef)][_0x45b5a2][_0x353da6(0x1142)],'msg':_0x2eaf16[_0x353da6(0x524)][_0x353da6(0xcef)][_0x45b5a2]['message']});}}else _0x43c498[_0x353da6(0x1980)]({'title':_0x2eaf16['status']?_0x353da6(0x262a)+_0x2eaf16[_0x353da6(0x107b)]+_0x353da6(0x1315)+_0x2eaf16[_0x353da6(0x167f)]:_0x353da6(0x14e2),'msg':_0x2eaf16[_0x353da6(0x524)]?JSON[_0x353da6(0x10bb)](_0x2eaf16[_0x353da6(0x524)][_0x353da6(0x7fd)]):_0x2eaf16[_0x353da6(0x7fd)]||_0x2eaf16[_0x353da6(0xd5f)]()});});},function(){});}function _0x1aa24c(_0x5bf655){return _0x5bf655===null?undefined:new Date(_0x5bf655);}function _0x5a31d9(_0x16f371){_0x3d9962['hide'](_0x16f371);}}const _0x5a3896=_0x5e4f6d;;_0x4f6fae['$inject']=['$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x1aa9),_0x313a4d(0x247f),'toasty','dateFilterLocalizationFactory'];function _0x4f6fae(_0xc578ec,_0x5ce624,_0x2def17,_0x261df4,_0x44bc7c,_0x4170bb){const _0x143d7e=_0x313a4d,_0x2b0417=this;_0x2b0417[_0x143d7e(0x9e0)]={},_0x2b0417['localizationMap']=_0x4170bb;const _0x5d918a=new Date();_0x5d918a[_0x143d7e(0x25cd)](0x0,0x0,0x0,0x0),_0x2b0417[_0x143d7e(0x1237)]={'dateStart':new Date(_0x5d918a['getFullYear'](),_0x5d918a[_0x143d7e(0x2185)](),0x1),'dateEnd':new Date(_0x5d918a[_0x143d7e(0x1d3)](),_0x5d918a[_0x143d7e(0x2185)]()+0x1,0x0),'selectedTemplate':'TM'},_0x2b0417[_0x143d7e(0x5aa)]=_0x3ae2be,_0x2b0417[_0x143d7e(0x1650)]=_0x52856e,_0x2b0417[_0x143d7e(0x13e1)]=_0x1dabd0;function _0x1dabd0(){const _0x5b3b8e=_0x143d7e;return _0x2b0417[_0x5b3b8e(0x18d3)]=![],_0x261df4[_0x5b3b8e(0x20c8)][_0x5b3b8e(0x13e1)]({'id':_0x2b0417[_0x5b3b8e(0x9e0)]['id'],'sort':_0x5b3b8e(0x1152),'createdAt':{'$gte':_0x2deec6()(_0x2b0417[_0x5b3b8e(0x1237)][_0x5b3b8e(0x1aca)])[_0x5b3b8e(0x387)](0x0,_0x5b3b8e(0xdfa))[_0x5b3b8e(0x387)](0x0,'minutes')['add'](0x0,_0x5b3b8e(0xcf5))[_0x5b3b8e(0x65f)](0x0,!![])['format'](),'$lte':_0x2deec6()(_0x2b0417[_0x5b3b8e(0x1237)]['dateEnd'])[_0x5b3b8e(0x387)](0x17,_0x5b3b8e(0xdfa))[_0x5b3b8e(0x387)](0x3b,_0x5b3b8e(0x142))['add'](0x3b,_0x5b3b8e(0xcf5))['utcOffset'](0x0,!![])['format']()},'nolimit':!![]})[_0x5b3b8e(0x2945)]['then'](function(_0x1c2e47){const _0x1f13aa=_0x5b3b8e;if(_0x1c2e47&&_0x1c2e47[_0x1f13aa(0x19c7)]&&typeof _0x1c2e47[_0x1f13aa(0x51c)]!==_0x1f13aa(0x2274)){_0x2b0417[_0x1f13aa(0x1251)]={};for(let _0x35da1b=0x0,_0x5e7659=_0x1c2e47['count'];_0x35da1b<_0x5e7659;_0x35da1b++){_0x1c2e47['rows'][_0x35da1b][_0x1f13aa(0x217e)]&&(_0x2b0417[_0x1f13aa(0x18d3)]=!![],typeof _0x2b0417['answers'][_0x1c2e47[_0x1f13aa(0x19c7)][_0x35da1b][_0x1f13aa(0x217e)]]===_0x1f13aa(0x2274)&&(_0x2b0417[_0x1f13aa(0x1251)][_0x1c2e47[_0x1f13aa(0x19c7)][_0x35da1b]['questionId']]={'question':_0x1c2e47['rows'][_0x35da1b]['question'],'answers':{},'sum':0x0}),_0x1c2e47[_0x1f13aa(0x19c7)][_0x35da1b]['answer']===''&&(_0x1c2e47[_0x1f13aa(0x19c7)][_0x35da1b][_0x1f13aa(0x2336)]=_0x1f13aa(0xf62)),_0x2b0417[_0x1f13aa(0x1251)][_0x1c2e47[_0x1f13aa(0x19c7)][_0x35da1b][_0x1f13aa(0x217e)]][_0x1f13aa(0x1251)][_0x1c2e47['rows'][_0x35da1b]['answer']]?_0x2b0417['answers'][_0x1c2e47[_0x1f13aa(0x19c7)][_0x35da1b][_0x1f13aa(0x217e)]][_0x1f13aa(0x1251)][_0x1c2e47[_0x1f13aa(0x19c7)][_0x35da1b][_0x1f13aa(0x2336)]]+=0x1:_0x2b0417[_0x1f13aa(0x1251)][_0x1c2e47[_0x1f13aa(0x19c7)][_0x35da1b][_0x1f13aa(0x217e)]][_0x1f13aa(0x1251)][_0x1c2e47[_0x1f13aa(0x19c7)][_0x35da1b]['answer']]=0x1,_0x2b0417['answers'][_0x1c2e47[_0x1f13aa(0x19c7)][_0x35da1b]['questionId']][_0x1f13aa(0x238d)]+=0x1);}}})[_0x5b3b8e(0x129e)](function(_0x5e973b){const _0xa2a6e1=_0x5b3b8e;_0x44bc7c[_0xa2a6e1(0x1980)]({'title':_0x5e973b[_0xa2a6e1(0x107b)]?_0xa2a6e1(0x262a)+_0x5e973b[_0xa2a6e1(0x107b)]+'\x20-\x20'+_0x5e973b[_0xa2a6e1(0x167f)]:'SYSTEM:GETANSWERS','msg':_0x5e973b[_0xa2a6e1(0x524)]?JSON[_0xa2a6e1(0x10bb)](_0x5e973b[_0xa2a6e1(0x524)]):_0x5e973b[_0xa2a6e1(0xd5f)]()});});}function _0x3ae2be(_0x145875){const _0x547f9a=_0x143d7e;_0x2b0417[_0x547f9a(0x9e0)]=_0x145875,_0x2b0417[_0x547f9a(0x13e1)]();}function _0x52856e(){const _0x38e8cb=_0x143d7e;return _0x261df4[_0x38e8cb(0x20c8)][_0x38e8cb(0x1650)]({'id':_0x2b0417[_0x38e8cb(0x9e0)]['id'],'startTime':{'$gte':_0x2b0417['pickerModel']['dateStart'],'$lte':_0x2deec6()(_0x2b0417[_0x38e8cb(0x1237)][_0x38e8cb(0x1b6d)])['add'](0x17,_0x38e8cb(0xdfa))['add'](0x3b,_0x38e8cb(0x142))[_0x38e8cb(0x387)](0x3b,_0x38e8cb(0xcf5))[_0x38e8cb(0x22b0)]()},'nolimit':!![]})[_0x38e8cb(0x2945)][_0x38e8cb(0x146b)](function(_0x1e485a){const _0x3d0dee=_0x38e8cb;if(typeof _0x1e485a[_0x3d0dee(0x402)]!=='undefined'){const _0x10c0cd=[_0x1e485a[_0x3d0dee(0x2eb)]],_0x42428c=new Blob(_0x10c0cd,{'type':_0x1e485a[_0x3d0dee(0x1142)]}),_0x5a8889=window['document'][_0x3d0dee(0x24ec)]('a');_0x5a8889[_0x3d0dee(0x1652)]('href',URL[_0x3d0dee(0x1c58)](_0x42428c)),_0x5a8889[_0x3d0dee(0x1652)](_0x3d0dee(0x15b1),_0x3d0dee(0x2a4)+_0x2b0417[_0x3d0dee(0x9e0)]['id']+'.csv'),_0x5a8889[_0x3d0dee(0x1fa5)]();}else _0x44bc7c[_0x3d0dee(0x271e)]({'title':_0x3d0dee(0x6bb),'msg':_0x3d0dee(0x2288)});})['catch'](function(_0x40d3e7){const _0x35bd88=_0x38e8cb;_0x44bc7c[_0x35bd88(0x1980)]({'title':_0x40d3e7[_0x35bd88(0x107b)]?_0x35bd88(0x262a)+_0x40d3e7['status']+'\x20-\x20'+_0x40d3e7[_0x35bd88(0x167f)]:_0x35bd88(0x42a),'msg':_0x40d3e7[_0x35bd88(0x524)]?JSON[_0x35bd88(0x10bb)](_0x40d3e7[_0x35bd88(0x524)]):_0x40d3e7[_0x35bd88(0xd5f)]()});});}}const _0x56dc54=_0x4f6fae;;function _0x178651(){const _0x57f02e=_0x313a4d,_0x2caf2d=this;_0x2caf2d[_0x57f02e(0x9e0)]={},_0x2caf2d[_0x57f02e(0x1b7a)]={'questionTypes':['text','textarea','radio',_0x57f02e(0xd6d),'select',_0x57f02e(0x181),_0x57f02e(0x1a10),_0x57f02e(0x1df0),'email',_0x57f02e(0x21a0),_0x57f02e(0x20cc)],'elementTypes':[_0x57f02e(0x1246),_0x57f02e(0x8b1)]},_0x2caf2d[_0x57f02e(0x5aa)]=_0x4345a4,_0x2caf2d[_0x57f02e(0xc87)]=_0x2937a9;function _0x2937a9(_0xda9850){const _0xda00c1=_0x57f02e;if(_0x3f65c0()[_0xda00c1(0x1b36)](_0xda9850))return![];try{JSON[_0xda00c1(0x975)](_0xda9850);}catch(_0x3755f7){return![];}return!![];}function _0x4345a4(_0x39b807){const _0x55ebce=_0x57f02e;_0x2caf2d['project']=_0x39b807;if(_0x3f65c0()[_0x55ebce(0x18a2)](_0x39b807[_0x55ebce(0x21e6)]))_0x2caf2d[_0x55ebce(0x9e0)][_0x55ebce(0x21e6)]=_0x39b807[_0x55ebce(0x21e6)];else _0x2caf2d['isJsonString'](_0x39b807[_0x55ebce(0x21e6)])?_0x2caf2d[_0x55ebce(0x9e0)]['formData']=JSON['parse'](_0x39b807[_0x55ebce(0x21e6)]):_0x2caf2d[_0x55ebce(0x9e0)][_0x55ebce(0x21e6)]={};}}const _0x492fd3=_0x178651;;_0x576c26[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),_0x313a4d(0x1862),_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0x9e0),'projects','$translate'];function _0x576c26(_0x5d306b,_0x265f12,_0x3d1f16,_0x17c3a5,_0x427864,_0x5da58e,_0x5d0c5b){const _0xe57a7d=_0x313a4d,_0x2ba081=this;_0x2ba081['newProject']=!![],_0x2ba081[_0xe57a7d(0x9e0)]=angular[_0xe57a7d(0x235a)](_0x427864),_0x2ba081[_0xe57a7d(0x1991)]=_0x5da58e,_0x2ba081[_0xe57a7d(0x1189)]=_0x5d0c5b[_0xe57a7d(0xde)]('JSCRIPTY.CLONE_PROJECT');_0x427864&&_0x427864[_0xe57a7d(0x19eb)]&&(_0x2ba081[_0xe57a7d(0x1189)]+=':\x20'+_0x427864[_0xe57a7d(0x19eb)]);_0x2ba081[_0xe57a7d(0x1834)]=_0x3b11cb,_0x2ba081['closeDialog']=_0x59b555;function _0x3b11cb(){const _0x53b311=_0xe57a7d;return _0x17c3a5[_0x53b311(0x20c8)]['clone']({'id':_0x427864['id']},_0x2ba081[_0x53b311(0x9e0)])['$promise'][_0x53b311(0x146b)](function(_0x5267db){const _0x251c25=_0x53b311;_0x2ba081[_0x251c25(0x1991)][_0x251c25(0xb3d)](_0x5267db[_0x251c25(0x2488)]()),_0x3d1f16[_0x251c25(0x1c75)]({'title':_0x251c25(0x161e),'msg':_0x2ba081[_0x251c25(0x9e0)][_0x251c25(0x19eb)]?_0x2ba081[_0x251c25(0x9e0)][_0x251c25(0x19eb)]+'\x20has\x20been\x20cloned!':''}),_0x265f12['go'](_0x251c25(0x8c2),{'id':_0x5267db['id']}),_0x59b555(_0x5267db);})[_0x53b311(0x129e)](function(_0x42283d){const _0x1a5a67=_0x53b311;if(_0x42283d[_0x1a5a67(0x524)]&&_0x42283d[_0x1a5a67(0x524)][_0x1a5a67(0xcef)]&&_0x42283d[_0x1a5a67(0x524)][_0x1a5a67(0xcef)]['length']){_0x2ba081[_0x1a5a67(0xcef)]=_0x42283d[_0x1a5a67(0x524)][_0x1a5a67(0xcef)]||[{'message':_0x42283d[_0x1a5a67(0xd5f)](),'type':'api.jscriptyProject.clone'}];for(let _0x2146af=0x0;_0x2146af<_0x42283d[_0x1a5a67(0x524)][_0x1a5a67(0xcef)][_0x1a5a67(0x402)];_0x2146af+=0x1){_0x3d1f16[_0x1a5a67(0x1980)]({'title':_0x42283d[_0x1a5a67(0x524)]['errors'][_0x2146af][_0x1a5a67(0x1142)],'msg':_0x42283d[_0x1a5a67(0x524)]['errors'][_0x2146af][_0x1a5a67(0x7fd)]});}}else _0x3d1f16[_0x1a5a67(0x1980)]({'title':_0x42283d[_0x1a5a67(0x107b)]?_0x1a5a67(0x262a)+_0x42283d[_0x1a5a67(0x107b)]+_0x1a5a67(0x1315)+_0x42283d[_0x1a5a67(0x167f)]:_0x1a5a67(0x227d),'msg':_0x42283d[_0x1a5a67(0x524)]?JSON[_0x1a5a67(0x10bb)](_0x42283d[_0x1a5a67(0x524)][_0x1a5a67(0x7fd)]):_0x42283d[_0x1a5a67(0xd5f)]()});});}function _0x59b555(_0x33406b){const _0x489556=_0xe57a7d;_0x5d306b[_0x489556(0x2458)](_0x33406b);}}const _0x109939=_0x576c26;;_0x1f8582[_0x313a4d(0x11c2)]=['$q',_0x313a4d(0x10e8),_0x313a4d(0x910)];function _0x1f8582(_0x3f135e,_0x2a6ad5,_0x5687d3){const _0x5047c4=_0x313a4d,_0x390b58=this;_0x390b58[_0x5047c4(0x9e0)]={},_0x390b58[_0x5047c4(0x2719)]={},_0x390b58['formViewer']={},_0x390b58[_0x5047c4(0x5aa)]=_0x2b05b4,_0x390b58['onSubmit']=_0x86fe38,_0x390b58[_0x5047c4(0xe50)]=_0x43d16c;function _0x2b05b4(_0x2f7a9d){const _0x5228ff=_0x5047c4;_0x390b58[_0x5228ff(0x9e0)]=_0x2f7a9d,_0x5687d3[_0x5228ff(0x16ad)](_0x5228ff(0xa54),function(_0x30dc3c,_0x54fc80){const _0x33a189=_0x5228ff;if(_0x54fc80['currentPage']&&_0x390b58['project'][_0x33a189(0x21e6)]&&_0x390b58[_0x33a189(0x9e0)][_0x33a189(0x21e6)][_0x33a189(0x28cc)])for(let _0x149df0=0x0,_0x4f63d4=_0x390b58[_0x33a189(0x9e0)][_0x33a189(0x21e6)][_0x33a189(0x28cc)][_0x33a189(0x402)];_0x149df0<_0x4f63d4;_0x149df0++){if(_0x54fc80['currentPage']['number']<_0x149df0+0x1&&_0x390b58['project'][_0x33a189(0x21e6)]['pages'][_0x149df0]['elements'])for(let _0x2efde9=0x0,_0x13cf0e=_0x390b58[_0x33a189(0x9e0)][_0x33a189(0x21e6)][_0x33a189(0x28cc)][_0x149df0]['elements'][_0x33a189(0x402)];_0x2efde9<_0x13cf0e;_0x2efde9++){_0x390b58[_0x33a189(0x9e0)][_0x33a189(0x21e6)][_0x33a189(0x28cc)][_0x149df0]['elements'][_0x2efde9][_0x33a189(0x1142)]===_0x33a189(0x1246)&&_0x390b58[_0x33a189(0x9e0)][_0x33a189(0x21e6)][_0x33a189(0x28cc)][_0x149df0]['elements'][_0x2efde9][_0x33a189(0x1246)]&&delete _0x390b58['responseData'][_0x390b58[_0x33a189(0x9e0)][_0x33a189(0x21e6)][_0x33a189(0x28cc)][_0x149df0]['elements'][_0x2efde9][_0x33a189(0x1246)]['id']];}}});}function _0x86fe38(_0x3f9361){const _0x44a231=_0x5047c4,_0x28c1e6=_0x3f135e[_0x44a231(0xce3)](),_0x3bfbf8=_0x2a6ad5[_0x44a231(0x1e8a)]()['title']('Would\x20you\x20like\x20to\x20save\x20your\x20survey?')[_0x44a231(0x199c)]('')[_0x44a231(0x4bd)](_0x44a231(0x2a3))[_0x44a231(0x1f27)](_0x3f9361)['ok'](_0x44a231(0x4de))[_0x44a231(0x6c3)](_0x44a231(0x39a));return _0x2a6ad5[_0x44a231(0x2615)](_0x3bfbf8)[_0x44a231(0x146b)](function(){const _0x4848dc=_0x44a231;_0x28c1e6[_0x4848dc(0x2922)](!![]);},function(){_0x28c1e6['reject']();}),_0x28c1e6[_0x44a231(0xb9c)];}function _0x43d16c(){const _0x43803d=_0x5047c4;_0x390b58[_0x43803d(0x3dc)][_0x43803d(0x2450)]&&_0x390b58[_0x43803d(0x3dc)][_0x43803d(0x2450)]();}}const _0x1b39d6=_0x1f8582;;const _0x49c76a=_0x4acfac['p']+_0x313a4d(0x103b);;_0x194544[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),_0x313a4d(0x910),_0x313a4d(0x1862),'$q',_0x313a4d(0x214b),'$timeout','$mdDialog','$document',_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0xa87)];function _0x194544(_0x501ebe,_0xf7eadb,_0x626906,_0x504c5a,_0x2ecf45,_0x1bd346,_0x86f2d4,_0x5e129a,_0x36c6b0,_0x3ebdf4,_0x402c44){const _0x1947f2=_0x313a4d,_0x224193=this;_0x224193[_0x1947f2(0x2321)]=_0x402c44['getCurrentUser'](),_0x224193['project']={},_0x224193[_0x1947f2(0x1753)]={'count':0x0,'rows':[]},_0x224193[_0x1947f2(0x773)]=[],_0x224193[_0x1947f2(0x2514)],_0x224193['query']={'fields':'createdAt,updatedAt,id,starttime,endtime,membername,calleridnum,completed','sort':_0x1947f2(0xc89),'limit':0xa,'page':0x1},_0x224193[_0x1947f2(0x5aa)]=_0x50e007,_0x224193[_0x1947f2(0x19b4)]=_0x49988c,_0x224193[_0x1947f2(0x1c75)]=_0x31d6a1,_0x224193[_0x1947f2(0x218c)]=_0x41c9ef,_0x224193[_0x1947f2(0xb5d)]=_0x562467,_0x224193['showQuestionsProjectSession']=_0xfaeeaa,_0x224193['exportSelectedProjectSessions']=_0x471c04,_0x224193['deleteProjectSession']=_0x5e0cb9,_0x224193[_0x1947f2(0x2625)]=_0x496442;function _0x50e007(_0x48d6fa,_0x44cf51){const _0x3a81e0=_0x1947f2;_0x224193[_0x3a81e0(0x9e0)]=_0x48d6fa,_0x224193[_0x3a81e0(0x2514)]=typeof _0x44cf51!==_0x3a81e0(0x2274)?_0x44cf51:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x224193[_0x3a81e0(0x1a56)]['id']=_0x224193['project']['id'],_0x41c9ef();}function _0x49988c(_0x8da484,_0x12e5d0){const _0x3230a3=_0x1947f2,_0x5ccab2=_0x86f2d4[_0x3230a3(0x1e8a)]()[_0x3230a3(0x1189)](_0x3230a3(0xae1))[_0x3230a3(0x1cbe)](_0x3230a3(0x16d3)+(_0x8da484[_0x3230a3(0x19eb)]||_0x8da484['id']&&_0x3f65c0()[_0x3230a3(0x21cf)](_0x3230a3(0x124a))+_0x8da484['id']||_0x3230a3(0x258b))+_0x3230a3(0x252f)+_0x3230a3(0xe01))[_0x3230a3(0x4bd)]('delete\x20session')[_0x3230a3(0x1f27)](_0x12e5d0)['ok']('OK')[_0x3230a3(0x6c3)](_0x3230a3(0x39a));_0x86f2d4[_0x3230a3(0x2615)](_0x5ccab2)['then'](function(){_0x5e0cb9(_0x8da484);},function(){const _0x510bab=_0x3230a3;console[_0x510bab(0x1a74)](_0x510bab(0x39a));});}function _0x31d6a1(_0xc20f2b){_0x224193['projectSessions']=_0xc20f2b||{'count':0x0,'rows':[]};}function _0x41c9ef(){const _0x579999=_0x1947f2;_0x224193[_0x579999(0x1a56)][_0x579999(0x145d)]=(_0x224193['query'][_0x579999(0x844)]-0x1)*_0x224193[_0x579999(0x1a56)][_0x579999(0x221e)],_0x224193[_0x579999(0xb9c)]=_0x3ebdf4[_0x579999(0x20c8)]['getSessions'](_0x224193[_0x579999(0x1a56)],_0x31d6a1)['$promise'];}function _0x562467(_0x39aa52,_0x33bb6c){const _0x43538d=_0x1947f2;_0x86f2d4[_0x43538d(0x2615)]({'controller':'CreateOrEditSessionDialogController','controllerAs':'vm','templateUrl':_0x49c76a,'parent':angular[_0x43538d(0x1853)](_0x5e129a['body']),'targetEvent':_0x39aa52,'clickOutsideToClose':!![],'locals':{'project':_0x224193[_0x43538d(0x9e0)],'session':_0x33bb6c,'sessions':_0x224193[_0x43538d(0x1753)][_0x43538d(0x19c7)],'license':null,'setting':null,'crudPermissions':_0x224193['crudPermissions']}});}function _0xfaeeaa(_0x5533e4,_0x182322){const _0x38ea36=_0x1947f2;_0x86f2d4[_0x38ea36(0x2615)]({'controller':_0x38ea36(0x158b),'controllerAs':'vm','templateUrl':_0x49c76a,'parent':angular[_0x38ea36(0x1853)](_0x5e129a[_0x38ea36(0x2586)]),'targetEvent':_0x5533e4,'clickOutsideToClose':!![],'resolve':{'questions':[_0x38ea36(0x362),function(_0x2fd07c){const _0x14b7e3=_0x38ea36;return _0x2fd07c['resolve']('jscriptySessionReport@getQuestions',{'fields':_0x14b7e3(0x1f80),'sort':'id','id':_0x182322['id']});}]},'locals':{'project':_0x224193[_0x38ea36(0x9e0)],'session':_0x182322}});}function _0x5e0cb9(_0x343a95){const _0x25a1cd=_0x1947f2;_0x3ebdf4['jscriptySessionReport']['delete']({'id':_0x343a95['id']})[_0x25a1cd(0x2945)][_0x25a1cd(0x146b)](function(){const _0x32b829=_0x25a1cd;_0x3f65c0()[_0x32b829(0x2640)](_0x224193['projectSessions'][_0x32b829(0x19c7)],{'id':_0x343a95['id']}),_0x224193[_0x32b829(0x1753)][_0x32b829(0x51c)]-=0x1,!_0x224193[_0x32b829(0x1753)]['rows'][_0x32b829(0x402)]&&_0x41c9ef(),_0x36c6b0[_0x32b829(0x1c75)]({'title':_0x32b829(0xbbf),'msg':_0x343a95[_0x32b829(0x19eb)]?_0x343a95['name']+_0x32b829(0x23e3):''});})[_0x25a1cd(0x129e)](function(_0x3ee55f){const _0x20ffd1=_0x25a1cd;if(_0x3ee55f[_0x20ffd1(0x524)]&&_0x3ee55f['data']['errors']&&_0x3ee55f[_0x20ffd1(0x524)]['errors']['length']){_0x224193[_0x20ffd1(0xcef)]=_0x3ee55f[_0x20ffd1(0x524)]['errors']||[{'message':_0x3ee55f[_0x20ffd1(0xd5f)](),'type':_0x20ffd1(0x267a)}];for(let _0xf288a=0x0;_0xf288a<_0x3ee55f[_0x20ffd1(0x524)][_0x20ffd1(0xcef)][_0x20ffd1(0x402)];_0xf288a++){_0x36c6b0[_0x20ffd1(0x1980)]({'title':_0x3ee55f['data'][_0x20ffd1(0xcef)][_0xf288a][_0x20ffd1(0x1142)],'msg':_0x3ee55f['data'][_0x20ffd1(0xcef)][_0xf288a][_0x20ffd1(0x7fd)]});}}else _0x36c6b0['error']({'title':_0x3ee55f[_0x20ffd1(0x107b)]?_0x20ffd1(0x262a)+_0x3ee55f[_0x20ffd1(0x107b)]+'\x20-\x20'+_0x3ee55f['statusText']:'SYSTEM:GETjscriptyProject','msg':_0x3ee55f[_0x20ffd1(0x524)]?JSON['stringify'](_0x3ee55f[_0x20ffd1(0x524)][_0x20ffd1(0x7fd)]):_0x3ee55f[_0x20ffd1(0x7fd)]||_0x3ee55f['toString']()});});}function _0x471c04(){const _0x2f5d84=_0x1947f2,_0x3e9803=angular[_0x2f5d84(0x235a)](_0x224193['selectedProjectSessions']);return _0x224193['selectedProjectSessions']=[],_0x3e9803;}function _0x496442(_0x8bf3b1){const _0x4002b7=_0x1947f2,_0x40d696=_0x86f2d4[_0x4002b7(0x1e8a)]()['title'](_0x4002b7(0x24dd))[_0x4002b7(0x1cbe)](_0x4002b7(0x16d3)+_0x224193[_0x4002b7(0x773)][_0x4002b7(0x402)]+_0x4002b7(0x2452)+_0x4002b7(0xe01))['ariaLabel'](_0x4002b7(0x2373))['targetEvent'](_0x8bf3b1)['ok']('OK')['cancel'](_0x4002b7(0x39a));_0x86f2d4['show'](_0x40d696)[_0x4002b7(0x146b)](function(){const _0x550a58=_0x4002b7;_0x224193['selectedProjectSessions'][_0x550a58(0x1df5)](function(_0x30d200){_0x5e0cb9(_0x30d200);}),_0x224193[_0x550a58(0x773)]=[];});}let _0xee63d3=!![],_0x5c5659=0x1;_0xf7eadb[_0x1947f2(0x21e8)](_0x1947f2(0x117f),function(_0x2bd1ed,_0x34c9ac){const _0x1b6a09=_0x1947f2;_0xee63d3?_0x1bd346(function(){_0xee63d3=![];}):(!_0x34c9ac&&(_0x5c5659=_0x224193['query'][_0x1b6a09(0x844)]),_0x2bd1ed!==_0x34c9ac&&(_0x224193[_0x1b6a09(0x1a56)][_0x1b6a09(0x844)]=0x1),!_0x2bd1ed&&(_0x224193[_0x1b6a09(0x1a56)]['page']=_0x5c5659),_0x41c9ef());});}const _0x301762=_0x194544;;_0x35d3bb[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$state',_0x313a4d(0xd08),_0x313a4d(0x10e8),_0x313a4d(0x1fe4),_0x313a4d(0x258b),_0x313a4d(0x10cb),_0x313a4d(0x9e0)];function _0x35d3bb(_0x304c17,_0x17c805,_0xa33fc5,_0x55cb6b,_0x20e759,_0x21f56a,_0x4986cd,_0x54cadb){const _0x2cd28f=_0x313a4d,_0x31aa6f=this;_0x31aa6f[_0x2cd28f(0x1189)]=_0x2cd28f(0x1cc0),_0x31aa6f[_0x2cd28f(0x258b)]=_0x21f56a,_0x31aa6f[_0x2cd28f(0x10cb)]=_0x4986cd,_0x31aa6f['project']=_0x54cadb;function _0x3a4cfe(_0x2e9f0b){const _0x2f82a1=_0x2cd28f;_0x55cb6b[_0x2f82a1(0x2458)](_0x2e9f0b);}_0x31aa6f[_0x2cd28f(0x13f3)]=_0x3a4cfe;}const _0x4e646d=_0x35d3bb;;const _0x1e82f0=_0x4acfac['p']+'src/js/modules/main/apps/jscripty/views/projects/edit/clone/clone.html/clone.html';;_0x639da3[_0x313a4d(0x11c2)]=['$state',_0x313a4d(0xd08),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x214b),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x9e0),_0x313a4d(0x1366)];function _0x639da3(_0x2de47e,_0x1ffe87,_0x41577b,_0x505a23,_0x3cd65a,_0x54ab12,_0x2558c6,_0xb7681d,_0x5d8385,_0x23f76e,_0x4ec333,_0x332ca9){const _0x142f52=_0x313a4d,_0x45ac04=this;_0x45ac04[_0x142f52(0x2321)]=_0x23f76e[_0x142f52(0xb12)](),_0x45ac04['license']=_0x54ab12,_0x45ac04[_0x142f52(0x15b9)]=_0x2558c6,_0x45ac04[_0x142f52(0x2251)]=_0x45ac04[_0x142f52(0x15b9)][_0x142f52(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x45ac04[_0x142f52(0x1002)]=_0x1ffe87[_0x142f52(0x2414)]()+_0x142f52(0xb0e)+_0x1ffe87['host'](),_0x45ac04[_0x142f52(0x9e0)]=_0x4ec333||_0x2de47e[_0x142f52(0x16a)][_0x142f52(0x9e0)]||{},_0x45ac04['userProfileSection']=_0x332ca9&&_0x332ca9[_0x142f52(0x51c)]==0x1?_0x332ca9[_0x142f52(0x19c7)][0x0]:null,_0x45ac04[_0x142f52(0x2514)]=_0x23f76e['parseCrudPermissions'](_0x45ac04[_0x142f52(0x1366)]?_0x45ac04[_0x142f52(0x1366)][_0x142f52(0x2514)]:null),_0x45ac04[_0x142f52(0x855)]={},_0x45ac04[_0x142f52(0x1b09)]=_0x2de47e['params'][_0x142f52(0x13a3)]||0x0,_0x45ac04['clonedialog']=_0x259946,_0x45ac04['alert']=_0x5d8385[_0x142f52(0x271e)],_0x45ac04[_0x142f52(0x2634)]=_0x46579f,_0x45ac04[_0x142f52(0x1994)]=_0x50ae59;function _0x259946(_0x34fdd7,_0x39c7a4){const _0x3c6cd0=_0x142f52;_0x41577b[_0x3c6cd0(0x2615)]({'controller':'ProjectcloneController','controllerAs':'vm','templateUrl':_0x1e82f0,'parent':angular[_0x3c6cd0(0x1853)](_0x505a23[_0x3c6cd0(0x2586)]),'targetEvent':_0x39c7a4,'clickOutsideToClose':!![],'locals':{'project':_0x34fdd7,'projects':_0x45ac04[_0x3c6cd0(0x1991)]?_0x45ac04[_0x3c6cd0(0x1991)][_0x3c6cd0(0x19c7)]:[],'crudPermissions':_0x45ac04['crudPermissions']}});}function _0x46579f(){const _0x49dbba=_0x142f52;_0x2de47e['go']('app.jscripty.projects',{},{'reload':_0x49dbba(0x19a2)});}function _0x50ae59(){const _0x22d114=_0x142f52;_0xb7681d[_0x22d114(0x20c8)][_0x22d114(0x18e1)]({'id':_0x45ac04['project']['id']},_0x45ac04['project'])[_0x22d114(0x2945)]['then'](function(){const _0x512e3c=_0x22d114;_0x5d8385[_0x512e3c(0x1c75)]({'title':_0x512e3c(0x987),'msg':_0x45ac04[_0x512e3c(0x9e0)][_0x512e3c(0x19eb)]?_0x45ac04[_0x512e3c(0x9e0)][_0x512e3c(0x19eb)]+_0x512e3c(0x6b0):''});})[_0x22d114(0x129e)](function(_0x474cb1){const _0x5d38c6=_0x22d114;_0x5d8385['error']({'title':_0x474cb1['status']?'API:'+_0x474cb1['status']+_0x5d38c6(0x1315)+_0x474cb1['statusText']:'SYSTEM:GETjscriptyProject','msg':_0x474cb1[_0x5d38c6(0x524)]?JSON[_0x5d38c6(0x10bb)](_0x474cb1[_0x5d38c6(0x524)]):_0x474cb1[_0x5d38c6(0xd5f)]()});});}}const _0x3e429d=_0x639da3;;const _0x1440d2=_0x4acfac['p']+'src/js/modules/main/apps/jscripty/views/projects/create/dialog.html/dialog.html';;_0x4d70c0[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),'$translate',_0x313a4d(0x1991),_0x313a4d(0x26b6),'userProfileSection','api',_0x313a4d(0x216c),'toasty',_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x4d70c0(_0x323c03,_0x45090f,_0x3f5cd0,_0x253d06,_0x49274a,_0x26fe7f,_0x3d7362,_0x5f5749,_0x30e7d3,_0x3c4afd,_0xa85373,_0x124f7e,_0x162fe5,_0x1ae4cc,_0x78e973,_0x3930d3,_0x236d3a){const _0x2cdfff=_0x313a4d,_0x13f011=this;_0x13f011['license']=_0x3930d3,_0x13f011[_0x2cdfff(0x15b9)]=_0x236d3a,_0x13f011[_0x2cdfff(0x2321)]=_0x78e973[_0x2cdfff(0xb12)](),_0x13f011[_0x2cdfff(0x1991)]=_0x30e7d3||{'count':0x0,'rows':[]},_0x13f011[_0x2cdfff(0x26b6)]=_0x3c4afd,_0x13f011['userProfileSection']=_0xa85373&&_0xa85373['count']==0x1?_0xa85373['rows'][0x0]:null,_0x13f011[_0x2cdfff(0x2514)]=_0x78e973['parseCrudPermissions'](_0x13f011['userProfileSection']?_0x13f011[_0x2cdfff(0x1366)]['crudPermissions']:null),_0x13f011[_0x2cdfff(0x768)]=_0x2cdfff(0x1991),_0x13f011['listOrder']='',_0x13f011[_0x2cdfff(0x214f)]=null,_0x13f011['selectedProjects']=[],_0x13f011[_0x2cdfff(0x1a56)]={'fields':_0x2cdfff(0x195e),'sort':'-updatedAt','limit':0xa,'page':0x1},_0x13f011[_0x2cdfff(0x23f9)]=_0x207dc6,_0x13f011[_0x2cdfff(0xa26)]=_0x1ea46b,_0x13f011['sessionsgoto']=_0x48ebf8,_0x13f011[_0x2cdfff(0xf0a)]=_0x34c573,_0x13f011[_0x2cdfff(0x1c75)]=_0x2b4535,_0x13f011[_0x2cdfff(0x2858)]=_0x16a7c5,_0x13f011[_0x2cdfff(0x1a1b)]=_0x163466,_0x13f011['deleteProject']=_0xde4f14,_0x13f011['exportSelectedProjects']=_0x119e26,_0x13f011['deleteSelectedProjects']=_0x541d5b,_0x13f011[_0x2cdfff(0x1188)]=_0x225078,_0x13f011['selectAllProjects']=_0x5f692c;function _0x207dc6(_0x346078){const _0x43ad1a=_0x2cdfff;_0x3f5cd0['go'](_0x43ad1a(0x8c2),{'id':_0x346078['id'],'project':_0x346078,'crudPermissions':_0x13f011[_0x43ad1a(0x2514)]});}function _0x1ea46b(_0x4fc707,_0x109c41){const _0x3167e2=_0x2cdfff;_0x49274a[_0x3167e2(0x2615)]({'controller':_0x3167e2(0x21ac),'controllerAs':'vm','templateUrl':_0x1e82f0,'parent':angular[_0x3167e2(0x1853)](_0x26fe7f[_0x3167e2(0x2586)]),'targetEvent':_0x109c41,'clickOutsideToClose':!![],'locals':{'project':_0x4fc707,'projects':_0x13f011['projects']?_0x13f011[_0x3167e2(0x1991)][_0x3167e2(0x19c7)]:[],'crudPermissions':_0x13f011['crudPermissions']}});}function _0x48ebf8(_0x5ef31d){const _0xfccbc=_0x2cdfff;_0x3f5cd0['go'](_0xfccbc(0x8c2),{'id':_0x5ef31d['id'],'tab':0x3});}function _0x34c573(_0x22501d,_0x44ebab){const _0x58df2c=_0x2cdfff,_0x48fa7f=_0x49274a[_0x58df2c(0x1e8a)]()[_0x58df2c(0x1189)](_0x58df2c(0xdb2)+_0x3f65c0()[_0x58df2c(0x20d1)](_0x58df2c(0x9e0))+'?')[_0x58df2c(0x1cbe)](_0x58df2c(0x16d3)+(_0x22501d[_0x58df2c(0x19eb)]||_0x58df2c(0x9e0))+_0x58df2c(0x252f)+_0x58df2c(0xe01))[_0x58df2c(0x4bd)](_0x58df2c(0x2813))[_0x58df2c(0x1f27)](_0x44ebab)['ok']('OK')['cancel']('CANCEL');_0x49274a['show'](_0x48fa7f)[_0x58df2c(0x146b)](function(){_0xde4f14(_0x22501d);},function(){const _0x4be1bf=_0x58df2c;console[_0x4be1bf(0x1a74)](_0x4be1bf(0x39a));});}let _0x3f8b74=!![],_0x4ac5f1=0x1;_0x323c03[_0x2cdfff(0x21e8)](_0x2cdfff(0x2669),function(_0x958903,_0x3b14f2){const _0x3886d7=_0x2cdfff;_0x3f8b74?_0x3d7362(function(){_0x3f8b74=![];}):(!_0x3b14f2&&(_0x4ac5f1=_0x13f011[_0x3886d7(0x1a56)]['page']),_0x958903!==_0x3b14f2&&(_0x13f011[_0x3886d7(0x1a56)][_0x3886d7(0x844)]=0x1),!_0x958903&&(_0x13f011[_0x3886d7(0x1a56)][_0x3886d7(0x844)]=_0x4ac5f1),_0x13f011[_0x3886d7(0x2858)]());});function _0x2b4535(_0x3112c0){_0x13f011['projects']=_0x3112c0||{'count':0x0,'rows':[]};}function _0x16a7c5(){const _0x130a7d=_0x2cdfff;_0x13f011[_0x130a7d(0x1a56)][_0x130a7d(0x145d)]=(_0x13f011[_0x130a7d(0x1a56)][_0x130a7d(0x844)]-0x1)*_0x13f011[_0x130a7d(0x1a56)]['limit'],_0x78e973[_0x130a7d(0x23e0)](_0x130a7d(0x174b))?_0x13f011[_0x130a7d(0xb9c)]=_0x124f7e[_0x130a7d(0x20c8)][_0x130a7d(0x16b4)](_0x13f011[_0x130a7d(0x1a56)],_0x2b4535)[_0x130a7d(0x2945)]:(_0x13f011[_0x130a7d(0x1a56)]['id']=_0x13f011[_0x130a7d(0x26b6)]['id'],_0x13f011[_0x130a7d(0x1a56)][_0x130a7d(0x2146)]=_0x130a7d(0x1ea),_0x13f011[_0x130a7d(0xb9c)]=_0x124f7e[_0x130a7d(0x26b6)][_0x130a7d(0x158f)](_0x13f011[_0x130a7d(0x1a56)],_0x2b4535)[_0x130a7d(0x2945)]);}function _0x163466(_0x1c9423,_0xd0c011){const _0x36948c=_0x2cdfff;_0x49274a[_0x36948c(0x2615)]({'controller':_0x36948c(0x1af0),'controllerAs':'vm','templateUrl':_0x1440d2,'parent':angular[_0x36948c(0x1853)](_0x26fe7f[_0x36948c(0x2586)]),'targetEvent':_0x1c9423,'clickOutsideToClose':!![],'locals':{'project':_0xd0c011,'projects':_0x13f011[_0x36948c(0x1991)]['rows'],'license':_0x13f011['license'],'setting':_0x13f011[_0x36948c(0x15b9)],'crudPermissions':_0x13f011['crudPermissions']}});}function _0xde4f14(_0x25769c){const _0x53ac34=_0x2cdfff;_0x124f7e[_0x53ac34(0x20c8)]['delete']({'id':_0x25769c['id']})[_0x53ac34(0x2945)]['then'](function(){const _0x2b0654=_0x53ac34;_0x3f65c0()[_0x2b0654(0x2640)](_0x13f011[_0x2b0654(0x1991)][_0x2b0654(0x19c7)],{'id':_0x25769c['id']}),_0x13f011[_0x2b0654(0x1991)][_0x2b0654(0x51c)]-=0x1,!_0x13f011[_0x2b0654(0x1991)][_0x2b0654(0x19c7)][_0x2b0654(0x402)]&&_0x13f011['getProjects'](),_0x1ae4cc[_0x2b0654(0x1c75)]({'title':_0x3f65c0()['startCase'](_0x2b0654(0x176d))+'\x20deleted!','msg':_0x25769c['name']?_0x25769c[_0x2b0654(0x19eb)]+_0x2b0654(0x23e3):''});})['catch'](function(_0xd48b21){const _0x34dd0b=_0x53ac34;if(_0xd48b21[_0x34dd0b(0x524)]&&_0xd48b21[_0x34dd0b(0x524)][_0x34dd0b(0xcef)]&&_0xd48b21[_0x34dd0b(0x524)]['errors'][_0x34dd0b(0x402)]){_0x13f011[_0x34dd0b(0xcef)]=_0xd48b21[_0x34dd0b(0x524)]['errors']||[{'message':_0xd48b21['toString'](),'type':'SYSTEM:DELETEjscriptyProject'}];for(let _0x54c1c3=0x0;_0x54c1c3<_0xd48b21[_0x34dd0b(0x524)][_0x34dd0b(0xcef)]['length'];_0x54c1c3++){_0x1ae4cc[_0x34dd0b(0x1980)]({'title':_0xd48b21[_0x34dd0b(0x524)][_0x34dd0b(0xcef)][_0x54c1c3][_0x34dd0b(0x1142)],'msg':_0xd48b21[_0x34dd0b(0x524)][_0x34dd0b(0xcef)][_0x54c1c3][_0x34dd0b(0x7fd)]});}}else _0x1ae4cc['error']({'title':_0xd48b21['status']?_0x34dd0b(0x262a)+_0xd48b21['status']+_0x34dd0b(0x1315)+_0xd48b21[_0x34dd0b(0x167f)]:_0x34dd0b(0x253e),'msg':_0xd48b21[_0x34dd0b(0x524)]?JSON['stringify'](_0xd48b21['data']['message']):_0xd48b21[_0x34dd0b(0x7fd)]||_0xd48b21[_0x34dd0b(0xd5f)]()});});}function _0x119e26(){const _0x205725=_0x2cdfff,_0x5ec6a9=angular[_0x205725(0x235a)](_0x13f011[_0x205725(0x26f7)]);return _0x13f011[_0x205725(0x26f7)]=[],_0x5ec6a9;}function _0x541d5b(_0xc42ad9){const _0x5d73be=_0x2cdfff,_0x3fe322=_0x49274a[_0x5d73be(0x1e8a)]()['title'](_0x5d73be(0x23ac))[_0x5d73be(0x1cbe)](_0x5d73be(0x16d3)+_0x13f011[_0x5d73be(0x26f7)][_0x5d73be(0x402)]+_0x5d73be(0x2452)+_0x5d73be(0xe01))[_0x5d73be(0x4bd)](_0x5d73be(0x674))[_0x5d73be(0x1f27)](_0xc42ad9)['ok']('OK')[_0x5d73be(0x6c3)](_0x5d73be(0x39a));_0x49274a[_0x5d73be(0x2615)](_0x3fe322)[_0x5d73be(0x146b)](function(){const _0x3e0c3a=_0x5d73be;_0x13f011['selectedProjects'][_0x3e0c3a(0x1df5)](function(_0x544814){_0xde4f14(_0x544814);}),_0x13f011['selectedProjects']=[];});}function _0x225078(){const _0x5d6c15=_0x2cdfff;_0x13f011[_0x5d6c15(0x26f7)]=[];}function _0x5f692c(){const _0x51ee53=_0x2cdfff;_0x13f011[_0x51ee53(0x26f7)]=_0x13f011['projects']['rows'];}}const _0x2aaa48=_0x4d70c0;;_0x1a2ffb[_0x313a4d(0x11c2)]=[_0x313a4d(0x921),_0x313a4d(0x695)];function _0x1a2ffb(_0x2c8cdd,_0x5c988f){const _0x3004c0=_0x313a4d;_0x2c8cdd['state'](_0x3004c0(0x1bdf),{'abstract':!![],'url':_0x3004c0(0x13c3)})[_0x3004c0(0x13d6)](_0x3004c0(0x19a2),{'url':_0x3004c0(0x165f),'views':{'content@app':{'templateUrl':_0x5a1655,'controller':_0x3004c0(0xa8b)}},'resolve':{'projects':[_0x3004c0(0x362),_0x3004c0(0xa87),function(_0x259403,_0x27c11e){const _0x16597b=_0x3004c0;return _0x27c11e[_0x16597b(0x23e0)](_0x16597b(0x174b))?_0x259403[_0x16597b(0x2922)]('jscriptyProject@get',{'fields':_0x16597b(0x195e),'sort':_0x16597b(0x12f2),'limit':0xa,'offset':0x0}):_0x259403['resolve']('userProfile@getResources',{'id':_0x27c11e[_0x16597b(0xb12)]()[_0x16597b(0x209a)],'section':'Projects','fields':'createdAt,updatedAt,id,name,enableUncompleteSave,sendUnpauseOnSubmit,description,formData','sort':_0x16597b(0x12f2),'limit':0xa,'offset':0x0});}],'userProfile':[_0x3004c0(0x362),_0x3004c0(0xa87),function(_0x1ba4c3,_0x209393){const _0x47aaca=_0x3004c0;return _0x209393[_0x47aaca(0x23e0)](_0x47aaca(0x174b))?null:_0x1ba4c3[_0x47aaca(0x2922)](_0x47aaca(0x119a),{'fields':_0x47aaca(0x227),'id':_0x209393['getCurrentUser']()['userProfileId']});}],'userProfileSection':[_0x3004c0(0x362),_0x3004c0(0xa87),function(_0x1ccc4a,_0x72aaf4){const _0x2319e2=_0x3004c0;return _0x72aaf4[_0x2319e2(0x23e0)](_0x2319e2(0x174b))?null:_0x1ccc4a['resolve'](_0x2319e2(0x27be),{'fields':_0x2319e2(0x1e64),'userProfileId':_0x72aaf4['getCurrentUser']()[_0x2319e2(0x209a)],'sectionId':0x6a5});}]},'authenticate':!![],'permissionId':0x6a5,'bodyClass':_0x3004c0(0x1640)})[_0x3004c0(0x13d6)](_0x3004c0(0x8c2),{'url':_0x3004c0(0x2028),'params':{'project':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x434199,'controller':_0x3004c0(0x3ca)}},'resolve':{'project':[_0x3004c0(0x362),_0x3004c0(0x28c8),function(_0x11d6c4,_0x981480){const _0x56a430=_0x3004c0;return _0x11d6c4[_0x56a430(0x2922)](_0x56a430(0x27da),{'fields':_0x56a430(0x195e),'id':_0x981480['id']});}],'userProfileSection':[_0x3004c0(0x362),'Auth',function(_0x1bb358,_0x3b7a94){const _0x4cb0fa=_0x3004c0;return _0x1bb358['resolve'](_0x4cb0fa(0x27be),{'fields':_0x4cb0fa(0x1e64),'userProfileId':_0x3b7a94['getCurrentUser']()[_0x4cb0fa(0x209a)],'sectionId':0x6a5});}]},'authenticate':!![],'permissionId':0x6a5,'bodyClass':'jscripty'}),_0x5c988f['addPart'](_0x3004c0(0x111d));}angular[_0x313a4d(0x2528)](_0x313a4d(0x1bdf),[_0x313a4d(0xdad),_0x313a4d(0x962),_0x313a4d(0x23c7),_0x313a4d(0x963),_0x313a4d(0x19e2),_0x313a4d(0x27c1),'ng-sortable',_0x313a4d(0x15ef),_0x313a4d(0xc86),_0x313a4d(0x1f5f),_0x313a4d(0x28a8),_0x313a4d(0xfb2),_0x313a4d(0x1e57),_0x313a4d(0x581),_0x313a4d(0x1bd2),_0x313a4d(0x1792),'angularMaterialFormBuilder',_0x313a4d(0x1626),_0x313a4d(0x2713),_0x313a4d(0x11df)])[_0x313a4d(0x989)](_0x1a2ffb)['controller']('CreateOrEditProjectDialogController',_0x5a3896)[_0x313a4d(0x28f0)](_0x313a4d(0x3c5),_0x56dc54)['controller'](_0x313a4d(0x17fa),_0x492fd3)[_0x313a4d(0x28f0)](_0x313a4d(0x21ac),_0x109939)['controller'](_0x313a4d(0x27a),_0x1b39d6)[_0x313a4d(0x28f0)](_0x313a4d(0x1b75),_0x301762)[_0x313a4d(0x28f0)]('ShowQuestionsSessionDialogController',_0x4e646d)[_0x313a4d(0x28f0)](_0x313a4d(0x1aeb),_0x3e429d)[_0x313a4d(0x28f0)](_0x313a4d(0xa1d),_0x2aaa48);;const _0x450378=_0x4acfac['p']+_0x313a4d(0x47e);;const _0x2cff3d=_0x4acfac['p']+_0x313a4d(0x27fc);;_0x17785e['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),'$mdDialog','$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x2af),'plugin',_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x17785e(_0x4f4296,_0x339dde,_0x3c9cef,_0x38a84c,_0x35975a,_0x14e39e,_0x1f5a3b,_0x2844a3,_0x303e86,_0xf8b4a0,_0x4c7f57,_0x35d8ec,_0xfba18b,_0x521b2f){const _0x87a9ca=_0x313a4d,_0x10f1fd=this;_0x10f1fd[_0x87a9ca(0x2321)]=_0x4c7f57[_0x87a9ca(0xb12)](),_0x10f1fd[_0x87a9ca(0xcef)]=[],_0x10f1fd[_0x87a9ca(0x15b9)]=_0xfba18b,_0x10f1fd[_0x87a9ca(0x2690)]=_0x35d8ec,_0x10f1fd[_0x87a9ca(0x2514)]=_0x521b2f,_0x10f1fd[_0x87a9ca(0x855)]={},_0x10f1fd[_0x87a9ca(0x2251)]=_0x10f1fd[_0x87a9ca(0x15b9)]&&_0x10f1fd[_0x87a9ca(0x15b9)][_0x87a9ca(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x10f1fd['title']='MARKETPLACE.EDIT_PLUGIN',_0x10f1fd[_0x87a9ca(0x5f5)]=angular[_0x87a9ca(0x235a)](_0x303e86),_0x10f1fd[_0x87a9ca(0x2af)]=_0x2844a3,_0x10f1fd[_0x87a9ca(0x182d)]=![];!_0x10f1fd[_0x87a9ca(0x5f5)]&&(_0x10f1fd[_0x87a9ca(0x5f5)]={},_0x10f1fd[_0x87a9ca(0x1189)]='MARKETPLACE.NEW_PLUGIN',_0x10f1fd['newPlugin']=!![]);_0x10f1fd[_0x87a9ca(0x27f3)]=_0x4f4d40,_0x10f1fd['savePlugin']=_0x2227cb,_0x10f1fd['deletePlugin']=_0x566dd2,_0x10f1fd['getDateFromString']=_0x594875,_0x10f1fd[_0x87a9ca(0x13f3)]=_0x2513bd;function _0x4f4d40(){const _0x54614a=_0x87a9ca;_0x10f1fd['errors']=[],_0xf8b4a0[_0x54614a(0x5f5)]['save'](_0x10f1fd['plugin'])['$promise'][_0x54614a(0x146b)](function(_0x3063ab){const _0x123bc2=_0x54614a;_0x10f1fd[_0x123bc2(0x2af)][_0x123bc2(0xb3d)](_0x3063ab['toJSON']()),_0x1f5a3b[_0x123bc2(0x1c75)]({'title':_0x123bc2(0x1cc2),'msg':_0x10f1fd[_0x123bc2(0x5f5)][_0x123bc2(0x19eb)]?_0x10f1fd[_0x123bc2(0x5f5)][_0x123bc2(0x19eb)]+_0x123bc2(0x1386):''}),_0x2513bd(_0x3063ab);})['catch'](function(_0xd79adc){const _0x182304=_0x54614a;if(_0xd79adc['data']&&_0xd79adc[_0x182304(0x524)][_0x182304(0xcef)]&&_0xd79adc[_0x182304(0x524)][_0x182304(0xcef)]['length']){_0x10f1fd['errors']=_0xd79adc[_0x182304(0x524)][_0x182304(0xcef)]||[{'message':_0xd79adc['toString'](),'type':_0x182304(0x1a29)}];for(let _0xa75976=0x0;_0xa75976<_0xd79adc['data'][_0x182304(0xcef)][_0x182304(0x402)];_0xa75976+=0x1){_0x1f5a3b['error']({'title':_0xd79adc['data'][_0x182304(0xcef)][_0xa75976][_0x182304(0x1142)],'msg':_0xd79adc[_0x182304(0x524)][_0x182304(0xcef)][_0xa75976][_0x182304(0x7fd)]});}}else _0x1f5a3b[_0x182304(0x1980)]({'title':_0xd79adc[_0x182304(0x107b)]?'API:'+_0xd79adc[_0x182304(0x107b)]+_0x182304(0x1315)+_0xd79adc[_0x182304(0x167f)]:_0x182304(0x1a29),'msg':_0xd79adc[_0x182304(0x524)]?JSON[_0x182304(0x10bb)](_0xd79adc[_0x182304(0x524)]['message']):_0xd79adc[_0x182304(0xd5f)]()});});}function _0x2227cb(){const _0xdc333=_0x87a9ca;_0x10f1fd[_0xdc333(0xcef)]=[],_0xf8b4a0[_0xdc333(0x5f5)]['update']({'id':_0x10f1fd[_0xdc333(0x5f5)]['id']},_0x10f1fd[_0xdc333(0x5f5)])[_0xdc333(0x2945)][_0xdc333(0x146b)](function(_0x1e43b4){const _0x59ae13=_0xdc333,_0x27aad8=_0x3f65c0()['find'](_0x10f1fd[_0x59ae13(0x2af)],{'id':_0x1e43b4['id']});_0x27aad8&&_0x3f65c0()[_0x59ae13(0x168d)](_0x27aad8,_0x3f65c0()[_0x59ae13(0x40e)](_0x1e43b4[_0x59ae13(0x2488)](),_0x3f65c0()[_0x59ae13(0x627)](_0x27aad8))),_0x1f5a3b[_0x59ae13(0x1c75)]({'title':'Plugin\x20properly\x20saved!','msg':_0x10f1fd['plugin'][_0x59ae13(0x19eb)]?_0x10f1fd[_0x59ae13(0x5f5)]['name']+'\x20has\x20been\x20saved!':''}),_0x2513bd(_0x1e43b4);})['catch'](function(_0x2758b9){const _0x4cd04d=_0xdc333;if(_0x2758b9[_0x4cd04d(0x524)]&&_0x2758b9[_0x4cd04d(0x524)]['errors']&&_0x2758b9[_0x4cd04d(0x524)][_0x4cd04d(0xcef)][_0x4cd04d(0x402)]){_0x10f1fd[_0x4cd04d(0xcef)]=_0x2758b9[_0x4cd04d(0x524)][_0x4cd04d(0xcef)]||[{'message':_0x2758b9['toString'](),'type':_0x4cd04d(0x169d)}];for(let _0x58bd4f=0x0;_0x58bd4f<_0x2758b9['data']['errors'][_0x4cd04d(0x402)];_0x58bd4f++){_0x1f5a3b['error']({'title':_0x2758b9['data'][_0x4cd04d(0xcef)][_0x58bd4f]['type'],'msg':_0x2758b9['data'][_0x4cd04d(0xcef)][_0x58bd4f][_0x4cd04d(0x7fd)]});}}else _0x1f5a3b[_0x4cd04d(0x1980)]({'title':_0x2758b9['status']?'API:'+_0x2758b9[_0x4cd04d(0x107b)]+_0x4cd04d(0x1315)+_0x2758b9[_0x4cd04d(0x167f)]:'api.plugin.update','msg':_0x2758b9['data']?JSON['stringify'](_0x2758b9[_0x4cd04d(0x524)][_0x4cd04d(0x7fd)]):_0x2758b9[_0x4cd04d(0xd5f)]()});});}function _0x566dd2(_0x3a2dfa){const _0x1d7550=_0x87a9ca;_0x10f1fd[_0x1d7550(0xcef)]=[];const _0x1431a5=_0x38a84c[_0x1d7550(0x1e8a)]()[_0x1d7550(0x1189)](_0x1d7550(0x1d64))['content'](_0x1d7550(0xed6))[_0x1d7550(0x4bd)]('Delete\x20Plugin')['ok'](_0x1d7550(0x25de))[_0x1d7550(0x6c3)](_0x1d7550(0xcf0))[_0x1d7550(0x1f27)](_0x3a2dfa);_0x38a84c[_0x1d7550(0x2615)](_0x1431a5)['then'](function(){const _0x5cdc4d=_0x1d7550;_0xf8b4a0['plugin'][_0x5cdc4d(0x1fac)]({'id':_0x10f1fd[_0x5cdc4d(0x5f5)]['id']})['$promise'][_0x5cdc4d(0x146b)](function(){const _0x383dc2=_0x5cdc4d;_0x3f65c0()[_0x383dc2(0x2640)](_0x10f1fd[_0x383dc2(0x2af)],{'id':_0x10f1fd[_0x383dc2(0x5f5)]['id']}),_0x1f5a3b[_0x383dc2(0x1c75)]({'title':'Plugin\x20properly\x20deleted!','msg':(_0x10f1fd[_0x383dc2(0x5f5)][_0x383dc2(0x19eb)]||_0x383dc2(0x5f5))+'\x20has\x20been\x20deleted!'}),_0x2513bd(_0x10f1fd[_0x383dc2(0x5f5)]);})[_0x5cdc4d(0x129e)](function(_0x1a28a5){const _0x33d3d7=_0x5cdc4d;if(_0x1a28a5[_0x33d3d7(0x524)]&&_0x1a28a5[_0x33d3d7(0x524)]['errors']&&_0x1a28a5['data'][_0x33d3d7(0xcef)][_0x33d3d7(0x402)]){_0x10f1fd[_0x33d3d7(0xcef)]=_0x1a28a5[_0x33d3d7(0x524)][_0x33d3d7(0xcef)]||[{'message':_0x1a28a5[_0x33d3d7(0xd5f)](),'type':_0x33d3d7(0xcdc)}];for(let _0x22fb9e=0x0;_0x22fb9e<_0x1a28a5[_0x33d3d7(0x524)][_0x33d3d7(0xcef)]['length'];_0x22fb9e++){_0x1f5a3b[_0x33d3d7(0x1980)]({'title':_0x1a28a5[_0x33d3d7(0x524)][_0x33d3d7(0xcef)][_0x22fb9e][_0x33d3d7(0x1142)],'msg':_0x1a28a5[_0x33d3d7(0x524)][_0x33d3d7(0xcef)][_0x22fb9e]['message']});}}else _0x1f5a3b[_0x33d3d7(0x1980)]({'title':_0x1a28a5[_0x33d3d7(0x107b)]?_0x33d3d7(0x262a)+_0x1a28a5[_0x33d3d7(0x107b)]+_0x33d3d7(0x1315)+_0x1a28a5[_0x33d3d7(0x167f)]:'api.plugin.delete','msg':_0x1a28a5[_0x33d3d7(0x524)]?JSON[_0x33d3d7(0x10bb)](_0x1a28a5[_0x33d3d7(0x524)][_0x33d3d7(0x7fd)]):_0x1a28a5[_0x33d3d7(0x7fd)]||_0x1a28a5[_0x33d3d7(0xd5f)]()});});},function(){});}function _0x594875(_0x3e5fd6){return _0x3e5fd6===null?undefined:new Date(_0x3e5fd6);}function _0x2513bd(_0x44ca63){const _0x4d07cd=_0x87a9ca;_0x38a84c[_0x4d07cd(0x2458)](_0x44ca63);}}const _0x21d949=_0x17785e;;_0x240e7c[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),_0x313a4d(0xd08),'$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x214b),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x247f),_0x313a4d(0x1fe4),'Auth',_0x313a4d(0x5f5),_0x313a4d(0x1366)];function _0x240e7c(_0x1ec656,_0x3cf7f0,_0x25611a,_0x228f83,_0x29fcf0,_0x4ea270,_0x5af909,_0x57dbd1,_0x7ff52c,_0x1d571f,_0x346a5f,_0x593b43){const _0x1007fb=_0x313a4d,_0x20846f=this;_0x20846f[_0x1007fb(0x2321)]=_0x1d571f['getCurrentUser'](),_0x20846f[_0x1007fb(0x2690)]=_0x4ea270,_0x20846f[_0x1007fb(0x15b9)]=_0x5af909,_0x20846f['passwordPattern']=_0x20846f[_0x1007fb(0x15b9)][_0x1007fb(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x20846f['location']=_0x3cf7f0[_0x1007fb(0x2414)]()+'://'+_0x3cf7f0[_0x1007fb(0x148e)](),_0x20846f[_0x1007fb(0x5f5)]=_0x346a5f||_0x1ec656['params']['plugin']||{},_0x20846f[_0x1007fb(0x1366)]=_0x593b43&&_0x593b43[_0x1007fb(0x51c)]==0x1?_0x593b43['rows'][0x0]:null,_0x20846f['crudPermissions']=_0x1d571f[_0x1007fb(0xe60)](_0x20846f[_0x1007fb(0x1366)]?_0x20846f[_0x1007fb(0x1366)][_0x1007fb(0x2514)]:null),_0x20846f['hasModulePermissions']={},_0x20846f[_0x1007fb(0x1b09)]=_0x1ec656[_0x1007fb(0x16a)][_0x1007fb(0x13a3)]||0x0,_0x20846f[_0x1007fb(0x861)]=_0x7ff52c[_0x1007fb(0x271e)],_0x20846f[_0x1007fb(0x1c47)]=_0x858d54,_0x20846f[_0x1007fb(0xe89)]=_0x2cf9aa;function _0x858d54(){const _0x34a6fc=_0x1007fb;_0x1ec656['go']('app.marketplace.plugins',{},{'reload':_0x34a6fc(0x2923)});}function _0x2cf9aa(){const _0x402574=_0x1007fb;_0x57dbd1['plugin']['update']({'id':_0x20846f[_0x402574(0x5f5)]['id']},_0x20846f[_0x402574(0x5f5)])['$promise'][_0x402574(0x146b)](function(){const _0x28b904=_0x402574;_0x7ff52c[_0x28b904(0x1c75)]({'title':_0x28b904(0x267c),'msg':_0x20846f[_0x28b904(0x5f5)][_0x28b904(0x19eb)]?_0x20846f[_0x28b904(0x5f5)][_0x28b904(0x19eb)]+_0x28b904(0x6b0):''});})[_0x402574(0x129e)](function(_0x375507){const _0x5a4e13=_0x402574;_0x7ff52c[_0x5a4e13(0x1980)]({'title':_0x375507[_0x5a4e13(0x107b)]?'API:'+_0x375507[_0x5a4e13(0x107b)]+_0x5a4e13(0x1315)+_0x375507[_0x5a4e13(0x167f)]:_0x5a4e13(0x1ca),'msg':_0x375507['data']?JSON[_0x5a4e13(0x10bb)](_0x375507['data']):_0x375507[_0x5a4e13(0xd5f)]()});});}}const _0x4f8f68=_0x240e7c;;const _0x3a75dc=_0x4acfac['p']+_0x313a4d(0x12cb);;const _0x2acd43=_0x4acfac['p']+_0x313a4d(0x446);;_0xa61cba[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),'$state',_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),'$timeout','$translate',_0x313a4d(0x2af),'userProfile',_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),'Auth',_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0xa61cba(_0x1dcd3a,_0xe74de6,_0x592f6b,_0x550bbd,_0x2489b0,_0x4ab1dc,_0x21822a,_0x3ec8a9,_0x2c362f,_0x3c448b,_0x6f4162,_0x389281,_0x174d0e,_0x6b39c4,_0x1cf12b,_0x16e8d4,_0x3f14b2){const _0x268a8b=_0x313a4d,_0x4bc074=this;_0x4bc074[_0x268a8b(0x2690)]=_0x16e8d4,_0x4bc074[_0x268a8b(0x15b9)]=_0x3f14b2,_0x4bc074[_0x268a8b(0x2321)]=_0x1cf12b[_0x268a8b(0xb12)](),_0x4bc074[_0x268a8b(0x2af)]=_0x2c362f||{'count':0x0,'rows':[]},_0x4bc074[_0x268a8b(0x26b6)]=_0x3c448b,_0x4bc074[_0x268a8b(0x1366)]=_0x6f4162&&_0x6f4162['count']==0x1?_0x6f4162[_0x268a8b(0x19c7)][0x0]:null,_0x4bc074[_0x268a8b(0x2514)]=_0x1cf12b[_0x268a8b(0xe60)](_0x4bc074[_0x268a8b(0x1366)]?_0x4bc074[_0x268a8b(0x1366)][_0x268a8b(0x2514)]:null),_0x4bc074[_0x268a8b(0x768)]=_0x268a8b(0x2af),_0x4bc074[_0x268a8b(0x216a)]='',_0x4bc074[_0x268a8b(0x214f)]=null,_0x4bc074['selectedPlugins']=[],_0x4bc074[_0x268a8b(0x1a56)]={'fields':_0x268a8b(0x1d56),'sort':_0x268a8b(0x19eb),'limit':0xa,'page':0x1},_0x4bc074[_0x268a8b(0xfbf)]=![],_0x4bc074[_0x268a8b(0x9a3)]=_0x3f65c0()[_0x268a8b(0x194)]([{'option':_0x268a8b(0x132f),'value':_0x268a8b(0x220a)},{'option':_0x268a8b(0x277e),'value':'\x27adminOnly\x27'},{'option':_0x268a8b(0x1827),'value':_0x268a8b(0xf67)},{'option':_0x268a8b(0x1b38),'value':'\x27never\x27'}],function(_0x5a79bb){const _0x15ec85=_0x268a8b;return _0x3f65c0()[_0x15ec85(0x5f4)](_0x5a79bb[_0x15ec85(0x175d)],new RegExp('\x27','g'),'');}),_0x4bc074['installplugin']=_0x5466f6,_0x4bc074[_0x268a8b(0x23f9)]=_0x5a66e5,_0x4bc074['stopplugin']=_0x2cf17f,_0x4bc074[_0x268a8b(0xfcb)]=_0x54f0a6,_0x4bc074[_0x268a8b(0xe49)]=_0x2d9f8c,_0x4bc074['uninstallplugin']=_0x17e79b,_0x4bc074[_0x268a8b(0xf0a)]=_0x1ede4c,_0x4bc074[_0x268a8b(0x1c75)]=_0x4d8c57,_0x4bc074[_0x268a8b(0xa1a)]=_0x58241c,_0x4bc074[_0x268a8b(0xe03)]=_0x39754b,_0x4bc074['deletePlugin']=_0x385889,_0x4bc074['exportSelectedPlugins']=_0x2b63bc,_0x4bc074['deleteSelectedPlugins']=_0x2643ae,_0x4bc074[_0x268a8b(0x165d)]=_0x5204a3,_0x4bc074['selectAllPlugins']=_0x29fc84,_0x4bc074[_0x268a8b(0xe42)]=_0x369e8b;function _0x5466f6(_0x305d97){const _0x45ee30=_0x268a8b;if(_0x305d97['type']==='script')_0x4bc074[_0x45ee30(0xfbf)]=!![],_0x389281[_0x45ee30(0x1b6f)][_0x45ee30(0x1e3)]({'name':_0x305d97[_0x45ee30(0x1cdb)],'script':_0x305d97['path']+'/'+_0x305d97[_0x45ee30(0x2556)]})[_0x45ee30(0x2945)][_0x45ee30(0x146b)](function(){const _0x32ce39=_0x45ee30;return _0x305d97[_0x32ce39(0x275a)]=!![],_0x389281['plugin'][_0x32ce39(0x18e1)]({'id':_0x305d97['id']},_0x305d97)[_0x32ce39(0x2945)];})['then'](function(){const _0xb0156=_0x45ee30;_0x4bc074[_0xb0156(0xfbf)]=![],_0x6b39c4[_0xb0156(0x1c75)]({'title':'Plugin\x20installed!','msg':_0x305d97[_0xb0156(0x19eb)]?_0x305d97[_0xb0156(0x19eb)]+'\x20has\x20been\x20installed!':''});})[_0x45ee30(0x129e)](function(_0x539988){const _0x143502=_0x45ee30;if(_0x539988[_0x143502(0x524)]&&_0x539988[_0x143502(0x524)][_0x143502(0xcef)]&&_0x539988[_0x143502(0x524)][_0x143502(0xcef)][_0x143502(0x402)]){_0x4bc074['errors']=_0x539988[_0x143502(0x524)]['errors']||[{'message':_0x539988['toString'](),'type':'api.pm2.save'}];for(let _0x786d27=0x0;_0x786d27<_0x539988[_0x143502(0x524)][_0x143502(0xcef)][_0x143502(0x402)];_0x786d27++){_0x6b39c4['error']({'title':_0x539988['data'][_0x143502(0xcef)][_0x786d27][_0x143502(0x1142)],'msg':_0x539988[_0x143502(0x524)][_0x143502(0xcef)][_0x786d27]['message']});}}else _0x6b39c4['error']({'title':_0x539988[_0x143502(0x107b)]?_0x143502(0x262a)+_0x539988[_0x143502(0x107b)]+'\x20-\x20'+_0x539988[_0x143502(0x167f)]:_0x143502(0x265b),'msg':_0x539988[_0x143502(0x524)]?JSON[_0x143502(0x10bb)](_0x539988['data']['message']):_0x539988[_0x143502(0x7fd)]||_0x539988['toString']()});});else return _0x305d97['active']=!![],_0x389281[_0x45ee30(0x5f5)][_0x45ee30(0x18e1)]({'id':_0x305d97['id']},_0x305d97)['$promise'][_0x45ee30(0x146b)](function(){const _0xd3772d=_0x45ee30;_0x6b39c4[_0xd3772d(0x1c75)]({'title':_0xd3772d(0x1c83),'msg':_0x305d97[_0xd3772d(0x19eb)]?_0x305d97['name']+_0xd3772d(0x28de):''});})['catch'](function(_0x505d69){const _0x113fde=_0x45ee30;if(_0x505d69[_0x113fde(0x524)]&&_0x505d69[_0x113fde(0x524)][_0x113fde(0xcef)]&&_0x505d69[_0x113fde(0x524)][_0x113fde(0xcef)]['length']){_0x4bc074[_0x113fde(0xcef)]=_0x505d69['data'][_0x113fde(0xcef)]||[{'message':_0x505d69[_0x113fde(0xd5f)](),'type':_0x113fde(0x169d)}];for(let _0x316aab=0x0;_0x316aab<_0x505d69[_0x113fde(0x524)]['errors'][_0x113fde(0x402)];_0x316aab++){_0x6b39c4['error']({'title':_0x505d69[_0x113fde(0x524)]['errors'][_0x316aab][_0x113fde(0x1142)],'msg':_0x505d69[_0x113fde(0x524)][_0x113fde(0xcef)][_0x316aab][_0x113fde(0x7fd)]});}}else _0x6b39c4['error']({'title':_0x505d69[_0x113fde(0x107b)]?_0x113fde(0x262a)+_0x505d69[_0x113fde(0x107b)]+_0x113fde(0x1315)+_0x505d69[_0x113fde(0x167f)]:_0x113fde(0x169d),'msg':_0x505d69[_0x113fde(0x524)]?JSON[_0x113fde(0x10bb)](_0x505d69['data'][_0x113fde(0x7fd)]):_0x505d69[_0x113fde(0x7fd)]||_0x505d69['toString']()});});}function _0x5a66e5(_0x4aca12){const _0x546237=_0x268a8b;_0x592f6b['go'](_0x546237(0x133),{'id':_0x4aca12['id'],'plugin':_0x4aca12,'crudPermissions':_0x4bc074[_0x546237(0x2514)]});}function _0x2cf17f(_0x5bff17,_0x4e728c){const _0x5e9c36=_0x268a8b,_0x2156d9=_0x2489b0[_0x5e9c36(0x1e8a)]()[_0x5e9c36(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20stop\x20the\x20plugin\x20attached\x20process?')[_0x5e9c36(0x1cbe)](''+(_0x5bff17[_0x5e9c36(0x19eb)]||_0x5e9c36(0x5f5))+_0x5e9c36(0x252f)+_0x5e9c36(0x220c))[_0x5e9c36(0x4bd)](_0x5e9c36(0x1e79))[_0x5e9c36(0x1f27)](_0x4e728c)['ok']('OK')['cancel'](_0x5e9c36(0x39a));_0x2489b0['show'](_0x2156d9)[_0x5e9c36(0x146b)](function(){_0xec6b0c(_0x5bff17);},function(){const _0x39e9a2=_0x5e9c36;console['log'](_0x39e9a2(0x39a));});}function _0xec6b0c(_0xcb4ead){const _0x509202=_0x268a8b;_0x389281[_0x509202(0x1b6f)][_0x509202(0x16b4)]()['$promise'][_0x509202(0x146b)](function(_0x2aeec1){const _0x40d920=_0x509202;if(!_0x2aeec1||!_0x2aeec1[_0x40d920(0x19c7)])throw new Error(_0x40d920(0x1508));const _0x5db4d=_0x3f65c0()['find'](_0x2aeec1[_0x40d920(0x19c7)],{'name':_0xcb4ead[_0x40d920(0x1cdb)]});if(!_0x5db4d)throw new Error(_0x40d920(0x2819));_0x389281['pm2'][_0x40d920(0x18e1)]({'id':_0x5db4d[_0x40d920(0x2643)],'status':'stopped'})['$promise']['then'](function(){const _0x782f52=_0x40d920;_0x6b39c4[_0x782f52(0x1c75)]({'title':_0x782f52(0xfd3),'msg':_0x782f52(0x1308)});});})['catch'](function(_0x3d1f02){const _0x2b4d57=_0x509202;if(_0x3d1f02[_0x2b4d57(0x524)]&&_0x3d1f02['data'][_0x2b4d57(0xcef)]&&_0x3d1f02[_0x2b4d57(0x524)][_0x2b4d57(0xcef)]['length']){_0x4bc074[_0x2b4d57(0xcef)]=_0x3d1f02[_0x2b4d57(0x524)][_0x2b4d57(0xcef)]||[{'message':_0x3d1f02[_0x2b4d57(0xd5f)](),'type':_0x2b4d57(0xca0)}];for(let _0x19240e=0x0;_0x19240e<_0x3d1f02[_0x2b4d57(0x524)][_0x2b4d57(0xcef)]['length'];_0x19240e++){_0x6b39c4[_0x2b4d57(0x1980)]({'title':_0x3d1f02[_0x2b4d57(0x524)][_0x2b4d57(0xcef)][_0x19240e][_0x2b4d57(0x1142)],'msg':_0x3d1f02[_0x2b4d57(0x524)][_0x2b4d57(0xcef)][_0x19240e][_0x2b4d57(0x7fd)]});}}else _0x6b39c4['error']({'title':_0x3d1f02[_0x2b4d57(0x107b)]?_0x2b4d57(0x262a)+_0x3d1f02['status']+_0x2b4d57(0x1315)+_0x3d1f02['statusText']:_0x2b4d57(0xca0),'msg':_0x3d1f02[_0x2b4d57(0x524)]?JSON[_0x2b4d57(0x10bb)](_0x3d1f02[_0x2b4d57(0x524)][_0x2b4d57(0x7fd)]):_0x3d1f02['message']||_0x3d1f02[_0x2b4d57(0xd5f)]()});});}function _0x54f0a6(_0x3dbebc,_0x5cd7d8){const _0xc74875=_0x268a8b,_0xa32068=_0x2489b0['confirm']()[_0xc74875(0x1189)](_0xc74875(0x1412))[_0xc74875(0x1cbe)](_0xc74875(0x16d3)+(_0x3dbebc[_0xc74875(0x19eb)]||'plugin')+_0xc74875(0x252f)+_0xc74875(0x2013))[_0xc74875(0x4bd)](_0xc74875(0x28e9))[_0xc74875(0x1f27)](_0x5cd7d8)['ok']('OK')['cancel'](_0xc74875(0x39a));_0x2489b0[_0xc74875(0x2615)](_0xa32068)[_0xc74875(0x146b)](function(){_0x336876(_0x3dbebc);},function(){const _0x2123e5=_0xc74875;console[_0x2123e5(0x1a74)]('CANCEL');});}function _0x336876(_0x554cc1){const _0x249df8=_0x268a8b;_0x389281['pm2']['get']()[_0x249df8(0x2945)][_0x249df8(0x146b)](function(_0x26d659){const _0x4bdb9d=_0x249df8;if(!_0x26d659||!_0x26d659[_0x4bdb9d(0x19c7)])throw new Error(_0x4bdb9d(0x1508));const _0x4af1de=_0x3f65c0()[_0x4bdb9d(0xc84)](_0x26d659['rows'],{'name':_0x554cc1[_0x4bdb9d(0x1cdb)]});if(!_0x4af1de)throw new Error('No\x20corresponding\x20process\x20found');_0x389281[_0x4bdb9d(0x1b6f)][_0x4bdb9d(0x18e1)]({'id':_0x4af1de[_0x4bdb9d(0x2643)],'status':'online'})['$promise'][_0x4bdb9d(0x146b)](function(){const _0x321e1f=_0x4bdb9d;_0x6b39c4[_0x321e1f(0x1c75)]({'title':_0x321e1f(0x8c0),'msg':_0x321e1f(0x850)});});})[_0x249df8(0x129e)](function(_0x148db6){const _0x208b21=_0x249df8;if(_0x148db6[_0x208b21(0x524)]&&_0x148db6['data']['errors']&&_0x148db6[_0x208b21(0x524)][_0x208b21(0xcef)]['length']){_0x4bc074[_0x208b21(0xcef)]=_0x148db6[_0x208b21(0x524)][_0x208b21(0xcef)]||[{'message':_0x148db6[_0x208b21(0xd5f)](),'type':'api.pm2.update'}];for(let _0x18f9c0=0x0;_0x18f9c0<_0x148db6['data'][_0x208b21(0xcef)]['length'];_0x18f9c0++){_0x6b39c4[_0x208b21(0x1980)]({'title':_0x148db6[_0x208b21(0x524)]['errors'][_0x18f9c0][_0x208b21(0x1142)],'msg':_0x148db6[_0x208b21(0x524)]['errors'][_0x18f9c0][_0x208b21(0x7fd)]});}}else _0x6b39c4[_0x208b21(0x1980)]({'title':_0x148db6[_0x208b21(0x107b)]?_0x208b21(0x262a)+_0x148db6[_0x208b21(0x107b)]+_0x208b21(0x1315)+_0x148db6[_0x208b21(0x167f)]:_0x208b21(0xca0),'msg':_0x148db6['data']?JSON[_0x208b21(0x10bb)](_0x148db6[_0x208b21(0x524)][_0x208b21(0x7fd)]):_0x148db6['message']||_0x148db6['toString']()});});}function _0x2d9f8c(_0x2d0c87){const _0x2a9637=_0x268a8b;return _0x389281[_0x2a9637(0x5f5)][_0x2a9637(0x15b1)]({'id':_0x2d0c87['id']})['$promise'][_0x2a9637(0x146b)](function(_0x36e057){const _0x20a464=_0x2a9637,_0x8393b6=[_0x36e057[_0x20a464(0x2eb)]];let _0xc5ed64='download';const _0x3f0c66=new Blob(_0x8393b6,{'type':_0x36e057[_0x20a464(0x1142)]});_0xc5ed64=_0x2d0c87['name'][_0x20a464(0x5f4)]('\x20','_')[_0x20a464(0x256e)]()+_0x20a464(0xf04);const _0x56e5f7=window[_0x20a464(0xef3)][_0x20a464(0x24ec)]('a');_0x56e5f7['setAttribute'](_0x20a464(0x1b3c),URL[_0x20a464(0x1c58)](_0x3f0c66)),_0x56e5f7[_0x20a464(0x1652)]('download',_0xc5ed64),document[_0x20a464(0x2586)][_0x20a464(0x23e)](_0x56e5f7),_0x56e5f7[_0x20a464(0x1fa5)]();})[_0x2a9637(0x129e)](function(_0xe5875d){const _0x2436d4=_0x2a9637;if(_0xe5875d[_0x2436d4(0x524)]&&_0xe5875d[_0x2436d4(0x524)][_0x2436d4(0xcef)]&&_0xe5875d['data'][_0x2436d4(0xcef)]['length'])for(let _0x3cd3bb=0x0;_0x3cd3bb<_0xe5875d[_0x2436d4(0x524)]['errors'][_0x2436d4(0x402)];_0x3cd3bb++){_0x6b39c4[_0x2436d4(0x1980)]({'title':_0xe5875d['data'][_0x2436d4(0xcef)][_0x3cd3bb]['type'],'msg':_0xe5875d[_0x2436d4(0x524)]['errors'][_0x3cd3bb][_0x2436d4(0x7fd)]});}else _0x6b39c4['error']({'title':_0xe5875d['status']?_0x2436d4(0x262a)+_0xe5875d[_0x2436d4(0x107b)]+_0x2436d4(0x1315)+_0xe5875d['statusText']:'api.plugin.save','msg':_0xe5875d[_0x2436d4(0x524)]?JSON['stringify'](_0xe5875d[_0x2436d4(0x524)][_0x2436d4(0x7fd)]):_0xe5875d[_0x2436d4(0xd5f)]()});});}function _0x17e79b(_0x3af4dd,_0x1cdb59){const _0x589ef7=_0x268a8b,_0x1b66a3=_0x2489b0[_0x589ef7(0x1e8a)]()['title'](_0x589ef7(0x1e0c))[_0x589ef7(0x1cbe)](_0x589ef7(0x16d3)+(_0x3af4dd[_0x589ef7(0x19eb)]||_0x589ef7(0x5f5))+''+_0x589ef7(0x2187))[_0x589ef7(0x4bd)](_0x589ef7(0x197e))[_0x589ef7(0x1f27)](_0x1cdb59)['ok']('OK')['cancel']('CANCEL');_0x2489b0[_0x589ef7(0x2615)](_0x1b66a3)['then'](function(){_0x392aeb(_0x3af4dd);},function(){const _0xde5c6d=_0x589ef7;console[_0xde5c6d(0x1a74)]('CANCEL');});}function _0x392aeb(_0xd69424){const _0xe0929d=_0x268a8b;return _0xd69424[_0xe0929d(0x1142)]===_0xe0929d(0x1a8)&&_0x389281[_0xe0929d(0x1b6f)][_0xe0929d(0x16b4)]()[_0xe0929d(0x2945)]['then'](function(_0x42d835){const _0x53c6f5=_0xe0929d;if(!_0x42d835||!_0x42d835['rows'])throw new Error(_0x53c6f5(0x1508));const _0x36ff6e=_0x3f65c0()[_0x53c6f5(0xc84)](_0x42d835[_0x53c6f5(0x19c7)],{'name':_0xd69424['scriptName']});if(!_0x36ff6e)throw new Error(_0x53c6f5(0x2819));_0x389281[_0x53c6f5(0x1b6f)][_0x53c6f5(0x1fac)]({'id':_0x36ff6e['pm_id'],'name':_0xd69424[_0x53c6f5(0x1cdb)]})[_0x53c6f5(0x2945)];})[_0xe0929d(0x129e)](function(_0x2f9037){const _0x226711=_0xe0929d;_0x6b39c4[_0x226711(0x1980)]({'title':_0x2f9037[_0x226711(0x107b)]?_0x226711(0x262a)+_0x2f9037[_0x226711(0x107b)]+_0x226711(0x1315)+_0x2f9037[_0x226711(0x167f)]:_0x226711(0x25df),'msg':_0x2f9037[_0x226711(0x524)]?JSON[_0x226711(0x10bb)](_0x2f9037[_0x226711(0x524)][_0x226711(0x7fd)]):_0x2f9037[_0x226711(0x7fd)]||_0x2f9037[_0x226711(0xd5f)]()});}),_0xd69424[_0xe0929d(0x275a)]=![],_0x389281[_0xe0929d(0x5f5)][_0xe0929d(0x18e1)]({'id':_0xd69424['id']},_0xd69424)[_0xe0929d(0x2945)][_0xe0929d(0x146b)](function(){const _0x44db7f=_0xe0929d;_0x6b39c4[_0x44db7f(0x1c75)]({'title':_0x44db7f(0x141c),'msg':_0xd69424['name']?_0xd69424[_0x44db7f(0x19eb)]+'\x20has\x20been\x20uninstalled!':''});})[_0xe0929d(0x129e)](function(_0x191058){const _0x3a2807=_0xe0929d;if(_0x191058[_0x3a2807(0x524)]&&_0x191058[_0x3a2807(0x524)][_0x3a2807(0xcef)]&&_0x191058['data']['errors'][_0x3a2807(0x402)]){_0x4bc074[_0x3a2807(0xcef)]=_0x191058['data'][_0x3a2807(0xcef)]||[{'message':_0x191058[_0x3a2807(0xd5f)](),'type':_0x3a2807(0x169d)}];for(let _0x42dbd3=0x0;_0x42dbd3<_0x191058['data']['errors'][_0x3a2807(0x402)];_0x42dbd3++){_0x6b39c4[_0x3a2807(0x1980)]({'title':_0x191058['data'][_0x3a2807(0xcef)][_0x42dbd3][_0x3a2807(0x1142)],'msg':_0x191058[_0x3a2807(0x524)]['errors'][_0x42dbd3]['message']});}}else _0x6b39c4[_0x3a2807(0x1980)]({'title':_0x191058['status']?_0x3a2807(0x262a)+_0x191058['status']+'\x20-\x20'+_0x191058[_0x3a2807(0x167f)]:'api.plugin.update','msg':_0x191058['data']?JSON[_0x3a2807(0x10bb)](_0x191058[_0x3a2807(0x524)]['message']):_0x191058[_0x3a2807(0x7fd)]||_0x191058['toString']()});});}function _0x1ede4c(_0x41eeb0,_0x3ae54f){const _0x387599=_0x268a8b,_0x1aac8=_0x2489b0['confirm']()[_0x387599(0x1189)](_0x387599(0xdb2)+_0x3f65c0()[_0x387599(0x20d1)](_0x387599(0x5f5))+'?')[_0x387599(0x1cbe)](_0x387599(0x16d3)+(_0x41eeb0[_0x387599(0x19eb)]||_0x387599(0x5f5))+''+'\x20will\x20be\x20deleted.')[_0x387599(0x4bd)](_0x387599(0x15e3))[_0x387599(0x1f27)](_0x3ae54f)['ok']('OK')['cancel'](_0x387599(0x39a));_0x2489b0[_0x387599(0x2615)](_0x1aac8)[_0x387599(0x146b)](function(){_0x385889(_0x41eeb0);},function(){const _0x5a3ce3=_0x387599;console['log'](_0x5a3ce3(0x39a));});}let _0x4a755d=!![],_0x1b8cda=0x1;_0x1dcd3a[_0x268a8b(0x21e8)]('vm.query.filter',function(_0x5b54dc,_0x19d08c){const _0x319626=_0x268a8b;_0x4a755d?_0x21822a(function(){_0x4a755d=![];}):(!_0x19d08c&&(_0x1b8cda=_0x4bc074[_0x319626(0x1a56)][_0x319626(0x844)]),_0x5b54dc!==_0x19d08c&&(_0x4bc074[_0x319626(0x1a56)][_0x319626(0x844)]=0x1),!_0x5b54dc&&(_0x4bc074[_0x319626(0x1a56)]['page']=_0x1b8cda),_0x4bc074[_0x319626(0xa1a)]());});function _0x4d8c57(_0x563ccc){const _0x5751ef=_0x268a8b;_0x4bc074[_0x5751ef(0x2af)]=_0x563ccc||{'count':0x0,'rows':[]};}function _0x58241c(){const _0x4d5124=_0x268a8b;_0x4bc074['query'][_0x4d5124(0x145d)]=(_0x4bc074[_0x4d5124(0x1a56)][_0x4d5124(0x844)]-0x1)*_0x4bc074['query'][_0x4d5124(0x221e)],_0x1cf12b[_0x4d5124(0x23e0)](_0x4d5124(0x174b))?_0x4bc074[_0x4d5124(0xb9c)]=_0x389281[_0x4d5124(0x5f5)]['get'](_0x4bc074[_0x4d5124(0x1a56)],_0x4d8c57)['$promise']:(_0x4bc074[_0x4d5124(0x1a56)]['id']=_0x4bc074[_0x4d5124(0x26b6)]['id'],_0x4bc074['query'][_0x4d5124(0x2146)]=_0x4d5124(0x40f),_0x4bc074[_0x4d5124(0xb9c)]=_0x389281['userProfile']['getResources'](_0x4bc074[_0x4d5124(0x1a56)],_0x4d8c57)['$promise']);}function _0x39754b(_0x58e95a,_0xc2e31d){const _0x19ee77=_0x268a8b;_0x2489b0[_0x19ee77(0x2615)]({'controller':_0x19ee77(0x9a2),'controllerAs':'vm','templateUrl':_0x3a75dc,'parent':angular[_0x19ee77(0x1853)](_0x4ab1dc['body']),'targetEvent':_0x58e95a,'clickOutsideToClose':!![],'locals':{'plugin':_0xc2e31d,'plugins':_0x4bc074[_0x19ee77(0x2af)][_0x19ee77(0x19c7)],'license':_0x4bc074['license'],'setting':_0x4bc074[_0x19ee77(0x15b9)],'crudPermissions':_0x4bc074[_0x19ee77(0x2514)]}});}function _0x385889(_0x4d3cef){const _0xeda225=_0x268a8b;_0x4d3cef[_0xeda225(0x275a)]&&_0x4d3cef['type']==_0xeda225(0x1a8)&&_0x389281['pm2'][_0xeda225(0x16b4)]()[_0xeda225(0x2945)][_0xeda225(0x146b)](function(_0x4a4142){const _0x18ea3b=_0xeda225;if(!_0x4a4142||!_0x4a4142['rows'])return _0x6b39c4[_0x18ea3b(0x1980)]({'title':_0x18ea3b(0x87b),'msg':_0x18ea3b(0x1508)});const _0x2f6911=_0x3f65c0()[_0x18ea3b(0xc84)](_0x4a4142[_0x18ea3b(0x19c7)],{'name':_0x4d3cef[_0x18ea3b(0x1cdb)]});if(!_0x2f6911){console[_0x18ea3b(0x1a74)](_0x18ea3b(0x2470),_0x4d3cef[_0x18ea3b(0x1cdb)]);return;}_0x389281[_0x18ea3b(0x1b6f)][_0x18ea3b(0x1fac)]({'id':_0x2f6911[_0x18ea3b(0x2643)],'name':_0x4d3cef['scriptName']})[_0x18ea3b(0x2945)];})[_0xeda225(0x129e)](function(){const _0x5e2043=_0xeda225;return _0x6b39c4[_0x5e2043(0x1980)]({'title':_0x5e2043(0x28d0),'msg':'Failed\x20to\x20delete\x20the\x20attached\x20pm2\x20process'});}),_0x389281[_0xeda225(0x5f5)]['delete']({'id':_0x4d3cef['id']})[_0xeda225(0x2945)][_0xeda225(0x146b)](function(){const _0xe4163f=_0xeda225;_0x3f65c0()[_0xe4163f(0x2640)](_0x4bc074[_0xe4163f(0x2af)][_0xe4163f(0x19c7)],{'id':_0x4d3cef['id']}),_0x4bc074['plugins'][_0xe4163f(0x51c)]-=0x1,!_0x4bc074[_0xe4163f(0x2af)][_0xe4163f(0x19c7)][_0xe4163f(0x402)]&&_0x4bc074[_0xe4163f(0xa1a)](),_0x6b39c4[_0xe4163f(0x1c75)]({'title':_0x3f65c0()[_0xe4163f(0x20d1)](_0xe4163f(0x13d5))+_0xe4163f(0x201c),'msg':_0x4d3cef[_0xe4163f(0x19eb)]?_0x4d3cef[_0xe4163f(0x19eb)]+'\x20has\x20been\x20deleted!':''});})[_0xeda225(0x129e)](function(_0x13f9a4){const _0x38d0c4=_0xeda225;if(_0x13f9a4['data']&&_0x13f9a4['data'][_0x38d0c4(0xcef)]&&_0x13f9a4[_0x38d0c4(0x524)]['errors'][_0x38d0c4(0x402)]){_0x4bc074[_0x38d0c4(0xcef)]=_0x13f9a4[_0x38d0c4(0x524)][_0x38d0c4(0xcef)]||[{'message':_0x13f9a4[_0x38d0c4(0xd5f)](),'type':'SYSTEM:DELETEplugin'}];for(let _0x367d2b=0x0;_0x367d2b<_0x13f9a4['data'][_0x38d0c4(0xcef)][_0x38d0c4(0x402)];_0x367d2b++){_0x6b39c4[_0x38d0c4(0x1980)]({'title':_0x13f9a4[_0x38d0c4(0x524)][_0x38d0c4(0xcef)][_0x367d2b][_0x38d0c4(0x1142)],'msg':_0x13f9a4[_0x38d0c4(0x524)][_0x38d0c4(0xcef)][_0x367d2b][_0x38d0c4(0x7fd)]});}}else _0x6b39c4[_0x38d0c4(0x1980)]({'title':_0x13f9a4[_0x38d0c4(0x107b)]?_0x38d0c4(0x262a)+_0x13f9a4[_0x38d0c4(0x107b)]+_0x38d0c4(0x1315)+_0x13f9a4[_0x38d0c4(0x167f)]:_0x38d0c4(0x65b),'msg':_0x13f9a4[_0x38d0c4(0x524)]?JSON[_0x38d0c4(0x10bb)](_0x13f9a4[_0x38d0c4(0x524)][_0x38d0c4(0x7fd)]):_0x13f9a4[_0x38d0c4(0x7fd)]||_0x13f9a4[_0x38d0c4(0xd5f)]()});});}function _0x2b63bc(){const _0x3ebb16=_0x268a8b,_0x4b2574=angular[_0x3ebb16(0x235a)](_0x4bc074[_0x3ebb16(0x1847)]);return _0x4bc074[_0x3ebb16(0x1847)]=[],_0x4b2574;}function _0x2643ae(_0x65fef8){const _0x1f16dc=_0x268a8b,_0x33e197=_0x2489b0[_0x1f16dc(0x1e8a)]()[_0x1f16dc(0x1189)](_0x1f16dc(0x1281))[_0x1f16dc(0x1cbe)](_0x1f16dc(0x16d3)+_0x4bc074[_0x1f16dc(0x1847)][_0x1f16dc(0x402)]+_0x1f16dc(0x2452)+_0x1f16dc(0xe01))[_0x1f16dc(0x4bd)](_0x1f16dc(0x544))[_0x1f16dc(0x1f27)](_0x65fef8)['ok']('OK')[_0x1f16dc(0x6c3)](_0x1f16dc(0x39a));_0x2489b0[_0x1f16dc(0x2615)](_0x33e197)['then'](function(){const _0x127aee=_0x1f16dc;_0x4bc074[_0x127aee(0x1847)]['forEach'](function(_0x51734b){_0x385889(_0x51734b);}),_0x4bc074[_0x127aee(0x1847)]=[];});}function _0x5204a3(){const _0x1ee5de=_0x268a8b;_0x4bc074[_0x1ee5de(0x1847)]=[];}function _0x29fc84(){const _0x557fe7=_0x268a8b;_0x4bc074[_0x557fe7(0x1847)]=_0x4bc074[_0x557fe7(0x2af)][_0x557fe7(0x19c7)];}function _0x369e8b(_0x3c3182){const _0x110876=_0x268a8b;_0x2489b0[_0x110876(0x2615)]({'controller':_0x110876(0x1326),'controllerAs':'vm','templateUrl':_0x2acd43,'parent':angular[_0x110876(0x1853)](_0x4ab1dc['body']),'targetEvent':_0x3c3182,'clickOutsideToClose':!![],'locals':{'plugins':_0x4bc074['plugins']['rows']}});}}const _0x5d6bf5=_0xa61cba;;_0x95396f[_0x313a4d(0x11c2)]=['$cookies',_0x313a4d(0x10e8),'toasty',_0x313a4d(0x2af),_0x313a4d(0x247f),_0x313a4d(0xa87)];function _0x95396f(_0x51609b,_0xbc3248,_0xcbe5ef,_0x44a6f7,_0x389dc4,_0xff2b8a){const _0x16e75c=_0x313a4d,_0x826aec=this,_0x3e6fad=0x2,_0x4b3551=_0x3e6fad*0x400*0x400;_0x826aec[_0x16e75c(0x2321)]=_0xff2b8a['getCurrentUser'](),_0x826aec[_0x16e75c(0xcef)]=[],_0x826aec[_0x16e75c(0x1189)]='MARKETPLACE.UPLOAD_PLUGIN',_0x826aec['plugins']=_0x44a6f7,_0x826aec[_0x16e75c(0xe7d)]=[],_0x826aec[_0x16e75c(0x211b)]=_0x16e75c(0x1642),_0x826aec[_0x16e75c(0x5f5)]={},_0x826aec[_0x16e75c(0x4c3)]={'maxChunkRetries':0x1,'chunkSize':_0x4b3551,'simultaneousUploads':0x1,'testChunks':![],'progressCallbacksInterval':0x3e8,'allowDuplicateUploads':!![],'target':_0x16e75c(0x95b)},_0x826aec[_0x16e75c(0x1376)]={'flow':{}},_0x826aec['dropping']=![],_0x826aec[_0x16e75c(0x143d)]=_0x28cb3f,_0x826aec['upload']=_0x45d2a0,_0x826aec['fileSuccess']=_0x6b1caa,_0x826aec[_0x16e75c(0x1897)]=_0x349c56,_0x826aec[_0x16e75c(0x234e)]=_0x1f6f14,_0x826aec['closeDialog']=_0x53cfa0;function _0x28cb3f(_0x3f7ebe){const _0x49fc6b=_0x16e75c,_0x1d3452=[_0x49fc6b(0x2106)];if(!_0x3f65c0()['includes'](_0x1d3452,_0x3f7ebe[_0x49fc6b(0x193f)]()))return _0xcbe5ef['error']({'title':_0x49fc6b(0x4c6)+_0x3f7ebe[_0x49fc6b(0x193f)](),'msg':_0x49fc6b(0x208f)+_0x1d3452['join']()}),![];if(_0x3f7ebe[_0x49fc6b(0x4cc)]>_0x4b3551)return _0xcbe5ef['error']({'title':_0x49fc6b(0x625),'msg':_0x49fc6b(0x923)+_0x3e6fad+'MB'}),![];return _0x826aec['plugin']={'id':_0x3f7ebe[_0x49fc6b(0x1276)],'file':_0x3f7ebe},_0x826aec[_0x49fc6b(0xe7d)][_0x49fc6b(0xb3d)](_0x826aec[_0x49fc6b(0x5f5)]),!![];}function _0x45d2a0(){const _0x431ef4=_0x16e75c;_0x826aec[_0x431ef4(0x211b)]=_0x431ef4(0x159c),_0x826aec['ngFlow'][_0x431ef4(0x963)]['opts'][_0x431ef4(0xa01)]={'X-Requested-With':'XMLHttpRequest','Authorization':_0x431ef4(0x2745)+_0x51609b['get']('motion.token')},_0x826aec[_0x431ef4(0x1376)]['flow'][_0x431ef4(0x1da1)][_0x431ef4(0x1a56)]={'role':_0x826aec[_0x431ef4(0x2321)]['role'],'userProfileId':_0x826aec[_0x431ef4(0x2321)][_0x431ef4(0x209a)]},_0x826aec[_0x431ef4(0x1376)][_0x431ef4(0x963)]['upload']();}function _0x6b1caa(_0x140500,_0x55cb68){const _0x180287=_0x16e75c;_0x826aec[_0x180287(0x2af)][_0x180287(0xb3d)](JSON['parse'](_0x55cb68)),angular[_0x180287(0x1df5)](_0x826aec['uploadPlugins'],function(_0x46bb91){const _0x126bf2=_0x180287;if(_0x46bb91['id']===_0x140500[_0x126bf2(0x1276)]){const _0x28c61b=new FileReader();_0x28c61b[_0x126bf2(0xa0c)](_0x46bb91[_0x126bf2(0x252e)][_0x126bf2(0x252e)]),_0x28c61b[_0x126bf2(0x20f6)]=function(_0x181546){const _0x3e2746=_0x126bf2;_0x46bb91[_0x3e2746(0x20cc)]=_0x181546[_0x3e2746(0xe1d)][_0x3e2746(0x61a)];};}});}function _0x349c56(){const _0x4f311d=_0x16e75c;_0x826aec[_0x4f311d(0x1376)][_0x4f311d(0x963)][_0x4f311d(0x6c3)](),_0xcbe5ef[_0x4f311d(0x1980)]({'title':_0x4f311d(0x2645),'msg':_0x4f311d(0x543)}),_0x826aec[_0x4f311d(0x211b)]=_0x4f311d(0x18de);}function _0x1f6f14(){const _0x484d29=_0x16e75c;_0x826aec[_0x484d29(0x211b)]!==_0x484d29(0x18de)&&(_0x826aec[_0x484d29(0x211b)]='completed',_0xcbe5ef[_0x484d29(0x1c75)]({'title':'Upload\x20completed','msg':_0x826aec['plugin'][_0x484d29(0x252e)]['name']+_0x484d29(0x1aae)}));}function _0x53cfa0(){_0xbc3248['hide']();}}const _0x45d01d=_0x95396f;;_0x172b32[_0x313a4d(0x11c2)]=[_0x313a4d(0x921),_0x313a4d(0x695)];function _0x172b32(_0x1d71d2,_0x51afe2){const _0x4b11ae=_0x313a4d;_0x1d71d2[_0x4b11ae(0x13d6)](_0x4b11ae(0x9f8),{'abstract':!![],'url':_0x4b11ae(0xcac)})['state']('app.marketplace.plugins',{'url':'/plugins','views':{'content@app':{'templateUrl':_0x450378,'controller':_0x4b11ae(0xe61)}},'resolve':{'plugins':[_0x4b11ae(0x362),_0x4b11ae(0xa87),function(_0x173861,_0x2c5a17){const _0x41b9b9=_0x4b11ae;return _0x2c5a17[_0x41b9b9(0x23e0)](_0x41b9b9(0x174b))?_0x173861[_0x41b9b9(0x2922)](_0x41b9b9(0x18a),{'fields':'createdAt,updatedAt,id,name,type,active,description,icon,sidebar,path,adminUrl,agentUrl,scriptName,scriptPath,version','sort':_0x41b9b9(0x19eb),'limit':0xa,'offset':0x0}):_0x173861[_0x41b9b9(0x2922)]('userProfile@getResources',{'id':_0x2c5a17[_0x41b9b9(0xb12)]()[_0x41b9b9(0x209a)],'section':_0x41b9b9(0x40f),'fields':_0x41b9b9(0x1d56),'sort':_0x41b9b9(0x19eb),'limit':0xa,'offset':0x0});}],'userProfile':[_0x4b11ae(0x362),_0x4b11ae(0xa87),function(_0x474398,_0x252a27){const _0x10239e=_0x4b11ae;return _0x252a27['hasRole'](_0x10239e(0x174b))?null:_0x474398[_0x10239e(0x2922)](_0x10239e(0x119a),{'fields':'id,name,crudPermissions','id':_0x252a27['getCurrentUser']()[_0x10239e(0x209a)]});}],'userProfileSection':['apiResolver',_0x4b11ae(0xa87),function(_0x435073,_0x24dd32){const _0x2173bf=_0x4b11ae;return _0x24dd32['hasRole'](_0x2173bf(0x174b))?null:_0x435073[_0x2173bf(0x2922)](_0x2173bf(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x24dd32[_0x2173bf(0xb12)]()[_0x2173bf(0x209a)],'sectionId':0x709});}]},'authenticate':!![],'permissionId':0x709,'bodyClass':'marketplace'})['state'](_0x4b11ae(0x133),{'url':_0x4b11ae(0x2028),'params':{'plugin':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x2cff3d,'controller':_0x4b11ae(0x9f7)}},'resolve':{'plugin':[_0x4b11ae(0x362),_0x4b11ae(0x28c8),function(_0x4b0902,_0x5589c0){const _0x4e178b=_0x4b11ae;return _0x4b0902[_0x4e178b(0x2922)]('plugin@get',{'fields':_0x4e178b(0x1d56),'id':_0x5589c0['id']});}],'userProfileSection':['apiResolver',_0x4b11ae(0xa87),function(_0x152b3e,_0x127fd1){const _0x101e5a=_0x4b11ae;return _0x152b3e[_0x101e5a(0x2922)](_0x101e5a(0x27be),{'fields':_0x101e5a(0x1e64),'userProfileId':_0x127fd1['getCurrentUser']()[_0x101e5a(0x209a)],'sectionId':0x709});}]},'authenticate':!![],'permissionId':0x709,'bodyClass':_0x4b11ae(0x694)}),_0x51afe2[_0x4b11ae(0x15bf)]('app/main/apps/marketplace');}angular[_0x313a4d(0x2528)]('app.marketplace',[_0x313a4d(0xdad),_0x313a4d(0x962),_0x313a4d(0x23c7),_0x313a4d(0x963),'mdColorPicker',_0x313a4d(0x27c1),'ng-sortable',_0x313a4d(0x15ef),'ngAnimate',_0x313a4d(0x1f5f),'mwFormBuilder',_0x313a4d(0xfb2),_0x313a4d(0x1e57),_0x313a4d(0x581),_0x313a4d(0x1bd2),_0x313a4d(0x1792),'angularMaterialFormBuilder',_0x313a4d(0x1626),_0x313a4d(0x2713),_0x313a4d(0x11df)])[_0x313a4d(0x989)](_0x172b32)[_0x313a4d(0x28f0)](_0x313a4d(0x9a2),_0x21d949)[_0x313a4d(0x28f0)](_0x313a4d(0x639),_0x4f8f68)['controller'](_0x313a4d(0xb0d),_0x5d6bf5)[_0x313a4d(0x28f0)](_0x313a4d(0x1326),_0x45d01d);;const _0x2c7463=_0x4acfac['p']+_0x313a4d(0x1e9a);;_0x53de0f['$inject']=[_0x313a4d(0x1862),_0x313a4d(0x910),_0x313a4d(0xb51),_0x313a4d(0x247f),'socket',_0x313a4d(0xa87)];function _0x53de0f(_0x217633,_0x2a0cd7,_0x9a9fe5,_0xadfe0e,_0x3d773e,_0x1f419e){const _0x594cd7=_0x313a4d,_0x54b23c=this;_0x54b23c['currentUser']=_0x1f419e[_0x594cd7(0xb12)](),_0x54b23c[_0x594cd7(0x5f5)]={},_0x54b23c[_0x594cd7(0x208b)]=_0x2f6ced,_0xadfe0e[_0x594cd7(0x5f5)][_0x594cd7(0x16b4)]({'id':_0x217633[_0x594cd7(0x16a)]['id']})[_0x594cd7(0x2945)][_0x594cd7(0x146b)](function(_0x272553){const _0x21b19c=_0x594cd7;if(_0x272553)return _0x54b23c[_0x21b19c(0x5f5)]=_0x272553,_0xadfe0e[_0x21b19c(0x5f5)]['get']({'id':_0x54b23c['plugin']['id']})['$promise'];})['catch'](function(){const _0x1f6e63=_0x594cd7;_0x54b23c[_0x1f6e63(0x5f5)]=undefined;});function _0x2f6ced(){const _0x525118=_0x594cd7;return _0x9a9fe5[_0x525118(0x66b)](_0x54b23c[_0x525118(0x5f5)][_0x525118(0x3cc)]);}}const _0xe3d732=_0x53de0f;;_0x51a347[_0x313a4d(0x11c2)]=[_0x313a4d(0x921)];function _0x51a347(_0x4e410c){const _0x34801c=_0x313a4d;_0x4e410c['state']('app.plugins.plugin',{'url':_0x34801c(0x22a5),'views':{'content@app':{'templateUrl':_0x2c7463,'controller':_0x34801c(0x10c9)}},'resolve':{},'authenticate':!![],'bodyClass':_0x34801c(0x2af)});}angular['module'](_0x313a4d(0x9ce),[])[_0x313a4d(0x989)](_0x51a347)[_0x313a4d(0x28f0)]('PluginController',_0xe3d732);;_0x33104f[_0x313a4d(0x11c2)]=['$stateProvider',_0x313a4d(0x695)];function _0x33104f(_0x7b2d65,_0x33e85e){const _0xadd650=_0x313a4d;_0x7b2d65[_0xadd650(0x13d6)](_0xadd650(0xa59),{'abstract':!![],'url':_0xadd650(0x24aa)}),_0x33e85e[_0xadd650(0x15bf)](_0xadd650(0x2099));}angular['module'](_0x313a4d(0xa59),['ngCsv','ngPassword',_0x313a4d(0x23c7),_0x313a4d(0x963),_0x313a4d(0x19e2),'ckeditor',_0x313a4d(0x195b),_0x313a4d(0x15ef),'ngAnimate',_0x313a4d(0x1f5f),_0x313a4d(0x28a8),'mwFormViewer',_0x313a4d(0x1e57),_0x313a4d(0x581),_0x313a4d(0x1bd2),'ngEmbed','angularMaterialFormBuilder',_0x313a4d(0x1626),_0x313a4d(0x2713),_0x313a4d(0x11df),_0x313a4d(0x9ce)])['config'](_0x33104f);;const _0x5a0183=_0x4acfac['p']+_0x313a4d(0xa0b);;_0x26dd0b['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1862),'$location',_0x313a4d(0x10e8),'$q','$translate',_0x313a4d(0x1fe4),_0x313a4d(0x293d),'screenRecording',_0x313a4d(0x247f),'Auth',_0x313a4d(0x2690),'setting',_0x313a4d(0x2514)];function _0x26dd0b(_0x3ac907,_0x1fe5aa,_0x16429e,_0x22f74e,_0x37397b,_0x4aaac9,_0x1ea48e,_0x2193cd,_0xc84acb,_0x414adf,_0x5451a3,_0xcb392f,_0x68584a,_0x56cb1a){const _0x2944c2=_0x313a4d,_0x221cf0=this;_0x221cf0['currentUser']=_0x5451a3[_0x2944c2(0xb12)](),_0x221cf0['errors']=[],_0x221cf0[_0x2944c2(0x15b9)]=_0x68584a,_0x221cf0[_0x2944c2(0x2690)]=_0xcb392f,_0x221cf0[_0x2944c2(0x2514)]=_0x56cb1a,_0x221cf0['hasModulePermissions']={},_0x221cf0[_0x2944c2(0x2251)]=_0x221cf0[_0x2944c2(0x15b9)]&&_0x221cf0[_0x2944c2(0x15b9)]['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x221cf0[_0x2944c2(0x1189)]=_0x2944c2(0x1f78),_0x221cf0[_0x2944c2(0x1b80)]=angular[_0x2944c2(0x235a)](_0xc84acb),_0x221cf0[_0x2944c2(0x293d)]=_0x2193cd,_0x221cf0['newscreenRecording']=![];!_0x221cf0[_0x2944c2(0x1b80)]&&(_0x221cf0['screenRecording']={},_0x221cf0[_0x2944c2(0x1189)]=_0x2944c2(0x2427),_0x221cf0['newscreenRecording']=!![]);_0x221cf0[_0x2944c2(0x245d)]=_0x14e140,_0x221cf0['savescreenRecording']=_0x4e30a5,_0x221cf0[_0x2944c2(0x19f1)]=_0x191768,_0x221cf0[_0x2944c2(0xe73)]=_0x303394,_0x221cf0[_0x2944c2(0x13f3)]=_0x107aaa,_0x414adf[_0x2944c2(0xe7b)][_0x2944c2(0x16b4)]({'fields':_0x2944c2(0x12bf),'sort':_0x2944c2(0x19eb),'nolimit':_0x2944c2(0x1185)})[_0x2944c2(0x2945)][_0x2944c2(0x146b)](function(_0x59d11d){const _0x4aba97=_0x2944c2;_0x221cf0[_0x4aba97(0x1995)]=_0x59d11d[_0x4aba97(0x19c7)]||[];})[_0x2944c2(0x129e)](function(_0x4afa11){const _0x19965e=_0x2944c2;_0x1ea48e['error']({'title':_0x4afa11['status']?_0x19965e(0x262a)+_0x4afa11['status']+'\x20-\x20'+_0x4afa11[_0x19965e(0x167f)]:'SYSTEM:GET_USERS','msg':_0x4afa11[_0x19965e(0x524)]?JSON['stringify'](_0x4afa11[_0x19965e(0x524)]):_0x4afa11[_0x19965e(0xd5f)]()});});function _0x14e140(){const _0x2ba7ab=_0x2944c2;_0x221cf0['errors']=[],_0x414adf[_0x2ba7ab(0x1b80)][_0x2ba7ab(0x1e3)](_0x221cf0['screenRecording'])[_0x2ba7ab(0x2945)][_0x2ba7ab(0x146b)](function(_0x371b16){const _0x204595=_0x2ba7ab;_0x221cf0[_0x204595(0x293d)][_0x204595(0xb3d)](_0x371b16[_0x204595(0x2488)]()),_0x1ea48e[_0x204595(0x1c75)]({'title':_0x204595(0x804),'msg':_0x221cf0[_0x204595(0x1b80)][_0x204595(0x19eb)]?_0x221cf0[_0x204595(0x1b80)][_0x204595(0x19eb)]+_0x204595(0x1386):''}),_0x107aaa(_0x371b16);})[_0x2ba7ab(0x129e)](function(_0x1285bd){const _0x210c99=_0x2ba7ab;if(_0x1285bd[_0x210c99(0x524)]&&_0x1285bd[_0x210c99(0x524)][_0x210c99(0xcef)]&&_0x1285bd[_0x210c99(0x524)][_0x210c99(0xcef)][_0x210c99(0x402)]){_0x221cf0['errors']=_0x1285bd[_0x210c99(0x524)]['errors']||[{'message':_0x1285bd[_0x210c99(0xd5f)](),'type':_0x210c99(0xc44)}];for(let _0x516e11=0x0;_0x516e11<_0x1285bd[_0x210c99(0x524)]['errors'][_0x210c99(0x402)];_0x516e11+=0x1){_0x1ea48e[_0x210c99(0x1980)]({'title':_0x1285bd[_0x210c99(0x524)][_0x210c99(0xcef)][_0x516e11][_0x210c99(0x1142)],'msg':_0x1285bd[_0x210c99(0x524)][_0x210c99(0xcef)][_0x516e11][_0x210c99(0x7fd)]});}}else _0x1ea48e[_0x210c99(0x1980)]({'title':_0x1285bd[_0x210c99(0x107b)]?'API:'+_0x1285bd[_0x210c99(0x107b)]+_0x210c99(0x1315)+_0x1285bd[_0x210c99(0x167f)]:_0x210c99(0xc44),'msg':_0x1285bd[_0x210c99(0x524)]?JSON[_0x210c99(0x10bb)](_0x1285bd[_0x210c99(0x524)][_0x210c99(0x7fd)]):_0x1285bd[_0x210c99(0xd5f)]()});});}function _0x4e30a5(){const _0x37c72b=_0x2944c2;_0x221cf0['errors']=[],_0x414adf['screenRecording'][_0x37c72b(0x18e1)]({'id':_0x221cf0['screenRecording']['id']},_0x221cf0['screenRecording'])[_0x37c72b(0x2945)]['then'](function(_0x3c38f9){const _0x1cc935=_0x37c72b,_0x3c6961=_0x3f65c0()[_0x1cc935(0xc84)](_0x221cf0[_0x1cc935(0x293d)],{'id':_0x3c38f9['id']});_0x3c6961&&_0x3f65c0()['merge'](_0x3c6961,_0x3f65c0()['pick'](_0x3c38f9[_0x1cc935(0x2488)](),_0x3f65c0()[_0x1cc935(0x627)](_0x3c6961))),_0x1ea48e['success']({'title':_0x1cc935(0x24f3),'msg':_0x221cf0[_0x1cc935(0x1b80)]['name']?_0x221cf0['screenRecording'][_0x1cc935(0x19eb)]+_0x1cc935(0x24db):''}),_0x107aaa(_0x3c38f9);})[_0x37c72b(0x129e)](function(_0xd57cfe){const _0x35a09a=_0x37c72b;if(_0xd57cfe[_0x35a09a(0x524)]&&_0xd57cfe[_0x35a09a(0x524)]['errors']&&_0xd57cfe[_0x35a09a(0x524)][_0x35a09a(0xcef)]['length']){_0x221cf0['errors']=_0xd57cfe[_0x35a09a(0x524)][_0x35a09a(0xcef)]||[{'message':_0xd57cfe[_0x35a09a(0xd5f)](),'type':_0x35a09a(0xea0)}];for(let _0x47837b=0x0;_0x47837b<_0xd57cfe[_0x35a09a(0x524)]['errors']['length'];_0x47837b++){_0x1ea48e[_0x35a09a(0x1980)]({'title':_0xd57cfe['data'][_0x35a09a(0xcef)][_0x47837b][_0x35a09a(0x1142)],'msg':_0xd57cfe[_0x35a09a(0x524)][_0x35a09a(0xcef)][_0x47837b][_0x35a09a(0x7fd)]});}}else _0x1ea48e['error']({'title':_0xd57cfe['status']?'API:'+_0xd57cfe[_0x35a09a(0x107b)]+_0x35a09a(0x1315)+_0xd57cfe[_0x35a09a(0x167f)]:_0x35a09a(0xea0),'msg':_0xd57cfe['data']?JSON[_0x35a09a(0x10bb)](_0xd57cfe[_0x35a09a(0x524)][_0x35a09a(0x7fd)]):_0xd57cfe[_0x35a09a(0xd5f)]()});});}function _0x191768(_0x2ae0b3){const _0x547030=_0x2944c2;_0x221cf0[_0x547030(0xcef)]=[];const _0x42d4cf=_0x22f74e[_0x547030(0x1e8a)]()[_0x547030(0x1189)](_0x547030(0x1d64))[_0x547030(0x80f)](_0x547030(0x13cd))[_0x547030(0x4bd)](_0x547030(0x28a7))['ok'](_0x547030(0x25de))['cancel'](_0x547030(0xcf0))[_0x547030(0x1f27)](_0x2ae0b3);_0x22f74e[_0x547030(0x2615)](_0x42d4cf)[_0x547030(0x146b)](function(){const _0x1ad4a0=_0x547030;_0x414adf['screenRecording'][_0x1ad4a0(0x1fac)]({'id':_0x221cf0[_0x1ad4a0(0x1b80)]['id']})[_0x1ad4a0(0x2945)][_0x1ad4a0(0x146b)](function(){const _0x5867cf=_0x1ad4a0;_0x3f65c0()['remove'](_0x221cf0['screenRecordings'],{'id':_0x221cf0[_0x5867cf(0x1b80)]['id']}),_0x1ea48e[_0x5867cf(0x1c75)]({'title':_0x5867cf(0x230),'msg':(_0x221cf0[_0x5867cf(0x1b80)][_0x5867cf(0x19eb)]||'screenRecording')+_0x5867cf(0x23e3)}),_0x107aaa(_0x221cf0[_0x5867cf(0x1b80)]);})[_0x1ad4a0(0x129e)](function(_0x373bd1){const _0x1b7fe0=_0x1ad4a0;if(_0x373bd1[_0x1b7fe0(0x524)]&&_0x373bd1[_0x1b7fe0(0x524)]['errors']&&_0x373bd1['data'][_0x1b7fe0(0xcef)][_0x1b7fe0(0x402)]){_0x221cf0[_0x1b7fe0(0xcef)]=_0x373bd1[_0x1b7fe0(0x524)][_0x1b7fe0(0xcef)]||[{'message':_0x373bd1['toString'](),'type':_0x1b7fe0(0x10c1)}];for(let _0x50720c=0x0;_0x50720c<_0x373bd1[_0x1b7fe0(0x524)][_0x1b7fe0(0xcef)][_0x1b7fe0(0x402)];_0x50720c++){_0x1ea48e[_0x1b7fe0(0x1980)]({'title':_0x373bd1[_0x1b7fe0(0x524)][_0x1b7fe0(0xcef)][_0x50720c][_0x1b7fe0(0x1142)],'msg':_0x373bd1['data'][_0x1b7fe0(0xcef)][_0x50720c][_0x1b7fe0(0x7fd)]});}}else _0x1ea48e['error']({'title':_0x373bd1['status']?_0x1b7fe0(0x262a)+_0x373bd1[_0x1b7fe0(0x107b)]+_0x1b7fe0(0x1315)+_0x373bd1['statusText']:'api.screenRecording.delete','msg':_0x373bd1[_0x1b7fe0(0x524)]?JSON['stringify'](_0x373bd1[_0x1b7fe0(0x524)][_0x1b7fe0(0x7fd)]):_0x373bd1[_0x1b7fe0(0x7fd)]||_0x373bd1[_0x1b7fe0(0xd5f)]()});});},function(){});}function _0x303394(_0x3621cd){return _0x3621cd===null?undefined:new Date(_0x3621cd);}function _0x107aaa(_0x2498fc){_0x22f74e['hide'](_0x2498fc);}}const _0x28d0c3=_0x26dd0b;;_0x248ad4['$inject']=[_0x313a4d(0x910),'$mdDialog','toasty',_0x313a4d(0x247f),'recording',_0x313a4d(0x2532),'label',_0x313a4d(0x1912),'elementTag','$q'];function _0x248ad4(_0x22a523,_0x2ae967,_0x573b3b,_0x38fe42,_0x14d667,_0x29aa1d,_0xd97272,_0x497d18,_0x4ba759,_0x14621d){const _0x1ec667=_0x313a4d,_0x461641=this;_0x461641[_0x1ec667(0x1699)]=![],_0x461641['recording']=Object['assign']({},_0x14d667),_0x461641[_0x1ec667(0xdb0)]=_0x1ec667(0x1b80),_0x461641['element']=_0x4ba759,_0x461641[_0x1ec667(0x2532)]=Object['assign']({},_0x29aa1d),_0x461641[_0x1ec667(0x27e0)]=_0x497d18,_0x461641[_0x1ec667(0x11f8)]=_0xd97272,_0x461641[_0x1ec667(0x1873)]=_0x1ec667(0x1be9),_0x461641[_0x1ec667(0x1320)]=_0x1ec667(0x1b80),console['log'](_0x1ec667(0x811),_0x461641[_0x1ec667(0x27e0)]),console[_0x1ec667(0x1a74)](_0x1ec667(0x23fd),_0x461641[_0x1ec667(0x15c0)][_0x461641[_0x1ec667(0x27e0)]]),_0x461641['filename']=_0x461641[_0x1ec667(0x15c0)][_0x461641[_0x1ec667(0x27e0)]]['indexOf']('/')>-0x1?_0x461641[_0x1ec667(0x15c0)][_0x461641[_0x1ec667(0x27e0)]][_0x1ec667(0xe83)](_0x461641[_0x1ec667(0x15c0)][_0x461641[_0x1ec667(0x27e0)]][_0x1ec667(0x1052)]('/')+0x1):_0x461641[_0x1ec667(0x15c0)][_0x461641[_0x1ec667(0x27e0)]][_0x1ec667(0x172b)]('\x5c')>-0x1?_0x461641['recording'][_0x461641[_0x1ec667(0x27e0)]]['substring'](_0x461641[_0x1ec667(0x15c0)][_0x461641['path']][_0x1ec667(0x1052)]('\x5c')+0x1):_0x461641[_0x1ec667(0x15c0)][_0x461641['path']],_0x461641['format']=![];_0x3f65c0()['isNil'](_0x461641[_0x1ec667(0x15c0)][_0x1ec667(0x22b0)])&&(_0x461641[_0x1ec667(0x15c0)][_0x1ec667(0x22b0)]=_0x461641[_0x1ec667(0x920)][_0x1ec667(0x1298)](_0x461641[_0x1ec667(0x920)][_0x1ec667(0x1052)]('.')));(_0x461641[_0x1ec667(0x15c0)][_0x1ec667(0x22b0)]==='.wav'&&_0x461641['element']===_0x1ec667(0x922)||_0x461641['recording'][_0x1ec667(0x22b0)]==='.mp4'&&_0x461641[_0x1ec667(0x1853)]===_0x1ec667(0x1be9))&&(_0x461641['format']=!![]);_0x461641[_0x1ec667(0x597)]=!![];_0x461641['format']&&_0x59ad24();function _0x59ad24(){const _0x46cfc3=_0x1ec667,_0x40de5f=_0x14621d['defer']();return _0x38fe42[_0x46cfc3(0x1b80)]['download']({'id':_0x461641[_0x46cfc3(0x15c0)]['id'],'path':String(_0x461641['path'])})[_0x46cfc3(0x2945)][_0x46cfc3(0x146b)](function(){const _0x2a0fc9=_0x46cfc3;_0x461641[_0x2a0fc9(0x22b0)]=!![],_0x461641[_0x2a0fc9(0x597)]=!![],_0x40de5f[_0x2a0fc9(0x2922)]();})[_0x46cfc3(0x129e)](function(){const _0x555afd=_0x46cfc3;console['log'](_0x555afd(0xa36)),_0x461641[_0x555afd(0x22b0)]=![],_0x461641[_0x555afd(0x597)]=![],_0x40de5f[_0x555afd(0x2922)]();}),_0x40de5f[_0x46cfc3(0xb9c)];}if(!_0x3f65c0()['isNil'](_0x29aa1d['properties'])&&_0x29aa1d[_0x1ec667(0x1063)]){_0x461641[_0x1ec667(0x1063)]=Object[_0x1ec667(0x255a)]({},_0x14d667);const _0xadcff9=Object['keys'](_0x14d667);_0xadcff9[_0x1ec667(0x1df5)](function(_0x159dfc){const _0x50cdd1=_0x1ec667;String(_0x159dfc[0x0][_0x50cdd1(0x1c37)]())===String(_0x159dfc[0x0])&&delete _0x461641[_0x50cdd1(0x1063)][_0x159dfc];}),_0x461641[_0x1ec667(0x1353)]={};if(!_0x3f65c0()[_0x1ec667(0x1b36)](_0x29aa1d[_0x1ec667(0x1a20)])&&_0x29aa1d[_0x1ec667(0x1a20)][_0x1ec667(0x402)]>0x0){_0x461641['propertiesDefault']=Object[_0x1ec667(0x255a)]({},_0x14d667);const _0x37a38f=_0x29aa1d[_0x1ec667(0x1a20)],_0x44f71e=Object[_0x1ec667(0x627)](_0x14d667);let _0x1dac38=!![];_0x44f71e[_0x1ec667(0x1df5)](function(_0x565866){const _0x356d84=_0x1ec667;_0x1dac38=!![],_0x37a38f['forEach'](function(_0x22bacf){String(_0x565866)===String(_0x22bacf)&&(_0x1dac38=![]);}),_0x1dac38&&delete _0x461641[_0x356d84(0x1353)][_0x565866];}),_0x461641[_0x1ec667(0x1063)]=_0x461641[_0x1ec667(0x1353)];}if(!_0x3f65c0()[_0x1ec667(0x1b36)](_0x29aa1d[_0x1ec667(0x8e1)])&&_0x29aa1d['extraData'][_0x1ec667(0x402)]>0x0){_0x461641[_0x1ec667(0x127e)]={};const _0x30aabb=_0x29aa1d[_0x1ec667(0x8e1)];for(let _0x56466f=0x0;_0x56466f<_0x30aabb['length'];_0x56466f++){const _0x5a3a24=_0x30aabb[_0x56466f]['values'],_0x5aaf6b=_0x30aabb[_0x56466f]['model'];for(let _0x23d1fe=0x0;_0x23d1fe<_0x5a3a24[_0x1ec667(0x402)];_0x23d1fe++){_0x461641[_0x1ec667(0x127e)][_0x5a3a24[_0x23d1fe][_0x1ec667(0xa8d)]]=_0x14d667[_0x5aaf6b][_0x5a3a24[_0x23d1fe][_0x1ec667(0x2293)]];}}_0x461641[_0x1ec667(0x1063)]=Object[_0x1ec667(0x255a)]({},_0x461641['propertiesDefault'],_0x461641['propertiesExtra']);}}_0x461641[_0x1ec667(0x13f3)]=_0x3ba1fa;function _0x3ba1fa(){const _0x2a9a8f=_0x1ec667;_0x2ae967[_0x2a9a8f(0x2458)]();}}const _0x36bf3b=_0x248ad4;;const _0x216c33=_0x4acfac['p']+_0x313a4d(0xd77);;const _0x249efa=_0x4acfac['p']+_0x313a4d(0x289);;_0x4e3378[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1aa9),'$state',_0x313a4d(0x15fe),_0x313a4d(0x10e8),'$document',_0x313a4d(0x1abe),_0x313a4d(0x214b),_0x313a4d(0x293d),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),'Auth',_0x313a4d(0x2690),_0x313a4d(0x15b9)];function _0x4e3378(_0x578432,_0xda5568,_0x4aecf5,_0x3572fc,_0x34d029,_0x188951,_0x474cad,_0x595aa7,_0x529bc4,_0x2d4b62,_0x23b43a,_0x30ad4b,_0x141ff5,_0x53290e,_0x5dc94e,_0x1b8d7b,_0x30e817){const _0x2ee319=_0x313a4d,_0x5a4ef8=this;_0x5a4ef8[_0x2ee319(0x2690)]=_0x1b8d7b,_0x5a4ef8['setting']=_0x30e817,_0x5a4ef8['currentUser']=_0x5dc94e['getCurrentUser'](),_0x5a4ef8['screenRecordings']=_0x529bc4||{'count':0x0,'rows':[]},_0x5a4ef8[_0x2ee319(0x26b6)]=_0x2d4b62,_0x5a4ef8['userProfileSection']=_0x23b43a&&_0x23b43a[_0x2ee319(0x51c)]==0x1?_0x23b43a[_0x2ee319(0x19c7)][0x0]:null,_0x5a4ef8[_0x2ee319(0x2514)]=_0x5dc94e[_0x2ee319(0xe60)](_0x5a4ef8['userProfileSection']?_0x5a4ef8[_0x2ee319(0x1366)][_0x2ee319(0x2514)]:null),_0x5a4ef8[_0x2ee319(0x768)]='screenRecordings',_0x5a4ef8['listOrder']='',_0x5a4ef8[_0x2ee319(0x214f)]=null,_0x5a4ef8[_0x2ee319(0x2cd)]=[],_0x5a4ef8[_0x2ee319(0x1a56)]={'fields':'createdAt,updatedAt,id,interactionid,channel,format,value,rating,UserId,duration,startedAt,closedAt','createdAt':_0x2ee319(0x1338),'includeAll':'true','sort':_0x2ee319(0x12f2),'limit':0xa,'page':0x1},_0x5a4ef8[_0x2ee319(0x1a56)][_0x2ee319(0xc68)]=_0x141ff5[_0x2ee319(0xc8f)](),_0x5a4ef8[_0x2ee319(0x28af)]=_0x5dda94();function _0x5dda94(){const _0x44a424=_0x2ee319;return[{'name':_0x44a424(0x294),'key':_0x44a424(0xc68),'type':_0x44a424(0x1a10),'label':_0x44a424(0x1158)}];}_0x5a4ef8[_0x2ee319(0x2027)]=_0x2bebf1,_0x5a4ef8[_0x2ee319(0xe49)]=_0x422070,_0x5a4ef8[_0x2ee319(0xf0a)]=_0x162676,_0x5a4ef8[_0x2ee319(0x1c75)]=_0x444d65,_0x5a4ef8[_0x2ee319(0xbe8)]=_0x29f46a,_0x5a4ef8['createOrEditscreenRecording']=_0x224c0c,_0x5a4ef8[_0x2ee319(0x19f1)]=_0xe95aa5,_0x5a4ef8[_0x2ee319(0x5d7)]=_0x1dd49d,_0x5a4ef8['deleteSelectedScreenRecordings']=_0x21121e,_0x5a4ef8[_0x2ee319(0x25d0)]=_0x5238ad,_0x5a4ef8['selectAllScreenRecordings']=_0x32ede2,_0x5a4ef8['convertDuration']=_0x173c4c,_0x30ad4b[_0x2ee319(0xe7b)]['get']({'fields':_0x2ee319(0x12bf),'sort':_0x2ee319(0x19eb),'nolimit':_0x2ee319(0x1185)})['$promise'][_0x2ee319(0x146b)](function(_0x226979){const _0x41826d=_0x2ee319;_0x5a4ef8[_0x41826d(0x1995)]=_0x226979[_0x41826d(0x19c7)]||[];})['catch'](function(_0x2252be){const _0x3a5a5c=_0x2ee319;_0x53290e[_0x3a5a5c(0x1980)]({'title':_0x2252be[_0x3a5a5c(0x107b)]?'API:'+_0x2252be['status']+_0x3a5a5c(0x1315)+_0x2252be['statusText']:'SYSTEM:GET_USERS','msg':_0x2252be[_0x3a5a5c(0x524)]?JSON['stringify'](_0x2252be[_0x3a5a5c(0x524)]):_0x2252be[_0x3a5a5c(0xd5f)]()});});function _0x2bebf1(_0x58075b,_0x2f2e65,_0x12798c,_0xc8226b,_0x2c0389){const _0x41c25a=_0x2ee319,_0x197b1a={'upperTitle':![],'lowerTitle':!![],'properties':!![],'propertiesIcon':_0x41c25a(0xeea),'propertiesList':[_0x41c25a(0x22b0),_0x41c25a(0x139b),_0x41c25a(0x11cc),_0x41c25a(0x17ea),_0x41c25a(0xc73),_0x41c25a(0xc68)],'extraData':[{'model':_0x41c25a(0x2393),'values':[{'key':_0x41c25a(0x19eb),'text':_0x41c25a(0xb97)}]}]},_0x3e4fba={};_0x3e4fba[_0x41c25a(0xdb0)]=_0x41c25a(0x1b80),_0x3e4fba[_0x41c25a(0x19eb)]=_0x41c25a(0x293d),_0x3e4fba[_0x41c25a(0x1320)]=_0x41c25a(0x1b80),_0x3e4fba[_0x41c25a(0x13d3)]=_0x41c25a(0x1b80),_0xc8226b=String(_0xc8226b);const _0x130c04=String(_0x12798c);console[_0x41c25a(0x1a74)](_0x41c25a(0x191d),String(_0x12798c)),_0x34d029[_0x41c25a(0x2615)]({'controller':_0x41c25a(0x25a9),'controllerAs':'vm','templateUrl':_0x249efa,'parent':angular[_0x41c25a(0x1853)](_0x188951['body']),'targetEvent':_0x2f2e65,'clickOutsideToClose':!![],'locals':{'recording':_0x58075b,'recordings':_0x5a4ef8[_0x41c25a(0x293d)]['rows'],'license':_0x5a4ef8['license'],'setting':null,'item':_0x3e4fba,'actionOptions':_0x197b1a,'label':_0xc8226b,'varPath':_0x130c04,'elementTag':String(_0x2c0389)}});}function _0x422070(_0x11af83){const _0x233358=_0x2ee319;let _0x5d7b59=![];const _0x2186a0=!_0x3f65c0()[_0x233358(0x1b36)](_0x11af83[_0x233358(0x22b0)])?_0x11af83[_0x233358(0x22b0)]:_0x11af83[_0x233358(0x175d)][_0x233358(0xe83)](_0x11af83[_0x233358(0x175d)][_0x233358(0x1052)]('.'));_0x5d7b59=_0x2186a0===_0x233358(0x27ba)?!![]:![];const _0x1bf84f=_0x11af83['value']['lastIndexOf']('/')>-0x1?_0x11af83[_0x233358(0x175d)][_0x233358(0xe83)](_0x11af83['value']['lastIndexOf']('/')+0x1):_0x11af83[_0x233358(0x175d)][_0x233358(0x1052)]('\x5c')>-0x1?_0x11af83[_0x233358(0x175d)]['substring'](_0x11af83['value'][_0x233358(0x1052)]('\x5c')+0x1):_0x11af83[_0x233358(0x175d)];return _0x30ad4b[_0x233358(0x1b80)][_0x233358(0x15b1)]({'id':_0x11af83['id']})['$promise'][_0x233358(0x146b)](function(_0x1ccf40){const _0x7ba865=_0x233358,_0x2900c7=[_0x1ccf40[_0x7ba865(0x2eb)]];let _0x2ff73c=_0x7ba865(0x15b1);const _0x12f96c=new Blob(_0x2900c7,{'type':_0x1ccf40[_0x7ba865(0x1142)]});_0x2ff73c=_0x1bf84f;const _0x147cb6=window[_0x7ba865(0xef3)][_0x7ba865(0x24ec)]('a');_0x147cb6[_0x7ba865(0x1652)]('href',URL[_0x7ba865(0x1c58)](_0x12f96c)),_0x147cb6[_0x7ba865(0x1652)](_0x7ba865(0x15b1),_0x2ff73c),document['body'][_0x7ba865(0x23e)](_0x147cb6),_0x147cb6['click']();})[_0x233358(0x129e)](function(_0x5403ae){const _0x1ab2a9=_0x233358;if(_0x5403ae[_0x1ab2a9(0x524)]&&_0x5403ae['data']['errors']&&_0x5403ae['data']['errors'][_0x1ab2a9(0x402)])for(let _0xc09b99=0x0;_0xc09b99<_0x5403ae[_0x1ab2a9(0x524)][_0x1ab2a9(0xcef)][_0x1ab2a9(0x402)];_0xc09b99++){_0x53290e[_0x1ab2a9(0x1980)]({'title':_0x5403ae[_0x1ab2a9(0x524)][_0x1ab2a9(0xcef)][_0xc09b99][_0x1ab2a9(0x1142)],'msg':_0x5403ae[_0x1ab2a9(0x524)][_0x1ab2a9(0xcef)][_0xc09b99][_0x1ab2a9(0x7fd)]});}else{if(String(_0x5403ae[_0x1ab2a9(0x107b)])===_0x1ab2a9(0x2670)&&_0x5d7b59===![])_0x53290e[_0x1ab2a9(0x271e)]({'title':_0x595aa7['instant'](_0x1ab2a9(0x517)),'msg':_0x595aa7[_0x1ab2a9(0xde)](_0x1ab2a9(0x25a))});else String(_0x5403ae['status'])===_0x1ab2a9(0x2670)?_0x53290e[_0x1ab2a9(0x271e)]({'title':_0x595aa7['instant'](_0x1ab2a9(0x517)),'msg':_0x595aa7[_0x1ab2a9(0xde)](_0x1ab2a9(0x2616))}):_0x53290e[_0x1ab2a9(0x1980)]({'title':_0x5403ae[_0x1ab2a9(0x107b)]?_0x1ab2a9(0x262a)+_0x5403ae[_0x1ab2a9(0x107b)]+'\x20-\x20'+_0x5403ae['statusText']:'api.screenRecording.save','msg':_0x5403ae['data']?JSON[_0x1ab2a9(0x10bb)](_0x5403ae['data'][_0x1ab2a9(0x7fd)]):_0x5403ae[_0x1ab2a9(0xd5f)]()});}});}function _0x162676(_0x4efbdc,_0x4f181c){const _0x2fc744=_0x2ee319,_0x2081a7=_0x34d029['confirm']()['title'](_0x2fc744(0xdb2)+_0x3f65c0()['startCase'](_0x2fc744(0x1b80))+'?')[_0x2fc744(0x1cbe)](_0x2fc744(0x16d3)+(_0x4efbdc[_0x2fc744(0x19eb)]||_0x2fc744(0x1b80))+_0x2fc744(0x252f)+_0x2fc744(0xe01))[_0x2fc744(0x4bd)]('delete\x20screenRecording')[_0x2fc744(0x1f27)](_0x4f181c)['ok']('OK')[_0x2fc744(0x6c3)](_0x2fc744(0x39a));_0x34d029['show'](_0x2081a7)[_0x2fc744(0x146b)](function(){_0xe95aa5(_0x4efbdc);},function(){const _0xe69cf3=_0x2fc744;console[_0xe69cf3(0x1a74)]('CANCEL');});}let _0x252993=!![],_0x374771=0x1;_0x578432['$watch']('vm.query.filter',function(_0x18f193,_0xeab907){const _0x3f4114=_0x2ee319;_0x252993?_0x474cad(function(){_0x252993=![];}):(!_0xeab907&&(_0x374771=_0x5a4ef8['query'][_0x3f4114(0x844)]),_0x18f193!==_0xeab907&&(_0x5a4ef8['query'][_0x3f4114(0x844)]=0x1),!_0x18f193&&(_0x5a4ef8['query'][_0x3f4114(0x844)]=_0x374771),_0x5a4ef8['getScreenRecordings']());});function _0x173c4c(_0x1ec3a1){const _0x1aa474=_0x2ee319,_0x2b8338=Math[_0x1aa474(0x19ad)](_0x1ec3a1/0x3e8%0x3c),_0x2b91da=Math['floor'](_0x1ec3a1/(0x3e8*0x3c)%0x3c),_0x4e3f6c=Math['floor'](_0x1ec3a1/(0x3e8*0x3c*0x3c)%0x18),_0x14cf90=_0x4e3f6c<0xa?'0'+String(_0x4e3f6c):String(_0x4e3f6c),_0x511954=_0x2b91da<0xa?'0'+String(_0x2b91da):String(_0x2b91da),_0x664183=_0x2b8338<0xa?'0'+String(_0x2b8338):String(_0x2b8338);return _0x14cf90+':'+_0x511954+':'+_0x664183;}function _0x444d65(_0x4e1a60){const _0x5f0cc9=_0x2ee319;_0x5a4ef8[_0x5f0cc9(0x293d)]=_0x4e1a60||{'count':0x0,'rows':[]};}function _0x29f46a(){const _0x2ca6bf=_0x2ee319;_0x5a4ef8[_0x2ca6bf(0x1a56)][_0x2ca6bf(0x145d)]=(_0x5a4ef8[_0x2ca6bf(0x1a56)][_0x2ca6bf(0x844)]-0x1)*_0x5a4ef8[_0x2ca6bf(0x1a56)][_0x2ca6bf(0x221e)],_0x5dc94e[_0x2ca6bf(0x23e0)](_0x2ca6bf(0x174b))?_0x5a4ef8[_0x2ca6bf(0xb9c)]=_0x30ad4b[_0x2ca6bf(0x1b80)]['get'](_0x5a4ef8[_0x2ca6bf(0x1a56)],_0x444d65)[_0x2ca6bf(0x2945)]:(_0x5a4ef8[_0x2ca6bf(0x1a56)]['id']=_0x5a4ef8[_0x2ca6bf(0x26b6)]['id'],_0x5a4ef8[_0x2ca6bf(0x1a56)][_0x2ca6bf(0x2146)]=_0x2ca6bf(0x17a8),_0x5a4ef8['promise']=_0x30ad4b['userProfile'][_0x2ca6bf(0x158f)](_0x5a4ef8[_0x2ca6bf(0x1a56)],_0x444d65)[_0x2ca6bf(0x2945)]);}function _0x224c0c(_0x6774c6,_0x4284ce){const _0x51db7e=_0x2ee319;_0x34d029['show']({'controller':_0x51db7e(0x292a),'controllerAs':'vm','templateUrl':_0x216c33,'parent':angular[_0x51db7e(0x1853)](_0x188951[_0x51db7e(0x2586)]),'targetEvent':_0x6774c6,'clickOutsideToClose':!![],'locals':{'screenRecording':_0x4284ce,'screenRecordings':_0x5a4ef8[_0x51db7e(0x293d)][_0x51db7e(0x19c7)],'license':_0x5a4ef8[_0x51db7e(0x2690)],'setting':_0x5a4ef8[_0x51db7e(0x15b9)],'crudPermissions':_0x5a4ef8['crudPermissions']}});}function _0xe95aa5(_0x156bdd){const _0x4cb355=_0x2ee319;_0x30ad4b[_0x4cb355(0x1b80)]['delete']({'id':_0x156bdd['id']})[_0x4cb355(0x2945)][_0x4cb355(0x146b)](function(){const _0xd913a9=_0x4cb355;_0x3f65c0()[_0xd913a9(0x2640)](_0x5a4ef8[_0xd913a9(0x293d)][_0xd913a9(0x19c7)],{'id':_0x156bdd['id']}),_0x5a4ef8[_0xd913a9(0x293d)][_0xd913a9(0x51c)]-=0x1,!_0x5a4ef8[_0xd913a9(0x293d)]['rows'][_0xd913a9(0x402)]&&_0x5a4ef8[_0xd913a9(0xbe8)](),_0x53290e[_0xd913a9(0x1c75)]({'title':_0x3f65c0()[_0xd913a9(0x20d1)]('screenRecording')+'\x20deleted!','msg':_0x156bdd['name']?_0x156bdd[_0xd913a9(0x19eb)]+_0xd913a9(0x23e3):''});})[_0x4cb355(0x129e)](function(_0x214faf){const _0x2eb6a7=_0x4cb355;if(_0x214faf[_0x2eb6a7(0x524)]&&_0x214faf[_0x2eb6a7(0x524)][_0x2eb6a7(0xcef)]&&_0x214faf['data'][_0x2eb6a7(0xcef)]['length']){_0x5a4ef8[_0x2eb6a7(0xcef)]=_0x214faf['data'][_0x2eb6a7(0xcef)]||[{'message':_0x214faf[_0x2eb6a7(0xd5f)](),'type':_0x2eb6a7(0x22a7)}];for(let _0x3cfecb=0x0;_0x3cfecb<_0x214faf['data'][_0x2eb6a7(0xcef)]['length'];_0x3cfecb++){_0x53290e['error']({'title':_0x214faf[_0x2eb6a7(0x524)][_0x2eb6a7(0xcef)][_0x3cfecb][_0x2eb6a7(0x1142)],'msg':_0x214faf[_0x2eb6a7(0x524)]['errors'][_0x3cfecb][_0x2eb6a7(0x7fd)]});}}else _0x53290e['error']({'title':_0x214faf['status']?_0x2eb6a7(0x262a)+_0x214faf[_0x2eb6a7(0x107b)]+'\x20-\x20'+_0x214faf['statusText']:_0x2eb6a7(0x22a7),'msg':_0x214faf[_0x2eb6a7(0x524)]?JSON['stringify'](_0x214faf['data'][_0x2eb6a7(0x7fd)]):_0x214faf['message']||_0x214faf[_0x2eb6a7(0xd5f)]()});});}function _0x1dd49d(){const _0x586c57=_0x2ee319,_0x5b70df=angular['copy'](_0x5a4ef8['selectedScreenRecordings']);return _0x5a4ef8[_0x586c57(0x2cd)]=[],_0x5b70df;}function _0x21121e(_0x267ad7){const _0x267521=_0x2ee319,_0x47d9f7=_0x34d029[_0x267521(0x1e8a)]()[_0x267521(0x1189)](_0x267521(0x109c))[_0x267521(0x1cbe)](''+_0x5a4ef8[_0x267521(0x2cd)][_0x267521(0x402)]+_0x267521(0x2452)+_0x267521(0xe01))[_0x267521(0x4bd)](_0x267521(0xeda))[_0x267521(0x1f27)](_0x267ad7)['ok']('OK')['cancel'](_0x267521(0x39a));_0x34d029[_0x267521(0x2615)](_0x47d9f7)[_0x267521(0x146b)](function(){const _0x2fe6d5=_0x267521;_0x5a4ef8[_0x2fe6d5(0x2cd)][_0x2fe6d5(0x1df5)](function(_0x4c3e81){_0xe95aa5(_0x4c3e81);}),_0x5a4ef8[_0x2fe6d5(0x2cd)]=[];});}function _0x5238ad(){const _0x4f83b6=_0x2ee319;_0x5a4ef8[_0x4f83b6(0x2cd)]=[];}function _0x32ede2(){const _0x3c4e4b=_0x2ee319;_0x5a4ef8[_0x3c4e4b(0x2cd)]=_0x5a4ef8[_0x3c4e4b(0x293d)][_0x3c4e4b(0x19c7)];}}const _0x5877c4=_0x4e3378;;_0x2728de[_0x313a4d(0x11c2)]=[_0x313a4d(0x921),'$translatePartialLoaderProvider'];function _0x2728de(_0x591cd9,_0x103da7){const _0x1084af=_0x313a4d;_0x591cd9['state']('app.video',{'abstract':!![],'url':_0x1084af(0xb05)})[_0x1084af(0x13d6)](_0x1084af(0x1b25),{'url':_0x1084af(0x143a),'views':{'content@app':{'templateUrl':_0x5a0183,'controller':_0x1084af(0x622)}},'resolve':{'screenRecordings':[_0x1084af(0x362),'Auth',_0x1084af(0x216c),function(_0x4d93f6,_0x19d8f9,_0x3452f8){const _0x3c4154=_0x1084af;return _0x19d8f9[_0x3c4154(0x23e0)](_0x3c4154(0x174b))?_0x4d93f6['resolve']('screenRecording@get',{'fields':'createdAt,updatedAt,id,interactionid,channel,format,value,rating,UserId,duration,startedAt,closedAt','createdAt':_0x3452f8[_0x3c4154(0xc8f)](),'includeAll':'true','sort':_0x3c4154(0x12f2),'limit':0xa,'offset':0x0}):_0x4d93f6[_0x3c4154(0x2922)](_0x3c4154(0x938),{'id':_0x19d8f9[_0x3c4154(0xb12)]()[_0x3c4154(0x209a)],'section':_0x3c4154(0x17a8),'fields':_0x3c4154(0x1451),'createdAt':_0x3452f8[_0x3c4154(0xc8f)](),'includeAll':_0x3c4154(0x1185),'sort':_0x3c4154(0x12f2),'limit':0xa,'offset':0x0});}],'userProfile':['apiResolver','Auth',function(_0x3f646d,_0x264b2c){const _0x100ca2=_0x1084af;return _0x264b2c['hasRole'](_0x100ca2(0x174b))?null:_0x3f646d['resolve'](_0x100ca2(0x119a),{'fields':'id,name,crudPermissions','id':_0x264b2c[_0x100ca2(0xb12)]()[_0x100ca2(0x209a)]});}],'userProfileSection':['apiResolver',_0x1084af(0xa87),function(_0x25e264,_0x4b68c3){const _0x256978=_0x1084af;return _0x4b68c3['hasRole'](_0x256978(0x174b))?null:_0x25e264[_0x256978(0x2922)](_0x256978(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x4b68c3[_0x256978(0xb12)]()['userProfileId'],'sectionId':0x7d1});}]},'authenticate':!![],'permissionId':0x7d1,'bodyClass':'video'}),_0x103da7[_0x1084af(0x15bf)](_0x1084af(0x1951));}angular[_0x313a4d(0x2528)](_0x313a4d(0x5dc),[_0x313a4d(0xdad),_0x313a4d(0x962),_0x313a4d(0x23c7),'flow',_0x313a4d(0x19e2),_0x313a4d(0x27c1),_0x313a4d(0x195b),_0x313a4d(0x15ef),_0x313a4d(0xc86),_0x313a4d(0x1f5f),_0x313a4d(0x28a8),_0x313a4d(0xfb2),'mwFormUtils',_0x313a4d(0x581),_0x313a4d(0x1bd2),_0x313a4d(0x1792),'angularMaterialFormBuilder',_0x313a4d(0x1626),_0x313a4d(0x2713),_0x313a4d(0x11df)])[_0x313a4d(0x989)](_0x2728de)[_0x313a4d(0x28f0)](_0x313a4d(0x292a),_0x28d0c3)[_0x313a4d(0x28f0)](_0x313a4d(0x25a9),_0x36bf3b)[_0x313a4d(0x28f0)](_0x313a4d(0x1ede),_0x5877c4);;const _0x457373=_0x4acfac['p']+_0x313a4d(0x2080);;const _0x4682d4=_0x4acfac['p']+_0x313a4d(0x12c1);;const _0x352469=_0x4acfac['p']+_0x313a4d(0x1db4);;_0x152e31[_0x313a4d(0x11c2)]=['$scope','$q',_0x313a4d(0x1abe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1ee0),_0x313a4d(0x23bb),_0x313a4d(0x23c0),'rpcAgents',_0x313a4d(0x247f),_0x313a4d(0x279d),'toasty','helperClasses','userProfile',_0x313a4d(0x1366),'Auth','license','$interval'];function _0x152e31(_0x2249a9,_0x19c01d,_0x5264d3,_0x2b61ad,_0x6a4868,_0x35de17,_0x1a2aa2,_0x17a5b7,_0x3677e3,_0x2ca214,_0x2718ff,_0x5c3834,_0x52cf27,_0x30e8b7,_0x3f5237,_0x3f3903,_0x414f43,_0x2605c4){const _0x206a6f=_0x313a4d,_0x375027=this,_0xc86d14=['userpic','online','lastLoginAt',_0x206a6f(0x192a),_0x206a6f(0x1125),'lastPauseAt',_0x206a6f(0x113f),'address',_0x206a6f(0x5f7),'openchannelPause',_0x206a6f(0x1e9e),_0x206a6f(0x17c),_0x206a6f(0x1f9d),_0x206a6f(0x25c4),'voicePause',_0x206a6f(0x6e4),'openchannelCapacity',_0x206a6f(0xf85),'smsCapacity',_0x206a6f(0x237c),_0x206a6f(0x1cf6),_0x206a6f(0xaac),_0x206a6f(0x247),_0x206a6f(0x1025),_0x206a6f(0x2e2),_0x206a6f(0xfc1),'faxCurrentCapacity',_0x206a6f(0xfc3),'openchannelStatus',_0x206a6f(0x1fc6),_0x206a6f(0x22f6),_0x206a6f(0xfdf),'faxStatus','voiceStatus',_0x206a6f(0x1a22),_0x206a6f(0x6cb),_0x206a6f(0x10cd),_0x206a6f(0x1f5c),_0x206a6f(0xadd),_0x206a6f(0x47a),_0x206a6f(0x1dda),'queue',_0x206a6f(0x1e8d),'screenrecording','phoneBarEnableScreenRecordingByAgent',_0x206a6f(0x22c3)],_0x5ed5c7=[_0x206a6f(0x5f7),_0x206a6f(0xcc5),'mailPause','smsPause',_0x206a6f(0x1f9d),_0x206a6f(0x25c4),_0x206a6f(0x211c),_0x206a6f(0x538)];_0x375027[_0x206a6f(0x2321)]=_0x3f3903['getCurrentUser'](),_0x375027[_0x206a6f(0xe3e)]=['ringing',_0x206a6f(0xfe4),_0x206a6f(0x26a7),'onhold',_0x206a6f(0x254d)],_0x375027[_0x206a6f(0x23bb)]=_0x1a2aa2||{'count':0x0,'rows':[]},_0x375027[_0x206a6f(0x2690)]=_0x414f43,_0x375027[_0x206a6f(0x26b6)]=_0x30e8b7,_0x375027[_0x206a6f(0x1366)]=_0x3f5237&&_0x3f5237[_0x206a6f(0x51c)]==0x1?_0x3f5237['rows'][0x0]:null,_0x375027[_0x206a6f(0x2514)]=_0x3f3903['parseCrudPermissions'](_0x375027[_0x206a6f(0x1366)]?_0x375027[_0x206a6f(0x1366)][_0x206a6f(0x2514)]:null),_0x375027[_0x206a6f(0x23c0)]=_0x17a5b7?_0x3f65c0()['keyBy'](_0x17a5b7['rows']?_0x17a5b7[_0x206a6f(0x19c7)]:[],'id'):{},_0x375027['rpcAgents']=_0x3677e3?_0x3f65c0()[_0x206a6f(0x194)](_0x3677e3[_0x206a6f(0x19c7)]?_0x3677e3[_0x206a6f(0x19c7)]:[],'id'):{},_0x375027['paginatedAgents']={},_0x375027[_0x206a6f(0x2412)]=![],_0x375027[_0x206a6f(0x206e)]=[],_0x375027[_0x206a6f(0x626)]={};for(const _0x5be2c1 in _0x375027[_0x206a6f(0x23c0)]){typeof _0x375027[_0x206a6f(0x23c0)][_0x5be2c1]!=='undefined'&&(_0x375027[_0x206a6f(0x23c0)][_0x5be2c1][_0x206a6f(0x5aa)]=!![]);}_0x375027[_0x206a6f(0x1a56)]={'limit':0xa,'page':0x1,'order':'fullname','globalStatusFilter':'','pauseTypeFilter':''},_0x375027[_0x206a6f(0x2044)]=_0x206a7b,_0x375027[_0x206a6f(0x2677)]=_0x4b9f79,_0x375027[_0x206a6f(0x1e3e)]=_0x2f96c,_0x375027[_0x206a6f(0x693)]=_0x3d8ddf,_0x375027[_0x206a6f(0x232c)]=_0x540319,_0x375027[_0x206a6f(0x1eaa)]=_0x43e22e,_0x375027['getAgentGlobalStatus']=_0x2351a9,_0x375027['isPause']=_0x10980e,_0x375027[_0x206a6f(0x169)]=_0x2f4cc7,_0x375027['isPartialPause']=_0x5a9b9f,_0x375027[_0x206a6f(0x1db0)]=_0x35dcfb,_0x375027[_0x206a6f(0x1a76)]=_0x31c03f,_0x375027[_0x206a6f(0x3d4)]=_0x1030bf,_0x375027['onConnect']=_0x1912f5,_0x375027[_0x206a6f(0x483)]=_0x164546,_0x375027[_0x206a6f(0x1b80)]=_0x4d1feb,_0x2718ff['on'](_0x206a6f(0x17de),_0x375027['onSave']),_0x2718ff['on']('user:update',_0x375027[_0x206a6f(0x1a76)]),_0x2718ff['on'](_0x206a6f(0x118c),_0x375027[_0x206a6f(0x201a)]),_0x2718ff['on']('user:agentcomplete',_0x375027['onComplete']),_0x1030bf();let _0xfd3ed3=_0x2605c4(function(){const _0x1b3034=_0x206a6f;_0x375027['load']&&(_0x375027[_0x1b3034(0x2412)]=![],_0x1030bf());},0x3e7);function _0x1030bf(){const _0x48be15=_0x206a6f;_0x375027[_0x48be15(0x2412)]=![];const _0x33203a=_0x19c01d[_0x48be15(0xce3)]();_0x375027['promise']=_0x33203a[_0x48be15(0xb9c)],_0x375027[_0x48be15(0x202e)]=[],_0x375027[_0x48be15(0x206e)]=[],_0x3f65c0()[_0x48be15(0x1a04)](_0x375027[_0x48be15(0x23c0)],function(_0x539a89,_0x2562c5){const _0x132f23=_0x48be15;_0x375027[_0x132f23(0x2445)][_0x2562c5]&&_0x3f65c0()[_0x132f23(0x168d)](_0x539a89,_0x3f65c0()[_0x132f23(0x40e)](_0x3f65c0()[_0x132f23(0x2432)](_0x375027[_0x132f23(0x2445)][_0x2562c5],_0x5ed5c7),_0xc86d14)),_0x2351a9(_0x539a89),_0x539a89[_0x132f23(0x538)]?(_0x375027[_0x132f23(0x202e)][_0x132f23(0x1f47)](_0x539a89),_0x375027[_0x132f23(0x626)][_0x539a89[_0x132f23(0x113f)]]=_0x2562c5):_0x539a89[_0x132f23(0xed1)]=_0x3f65c0()[_0x132f23(0x123)](_0x2deec6()()['format']('x'));});_0x375027[_0x48be15(0x1a56)][_0x48be15(0x1500)]&&_0x3f65c0()[_0x48be15(0x2640)](_0x375027['filteredAgents'],function(_0x575b03){const _0x33b97c=_0x48be15;return _0x575b03[_0x33b97c(0x72b)]!==_0x375027[_0x33b97c(0x1a56)]['globalStatusFilter'];});_0x375027['query'][_0x48be15(0x301)]&&_0x3f65c0()[_0x48be15(0x2640)](_0x375027[_0x48be15(0x202e)],function(_0x1f0fe4){const _0x3e7095=_0x48be15;return _0x1f0fe4['pauseType']!==_0x375027[_0x3e7095(0x1a56)][_0x3e7095(0x301)];});_0x375027[_0x48be15(0x1a56)][_0x48be15(0x1dd6)]&&_0x3f65c0()[_0x48be15(0x2640)](_0x375027[_0x48be15(0x202e)],function(_0x26e356){const _0x289d99=_0x48be15;return _0x26e356[_0x289d99(0x286a)][_0x289d99(0x256e)]()[_0x289d99(0x172b)](_0x375027['query'][_0x289d99(0x1dd6)][_0x289d99(0x256e)]())<0x0;});_0x375027['filteredAgents']=_0x54041f(_0x375027[_0x48be15(0x202e)]);const _0x4fd64a=(_0x375027[_0x48be15(0x1a56)][_0x48be15(0x844)]-0x1)*_0x375027[_0x48be15(0x1a56)]['limit'];_0x375027['paginatedAgents']=_0x3f65c0()[_0x48be15(0x854)](_0x375027[_0x48be15(0x202e)],_0x4fd64a)[_0x48be15(0x1298)](0x0,_0x375027['query'][_0x48be15(0x221e)]);for(let _0x7c14cf=0x0;_0x7c14cf<_0x375027[_0x48be15(0x285)][_0x48be15(0x402)];_0x7c14cf+=0x1){_0x375027[_0x48be15(0x206e)][_0x48be15(0x1f47)](_0x375027['paginatedAgents'][_0x7c14cf]['id']);}_0x33203a[_0x48be15(0x2922)](),_0x375027[_0x48be15(0x2412)]=!![];}function _0x35dcfb(_0xfc9fe7){const _0x292748=_0x206a6f;_0x375027['agents'][_0xfc9fe7['id']]&&(_0x3f65c0()[_0x292748(0x168d)](_0x375027[_0x292748(0x23c0)][_0xfc9fe7['id']],_0x3f65c0()[_0x292748(0x40e)](_0x3f65c0()[_0x292748(0x2432)](_0xfc9fe7,_0x5ed5c7),_0xc86d14)),_0x3f65c0()[_0x292748(0x168d)](_0x375027[_0x292748(0x2445)][_0xfc9fe7['id']],_0x3f65c0()[_0x292748(0x40e)](_0x3f65c0()[_0x292748(0x2432)](_0xfc9fe7,_0x5ed5c7),_0xc86d14)),_0x2351a9(_0x375027[_0x292748(0x23c0)][_0xfc9fe7['id']]));}function _0x31c03f(_0x35442c){const _0x4ff70b=_0x206a6f;_0x375027[_0x4ff70b(0x23c0)][_0x35442c['id']]&&(_0x3f65c0()[_0x4ff70b(0x168d)](_0x375027[_0x4ff70b(0x23c0)][_0x35442c['id']],_0x3f65c0()['pick'](_0x35442c,_0xc86d14)),_0x3f65c0()[_0x4ff70b(0x168d)](_0x375027[_0x4ff70b(0x2445)][_0x35442c['id']],_0x3f65c0()[_0x4ff70b(0x40e)](_0x35442c,_0xc86d14)),_0x35442c['lastPauseAt']&&(_0x375027[_0x4ff70b(0x23c0)][_0x35442c['id']][_0x4ff70b(0xed1)]=_0x35442c[_0x4ff70b(0x192a)],_0x375027[_0x4ff70b(0x2445)][_0x35442c['id']]['globalStatusTime']=_0x35442c['lastPauseAt']),_0x2351a9(_0x375027[_0x4ff70b(0x23c0)][_0x35442c['id']]));}function _0x1912f5(_0xb2f8d1){const _0x1d5543=_0x206a6f;_0x375027[_0x1d5543(0x23c0)][_0x375027['agentInternal'][_0xb2f8d1[_0x1d5543(0x1fb9)]]]&&(_0x3f65c0()[_0x1d5543(0x168d)](_0x375027['agents'][_0x375027[_0x1d5543(0x626)][_0xb2f8d1[_0x1d5543(0x1fb9)]]],_0x3f65c0()[_0x1d5543(0x40e)](_0xb2f8d1,[_0x1d5543(0x1c72),'destconnectedlinenum'])),_0x3f65c0()[_0x1d5543(0x168d)](_0x375027[_0x1d5543(0x2445)][_0x375027[_0x1d5543(0x626)][_0xb2f8d1[_0x1d5543(0x1fb9)]]],_0x3f65c0()['pick'](_0xb2f8d1,['queue',_0x1d5543(0x1e8d)])));}function _0x164546(_0x35d6cd){const _0x389ced=_0x206a6f;_0x375027[_0x389ced(0x23c0)][_0x375027[_0x389ced(0x626)][_0x35d6cd[_0x389ced(0x1fb9)]]]&&(_0x375027[_0x389ced(0x23c0)][_0x375027['agentInternal'][_0x35d6cd[_0x389ced(0x1fb9)]]]=_0x3f65c0()[_0x389ced(0x2432)](_0x375027[_0x389ced(0x23c0)][_0x375027[_0x389ced(0x626)][_0x35d6cd[_0x389ced(0x1fb9)]]],[_0x389ced(0x1c72),_0x389ced(0x1e8d)]),_0x375027['rpcAgents'][_0x375027[_0x389ced(0x626)][_0x35d6cd[_0x389ced(0x1fb9)]]]=_0x3f65c0()[_0x389ced(0x2432)](_0x375027[_0x389ced(0x2445)][_0x375027[_0x389ced(0x626)][_0x35d6cd[_0x389ced(0x1fb9)]]],['queue','destconnectedlinenum']));}function _0x206a7b(_0x3a6fad){const _0xbc8317=_0x206a6f;return _0x2ca214[_0xbc8317(0xe7b)][_0xbc8317(0x2044)]({'id':_0x3a6fad['id'],'device':_0xbc8317(0xfc4),'agent_id':_0x3a6fad['id'],'agent_name':_0x3a6fad[_0xbc8317(0x19eb)]})['$promise']['then'](function(){const _0x398d9a=_0xbc8317;_0x5c3834[_0x398d9a(0x1c75)]({'title':_0x398d9a(0x1c70),'msg':_0x3a6fad[_0x398d9a(0x286a)]+_0x398d9a(0x166c)}),_0x375027['agents'][_0x3a6fad['id']]&&(_0x375027['agents'][_0x3a6fad['id']]['online']=![]),_0x375027[_0x398d9a(0x2445)][_0x3a6fad['id']]&&(_0x375027['rpcAgents'][_0x3a6fad['id']][_0x398d9a(0x538)]=![]),_0x1030bf();})['catch'](function(_0x3c2e10){const _0x1e4e51=_0xbc8317;_0x5c3834[_0x1e4e51(0x1980)]({'title':_0x3c2e10['status']?_0x1e4e51(0x262a)+_0x3c2e10[_0x1e4e51(0x107b)]+'\x20-\x20'+_0x3c2e10[_0x1e4e51(0x167f)]:'api.staff.save','msg':_0x3c2e10[_0x1e4e51(0x524)]?JSON['stringify'](_0x3c2e10[_0x1e4e51(0x524)][_0x1e4e51(0x7fd)]):_0x3c2e10['toString']()});});}function _0x2f96c(_0x40e7f8,_0x441326){const _0x16c185=_0x206a6f;return _0x2ca214[_0x16c185(0xe7b)]['pause']({'id':_0x40e7f8['id'],'type':_0x441326})[_0x16c185(0x2945)][_0x16c185(0x146b)](function(_0x20a9c1){const _0x36555d=_0x16c185;_0x375027[_0x36555d(0x23c0)][_0x40e7f8['id']]&&_0x3f65c0()[_0x36555d(0x168d)](_0x375027['agents'][_0x40e7f8['id']],_0x3f65c0()[_0x36555d(0x40e)](_0x20a9c1,_0xc86d14)),_0x375027[_0x36555d(0x2445)][_0x40e7f8['id']]&&_0x3f65c0()[_0x36555d(0x168d)](_0x375027[_0x36555d(0x2445)][_0x40e7f8['id']],_0x3f65c0()[_0x36555d(0x40e)](_0x20a9c1,_0xc86d14)),_0x2351a9(_0x375027[_0x36555d(0x23c0)][_0x40e7f8['id']]);})[_0x16c185(0x129e)](function(_0x3bf86e){const _0x3e9255=_0x16c185;_0x5c3834[_0x3e9255(0x1980)]({'title':_0x3bf86e[_0x3e9255(0x107b)]?_0x3e9255(0x262a)+_0x3bf86e[_0x3e9255(0x107b)]+'\x20-\x20'+_0x3bf86e['statusText']:'api.staff.save','msg':_0x3bf86e[_0x3e9255(0x524)]?JSON[_0x3e9255(0x10bb)](_0x3bf86e[_0x3e9255(0x524)][_0x3e9255(0x7fd)]):_0x3bf86e['toString']()});});}function _0x3d8ddf(_0x28aa30){const _0x5e7bc4=_0x206a6f;return _0x2ca214[_0x5e7bc4(0xe7b)][_0x5e7bc4(0x974)]({'id':_0x28aa30['id']})[_0x5e7bc4(0x2945)][_0x5e7bc4(0x146b)](function(_0x1c521d){const _0x51a9cc=_0x5e7bc4;_0x375027[_0x51a9cc(0x23c0)][_0x28aa30['id']]&&_0x3f65c0()[_0x51a9cc(0x168d)](_0x375027[_0x51a9cc(0x23c0)][_0x28aa30['id']],_0x3f65c0()[_0x51a9cc(0x40e)](_0x1c521d,_0xc86d14)),_0x375027[_0x51a9cc(0x2445)][_0x28aa30['id']]&&_0x3f65c0()[_0x51a9cc(0x168d)](_0x375027[_0x51a9cc(0x2445)][_0x28aa30['id']],_0x3f65c0()['pick'](_0x1c521d,_0xc86d14)),_0x2351a9(_0x375027['agents'][_0x28aa30['id']]);})[_0x5e7bc4(0x129e)](function(_0xfef208){const _0x59a651=_0x5e7bc4;_0x5c3834[_0x59a651(0x1980)]({'title':_0xfef208[_0x59a651(0x107b)]?_0x59a651(0x262a)+_0xfef208[_0x59a651(0x107b)]+_0x59a651(0x1315)+_0xfef208[_0x59a651(0x167f)]:_0x59a651(0x23c6),'msg':_0xfef208[_0x59a651(0x524)]?JSON[_0x59a651(0x10bb)](_0xfef208[_0x59a651(0x524)][_0x59a651(0x7fd)]):_0xfef208[_0x59a651(0xd5f)]()});});}function _0x540319(_0x1b495d){const _0x45d06e=_0x206a6f;_0x2b61ad[_0x45d06e(0x2615)](_0x2b61ad[_0x45d06e(0x861)]()[_0x45d06e(0xa70)](!![])[_0x45d06e(0x1189)](_0x45d06e(0x2783))['htmlContent'](_0x45d06e(0x1f77))['ok']('Ok')['targetEvent'](_0x1b495d));}function _0x4b9f79(_0x19a648,_0x37a4b1){const _0x2d1b10=_0x206a6f;_0x2b61ad['show']({'controller':_0x2d1b10(0x2610),'controllerAs':'vm','templateUrl':_0xbb22f1,'parent':angular[_0x2d1b10(0x1853)](_0x6a4868['body']),'targetEvent':_0x37a4b1,'clickOutsideToClose':!![],'locals':{'agent':_0x19a648,'agents':[],'channel':_0x2d1b10(0xff9),'direction':_0x2d1b10(0x7b0),'crudPermissions':_0x375027['crudPermissions']}});}function _0x10980e(_0x11dc93){const _0xf43c55=_0x206a6f;return _0x11dc93===_0xf43c55(0x1e3e)||_0x11dc93===_0xf43c55(0x1127);}function _0x2f4cc7(_0x41c3d1){return _0x41c3d1==='pause';}function _0x5a9b9f(_0x122fe8){const _0x544182=_0x206a6f;return _0x122fe8===_0x544182(0x1127);}function _0x358889(_0x37c14d){const _0x274475=_0x206a6f;return _0x37c14d==='idle'||_0x37c14d===_0x274475(0x207d)||_0x37c14d===_0x274475(0x85d)||_0x37c14d===_0x274475(0xad4)||_0x3f65c0()[_0x274475(0x1b36)](_0x37c14d);}function _0x43e22e(_0xc9697c,_0x18cff3){const _0x409470=_0x206a6f;if(_0x18cff3===_0x409470(0xe6)){if(_0xc9697c[_0x18cff3+_0x409470(0x74e)]!==_0x409470(0xb09))return _0xc9697c[_0x18cff3+'Status'];if(_0xc9697c[_0x18cff3+_0x409470(0xde0)])return _0xc9697c[_0x18cff3+_0x409470(0x74e)]=_0x409470(0x1e3e),'pause';return _0x409470(0xb09);}else{if(_0xc9697c[_0x18cff3+_0x409470(0xde0)])return _0xc9697c[_0x18cff3+'Status']='pause',_0x409470(0x1e3e);return _0xc9697c[_0x18cff3+_0x409470(0x74e)];}}function _0x2351a9(_0x51b4dc){const _0x1a1c7a=_0x206a6f;let _0x38813f=_0x1a1c7a(0x207d);if(_0x3f65c0()[_0x1a1c7a(0xb14)]([_0x43e22e(_0x51b4dc,_0x1a1c7a(0xe6)),_0x43e22e(_0x51b4dc,_0x1a1c7a(0xa7f)),_0x43e22e(_0x51b4dc,_0x1a1c7a(0x56b)),_0x43e22e(_0x51b4dc,_0x1a1c7a(0x7d9)),_0x43e22e(_0x51b4dc,_0x1a1c7a(0x929)),_0x43e22e(_0x51b4dc,_0x1a1c7a(0xff9)),_0x43e22e(_0x51b4dc,_0x1a1c7a(0x22d9))],_0x10980e))_0x38813f='pause';else{if(_0x3f65c0()[_0x1a1c7a(0x1360)]([_0x43e22e(_0x51b4dc,_0x1a1c7a(0xe6)),_0x43e22e(_0x51b4dc,'chat'),_0x43e22e(_0x51b4dc,_0x1a1c7a(0x56b)),_0x43e22e(_0x51b4dc,_0x1a1c7a(0x7d9)),_0x43e22e(_0x51b4dc,_0x1a1c7a(0x929)),_0x43e22e(_0x51b4dc,_0x1a1c7a(0xff9)),_0x43e22e(_0x51b4dc,_0x1a1c7a(0x22d9))],_0x10980e))_0x38813f='*pause';else _0x3f65c0()[_0x1a1c7a(0xb14)]([_0x43e22e(_0x51b4dc,'voice'),_0x43e22e(_0x51b4dc,_0x1a1c7a(0xa7f)),_0x43e22e(_0x51b4dc,_0x1a1c7a(0x56b)),_0x43e22e(_0x51b4dc,_0x1a1c7a(0x7d9)),_0x43e22e(_0x51b4dc,_0x1a1c7a(0x929)),_0x43e22e(_0x51b4dc,_0x1a1c7a(0xff9)),_0x43e22e(_0x51b4dc,_0x1a1c7a(0x22d9))],_0x358889)?_0x38813f=_0x1a1c7a(0xb09):_0x38813f='busy';}_0x51b4dc[_0x1a1c7a(0x72b)]!==_0x38813f&&(_0x51b4dc[_0x1a1c7a(0x72b)]=_0x38813f,_0x51b4dc[_0x1a1c7a(0x5aa)]?(_0x51b4dc['init']=![],_0x51b4dc[_0x1a1c7a(0xed1)]=_0x10980e(_0x38813f)?_0x3f65c0()[_0x1a1c7a(0x123)](_0x2deec6()(_0x51b4dc['lastPauseAt'])[_0x1a1c7a(0x22b0)]('x')):_0x3f65c0()[_0x1a1c7a(0x727)]([_0x51b4dc[_0x1a1c7a(0x1dda)],_0x51b4dc['chatStatusTime'],_0x51b4dc[_0x1a1c7a(0x10cd)],_0x51b4dc['smsStatusTime'],_0x51b4dc[_0x1a1c7a(0xadd)],_0x51b4dc[_0x1a1c7a(0x6cb)],_0x51b4dc['faxStatusTime']])):_0x51b4dc[_0x1a1c7a(0xed1)]=_0x3f65c0()[_0x1a1c7a(0x123)](_0x2deec6()()[_0x1a1c7a(0x22b0)]('x')));}function _0x54041f(_0x2db647){const _0x30dc28=_0x206a6f,_0x33585a=_0x3f65c0()['startsWith'](_0x375027[_0x30dc28(0x1a56)][_0x30dc28(0x206e)],'-')?_0x30dc28(0x2517):_0x30dc28(0x195);return _0x3f65c0()[_0x30dc28(0x979)](_0x2db647,[_0x375027[_0x30dc28(0x1a56)][_0x30dc28(0x206e)][_0x30dc28(0x5f4)]('-','')],[_0x33585a]);}function _0x1c8066(){const _0x182ba3=_0x206a6f;_0xfd3ed3&&(_0x2605c4[_0x182ba3(0x6c3)](_0xfd3ed3),_0xfd3ed3=null);}function _0x4d1feb(_0x379ed1,_0x399e27){const _0x4abe9c=_0x206a6f;return _0x2ca214['user']['update']({'id':_0x379ed1['id']},{'screenrecording':_0x399e27})[_0x4abe9c(0x2945)][_0x4abe9c(0x129e)](function(_0x52b2be){const _0x513390=_0x4abe9c;_0x5c3834[_0x513390(0x1980)]({'title':_0x52b2be[_0x513390(0x107b)]?_0x513390(0x262a)+_0x52b2be['status']+'\x20-\x20'+_0x52b2be[_0x513390(0x167f)]:'api.user.update','msg':_0x52b2be[_0x513390(0x524)]?JSON['stringify'](_0x52b2be['data'][_0x513390(0x7fd)]):_0x52b2be[_0x513390(0xd5f)]()});});}_0x2249a9['$on'](_0x206a6f(0x116f),function(){const _0x492f8c=_0x206a6f;_0x2718ff['removeAllListeners'](_0x492f8c(0x17de)),_0x2718ff[_0x492f8c(0x1c5f)](_0x492f8c(0x324)),_0x2718ff[_0x492f8c(0x1c5f)]('user:agentconnect'),_0x2718ff[_0x492f8c(0x1c5f)](_0x492f8c(0x198f)),_0x1c8066();});}const _0x16200f=_0x152e31;;const _0x1140c1=_0x4acfac['p']+'src/js/modules/main/apps/whatsapp/views/whatsappQueues/create/dialog.html/dialog.html';;const _0x389127=_0x4acfac['p']+_0x313a4d(0x1ae2);;_0x54053d[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1abe),_0x313a4d(0x10e8),'$q',_0x313a4d(0x4d8),_0x313a4d(0xa0a),_0x313a4d(0x1b32),_0x313a4d(0x21af),_0x313a4d(0x247f),_0x313a4d(0x279d),'toasty',_0x313a4d(0x26b6),'userProfileSection',_0x313a4d(0xa87)];function _0x54053d(_0x4ebee3,_0x53f9b3,_0x538eca,_0x4c280d,_0x429919,_0x2a7464,_0x3c9735,_0x56b92e,_0x49e77f,_0x34f96d,_0x463c57,_0x29db95,_0x35f6a0,_0x4126b7){const _0x2f21d3=_0x313a4d,_0x54aa1f=this,_0x1c3ca1=[_0x2f21d3(0x2398),_0x2f21d3(0xbdb),_0x2f21d3(0x12a3),'talking',_0x2f21d3(0x166f),_0x2f21d3(0x1e38),_0x2f21d3(0x7fd),_0x2f21d3(0x1095),'dialMethod','Trunk',_0x2f21d3(0x1e3d)];_0x54aa1f[_0x2f21d3(0x2321)]=_0x4126b7[_0x2f21d3(0xb12)](),_0x54aa1f[_0x2f21d3(0x51c)]=_0x3c9735[_0x2f21d3(0x51c)]?_0x3c9735['count']:0x0,_0x54aa1f['queues']=_0x3c9735?_0x3f65c0()[_0x2f21d3(0x194)](_0x3c9735[_0x2f21d3(0x19c7)]?_0x3c9735['rows']:[],'id'):{},_0x54aa1f['rpcQueues']=_0x56b92e?_0x3f65c0()[_0x2f21d3(0x194)](_0x56b92e[_0x2f21d3(0x19c7)]?_0x56b92e[_0x2f21d3(0x19c7)]:[],'id'):{},_0x54aa1f['userProfile']=_0x29db95,_0x54aa1f[_0x2f21d3(0x1366)]=_0x35f6a0&&_0x35f6a0['count']==0x1?_0x35f6a0['rows'][0x0]:null,_0x54aa1f[_0x2f21d3(0x2514)]=_0x4126b7['parseCrudPermissions'](_0x54aa1f['userProfileSection']?_0x54aa1f[_0x2f21d3(0x1366)]['crudPermissions']:null);_0x4126b7[_0x2f21d3(0x23e0)](_0x2f21d3(0x174b))?_0x54aa1f[_0x2f21d3(0x1a56)]={'type':'inbound','sort':'-updatedAt','limit':0xa,'page':0x1}:_0x54aa1f[_0x2f21d3(0x1a56)]={'id':_0x54aa1f[_0x2f21d3(0x2321)]['id'],'channel':_0x2f21d3(0xff9),'type':'inbound','sort':_0x2f21d3(0x12f2),'limit':0xa,'page':0x1};_0x54aa1f[_0x2f21d3(0x1c75)]=_0x433a91,_0x54aa1f[_0x2f21d3(0xc53)]=_0x440ee3,_0x54aa1f[_0x2f21d3(0x17be)]=_0x3cef6f,_0x54aa1f[_0x2f21d3(0x13c)]=_0x44954a,_0x54aa1f[_0x2f21d3(0x2801)]=_0x4774c7,_0x54aa1f[_0x2f21d3(0x1db0)]=_0x3e64a1,_0x54aa1f[_0x2f21d3(0x285f)]=_0x2f8bac,_0x54aa1f[_0x2f21d3(0x2240)]=_0x28b5d1,_0x54aa1f['onPause']=_0x7720f7,_0x54aa1f[_0x2f21d3(0x1c6c)]=_0x3a12cb,_0x54aa1f[_0x2f21d3(0x600)]=_0x4bbd1e,_0x54aa1f[_0x2f21d3(0x20de)]=_0x56c5b6,_0x54aa1f[_0x2f21d3(0x206e)]=[],_0x3f65c0()[_0x2f21d3(0x1a04)](_0x54aa1f[_0x2f21d3(0x1b32)],function(_0x158b9c){const _0x5c332f=_0x2f21d3;_0x54aa1f[_0x5c332f(0x206e)][_0x5c332f(0x1f47)](_0x158b9c['id']);}),_0x34f96d['on'](_0x2f21d3(0x1fe1),_0x54aa1f[_0x2f21d3(0x1db0)]),_0x34f96d['on'](_0x2f21d3(0x2779),_0x54aa1f[_0x2f21d3(0x285f)]),_0x34f96d['on']('userWhatsappQueue:remove',_0x54aa1f[_0x2f21d3(0x2240)]),_0x34f96d['on'](_0x2f21d3(0x324),_0x54aa1f[_0x2f21d3(0x1028)]),_0x34f96d['on']('userVoiceQueue:remove',_0x54aa1f[_0x2f21d3(0x600)]),_0x34f96d['on'](_0x2f21d3(0x109d),_0x54aa1f[_0x2f21d3(0x1c6c)]);function _0x56c5b6(){const _0x3be14e=_0x2f21d3,_0x428eb=[];return _0x3f65c0()['forIn'](_0x54aa1f[_0x3be14e(0x1b32)],function(_0x3b8e3e,_0x4f5d2b){const _0x1c9bc4=_0x3be14e;_0x3b8e3e[_0x1c9bc4(0x1e3d)]=0x0,_0x3b8e3e['loggedInDb']=0x0,_0x54aa1f[_0x1c9bc4(0x1b32)][_0x4f5d2b]['agents']={},_0x428eb[_0x1c9bc4(0x1f47)](_0x49e77f[_0x1c9bc4(0x1b3b)][_0x1c9bc4(0x24c3)]({'id':_0x4f5d2b})['$promise']),_0x54aa1f[_0x1c9bc4(0x21af)][_0x4f5d2b]&&_0x3f65c0()[_0x1c9bc4(0x168d)](_0x3b8e3e,_0x3f65c0()[_0x1c9bc4(0x40e)](_0x54aa1f['rpcQueues'][_0x4f5d2b],_0x1c3ca1));}),_0x4c280d[_0x3be14e(0x1be2)](_0x428eb)[_0x3be14e(0x146b)](function(_0x17ce1b){const _0x9673a3=_0x3be14e;for(let _0x2936ed=0x0;_0x2936ed<_0x17ce1b[_0x9673a3(0x402)];_0x2936ed+=0x1){for(let _0x33ef9f=0x0;_0x33ef9f<_0x17ce1b[_0x2936ed]['rows'][_0x9673a3(0x402)];_0x33ef9f++){_0x17ce1b[_0x2936ed][_0x9673a3(0x19c7)][_0x33ef9f][_0x9673a3(0x1e3d)]&&_0x54aa1f[_0x9673a3(0x1b32)][_0x17ce1b[_0x2936ed]['rows'][_0x33ef9f][_0x9673a3(0x469)]]['paused']++,_0x54aa1f[_0x9673a3(0x1b32)][_0x17ce1b[_0x2936ed][_0x9673a3(0x19c7)][_0x33ef9f][_0x9673a3(0x469)]][_0x9673a3(0x1899)]++,_0x54aa1f['queues'][_0x17ce1b[_0x2936ed][_0x9673a3(0x19c7)][_0x33ef9f][_0x9673a3(0x469)]][_0x9673a3(0x23c0)][_0x17ce1b[_0x2936ed][_0x9673a3(0x19c7)][_0x33ef9f][_0x9673a3(0xea2)]]=_0x17ce1b[_0x2936ed]['rows'][_0x33ef9f][_0x9673a3(0x469)];}}})[_0x3be14e(0x129e)](function(_0x529546){const _0x27b3e2=_0x3be14e;console[_0x27b3e2(0x1980)](_0x529546);});}function _0x3e64a1(_0x11fe0c){const _0x4bcda2=_0x2f21d3;_0x54aa1f['queues'][_0x11fe0c['id']]&&_0x3f65c0()[_0x4bcda2(0x168d)](_0x54aa1f[_0x4bcda2(0x1b32)][_0x11fe0c['id']],_0x3f65c0()[_0x4bcda2(0x40e)](_0x11fe0c,_0x1c3ca1));}function _0x2f8bac(_0x379b3e){const _0x2712ed=_0x2f21d3;_0x54aa1f[_0x2712ed(0x1b32)][_0x379b3e[_0x2712ed(0x469)]]&&_0x54aa1f['queues'][_0x379b3e['WhatsappQueueId']][_0x2712ed(0x1899)]++;}function _0x28b5d1(_0x1499f8){const _0x43ec76=_0x2f21d3;_0x54aa1f['queues'][_0x1499f8[_0x43ec76(0x469)]]&&_0x54aa1f['queues'][_0x1499f8[_0x43ec76(0x469)]]['loggedInDb']--;}function _0x7720f7(_0x5f45ed){const _0x4fce8b=_0x2f21d3;console[_0x4fce8b(0x1a74)](_0x4fce8b(0x1028),_0x5f45ed);if(_0x5f45ed[_0x4fce8b(0x211c)])_0x3f65c0()[_0x4fce8b(0x1a04)](_0x54aa1f['queues'],function(_0xe1f1a9){const _0x57a720=_0x4fce8b;_0xe1f1a9[_0x57a720(0x23c0)][_0x5f45ed['id']]&&(_0xe1f1a9['paused']+=0x1,console[_0x57a720(0x1a74)](_0x57a720(0x1e3e)));});else!_0x5f45ed[_0x4fce8b(0x211c)]&&_0x3f65c0()[_0x4fce8b(0x1a04)](_0x54aa1f[_0x4fce8b(0x1b32)],function(_0x5a7788){const _0x17eeb2=_0x4fce8b;_0x5a7788[_0x17eeb2(0x23c0)][_0x5f45ed['id']]&&(_0x5a7788['paused']>0x0&&(_0x5a7788[_0x17eeb2(0x1e3d)]-=0x1,console['log']('unpause')));});}function _0x3a12cb(_0x4292d3){const _0x49712a=_0x2f21d3;console[_0x49712a(0x1a74)]('onLogged',_0x4292d3),_0x54aa1f[_0x49712a(0x1b32)][_0x4292d3[_0x49712a(0x469)]]&&(_0x54aa1f['queues'][_0x4292d3[_0x49712a(0x469)]][_0x49712a(0x1899)]+=0x1,_0x54aa1f[_0x49712a(0x1b32)][_0x4292d3[_0x49712a(0x469)]][_0x49712a(0x23c0)][_0x4292d3['UserId']]=_0x4292d3[_0x49712a(0x469)]);}function _0x4bbd1e(_0xed66e1){const _0xf21941=_0x2f21d3;console[_0xf21941(0x1a74)](_0xf21941(0x600),_0xed66e1),_0x54aa1f[_0xf21941(0x1b32)][_0xed66e1['WhatsappQueueId']]&&_0x54aa1f['queues'][_0xed66e1[_0xf21941(0x469)]][_0xf21941(0x1899)]>0x0&&(_0x54aa1f['queues'][_0xed66e1[_0xf21941(0x469)]][_0xf21941(0x1899)]-=0x1,delete _0x54aa1f[_0xf21941(0x1b32)][_0xed66e1[_0xf21941(0x469)]][_0xf21941(0x23c0)][_0xed66e1[_0xf21941(0xea2)]]);}let _0x5a443d=!![],_0x1fd0ca=0x1;_0x4ebee3['$watch'](_0x2f21d3(0x2669),function(_0x235563,_0x4ec8d1){const _0x1edcbf=_0x2f21d3;_0x5a443d?_0x53f9b3(function(){_0x5a443d=![];}):(!_0x4ec8d1&&(_0x1fd0ca=_0x54aa1f[_0x1edcbf(0x1a56)][_0x1edcbf(0x844)]),_0x235563!==_0x4ec8d1&&(_0x54aa1f[_0x1edcbf(0x1a56)][_0x1edcbf(0x844)]=0x1),!_0x235563&&(_0x54aa1f[_0x1edcbf(0x1a56)][_0x1edcbf(0x844)]=_0x1fd0ca),_0x54aa1f[_0x1edcbf(0xc53)]());});function _0x433a91(_0x1cd3f3){const _0x495ed5=_0x2f21d3;_0x54aa1f[_0x495ed5(0x51c)]=_0x1cd3f3[_0x495ed5(0x51c)],_0x54aa1f[_0x495ed5(0x1b32)]=_0x1cd3f3?_0x3f65c0()[_0x495ed5(0x194)](_0x1cd3f3[_0x495ed5(0x19c7)]?_0x1cd3f3['rows']:[],'id'):{};if(_0x1cd3f3[_0x495ed5(0x19c7)]){_0x54aa1f[_0x495ed5(0x206e)]=[];for(let _0x121571=0x0;_0x121571<_0x1cd3f3[_0x495ed5(0x19c7)]['length'];_0x121571++){_0x54aa1f['order'][_0x495ed5(0x1f47)](_0x1cd3f3['rows'][_0x121571]['id']);}}_0x56c5b6();}function _0x440ee3(){const _0x229c88=_0x2f21d3;_0x54aa1f[_0x229c88(0x1a56)][_0x229c88(0x145d)]=(_0x54aa1f['query'][_0x229c88(0x844)]-0x1)*_0x54aa1f[_0x229c88(0x1a56)][_0x229c88(0x221e)],_0x4126b7['hasRole'](_0x229c88(0x174b))?_0x54aa1f[_0x229c88(0xb9c)]=_0x49e77f[_0x229c88(0x1b3b)][_0x229c88(0x16b4)](_0x54aa1f['query'],_0x433a91)[_0x229c88(0x2945)]:(_0x54aa1f[_0x229c88(0x1a56)]['id']=_0x54aa1f[_0x229c88(0x26b6)]['id'],_0x54aa1f['query'][_0x229c88(0x2146)]=_0x229c88(0xef),_0x54aa1f[_0x229c88(0xb9c)]=_0x49e77f[_0x229c88(0x26b6)][_0x229c88(0x158f)](_0x54aa1f[_0x229c88(0x1a56)],_0x433a91)['$promise']);}function _0x3cef6f(_0x4d3b3c,_0x1db49a){const _0x406bac=_0x2f21d3;_0x538eca[_0x406bac(0x2615)]({'controller':'CreateOrEditWhatsappQueueDialogController','controllerAs':'vm','templateUrl':_0x1140c1,'parent':angular['element'](_0x429919['body']),'targetEvent':_0x4d3b3c,'clickOutsideToClose':!![],'locals':{'whatsappQueue':_0x1db49a,'whatsappQueues':_0x3f65c0()[_0x406bac(0x81b)](_0x54aa1f[_0x406bac(0x1b32)]),'license':null,'setting':null,'crudPermissions':_0x54aa1f['crudPermissions']}});}function _0x44954a(_0xe24f83,_0x3b3897){const _0x158c08=_0x2f21d3;_0x538eca[_0x158c08(0x2615)]({'controller':_0x158c08(0x2d5),'controllerAs':'vm','templateUrl':_0x389127,'parent':angular[_0x158c08(0x1853)](_0x429919[_0x158c08(0x2586)]),'targetEvent':_0xe24f83,'clickOutsideToClose':!![],'locals':{'whatsappQueue':_0x3b3897,'whatsappQueues':_0x54aa1f[_0x158c08(0x1b32)]?_0x54aa1f[_0x158c08(0x1b32)][_0x158c08(0x19c7)]:[],'realtime':![],'crudPermissions':_0x54aa1f[_0x158c08(0x2514)]}});}function _0x4774c7(_0x4cc40e){const _0x18afeb=_0x2f21d3;return _0x49e77f[_0x18afeb(0x1b3b)][_0x18afeb(0x18e1)](_0x4cc40e)[_0x18afeb(0x2945)][_0x18afeb(0x146b)](function(){const _0x20a536=_0x18afeb;_0x463c57[_0x20a536(0x1c75)]({'title':_0x20a536(0x5f1),'msg':_0x4cc40e['name']?_0x4cc40e[_0x20a536(0x19eb)]+_0x20a536(0x6b0):''});})[_0x18afeb(0x129e)](function(_0x17c168){const _0xc08a81=_0x18afeb;_0x463c57[_0xc08a81(0x1980)]({'title':'Error\x20creating\x20queue!','msg':_0x17c168[_0xc08a81(0x7fd)]});});}_0x4ebee3[_0x2f21d3(0x16ad)](_0x2f21d3(0x116f),function(){const _0x12a317=_0x2f21d3;_0x34f96d[_0x12a317(0x1c5f)]('whatsapp_queue:save'),_0x34f96d[_0x12a317(0x1c5f)]('userWhatsappQueue:remove'),_0x34f96d[_0x12a317(0x1c5f)](_0x12a317(0x2779)),_0x34f96d[_0x12a317(0x1c5f)](_0x12a317(0x324)),_0x34f96d[_0x12a317(0x1c5f)](_0x12a317(0x1d3e)),_0x34f96d['removeAllListeners']('userVoiceQueue:save');});}const _0x142cc3=_0x54053d;;function _0x2a5507(){const _0x3fab16=_0x313a4d;return{'status':{'registered':_0x3fab16(0xdf4),'unregistered':'red-300-fg\x20icon-close-circle','lagged':_0x3fab16(0x4c7),'reachable':_0x3fab16(0xdf4),'unreachable':_0x3fab16(0x4c7),'unknown':_0x3fab16(0xc4b)},'state':{'unknown':_0x3fab16(0x1fd7),'not_inuse':_0x3fab16(0x1756),'inuse':_0x3fab16(0x255c),'busy':_0x3fab16(0xb3c),'invalid':_0x3fab16(0x1fd7),'unavailable':_0x3fab16(0x1fd7),'ringing':_0x3fab16(0x1172),'ringinuse':_0x3fab16(0x1172),'onhold':_0x3fab16(0x119f)},'channelStatus':{'ring':_0x3fab16(0x1172),'up':_0x3fab16(0x1668),'hangup':_0x3fab16(0x135d)},'channelStatusOut':{'ring':_0x3fab16(0x1a13),'up':'green-300-fg\x20icon-phone-in-talk','hangup':_0x3fab16(0x135d)}};}const _0x337210=_0x2a5507;;_0x4a1d63[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x1862),_0x313a4d(0x279d)];function _0x4a1d63(_0xccfab1,_0xa380b0,_0x4951bd){const _0x3839bf=_0x313a4d,_0x589e89=this;_0x4951bd[_0x3839bf(0x194a)](),_0x4951bd[_0x3839bf(0x2e3)](),_0x589e89[_0x3839bf(0x1b09)]=0x0;switch(_0xa380b0[_0x3839bf(0x2d7)][_0x3839bf(0x19eb)]){case _0x3839bf(0x93e):_0x589e89[_0x3839bf(0x1b09)]=0x0;break;case _0x3839bf(0x1f49):_0x589e89[_0x3839bf(0x1b09)]=0x1;break;default:_0x589e89[_0x3839bf(0x1b09)]=0x0,_0xa380b0['go'](_0x3839bf(0x93e));}_0xccfab1[_0x3839bf(0x21e8)](_0x3839bf(0x241b),function(_0x4ea9ad,_0xbe01a9){const _0x4e88b5=_0x3839bf;if(_0x4ea9ad!==_0xbe01a9)switch(_0x4ea9ad){case 0x0:_0xa380b0['go'](_0x4e88b5(0x93e));break;case 0x1:_0xa380b0['go'](_0x4e88b5(0x1f49));break;default:_0xa380b0['go']('app.whatsapp.realtime.agents');break;}});}const _0x4aa7a1=_0x4a1d63;;_0x4f8528[_0x313a4d(0x11c2)]=[_0x313a4d(0x921)];function _0x4f8528(_0x5878af){const _0x2dc57b=_0x313a4d;_0x5878af[_0x2dc57b(0x13d6)]('app.whatsapp.realtime',{'url':'/realtime','views':{'content@app':{'templateUrl':_0x457373,'controller':'WhatsappRealtimeController\x20as\x20vm'}},'resolve':{'userProfile':[_0x2dc57b(0x362),_0x2dc57b(0xa87),function(_0x38115d,_0x2b6a5f){const _0x5ce4bc=_0x2dc57b;return _0x2b6a5f[_0x5ce4bc(0x23e0)]('admin')?null:_0x38115d[_0x5ce4bc(0x2922)](_0x5ce4bc(0x119a),{'fields':_0x5ce4bc(0x227),'id':_0x2b6a5f[_0x5ce4bc(0xb12)]()[_0x5ce4bc(0x209a)]});}],'userProfileSection':[_0x2dc57b(0x362),_0x2dc57b(0xa87),function(_0x256701,_0x29ef8c){const _0x591925=_0x2dc57b;return _0x29ef8c['hasRole']('admin')?null:_0x256701[_0x591925(0x2922)](_0x591925(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x29ef8c['getCurrentUser']()[_0x591925(0x209a)],'sectionId':0x83e});}]},'authenticate':!![],'permissionId':0x83e})[_0x2dc57b(0x13d6)](_0x2dc57b(0x93e),{'url':_0x2dc57b(0x1507),'controller':_0x2dc57b(0x1732),'templateUrl':_0x4682d4,'resolve':{'pauses':[_0x2dc57b(0x362),function(_0x3c648d){const _0x60747d=_0x2dc57b;return _0x3c648d[_0x60747d(0x2922)](_0x60747d(0x3f6),{'nolimit':!![]});}],'agents':[_0x2dc57b(0x362),'Auth',function(_0x1bf017,_0x1b76bc){const _0x3b08e5=_0x2dc57b;return _0x1b76bc[_0x3b08e5(0x23e0)](_0x3b08e5(0x174b))?_0x1bf017[_0x3b08e5(0x2922)](_0x3b08e5(0x2484),{'fields':_0x3b08e5(0x2869),'role':_0x3b08e5(0x1755),'sort':_0x3b08e5(0x286a),'nolimit':!![]}):_0x1bf017[_0x3b08e5(0x2922)](_0x3b08e5(0x938),{'id':_0x1b76bc[_0x3b08e5(0xb12)]()['userProfileId'],'section':'Agents','fields':_0x3b08e5(0x2869),'role':_0x3b08e5(0x1755),'sort':_0x3b08e5(0x286a),'nolimit':!![]});}],'userProfile':['apiResolver','Auth',function(_0xf32a8c,_0x6b9e7e){const _0x2388af=_0x2dc57b;return _0x6b9e7e[_0x2388af(0x23e0)](_0x2388af(0x174b))?null:_0xf32a8c[_0x2388af(0x2922)](_0x2388af(0x119a),{'fields':_0x2388af(0x227),'id':_0x6b9e7e[_0x2388af(0xb12)]()[_0x2388af(0x209a)]});}],'userProfileSection':['apiResolver',_0x2dc57b(0xa87),function(_0x3e8894,_0x962143){const _0x3192b7=_0x2dc57b;return _0x962143[_0x3192b7(0x23e0)](_0x3192b7(0x174b))?null:_0x3e8894['resolve']('userProfileSection@get',{'fields':_0x3192b7(0x1e64),'userProfileId':_0x962143['getCurrentUser']()[_0x3192b7(0x209a)],'sectionId':0xca});}],'rpcAgents':[_0x2dc57b(0x362),function(_0x122116){const _0x41dc38=_0x2dc57b;return _0x122116['resolve'](_0x41dc38(0x19ab));}]},'authenticate':!![],'permissionId':0x83e})['state'](_0x2dc57b(0x1f49),{'url':_0x2dc57b(0xf15),'controller':_0x2dc57b(0x661),'templateUrl':_0x352469,'resolve':{'queues':['apiResolver',_0x2dc57b(0xa87),function(_0x2a8188,_0x7f581){const _0x2c6b26=_0x2dc57b;return _0x7f581[_0x2c6b26(0x23e0)](_0x2c6b26(0x174b))?_0x2a8188[_0x2c6b26(0x2922)](_0x2c6b26(0x26e6),{'type':_0x2c6b26(0x7b0),'sort':_0x2c6b26(0x12f2),'limit':0xa,'offset':0x0}):_0x2a8188['resolve'](_0x2c6b26(0x938),{'id':_0x7f581[_0x2c6b26(0xb12)]()['userProfileId'],'section':_0x2c6b26(0xef),'channel':_0x2c6b26(0xff9),'type':_0x2c6b26(0x7b0),'sort':_0x2c6b26(0x12f2),'limit':0xa,'page':0x1});}],'userProfile':['apiResolver',_0x2dc57b(0xa87),function(_0x1a943e,_0x293b85){const _0x315c45=_0x2dc57b;return _0x293b85[_0x315c45(0x23e0)](_0x315c45(0x174b))?null:_0x1a943e[_0x315c45(0x2922)](_0x315c45(0x119a),{'fields':_0x315c45(0x227),'id':_0x293b85[_0x315c45(0xb12)]()[_0x315c45(0x209a)]});}],'userProfileSection':['apiResolver',_0x2dc57b(0xa87),function(_0x2692a7,_0x57046c){const _0x4e089f=_0x2dc57b;return _0x57046c[_0x4e089f(0x23e0)](_0x4e089f(0x174b))?null:_0x2692a7[_0x4e089f(0x2922)](_0x4e089f(0x27be),{'fields':_0x4e089f(0x1e64),'userProfileId':_0x57046c[_0x4e089f(0xb12)]()[_0x4e089f(0x209a)],'sectionId':0x835});}],'rpcQueues':[_0x2dc57b(0x362),function(_0x306380){const _0x1207f5=_0x2dc57b;return _0x306380[_0x1207f5(0x2922)](_0x1207f5(0x601));}]},'authenticate':!![],'permissionId':0x83e});}angular['module'](_0x313a4d(0x2829),[])[_0x313a4d(0x989)](_0x4f8528)[_0x313a4d(0x28f0)](_0x313a4d(0x2620),_0x16200f)[_0x313a4d(0x28f0)]('QueuesWhatsappRealtimeController',_0x142cc3)[_0x313a4d(0x1750)](_0x313a4d(0x1ac0),_0x337210)[_0x313a4d(0x28f0)](_0x313a4d(0x5c5),_0x4aa7a1);;const _0x8ddf7e=_0x4acfac['p']+_0x313a4d(0xd4e);;const _0x181a60=_0x4acfac['p']+_0x313a4d(0x11a9);;const _0x1239f5=_0x4acfac['p']+'src/js/modules/main/apps/whatsapp/views/whatsappAccounts/whatsappAccounts.html/whatsappAccounts.html';;const _0x2b4e10=_0x4acfac['p']+'src/js/modules/main/apps/whatsapp/views/whatsappAccounts/edit/view.html/view.html';;_0x46139e[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),'$mdDialog','$q',_0x313a4d(0x214b),'toasty','whatsappAccounts',_0x313a4d(0x815),_0x313a4d(0x247f),_0x313a4d(0xa87),'license',_0x313a4d(0x15b9),'crudPermissions'];function _0x46139e(_0x2ad785,_0x244c64,_0x32dc40,_0xd81702,_0x204b35,_0x195abd,_0x562b26,_0x594dd0,_0x4763f1,_0x2713a1,_0x1c72f3,_0x3662fc,_0x566aa0,_0x1b3ecf){const _0xe68102=_0x313a4d,_0x3de7d8=this;_0x3de7d8[_0xe68102(0x2321)]=_0x1c72f3[_0xe68102(0xb12)](),_0x3de7d8[_0xe68102(0xcef)]=[],_0x3de7d8['setting']=_0x566aa0,_0x3de7d8['license']=_0x3662fc,_0x3de7d8[_0xe68102(0x2514)]=_0x1b3ecf,_0x3de7d8[_0xe68102(0x855)]={},_0x3de7d8[_0xe68102(0x2251)]=_0x3de7d8[_0xe68102(0x15b9)]&&_0x3de7d8[_0xe68102(0x15b9)][_0xe68102(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x3de7d8[_0xe68102(0x1189)]=_0xe68102(0x17ed),_0x3de7d8[_0xe68102(0x815)]=angular[_0xe68102(0x235a)](_0x4763f1),_0x3de7d8[_0xe68102(0x23c)]=_0x594dd0,_0x3de7d8[_0xe68102(0x36d)]=![];!_0x3de7d8['whatsappAccount']&&(_0x3de7d8[_0xe68102(0x815)]={'remote':_0x32dc40[_0xe68102(0x2414)]()+_0xe68102(0xb0e)+_0x32dc40[_0xe68102(0x148e)]()+(_0x32dc40[_0xe68102(0x1553)]()?':'+_0x32dc40[_0xe68102(0x1553)]():''),'type':_0xe68102(0xc08),'notificationSound':!![],'queueTransferTimeout':0x12c,'waitForTheAssignedAgent':0xa,'agentTransferTimeout':0x12c},_0x3de7d8[_0xe68102(0x1189)]=_0xe68102(0x268e),_0x3de7d8[_0xe68102(0x36d)]=!![]);_0x244c64[_0xe68102(0x16a)]['id']&&(_0x3de7d8[_0xe68102(0x815)][_0xe68102(0x158a)]=_0x244c64[_0xe68102(0x16a)]['id']);_0x3de7d8[_0xe68102(0x1fe3)]=_0x4b6994,_0x3de7d8[_0xe68102(0x2741)]=_0x2500e9,_0x3de7d8['deleteWhatsappAccount']=_0x1bcc9f,_0x3de7d8['getDateFromString']=_0x57d663,_0x3de7d8[_0xe68102(0x13f3)]=_0x5d863c,_0x1c72f3[_0xe68102(0x23e0)](_0xe68102(0x174b))?_0x2713a1[_0xe68102(0xf03)][_0xe68102(0x16b4)]({'fields':_0xe68102(0x7a7),'sort':'name'})[_0xe68102(0x2945)]['then'](function(_0x2283a6){const _0x19a892=_0xe68102;_0x3de7d8['lists']=_0x2283a6[_0x19a892(0x19c7)]||[];})[_0xe68102(0x129e)](function(_0x3dec46){const _0x5d67d2=_0xe68102;_0x562b26[_0x5d67d2(0x1980)]({'title':_0x3dec46['status']?_0x5d67d2(0x262a)+_0x3dec46[_0x5d67d2(0x107b)]+_0x5d67d2(0x1315)+_0x3dec46[_0x5d67d2(0x167f)]:'SYSTEM:GET_LISTS','msg':_0x3dec46[_0x5d67d2(0x524)]?JSON[_0x5d67d2(0x10bb)](_0x3dec46[_0x5d67d2(0x524)]):_0x3dec46[_0x5d67d2(0xd5f)]()});}):_0x2713a1[_0xe68102(0xf03)][_0xe68102(0x16b4)]({'fields':_0xe68102(0x7a7),'sort':'name'})[_0xe68102(0x2945)][_0xe68102(0x146b)](function(_0x592adc){const _0x545a26=_0xe68102;_0x3de7d8[_0x545a26(0x1324)]=_0x592adc['rows']||[];})[_0xe68102(0x146b)](function(){const _0x57ce02=_0xe68102;return _0x2713a1[_0x57ce02(0x1366)][_0x57ce02(0x16b4)]({'userProfileId':_0x3de7d8[_0x57ce02(0x2321)][_0x57ce02(0x209a)],'sectionId':0x12d})[_0x57ce02(0x2945)];})[_0xe68102(0x146b)](function(_0x23de4a){const _0x2c4522=_0xe68102,_0x280bea=_0x23de4a&&_0x23de4a[_0x2c4522(0x19c7)]?_0x23de4a[_0x2c4522(0x19c7)][0x0]:null;if(!_0x280bea){const _0x4fe848=[];let _0x3ea92d=null;_0x3de7d8[_0x2c4522(0x815)]&&(_0x3ea92d=_0x3f65c0()['find'](_0x3de7d8[_0x2c4522(0x1324)],{'id':Number(_0x3de7d8[_0x2c4522(0x815)][_0x2c4522(0xb7c)])}));for(let _0xacfcb3=0x0;_0xacfcb3<_0x3de7d8[_0x2c4522(0x1324)]['length'];_0xacfcb3++){_0x3ea92d&&_0x3de7d8[_0x2c4522(0x1324)][_0xacfcb3]['id']===_0x3ea92d['id']&&(_0x3de7d8[_0x2c4522(0x1324)][_0xacfcb3]['canSelect']=![],_0x4fe848[_0x2c4522(0x1f47)](_0x3de7d8[_0x2c4522(0x1324)][_0xacfcb3]));}_0x3de7d8[_0x2c4522(0x1324)]=_0x4fe848;}else{if(!_0x280bea[_0x2c4522(0x11d2)])return _0x2713a1[_0x2c4522(0xdcc)][_0x2c4522(0x16b4)]({'sectionId':_0x280bea['id']})[_0x2c4522(0x2945)][_0x2c4522(0x146b)](function(_0x4b2f90){const _0xdfa834=_0x2c4522,_0x909acf=_0x3f65c0()[_0xdfa834(0x205)](_0x4b2f90[_0xdfa834(0x19c7)],function(_0xf8da2c){const _0x154c7c=_0xdfa834;return _0x3f65c0()[_0x154c7c(0xc84)](_0x3de7d8[_0x154c7c(0x1324)],{'id':_0xf8da2c['resourceId']});});let _0x38d7e0=null;_0x3de7d8[_0xdfa834(0x815)]&&(_0x38d7e0=_0x3f65c0()[_0xdfa834(0xc84)](_0x3de7d8['lists'],{'id':Number(_0x3de7d8[_0xdfa834(0x815)][_0xdfa834(0xb7c)])}));if(_0x38d7e0&&!_0x3f65c0()[_0xdfa834(0x1360)](_0x909acf,['id',_0x38d7e0['id']])){const _0xde0eff=_0x3f65c0()[_0xdfa834(0xc84)](_0x3de7d8[_0xdfa834(0x1324)],{'id':_0x38d7e0['id']});_0xde0eff[_0xdfa834(0x8ff)]=![],_0x909acf['push'](_0xde0eff);}_0x3de7d8[_0xdfa834(0x1324)]=_0x909acf;});}})['catch'](function(_0x208e41){const _0x25f63b=_0xe68102;_0x562b26['error']({'title':_0x208e41['status']?_0x25f63b(0x262a)+_0x208e41['status']+_0x25f63b(0x1315)+_0x208e41['statusText']:_0x25f63b(0x1a2f),'msg':_0x208e41[_0x25f63b(0x524)]?JSON['stringify'](_0x208e41[_0x25f63b(0x524)]):_0x208e41['toString']()});}),_0x1c72f3[_0xe68102(0x23e0)]('admin')?_0x2713a1[_0xe68102(0x1e3e)][_0xe68102(0x16b4)]({'fields':_0xe68102(0x69a),'sort':_0xe68102(0x19eb),'nolimit':_0xe68102(0x1185)})[_0xe68102(0x2945)]['then'](function(_0x99f853){const _0x447394=_0xe68102;_0x3de7d8[_0x447394(0x23bb)]=_0x99f853[_0x447394(0x19c7)]||[];})[_0xe68102(0x129e)](function(_0x1d3c10){const _0x485362=_0xe68102;_0x562b26[_0x485362(0x1980)]({'title':_0x1d3c10[_0x485362(0x107b)]?_0x485362(0x262a)+_0x1d3c10[_0x485362(0x107b)]+'\x20-\x20'+_0x1d3c10[_0x485362(0x167f)]:_0x485362(0x1e82),'msg':_0x1d3c10['data']?JSON[_0x485362(0x10bb)](_0x1d3c10[_0x485362(0x524)]):_0x1d3c10[_0x485362(0xd5f)]()});}):_0x2713a1['pause']['get']({'fields':'name,id','sort':_0xe68102(0x19eb),'nolimit':_0xe68102(0x1185)})['$promise'][_0xe68102(0x146b)](function(_0x43940b){const _0x330545=_0xe68102;_0x3de7d8['pauses']=_0x43940b[_0x330545(0x19c7)]||[];})[_0xe68102(0x146b)](function(){const _0x1a3990=_0xe68102;return _0x2713a1[_0x1a3990(0x1366)][_0x1a3990(0x16b4)]({'userProfileId':_0x3de7d8[_0x1a3990(0x2321)][_0x1a3990(0x209a)],'sectionId':0x3ed})[_0x1a3990(0x2945)];})[_0xe68102(0x146b)](function(_0x3ff565){const _0xc84be3=_0xe68102,_0xd4c970=_0x3ff565&&_0x3ff565['rows']?_0x3ff565['rows'][0x0]:null;if(!_0xd4c970)_0x3de7d8['pauses']=[];else{if(!_0xd4c970[_0xc84be3(0x11d2)])return _0x2713a1[_0xc84be3(0xdcc)][_0xc84be3(0x16b4)]({'sectionId':_0xd4c970['id']})[_0xc84be3(0x2945)][_0xc84be3(0x146b)](function(_0x914c94){const _0x2dacbe=_0xc84be3,_0x59f1a2=_0x3f65c0()[_0x2dacbe(0x205)](_0x914c94[_0x2dacbe(0x19c7)],function(_0x26fbcd){const _0x40112d=_0x2dacbe;return _0x3f65c0()['find'](_0x3de7d8['pauses'],{'id':_0x26fbcd[_0x40112d(0x18b8)]});});_0x3de7d8['pauses'][_0x2dacbe(0x1df5)](function(_0xbba491){const _0x204b43=_0x2dacbe;!_0x3f65c0()['some'](_0x59f1a2,['id',_0xbba491['id']])&&(_0xbba491['canSelect']=![]),_0x59f1a2[_0x204b43(0x1f47)](_0xbba491);}),_0x3de7d8[_0x2dacbe(0x23bb)]=_0x59f1a2;});}})[_0xe68102(0x129e)](function(_0x12a310){const _0x2cc333=_0xe68102;_0x562b26[_0x2cc333(0x1980)]({'title':_0x12a310[_0x2cc333(0x107b)]?_0x2cc333(0x262a)+_0x12a310[_0x2cc333(0x107b)]+_0x2cc333(0x1315)+_0x12a310['statusText']:'SYSTEM:GETpauses','msg':_0x12a310[_0x2cc333(0x524)]?JSON[_0x2cc333(0x10bb)](_0x12a310['data']):_0x12a310['toString']()});});function _0x4b6994(){const _0xfa8b2c=_0xe68102;_0x3de7d8[_0xfa8b2c(0xcef)]=[],_0x2713a1[_0xfa8b2c(0x815)][_0xfa8b2c(0x1e3)](_0x3de7d8[_0xfa8b2c(0x815)])[_0xfa8b2c(0x2945)][_0xfa8b2c(0x146b)](function(_0x54b7fe){const _0x597364=_0xfa8b2c;_0x3de7d8['whatsappAccounts']['unshift'](_0x54b7fe[_0x597364(0x2488)]()),_0x562b26['success']({'title':_0x597364(0x12e2),'msg':_0x3de7d8[_0x597364(0x815)][_0x597364(0x19eb)]?_0x3de7d8[_0x597364(0x815)][_0x597364(0x19eb)]+_0x597364(0x1386):''}),_0x5d863c(_0x54b7fe);})[_0xfa8b2c(0x129e)](function(_0x333398){const _0x236f50=_0xfa8b2c;if(_0x333398[_0x236f50(0x524)]&&_0x333398[_0x236f50(0x524)][_0x236f50(0xcef)]&&_0x333398[_0x236f50(0x524)][_0x236f50(0xcef)][_0x236f50(0x402)]){_0x3de7d8[_0x236f50(0xcef)]=_0x333398[_0x236f50(0x524)]['errors']||[{'message':_0x333398['toString'](),'type':_0x236f50(0x1e68)}];for(let _0x54ce5c=0x0;_0x54ce5c<_0x333398['data'][_0x236f50(0xcef)]['length'];_0x54ce5c+=0x1){_0x562b26['error']({'title':_0x333398[_0x236f50(0x524)][_0x236f50(0xcef)][_0x54ce5c][_0x236f50(0x1142)],'msg':_0x333398['data'][_0x236f50(0xcef)][_0x54ce5c]['message']});}}else _0x562b26[_0x236f50(0x1980)]({'title':_0x333398[_0x236f50(0x107b)]?_0x236f50(0x262a)+_0x333398[_0x236f50(0x107b)]+_0x236f50(0x1315)+_0x333398[_0x236f50(0x167f)]:_0x236f50(0x1e68),'msg':_0x333398[_0x236f50(0x524)]?JSON[_0x236f50(0x10bb)](_0x333398['data']['message']):_0x333398[_0x236f50(0xd5f)]()});});}function _0x2500e9(){const _0x1b931e=_0xe68102;_0x3de7d8[_0x1b931e(0xcef)]=[],_0x2713a1[_0x1b931e(0x815)][_0x1b931e(0x18e1)]({'id':_0x3de7d8[_0x1b931e(0x815)]['id']},_0x3de7d8[_0x1b931e(0x815)])['$promise'][_0x1b931e(0x146b)](function(_0xe639d3){const _0x7f9eb6=_0x1b931e,_0x11a2cd=_0x3f65c0()[_0x7f9eb6(0xc84)](_0x3de7d8[_0x7f9eb6(0x23c)],{'id':_0xe639d3['id']});_0x11a2cd&&_0x3f65c0()['merge'](_0x11a2cd,_0x3f65c0()['pick'](_0xe639d3['toJSON'](),_0x3f65c0()['keys'](_0x11a2cd))),_0x562b26[_0x7f9eb6(0x1c75)]({'title':'WhatsappAccount\x20properly\x20saved!','msg':_0x3de7d8[_0x7f9eb6(0x815)]['name']?_0x3de7d8[_0x7f9eb6(0x815)][_0x7f9eb6(0x19eb)]+_0x7f9eb6(0x24db):''}),_0x5d863c(_0xe639d3);})[_0x1b931e(0x129e)](function(_0x3922bc){const _0x3c5ffa=_0x1b931e;if(_0x3922bc[_0x3c5ffa(0x524)]&&_0x3922bc[_0x3c5ffa(0x524)][_0x3c5ffa(0xcef)]&&_0x3922bc['data'][_0x3c5ffa(0xcef)][_0x3c5ffa(0x402)]){_0x3de7d8['errors']=_0x3922bc[_0x3c5ffa(0x524)]['errors']||[{'message':_0x3922bc[_0x3c5ffa(0xd5f)](),'type':_0x3c5ffa(0x2816)}];for(let _0x4e2bc4=0x0;_0x4e2bc4<_0x3922bc[_0x3c5ffa(0x524)][_0x3c5ffa(0xcef)]['length'];_0x4e2bc4++){_0x562b26[_0x3c5ffa(0x1980)]({'title':_0x3922bc[_0x3c5ffa(0x524)][_0x3c5ffa(0xcef)][_0x4e2bc4][_0x3c5ffa(0x1142)],'msg':_0x3922bc['data']['errors'][_0x4e2bc4][_0x3c5ffa(0x7fd)]});}}else _0x562b26['error']({'title':_0x3922bc[_0x3c5ffa(0x107b)]?'API:'+_0x3922bc[_0x3c5ffa(0x107b)]+_0x3c5ffa(0x1315)+_0x3922bc[_0x3c5ffa(0x167f)]:_0x3c5ffa(0x2816),'msg':_0x3922bc['data']?JSON[_0x3c5ffa(0x10bb)](_0x3922bc[_0x3c5ffa(0x524)][_0x3c5ffa(0x7fd)]):_0x3922bc[_0x3c5ffa(0xd5f)]()});});}function _0x1bcc9f(_0x5bb4b8){const _0x198669=_0xe68102;_0x3de7d8[_0x198669(0xcef)]=[];const _0x2abdc9=_0xd81702[_0x198669(0x1e8a)]()[_0x198669(0x1189)]('Are\x20you\x20sure?')['content']('The\x20whatsappAccount\x20will\x20be\x20deleted.')[_0x198669(0x4bd)](_0x198669(0x994))['ok'](_0x198669(0x25de))['cancel'](_0x198669(0xcf0))[_0x198669(0x1f27)](_0x5bb4b8);_0xd81702[_0x198669(0x2615)](_0x2abdc9)[_0x198669(0x146b)](function(){const _0x46c6c7=_0x198669;_0x2713a1[_0x46c6c7(0x815)]['delete']({'id':_0x3de7d8[_0x46c6c7(0x815)]['id']})['$promise']['then'](function(){const _0x22d83d=_0x46c6c7;_0x3f65c0()[_0x22d83d(0x2640)](_0x3de7d8[_0x22d83d(0x23c)],{'id':_0x3de7d8[_0x22d83d(0x815)]['id']}),_0x562b26['success']({'title':'WhatsappAccount\x20properly\x20deleted!','msg':(_0x3de7d8['whatsappAccount'][_0x22d83d(0x19eb)]||_0x22d83d(0x815))+'\x20has\x20been\x20deleted!'}),_0x5d863c(_0x3de7d8[_0x22d83d(0x815)]);})[_0x46c6c7(0x129e)](function(_0x5de081){const _0x107000=_0x46c6c7;if(_0x5de081[_0x107000(0x524)]&&_0x5de081['data'][_0x107000(0xcef)]&&_0x5de081['data'][_0x107000(0xcef)][_0x107000(0x402)]){_0x3de7d8[_0x107000(0xcef)]=_0x5de081['data']['errors']||[{'message':_0x5de081[_0x107000(0xd5f)](),'type':'api.whatsappAccount.delete'}];for(let _0x34c8eb=0x0;_0x34c8eb<_0x5de081[_0x107000(0x524)][_0x107000(0xcef)]['length'];_0x34c8eb++){_0x562b26[_0x107000(0x1980)]({'title':_0x5de081[_0x107000(0x524)][_0x107000(0xcef)][_0x34c8eb][_0x107000(0x1142)],'msg':_0x5de081[_0x107000(0x524)][_0x107000(0xcef)][_0x34c8eb][_0x107000(0x7fd)]});}}else _0x562b26[_0x107000(0x1980)]({'title':_0x5de081[_0x107000(0x107b)]?_0x107000(0x262a)+_0x5de081['status']+_0x107000(0x1315)+_0x5de081[_0x107000(0x167f)]:_0x107000(0x1c0a),'msg':_0x5de081[_0x107000(0x524)]?JSON[_0x107000(0x10bb)](_0x5de081[_0x107000(0x524)]['message']):_0x5de081[_0x107000(0x7fd)]||_0x5de081[_0x107000(0xd5f)]()});});},function(){});}function _0x57d663(_0x4b0f6f){return _0x4b0f6f===null?undefined:new Date(_0x4b0f6f);}function _0x5d863c(_0x3936a1){const _0x120c83=_0xe68102;_0xd81702[_0x120c83(0x2458)](_0x3936a1);}}const _0x5d2c89=_0x46139e;;const _0x7c8bc8=_0x4acfac['p']+_0x313a4d(0x1599);;const _0x2e7ae6=_0x4acfac['p']+'src/js/modules/main/apps/whatsapp/views/whatsappAccounts/edit/apps/amazonlex/dialog.html/dialog.html';;const _0x113565=_0x4acfac['p']+'src/js/modules/main/apps/whatsapp/views/whatsappAccounts/edit/apps/autoreply/dialog.html/dialog.html';;const _0x4d85a2=_0x4acfac['p']+'src/js/modules/main/apps/whatsapp/views/whatsappAccounts/edit/apps/close/dialog.html/dialog.html';;const _0x240220=_0x4acfac['p']+_0x313a4d(0x26dd);;const _0x395f2f=_0x4acfac['p']+_0x313a4d(0x662);;const _0x2e9101=_0x4acfac['p']+_0x313a4d(0x13ed);;const _0x4244d4=_0x4acfac['p']+'src/js/modules/main/apps/whatsapp/views/whatsappAccounts/edit/apps/interval/dialog.html/dialog.html';;const _0x3f523a=_0x4acfac['p']+'src/js/modules/main/apps/whatsapp/views/whatsappAccounts/edit/apps/noop/dialog.html/dialog.html';;const _0x586392=_0x4acfac['p']+_0x313a4d(0x1141);;const _0x173b14=_0x4acfac['p']+_0x313a4d(0x2872);;const _0x3320dc=_0x4acfac['p']+_0x313a4d(0x1728);;_0x177666['$inject']=[_0x313a4d(0x247f),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1fe4),_0x313a4d(0xa87)];const _0x23759b={'agent':_0x7c8bc8,'amazonlex':_0x2e7ae6,'autoreply':_0x113565,'close':_0x4d85a2,'dialogflow':_0x240220,'gotoif':_0x395f2f,'gotop':_0x2e9101,'interval':_0x4244d4,'noop':_0x3f523a,'queue':_0x586392,'system':_0x173b14,'tag':_0x3320dc};function _0x177666(_0x35111f,_0x326fec,_0x2aec71,_0x693f0b,_0x1029c0){const _0x4b93bc=_0x313a4d,_0x138237=this;_0x138237[_0x4b93bc(0x2321)]=_0x1029c0[_0x4b93bc(0xb12)](),_0x138237[_0x4b93bc(0x815)]={},_0x138237['whatsappAccountApps']={'count':0x0,'rows':[]},_0x138237[_0x4b93bc(0xf61)]=[],_0x138237[_0x4b93bc(0x2514)],_0x138237[_0x4b93bc(0x1a56)]={'sort':_0x4b93bc(0xa6a)},_0x138237['apps']=_0x3f65c0()[_0x4b93bc(0xa6f)](_0x3f65c0()[_0x4b93bc(0x939)]([{'app':_0x4b93bc(0x1b4e),'appType':_0x4b93bc(0x2765),'types':[_0x4b93bc(0xd85),'custom',_0x4b93bc(0x25f4)],'fields':[],'isApp':![]},{'app':_0x4b93bc(0x309),'appType':_0x4b93bc(0x309),'type':_0x4b93bc(0x309),'icon':_0x4b93bc(0x1205),'interval':'*,*,*,*','required':!![],'isApp':!![],'fields':[{'title':_0x4b93bc(0x2193),'name':_0x4b93bc(0x175d),'type':_0x4b93bc(0xa8d),'param':0x0}]},{'app':_0x4b93bc(0x1d47),'appType':_0x4b93bc(0x1d47),'type':_0x4b93bc(0x1d47),'icon':_0x4b93bc(0x1205),'interval':_0x4b93bc(0x1559),'required':!![],'isApp':!![],'extraApi':[{'name':_0x4b93bc(0x85b),'field':{'name':_0x4b93bc(0x212),'key':'name'},'route':_0x4b93bc(0x212),'filters':{'fields':_0x4b93bc(0x7a7),'sort':_0x4b93bc(0x19eb),'nolimit':!![]},'permissions':{'section':0x3f4}}],'fields':[{'title':_0x4b93bc(0x1d8b),'name':'command','type':_0x4b93bc(0xa8d),'required':!![],'param':0x0},{'title':_0x4b93bc(0x182),'name':'variable','type':_0x4b93bc(0x28c6),'values':_0x4b93bc(0x85b),'value':_0x4b93bc(0x1566),'option':'variable.name','defaultValues':[{'value':'\x27\x27','option':'None'}],'defaultValue':'\x27\x27','param':0x1}]},{'app':'gotop','appType':'gotop','type':_0x4b93bc(0x151b),'icon':_0x4b93bc(0x1205),'interval':_0x4b93bc(0x1559),'isApp':!![],'fields':[{'title':_0x4b93bc(0x23d8),'name':_0x4b93bc(0xa6a),'type':_0x4b93bc(0x181),'required':!![],'min':0x0,'param':0x0}]},{'app':_0x4b93bc(0x609),'appType':'gotoif','type':_0x4b93bc(0x609),'icon':_0x4b93bc(0x1205),'interval':_0x4b93bc(0x1559),'isApp':!![],'fields':[{'title':_0x4b93bc(0x15db),'name':_0x4b93bc(0x115f),'type':_0x4b93bc(0xa8d),'required':!![],'param':0x0},{'title':_0x4b93bc(0x1d78),'name':'truepriority','type':'number','min':0x1,'required':!![],'param':0x1},{'title':_0x4b93bc(0x28e1),'name':_0x4b93bc(0x28e1),'type':_0x4b93bc(0x181),'min':0x1,'required':!![],'param':0x2}]},{'app':_0x4b93bc(0x1c72),'appType':_0x4b93bc(0x1c72),'foreignKey':_0x4b93bc(0x469),'type':_0x4b93bc(0x1c72),'icon':_0x4b93bc(0x1205),'interval':_0x4b93bc(0x1559),'isApp':!![],'extraApi':[{'name':_0x4b93bc(0x1b32),'field':{'name':_0x4b93bc(0x1c72),'key':'name'},'route':_0x4b93bc(0x1b3b),'filters':{'fields':_0x4b93bc(0x45e),'sort':_0x4b93bc(0x19eb),'nolimit':!![]},'permissions':{'section':0x836}}],'fields':[{'title':_0x4b93bc(0xe26),'name':_0x4b93bc(0x1c72),'type':_0x4b93bc(0x28c6),'values':'queues','value':_0x4b93bc(0x15b0),'option':'queue.name','defaultValue':0x12c,'required':!![],'param':0x0},{'title':_0x4b93bc(0x5cc),'name':_0x4b93bc(0xa9c),'type':_0x4b93bc(0x181),'max':0x20c49b,'min':0x0,'required':!![],'defaultValue':0x12c,'param':0x1}]},{'app':_0x4b93bc(0x1755),'appType':_0x4b93bc(0x1755),'type':'agent','foreignKey':_0x4b93bc(0xea2),'icon':'icon-apps','interval':_0x4b93bc(0x1559),'isApp':!![],'extraApi':[{'name':_0x4b93bc(0x23c0),'field':{'name':_0x4b93bc(0x1755),'key':_0x4b93bc(0x19eb)},'route':_0x4b93bc(0xe7b),'filters':{'fields':_0x4b93bc(0x7a7),'sort':_0x4b93bc(0x19eb),'nolimit':!![],'role':_0x4b93bc(0x1755)},'permissions':{'section':0xca}}],'fields':[{'title':'Agent','name':'agent','type':_0x4b93bc(0x28c6),'values':'agents','value':_0x4b93bc(0x264f),'option':_0x4b93bc(0x264f),'required':!![],'param':0x0},{'title':_0x4b93bc(0x5cc),'name':'timeout','type':'number','max':0x20c49b,'min':0x0,'required':!![],'defaultValue':0x1e,'param':0x1}]},{'app':'autoreply','appType':_0x4b93bc(0x1713),'type':'autoreply','icon':_0x4b93bc(0x1205),'interval':_0x4b93bc(0x1559),'isApp':!![],'fields':[{'title':_0x4b93bc(0x583),'name':_0x4b93bc(0x583),'type':_0x4b93bc(0xa5f),'defaultValue':'1','values':[{'option':_0x4b93bc(0x1d98),'value':'1'},{'option':_0x4b93bc(0x132f),'value':'0'}],'required':!![],'param':0x0},{'title':_0x4b93bc(0x23d7),'name':'text','type':_0x4b93bc(0x2350),'required':!![],'param':0x1}]},{'app':_0x4b93bc(0xa7c),'appType':'close','type':_0x4b93bc(0xa7c),'icon':'icon-apps','interval':_0x4b93bc(0x1559),'isApp':!![],'fields':[{'title':_0x4b93bc(0x673),'name':_0x4b93bc(0x9e1),'type':_0x4b93bc(0xa8d),'required':!![],'param':0x0}]},{'app':_0x4b93bc(0xa80),'appType':'tag','type':_0x4b93bc(0xa80),'foreignKey':_0x4b93bc(0xb0a),'icon':'icon-apps','interval':_0x4b93bc(0x1559),'isApp':!![],'extraApi':[{'name':_0x4b93bc(0x1b86),'field':{'name':_0x4b93bc(0xa80),'key':_0x4b93bc(0x19eb)},'route':'tag','filters':{'fields':'id,name','sort':_0x4b93bc(0x19eb),'nolimit':!![]},'permissions':{'section':0x3f0}}],'fields':[{'title':'Tag','name':_0x4b93bc(0xa80),'type':'apiselect','values':_0x4b93bc(0x1b86),'value':_0x4b93bc(0x1a96),'option':_0x4b93bc(0x1a96),'required':!![],'param':0x0}]},{'app':_0x4b93bc(0xeaa),'appType':'dialogflow','type':_0x4b93bc(0xeaa),'icon':_0x4b93bc(0x1205),'interval':_0x4b93bc(0x1559),'isApp':!![],'fields':[{'title':_0x4b93bc(0x16b7),'name':_0x4b93bc(0x2293),'type':_0x4b93bc(0xa8d),'required':!![],'param':0x0},{'title':_0x4b93bc(0x90b),'name':_0x4b93bc(0x90b),'type':_0x4b93bc(0xa5f),'defaultValue':_0x4b93bc(0x2383),'values':[{'option':_0x4b93bc(0x3d5),'value':'\x27da\x27'},{'option':_0x4b93bc(0x1ce8),'value':'\x27en\x27'},{'option':_0x4b93bc(0x592),'value':_0x4b93bc(0x1491)},{'option':'Italian','value':_0x4b93bc(0x9f9)},{'option':_0x4b93bc(0xae7),'value':_0x4b93bc(0x2374)},{'option':_0x4b93bc(0x12ea),'value':_0x4b93bc(0xbe9)},{'option':_0x4b93bc(0x12f),'value':_0x4b93bc(0x27ee)},{'option':_0x4b93bc(0xf90),'value':'\x27ja\x27'},{'option':_0x4b93bc(0x11cd),'value':_0x4b93bc(0x1df9)},{'option':'Dutch','value':_0x4b93bc(0x7ae)},{'option':_0x4b93bc(0xcb4),'value':_0x4b93bc(0x74f)},{'option':_0x4b93bc(0x119b),'value':'\x27pt\x27'},{'option':_0x4b93bc(0x1e34),'value':_0x4b93bc(0x1505)},{'option':_0x4b93bc(0x25d4),'value':_0x4b93bc(0x18d0)},{'option':'Swedish','value':_0x4b93bc(0x1717)},{'option':_0x4b93bc(0x1449),'value':_0x4b93bc(0x136c)},{'option':'Ukrainian','value':_0x4b93bc(0x289e)},{'option':_0x4b93bc(0x8d3),'value':_0x4b93bc(0x16cc)},{'option':_0x4b93bc(0x1f35),'value':_0x4b93bc(0x1a86)},{'option':'Chinese\x20(Traditional)','value':_0x4b93bc(0x1ca7)}],'required':!![],'param':0x1},{'title':_0x4b93bc(0xaf3),'name':_0x4b93bc(0xaf3),'type':'textarea','maxlength':0xff,'required':![],'param':0x2,'help':!![]}]},{'app':_0x4b93bc(0x9bb),'appType':'dialogflowv2','type':_0x4b93bc(0xa46),'icon':'icon-apps','interval':'*,*,*,*','isApp':!![],'fields':[{'title':_0x4b93bc(0x1bdb),'name':_0x4b93bc(0x153a),'type':_0x4b93bc(0xa8d),'required':!![],'param':0x0},{'title':_0x4b93bc(0x4ff),'name':_0x4b93bc(0x1392),'type':_0x4b93bc(0xa8d),'required':!![],'param':0x1},{'title':_0x4b93bc(0x1590),'name':'privateKey','type':_0x4b93bc(0x2350),'required':!![],'param':0x2},{'title':'language','name':'language','type':'select','defaultValue':'\x27en\x27','values':[{'value':_0x4b93bc(0x1a86),'option':_0x4b93bc(0x196f)},{'value':'\x27zh-CN\x27','option':_0x4b93bc(0x8d3)},{'value':_0x4b93bc(0x1ca7),'option':'Chinese\x20(Traditional)'},{'value':_0x4b93bc(0x17c9),'option':_0x4b93bc(0x3d5)},{'value':_0x4b93bc(0x7ae),'option':_0x4b93bc(0x1d32)},{'value':_0x4b93bc(0x2383),'option':_0x4b93bc(0x1ce8)},{'value':_0x4b93bc(0x17ee),'option':_0x4b93bc(0x24ea)},{'value':_0x4b93bc(0x3a0),'option':_0x4b93bc(0x425)},{'value':'\x27en-GB\x27','option':_0x4b93bc(0x3c9)},{'value':_0x4b93bc(0x2171),'option':'English\x20(India)'},{'value':_0x4b93bc(0x1d0f),'option':_0x4b93bc(0x4e8)},{'value':_0x4b93bc(0xbe9),'option':_0x4b93bc(0x12ea)},{'value':_0x4b93bc(0x135b),'option':_0x4b93bc(0x3e1)},{'value':_0x4b93bc(0x1906),'option':_0x4b93bc(0x1c51)},{'value':_0x4b93bc(0x1491),'option':_0x4b93bc(0x592)},{'value':_0x4b93bc(0x16b3),'option':_0x4b93bc(0x28c1)},{'value':'\x27id\x27','option':_0x4b93bc(0x12f)},{'value':'\x27it\x27','option':_0x4b93bc(0x98f)},{'value':_0x4b93bc(0x27d7),'option':_0x4b93bc(0xf90)},{'value':_0x4b93bc(0x1df9),'option':_0x4b93bc(0x1dc)},{'value':_0x4b93bc(0x74f),'option':_0x4b93bc(0xcb4)},{'value':_0x4b93bc(0x3f1),'option':_0x4b93bc(0xe06)},{'value':_0x4b93bc(0x211e),'option':_0x4b93bc(0x1aaf)},{'value':_0x4b93bc(0xe6d),'option':'Portuguese\x20(Portugal)'},{'value':_0x4b93bc(0x18d0),'option':_0x4b93bc(0x25d4)},{'value':'\x27es\x27','option':_0x4b93bc(0xae7)},{'value':_0x4b93bc(0x1bb6),'option':_0x4b93bc(0x12c9)},{'value':_0x4b93bc(0x1370),'option':'Spanish\x20(Spain)'},{'value':_0x4b93bc(0x752),'option':_0x4b93bc(0x2081)},{'value':_0x4b93bc(0x136c),'option':_0x4b93bc(0x1449)},{'value':'\x27tr\x27','option':'Turkish'},{'value':'\x27uk\x27','option':_0x4b93bc(0x13bf)}],'required':!![],'param':0x3},{'title':'welcomemessage','name':_0x4b93bc(0xaf3),'type':_0x4b93bc(0x2350),'maxlength':0xff,'param':0x4,'help':!![]}]},{'app':_0x4b93bc(0x17d6),'appType':'amazonlex','type':_0x4b93bc(0x17d6),'icon':_0x4b93bc(0x1205),'interval':_0x4b93bc(0x1559),'isApp':!![],'fields':[{'title':_0x4b93bc(0x1651),'name':_0x4b93bc(0x1651),'type':'text','required':!![],'param':0x0},{'title':_0x4b93bc(0x252),'name':_0x4b93bc(0x252),'type':_0x4b93bc(0xa8d),'required':!![],'param':0x1},{'title':_0x4b93bc(0x1039),'name':_0x4b93bc(0x1039),'type':'select','defaultValue':_0x4b93bc(0x2947),'values':[{'option':_0x4b93bc(0x18e2),'value':_0x4b93bc(0x2947)},{'option':'US\x20West\x20(Oregon)','value':_0x4b93bc(0x22fd)},{'option':_0x4b93bc(0x6a8),'value':_0x4b93bc(0x2940)},{'option':'Asia\x20Pacific\x20(Sydney)','value':_0x4b93bc(0x304)}],'required':!![],'param':0x2},{'title':'botname','name':_0x4b93bc(0x8dd),'type':_0x4b93bc(0xa8d),'required':!![],'param':0x3},{'title':_0x4b93bc(0xaf3),'name':_0x4b93bc(0xaf3),'type':_0x4b93bc(0x2350),'maxlength':0xff,'required':![],'param':0x4,'help':!![]}]}],[_0x4b93bc(0x1873)]),{'isApp':![]}),_0x138237[_0x4b93bc(0x25f4)]={'group':{'name':_0x4b93bc(0x156c),'pull':_0x4b93bc(0x12cc)},'animation':0x64,'sort':![]},_0x138237['applications']={'group':{'name':'opt2','put':_0x4b93bc(0x156c)},'animation':0x64,'onAdd':function(_0x4ca004){const _0x56db8a=_0x4b93bc;_0x19877b(_0x4ca004,_0x4ca004[_0x56db8a(0x154d)]);},'onSort':function(){_0x5f2bff();}},_0x138237[_0x4b93bc(0x5aa)]=_0x45e96a,_0x138237[_0x4b93bc(0x19b4)]=_0x5636e7,_0x138237[_0x4b93bc(0x522)]=_0x43dd85,_0x138237['editWhatsappAccountApp']=_0x19877b,_0x138237[_0x4b93bc(0x285b)]=_0x3de058,_0x138237[_0x4b93bc(0x25b4)]=_0xab06e,_0x138237[_0x4b93bc(0x13b8)]=_0x33a2de,_0x138237[_0x4b93bc(0x1450)]=_0x5f2bff,_0x138237[_0x4b93bc(0x1c1c)]=_0x373100;function _0x45e96a(_0x31de28,_0x296938){const _0x1e7344=_0x4b93bc;_0x138237[_0x1e7344(0x815)]=_0x31de28,_0x138237[_0x1e7344(0x2514)]=typeof _0x296938!==_0x1e7344(0x2274)?_0x296938:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x138237[_0x1e7344(0x25fc)][_0x1e7344(0x2862)]=!_0x138237[_0x1e7344(0x2514)]['canEdit']?!![]:![],_0x138237['query']['id']=_0x31de28['id'],_0x138237[_0x1e7344(0x1a56)][_0x1e7344(0x16f6)]=!![],_0x138237['query'][_0x1e7344(0x6c5)]=!![],_0x138237[_0x1e7344(0x522)](),_0x138237[_0x1e7344(0x1c1c)]();}function _0x5636e7(_0x10468a,_0x55222c,_0x580cea){const _0xac1763=_0x4b93bc,_0x76d7f9=_0x326fec[_0xac1763(0x1e8a)]()[_0xac1763(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20application?')['htmlContent'](_0xac1763(0x16d3)+_0x10468a[_0xac1763(0x1873)]+_0xac1763(0x252f)+_0xac1763(0xe01))[_0xac1763(0x4bd)](_0xac1763(0x847))[_0xac1763(0x1f27)](_0x580cea)['ok']('OK')[_0xac1763(0x6c3)](_0xac1763(0x39a));_0x326fec[_0xac1763(0x2615)](_0x76d7f9)[_0xac1763(0x146b)](function(){const _0x217a11=_0xac1763;_0x138237['whatsappAccountApps'][_0x217a11(0x19c7)][_0x217a11(0x1f7d)](_0x55222c,0x1),_0x5f2bff();},function(){const _0x31cb81=_0xac1763;console[_0x31cb81(0x1a74)](_0x31cb81(0x39a));});}function _0x19877b(_0x366634,_0x1c5917){const _0x7ce3ef=_0x4b93bc;if(_0x138237[_0x7ce3ef(0x437)][_0x7ce3ef(0x19c7)]['length']){const _0x1f4003=_0x138237[_0x7ce3ef(0x437)][_0x7ce3ef(0x19c7)][_0x1c5917]?_0x138237['whatsappAccountApps'][_0x7ce3ef(0x19c7)][_0x1c5917]:_0x138237[_0x7ce3ef(0x437)]['rows'][0x0],_0xf6ad20=(_0x1f4003['appType']||_0x1f4003['app'])[_0x7ce3ef(0x256e)]();_0x326fec[_0x7ce3ef(0x2615)]({'controller':'EditWhatsappAccountApp'+_0xf6ad20+_0x7ce3ef(0x76a),'controllerAs':'vm','templateUrl':_0x23759b[_0xf6ad20],'parent':angular[_0x7ce3ef(0x1853)](_0x2aec71[_0x7ce3ef(0x2586)]),'targetEvent':_0x366634,'clickOutsideToClose':!![],'locals':{'whatsappAccountApp':_0x1f4003,'whatsappAccount':_0x138237[_0x7ce3ef(0x815)],'crudPermissions':_0x138237[_0x7ce3ef(0x2514)]}})[_0x7ce3ef(0x146b)](function(_0x39a4d7){const _0x13f4c2=_0x7ce3ef;_0x39a4d7&&(_0x39a4d7['id']?_0x138237[_0x13f4c2(0x437)][_0x13f4c2(0x19c7)][_0x1c5917]=_0x39a4d7:_0x138237[_0x13f4c2(0x437)][_0x13f4c2(0x19c7)]['splice'](_0x1c5917,0x0,_0x39a4d7),_0x5f2bff());})[_0x7ce3ef(0x129e)](function(_0x1d9456){const _0x5791b5=_0x7ce3ef;_0x1d9456&&_0x693f0b[_0x5791b5(0x1980)]({'title':_0x1d9456['status']?'API:'+_0x1d9456[_0x5791b5(0x107b)]+'\x20-\x20'+_0x1d9456[_0x5791b5(0x167f)]:_0x5791b5(0x10a0),'msg':_0x1d9456[_0x5791b5(0x524)]?JSON['stringify'](_0x1d9456[_0x5791b5(0x524)]):_0x1d9456['toString']()});});}}function _0x3de058(_0x485a54,_0x1b6370){const _0x7bf2b6=_0x4b93bc;if(_0x138237[_0x7bf2b6(0x437)][_0x7bf2b6(0x19c7)]['length']){const _0x4e44c8=_0x138237[_0x7bf2b6(0x437)][_0x7bf2b6(0x19c7)][_0x1b6370]?_0x138237['whatsappAccountApps'][_0x7bf2b6(0x19c7)][_0x1b6370]:_0x138237[_0x7bf2b6(0x437)][_0x7bf2b6(0x19c7)][0x0];_0x326fec[_0x7bf2b6(0x2615)]({'controller':_0x7bf2b6(0x1fad),'controllerAs':'vm','templateUrl':_0x4244d4,'parent':angular[_0x7bf2b6(0x1853)](_0x2aec71['body']),'targetEvent':_0x485a54,'clickOutsideToClose':!![],'locals':{'interval':{'interval':_0x4e44c8[_0x7bf2b6(0x2765)],'IntervalId':_0x4e44c8['IntervalId'],'application':!![]},'intervals':[],'crudPermissions':_0x138237['crudPermissions']}})['then'](function(_0x441359){const _0x5ca74c=_0x7bf2b6;_0x441359&&(_0x4e44c8[_0x5ca74c(0x2765)]=_0x441359['interval']||_0x5ca74c(0x1559),_0x4e44c8['IntervalId']=_0x441359[_0x5ca74c(0x1ff2)]||null,_0x5f2bff());});}}function _0x5f2bff(){const _0x12f6af=_0x4b93bc;let _0x3c6d90=0x1,_0x11a538=[];for(let _0x582885=0x0;_0x582885<_0x138237['whatsappAccountApps'][_0x12f6af(0x19c7)]['length'];_0x582885++){const _0x21742c=_0x138237[_0x12f6af(0x437)][_0x12f6af(0x19c7)][_0x582885],_0x5088f1=[],_0x3019df=[];_0x21742c[_0x12f6af(0x278f)]=_0x21742c['interval']!==_0x12f6af(0x1559)?[_0x21742c['interval']]:_0x21742c[_0x12f6af(0x1ff2)]?_0x3f65c0()['map'](_0x3f65c0()[_0x12f6af(0x1dd6)](_0x138237[_0x12f6af(0x278f)][_0x12f6af(0x19c7)],{'IntervalId':_0x21742c[_0x12f6af(0x1ff2)]}),_0x12f6af(0x2765)):[],_0x21742c[_0x12f6af(0x1ac1)]=_0x138237[_0x12f6af(0x815)]['context'],_0x21742c[_0x12f6af(0x19b2)]=_0x138237[_0x12f6af(0x815)]['exten'],_0x21742c[_0x12f6af(0x1142)]&&(_0x21742c[_0x12f6af(0x1142)]=_0x21742c['type'][_0x12f6af(0x256e)]()),_0x21742c[_0x12f6af(0xa6a)]=_0x5088f1['length']?_0x3f65c0()[_0x12f6af(0x22f2)](_0x5088f1)[_0x12f6af(0xa6a)]+0x1:_0x3c6d90,_0x3c6d90=(_0x3019df[_0x12f6af(0x402)]?_0x3f65c0()[_0x12f6af(0x22f2)](_0x3019df)[_0x12f6af(0xa6a)]:_0x21742c[_0x12f6af(0xa6a)])+0x1,_0x11a538=_0x3f65c0()['concat'](_0x11a538,_0x5088f1,[_0x21742c],_0x3019df);}_0x35111f['whatsappAccount'][_0x12f6af(0x1711)]({'id':_0x138237[_0x12f6af(0x815)]['id']},_0x3f65c0()[_0x12f6af(0x939)](_0x11a538,_0x12f6af(0xa6a)))[_0x12f6af(0x2945)]['then'](function(_0x18cd5a){const _0x4ba4b9=_0x12f6af;_0x138237[_0x4ba4b9(0x437)][_0x4ba4b9(0x19c7)]=_0x18cd5a[_0x4ba4b9(0x19c7)];})['catch'](function(_0x592723){const _0x1bc173=_0x12f6af;console[_0x1bc173(0x1980)](_0x592723);});}function _0x5863af(_0x2281ca){const _0x3fd09c=_0x4b93bc;_0x138237[_0x3fd09c(0x437)]=_0x2281ca||{'count':0x0,'rows':[]};}function _0x373100(){const _0x116e5e=_0x4b93bc;return _0x35111f[_0x116e5e(0x2765)]['get']({'fields':_0x116e5e(0x2749)})['$promise']['then'](function(_0x4d7d2b){_0x138237['intervals']=_0x4d7d2b;})[_0x116e5e(0x129e)](function(_0x8d083a){const _0x96b442=_0x116e5e;console[_0x96b442(0x1980)](_0x8d083a);});}function _0x43dd85(){const _0x72169c=_0x4b93bc;_0x138237[_0x72169c(0xb9c)]=_0x35111f['whatsappAccount'][_0x72169c(0x261b)](_0x138237['query'],_0x5863af)['$promise'];}function _0xab06e(_0x51e6ce){const _0x5094ed=_0x4b93bc;_0x3f65c0()[_0x5094ed(0x2640)](_0x138237['whatsappAccountApps'][_0x5094ed(0x19c7)],{'id':_0x51e6ce['id']}),_0x5f2bff(),_0x693f0b[_0x5094ed(0x1c75)]({'title':_0x5094ed(0x1c3a),'msg':_0x51e6ce[_0x5094ed(0x1873)]?_0x51e6ce[_0x5094ed(0x1873)]+_0x5094ed(0x23e3):''});}function _0x33a2de(_0x14bc4c){const _0x5ce6bb=_0x4b93bc,_0x4e3ecf=_0x326fec[_0x5ce6bb(0x1e8a)]()['title'](_0x5ce6bb(0x1d9f))['htmlContent'](_0x5ce6bb(0x16d3)+_0x138237[_0x5ce6bb(0xf61)][_0x5ce6bb(0x402)]+_0x5ce6bb(0x2452)+_0x5ce6bb(0xe01))['ariaLabel'](_0x5ce6bb(0x2674))[_0x5ce6bb(0x1f27)](_0x14bc4c)['ok']('OK')[_0x5ce6bb(0x6c3)](_0x5ce6bb(0x39a));_0x326fec[_0x5ce6bb(0x2615)](_0x4e3ecf)[_0x5ce6bb(0x146b)](function(){const _0x479d5e=_0x5ce6bb;_0x138237['selectedWhatsappAccountApps'][_0x479d5e(0x1df5)](function(_0x17741e){const _0x415e2e=_0x479d5e;_0x3f65c0()[_0x415e2e(0x2640)](_0x138237[_0x415e2e(0x437)][_0x415e2e(0x19c7)],{'id':_0x17741e['id']});}),_0x138237[_0x479d5e(0xf61)]=[],_0x5f2bff();});}}const _0x4c8e05=_0x177666;;_0x40149c[_0x313a4d(0x11c2)]=['$mdDialog','$q','toasty',_0x313a4d(0x247f),_0x313a4d(0x815),_0x313a4d(0x23c),_0x313a4d(0xfc4),_0x313a4d(0x214b),'Auth',_0x313a4d(0x2514)];function _0x40149c(_0x47de46,_0x5e9b4d,_0x42777b,_0x2141b6,_0xd3889d,_0x36aece,_0x117e9e,_0x2e93e8,_0x27c4ce,_0x5cd51e){const _0x453612=_0x313a4d,_0x5053a7=this;_0x5053a7['currentUser']=_0x27c4ce['getCurrentUser'](),_0x5053a7[_0x453612(0x815)]=_0xd3889d,_0x5053a7[_0x453612(0x2514)]=_0x5cd51e,_0x5053a7[_0x453612(0xfc4)]=_0x117e9e,_0x5053a7[_0x453612(0x1fd6)]=[],_0x5053a7['allowedItems']=[],_0x5053a7[_0x453612(0x1ecf)]=[],_0x5053a7[_0x453612(0x2e8)]=[],_0x5053a7['startingSelectedItems']=[],_0x5053a7[_0x453612(0x132)]=![],_0x5053a7[_0x453612(0x3d4)]=_0x5a42fe,_0x5053a7[_0x453612(0x14c2)]=_0x552ca8,_0x5053a7[_0x453612(0x13f3)]=_0x19ba55,_0x5053a7[_0x453612(0x1f8a)]={'readOnly':!_0x5053a7[_0x453612(0x2514)]['canEdit'],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x453612(0x19eb),'line1':_0x453612(0x286a),'line2':[_0x453612(0x19eb),_0x453612(0x113f)],'line3':'','labelAll':_0x2e93e8[_0x453612(0xde)]('APP.ALL_AGENTS'),'labelSelected':_0x2e93e8['instant'](_0x453612(0xf73)),'transferCallback':function(){const _0x21575f=_0x453612,_0xdb491b=_0x3f65c0()[_0x21575f(0x1883)](_0x5053a7[_0x21575f(0xa65)],_0x5053a7['selectedItems'],'id');_0x5053a7[_0x21575f(0x132)]=_0x3f65c0()['isEmpty'](_0xdb491b)?![]:!![];}};function _0x5a42fe(){const _0x16fe78=_0x453612;return _0x27c4ce[_0x16fe78(0x23e0)](_0x16fe78(0x174b))?_0x36828a()[_0x16fe78(0x129e)](function(_0xbb2d4a){const _0x1bdb27=_0x16fe78;_0x42777b[_0x1bdb27(0x1980)]({'title':_0xbb2d4a['status']?_0x1bdb27(0x262a)+_0xbb2d4a[_0x1bdb27(0x107b)]+_0x1bdb27(0x1315)+_0xbb2d4a[_0x1bdb27(0x167f)]:_0x1bdb27(0x557),'msg':_0xbb2d4a[_0x1bdb27(0x107b)]?JSON[_0x1bdb27(0x10bb)](_0xbb2d4a[_0x1bdb27(0x524)]):_0xbb2d4a[_0x1bdb27(0xd5f)]()});}):_0x20d9e9()[_0x16fe78(0x146b)](function(_0x24a9b7){const _0x3983d3=_0x16fe78;return _0x5053a7[_0x3983d3(0x2146)]=_0x24a9b7,_0x36828a();})[_0x16fe78(0x129e)](function(_0x38e617){const _0x108089=_0x16fe78;_0x42777b[_0x108089(0x1980)]({'title':_0x38e617[_0x108089(0x107b)]?_0x108089(0x262a)+_0x38e617[_0x108089(0x107b)]+_0x108089(0x1315)+_0x38e617['statusText']:_0x108089(0x557),'msg':_0x38e617[_0x108089(0x107b)]?JSON['stringify'](_0x38e617[_0x108089(0x524)]):_0x38e617[_0x108089(0xd5f)]()});});}function _0x20d9e9(){return _0x5e9b4d(function(_0x317b2e,_0x2a21f1){const _0x243eb1=a0_0x3bb9;_0x2141b6[_0x243eb1(0x1366)][_0x243eb1(0x16b4)]({'userProfileId':_0x5053a7[_0x243eb1(0x2321)]['userProfileId'],'name':_0x243eb1(0xca8)})[_0x243eb1(0x2945)][_0x243eb1(0x146b)](function(_0x12978c){const _0x48ed97=_0x243eb1,_0x6b4eb1=_0x12978c&&_0x12978c[_0x48ed97(0x19c7)]?_0x12978c[_0x48ed97(0x19c7)][0x0]:null;_0x317b2e(_0x6b4eb1);})[_0x243eb1(0x129e)](function(_0x4f89e5){_0x2a21f1(_0x4f89e5);});});}function _0x36828a(){return _0x5e9b4d(function(_0x1e57e9,_0x495586){const _0x326a18=a0_0x3bb9;return _0x1edf9a()[_0x326a18(0x146b)](function(_0x4492b8){const _0x20a376=_0x326a18;return _0x5053a7['items']=_0x4492b8[_0x20a376(0x19c7)]?_0x4492b8[_0x20a376(0x19c7)]:[],_0x27c4ce[_0x20a376(0x23e0)](_0x20a376(0x174b))?_0x4492b8:_0x5053a7[_0x20a376(0x2146)]?_0x5053a7[_0x20a376(0x2146)][_0x20a376(0x11d2)]?_0x4492b8:_0x533e44():null;})['then'](function(_0x38fce8){const _0x3d0f56=_0x326a18,_0x4c9d6f=_0x38fce8&&_0x38fce8[_0x3d0f56(0x19c7)]?_0x38fce8[_0x3d0f56(0x19c7)]:[];return _0x5053a7[_0x3d0f56(0xc4e)]=_0x3f65c0()[_0x3d0f56(0x205)](_0x4c9d6f,function(_0x3a1f77){const _0x2bef39=_0x3d0f56;return _0x3f65c0()[_0x2bef39(0xc84)](_0x5053a7[_0x2bef39(0x1fd6)],{'id':_0x27c4ce[_0x2bef39(0x23e0)]('admin')||_0x5053a7[_0x2bef39(0x2146)]['autoAssociation']?_0x3a1f77['id']:_0x3a1f77[_0x2bef39(0x18b8)]});}),_0x5053a7[_0x3d0f56(0x2e8)]=angular[_0x3d0f56(0x235a)](_0x5053a7[_0x3d0f56(0xc4e)]),_0x5053a7['items'][_0x3d0f56(0x1df5)](function(_0x2bc294){const _0x142f79=_0x3d0f56,_0x423125=_0x3f65c0()[_0x142f79(0xc84)](_0x5053a7['allowedItems'],{'id':_0x2bc294['id']});_0x27c4ce[_0x142f79(0x23e0)]('admin')?_0x2bc294['isValid']=!![]:_0x2bc294['isValid']=typeof _0x423125!==_0x142f79(0x2274)?!![]:![];}),_0x33ae99();})[_0x326a18(0x146b)](function(_0x3f30f3){const _0x3db21d=_0x326a18,_0x1aa083=_0x3f30f3&&_0x3f30f3[_0x3db21d(0x19c7)]?_0x3f30f3[_0x3db21d(0x19c7)]:[];_0x5053a7['selectedItems']=_0x3f65c0()[_0x3db21d(0x205)](_0x1aa083,function(_0x1aa49c){const _0x507f59=_0x3db21d,_0x413cf3=_0x3f65c0()[_0x507f59(0xc84)](_0x5053a7[_0x507f59(0x1fd6)],{'id':_0x1aa49c['id']});return _0x413cf3[_0x507f59(0x188d)]=_0x1aa49c['UserWhatsappAccount']?_0x507f59(0x2505)+_0x1aa49c['UserWhatsappAccount'][_0x507f59(0x188d)]:'',_0x413cf3[_0x507f59(0x113f)]=typeof _0x1aa49c['internal']!=='undefined'?'<'+_0x1aa49c['internal']+'>':'',_0x413cf3;}),_0x5053a7[_0x3db21d(0xa65)]=angular[_0x3db21d(0x235a)](_0x5053a7[_0x3db21d(0x1ecf)]),_0x5053a7['dualMultiselectOptions'][_0x3db21d(0x1ecf)]=_0x5053a7[_0x3db21d(0x1ecf)],_0x5053a7[_0x3db21d(0x1f8a)][_0x3db21d(0x1fd6)]=_0x3f65c0()[_0x3db21d(0x2796)](_0x5053a7[_0x3db21d(0xc4e)],_0x5053a7[_0x3db21d(0x1f8a)][_0x3db21d(0x1ecf)],'id'),_0x1e57e9();})[_0x326a18(0x129e)](function(_0x453028){_0x495586(_0x453028);});});}function _0x533e44(){return _0x5e9b4d(function(_0x4cbb70,_0x7cd6fb){const _0x30c299=a0_0x3bb9;return _0x2141b6[_0x30c299(0xdcc)]['get']({'sectionId':_0x5053a7[_0x30c299(0x2146)]['id'],'nolimit':!![]})[_0x30c299(0x2945)]['then'](function(_0x3d87ab){_0x4cbb70(_0x3d87ab);})['catch'](function(_0x3c88a2){_0x7cd6fb(_0x3c88a2);});});}function _0x33ae99(){return _0x5e9b4d(function(_0x2672f3,_0x1279de){const _0x4cccb1=a0_0x3bb9;return _0x2141b6[_0x4cccb1(0x815)][_0x4cccb1(0x333)]({'id':_0x5053a7[_0x4cccb1(0x815)]['id'],'fields':'id,name,internal,fullname','nolimit':!![],'role':_0x4cccb1(0x1755)})[_0x4cccb1(0x2945)][_0x4cccb1(0x146b)](function(_0x30d827){_0x2672f3(_0x30d827);})['catch'](function(_0x951d7b){_0x1279de(_0x951d7b);});});}function _0x1edf9a(){return _0x5e9b4d(function(_0x1ff168,_0x5d2952){const _0x287c76=a0_0x3bb9;return _0x2141b6[_0x287c76(0xe7b)]['get']({'fields':_0x287c76(0x1569),'nolimit':!![],'role':'agent'})[_0x287c76(0x2945)][_0x287c76(0x146b)](function(_0x4e2c5e){_0x1ff168(_0x4e2c5e);})['catch'](function(_0x5eff4b){_0x5d2952(_0x5eff4b);});});}function _0x580a6a(_0x4a8c90){return _0x5e9b4d(function(_0x292f2e,_0x53740f){const _0x4e555d=a0_0x3bb9;_0x3f65c0()['isEmpty'](_0x4a8c90)?_0x292f2e():_0x2141b6['whatsappAccount'][_0x4e555d(0x135e)]({'id':_0x5053a7['whatsappAccount']['id'],'ids':_0x3f65c0()[_0x4e555d(0x205)](_0x4a8c90,'id')})[_0x4e555d(0x2945)]['then'](function(){_0x292f2e();})[_0x4e555d(0x129e)](function(_0x2f513a){_0x53740f(_0x2f513a);});});}function _0x4dfb23(_0x2ef0bd){return _0x5e9b4d(function(_0x55fd1b,_0x3d7e98){const _0x212887=a0_0x3bb9;_0x3f65c0()[_0x212887(0x2635)](_0x2ef0bd)?_0x55fd1b():_0x2141b6['whatsappAccount'][_0x212887(0x1f53)]({'id':_0x5053a7[_0x212887(0x815)]['id'],'ids':_0x3f65c0()[_0x212887(0x205)](_0x2ef0bd,'id')})['$promise'][_0x212887(0x146b)](function(){_0x55fd1b();})['catch'](function(_0x5e0a59){_0x3d7e98(_0x5e0a59);});});}function _0x552ca8(){const _0x511bc7=_0x453612,_0x36c629=_0x3f65c0()[_0x511bc7(0x2796)](_0x5053a7['startingSelectedItems'],_0x5053a7[_0x511bc7(0x1ecf)],'id'),_0x9601c3=_0x3f65c0()[_0x511bc7(0x2796)](_0x5053a7[_0x511bc7(0x1ecf)],_0x5053a7[_0x511bc7(0xa65)],'id');return _0x4dfb23(_0x36c629)[_0x511bc7(0x146b)](function(){return _0x580a6a(_0x9601c3);})['then'](function(){const _0x1a82df=_0x511bc7;_0x5053a7[_0x1a82df(0x132)]=![],_0x5053a7['startingAllowedItems']=angular['copy'](_0x5053a7['allowedItems']),_0x5053a7[_0x1a82df(0xa65)]=angular[_0x1a82df(0x235a)](_0x5053a7['selectedItems']),_0x42777b[_0x1a82df(0x1c75)]({'title':_0x1a82df(0x4c0),'msg':_0x1a82df(0x26be)});})[_0x511bc7(0x129e)](function(_0x45a9d2){const _0x19f6d4=_0x511bc7;_0x42777b[_0x19f6d4(0x1980)]({'title':_0x45a9d2[_0x19f6d4(0x107b)]?'API:'+_0x45a9d2['status']+_0x19f6d4(0x1315)+_0x45a9d2[_0x19f6d4(0x167f)]:'SYSTEM:LISTS_ASSOCIATION','msg':_0x45a9d2[_0x19f6d4(0x107b)]?JSON[_0x19f6d4(0x10bb)](_0x45a9d2[_0x19f6d4(0x524)]):_0x45a9d2[_0x19f6d4(0xd5f)]()});});}function _0x19ba55(){const _0x22909e=_0x453612;_0x47de46[_0x22909e(0x2458)]();}}const _0x5e79c8=_0x40149c;;_0x579e88[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),'whatsappAccountApp',_0x313a4d(0x815),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x579e88(_0x1e8f1d,_0x36a856,_0x3342eb,_0x2cba47,_0x1da26e,_0x23cc64,_0x976879,_0x1f35ed){const _0xfd268b=_0x313a4d,_0x2d8588=this;_0x2d8588[_0xfd268b(0x2321)]=_0x976879['getCurrentUser'](),_0x2d8588['errors']=[],_0x2d8588[_0xfd268b(0x1189)]=_0xfd268b(0x1955)+(_0x2cba47[_0xfd268b(0x8f2)]||_0x2cba47[_0xfd268b(0x1873)])['toUpperCase'](),_0x2d8588[_0xfd268b(0x1755)]=angular[_0xfd268b(0x235a)](_0x2cba47),_0x2d8588['crudPermissions']=_0x1f35ed,_0x2d8588[_0xfd268b(0x855)]={};if(_0x2d8588['agent'][_0xfd268b(0x168a)])switch(_0x2d8588['agent']['appType']?_0x2d8588['agent'][_0xfd268b(0x8f2)][_0xfd268b(0x256e)]():_0x2d8588[_0xfd268b(0x1755)]['app'][_0xfd268b(0x256e)]()){case _0xfd268b(0x1802):break;case _0xfd268b(0xeaa):{const _0x530dc3=_0x2d8588[_0xfd268b(0x1755)]['appdata'][_0xfd268b(0x10c8)](',');_0x2d8588[_0xfd268b(0x1755)][_0xfd268b(0x2293)]=_0x530dc3[0x0],_0x2d8588[_0xfd268b(0x1755)][_0xfd268b(0x90b)]=_0x530dc3[0x1],_0x2d8588[_0xfd268b(0x1755)][_0xfd268b(0xaf3)]=_0x530dc3[_0xfd268b(0x1298)](0x2,_0x530dc3[_0xfd268b(0x402)])[_0xfd268b(0xb47)](',');}break;case'dialogflowv2':{const _0x23597f=_0x2d8588[_0xfd268b(0x1755)][_0xfd268b(0x168a)][_0xfd268b(0x10c8)](',');_0x2d8588[_0xfd268b(0x1755)][_0xfd268b(0x153a)]=_0x23597f[0x0],_0x2d8588[_0xfd268b(0x1755)][_0xfd268b(0x1392)]=_0x23597f[0x1],_0x2d8588[_0xfd268b(0x1755)][_0xfd268b(0x2217)]=_0x23597f[0x2],_0x2d8588[_0xfd268b(0x1755)]['language']=_0x23597f[0x3],_0x2d8588['agent'][_0xfd268b(0xaf3)]=_0x23597f['slice'](0x4,_0x23597f['length'])[_0xfd268b(0xb47)](',');}break;case'amazonlex':{const _0x1b5e24=_0x2d8588[_0xfd268b(0x1755)]['appdata']['split'](',');_0x2d8588['agent'][_0xfd268b(0x1651)]=_0x1b5e24[0x0],_0x2d8588['agent'][_0xfd268b(0x252)]=_0x1b5e24[0x1],_0x2d8588[_0xfd268b(0x1755)]['lexregion']=_0x1b5e24[0x2],_0x2d8588[_0xfd268b(0x1755)][_0xfd268b(0x8dd)]=_0x1b5e24[0x3],_0x2d8588[_0xfd268b(0x1755)][_0xfd268b(0xaf3)]=_0x1b5e24[_0xfd268b(0x1298)](0x4,_0x1b5e24[_0xfd268b(0x402)])[_0xfd268b(0xb47)](',');}break;case _0xfd268b(0x1713):{const _0x3caeb4=_0x2d8588[_0xfd268b(0x1755)]['appdata'][_0xfd268b(0x10c8)](',');_0x2d8588[_0xfd268b(0x1755)][_0xfd268b(0x583)]=isNaN(_0x3caeb4[0x0])?_0x3caeb4[0x0]:parseInt(_0x3caeb4[0x0],0xa),_0x2d8588[_0xfd268b(0x1755)]['text']=_0x3caeb4[_0xfd268b(0x1298)](0x1,_0x3caeb4[_0xfd268b(0x402)])[_0xfd268b(0xb47)](',');}break;case _0xfd268b(0x7fd):_0x2d8588[_0xfd268b(0x1755)]['text']=_0x2d8588[_0xfd268b(0x1755)]['appdata'];break;case _0xfd268b(0x26ba):_0x2d8588[_0xfd268b(0x1755)]['name']=_0x2d8588[_0xfd268b(0x1755)]['appdata'][_0xfd268b(0x10c8)]('=')[0x0],_0x2d8588['agent'][_0xfd268b(0x175d)]=_0x2d8588['agent'][_0xfd268b(0x168a)][_0xfd268b(0x10c8)]('=')[0x1];break;case'agi':_0x2d8588[_0xfd268b(0x1755)]['project']=_0x2d8588[_0xfd268b(0x1755)][_0xfd268b(0x168a)];break;default:{const _0x3b0e48=_0x2d8588[_0xfd268b(0x1755)][_0xfd268b(0x168a)][_0xfd268b(0x10c8)](',');_0x2d8588['agent'][_0xfd268b(0x1755)]=_0x3f65c0()[_0xfd268b(0x2635)](_0x3b0e48[0x0])?_0x3b0e48[0x0]:isNaN(_0x3b0e48[0x0])?_0x3b0e48[0x0]:parseInt(_0x3b0e48[0x0],0xa),_0x2d8588[_0xfd268b(0x1755)][_0xfd268b(0xa9c)]=_0x3f65c0()[_0xfd268b(0x2635)](_0x3b0e48[0x1])?_0x3b0e48[0x1]:isNaN(_0x3b0e48[0x1])?_0x3b0e48[0x1]:parseInt(_0x3b0e48[0x1],0xa);}break;}else _0x2d8588['agent'][_0xfd268b(0xa9c)]=0x1e;_0x2d8588[_0xfd268b(0x1755)][_0xfd268b(0x1142)]&&_0x2d8588[_0xfd268b(0x1755)]['type'][_0xfd268b(0x256e)]()===_0xfd268b(0xc9c)&&_0x2d8588[_0xfd268b(0x1755)][_0xfd268b(0x8f2)]['toLowerCase']()===_0xfd268b(0x3bb)&&(_0x2d8588[_0xfd268b(0x1755)][_0xfd268b(0x1e12)]=_0x2d8588[_0xfd268b(0x1755)][_0xfd268b(0x1d55)]?_0x2d8588[_0xfd268b(0x1755)][_0xfd268b(0x1d55)][_0xfd268b(0x10c8)]('$')[0x0]:undefined,_0x2d8588[_0xfd268b(0x1755)]['callerId']=_0x2d8588[_0xfd268b(0x1755)][_0xfd268b(0x11be)]?_0xfd268b(0x1b60)+_0x2d8588[_0xfd268b(0x1755)]['callerID']:undefined);_0x2d8588[_0xfd268b(0x7de)]=_0x26074e,_0x2d8588[_0xfd268b(0x13f3)]=_0x369d60,_0x976879[_0xfd268b(0x23e0)]('admin')?_0x23cc64[_0xfd268b(0xe7b)][_0xfd268b(0x16b4)]({'fields':_0xfd268b(0x7a7),'sort':_0xfd268b(0x19eb),'nolimit':_0xfd268b(0x1185),'role':_0xfd268b(0x1755)})[_0xfd268b(0x2945)][_0xfd268b(0x146b)](function(_0x4ff86f){const _0x2ac49e=_0xfd268b;_0x2d8588[_0x2ac49e(0x23c0)]=_0x4ff86f[_0x2ac49e(0x19c7)]||[];})[_0xfd268b(0x129e)](function(_0x1100c0){const _0x24f0c4=_0xfd268b;_0x3342eb[_0x24f0c4(0x1980)]({'title':_0x1100c0['status']?'API:'+_0x1100c0[_0x24f0c4(0x107b)]+_0x24f0c4(0x1315)+_0x1100c0[_0x24f0c4(0x167f)]:_0x24f0c4(0x557),'msg':_0x1100c0[_0x24f0c4(0x524)]?JSON[_0x24f0c4(0x10bb)](_0x1100c0[_0x24f0c4(0x524)]):_0x1100c0['toString']()});}):_0x23cc64['user'][_0xfd268b(0x16b4)]({'fields':_0xfd268b(0x7a7),'sort':_0xfd268b(0x19eb),'nolimit':_0xfd268b(0x1185),'role':_0xfd268b(0x1755)})[_0xfd268b(0x2945)][_0xfd268b(0x146b)](function(_0x23d6ac){const _0x26855b=_0xfd268b;_0x2d8588[_0x26855b(0x23c0)]=_0x23d6ac[_0x26855b(0x19c7)]||[];})['then'](function(){const _0x5f3fc5=_0xfd268b;return _0x23cc64[_0x5f3fc5(0x1366)][_0x5f3fc5(0x16b4)]({'userProfileId':_0x2d8588[_0x5f3fc5(0x2321)][_0x5f3fc5(0x209a)],'sectionId':0xca})['$promise'];})[_0xfd268b(0x146b)](function(_0x658c4b){const _0x260e04=_0xfd268b,_0x566b9a=_0x658c4b&&_0x658c4b[_0x260e04(0x19c7)]?_0x658c4b[_0x260e04(0x19c7)][0x0]:null;if(!_0x566b9a){const _0x1665d1=[];let _0x8845e2=null;_0x2d8588['agent']&&(_0x8845e2=_0x3f65c0()[_0x260e04(0xc84)](_0x2d8588[_0x260e04(0x23c0)],{'name':_0x2d8588[_0x260e04(0x1755)][_0x260e04(0x1755)]}));for(let _0x550938=0x0;_0x550938<_0x2d8588[_0x260e04(0x23c0)][_0x260e04(0x402)];_0x550938++){_0x8845e2&&_0x2d8588[_0x260e04(0x23c0)][_0x550938]['id']===_0x8845e2['id']&&(_0x2d8588[_0x260e04(0x23c0)][_0x550938]['canSelect']=![],_0x1665d1[_0x260e04(0x1f47)](_0x2d8588[_0x260e04(0x23c0)][_0x550938]));}_0x2d8588[_0x260e04(0x23c0)]=_0x1665d1;}else{if(!_0x566b9a['autoAssociation'])return _0x23cc64['userProfileResource']['get']({'sectionId':_0x566b9a['id']})[_0x260e04(0x2945)][_0x260e04(0x146b)](function(_0x5c9718){const _0x4214ac=_0x260e04,_0x10103b=_0x3f65c0()[_0x4214ac(0x205)](_0x5c9718[_0x4214ac(0x19c7)],function(_0x3e0097){const _0x4020d4=_0x4214ac;return _0x3f65c0()['find'](_0x2d8588[_0x4020d4(0x23c0)],{'id':_0x3e0097[_0x4020d4(0x18b8)]});});let _0x45e90e=null;_0x2d8588[_0x4214ac(0x1755)]&&(_0x45e90e=_0x3f65c0()['find'](_0x2d8588[_0x4214ac(0x23c0)],{'name':_0x2d8588[_0x4214ac(0x1755)][_0x4214ac(0x1755)]}));if(_0x45e90e&&!_0x3f65c0()[_0x4214ac(0x1360)](_0x10103b,['id',_0x45e90e['id']])){const _0x1dde08=_0x3f65c0()[_0x4214ac(0xc84)](_0x2d8588[_0x4214ac(0x23c0)],{'id':_0x45e90e['id']});_0x1dde08[_0x4214ac(0x8ff)]=![],_0x10103b[_0x4214ac(0x1f47)](_0x1dde08);}_0x2d8588[_0x4214ac(0x23c0)]=_0x10103b;});}})[_0xfd268b(0x129e)](function(_0x12be12){const _0x5aa813=_0xfd268b;_0x3342eb[_0x5aa813(0x1980)]({'title':_0x12be12[_0x5aa813(0x107b)]?_0x5aa813(0x262a)+_0x12be12[_0x5aa813(0x107b)]+_0x5aa813(0x1315)+_0x12be12[_0x5aa813(0x167f)]:'SYSTEM:GETagents','msg':_0x12be12[_0x5aa813(0x524)]?JSON[_0x5aa813(0x10bb)](_0x12be12[_0x5aa813(0x524)]):_0x12be12[_0x5aa813(0xd5f)]()});});function _0x26074e(){const _0x4db96c=_0xfd268b;_0x2d8588[_0x4db96c(0xcef)]=[];const _0x1a7fa7=[];_0x2d8588[_0x4db96c(0x1755)][_0x4db96c(0x1142)]&&_0x2d8588[_0x4db96c(0x1755)]['type'][_0x4db96c(0x256e)]()===_0x4db96c(0xc9c)&&_0x2d8588['agent'][_0x4db96c(0x8f2)]===_0x4db96c(0x118b)&&(_0x2d8588[_0x4db96c(0x1755)]['phone']=_0x1da26e[_0x4db96c(0x1274)]?(_0x2d8588[_0x4db96c(0x1755)][_0x4db96c(0x1e12)]||'')+_0x4db96c(0x2147)+_0x1da26e[_0x4db96c(0x1274)]+'}':(_0x2d8588[_0x4db96c(0x1755)][_0x4db96c(0x1e12)]||'')+'${EXTEN}',_0x1da26e['recordingFormat']!==_0x4db96c(0x1642)?_0x2d8588[_0x4db96c(0x1755)][_0x4db96c(0xa08)][_0x4db96c(0x172b)](_0x4db96c(0x1ea4))<0x0&&(_0x2d8588[_0x4db96c(0x1755)][_0x4db96c(0xa08)]+=_0x4db96c(0x1ea4)):_0x2d8588[_0x4db96c(0x1755)][_0x4db96c(0xa08)]=_0x2d8588[_0x4db96c(0x1755)][_0x4db96c(0xa08)][_0x4db96c(0x5f4)]('U(xcally-mixmonitor-context)',''));const _0x1e8f70=_0x3f65c0()[_0x4db96c(0xc84)](_0x2d8588[_0x4db96c(0x23c0)],{'name':_0x2d8588[_0x4db96c(0x1755)][_0x4db96c(0x1755)]});_0x1e8f70&&(_0x2d8588[_0x4db96c(0x1755)][_0x4db96c(0xea2)]=_0x1e8f70['id']);if(_0x2d8588[_0x4db96c(0x1755)][_0x4db96c(0x8f2)]&&_0x2d8588[_0x4db96c(0x1755)][_0x4db96c(0x8f2)]==='custom'){}else switch((_0x2d8588[_0x4db96c(0x1755)][_0x4db96c(0x1873)]||_0x2d8588[_0x4db96c(0x1755)][_0x4db96c(0x8f2)])[_0x4db96c(0x256e)]()){case _0x4db96c(0x26ba):_0x2d8588[_0x4db96c(0x1755)][_0x4db96c(0x168a)]=_0x2d8588[_0x4db96c(0x1755)][_0x4db96c(0x19eb)]+'='+_0x2d8588[_0x4db96c(0x1755)][_0x4db96c(0x175d)];break;case'custom':break;default:_0x1a7fa7[0x0]=_0x2d8588['agent'][_0x4db96c(0x1755)],_0x1a7fa7[0x1]=_0x2d8588['agent']['timeout'],_0x2d8588[_0x4db96c(0x1755)][_0x4db96c(0x168a)]=_0x1a7fa7[_0x4db96c(0xb47)](',');}_0x369d60(_0x2d8588['agent']);}function _0x369d60(_0x46f4f3){const _0x350b68=_0xfd268b;_0x1e8f1d[_0x350b68(0x2458)](_0x46f4f3);}}const _0x456b4d=_0x579e88;;_0x1915ec[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x13c5),'whatsappAccount','api',_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x1915ec(_0x44e718,_0xc645b4,_0x209728,_0x153d62,_0x313764,_0x45722c,_0x155c1d,_0x261e04){const _0x56954a=_0x313a4d,_0x485387=this;_0x485387[_0x56954a(0x2321)]=_0x155c1d['getCurrentUser'](),_0x485387[_0x56954a(0xcef)]=[],_0x485387['title']=_0x56954a(0x1955)+(_0x153d62['appType']||_0x153d62[_0x56954a(0x1873)])[_0x56954a(0x1c37)](),_0x485387[_0x56954a(0x17d6)]=angular['copy'](_0x153d62),_0x485387[_0x56954a(0x2514)]=_0x261e04,_0x485387['hasModulePermissions']={};if(_0x485387[_0x56954a(0x17d6)][_0x56954a(0x168a)])switch(_0x485387[_0x56954a(0x17d6)]['appType']?_0x485387['amazonlex'][_0x56954a(0x8f2)][_0x56954a(0x256e)]():_0x485387[_0x56954a(0x17d6)][_0x56954a(0x1873)][_0x56954a(0x256e)]()){case _0x56954a(0x1802):break;case _0x56954a(0xeaa):{const _0x44e587=_0x485387[_0x56954a(0x17d6)][_0x56954a(0x168a)][_0x56954a(0x10c8)](',');_0x485387[_0x56954a(0x17d6)][_0x56954a(0x2293)]=_0x44e587[0x0],_0x485387[_0x56954a(0x17d6)][_0x56954a(0x90b)]=_0x44e587[0x1],_0x485387[_0x56954a(0x17d6)][_0x56954a(0xaf3)]=_0x44e587[_0x56954a(0x1298)](0x2,_0x44e587[_0x56954a(0x402)])[_0x56954a(0xb47)](',');}break;case'dialogflowv2':{const _0x3bdae2=_0x485387[_0x56954a(0x17d6)]['appdata'][_0x56954a(0x10c8)](',');_0x485387['amazonlex'][_0x56954a(0x153a)]=_0x3bdae2[0x0],_0x485387[_0x56954a(0x17d6)][_0x56954a(0x1392)]=_0x3bdae2[0x1],_0x485387[_0x56954a(0x17d6)][_0x56954a(0x2217)]=_0x3bdae2[0x2],_0x485387[_0x56954a(0x17d6)]['language']=_0x3bdae2[0x3],_0x485387[_0x56954a(0x17d6)][_0x56954a(0xaf3)]=_0x3bdae2['slice'](0x4,_0x3bdae2[_0x56954a(0x402)])['join'](',');}break;case _0x56954a(0x17d6):{const _0x40508b=_0x485387[_0x56954a(0x17d6)]['appdata']['split'](',');_0x485387[_0x56954a(0x17d6)]['accesskeyid']=_0x40508b[0x0],_0x485387['amazonlex'][_0x56954a(0x252)]=_0x40508b[0x1],_0x485387[_0x56954a(0x17d6)][_0x56954a(0x1039)]=_0x40508b[0x2],_0x485387[_0x56954a(0x17d6)][_0x56954a(0x8dd)]=_0x40508b[0x3],_0x485387[_0x56954a(0x17d6)]['welcomemessage']=_0x40508b[_0x56954a(0x1298)](0x4,_0x40508b[_0x56954a(0x402)])[_0x56954a(0xb47)](',');}break;case _0x56954a(0x1713):{const _0xbfa0af=_0x485387[_0x56954a(0x17d6)]['appdata']['split'](',');_0x485387[_0x56954a(0x17d6)][_0x56954a(0x583)]=isNaN(_0xbfa0af[0x0])?_0xbfa0af[0x0]:parseInt(_0xbfa0af[0x0],0xa),_0x485387[_0x56954a(0x17d6)][_0x56954a(0xa8d)]=_0xbfa0af['slice'](0x1,_0xbfa0af['length'])[_0x56954a(0xb47)](',');}break;case _0x56954a(0x7fd):_0x485387[_0x56954a(0x17d6)][_0x56954a(0xa8d)]=_0x485387['amazonlex'][_0x56954a(0x168a)];break;case'set':_0x485387['amazonlex'][_0x56954a(0x19eb)]=_0x485387[_0x56954a(0x17d6)][_0x56954a(0x168a)][_0x56954a(0x10c8)]('=')[0x0],_0x485387['amazonlex'][_0x56954a(0x175d)]=_0x485387[_0x56954a(0x17d6)][_0x56954a(0x168a)][_0x56954a(0x10c8)]('=')[0x1];break;case _0x56954a(0x1ecb):_0x485387['amazonlex']['project']=_0x485387[_0x56954a(0x17d6)][_0x56954a(0x168a)];break;default:{const _0x3ba4a5=_0x485387[_0x56954a(0x17d6)][_0x56954a(0x168a)][_0x56954a(0x10c8)](',');_0x485387[_0x56954a(0x17d6)][_0x56954a(0x1651)]=_0x3f65c0()[_0x56954a(0x2635)](_0x3ba4a5[0x0])?_0x3ba4a5[0x0]:isNaN(_0x3ba4a5[0x0])?_0x3ba4a5[0x0]:parseInt(_0x3ba4a5[0x0],0xa),_0x485387[_0x56954a(0x17d6)][_0x56954a(0x252)]=_0x3f65c0()[_0x56954a(0x2635)](_0x3ba4a5[0x1])?_0x3ba4a5[0x1]:isNaN(_0x3ba4a5[0x1])?_0x3ba4a5[0x1]:parseInt(_0x3ba4a5[0x1],0xa),_0x485387[_0x56954a(0x17d6)]['lexregion']=_0x3f65c0()[_0x56954a(0x2635)](_0x3ba4a5[0x2])?_0x3ba4a5[0x2]:isNaN(_0x3ba4a5[0x2])?_0x3ba4a5[0x2]:parseInt(_0x3ba4a5[0x2],0xa),_0x485387['amazonlex']['botname']=_0x3f65c0()[_0x56954a(0x2635)](_0x3ba4a5[0x3])?_0x3ba4a5[0x3]:isNaN(_0x3ba4a5[0x3])?_0x3ba4a5[0x3]:parseInt(_0x3ba4a5[0x3],0xa),_0x485387['amazonlex'][_0x56954a(0xaf3)]=_0x3f65c0()[_0x56954a(0x2635)](_0x3ba4a5[0x4])?_0x3ba4a5[0x4]:isNaN(_0x3ba4a5[0x4])?_0x3ba4a5[0x4]:parseInt(_0x3ba4a5[0x4],0xa);}break;}else _0x485387[_0x56954a(0x17d6)]['lexregion']=_0x56954a(0x20a9);_0x485387[_0x56954a(0x17d6)][_0x56954a(0x1142)]&&_0x485387[_0x56954a(0x17d6)][_0x56954a(0x1142)]['toLowerCase']()==='outbound'&&_0x485387[_0x56954a(0x17d6)][_0x56954a(0x8f2)][_0x56954a(0x256e)]()===_0x56954a(0x3bb)&&(_0x485387[_0x56954a(0x17d6)][_0x56954a(0x1e12)]=_0x485387[_0x56954a(0x17d6)][_0x56954a(0x1d55)]?_0x485387[_0x56954a(0x17d6)]['phone'][_0x56954a(0x10c8)]('$')[0x0]:undefined,_0x485387[_0x56954a(0x17d6)][_0x56954a(0x1d43)]=_0x485387[_0x56954a(0x17d6)][_0x56954a(0x11be)]?_0x56954a(0x1b60)+_0x485387[_0x56954a(0x17d6)][_0x56954a(0x11be)]:undefined);_0x485387[_0x56954a(0x7de)]=_0x481f20,_0x485387[_0x56954a(0x13f3)]=_0x58d685;function _0x481f20(){const _0x5d477f=_0x56954a;_0x485387['errors']=[];const _0x751ea=[];_0x485387[_0x5d477f(0x17d6)][_0x5d477f(0x1142)]&&_0x485387[_0x5d477f(0x17d6)][_0x5d477f(0x1142)][_0x5d477f(0x256e)]()===_0x5d477f(0xc9c)&&_0x485387[_0x5d477f(0x17d6)][_0x5d477f(0x8f2)]===_0x5d477f(0x118b)&&(_0x485387[_0x5d477f(0x17d6)]['phone']=_0x313764[_0x5d477f(0x1274)]?(_0x485387['amazonlex'][_0x5d477f(0x1e12)]||'')+_0x5d477f(0x2147)+_0x313764[_0x5d477f(0x1274)]+'}':(_0x485387[_0x5d477f(0x17d6)][_0x5d477f(0x1e12)]||'')+_0x5d477f(0x20df),_0x313764[_0x5d477f(0x1425)]!==_0x5d477f(0x1642)?_0x485387[_0x5d477f(0x17d6)][_0x5d477f(0xa08)][_0x5d477f(0x172b)]('U(xcally-mixmonitor-context)')<0x0&&(_0x485387['amazonlex'][_0x5d477f(0xa08)]+=_0x5d477f(0x1ea4)):_0x485387[_0x5d477f(0x17d6)][_0x5d477f(0xa08)]=_0x485387[_0x5d477f(0x17d6)][_0x5d477f(0xa08)]['replace']('U(xcally-mixmonitor-context)',''));if(_0x485387['amazonlex'][_0x5d477f(0x8f2)]&&_0x485387[_0x5d477f(0x17d6)][_0x5d477f(0x8f2)]===_0x5d477f(0x1802)){}else switch((_0x485387['amazonlex'][_0x5d477f(0x1873)]||_0x485387[_0x5d477f(0x17d6)][_0x5d477f(0x8f2)])[_0x5d477f(0x256e)]()){case _0x5d477f(0x26ba):_0x485387[_0x5d477f(0x17d6)]['appdata']=_0x485387[_0x5d477f(0x17d6)][_0x5d477f(0x19eb)]+'='+_0x485387[_0x5d477f(0x17d6)]['value'];break;case _0x5d477f(0x1802):break;default:_0x751ea[0x0]=_0x485387[_0x5d477f(0x17d6)][_0x5d477f(0x1651)],_0x751ea[0x1]=_0x485387['amazonlex'][_0x5d477f(0x252)],_0x751ea[0x2]=_0x485387[_0x5d477f(0x17d6)][_0x5d477f(0x1039)],_0x751ea[0x3]=_0x485387['amazonlex'][_0x5d477f(0x8dd)],_0x751ea[0x4]=_0x485387[_0x5d477f(0x17d6)][_0x5d477f(0xaf3)],_0x485387[_0x5d477f(0x17d6)][_0x5d477f(0x168a)]=_0x751ea[_0x5d477f(0xb47)](',');}_0x58d685(_0x485387[_0x5d477f(0x17d6)]);}function _0x58d685(_0x2855cc){const _0x50fc4e=_0x56954a;_0x44e718[_0x50fc4e(0x2458)](_0x2855cc);}}const _0x4b9b3b=_0x1915ec;;_0x3bf868[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x13c5),'whatsappAccount',_0x313a4d(0x247f),'Auth',_0x313a4d(0x2514)];function _0x3bf868(_0xb01b6d,_0x48ee4d,_0x3ebc35,_0x1dbb44,_0x214879,_0x27ed9e,_0x9a1e18,_0x3c059d){const _0x172038=_0x313a4d,_0x2e9586=this;_0x2e9586[_0x172038(0x2321)]=_0x9a1e18[_0x172038(0xb12)](),_0x2e9586[_0x172038(0xcef)]=[],_0x2e9586[_0x172038(0x1189)]=_0x172038(0x1955)+(_0x1dbb44[_0x172038(0x8f2)]||_0x1dbb44[_0x172038(0x1873)])[_0x172038(0x1c37)](),_0x2e9586['autoreply']=angular[_0x172038(0x235a)](_0x1dbb44),_0x2e9586['crudPermissions']=_0x3c059d,_0x2e9586['hasModulePermissions']={};if(_0x2e9586[_0x172038(0x1713)][_0x172038(0x168a)])switch(_0x2e9586[_0x172038(0x1713)][_0x172038(0x8f2)]?_0x2e9586[_0x172038(0x1713)][_0x172038(0x8f2)][_0x172038(0x256e)]():_0x2e9586[_0x172038(0x1713)][_0x172038(0x1873)][_0x172038(0x256e)]()){case _0x172038(0x1802):break;case _0x172038(0xeaa):{const _0x3945eb=_0x2e9586[_0x172038(0x1713)][_0x172038(0x168a)][_0x172038(0x10c8)](',');_0x2e9586['autoreply']['key']=_0x3945eb[0x0],_0x2e9586[_0x172038(0x1713)]['language']=_0x3945eb[0x1],_0x2e9586[_0x172038(0x1713)][_0x172038(0xaf3)]=_0x3945eb['slice'](0x2,_0x3945eb['length'])[_0x172038(0xb47)](',');}break;case _0x172038(0xa46):{const _0x15339b=_0x2e9586[_0x172038(0x1713)][_0x172038(0x168a)][_0x172038(0x10c8)](',');_0x2e9586[_0x172038(0x1713)][_0x172038(0x153a)]=_0x15339b[0x0],_0x2e9586[_0x172038(0x1713)]['clientEmail']=_0x15339b[0x1],_0x2e9586[_0x172038(0x1713)][_0x172038(0x2217)]=_0x15339b[0x2],_0x2e9586[_0x172038(0x1713)][_0x172038(0x90b)]=_0x15339b[0x3],_0x2e9586[_0x172038(0x1713)][_0x172038(0xaf3)]=_0x15339b[_0x172038(0x1298)](0x4,_0x15339b['length'])[_0x172038(0xb47)](',');}break;case'amazonlex':{const _0x495f16=_0x2e9586[_0x172038(0x1713)][_0x172038(0x168a)][_0x172038(0x10c8)](',');_0x2e9586[_0x172038(0x1713)][_0x172038(0x1651)]=_0x495f16[0x0],_0x2e9586['autoreply'][_0x172038(0x252)]=_0x495f16[0x1],_0x2e9586['autoreply'][_0x172038(0x1039)]=_0x495f16[0x2],_0x2e9586['autoreply'][_0x172038(0x8dd)]=_0x495f16[0x3],_0x2e9586[_0x172038(0x1713)]['welcomemessage']=_0x495f16[_0x172038(0x1298)](0x4,_0x495f16[_0x172038(0x402)])['join'](',');}break;case _0x172038(0x1713):{const _0x57b8dd=_0x2e9586[_0x172038(0x1713)][_0x172038(0x168a)][_0x172038(0x10c8)](',');_0x2e9586[_0x172038(0x1713)][_0x172038(0x583)]=isNaN(_0x57b8dd[0x0])?_0x57b8dd[0x0]:parseInt(_0x57b8dd[0x0],0xa),_0x2e9586[_0x172038(0x1713)][_0x172038(0xa8d)]=_0x57b8dd[_0x172038(0x1298)](0x1,_0x57b8dd['length'])[_0x172038(0xb47)](',');}break;case _0x172038(0x7fd):_0x2e9586['autoreply'][_0x172038(0xa8d)]=_0x2e9586['autoreply'][_0x172038(0x168a)];break;case _0x172038(0x26ba):_0x2e9586[_0x172038(0x1713)]['name']=_0x2e9586[_0x172038(0x1713)]['appdata'][_0x172038(0x10c8)]('=')[0x0],_0x2e9586['autoreply'][_0x172038(0x175d)]=_0x2e9586[_0x172038(0x1713)][_0x172038(0x168a)][_0x172038(0x10c8)]('=')[0x1];break;case _0x172038(0x1ecb):_0x2e9586[_0x172038(0x1713)][_0x172038(0x9e0)]=_0x2e9586['autoreply'][_0x172038(0x168a)];break;default:{const _0x25f728=_0x2e9586[_0x172038(0x1713)]['appdata'][_0x172038(0x10c8)](',');_0x2e9586[_0x172038(0x1713)][_0x172038(0x583)]=_0x3f65c0()[_0x172038(0x2635)](_0x25f728[0x0])?_0x25f728[0x0]:isNaN(_0x25f728[0x0])?_0x25f728[0x0]:parseInt(_0x25f728[0x0],0xa),_0x2e9586[_0x172038(0x1713)][_0x172038(0xa8d)]=_0x3f65c0()[_0x172038(0x2635)](_0x25f728[0x1])?_0x25f728[0x1]:isNaN(_0x25f728[0x1])?_0x25f728[0x1]:parseInt(_0x25f728[0x1],0xa);}break;}else _0x2e9586[_0x172038(0x1713)][_0x172038(0x583)]=0x1;_0x2e9586['autoreply'][_0x172038(0x1142)]&&_0x2e9586[_0x172038(0x1713)][_0x172038(0x1142)][_0x172038(0x256e)]()===_0x172038(0xc9c)&&_0x2e9586[_0x172038(0x1713)][_0x172038(0x8f2)][_0x172038(0x256e)]()===_0x172038(0x3bb)&&(_0x2e9586[_0x172038(0x1713)][_0x172038(0x1e12)]=_0x2e9586[_0x172038(0x1713)]['phone']?_0x2e9586[_0x172038(0x1713)][_0x172038(0x1d55)][_0x172038(0x10c8)]('$')[0x0]:undefined,_0x2e9586['autoreply'][_0x172038(0x1d43)]=_0x2e9586[_0x172038(0x1713)]['callerID']?_0x172038(0x1b60)+_0x2e9586['autoreply']['callerID']:undefined);_0x2e9586[_0x172038(0x7de)]=_0x329d93,_0x2e9586[_0x172038(0x13f3)]=_0x3b9a65;function _0x329d93(){const _0x8ed0c4=_0x172038;_0x2e9586['errors']=[];const _0x626190=[];_0x2e9586['autoreply'][_0x8ed0c4(0x1142)]&&_0x2e9586[_0x8ed0c4(0x1713)][_0x8ed0c4(0x1142)][_0x8ed0c4(0x256e)]()==='outbound'&&_0x2e9586[_0x8ed0c4(0x1713)][_0x8ed0c4(0x8f2)]===_0x8ed0c4(0x118b)&&(_0x2e9586[_0x8ed0c4(0x1713)]['phone']=_0x214879[_0x8ed0c4(0x1274)]?(_0x2e9586[_0x8ed0c4(0x1713)][_0x8ed0c4(0x1e12)]||'')+'${EXTEN:'+_0x214879['cutdigits']+'}':(_0x2e9586[_0x8ed0c4(0x1713)][_0x8ed0c4(0x1e12)]||'')+'${EXTEN}',_0x214879['recordingFormat']!=='none'?_0x2e9586[_0x8ed0c4(0x1713)][_0x8ed0c4(0xa08)][_0x8ed0c4(0x172b)](_0x8ed0c4(0x1ea4))<0x0&&(_0x2e9586[_0x8ed0c4(0x1713)][_0x8ed0c4(0xa08)]+='U(xcally-mixmonitor-context)'):_0x2e9586['autoreply'][_0x8ed0c4(0xa08)]=_0x2e9586['autoreply'][_0x8ed0c4(0xa08)][_0x8ed0c4(0x5f4)](_0x8ed0c4(0x1ea4),''));if(_0x2e9586[_0x8ed0c4(0x1713)][_0x8ed0c4(0x8f2)]&&_0x2e9586['autoreply']['appType']===_0x8ed0c4(0x1802)){}else switch((_0x2e9586[_0x8ed0c4(0x1713)]['app']||_0x2e9586[_0x8ed0c4(0x1713)][_0x8ed0c4(0x8f2)])['toLowerCase']()){case _0x8ed0c4(0x26ba):_0x2e9586['autoreply'][_0x8ed0c4(0x168a)]=_0x2e9586[_0x8ed0c4(0x1713)][_0x8ed0c4(0x19eb)]+'='+_0x2e9586[_0x8ed0c4(0x1713)]['value'];break;case _0x8ed0c4(0x1802):break;default:_0x626190[0x0]=_0x2e9586[_0x8ed0c4(0x1713)][_0x8ed0c4(0x583)],_0x626190[0x1]=_0x2e9586[_0x8ed0c4(0x1713)]['text'],_0x2e9586[_0x8ed0c4(0x1713)][_0x8ed0c4(0x168a)]=_0x626190[_0x8ed0c4(0xb47)](',');}_0x3b9a65(_0x2e9586[_0x8ed0c4(0x1713)]);}function _0x3b9a65(_0x156918){const _0x53230d=_0x172038;_0xb01b6d[_0x53230d(0x2458)](_0x156918);}}const _0x1c05cd=_0x3bf868;;_0x1ad40d['$inject']=[_0x313a4d(0x10e8),'$q','toasty','whatsappAccountApp',_0x313a4d(0x815),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x1ad40d(_0x10c47b,_0x3c6c47,_0xc48d3e,_0x50c4ac,_0xead437,_0x2d498f,_0x57a8ce,_0x2110b8){const _0x5621ed=_0x313a4d,_0x17aefd=this;_0x17aefd[_0x5621ed(0x2321)]=_0x57a8ce[_0x5621ed(0xb12)](),_0x17aefd[_0x5621ed(0xcef)]=[],_0x17aefd['title']=_0x5621ed(0x1955)+(_0x50c4ac[_0x5621ed(0x8f2)]||_0x50c4ac[_0x5621ed(0x1873)])[_0x5621ed(0x1c37)](),_0x17aefd[_0x5621ed(0xa7c)]=angular[_0x5621ed(0x235a)](_0x50c4ac),_0x17aefd[_0x5621ed(0x2514)]=_0x2110b8,_0x17aefd[_0x5621ed(0x855)]={};if(_0x17aefd['close'][_0x5621ed(0x168a)])switch(_0x17aefd[_0x5621ed(0xa7c)]['appType']?_0x17aefd[_0x5621ed(0xa7c)][_0x5621ed(0x8f2)][_0x5621ed(0x256e)]():_0x17aefd['close'][_0x5621ed(0x1873)][_0x5621ed(0x256e)]()){case _0x5621ed(0x1802):break;case _0x5621ed(0xeaa):{const _0x334847=_0x17aefd[_0x5621ed(0xa7c)]['appdata'][_0x5621ed(0x10c8)](',');_0x17aefd[_0x5621ed(0xa7c)]['key']=_0x334847[0x0],_0x17aefd['close']['language']=_0x334847[0x1],_0x17aefd['close'][_0x5621ed(0xaf3)]=_0x334847[_0x5621ed(0x1298)](0x2,_0x334847[_0x5621ed(0x402)])[_0x5621ed(0xb47)](',');}break;case _0x5621ed(0xa46):{const _0x2e3b7f=_0x17aefd[_0x5621ed(0xa7c)][_0x5621ed(0x168a)][_0x5621ed(0x10c8)](',');_0x17aefd[_0x5621ed(0xa7c)][_0x5621ed(0x153a)]=_0x2e3b7f[0x0],_0x17aefd[_0x5621ed(0xa7c)]['clientEmail']=_0x2e3b7f[0x1],_0x17aefd[_0x5621ed(0xa7c)][_0x5621ed(0x2217)]=_0x2e3b7f[0x2],_0x17aefd[_0x5621ed(0xa7c)][_0x5621ed(0x90b)]=_0x2e3b7f[0x3],_0x17aefd['close'][_0x5621ed(0xaf3)]=_0x2e3b7f[_0x5621ed(0x1298)](0x4,_0x2e3b7f['length'])[_0x5621ed(0xb47)](',');}break;case _0x5621ed(0x17d6):{const _0x3dce78=_0x17aefd['close']['appdata'][_0x5621ed(0x10c8)](',');_0x17aefd[_0x5621ed(0xa7c)][_0x5621ed(0x1651)]=_0x3dce78[0x0],_0x17aefd[_0x5621ed(0xa7c)]['secretaccesskey']=_0x3dce78[0x1],_0x17aefd[_0x5621ed(0xa7c)][_0x5621ed(0x1039)]=_0x3dce78[0x2],_0x17aefd['close'][_0x5621ed(0x8dd)]=_0x3dce78[0x3],_0x17aefd[_0x5621ed(0xa7c)][_0x5621ed(0xaf3)]=_0x3dce78['slice'](0x4,_0x3dce78[_0x5621ed(0x402)])[_0x5621ed(0xb47)](',');}break;case _0x5621ed(0x1713):{const _0x26f8e5=_0x17aefd['close']['appdata'][_0x5621ed(0x10c8)](',');_0x17aefd[_0x5621ed(0xa7c)][_0x5621ed(0x583)]=isNaN(_0x26f8e5[0x0])?_0x26f8e5[0x0]:parseInt(_0x26f8e5[0x0],0xa),_0x17aefd[_0x5621ed(0xa7c)][_0x5621ed(0xa8d)]=_0x26f8e5['slice'](0x1,_0x26f8e5[_0x5621ed(0x402)])[_0x5621ed(0xb47)](',');}break;case _0x5621ed(0x7fd):_0x17aefd['close'][_0x5621ed(0xa8d)]=_0x17aefd[_0x5621ed(0xa7c)][_0x5621ed(0x168a)];break;case'set':_0x17aefd[_0x5621ed(0xa7c)][_0x5621ed(0x19eb)]=_0x17aefd[_0x5621ed(0xa7c)][_0x5621ed(0x168a)][_0x5621ed(0x10c8)]('=')[0x0],_0x17aefd['close'][_0x5621ed(0x175d)]=_0x17aefd[_0x5621ed(0xa7c)][_0x5621ed(0x168a)][_0x5621ed(0x10c8)]('=')[0x1];break;case _0x5621ed(0x1ecb):_0x17aefd['close'][_0x5621ed(0x9e0)]=_0x17aefd['close'][_0x5621ed(0x168a)];break;default:{const _0x551e30=_0x17aefd[_0x5621ed(0xa7c)]['appdata'][_0x5621ed(0x10c8)](',');_0x17aefd[_0x5621ed(0xa7c)]['disposition']=_0x3f65c0()[_0x5621ed(0x2635)](_0x551e30[0x0])?_0x551e30[0x0]:isNaN(_0x551e30[0x0])?_0x551e30[0x0]:parseInt(_0x551e30[0x0],0xa);}break;}else{}_0x17aefd[_0x5621ed(0xa7c)]['type']&&_0x17aefd[_0x5621ed(0xa7c)][_0x5621ed(0x1142)][_0x5621ed(0x256e)]()===_0x5621ed(0xc9c)&&_0x17aefd[_0x5621ed(0xa7c)][_0x5621ed(0x8f2)]['toLowerCase']()===_0x5621ed(0x3bb)&&(_0x17aefd[_0x5621ed(0xa7c)][_0x5621ed(0x1e12)]=_0x17aefd[_0x5621ed(0xa7c)][_0x5621ed(0x1d55)]?_0x17aefd[_0x5621ed(0xa7c)][_0x5621ed(0x1d55)]['split']('$')[0x0]:undefined,_0x17aefd[_0x5621ed(0xa7c)][_0x5621ed(0x1d43)]=_0x17aefd['close'][_0x5621ed(0x11be)]?_0x5621ed(0x1b60)+_0x17aefd[_0x5621ed(0xa7c)][_0x5621ed(0x11be)]:undefined);_0x17aefd[_0x5621ed(0x7de)]=_0x5c669c,_0x17aefd[_0x5621ed(0x13f3)]=_0x5af4d5;function _0x5c669c(){const _0x47e1b8=_0x5621ed;_0x17aefd[_0x47e1b8(0xcef)]=[];const _0x2159ad=[];_0x17aefd[_0x47e1b8(0xa7c)]['type']&&_0x17aefd[_0x47e1b8(0xa7c)][_0x47e1b8(0x1142)][_0x47e1b8(0x256e)]()===_0x47e1b8(0xc9c)&&_0x17aefd[_0x47e1b8(0xa7c)][_0x47e1b8(0x8f2)]===_0x47e1b8(0x118b)&&(_0x17aefd[_0x47e1b8(0xa7c)]['phone']=_0xead437[_0x47e1b8(0x1274)]?(_0x17aefd[_0x47e1b8(0xa7c)][_0x47e1b8(0x1e12)]||'')+_0x47e1b8(0x2147)+_0xead437[_0x47e1b8(0x1274)]+'}':(_0x17aefd[_0x47e1b8(0xa7c)][_0x47e1b8(0x1e12)]||'')+_0x47e1b8(0x20df),_0xead437[_0x47e1b8(0x1425)]!==_0x47e1b8(0x1642)?_0x17aefd['close'][_0x47e1b8(0xa08)]['indexOf'](_0x47e1b8(0x1ea4))<0x0&&(_0x17aefd[_0x47e1b8(0xa7c)][_0x47e1b8(0xa08)]+=_0x47e1b8(0x1ea4)):_0x17aefd[_0x47e1b8(0xa7c)][_0x47e1b8(0xa08)]=_0x17aefd[_0x47e1b8(0xa7c)][_0x47e1b8(0xa08)][_0x47e1b8(0x5f4)](_0x47e1b8(0x1ea4),''));if(_0x17aefd[_0x47e1b8(0xa7c)][_0x47e1b8(0x8f2)]&&_0x17aefd['close'][_0x47e1b8(0x8f2)]===_0x47e1b8(0x1802)){}else switch((_0x17aefd['close'][_0x47e1b8(0x1873)]||_0x17aefd[_0x47e1b8(0xa7c)][_0x47e1b8(0x8f2)])[_0x47e1b8(0x256e)]()){case _0x47e1b8(0x26ba):_0x17aefd[_0x47e1b8(0xa7c)][_0x47e1b8(0x168a)]=_0x17aefd[_0x47e1b8(0xa7c)][_0x47e1b8(0x19eb)]+'='+_0x17aefd[_0x47e1b8(0xa7c)][_0x47e1b8(0x175d)];break;case'custom':break;default:_0x2159ad[0x0]=_0x17aefd[_0x47e1b8(0xa7c)][_0x47e1b8(0x9e1)],_0x17aefd['close'][_0x47e1b8(0x168a)]=_0x2159ad['join'](',');}_0x5af4d5(_0x17aefd['close']);}function _0x5af4d5(_0x26da3d){_0x10c47b['hide'](_0x26da3d);}}const _0x14d1a0=_0x1ad40d;;_0x52c52f[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x13c5),_0x313a4d(0x815),'api','Auth',_0x313a4d(0x2514)];function _0x52c52f(_0x7942ee,_0x579cfd,_0x4cc8fe,_0x183a99,_0x364c53,_0x74002f,_0xeb1d5e,_0x3e5b37){const _0xcd467b=_0x313a4d,_0x39c0ce=this;_0x39c0ce['currentUser']=_0xeb1d5e[_0xcd467b(0xb12)](),_0x39c0ce[_0xcd467b(0xcef)]=[],_0x39c0ce[_0xcd467b(0x1189)]=_0xcd467b(0x1955)+(_0x183a99[_0xcd467b(0x8f2)]||_0x183a99[_0xcd467b(0x1873)])['toUpperCase'](),_0x39c0ce['dialogflow']=angular[_0xcd467b(0x235a)](_0x183a99),_0x39c0ce[_0xcd467b(0x2514)]=_0x3e5b37,_0x39c0ce['hasModulePermissions']={};if(_0x39c0ce['dialogflow'][_0xcd467b(0x168a)])switch(_0x39c0ce[_0xcd467b(0xeaa)]['appType']?_0x39c0ce[_0xcd467b(0xeaa)][_0xcd467b(0x8f2)][_0xcd467b(0x256e)]():_0x39c0ce[_0xcd467b(0xeaa)][_0xcd467b(0x1873)]['toLowerCase']()){case _0xcd467b(0x1802):break;case'dialogflow':{const _0xe90d18=_0x39c0ce['dialogflow']['appdata'][_0xcd467b(0x10c8)](',');_0x39c0ce['dialogflow'][_0xcd467b(0x2293)]=_0xe90d18[0x0],_0x39c0ce[_0xcd467b(0xeaa)][_0xcd467b(0x90b)]=_0xe90d18[0x1],_0x39c0ce[_0xcd467b(0xeaa)][_0xcd467b(0xaf3)]=_0xe90d18[_0xcd467b(0x1298)](0x2,_0xe90d18['length'])[_0xcd467b(0xb47)](',');}break;case _0xcd467b(0xa46):{const _0x2f416d=_0x39c0ce[_0xcd467b(0xeaa)][_0xcd467b(0x168a)][_0xcd467b(0x10c8)](',');_0x39c0ce[_0xcd467b(0xeaa)]['projectId']=_0x2f416d[0x0],_0x39c0ce[_0xcd467b(0xeaa)][_0xcd467b(0x1392)]=_0x2f416d[0x1],_0x39c0ce[_0xcd467b(0xeaa)][_0xcd467b(0x2217)]=_0x2f416d[0x2],_0x39c0ce[_0xcd467b(0xeaa)][_0xcd467b(0x90b)]=_0x2f416d[0x3],_0x39c0ce[_0xcd467b(0xeaa)]['welcomemessage']=_0x2f416d[_0xcd467b(0x1298)](0x4,_0x2f416d['length'])['join'](',');}break;case _0xcd467b(0x17d6):{const _0x5047c7=_0x39c0ce[_0xcd467b(0xeaa)][_0xcd467b(0x168a)][_0xcd467b(0x10c8)](',');_0x39c0ce[_0xcd467b(0xeaa)]['accesskeyid']=_0x5047c7[0x0],_0x39c0ce['dialogflow'][_0xcd467b(0x252)]=_0x5047c7[0x1],_0x39c0ce[_0xcd467b(0xeaa)][_0xcd467b(0x1039)]=_0x5047c7[0x2],_0x39c0ce[_0xcd467b(0xeaa)]['botname']=_0x5047c7[0x3],_0x39c0ce[_0xcd467b(0xeaa)][_0xcd467b(0xaf3)]=_0x5047c7[_0xcd467b(0x1298)](0x4,_0x5047c7[_0xcd467b(0x402)])['join'](',');}break;case _0xcd467b(0x1713):{const _0x127019=_0x39c0ce['dialogflow'][_0xcd467b(0x168a)][_0xcd467b(0x10c8)](',');_0x39c0ce[_0xcd467b(0xeaa)][_0xcd467b(0x583)]=isNaN(_0x127019[0x0])?_0x127019[0x0]:parseInt(_0x127019[0x0],0xa),_0x39c0ce[_0xcd467b(0xeaa)]['text']=_0x127019[_0xcd467b(0x1298)](0x1,_0x127019['length'])[_0xcd467b(0xb47)](',');}break;case'message':_0x39c0ce[_0xcd467b(0xeaa)]['text']=_0x39c0ce[_0xcd467b(0xeaa)][_0xcd467b(0x168a)];break;case _0xcd467b(0x26ba):_0x39c0ce[_0xcd467b(0xeaa)][_0xcd467b(0x19eb)]=_0x39c0ce[_0xcd467b(0xeaa)][_0xcd467b(0x168a)][_0xcd467b(0x10c8)]('=')[0x0],_0x39c0ce['dialogflow'][_0xcd467b(0x175d)]=_0x39c0ce[_0xcd467b(0xeaa)]['appdata'][_0xcd467b(0x10c8)]('=')[0x1];break;case'agi':_0x39c0ce['dialogflow'][_0xcd467b(0x9e0)]=_0x39c0ce[_0xcd467b(0xeaa)][_0xcd467b(0x168a)];break;default:{const _0xa78984=_0x39c0ce[_0xcd467b(0xeaa)][_0xcd467b(0x168a)][_0xcd467b(0x10c8)](',');_0x39c0ce[_0xcd467b(0xeaa)][_0xcd467b(0x2293)]=_0x3f65c0()[_0xcd467b(0x2635)](_0xa78984[0x0])?_0xa78984[0x0]:isNaN(_0xa78984[0x0])?_0xa78984[0x0]:parseInt(_0xa78984[0x0],0xa),_0x39c0ce[_0xcd467b(0xeaa)][_0xcd467b(0x90b)]=_0x3f65c0()[_0xcd467b(0x2635)](_0xa78984[0x1])?_0xa78984[0x1]:isNaN(_0xa78984[0x1])?_0xa78984[0x1]:parseInt(_0xa78984[0x1],0xa),_0x39c0ce[_0xcd467b(0xeaa)]['welcomemessage']=_0x3f65c0()[_0xcd467b(0x2635)](_0xa78984[0x2])?_0xa78984[0x2]:isNaN(_0xa78984[0x2])?_0xa78984[0x2]:parseInt(_0xa78984[0x2],0xa);}break;}else _0x39c0ce['dialogflow'][_0xcd467b(0x90b)]='en';_0x39c0ce[_0xcd467b(0xeaa)][_0xcd467b(0x1142)]&&_0x39c0ce[_0xcd467b(0xeaa)][_0xcd467b(0x1142)][_0xcd467b(0x256e)]()===_0xcd467b(0xc9c)&&_0x39c0ce[_0xcd467b(0xeaa)][_0xcd467b(0x8f2)][_0xcd467b(0x256e)]()===_0xcd467b(0x3bb)&&(_0x39c0ce[_0xcd467b(0xeaa)][_0xcd467b(0x1e12)]=_0x39c0ce['dialogflow'][_0xcd467b(0x1d55)]?_0x39c0ce[_0xcd467b(0xeaa)][_0xcd467b(0x1d55)][_0xcd467b(0x10c8)]('$')[0x0]:undefined,_0x39c0ce[_0xcd467b(0xeaa)][_0xcd467b(0x1d43)]=_0x39c0ce[_0xcd467b(0xeaa)][_0xcd467b(0x11be)]?_0xcd467b(0x1b60)+_0x39c0ce['dialogflow']['callerID']:undefined);_0x39c0ce[_0xcd467b(0x7de)]=_0x206f1c,_0x39c0ce['closeDialog']=_0x45fd8b;function _0x206f1c(){const _0x25bee8=_0xcd467b;_0x39c0ce['errors']=[];const _0x3e04ac=[];_0x39c0ce[_0x25bee8(0xeaa)]['type']&&_0x39c0ce['dialogflow'][_0x25bee8(0x1142)]['toLowerCase']()===_0x25bee8(0xc9c)&&_0x39c0ce[_0x25bee8(0xeaa)][_0x25bee8(0x8f2)]===_0x25bee8(0x118b)&&(_0x39c0ce['dialogflow'][_0x25bee8(0x1d55)]=_0x364c53[_0x25bee8(0x1274)]?(_0x39c0ce[_0x25bee8(0xeaa)][_0x25bee8(0x1e12)]||'')+'${EXTEN:'+_0x364c53[_0x25bee8(0x1274)]+'}':(_0x39c0ce[_0x25bee8(0xeaa)][_0x25bee8(0x1e12)]||'')+_0x25bee8(0x20df),_0x364c53[_0x25bee8(0x1425)]!=='none'?_0x39c0ce[_0x25bee8(0xeaa)][_0x25bee8(0xa08)][_0x25bee8(0x172b)](_0x25bee8(0x1ea4))<0x0&&(_0x39c0ce[_0x25bee8(0xeaa)][_0x25bee8(0xa08)]+=_0x25bee8(0x1ea4)):_0x39c0ce[_0x25bee8(0xeaa)][_0x25bee8(0xa08)]=_0x39c0ce[_0x25bee8(0xeaa)][_0x25bee8(0xa08)][_0x25bee8(0x5f4)]('U(xcally-mixmonitor-context)',''));if(_0x39c0ce[_0x25bee8(0xeaa)][_0x25bee8(0x8f2)]&&_0x39c0ce['dialogflow'][_0x25bee8(0x8f2)]===_0x25bee8(0x1802)){}else switch((_0x39c0ce['dialogflow'][_0x25bee8(0x1873)]||_0x39c0ce[_0x25bee8(0xeaa)][_0x25bee8(0x8f2)])['toLowerCase']()){case _0x25bee8(0x26ba):_0x39c0ce['dialogflow']['appdata']=_0x39c0ce[_0x25bee8(0xeaa)][_0x25bee8(0x19eb)]+'='+_0x39c0ce[_0x25bee8(0xeaa)][_0x25bee8(0x175d)];break;case _0x25bee8(0x1802):break;default:_0x3e04ac[0x0]=_0x39c0ce[_0x25bee8(0xeaa)][_0x25bee8(0x2293)],_0x3e04ac[0x1]=_0x39c0ce[_0x25bee8(0xeaa)][_0x25bee8(0x90b)],_0x3e04ac[0x2]=_0x39c0ce[_0x25bee8(0xeaa)]['welcomemessage'],_0x39c0ce['dialogflow'][_0x25bee8(0x168a)]=_0x3e04ac[_0x25bee8(0xb47)](',');}_0x45fd8b(_0x39c0ce[_0x25bee8(0xeaa)]);}function _0x45fd8b(_0x56fc34){_0x7942ee['hide'](_0x56fc34);}}const _0x152c15=_0x52c52f;;_0x512486[_0x313a4d(0x11c2)]=['$mdDialog','$q',_0x313a4d(0x1fe4),'whatsappAccountApp',_0x313a4d(0x815),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x512486(_0x5c996c,_0x238fb6,_0x91963b,_0x5b3da8,_0x293d8a,_0xbab404,_0x102cc0,_0x3eb232){const _0x27504c=_0x313a4d,_0x159f6f=this;_0x159f6f[_0x27504c(0x2321)]=_0x102cc0[_0x27504c(0xb12)](),_0x159f6f[_0x27504c(0xcef)]=[],_0x159f6f['title']=_0x27504c(0x1955)+(_0x5b3da8['appType']||_0x5b3da8[_0x27504c(0x1873)])[_0x27504c(0x1c37)](),_0x159f6f['gotoif']=angular[_0x27504c(0x235a)](_0x5b3da8),_0x159f6f[_0x27504c(0x2514)]=_0x3eb232,_0x159f6f['hasModulePermissions']={};if(_0x159f6f[_0x27504c(0x609)][_0x27504c(0x168a)])switch(_0x159f6f[_0x27504c(0x609)][_0x27504c(0x8f2)]?_0x159f6f[_0x27504c(0x609)][_0x27504c(0x8f2)][_0x27504c(0x256e)]():_0x159f6f[_0x27504c(0x609)][_0x27504c(0x1873)][_0x27504c(0x256e)]()){case _0x27504c(0x1802):break;case'dialogflow':{const _0x3f231c=_0x159f6f[_0x27504c(0x609)]['appdata']['split'](',');_0x159f6f[_0x27504c(0x609)][_0x27504c(0x2293)]=_0x3f231c[0x0],_0x159f6f[_0x27504c(0x609)][_0x27504c(0x90b)]=_0x3f231c[0x1],_0x159f6f[_0x27504c(0x609)][_0x27504c(0xaf3)]=_0x3f231c['slice'](0x2,_0x3f231c['length'])['join'](',');}break;case _0x27504c(0xa46):{const _0x2f1439=_0x159f6f[_0x27504c(0x609)]['appdata'][_0x27504c(0x10c8)](',');_0x159f6f[_0x27504c(0x609)][_0x27504c(0x153a)]=_0x2f1439[0x0],_0x159f6f[_0x27504c(0x609)]['clientEmail']=_0x2f1439[0x1],_0x159f6f[_0x27504c(0x609)][_0x27504c(0x2217)]=_0x2f1439[0x2],_0x159f6f['gotoif'][_0x27504c(0x90b)]=_0x2f1439[0x3],_0x159f6f[_0x27504c(0x609)][_0x27504c(0xaf3)]=_0x2f1439['slice'](0x4,_0x2f1439[_0x27504c(0x402)])[_0x27504c(0xb47)](',');}break;case _0x27504c(0x17d6):{const _0x493009=_0x159f6f[_0x27504c(0x609)][_0x27504c(0x168a)][_0x27504c(0x10c8)](',');_0x159f6f['gotoif'][_0x27504c(0x1651)]=_0x493009[0x0],_0x159f6f['gotoif'][_0x27504c(0x252)]=_0x493009[0x1],_0x159f6f[_0x27504c(0x609)][_0x27504c(0x1039)]=_0x493009[0x2],_0x159f6f['gotoif'][_0x27504c(0x8dd)]=_0x493009[0x3],_0x159f6f[_0x27504c(0x609)][_0x27504c(0xaf3)]=_0x493009[_0x27504c(0x1298)](0x4,_0x493009[_0x27504c(0x402)])[_0x27504c(0xb47)](',');}break;case _0x27504c(0x1713):{const _0x34279f=_0x159f6f[_0x27504c(0x609)]['appdata'][_0x27504c(0x10c8)](',');_0x159f6f[_0x27504c(0x609)][_0x27504c(0x583)]=isNaN(_0x34279f[0x0])?_0x34279f[0x0]:parseInt(_0x34279f[0x0],0xa),_0x159f6f['gotoif'][_0x27504c(0xa8d)]=_0x34279f[_0x27504c(0x1298)](0x1,_0x34279f[_0x27504c(0x402)])[_0x27504c(0xb47)](',');}break;case _0x27504c(0x7fd):_0x159f6f[_0x27504c(0x609)][_0x27504c(0xa8d)]=_0x159f6f['gotoif'][_0x27504c(0x168a)];break;case _0x27504c(0x26ba):_0x159f6f[_0x27504c(0x609)][_0x27504c(0x19eb)]=_0x159f6f['gotoif'][_0x27504c(0x168a)][_0x27504c(0x10c8)]('=')[0x0],_0x159f6f['gotoif'][_0x27504c(0x175d)]=_0x159f6f[_0x27504c(0x609)][_0x27504c(0x168a)][_0x27504c(0x10c8)]('=')[0x1];break;case'agi':_0x159f6f[_0x27504c(0x609)][_0x27504c(0x9e0)]=_0x159f6f[_0x27504c(0x609)][_0x27504c(0x168a)];break;default:{const _0x5d0229=_0x159f6f[_0x27504c(0x609)]['appdata'][_0x27504c(0x10c8)](',');_0x159f6f[_0x27504c(0x609)][_0x27504c(0x115f)]=_0x3f65c0()[_0x27504c(0x2635)](_0x5d0229[0x0])?_0x5d0229[0x0]:isNaN(_0x5d0229[0x0])?_0x5d0229[0x0]:parseInt(_0x5d0229[0x0],0xa),_0x159f6f[_0x27504c(0x609)]['truepriority']=_0x3f65c0()[_0x27504c(0x2635)](_0x5d0229[0x1])?_0x5d0229[0x1]:isNaN(_0x5d0229[0x1])?_0x5d0229[0x1]:parseInt(_0x5d0229[0x1],0xa),_0x159f6f[_0x27504c(0x609)][_0x27504c(0x28e1)]=_0x3f65c0()[_0x27504c(0x2635)](_0x5d0229[0x2])?_0x5d0229[0x2]:isNaN(_0x5d0229[0x2])?_0x5d0229[0x2]:parseInt(_0x5d0229[0x2],0xa);}break;}else{}_0x159f6f['gotoif'][_0x27504c(0x1142)]&&_0x159f6f[_0x27504c(0x609)]['type'][_0x27504c(0x256e)]()===_0x27504c(0xc9c)&&_0x159f6f[_0x27504c(0x609)][_0x27504c(0x8f2)][_0x27504c(0x256e)]()===_0x27504c(0x3bb)&&(_0x159f6f[_0x27504c(0x609)][_0x27504c(0x1e12)]=_0x159f6f['gotoif']['phone']?_0x159f6f[_0x27504c(0x609)][_0x27504c(0x1d55)]['split']('$')[0x0]:undefined,_0x159f6f[_0x27504c(0x609)]['callerId']=_0x159f6f[_0x27504c(0x609)][_0x27504c(0x11be)]?'CALLERID(all)='+_0x159f6f['gotoif']['callerID']:undefined);_0x159f6f['saveWhatsappAccountApp']=_0x1bcd4d,_0x159f6f['closeDialog']=_0x28a54f;function _0x1bcd4d(){const _0xb2d7e6=_0x27504c;_0x159f6f[_0xb2d7e6(0xcef)]=[];const _0x123f62=[];_0x159f6f[_0xb2d7e6(0x609)][_0xb2d7e6(0x1142)]&&_0x159f6f[_0xb2d7e6(0x609)][_0xb2d7e6(0x1142)][_0xb2d7e6(0x256e)]()==='outbound'&&_0x159f6f[_0xb2d7e6(0x609)][_0xb2d7e6(0x8f2)]==='outboundDial'&&(_0x159f6f[_0xb2d7e6(0x609)][_0xb2d7e6(0x1d55)]=_0x293d8a['cutdigits']?(_0x159f6f['gotoif'][_0xb2d7e6(0x1e12)]||'')+'${EXTEN:'+_0x293d8a[_0xb2d7e6(0x1274)]+'}':(_0x159f6f['gotoif']['prefix']||'')+_0xb2d7e6(0x20df),_0x293d8a[_0xb2d7e6(0x1425)]!=='none'?_0x159f6f[_0xb2d7e6(0x609)]['options'][_0xb2d7e6(0x172b)](_0xb2d7e6(0x1ea4))<0x0&&(_0x159f6f[_0xb2d7e6(0x609)]['options']+=_0xb2d7e6(0x1ea4)):_0x159f6f['gotoif'][_0xb2d7e6(0xa08)]=_0x159f6f['gotoif'][_0xb2d7e6(0xa08)][_0xb2d7e6(0x5f4)](_0xb2d7e6(0x1ea4),''));if(_0x159f6f[_0xb2d7e6(0x609)][_0xb2d7e6(0x8f2)]&&_0x159f6f[_0xb2d7e6(0x609)][_0xb2d7e6(0x8f2)]==='custom'){}else switch((_0x159f6f[_0xb2d7e6(0x609)]['app']||_0x159f6f[_0xb2d7e6(0x609)]['appType'])[_0xb2d7e6(0x256e)]()){case'set':_0x159f6f[_0xb2d7e6(0x609)][_0xb2d7e6(0x168a)]=_0x159f6f['gotoif'][_0xb2d7e6(0x19eb)]+'='+_0x159f6f[_0xb2d7e6(0x609)][_0xb2d7e6(0x175d)];break;case _0xb2d7e6(0x1802):break;default:_0x123f62[0x0]=_0x159f6f[_0xb2d7e6(0x609)][_0xb2d7e6(0x115f)],_0x123f62[0x1]=_0x159f6f[_0xb2d7e6(0x609)]['truepriority'],_0x123f62[0x2]=_0x159f6f[_0xb2d7e6(0x609)]['falsepriority'],_0x159f6f[_0xb2d7e6(0x609)]['appdata']=_0x123f62['join'](',');}_0x28a54f(_0x159f6f[_0xb2d7e6(0x609)]);}function _0x28a54f(_0x3e144a){const _0x15a075=_0x27504c;_0x5c996c[_0x15a075(0x2458)](_0x3e144a);}}const _0x5ef783=_0x512486;;_0x3f716a['$inject']=['$mdDialog','$q',_0x313a4d(0x1fe4),_0x313a4d(0x13c5),_0x313a4d(0x815),'api',_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x3f716a(_0xf6287f,_0x4a749f,_0x553bc8,_0x44a368,_0x2be1cf,_0x431d5,_0x5adf72,_0x22b401){const _0x51e85b=_0x313a4d,_0x4f986e=this;_0x4f986e['currentUser']=_0x5adf72['getCurrentUser'](),_0x4f986e[_0x51e85b(0xcef)]=[],_0x4f986e[_0x51e85b(0x1189)]='WHATSAPP.EDIT_'+(_0x44a368[_0x51e85b(0x8f2)]||_0x44a368[_0x51e85b(0x1873)])[_0x51e85b(0x1c37)](),_0x4f986e[_0x51e85b(0x28f4)]=angular[_0x51e85b(0x235a)](_0x44a368),_0x4f986e[_0x51e85b(0x2514)]=_0x22b401,_0x4f986e[_0x51e85b(0x855)]={};if(_0x4f986e[_0x51e85b(0x28f4)]['appdata'])switch(_0x4f986e[_0x51e85b(0x28f4)][_0x51e85b(0x8f2)]?_0x4f986e[_0x51e85b(0x28f4)][_0x51e85b(0x8f2)][_0x51e85b(0x256e)]():_0x4f986e['gotop'][_0x51e85b(0x1873)]['toLowerCase']()){case _0x51e85b(0x1802):break;case'dialogflow':{const _0x51f181=_0x4f986e[_0x51e85b(0x28f4)]['appdata'][_0x51e85b(0x10c8)](',');_0x4f986e[_0x51e85b(0x28f4)][_0x51e85b(0x2293)]=_0x51f181[0x0],_0x4f986e[_0x51e85b(0x28f4)]['language']=_0x51f181[0x1],_0x4f986e[_0x51e85b(0x28f4)][_0x51e85b(0xaf3)]=_0x51f181[_0x51e85b(0x1298)](0x2,_0x51f181[_0x51e85b(0x402)])[_0x51e85b(0xb47)](',');}break;case _0x51e85b(0xa46):{const _0x3bef22=_0x4f986e[_0x51e85b(0x28f4)][_0x51e85b(0x168a)][_0x51e85b(0x10c8)](',');_0x4f986e[_0x51e85b(0x28f4)][_0x51e85b(0x153a)]=_0x3bef22[0x0],_0x4f986e[_0x51e85b(0x28f4)][_0x51e85b(0x1392)]=_0x3bef22[0x1],_0x4f986e[_0x51e85b(0x28f4)]['privateKey']=_0x3bef22[0x2],_0x4f986e[_0x51e85b(0x28f4)]['language']=_0x3bef22[0x3],_0x4f986e['gotop'][_0x51e85b(0xaf3)]=_0x3bef22[_0x51e85b(0x1298)](0x4,_0x3bef22[_0x51e85b(0x402)])[_0x51e85b(0xb47)](',');}break;case'amazonlex':{const _0x1dee1f=_0x4f986e['gotop']['appdata'][_0x51e85b(0x10c8)](',');_0x4f986e['gotop'][_0x51e85b(0x1651)]=_0x1dee1f[0x0],_0x4f986e[_0x51e85b(0x28f4)][_0x51e85b(0x252)]=_0x1dee1f[0x1],_0x4f986e[_0x51e85b(0x28f4)]['lexregion']=_0x1dee1f[0x2],_0x4f986e[_0x51e85b(0x28f4)]['botname']=_0x1dee1f[0x3],_0x4f986e[_0x51e85b(0x28f4)][_0x51e85b(0xaf3)]=_0x1dee1f[_0x51e85b(0x1298)](0x4,_0x1dee1f[_0x51e85b(0x402)])[_0x51e85b(0xb47)](',');}break;case _0x51e85b(0x1713):{const _0x4f075d=_0x4f986e[_0x51e85b(0x28f4)][_0x51e85b(0x168a)][_0x51e85b(0x10c8)](',');_0x4f986e[_0x51e85b(0x28f4)]['times']=isNaN(_0x4f075d[0x0])?_0x4f075d[0x0]:parseInt(_0x4f075d[0x0],0xa),_0x4f986e[_0x51e85b(0x28f4)][_0x51e85b(0xa8d)]=_0x4f075d[_0x51e85b(0x1298)](0x1,_0x4f075d['length'])[_0x51e85b(0xb47)](',');}break;case _0x51e85b(0x7fd):_0x4f986e[_0x51e85b(0x28f4)][_0x51e85b(0xa8d)]=_0x4f986e['gotop'][_0x51e85b(0x168a)];break;case _0x51e85b(0x26ba):_0x4f986e[_0x51e85b(0x28f4)][_0x51e85b(0x19eb)]=_0x4f986e[_0x51e85b(0x28f4)][_0x51e85b(0x168a)][_0x51e85b(0x10c8)]('=')[0x0],_0x4f986e[_0x51e85b(0x28f4)][_0x51e85b(0x175d)]=_0x4f986e[_0x51e85b(0x28f4)]['appdata']['split']('=')[0x1];break;case _0x51e85b(0x1ecb):_0x4f986e[_0x51e85b(0x28f4)][_0x51e85b(0x9e0)]=_0x4f986e[_0x51e85b(0x28f4)][_0x51e85b(0x168a)];break;default:{const _0x32c759=_0x4f986e['gotop'][_0x51e85b(0x168a)][_0x51e85b(0x10c8)](',');_0x4f986e['gotop']['priority']=_0x3f65c0()[_0x51e85b(0x2635)](_0x32c759[0x0])?_0x32c759[0x0]:isNaN(_0x32c759[0x0])?_0x32c759[0x0]:parseInt(_0x32c759[0x0],0xa);}break;}else{}_0x4f986e[_0x51e85b(0x28f4)][_0x51e85b(0x1142)]&&_0x4f986e[_0x51e85b(0x28f4)][_0x51e85b(0x1142)][_0x51e85b(0x256e)]()===_0x51e85b(0xc9c)&&_0x4f986e['gotop'][_0x51e85b(0x8f2)][_0x51e85b(0x256e)]()==='outbounddial'&&(_0x4f986e[_0x51e85b(0x28f4)][_0x51e85b(0x1e12)]=_0x4f986e['gotop'][_0x51e85b(0x1d55)]?_0x4f986e[_0x51e85b(0x28f4)][_0x51e85b(0x1d55)][_0x51e85b(0x10c8)]('$')[0x0]:undefined,_0x4f986e['gotop'][_0x51e85b(0x1d43)]=_0x4f986e['gotop'][_0x51e85b(0x11be)]?_0x51e85b(0x1b60)+_0x4f986e[_0x51e85b(0x28f4)]['callerID']:undefined);_0x4f986e['saveWhatsappAccountApp']=_0x425b98,_0x4f986e[_0x51e85b(0x13f3)]=_0x4c0a83;function _0x425b98(){const _0x24fad5=_0x51e85b;_0x4f986e[_0x24fad5(0xcef)]=[];const _0x218f0a=[];_0x4f986e[_0x24fad5(0x28f4)]['type']&&_0x4f986e[_0x24fad5(0x28f4)][_0x24fad5(0x1142)][_0x24fad5(0x256e)]()===_0x24fad5(0xc9c)&&_0x4f986e[_0x24fad5(0x28f4)][_0x24fad5(0x8f2)]===_0x24fad5(0x118b)&&(_0x4f986e[_0x24fad5(0x28f4)][_0x24fad5(0x1d55)]=_0x2be1cf[_0x24fad5(0x1274)]?(_0x4f986e['gotop'][_0x24fad5(0x1e12)]||'')+_0x24fad5(0x2147)+_0x2be1cf['cutdigits']+'}':(_0x4f986e[_0x24fad5(0x28f4)][_0x24fad5(0x1e12)]||'')+_0x24fad5(0x20df),_0x2be1cf[_0x24fad5(0x1425)]!==_0x24fad5(0x1642)?_0x4f986e[_0x24fad5(0x28f4)][_0x24fad5(0xa08)][_0x24fad5(0x172b)]('U(xcally-mixmonitor-context)')<0x0&&(_0x4f986e[_0x24fad5(0x28f4)][_0x24fad5(0xa08)]+=_0x24fad5(0x1ea4)):_0x4f986e[_0x24fad5(0x28f4)]['options']=_0x4f986e[_0x24fad5(0x28f4)][_0x24fad5(0xa08)][_0x24fad5(0x5f4)](_0x24fad5(0x1ea4),''));if(_0x4f986e[_0x24fad5(0x28f4)][_0x24fad5(0x8f2)]&&_0x4f986e['gotop']['appType']===_0x24fad5(0x1802)){}else switch((_0x4f986e[_0x24fad5(0x28f4)][_0x24fad5(0x1873)]||_0x4f986e[_0x24fad5(0x28f4)][_0x24fad5(0x8f2)])[_0x24fad5(0x256e)]()){case _0x24fad5(0x26ba):_0x4f986e[_0x24fad5(0x28f4)][_0x24fad5(0x168a)]=_0x4f986e[_0x24fad5(0x28f4)][_0x24fad5(0x19eb)]+'='+_0x4f986e[_0x24fad5(0x28f4)]['value'];break;case'custom':break;default:_0x218f0a[0x0]=_0x4f986e[_0x24fad5(0x28f4)][_0x24fad5(0xa6a)],_0x4f986e[_0x24fad5(0x28f4)]['appdata']=_0x218f0a[_0x24fad5(0xb47)](',');}_0x4c0a83(_0x4f986e[_0x24fad5(0x28f4)]);}function _0x4c0a83(_0x568a2a){const _0x233f03=_0x51e85b;_0xf6287f[_0x233f03(0x2458)](_0x568a2a);}}const _0x46b5e7=_0x3f716a;;_0x45f92d[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),_0x313a4d(0x10e8),_0x313a4d(0x1c7e),'IndexFactory',_0x313a4d(0x2765),_0x313a4d(0x278f),'toasty','api','Auth','crudPermissions'];function _0x45f92d(_0x25221f,_0x486128,_0x34cfc5,_0x249ad2,_0x5d054f,_0x50c57b,_0x43ebc5,_0x570d7e,_0xde5112,_0x1dab9a){const _0x547b27=_0x313a4d,_0x4366d4=this;_0x4366d4[_0x547b27(0x2321)]=_0xde5112[_0x547b27(0xb12)](),_0x4366d4[_0x547b27(0xcef)]=[],_0x4366d4['title']=_0x547b27(0x56d),_0x4366d4[_0x547b27(0x2765)]=angular['copy'](_0x5d054f),_0x4366d4['intervals']=_0x50c57b,_0x4366d4['newInterval']=![],_0x4366d4['types']=['always',_0x547b27(0x1802),_0x547b27(0x25f4)],_0x4366d4[_0x547b27(0x2514)]=_0x1dab9a,_0x4366d4[_0x547b27(0x1e35)]=_0x249ad2[_0x547b27(0x2045)](),_0x4366d4['monthNumber']=_0x249ad2[_0x547b27(0xead)](),_0x4366d4[_0x547b27(0x2156)]=_0x249ad2['getMonthName'](),_0x4366d4['daysOfMonth']=_0x249ad2[_0x547b27(0x1421)]();if(!_0x4366d4['interval'])_0x4366d4['interval']={'interval':_0x547b27(0x1559)},_0x4366d4[_0x547b27(0x1142)]=_0x547b27(0xd85),_0x4366d4[_0x547b27(0x1189)]=_0x547b27(0x79c),_0x4366d4[_0x547b27(0xa76)]=!![];else{if(_0x4366d4[_0x547b27(0x2765)][_0x547b27(0x2765)]!==_0x547b27(0x1559)){_0x4366d4[_0x547b27(0x1142)]=_0x547b27(0x1802);const _0xeac67a=_0x4366d4[_0x547b27(0x2765)]['interval'][_0x547b27(0x10c8)](','),_0x57af2f=_0xeac67a[0x0],_0x30ca4d=_0xeac67a[0x1],_0x36363d=_0xeac67a[0x2],_0x73eba5=_0xeac67a[0x3];if(_0x57af2f!=='*'){const _0x3222a7=_0x57af2f[_0x547b27(0x10c8)]('-')[0x0],_0x571d6e=_0x57af2f[_0x547b27(0x10c8)]('-')[0x1];let _0x4debe2;_0x4debe2=new Date(),_0x4debe2[_0x547b27(0x25cd)](Number(_0x3222a7['split'](':')[0x0])),_0x4debe2[_0x547b27(0x2348)](Number(_0x3222a7[_0x547b27(0x10c8)](':')[0x1])),_0x4366d4['timeRangeFrom']=_0x4debe2,_0x4debe2=new Date(),_0x4debe2['setHours'](Number(_0x571d6e['split'](':')[0x0])),_0x4debe2[_0x547b27(0x2348)](Number(_0x571d6e[_0x547b27(0x10c8)](':')[0x1])),_0x4366d4['timeRangeTo']=_0x4debe2;}_0x30ca4d!=='*'&&(_0x4366d4[_0x547b27(0x1455)]=_0x30ca4d['split']('-')[0x0],_0x4366d4[_0x547b27(0x27a1)]=_0x30ca4d[_0x547b27(0x10c8)]('-')[0x1]),_0x36363d!=='*'&&(_0x4366d4[_0x547b27(0xf0b)]=_0x36363d[_0x547b27(0x10c8)]('-')[0x0],_0x4366d4[_0x547b27(0x896)]=_0x36363d['split']('-')[0x1]),_0x73eba5!=='*'&&(_0x4366d4[_0x547b27(0x167)]=_0x73eba5[_0x547b27(0x10c8)]('-')[0x0],_0x4366d4[_0x547b27(0x9c3)]=_0x73eba5['split']('-')[0x1]);}else _0x4366d4['type']=_0x547b27(0xd85);}_0x25221f[_0x547b27(0x16a)]['id']&&!_0x4366d4[_0x547b27(0x2765)][_0x547b27(0xea)]&&(_0x4366d4[_0x547b27(0x2765)]['IntervalId']=_0x25221f['params']['id']);_0x4366d4['interval']['IntervalId']&&_0x4366d4[_0x547b27(0x2765)][_0x547b27(0xea)]&&(_0x4366d4[_0x547b27(0x1142)]=_0x547b27(0x25f4));_0x4366d4[_0x547b27(0x2f0)]=_0x55e015,_0x4366d4[_0x547b27(0x1242)]=_0x1890fb,_0x4366d4['closeDialog']=_0x4d7bcd;_0x4366d4[_0x547b27(0x2765)][_0x547b27(0xea)]&&(_0xde5112[_0x547b27(0x23e0)](_0x547b27(0x174b))?_0x570d7e['interval']['get']({'fields':_0x547b27(0x648),'IntervalId':_0x547b27(0x203c),'nolimit':!![]})[_0x547b27(0x2945)][_0x547b27(0x146b)](function(_0x2d341b){const _0x518700=_0x547b27;_0x4366d4[_0x518700(0x278f)]=_0x2d341b[_0x518700(0x19c7)]||[];})[_0x547b27(0x129e)](function(_0x473b9a){const _0x25f579=_0x547b27;_0x43ebc5[_0x25f579(0x1980)]({'title':_0x473b9a[_0x25f579(0x107b)]?'API:'+_0x473b9a['status']+'\x20-\x20'+_0x473b9a[_0x25f579(0x167f)]:'SYSTEM:GET_INTERVALS','msg':_0x473b9a[_0x25f579(0x524)]?JSON[_0x25f579(0x10bb)](_0x473b9a['data']):_0x473b9a[_0x25f579(0xd5f)]()});}):_0x570d7e[_0x547b27(0x2765)]['get']({'fields':'id,name,interval,IntervalId','IntervalId':'null','nolimit':!![]})[_0x547b27(0x2945)][_0x547b27(0x146b)](function(_0x555b8e){const _0x2686c9=_0x547b27;_0x4366d4[_0x2686c9(0x278f)]=_0x555b8e[_0x2686c9(0x19c7)]||[];})[_0x547b27(0x146b)](function(){const _0x22ac4d=_0x547b27;return _0x570d7e[_0x22ac4d(0x1366)][_0x22ac4d(0x16b4)]({'userProfileId':_0x4366d4[_0x22ac4d(0x2321)][_0x22ac4d(0x209a)],'sectionId':0x3ec})[_0x22ac4d(0x2945)];})[_0x547b27(0x146b)](function(_0x3073a5){const _0x42949e=_0x547b27,_0x389871=_0x3073a5&&_0x3073a5[_0x42949e(0x19c7)]?_0x3073a5[_0x42949e(0x19c7)][0x0]:null;if(!_0x389871)_0x4366d4[_0x42949e(0x278f)]=[];else{if(!_0x389871[_0x42949e(0x11d2)])return _0x570d7e[_0x42949e(0xdcc)][_0x42949e(0x16b4)]({'sectionId':_0x389871['id']})[_0x42949e(0x2945)][_0x42949e(0x146b)](function(_0x4801dd){const _0x15b2e2=_0x42949e,_0x4ed7af=_0x4801dd&&_0x4801dd[_0x15b2e2(0x19c7)]?_0x4801dd[_0x15b2e2(0x19c7)]:[],_0x31781b=[];let _0x6841e=null;_0x4366d4[_0x15b2e2(0x2765)]&&(_0x6841e=_0x3f65c0()[_0x15b2e2(0xc84)](_0x4366d4[_0x15b2e2(0x278f)],{'name':_0x4366d4[_0x15b2e2(0x2765)][_0x15b2e2(0x1ff2)]})),_0x6841e&&!_0x3f65c0()[_0x15b2e2(0x1360)](_0x4ed7af,['resourceId',_0x6841e['id']])&&_0x4366d4[_0x15b2e2(0x278f)][_0x15b2e2(0x1df5)](function(_0xd7244f){const _0x4b9d51=_0x15b2e2;_0xd7244f['id']===_0x6841e['id']&&(_0xd7244f['canSelect']=![],_0x31781b[_0x4b9d51(0x1f47)](_0xd7244f));}),_0x4366d4[_0x15b2e2(0x278f)]=_0x31781b;});}})[_0x547b27(0x129e)](function(_0x5db986){const _0x39dd52=_0x547b27;_0x43ebc5[_0x39dd52(0x1980)]({'title':_0x5db986[_0x39dd52(0x107b)]?_0x39dd52(0x262a)+_0x5db986[_0x39dd52(0x107b)]+_0x39dd52(0x1315)+_0x5db986[_0x39dd52(0x167f)]:'SYSTEM:GET_INTERVALS','msg':_0x5db986[_0x39dd52(0x524)]?JSON[_0x39dd52(0x10bb)](_0x5db986[_0x39dd52(0x524)]):_0x5db986[_0x39dd52(0xd5f)]()});}));function _0x18e0ee(){const _0x130c45=_0x547b27;switch(_0x4366d4[_0x130c45(0x1142)]){case _0x130c45(0xd85):case _0x130c45(0x25f4):return _0x130c45(0x1559);case _0x130c45(0x1802):{const _0x15ce22=[];if(_0x4366d4[_0x130c45(0x2469)]!=='*'&&_0x4366d4[_0x130c45(0x2469)]&&_0x4366d4[_0x130c45(0x637)]){const _0x19083f=(_0x4366d4['timeRangeFrom'][_0x130c45(0x1d57)]()<0xa?'0':'')+_0x4366d4[_0x130c45(0x2469)][_0x130c45(0x1d57)]()+':'+((_0x4366d4[_0x130c45(0x2469)][_0x130c45(0x7fc)]()<0xa?'0':'')+_0x4366d4[_0x130c45(0x2469)][_0x130c45(0x7fc)]()),_0x61f907=(_0x4366d4[_0x130c45(0x637)][_0x130c45(0x1d57)]()<0xa?'0':'')+_0x4366d4[_0x130c45(0x637)][_0x130c45(0x1d57)]()+':'+((_0x4366d4['timeRangeTo'][_0x130c45(0x7fc)]()<0xa?'0':'')+_0x4366d4[_0x130c45(0x637)][_0x130c45(0x7fc)]());_0x15ce22[_0x130c45(0x1f47)](_0x19083f+'-'+_0x61f907);}else _0x15ce22[_0x130c45(0x1f47)]('*');return _0x4366d4['dayOfWeekFrom']?_0x4366d4[_0x130c45(0x27a1)]?_0x15ce22['push'](_0x4366d4[_0x130c45(0x1455)]+'-'+_0x4366d4['dayOfWeekTo']):_0x15ce22[_0x130c45(0x1f47)](_0x4366d4['dayOfWeekFrom']):_0x15ce22[_0x130c45(0x1f47)]('*'),_0x4366d4[_0x130c45(0xf0b)]?_0x4366d4[_0x130c45(0x896)]?_0x15ce22['push'](_0x4366d4[_0x130c45(0xf0b)]+'-'+_0x4366d4[_0x130c45(0x896)]):_0x15ce22[_0x130c45(0x1f47)](_0x4366d4[_0x130c45(0xf0b)]):_0x15ce22[_0x130c45(0x1f47)]('*'),_0x4366d4['monthFrom']?_0x4366d4[_0x130c45(0x9c3)]?_0x15ce22[_0x130c45(0x1f47)](_0x4366d4[_0x130c45(0x167)]+'-'+_0x4366d4[_0x130c45(0x9c3)]):_0x15ce22['push'](_0x4366d4[_0x130c45(0x167)]):_0x15ce22[_0x130c45(0x1f47)]('*'),_0x15ce22['join']();}}}function _0x55e015(){const _0x31bf69=_0x547b27;_0x4366d4[_0x31bf69(0xcef)]=[],_0x4366d4[_0x31bf69(0x2765)][_0x31bf69(0x2765)]=_0x18e0ee(),_0x570d7e[_0x31bf69(0x2765)][_0x31bf69(0x1e3)](_0x4366d4[_0x31bf69(0x2765)])[_0x31bf69(0x2945)][_0x31bf69(0x146b)](function(_0x5415a6){const _0x51bc03=_0x31bf69;_0x4366d4[_0x51bc03(0x278f)][_0x51bc03(0x1f47)](_0x5415a6),_0x43ebc5[_0x51bc03(0x1c75)]({'title':_0x51bc03(0x17f5),'msg':_0x4366d4[_0x51bc03(0x2765)][_0x51bc03(0x19eb)]?_0x4366d4[_0x51bc03(0x2765)][_0x51bc03(0x19eb)]+'\x20has\x20been\x20created!':''}),_0x4d7bcd();})[_0x31bf69(0x129e)](function(_0x201def){const _0x57696b=_0x31bf69;console[_0x57696b(0x1980)](_0x201def),_0x4366d4['errors']=_0x201def[_0x57696b(0x524)][_0x57696b(0xcef)]||[{'message':_0x201def[_0x57696b(0xd5f)](),'type':_0x57696b(0x7e7)}];});}function _0x1890fb(){const _0x591bdb=_0x547b27;_0x4366d4[_0x591bdb(0xcef)]=[],_0x4366d4[_0x591bdb(0x2765)]['interval']=_0x18e0ee(),_0x4366d4[_0x591bdb(0x2765)][_0x591bdb(0xea)]?(_0x4366d4[_0x591bdb(0x1142)]!==_0x591bdb(0x25f4)&&(_0x4366d4[_0x591bdb(0x2765)][_0x591bdb(0x1ff2)]=null),_0x4d7bcd(_0x4366d4[_0x591bdb(0x2765)])):_0x570d7e[_0x591bdb(0x2765)][_0x591bdb(0x18e1)]({'id':_0x4366d4[_0x591bdb(0x2765)]['id']},_0x4366d4['interval'])['$promise'][_0x591bdb(0x146b)](function(_0x532c74){const _0x5a86bf=_0x591bdb,_0xbe77c9=_0x3f65c0()[_0x5a86bf(0xc84)](_0x4366d4[_0x5a86bf(0x278f)],{'id':_0x532c74['id']});_0xbe77c9&&_0x3f65c0()[_0x5a86bf(0x168d)](_0xbe77c9,_0x532c74),_0x43ebc5['success']({'title':_0x5a86bf(0x1d51),'msg':'Interval\x20has\x20been\x20saved!'}),_0x4d7bcd();})[_0x591bdb(0x129e)](function(_0x3276f3){const _0x48631d=_0x591bdb;console[_0x48631d(0x1980)](_0x3276f3),_0x4366d4[_0x48631d(0xcef)]=_0x3276f3[_0x48631d(0x524)][_0x48631d(0xcef)]||[{'message':_0x3276f3[_0x48631d(0xd5f)](),'type':'api.interval.update'}];});}function _0x4d7bcd(_0x1fabed){const _0x1a7fba=_0x547b27;_0x486128[_0x1a7fba(0x2458)](_0x1fabed);}}const _0x430574=_0x45f92d;;_0x5a9168[_0x313a4d(0x11c2)]=['$mdDialog','$q','toasty',_0x313a4d(0x13c5),'whatsappAccount',_0x313a4d(0x247f),'Auth',_0x313a4d(0x2514)];function _0x5a9168(_0x2a41f1,_0x5128fb,_0x2573ad,_0x197fc2,_0x56e16d,_0x5e7f77,_0x1ce9f6,_0x3b4f17){const _0x372440=_0x313a4d,_0x467023=this;_0x467023[_0x372440(0x2321)]=_0x1ce9f6['getCurrentUser'](),_0x467023[_0x372440(0xcef)]=[],_0x467023[_0x372440(0x1189)]='WHATSAPP.EDIT_'+(_0x197fc2[_0x372440(0x8f2)]||_0x197fc2[_0x372440(0x1873)])[_0x372440(0x1c37)](),_0x467023['noop']=angular['copy'](_0x197fc2),_0x467023[_0x372440(0x2514)]=_0x3b4f17,_0x467023['hasModulePermissions']={};if(_0x467023[_0x372440(0x309)]['appdata'])switch(_0x467023['noop'][_0x372440(0x8f2)]?_0x467023[_0x372440(0x309)][_0x372440(0x8f2)][_0x372440(0x256e)]():_0x467023['noop']['app'][_0x372440(0x256e)]()){case _0x372440(0x1802):break;case'dialogflow':{const _0x52833c=_0x467023[_0x372440(0x309)][_0x372440(0x168a)][_0x372440(0x10c8)](',');_0x467023[_0x372440(0x309)][_0x372440(0x2293)]=_0x52833c[0x0],_0x467023['noop'][_0x372440(0x90b)]=_0x52833c[0x1],_0x467023[_0x372440(0x309)]['welcomemessage']=_0x52833c[_0x372440(0x1298)](0x2,_0x52833c[_0x372440(0x402)])[_0x372440(0xb47)](',');}break;case _0x372440(0xa46):{const _0x2bad3f=_0x467023['noop'][_0x372440(0x168a)][_0x372440(0x10c8)](',');_0x467023['noop'][_0x372440(0x153a)]=_0x2bad3f[0x0],_0x467023[_0x372440(0x309)][_0x372440(0x1392)]=_0x2bad3f[0x1],_0x467023['noop'][_0x372440(0x2217)]=_0x2bad3f[0x2],_0x467023[_0x372440(0x309)][_0x372440(0x90b)]=_0x2bad3f[0x3],_0x467023['noop'][_0x372440(0xaf3)]=_0x2bad3f[_0x372440(0x1298)](0x4,_0x2bad3f['length'])[_0x372440(0xb47)](',');}break;case _0x372440(0x17d6):{const _0x80d6af=_0x467023[_0x372440(0x309)]['appdata']['split'](',');_0x467023[_0x372440(0x309)]['accesskeyid']=_0x80d6af[0x0],_0x467023[_0x372440(0x309)][_0x372440(0x252)]=_0x80d6af[0x1],_0x467023['noop'][_0x372440(0x1039)]=_0x80d6af[0x2],_0x467023[_0x372440(0x309)][_0x372440(0x8dd)]=_0x80d6af[0x3],_0x467023['noop'][_0x372440(0xaf3)]=_0x80d6af[_0x372440(0x1298)](0x4,_0x80d6af[_0x372440(0x402)])['join'](',');}break;case _0x372440(0x1713):{const _0x2f2990=_0x467023[_0x372440(0x309)][_0x372440(0x168a)][_0x372440(0x10c8)](',');_0x467023[_0x372440(0x309)]['times']=isNaN(_0x2f2990[0x0])?_0x2f2990[0x0]:parseInt(_0x2f2990[0x0],0xa),_0x467023['noop']['text']=_0x2f2990[_0x372440(0x1298)](0x1,_0x2f2990[_0x372440(0x402)])['join'](',');}break;case _0x372440(0x7fd):_0x467023['noop'][_0x372440(0xa8d)]=_0x467023[_0x372440(0x309)][_0x372440(0x168a)];break;case'set':_0x467023[_0x372440(0x309)][_0x372440(0x19eb)]=_0x467023[_0x372440(0x309)][_0x372440(0x168a)][_0x372440(0x10c8)]('=')[0x0],_0x467023[_0x372440(0x309)][_0x372440(0x175d)]=_0x467023[_0x372440(0x309)][_0x372440(0x168a)][_0x372440(0x10c8)]('=')[0x1];break;case'agi':_0x467023[_0x372440(0x309)][_0x372440(0x9e0)]=_0x467023[_0x372440(0x309)][_0x372440(0x168a)];break;default:{const _0x3b851d=_0x467023[_0x372440(0x309)][_0x372440(0x168a)][_0x372440(0x10c8)](',');_0x467023[_0x372440(0x309)][_0x372440(0x175d)]=_0x3f65c0()[_0x372440(0x2635)](_0x3b851d[0x0])?_0x3b851d[0x0]:isNaN(_0x3b851d[0x0])?_0x3b851d[0x0]:parseInt(_0x3b851d[0x0],0xa);}break;}else{}_0x467023[_0x372440(0x309)][_0x372440(0x1142)]&&_0x467023[_0x372440(0x309)]['type']['toLowerCase']()==='outbound'&&_0x467023['noop'][_0x372440(0x8f2)]['toLowerCase']()===_0x372440(0x3bb)&&(_0x467023['noop']['prefix']=_0x467023['noop']['phone']?_0x467023[_0x372440(0x309)][_0x372440(0x1d55)][_0x372440(0x10c8)]('$')[0x0]:undefined,_0x467023[_0x372440(0x309)][_0x372440(0x1d43)]=_0x467023[_0x372440(0x309)][_0x372440(0x11be)]?_0x372440(0x1b60)+_0x467023[_0x372440(0x309)][_0x372440(0x11be)]:undefined);_0x467023[_0x372440(0x7de)]=_0x26dded,_0x467023['closeDialog']=_0x58779c;function _0x26dded(){const _0x58e3ac=_0x372440;_0x467023[_0x58e3ac(0xcef)]=[];const _0x3cf1ba=[];_0x467023[_0x58e3ac(0x309)]['type']&&_0x467023[_0x58e3ac(0x309)][_0x58e3ac(0x1142)][_0x58e3ac(0x256e)]()===_0x58e3ac(0xc9c)&&_0x467023[_0x58e3ac(0x309)]['appType']==='outboundDial'&&(_0x467023[_0x58e3ac(0x309)]['phone']=_0x56e16d[_0x58e3ac(0x1274)]?(_0x467023['noop']['prefix']||'')+'${EXTEN:'+_0x56e16d[_0x58e3ac(0x1274)]+'}':(_0x467023[_0x58e3ac(0x309)][_0x58e3ac(0x1e12)]||'')+_0x58e3ac(0x20df),_0x56e16d['recordingFormat']!==_0x58e3ac(0x1642)?_0x467023['noop'][_0x58e3ac(0xa08)]['indexOf'](_0x58e3ac(0x1ea4))<0x0&&(_0x467023[_0x58e3ac(0x309)][_0x58e3ac(0xa08)]+=_0x58e3ac(0x1ea4)):_0x467023[_0x58e3ac(0x309)][_0x58e3ac(0xa08)]=_0x467023[_0x58e3ac(0x309)]['options']['replace'](_0x58e3ac(0x1ea4),''));if(_0x467023[_0x58e3ac(0x309)]['appType']&&_0x467023[_0x58e3ac(0x309)][_0x58e3ac(0x8f2)]===_0x58e3ac(0x1802)){}else switch((_0x467023[_0x58e3ac(0x309)]['app']||_0x467023[_0x58e3ac(0x309)][_0x58e3ac(0x8f2)])['toLowerCase']()){case _0x58e3ac(0x26ba):_0x467023['noop']['appdata']=_0x467023['noop'][_0x58e3ac(0x19eb)]+'='+_0x467023['noop'][_0x58e3ac(0x175d)];break;case _0x58e3ac(0x1802):break;default:_0x3cf1ba[0x0]=_0x467023[_0x58e3ac(0x309)]['value'],_0x467023[_0x58e3ac(0x309)][_0x58e3ac(0x168a)]=_0x3cf1ba[_0x58e3ac(0xb47)](',');}_0x58779c(_0x467023[_0x58e3ac(0x309)]);}function _0x58779c(_0x16de70){const _0x5d8f46=_0x372440;_0x2a41f1[_0x5d8f46(0x2458)](_0x16de70);}}const _0xd28de4=_0x5a9168;;_0x559f90[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x13c5),_0x313a4d(0x815),_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x559f90(_0x320c91,_0x415529,_0x57226a,_0x3b192a,_0x307d0f,_0xd05388,_0x2dbf11,_0x4e4182){const _0x558db7=_0x313a4d,_0x4df58b=this;_0x4df58b[_0x558db7(0x2321)]=_0x2dbf11[_0x558db7(0xb12)](),_0x4df58b[_0x558db7(0xcef)]=[],_0x4df58b['title']=_0x558db7(0x1955)+(_0x3b192a[_0x558db7(0x8f2)]||_0x3b192a[_0x558db7(0x1873)])['toUpperCase'](),_0x4df58b[_0x558db7(0x1c72)]=angular[_0x558db7(0x235a)](_0x3b192a),_0x4df58b[_0x558db7(0x2514)]=_0x4e4182,_0x4df58b[_0x558db7(0x855)]={};if(_0x4df58b['queue']['appdata'])switch(_0x4df58b[_0x558db7(0x1c72)][_0x558db7(0x8f2)]?_0x4df58b[_0x558db7(0x1c72)][_0x558db7(0x8f2)][_0x558db7(0x256e)]():_0x4df58b['queue'][_0x558db7(0x1873)]['toLowerCase']()){case _0x558db7(0x1802):break;case _0x558db7(0xeaa):{const _0x2bb247=_0x4df58b[_0x558db7(0x1c72)]['appdata'][_0x558db7(0x10c8)](',');_0x4df58b[_0x558db7(0x1c72)]['key']=_0x2bb247[0x0],_0x4df58b[_0x558db7(0x1c72)]['language']=_0x2bb247[0x1],_0x4df58b[_0x558db7(0x1c72)][_0x558db7(0xaf3)]=_0x2bb247[_0x558db7(0x1298)](0x2,_0x2bb247[_0x558db7(0x402)])[_0x558db7(0xb47)](',');}break;case _0x558db7(0xa46):{const _0x5a438f=_0x4df58b['queue']['appdata'][_0x558db7(0x10c8)](',');_0x4df58b[_0x558db7(0x1c72)][_0x558db7(0x153a)]=_0x5a438f[0x0],_0x4df58b[_0x558db7(0x1c72)][_0x558db7(0x1392)]=_0x5a438f[0x1],_0x4df58b['queue'][_0x558db7(0x2217)]=_0x5a438f[0x2],_0x4df58b[_0x558db7(0x1c72)]['language']=_0x5a438f[0x3],_0x4df58b[_0x558db7(0x1c72)][_0x558db7(0xaf3)]=_0x5a438f['slice'](0x4,_0x5a438f[_0x558db7(0x402)])[_0x558db7(0xb47)](',');}break;case'amazonlex':{const _0x37b605=_0x4df58b[_0x558db7(0x1c72)][_0x558db7(0x168a)][_0x558db7(0x10c8)](',');_0x4df58b[_0x558db7(0x1c72)][_0x558db7(0x1651)]=_0x37b605[0x0],_0x4df58b['queue'][_0x558db7(0x252)]=_0x37b605[0x1],_0x4df58b[_0x558db7(0x1c72)][_0x558db7(0x1039)]=_0x37b605[0x2],_0x4df58b[_0x558db7(0x1c72)][_0x558db7(0x8dd)]=_0x37b605[0x3],_0x4df58b[_0x558db7(0x1c72)][_0x558db7(0xaf3)]=_0x37b605[_0x558db7(0x1298)](0x4,_0x37b605[_0x558db7(0x402)])[_0x558db7(0xb47)](',');}break;case'autoreply':{const _0xbb5b6b=_0x4df58b['queue'][_0x558db7(0x168a)]['split'](',');_0x4df58b['queue'][_0x558db7(0x583)]=isNaN(_0xbb5b6b[0x0])?_0xbb5b6b[0x0]:parseInt(_0xbb5b6b[0x0],0xa),_0x4df58b[_0x558db7(0x1c72)][_0x558db7(0xa8d)]=_0xbb5b6b['slice'](0x1,_0xbb5b6b[_0x558db7(0x402)])['join'](',');}break;case _0x558db7(0x7fd):_0x4df58b['queue'][_0x558db7(0xa8d)]=_0x4df58b[_0x558db7(0x1c72)][_0x558db7(0x168a)];break;case _0x558db7(0x26ba):_0x4df58b[_0x558db7(0x1c72)][_0x558db7(0x19eb)]=_0x4df58b[_0x558db7(0x1c72)]['appdata'][_0x558db7(0x10c8)]('=')[0x0],_0x4df58b[_0x558db7(0x1c72)]['value']=_0x4df58b[_0x558db7(0x1c72)][_0x558db7(0x168a)]['split']('=')[0x1];break;case _0x558db7(0x1ecb):_0x4df58b[_0x558db7(0x1c72)][_0x558db7(0x9e0)]=_0x4df58b['queue']['appdata'];break;default:{const _0x20d269=_0x4df58b['queue'][_0x558db7(0x168a)][_0x558db7(0x10c8)](',');_0x4df58b[_0x558db7(0x1c72)][_0x558db7(0x1c72)]=_0x3f65c0()[_0x558db7(0x2635)](_0x20d269[0x0])?_0x20d269[0x0]:isNaN(_0x20d269[0x0])?_0x20d269[0x0]:parseInt(_0x20d269[0x0],0xa),_0x4df58b['queue'][_0x558db7(0xa9c)]=_0x3f65c0()[_0x558db7(0x2635)](_0x20d269[0x1])?_0x20d269[0x1]:isNaN(_0x20d269[0x1])?_0x20d269[0x1]:parseInt(_0x20d269[0x1],0xa);}break;}else _0x4df58b[_0x558db7(0x1c72)][_0x558db7(0x1c72)]=0x12c,_0x4df58b[_0x558db7(0x1c72)][_0x558db7(0xa9c)]=0x12c;_0x4df58b[_0x558db7(0x1c72)][_0x558db7(0x1142)]&&_0x4df58b[_0x558db7(0x1c72)][_0x558db7(0x1142)][_0x558db7(0x256e)]()===_0x558db7(0xc9c)&&_0x4df58b[_0x558db7(0x1c72)][_0x558db7(0x8f2)][_0x558db7(0x256e)]()==='outbounddial'&&(_0x4df58b[_0x558db7(0x1c72)][_0x558db7(0x1e12)]=_0x4df58b['queue']['phone']?_0x4df58b[_0x558db7(0x1c72)][_0x558db7(0x1d55)][_0x558db7(0x10c8)]('$')[0x0]:undefined,_0x4df58b[_0x558db7(0x1c72)][_0x558db7(0x1d43)]=_0x4df58b['queue'][_0x558db7(0x11be)]?_0x558db7(0x1b60)+_0x4df58b['queue']['callerID']:undefined);_0x4df58b[_0x558db7(0x7de)]=_0x10fc4e,_0x4df58b[_0x558db7(0x13f3)]=_0x21a76c,_0x2dbf11[_0x558db7(0x23e0)]('admin')?_0xd05388[_0x558db7(0x1b3b)]['get']({'fields':'id,name,type','sort':'name','nolimit':_0x558db7(0x1185)})[_0x558db7(0x2945)][_0x558db7(0x146b)](function(_0xc3fe61){const _0x56e251=_0x558db7;_0x4df58b[_0x56e251(0x1b32)]=_0xc3fe61['rows']||[];})[_0x558db7(0x129e)](function(_0x1109ae){const _0x4688d9=_0x558db7;_0x57226a[_0x4688d9(0x1980)]({'title':_0x1109ae[_0x4688d9(0x107b)]?'API:'+_0x1109ae[_0x4688d9(0x107b)]+_0x4688d9(0x1315)+_0x1109ae[_0x4688d9(0x167f)]:_0x4688d9(0x22d8),'msg':_0x1109ae[_0x4688d9(0x524)]?JSON[_0x4688d9(0x10bb)](_0x1109ae[_0x4688d9(0x524)]):_0x1109ae['toString']()});}):_0xd05388[_0x558db7(0x1b3b)][_0x558db7(0x16b4)]({'fields':_0x558db7(0x45e),'sort':_0x558db7(0x19eb),'nolimit':'true'})[_0x558db7(0x2945)][_0x558db7(0x146b)](function(_0x4acf9e){const _0x3df893=_0x558db7;_0x4df58b[_0x3df893(0x1b32)]=_0x4acf9e['rows']||[];})[_0x558db7(0x146b)](function(){const _0x216ea1=_0x558db7;return _0xd05388[_0x216ea1(0x1366)][_0x216ea1(0x16b4)]({'userProfileId':_0x4df58b[_0x216ea1(0x2321)][_0x216ea1(0x209a)],'sectionId':0x836})[_0x216ea1(0x2945)];})['then'](function(_0x3a60a4){const _0x52a5e1=_0x558db7,_0x292618=_0x3a60a4&&_0x3a60a4[_0x52a5e1(0x19c7)]?_0x3a60a4['rows'][0x0]:null;if(!_0x292618){const _0x5994bb=[];let _0x4d6f5c=null;_0x4df58b[_0x52a5e1(0x1c72)]&&(_0x4d6f5c=_0x3f65c0()[_0x52a5e1(0xc84)](_0x4df58b[_0x52a5e1(0x1b32)],{'name':_0x4df58b['queue']['queue']}));for(let _0x39016a=0x0;_0x39016a<_0x4df58b['queues']['length'];_0x39016a++){_0x4d6f5c&&_0x4df58b[_0x52a5e1(0x1b32)][_0x39016a]['id']===_0x4d6f5c['id']&&(_0x4df58b[_0x52a5e1(0x1b32)][_0x39016a]['canSelect']=![],_0x5994bb['push'](_0x4df58b[_0x52a5e1(0x1b32)][_0x39016a]));}_0x4df58b[_0x52a5e1(0x1b32)]=_0x5994bb;}else{if(!_0x292618[_0x52a5e1(0x11d2)])return _0xd05388[_0x52a5e1(0xdcc)][_0x52a5e1(0x16b4)]({'sectionId':_0x292618['id']})['$promise'][_0x52a5e1(0x146b)](function(_0x35d363){const _0x209c9d=_0x52a5e1,_0x483d55=_0x3f65c0()['map'](_0x35d363['rows'],function(_0x5f4e6a){const _0x5c4ab5=a0_0x3bb9;return _0x3f65c0()[_0x5c4ab5(0xc84)](_0x4df58b[_0x5c4ab5(0x1b32)],{'id':_0x5f4e6a[_0x5c4ab5(0x18b8)]});});let _0xf2e93f=null;_0x4df58b[_0x209c9d(0x1c72)]&&(_0xf2e93f=_0x3f65c0()['find'](_0x4df58b[_0x209c9d(0x1b32)],{'name':_0x4df58b[_0x209c9d(0x1c72)][_0x209c9d(0x1c72)]}));if(_0xf2e93f&&!_0x3f65c0()[_0x209c9d(0x1360)](_0x483d55,['id',_0xf2e93f['id']])){const _0x3031b9=_0x3f65c0()['find'](_0x4df58b[_0x209c9d(0x1b32)],{'id':_0xf2e93f['id']});_0x3031b9['canSelect']=![],_0x483d55[_0x209c9d(0x1f47)](_0x3031b9);}_0x4df58b[_0x209c9d(0x1b32)]=_0x483d55;});}})[_0x558db7(0x129e)](function(_0x401e40){const _0x14c320=_0x558db7;_0x57226a[_0x14c320(0x1980)]({'title':_0x401e40['status']?'API:'+_0x401e40[_0x14c320(0x107b)]+'\x20-\x20'+_0x401e40['statusText']:_0x14c320(0x561),'msg':_0x401e40[_0x14c320(0x524)]?JSON[_0x14c320(0x10bb)](_0x401e40['data']):_0x401e40['toString']()});});function _0x10fc4e(){const _0x3cf15e=_0x558db7;_0x4df58b[_0x3cf15e(0xcef)]=[];const _0x3fed04=[];_0x4df58b[_0x3cf15e(0x1c72)][_0x3cf15e(0x1142)]&&_0x4df58b[_0x3cf15e(0x1c72)]['type'][_0x3cf15e(0x256e)]()===_0x3cf15e(0xc9c)&&_0x4df58b['queue'][_0x3cf15e(0x8f2)]===_0x3cf15e(0x118b)&&(_0x4df58b[_0x3cf15e(0x1c72)][_0x3cf15e(0x1d55)]=_0x307d0f['cutdigits']?(_0x4df58b[_0x3cf15e(0x1c72)][_0x3cf15e(0x1e12)]||'')+_0x3cf15e(0x2147)+_0x307d0f[_0x3cf15e(0x1274)]+'}':(_0x4df58b[_0x3cf15e(0x1c72)][_0x3cf15e(0x1e12)]||'')+_0x3cf15e(0x20df),_0x307d0f[_0x3cf15e(0x1425)]!==_0x3cf15e(0x1642)?_0x4df58b[_0x3cf15e(0x1c72)]['options'][_0x3cf15e(0x172b)](_0x3cf15e(0x1ea4))<0x0&&(_0x4df58b[_0x3cf15e(0x1c72)][_0x3cf15e(0xa08)]+=_0x3cf15e(0x1ea4)):_0x4df58b[_0x3cf15e(0x1c72)]['options']=_0x4df58b[_0x3cf15e(0x1c72)][_0x3cf15e(0xa08)][_0x3cf15e(0x5f4)](_0x3cf15e(0x1ea4),''));const _0x37f538=_0x3f65c0()[_0x3cf15e(0xc84)](_0x4df58b[_0x3cf15e(0x1b32)],{'name':_0x4df58b[_0x3cf15e(0x1c72)][_0x3cf15e(0x1c72)]});_0x37f538&&(_0x4df58b['queue'][_0x3f65c0()[_0x3cf15e(0x83f)](_0x3cf15e(0xff9))+_0x3cf15e(0x23f8)]=_0x37f538['id']);if(_0x4df58b['queue'][_0x3cf15e(0x8f2)]&&_0x4df58b[_0x3cf15e(0x1c72)][_0x3cf15e(0x8f2)]===_0x3cf15e(0x1802)){}else switch((_0x4df58b[_0x3cf15e(0x1c72)][_0x3cf15e(0x1873)]||_0x4df58b['queue'][_0x3cf15e(0x8f2)])[_0x3cf15e(0x256e)]()){case _0x3cf15e(0x26ba):_0x4df58b[_0x3cf15e(0x1c72)][_0x3cf15e(0x168a)]=_0x4df58b['queue'][_0x3cf15e(0x19eb)]+'='+_0x4df58b['queue'][_0x3cf15e(0x175d)];break;case _0x3cf15e(0x1802):break;default:_0x3fed04[0x0]=_0x4df58b['queue'][_0x3cf15e(0x1c72)],_0x3fed04[0x1]=_0x4df58b[_0x3cf15e(0x1c72)][_0x3cf15e(0xa9c)],_0x4df58b[_0x3cf15e(0x1c72)][_0x3cf15e(0x168a)]=_0x3fed04['join'](',');}_0x21a76c(_0x4df58b[_0x3cf15e(0x1c72)]);}function _0x21a76c(_0x1ada4d){_0x320c91['hide'](_0x1ada4d);}}const _0x359b21=_0x559f90;;_0xbb2f40[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x13c5),'whatsappAccount',_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0xbb2f40(_0x58ee58,_0xe0a1fa,_0x171f7e,_0xb9878,_0x3aa282,_0x5bf5a,_0xd77b9,_0x225a66){const _0x4945db=_0x313a4d,_0x2b6560=this;_0x2b6560[_0x4945db(0x2321)]=_0xd77b9[_0x4945db(0xb12)](),_0x2b6560['errors']=[],_0x2b6560[_0x4945db(0x1189)]=_0x4945db(0x1955)+(_0xb9878['appType']||_0xb9878[_0x4945db(0x1873)])[_0x4945db(0x1c37)](),_0x2b6560[_0x4945db(0x1d47)]=angular[_0x4945db(0x235a)](_0xb9878),_0x2b6560[_0x4945db(0x2514)]=_0x225a66,_0x2b6560[_0x4945db(0x855)]={};if(_0x2b6560[_0x4945db(0x1d47)][_0x4945db(0x168a)])switch(_0x2b6560[_0x4945db(0x1d47)]['appType']?_0x2b6560[_0x4945db(0x1d47)][_0x4945db(0x8f2)]['toLowerCase']():_0x2b6560[_0x4945db(0x1d47)]['app'][_0x4945db(0x256e)]()){case'custom':break;case _0x4945db(0xeaa):{const _0x2020b9=_0x2b6560[_0x4945db(0x1d47)]['appdata'][_0x4945db(0x10c8)](',');_0x2b6560[_0x4945db(0x1d47)][_0x4945db(0x2293)]=_0x2020b9[0x0],_0x2b6560['system'][_0x4945db(0x90b)]=_0x2020b9[0x1],_0x2b6560[_0x4945db(0x1d47)][_0x4945db(0xaf3)]=_0x2020b9[_0x4945db(0x1298)](0x2,_0x2020b9['length'])[_0x4945db(0xb47)](',');}break;case _0x4945db(0xa46):{const _0x2d293a=_0x2b6560[_0x4945db(0x1d47)]['appdata'][_0x4945db(0x10c8)](',');_0x2b6560[_0x4945db(0x1d47)]['projectId']=_0x2d293a[0x0],_0x2b6560[_0x4945db(0x1d47)][_0x4945db(0x1392)]=_0x2d293a[0x1],_0x2b6560[_0x4945db(0x1d47)][_0x4945db(0x2217)]=_0x2d293a[0x2],_0x2b6560[_0x4945db(0x1d47)][_0x4945db(0x90b)]=_0x2d293a[0x3],_0x2b6560[_0x4945db(0x1d47)][_0x4945db(0xaf3)]=_0x2d293a['slice'](0x4,_0x2d293a['length'])[_0x4945db(0xb47)](',');}break;case _0x4945db(0x17d6):{const _0x28efdf=_0x2b6560[_0x4945db(0x1d47)]['appdata'][_0x4945db(0x10c8)](',');_0x2b6560[_0x4945db(0x1d47)][_0x4945db(0x1651)]=_0x28efdf[0x0],_0x2b6560[_0x4945db(0x1d47)]['secretaccesskey']=_0x28efdf[0x1],_0x2b6560[_0x4945db(0x1d47)][_0x4945db(0x1039)]=_0x28efdf[0x2],_0x2b6560[_0x4945db(0x1d47)][_0x4945db(0x8dd)]=_0x28efdf[0x3],_0x2b6560[_0x4945db(0x1d47)][_0x4945db(0xaf3)]=_0x28efdf[_0x4945db(0x1298)](0x4,_0x28efdf[_0x4945db(0x402)])[_0x4945db(0xb47)](',');}break;case _0x4945db(0x1713):{const _0x26731a=_0x2b6560[_0x4945db(0x1d47)][_0x4945db(0x168a)][_0x4945db(0x10c8)](',');_0x2b6560[_0x4945db(0x1d47)][_0x4945db(0x583)]=isNaN(_0x26731a[0x0])?_0x26731a[0x0]:parseInt(_0x26731a[0x0],0xa),_0x2b6560[_0x4945db(0x1d47)][_0x4945db(0xa8d)]=_0x26731a[_0x4945db(0x1298)](0x1,_0x26731a[_0x4945db(0x402)])[_0x4945db(0xb47)](',');}break;case _0x4945db(0x7fd):_0x2b6560[_0x4945db(0x1d47)][_0x4945db(0xa8d)]=_0x2b6560[_0x4945db(0x1d47)][_0x4945db(0x168a)];break;case _0x4945db(0x26ba):_0x2b6560[_0x4945db(0x1d47)][_0x4945db(0x19eb)]=_0x2b6560['system'][_0x4945db(0x168a)][_0x4945db(0x10c8)]('=')[0x0],_0x2b6560[_0x4945db(0x1d47)][_0x4945db(0x175d)]=_0x2b6560[_0x4945db(0x1d47)]['appdata'][_0x4945db(0x10c8)]('=')[0x1];break;case _0x4945db(0x1ecb):_0x2b6560[_0x4945db(0x1d47)][_0x4945db(0x9e0)]=_0x2b6560['system'][_0x4945db(0x168a)];break;default:{const _0x5c6d27=_0x2b6560[_0x4945db(0x1d47)]['appdata'][_0x4945db(0x10c8)](',');_0x2b6560[_0x4945db(0x1d47)]['command']=_0x3f65c0()[_0x4945db(0x2635)](_0x5c6d27[0x0])?_0x5c6d27[0x0]:isNaN(_0x5c6d27[0x0])?_0x5c6d27[0x0]:parseInt(_0x5c6d27[0x0],0xa),_0x2b6560[_0x4945db(0x1d47)][_0x4945db(0x212)]=_0x3f65c0()[_0x4945db(0x2635)](_0x5c6d27[0x1])?_0x5c6d27[0x1]:isNaN(_0x5c6d27[0x1])?_0x5c6d27[0x1]:parseInt(_0x5c6d27[0x1],0xa);}break;}else _0x2b6560['system'][_0x4945db(0x212)]='';_0x2b6560['system'][_0x4945db(0x1142)]&&_0x2b6560[_0x4945db(0x1d47)][_0x4945db(0x1142)][_0x4945db(0x256e)]()===_0x4945db(0xc9c)&&_0x2b6560['system'][_0x4945db(0x8f2)][_0x4945db(0x256e)]()===_0x4945db(0x3bb)&&(_0x2b6560[_0x4945db(0x1d47)]['prefix']=_0x2b6560[_0x4945db(0x1d47)][_0x4945db(0x1d55)]?_0x2b6560[_0x4945db(0x1d47)]['phone'][_0x4945db(0x10c8)]('$')[0x0]:undefined,_0x2b6560['system'][_0x4945db(0x1d43)]=_0x2b6560['system'][_0x4945db(0x11be)]?'CALLERID(all)='+_0x2b6560[_0x4945db(0x1d47)]['callerID']:undefined);_0x2b6560[_0x4945db(0x7de)]=_0x134f55,_0x2b6560['closeDialog']=_0x4bd9e3,_0xd77b9[_0x4945db(0x23e0)]('admin')?_0x5bf5a[_0x4945db(0x212)]['get']({'fields':_0x4945db(0x7a7),'sort':_0x4945db(0x19eb),'nolimit':_0x4945db(0x1185)})[_0x4945db(0x2945)][_0x4945db(0x146b)](function(_0x59663f){const _0x32f273=_0x4945db;_0x2b6560[_0x32f273(0x85b)]=_0x59663f[_0x32f273(0x19c7)]||[];})[_0x4945db(0x129e)](function(_0x5bc487){const _0x5934c2=_0x4945db;_0x171f7e['error']({'title':_0x5bc487['status']?'API:'+_0x5bc487[_0x5934c2(0x107b)]+_0x5934c2(0x1315)+_0x5bc487[_0x5934c2(0x167f)]:_0x5934c2(0x84b),'msg':_0x5bc487[_0x5934c2(0x524)]?JSON[_0x5934c2(0x10bb)](_0x5bc487[_0x5934c2(0x524)]):_0x5bc487[_0x5934c2(0xd5f)]()});}):_0x5bf5a[_0x4945db(0x212)][_0x4945db(0x16b4)]({'fields':'id,name','sort':_0x4945db(0x19eb),'nolimit':_0x4945db(0x1185)})[_0x4945db(0x2945)][_0x4945db(0x146b)](function(_0x5bbb6f){const _0x3cca7f=_0x4945db;_0x2b6560[_0x3cca7f(0x85b)]=_0x5bbb6f['rows']||[];})['then'](function(){const _0x2cede6=_0x4945db;return _0x5bf5a[_0x2cede6(0x1366)][_0x2cede6(0x16b4)]({'userProfileId':_0x2b6560[_0x2cede6(0x2321)][_0x2cede6(0x209a)],'sectionId':0x3f4})[_0x2cede6(0x2945)];})[_0x4945db(0x146b)](function(_0x5a1bb0){const _0x489381=_0x4945db,_0x227a06=_0x5a1bb0&&_0x5a1bb0['rows']?_0x5a1bb0['rows'][0x0]:null;if(!_0x227a06){const _0x4e5e88=[];let _0x5712bf=null;_0x2b6560[_0x489381(0x1d47)]&&(_0x5712bf=_0x3f65c0()[_0x489381(0xc84)](_0x2b6560[_0x489381(0x85b)],{'name':_0x2b6560['system'][_0x489381(0x212)]}));for(let _0x3ce28c=0x0;_0x3ce28c<_0x2b6560[_0x489381(0x85b)][_0x489381(0x402)];_0x3ce28c++){_0x5712bf&&_0x2b6560[_0x489381(0x85b)][_0x3ce28c]['id']===_0x5712bf['id']&&(_0x2b6560[_0x489381(0x85b)][_0x3ce28c][_0x489381(0x8ff)]=![],_0x4e5e88[_0x489381(0x1f47)](_0x2b6560[_0x489381(0x85b)][_0x3ce28c]));}_0x2b6560[_0x489381(0x85b)]=_0x4e5e88;}else{if(!_0x227a06[_0x489381(0x11d2)])return _0x5bf5a[_0x489381(0xdcc)][_0x489381(0x16b4)]({'sectionId':_0x227a06['id']})['$promise'][_0x489381(0x146b)](function(_0x530728){const _0x2b2da2=_0x489381,_0x263d45=_0x3f65c0()[_0x2b2da2(0x205)](_0x530728[_0x2b2da2(0x19c7)],function(_0x2afd9a){const _0x880461=_0x2b2da2;return _0x3f65c0()[_0x880461(0xc84)](_0x2b6560[_0x880461(0x85b)],{'id':_0x2afd9a['resourceId']});});let _0x1f7e03=null;_0x2b6560[_0x2b2da2(0x1d47)]&&(_0x1f7e03=_0x3f65c0()[_0x2b2da2(0xc84)](_0x2b6560['variables'],{'name':_0x2b6560[_0x2b2da2(0x1d47)]['variable']}));if(_0x1f7e03&&!_0x3f65c0()[_0x2b2da2(0x1360)](_0x263d45,['id',_0x1f7e03['id']])){const _0x3d705a=_0x3f65c0()[_0x2b2da2(0xc84)](_0x2b6560[_0x2b2da2(0x85b)],{'id':_0x1f7e03['id']});_0x3d705a[_0x2b2da2(0x8ff)]=![],_0x263d45['push'](_0x3d705a);}_0x2b6560[_0x2b2da2(0x85b)]=_0x263d45;});}})[_0x4945db(0x129e)](function(_0x4ae729){const _0x354c3e=_0x4945db;_0x171f7e[_0x354c3e(0x1980)]({'title':_0x4ae729[_0x354c3e(0x107b)]?_0x354c3e(0x262a)+_0x4ae729['status']+_0x354c3e(0x1315)+_0x4ae729['statusText']:_0x354c3e(0x493),'msg':_0x4ae729[_0x354c3e(0x524)]?JSON['stringify'](_0x4ae729['data']):_0x4ae729[_0x354c3e(0xd5f)]()});});function _0x134f55(){const _0x444c84=_0x4945db;_0x2b6560['errors']=[];const _0x2f8c8e=[];_0x2b6560[_0x444c84(0x1d47)][_0x444c84(0x1142)]&&_0x2b6560[_0x444c84(0x1d47)][_0x444c84(0x1142)][_0x444c84(0x256e)]()===_0x444c84(0xc9c)&&_0x2b6560['system'][_0x444c84(0x8f2)]==='outboundDial'&&(_0x2b6560[_0x444c84(0x1d47)][_0x444c84(0x1d55)]=_0x3aa282[_0x444c84(0x1274)]?(_0x2b6560['system']['prefix']||'')+_0x444c84(0x2147)+_0x3aa282['cutdigits']+'}':(_0x2b6560['system'][_0x444c84(0x1e12)]||'')+_0x444c84(0x20df),_0x3aa282['recordingFormat']!==_0x444c84(0x1642)?_0x2b6560['system'][_0x444c84(0xa08)]['indexOf'](_0x444c84(0x1ea4))<0x0&&(_0x2b6560[_0x444c84(0x1d47)]['options']+=_0x444c84(0x1ea4)):_0x2b6560['system']['options']=_0x2b6560[_0x444c84(0x1d47)][_0x444c84(0xa08)][_0x444c84(0x5f4)](_0x444c84(0x1ea4),''));if(_0x2b6560[_0x444c84(0x1d47)][_0x444c84(0x8f2)]&&_0x2b6560['system'][_0x444c84(0x8f2)]===_0x444c84(0x1802)){}else switch((_0x2b6560[_0x444c84(0x1d47)][_0x444c84(0x1873)]||_0x2b6560[_0x444c84(0x1d47)][_0x444c84(0x8f2)])[_0x444c84(0x256e)]()){case _0x444c84(0x26ba):_0x2b6560[_0x444c84(0x1d47)][_0x444c84(0x168a)]=_0x2b6560[_0x444c84(0x1d47)][_0x444c84(0x19eb)]+'='+_0x2b6560[_0x444c84(0x1d47)]['value'];break;case'custom':break;default:_0x2f8c8e[0x0]=_0x2b6560[_0x444c84(0x1d47)][_0x444c84(0x23e9)],_0x2f8c8e[0x1]=_0x2b6560[_0x444c84(0x1d47)][_0x444c84(0x212)],_0x2b6560[_0x444c84(0x1d47)][_0x444c84(0x168a)]=_0x2f8c8e['join'](',');}_0x4bd9e3(_0x2b6560[_0x444c84(0x1d47)]);}function _0x4bd9e3(_0x5f5a1b){const _0x364d09=_0x4945db;_0x58ee58[_0x364d09(0x2458)](_0x5f5a1b);}}const _0x840167=_0xbb2f40;;_0x3de579[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q','toasty',_0x313a4d(0x13c5),_0x313a4d(0x815),'api','Auth',_0x313a4d(0x2514)];function _0x3de579(_0x2c2d32,_0x1ba4e4,_0x20e8cd,_0x5f0752,_0xdea95d,_0x47de65,_0x458877,_0x3e9a39){const _0x2146b2=_0x313a4d,_0xf515f7=this;_0xf515f7[_0x2146b2(0x2321)]=_0x458877[_0x2146b2(0xb12)](),_0xf515f7['errors']=[],_0xf515f7[_0x2146b2(0x1189)]='WHATSAPP.EDIT_'+(_0x5f0752[_0x2146b2(0x8f2)]||_0x5f0752['app'])[_0x2146b2(0x1c37)](),_0xf515f7['tag']=angular[_0x2146b2(0x235a)](_0x5f0752),_0xf515f7[_0x2146b2(0x2514)]=_0x3e9a39,_0xf515f7[_0x2146b2(0x855)]={};if(_0xf515f7['tag'][_0x2146b2(0x168a)])switch(_0xf515f7[_0x2146b2(0xa80)][_0x2146b2(0x8f2)]?_0xf515f7[_0x2146b2(0xa80)][_0x2146b2(0x8f2)]['toLowerCase']():_0xf515f7[_0x2146b2(0xa80)]['app'][_0x2146b2(0x256e)]()){case _0x2146b2(0x1802):break;case'dialogflow':{const _0xe21617=_0xf515f7['tag'][_0x2146b2(0x168a)]['split'](',');_0xf515f7[_0x2146b2(0xa80)]['key']=_0xe21617[0x0],_0xf515f7[_0x2146b2(0xa80)][_0x2146b2(0x90b)]=_0xe21617[0x1],_0xf515f7[_0x2146b2(0xa80)][_0x2146b2(0xaf3)]=_0xe21617[_0x2146b2(0x1298)](0x2,_0xe21617['length'])['join'](',');}break;case _0x2146b2(0xa46):{const _0x5dce5e=_0xf515f7['tag'][_0x2146b2(0x168a)]['split'](',');_0xf515f7[_0x2146b2(0xa80)]['projectId']=_0x5dce5e[0x0],_0xf515f7['tag'][_0x2146b2(0x1392)]=_0x5dce5e[0x1],_0xf515f7[_0x2146b2(0xa80)][_0x2146b2(0x2217)]=_0x5dce5e[0x2],_0xf515f7[_0x2146b2(0xa80)][_0x2146b2(0x90b)]=_0x5dce5e[0x3],_0xf515f7[_0x2146b2(0xa80)][_0x2146b2(0xaf3)]=_0x5dce5e[_0x2146b2(0x1298)](0x4,_0x5dce5e['length'])[_0x2146b2(0xb47)](',');}break;case _0x2146b2(0x17d6):{const _0x2231e7=_0xf515f7['tag'][_0x2146b2(0x168a)][_0x2146b2(0x10c8)](',');_0xf515f7['tag'][_0x2146b2(0x1651)]=_0x2231e7[0x0],_0xf515f7['tag'][_0x2146b2(0x252)]=_0x2231e7[0x1],_0xf515f7[_0x2146b2(0xa80)][_0x2146b2(0x1039)]=_0x2231e7[0x2],_0xf515f7[_0x2146b2(0xa80)][_0x2146b2(0x8dd)]=_0x2231e7[0x3],_0xf515f7['tag'][_0x2146b2(0xaf3)]=_0x2231e7['slice'](0x4,_0x2231e7[_0x2146b2(0x402)])[_0x2146b2(0xb47)](',');}break;case'autoreply':{const _0x34fab3=_0xf515f7['tag'][_0x2146b2(0x168a)]['split'](',');_0xf515f7[_0x2146b2(0xa80)][_0x2146b2(0x583)]=isNaN(_0x34fab3[0x0])?_0x34fab3[0x0]:parseInt(_0x34fab3[0x0],0xa),_0xf515f7[_0x2146b2(0xa80)]['text']=_0x34fab3[_0x2146b2(0x1298)](0x1,_0x34fab3['length'])[_0x2146b2(0xb47)](',');}break;case _0x2146b2(0x7fd):_0xf515f7[_0x2146b2(0xa80)][_0x2146b2(0xa8d)]=_0xf515f7[_0x2146b2(0xa80)]['appdata'];break;case'set':_0xf515f7['tag'][_0x2146b2(0x19eb)]=_0xf515f7[_0x2146b2(0xa80)][_0x2146b2(0x168a)][_0x2146b2(0x10c8)]('=')[0x0],_0xf515f7[_0x2146b2(0xa80)][_0x2146b2(0x175d)]=_0xf515f7['tag'][_0x2146b2(0x168a)][_0x2146b2(0x10c8)]('=')[0x1];break;case _0x2146b2(0x1ecb):_0xf515f7[_0x2146b2(0xa80)][_0x2146b2(0x9e0)]=_0xf515f7[_0x2146b2(0xa80)][_0x2146b2(0x168a)];break;default:{const _0x234b17=_0xf515f7[_0x2146b2(0xa80)][_0x2146b2(0x168a)][_0x2146b2(0x10c8)](',');_0xf515f7[_0x2146b2(0xa80)][_0x2146b2(0xa80)]=_0x3f65c0()['isEmpty'](_0x234b17[0x0])?_0x234b17[0x0]:isNaN(_0x234b17[0x0])?_0x234b17[0x0]:parseInt(_0x234b17[0x0],0xa);}break;}else{}_0xf515f7[_0x2146b2(0xa80)][_0x2146b2(0x1142)]&&_0xf515f7[_0x2146b2(0xa80)]['type'][_0x2146b2(0x256e)]()===_0x2146b2(0xc9c)&&_0xf515f7[_0x2146b2(0xa80)][_0x2146b2(0x8f2)][_0x2146b2(0x256e)]()===_0x2146b2(0x3bb)&&(_0xf515f7[_0x2146b2(0xa80)][_0x2146b2(0x1e12)]=_0xf515f7[_0x2146b2(0xa80)]['phone']?_0xf515f7[_0x2146b2(0xa80)][_0x2146b2(0x1d55)][_0x2146b2(0x10c8)]('$')[0x0]:undefined,_0xf515f7[_0x2146b2(0xa80)][_0x2146b2(0x1d43)]=_0xf515f7[_0x2146b2(0xa80)][_0x2146b2(0x11be)]?_0x2146b2(0x1b60)+_0xf515f7['tag'][_0x2146b2(0x11be)]:undefined);_0xf515f7['saveWhatsappAccountApp']=_0xd2666,_0xf515f7[_0x2146b2(0x13f3)]=_0x58661d,_0x458877[_0x2146b2(0x23e0)](_0x2146b2(0x174b))?_0x47de65[_0x2146b2(0xa80)][_0x2146b2(0x16b4)]({'fields':_0x2146b2(0x7a7),'sort':_0x2146b2(0x19eb),'nolimit':_0x2146b2(0x1185)})[_0x2146b2(0x2945)][_0x2146b2(0x146b)](function(_0x1db06e){const _0x94f45a=_0x2146b2;_0xf515f7[_0x94f45a(0x1b86)]=_0x1db06e['rows']||[];})[_0x2146b2(0x129e)](function(_0x84a6e2){const _0xd353b7=_0x2146b2;_0x20e8cd[_0xd353b7(0x1980)]({'title':_0x84a6e2[_0xd353b7(0x107b)]?'API:'+_0x84a6e2[_0xd353b7(0x107b)]+_0xd353b7(0x1315)+_0x84a6e2[_0xd353b7(0x167f)]:_0xd353b7(0x29c),'msg':_0x84a6e2[_0xd353b7(0x524)]?JSON[_0xd353b7(0x10bb)](_0x84a6e2['data']):_0x84a6e2['toString']()});}):_0x47de65['tag']['get']({'fields':_0x2146b2(0x7a7),'sort':'name','nolimit':'true'})[_0x2146b2(0x2945)]['then'](function(_0x39f80c){const _0x9145fc=_0x2146b2;_0xf515f7[_0x9145fc(0x1b86)]=_0x39f80c['rows']||[];})[_0x2146b2(0x146b)](function(){const _0x338323=_0x2146b2;return _0x47de65[_0x338323(0x1366)]['get']({'userProfileId':_0xf515f7['currentUser'][_0x338323(0x209a)],'sectionId':0x3f0})[_0x338323(0x2945)];})['then'](function(_0x2ba1e9){const _0x1645b1=_0x2146b2,_0xe399b3=_0x2ba1e9&&_0x2ba1e9['rows']?_0x2ba1e9[_0x1645b1(0x19c7)][0x0]:null;if(!_0xe399b3){const _0x5a5010=[];let _0x4649a7=null;_0xf515f7[_0x1645b1(0xa80)]&&(_0x4649a7=_0x3f65c0()[_0x1645b1(0xc84)](_0xf515f7[_0x1645b1(0x1b86)],{'name':_0xf515f7[_0x1645b1(0xa80)][_0x1645b1(0xa80)]}));for(let _0x458ba0=0x0;_0x458ba0<_0xf515f7[_0x1645b1(0x1b86)][_0x1645b1(0x402)];_0x458ba0++){_0x4649a7&&_0xf515f7[_0x1645b1(0x1b86)][_0x458ba0]['id']===_0x4649a7['id']&&(_0xf515f7['tags'][_0x458ba0][_0x1645b1(0x8ff)]=![],_0x5a5010[_0x1645b1(0x1f47)](_0xf515f7[_0x1645b1(0x1b86)][_0x458ba0]));}_0xf515f7[_0x1645b1(0x1b86)]=_0x5a5010;}else{if(!_0xe399b3[_0x1645b1(0x11d2)])return _0x47de65['userProfileResource'][_0x1645b1(0x16b4)]({'sectionId':_0xe399b3['id']})[_0x1645b1(0x2945)][_0x1645b1(0x146b)](function(_0x40512f){const _0x1ed0f4=_0x1645b1,_0xfb9e19=_0x3f65c0()[_0x1ed0f4(0x205)](_0x40512f['rows'],function(_0x45bf42){const _0x1ac6b2=_0x1ed0f4;return _0x3f65c0()[_0x1ac6b2(0xc84)](_0xf515f7[_0x1ac6b2(0x1b86)],{'id':_0x45bf42[_0x1ac6b2(0x18b8)]});});let _0x534d71=null;_0xf515f7[_0x1ed0f4(0xa80)]&&(_0x534d71=_0x3f65c0()[_0x1ed0f4(0xc84)](_0xf515f7['tags'],{'name':_0xf515f7[_0x1ed0f4(0xa80)][_0x1ed0f4(0xa80)]}));if(_0x534d71&&!_0x3f65c0()[_0x1ed0f4(0x1360)](_0xfb9e19,['id',_0x534d71['id']])){const _0x5ccaa1=_0x3f65c0()[_0x1ed0f4(0xc84)](_0xf515f7[_0x1ed0f4(0x1b86)],{'id':_0x534d71['id']});_0x5ccaa1[_0x1ed0f4(0x8ff)]=![],_0xfb9e19[_0x1ed0f4(0x1f47)](_0x5ccaa1);}_0xf515f7['tags']=_0xfb9e19;});}})[_0x2146b2(0x129e)](function(_0x18a68a){const _0x55ee11=_0x2146b2;_0x20e8cd[_0x55ee11(0x1980)]({'title':_0x18a68a[_0x55ee11(0x107b)]?_0x55ee11(0x262a)+_0x18a68a[_0x55ee11(0x107b)]+'\x20-\x20'+_0x18a68a['statusText']:_0x55ee11(0x156),'msg':_0x18a68a[_0x55ee11(0x524)]?JSON[_0x55ee11(0x10bb)](_0x18a68a[_0x55ee11(0x524)]):_0x18a68a[_0x55ee11(0xd5f)]()});});function _0xd2666(){const _0x345174=_0x2146b2;_0xf515f7['errors']=[];const _0x16991b=[];_0xf515f7[_0x345174(0xa80)]['type']&&_0xf515f7[_0x345174(0xa80)][_0x345174(0x1142)][_0x345174(0x256e)]()==='outbound'&&_0xf515f7[_0x345174(0xa80)][_0x345174(0x8f2)]===_0x345174(0x118b)&&(_0xf515f7[_0x345174(0xa80)][_0x345174(0x1d55)]=_0xdea95d[_0x345174(0x1274)]?(_0xf515f7[_0x345174(0xa80)][_0x345174(0x1e12)]||'')+_0x345174(0x2147)+_0xdea95d[_0x345174(0x1274)]+'}':(_0xf515f7[_0x345174(0xa80)]['prefix']||'')+'${EXTEN}',_0xdea95d[_0x345174(0x1425)]!=='none'?_0xf515f7[_0x345174(0xa80)][_0x345174(0xa08)][_0x345174(0x172b)](_0x345174(0x1ea4))<0x0&&(_0xf515f7[_0x345174(0xa80)][_0x345174(0xa08)]+=_0x345174(0x1ea4)):_0xf515f7[_0x345174(0xa80)][_0x345174(0xa08)]=_0xf515f7[_0x345174(0xa80)][_0x345174(0xa08)][_0x345174(0x5f4)](_0x345174(0x1ea4),''));const _0xd03600=_0x3f65c0()[_0x345174(0xc84)](_0xf515f7[_0x345174(0x1b86)],{'name':_0xf515f7[_0x345174(0xa80)][_0x345174(0xa80)]});_0xd03600&&(_0xf515f7['tag'][_0x345174(0xb0a)]=_0xd03600['id']);if(_0xf515f7[_0x345174(0xa80)]['appType']&&_0xf515f7[_0x345174(0xa80)][_0x345174(0x8f2)]==='custom'){}else switch((_0xf515f7[_0x345174(0xa80)]['app']||_0xf515f7['tag']['appType'])['toLowerCase']()){case _0x345174(0x26ba):_0xf515f7['tag'][_0x345174(0x168a)]=_0xf515f7['tag'][_0x345174(0x19eb)]+'='+_0xf515f7[_0x345174(0xa80)][_0x345174(0x175d)];break;case'custom':break;default:_0x16991b[0x0]=_0xf515f7['tag'][_0x345174(0xa80)],_0xf515f7['tag']['appdata']=_0x16991b[_0x345174(0xb47)](',');}_0x58661d(_0xf515f7[_0x345174(0xa80)]);}function _0x58661d(_0x20bc94){_0x2c2d32['hide'](_0x20bc94);}}const _0x1b48f0=_0x3de579;;_0x72172c[_0x313a4d(0x11c2)]=[_0x313a4d(0x23df),_0x313a4d(0x910),_0x313a4d(0x1862),'$q',_0x313a4d(0x214b),_0x313a4d(0x1abe),'$mdDialog','$document',_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0xa87)];function _0x72172c(_0x517f48,_0x279d35,_0x375e90,_0x1db3c5,_0x32e236,_0x5a8067,_0x18b41f,_0x110d5f,_0x3e1d2a,_0x45e0fb,_0x59785b){const _0x14730a=_0x313a4d,_0xe9334a=this;_0xe9334a[_0x14730a(0x2321)]=_0x59785b[_0x14730a(0xb12)](),_0xe9334a[_0x14730a(0x815)]={},_0xe9334a['whatsappAccountInteractions']={'count':0x0,'rows':[]},_0xe9334a[_0x14730a(0x149d)]=[],_0xe9334a[_0x14730a(0x2514)],_0xe9334a[_0x14730a(0x1a56)]={'read':_0x14730a(0x203c),'closed':'null','sort':'-createdAt','includeAll':_0x14730a(0x1185),'limit':0xa,'page':0x1},_0xe9334a[_0x14730a(0x5aa)]=_0x59f0c5,_0xe9334a[_0x14730a(0x19b4)]=_0x13a78e,_0xe9334a[_0x14730a(0x9d0)]=_0x2f74d1,_0xe9334a[_0x14730a(0x1c75)]=_0x5e3ff4,_0xe9334a[_0x14730a(0x134)]=_0x396b90,_0xe9334a[_0x14730a(0x173)]=_0xb110b0,_0xe9334a[_0x14730a(0x21a6)]=_0xb9ab6e,_0xe9334a[_0x14730a(0x102b)]=_0x2bba58,_0xe9334a['deleteWhatsappAccountInteraction']=_0x13bf97,_0xe9334a[_0x14730a(0x2563)]=_0x5c44c4;function _0x59f0c5(_0x5d1a49,_0x599106){const _0x1d9d11=_0x14730a;_0xe9334a[_0x1d9d11(0x815)]=_0x5d1a49,_0xe9334a['crudPermissions']=typeof _0x599106!==_0x1d9d11(0x2274)?_0x599106:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0xe9334a['query'][_0x1d9d11(0x158a)]=_0xe9334a['whatsappAccount']['id'],_0xe9334a['advancedSearch']={'fields':_0x3f57ae()},_0x300745();}function _0x300745(){const _0x5a2a9c=_0x14730a;return _0x45e0fb[_0x5a2a9c(0xa80)]['get']()[_0x5a2a9c(0x2945)][_0x5a2a9c(0x146b)](function(_0x4d00a2){_0xe9334a['tags']=_0x4d00a2||{'count':0x0,'rows':[]};})[_0x5a2a9c(0x146b)](function(){_0xe9334a['quickFilters']=_0x56eb86();});}function _0x56eb86(){const _0x21d561=_0x14730a;return[{'name':_0x21d561(0x294),'key':_0x21d561(0xc68),'type':_0x21d561(0x1a10),'label':'DASHBOARDS.SELECT_DATE'},{'name':_0x21d561(0x1c7c),'key':_0x21d561(0x229e),'type':_0x21d561(0xa5f),'label':_0x21d561(0x8f5),'customOptions':[{'value':0x0,'translate':_0x21d561(0x33f)},{'value':0x1,'translate':_0x21d561(0x1ce6)},{'value':null,'translate':_0x21d561(0x175e)}]},{'name':_0x21d561(0x74e),'key':_0x21d561(0x1943),'type':_0x21d561(0xa5f),'label':_0x21d561(0x1682),'customOptions':[{'value':0x0,'translate':_0x21d561(0x143b)},{'value':0x1,'translate':_0x21d561(0x1805)},{'value':null,'translate':_0x21d561(0x175e)}]},{'name':'Agent','key':_0x21d561(0xea2),'type':_0x21d561(0xa5f),'label':'DASHBOARDS.SELECT_AGENT','customOptions':[{'value':'null','translate':_0x21d561(0x38d)},{'value':undefined,'translate':'DASHBOARDS.ALL'}]},{'name':_0x21d561(0x23a8),'key':_0x21d561(0xa80),'type':_0x21d561(0x175c),'label':_0x21d561(0x1c0e),'options':_0xe9334a[_0x21d561(0x1b86)]['rows'],'placeholder':'DASHBOARDS.TAGS'}];}function _0x3f57ae(){const _0x57262c=_0x14730a;return[{'name':'Id','column':'id','type':_0x57262c(0x181)},{'name':'Contact','column':_0x57262c(0x364),'type':_0x57262c(0x14ee),'options':{'searchFields':['firstName','lastName',_0x57262c(0x1a2b)],'route':{'model':_0x57262c(0x18c3),'action':'get','params':{'fields':_0x57262c(0x992),'Contact':'@autocomplete','nolimit':!![]}},'extraOperators':[_0x57262c(0xacb)],'excludedOperators':[_0x57262c(0x1671)]}},{'name':_0x57262c(0x11e1),'column':_0x57262c(0xe32),'type':_0x57262c(0xa8d),'options':{'excludedOperators':[_0x57262c(0x6be),_0x57262c(0x1671)]}},{'name':_0x57262c(0x710),'column':_0x57262c(0xb80),'type':'text','options':{'excludedOperators':[_0x57262c(0x6be),_0x57262c(0x1671)]}},{'name':_0x57262c(0x74e),'column':'closed','type':'select','values':[{'id':0x0,'translate':_0x57262c(0x143b)},{'id':0x1,'translate':_0x57262c(0x1805)}],'options':{'excludedOperators':[_0x57262c(0x1671)]}},{'name':'Agent','column':_0x57262c(0x2393),'type':'autocomplete','options':{'table':'i','route':{'model':'user','action':_0x57262c(0x16b4),'params':{'role':_0x57262c(0x1755),'fields':_0x57262c(0x12bf),'nolimit':!![]}},'searchFields':[_0x57262c(0x286a),_0x57262c(0x19eb)],'extraOperators':[_0x57262c(0xacb)],'excludedOperators':[_0x57262c(0x1671)]}},{'name':_0x57262c(0x2331),'column':_0x57262c(0x23a8),'type':_0x57262c(0x175c),'options':{'route':{'model':_0x57262c(0xa80),'action':_0x57262c(0x16b4),'params':{'nolimit':!![]}},'excludedOperators':[_0x57262c(0xbe5)]}},{'name':_0x57262c(0x294),'column':_0x57262c(0xc68),'type':'date','options':{'excludedOperators':[_0x57262c(0x1671)]}},{'name':'Read','column':_0x57262c(0x26a6),'type':_0x57262c(0xa5f),'values':[{'id':0x1,'translate':_0x57262c(0x1ce6)},{'id':0x0,'translate':_0x57262c(0x33f)}],'options':{'excludedOperators':[_0x57262c(0x1671)]}}];}function _0xb9ab6e(){const _0x53fa23=_0x14730a;_0x18b41f[_0x53fa23(0x2615)]({'controller':_0x53fa23(0x26bc),'controllerAs':'vm','templateUrl':_0x3fb128,'parent':angular[_0x53fa23(0x1853)](_0x110d5f[_0x53fa23(0x2586)]),'clickOutsideToClose':![],'locals':{'fields':_0xe9334a[_0x53fa23(0xe93)]['fields'],'color':undefined,'storagePath':_0x53fa23(0x12aa)},'fullscreen':!![]})[_0x53fa23(0x146b)](function(_0x4c6470){const _0x4e02fd=_0x53fa23;_0xe9334a['query'][_0x4e02fd(0x21da)]=_0x4c6470===![]?undefined:_0x4c6470;if(_0xe9334a[_0x4e02fd(0x1a56)][_0x4e02fd(0x21da)])_0x396b90();else _0x4c6470===![]&&_0x396b90();})[_0x53fa23(0x129e)](function(_0x5155ca){const _0x278907=_0x53fa23;_0x3e1d2a[_0x278907(0x1980)]({'title':'ERROR','msg':_0x5155ca[_0x278907(0x524)]?JSON[_0x278907(0x10bb)](_0x5155ca[_0x278907(0x524)][_0x278907(0x7fd)]):_0x5155ca['toString']()});});}function _0x2f74d1(_0x514e06,_0x5c7e62,_0x2e5bbb){const _0x4a33c4=_0x14730a;return _0x45e0fb[_0x4a33c4(0x257a)][_0x4a33c4(0x15b1)]({'id':_0x514e06['id'],'exists':!![],'attachments':_0x2e5bbb})[_0x4a33c4(0x2945)][_0x4a33c4(0x146b)](function(_0x453798){const _0x2144a6=_0x4a33c4,_0x5882f8=[_0x453798['buffer']];let _0x409a4b='interaction'+_0x514e06['id'];const _0x1419c2=new Blob(_0x5882f8,{'type':_0x453798[_0x2144a6(0x1142)]});_0x409a4b=_0x2144a6(0x19a6)+_0x514e06['id']+'.zip';const _0x1c5c23=window[_0x2144a6(0xef3)][_0x2144a6(0x24ec)]('a');_0x1c5c23[_0x2144a6(0x1652)](_0x2144a6(0x1b3c),URL['createObjectURL'](_0x1419c2)),_0x1c5c23['setAttribute'](_0x2144a6(0x15b1),_0x409a4b),document[_0x2144a6(0x2586)]['appendChild'](_0x1c5c23),_0x1c5c23[_0x2144a6(0x1fa5)]();})['catch'](function(_0x44a0ca){const _0x417adb=_0x4a33c4;if(_0x44a0ca[_0x417adb(0x524)]&&_0x44a0ca['data'][_0x417adb(0xcef)]&&_0x44a0ca[_0x417adb(0x524)]['errors'][_0x417adb(0x402)])for(let _0x3955a8=0x0;_0x3955a8<_0x44a0ca[_0x417adb(0x524)]['errors'][_0x417adb(0x402)];_0x3955a8+=0x1){_0x3e1d2a[_0x417adb(0x1980)]({'title':_0x44a0ca[_0x417adb(0x524)]['errors'][_0x3955a8][_0x417adb(0x1142)],'msg':_0x44a0ca[_0x417adb(0x524)][_0x417adb(0xcef)][_0x3955a8][_0x417adb(0x7fd)]});}else _0x3e1d2a[_0x417adb(0x1980)]({'title':_0x44a0ca['status']?_0x417adb(0x262a)+_0x44a0ca[_0x417adb(0x107b)]+_0x417adb(0x1315)+_0x44a0ca[_0x417adb(0x167f)]:'api.whatsappAccount.save','msg':_0x44a0ca[_0x417adb(0x524)]?JSON['stringify'](_0x44a0ca['data']['message']):_0x44a0ca[_0x417adb(0xd5f)]()});});}function _0x13a78e(_0x508e0e,_0x4b0a17){const _0x14dadd=_0x14730a,_0x599356=_0x18b41f[_0x14dadd(0x1e8a)]()[_0x14dadd(0x1189)](_0x14dadd(0x1f40))[_0x14dadd(0x1cbe)](''+(_0x508e0e['name']||_0x508e0e['id']&&_0x3f65c0()[_0x14dadd(0x21cf)](_0x14dadd(0xb37))+_0x508e0e['id']||_0x14dadd(0xe80))+_0x14dadd(0x252f)+'\x20will\x20be\x20deleted.')[_0x14dadd(0x4bd)](_0x14dadd(0xcab))[_0x14dadd(0x1f27)](_0x4b0a17)['ok']('OK')[_0x14dadd(0x6c3)]('CANCEL');_0x18b41f[_0x14dadd(0x2615)](_0x599356)[_0x14dadd(0x146b)](function(){_0x13bf97(_0x508e0e);},function(){const _0x4a2093=_0x14dadd;console[_0x4a2093(0x1a74)](_0x4a2093(0x39a));});}function _0x5e3ff4(_0x4b36ae){const _0xc2a462=_0x14730a;_0xe9334a[_0xc2a462(0x21e5)]=_0x4b36ae||{'count':0x0,'rows':[]};for(let _0x2200a1=0x0;_0x2200a1<_0xe9334a[_0xc2a462(0x21e5)][_0xc2a462(0x19c7)][_0xc2a462(0x402)];_0x2200a1+=0x1){const _0x362812=_0xe9334a[_0xc2a462(0x21e5)]['rows'][_0x2200a1];_0x589992(_0x362812),_0x362812['Owner'][_0xc2a462(0x19eb)]=_0x393026(_0x362812);}}function _0x396b90(){const _0x2db2df=_0x14730a;_0xe9334a['query'][_0x2db2df(0x145d)]=(_0xe9334a[_0x2db2df(0x1a56)][_0x2db2df(0x844)]-0x1)*_0xe9334a[_0x2db2df(0x1a56)]['limit'],_0xe9334a[_0x2db2df(0xb9c)]=_0x45e0fb[_0x2db2df(0x257a)]['get'](_0xe9334a[_0x2db2df(0x1a56)],_0x5e3ff4)[_0x2db2df(0x2945)];}function _0xb110b0(_0x4ae5b3,_0x5a5baf){const _0x3c3364=_0x14730a;_0x18b41f[_0x3c3364(0x2615)]({'controller':_0x3c3364(0xd2c),'controllerAs':'vm','templateUrl':_0x270cc0,'parent':angular[_0x3c3364(0x1853)](_0x110d5f[_0x3c3364(0x2586)]),'targetEvent':_0x4ae5b3,'clickOutsideToClose':!![],'onShowing':function(_0x53ec92){const _0x531575=_0x3c3364;_0x53ec92['vm']['init']({'id':0x1,'channel':_0x531575(0xff9),'interaction':_0x5a5baf,'spy':!![]},_0x279d35[_0x531575(0x2870)]['vm']['setting']);}});}function _0x13bf97(_0x275e08){const _0x45f7ef=_0x14730a;_0x45e0fb['whatsappInteraction'][_0x45f7ef(0x1fac)]({'id':_0x275e08['id']})[_0x45f7ef(0x2945)]['then'](function(){const _0x491b18=_0x45f7ef;_0x3f65c0()[_0x491b18(0x2640)](_0xe9334a[_0x491b18(0x21e5)][_0x491b18(0x19c7)],{'id':_0x275e08['id']}),_0xe9334a[_0x491b18(0x21e5)][_0x491b18(0x51c)]-=0x1,!_0xe9334a[_0x491b18(0x21e5)][_0x491b18(0x19c7)][_0x491b18(0x402)]&&_0x396b90(),_0x3e1d2a[_0x491b18(0x1c75)]({'title':_0x491b18(0x739),'msg':_0x275e08['name']?_0x275e08[_0x491b18(0x19eb)]+_0x491b18(0x23e3):''});})['catch'](function(_0x4e083c){const _0x1f0526=_0x45f7ef;if(_0x4e083c[_0x1f0526(0x524)]&&_0x4e083c[_0x1f0526(0x524)][_0x1f0526(0xcef)]&&_0x4e083c[_0x1f0526(0x524)][_0x1f0526(0xcef)][_0x1f0526(0x402)]){_0xe9334a[_0x1f0526(0xcef)]=_0x4e083c[_0x1f0526(0x524)][_0x1f0526(0xcef)]||[{'message':_0x4e083c[_0x1f0526(0xd5f)](),'type':_0x1f0526(0x1092)}];for(let _0x3a3918=0x0;_0x3a3918<_0x4e083c[_0x1f0526(0x524)][_0x1f0526(0xcef)][_0x1f0526(0x402)];_0x3a3918++){_0x3e1d2a[_0x1f0526(0x1980)]({'title':_0x4e083c['data']['errors'][_0x3a3918][_0x1f0526(0x1142)],'msg':_0x4e083c['data'][_0x1f0526(0xcef)][_0x3a3918][_0x1f0526(0x7fd)]});}}else _0x3e1d2a[_0x1f0526(0x1980)]({'title':_0x4e083c[_0x1f0526(0x107b)]?_0x1f0526(0x262a)+_0x4e083c[_0x1f0526(0x107b)]+'\x20-\x20'+_0x4e083c[_0x1f0526(0x167f)]:'SYSTEM:GETwhatsappAccount','msg':_0x4e083c[_0x1f0526(0x524)]?JSON[_0x1f0526(0x10bb)](_0x4e083c['data'][_0x1f0526(0x7fd)]):_0x4e083c[_0x1f0526(0x7fd)]||_0x4e083c[_0x1f0526(0xd5f)]()});});}function _0x2bba58(){const _0x2e5107=_0x14730a,_0x1570ad=angular[_0x2e5107(0x235a)](_0xe9334a[_0x2e5107(0x149d)]);return _0xe9334a[_0x2e5107(0x149d)]=[],_0x1570ad;}function _0x5c44c4(_0x1a796f){const _0x2ddfc8=_0x14730a,_0x4d84bb=_0x18b41f[_0x2ddfc8(0x1e8a)]()[_0x2ddfc8(0x1189)](_0x2ddfc8(0x2283))['htmlContent'](_0x2ddfc8(0x16d3)+_0xe9334a[_0x2ddfc8(0x149d)][_0x2ddfc8(0x402)]+_0x2ddfc8(0x2452)+_0x2ddfc8(0xe01))[_0x2ddfc8(0x4bd)](_0x2ddfc8(0xa37))[_0x2ddfc8(0x1f27)](_0x1a796f)['ok']('OK')[_0x2ddfc8(0x6c3)]('CANCEL');_0x18b41f[_0x2ddfc8(0x2615)](_0x4d84bb)[_0x2ddfc8(0x146b)](function(){const _0x5642ab=_0x2ddfc8;_0xe9334a[_0x5642ab(0x149d)][_0x5642ab(0x1df5)](function(_0x1efc0f){_0x13bf97(_0x1efc0f);}),_0xe9334a[_0x5642ab(0x149d)]=[];});}function _0x589992(_0x395c24){const _0x378b56=_0x14730a;_0x395c24[_0x378b56(0x364)]?_0x395c24[_0x378b56(0x792)]=(_0x395c24[_0x378b56(0x364)][_0x378b56(0x1ed4)]||'')+'\x20'+(_0x395c24[_0x378b56(0x364)][_0x378b56(0xee4)]||''):_0x395c24[_0x378b56(0x792)]=_0x32e236['instant'](_0x378b56(0xe0b));}function _0x393026(_0x527505){const _0x508935=_0x14730a;if(_0x527505[_0x508935(0xea2)])return _0x527505[_0x508935(0xea2)]===_0xe9334a[_0x508935(0x2321)]['id']?_0x32e236[_0x508935(0xde)](_0x508935(0x6d9)):_0x527505[_0x508935(0xac5)][_0x508935(0x286a)]+'\x20<'+_0x527505[_0x508935(0xac5)][_0x508935(0x113f)]+'>';return _0x32e236[_0x508935(0xde)](_0x508935(0x38d));}let _0x7f8436=!![],_0x58ec05=0x1;_0x279d35[_0x14730a(0x21e8)](_0x14730a(0x117f),function(_0x160868,_0x533a21){const _0x463c8a=_0x14730a;_0x7f8436?_0x5a8067(function(){_0x7f8436=![];}):(!_0x533a21&&(_0x58ec05=_0xe9334a[_0x463c8a(0x1a56)][_0x463c8a(0x844)]),_0x160868!==_0x533a21&&(_0xe9334a[_0x463c8a(0x1a56)][_0x463c8a(0x844)]=0x1),!_0x160868&&(_0xe9334a[_0x463c8a(0x1a56)][_0x463c8a(0x844)]=_0x58ec05),_0x396b90());});}const _0x5e629b=_0x72172c;;const _0x434ef6=_0x4acfac['p']+_0x313a4d(0xa75);;_0x395a81[_0x313a4d(0x11c2)]=['$state',_0x313a4d(0xd08),'$mdDialog',_0x313a4d(0x4d8),'$translate','license',_0x313a4d(0x15b9),_0x313a4d(0x247f),_0x313a4d(0x1fe4),'Auth',_0x313a4d(0x815),'userProfileSection'];function _0x395a81(_0x3db257,_0x26db0c,_0x1bd8fb,_0x33ec71,_0x5ed254,_0x159422,_0x543f16,_0x4af84f,_0x42f94e,_0x57b359,_0x2d832a,_0x52eecb){const _0x4b3ed9=_0x313a4d,_0xce83f4=this;_0xce83f4[_0x4b3ed9(0x2321)]=_0x57b359['getCurrentUser'](),_0xce83f4[_0x4b3ed9(0x2690)]=_0x159422,_0xce83f4[_0x4b3ed9(0x15b9)]=_0x543f16,_0xce83f4[_0x4b3ed9(0x2251)]=_0xce83f4['setting'][_0x4b3ed9(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0xce83f4[_0x4b3ed9(0x1002)]=_0x26db0c[_0x4b3ed9(0x2414)]()+_0x4b3ed9(0xb0e)+_0x26db0c['host'](),_0xce83f4[_0x4b3ed9(0x815)]=_0x2d832a||_0x3db257[_0x4b3ed9(0x16a)][_0x4b3ed9(0x815)]||{},_0xce83f4['userProfileSection']=_0x52eecb&&_0x52eecb['count']==0x1?_0x52eecb['rows'][0x0]:null,_0xce83f4[_0x4b3ed9(0x2514)]=_0x57b359[_0x4b3ed9(0xe60)](_0xce83f4[_0x4b3ed9(0x1366)]?_0xce83f4['userProfileSection'][_0x4b3ed9(0x2514)]:null),_0xce83f4[_0x4b3ed9(0x855)]={},_0xce83f4[_0x4b3ed9(0x1b09)]=_0x3db257[_0x4b3ed9(0x16a)][_0x4b3ed9(0x13a3)]||0x0,_0xce83f4[_0x4b3ed9(0x1027)]=_0x5c2c3a,_0xce83f4['alert']=_0x42f94e[_0x4b3ed9(0x271e)],_0xce83f4[_0x4b3ed9(0x8e8)]=_0x17ad36,_0xce83f4['saveWhatsappAccount']=_0xe8f6dc,_0x57b359[_0x4b3ed9(0x23e0)](_0x4b3ed9(0x174b))?_0x4af84f[_0x4b3ed9(0xf03)][_0x4b3ed9(0x16b4)]({'fields':_0x4b3ed9(0x7a7),'sort':_0x4b3ed9(0x19eb)})['$promise'][_0x4b3ed9(0x146b)](function(_0x53b608){const _0x42c7f0=_0x4b3ed9;_0xce83f4[_0x42c7f0(0x1324)]=_0x53b608['rows']||[];})[_0x4b3ed9(0x129e)](function(_0x2f3266){const _0x48867b=_0x4b3ed9;_0x42f94e[_0x48867b(0x1980)]({'title':_0x2f3266[_0x48867b(0x107b)]?_0x48867b(0x262a)+_0x2f3266['status']+'\x20-\x20'+_0x2f3266[_0x48867b(0x167f)]:_0x48867b(0x11b3),'msg':_0x2f3266['data']?JSON['stringify'](_0x2f3266[_0x48867b(0x524)]):_0x2f3266[_0x48867b(0xd5f)]()});}):_0x4af84f[_0x4b3ed9(0xf03)][_0x4b3ed9(0x16b4)]({'fields':'id,name','sort':_0x4b3ed9(0x19eb)})[_0x4b3ed9(0x2945)][_0x4b3ed9(0x146b)](function(_0x5525f6){const _0x35b4fb=_0x4b3ed9;_0xce83f4[_0x35b4fb(0x1324)]=_0x5525f6[_0x35b4fb(0x19c7)]||[];})[_0x4b3ed9(0x146b)](function(){const _0x465f71=_0x4b3ed9;return _0x4af84f[_0x465f71(0x1366)][_0x465f71(0x16b4)]({'userProfileId':_0xce83f4[_0x465f71(0x2321)][_0x465f71(0x209a)],'sectionId':0x12d})['$promise'];})[_0x4b3ed9(0x146b)](function(_0x30fbab){const _0x18bf37=_0x4b3ed9,_0x7c67fd=_0x30fbab&&_0x30fbab['rows']?_0x30fbab[_0x18bf37(0x19c7)][0x0]:null;if(!_0x7c67fd){const _0xe2ff76=[];let _0x25024b=null;_0xce83f4['whatsappAccount']&&(_0x25024b=_0x3f65c0()[_0x18bf37(0xc84)](_0xce83f4[_0x18bf37(0x1324)],{'id':Number(_0xce83f4[_0x18bf37(0x815)]['ListId'])}));for(let _0x5a28e8=0x0;_0x5a28e8<_0xce83f4[_0x18bf37(0x1324)][_0x18bf37(0x402)];_0x5a28e8++){_0x25024b&&_0xce83f4['lists'][_0x5a28e8]['id']===_0x25024b['id']&&(_0xce83f4[_0x18bf37(0x1324)][_0x5a28e8][_0x18bf37(0x8ff)]=![],_0xe2ff76[_0x18bf37(0x1f47)](_0xce83f4[_0x18bf37(0x1324)][_0x5a28e8]));}_0xce83f4[_0x18bf37(0x1324)]=_0xe2ff76;}else{if(!_0x7c67fd[_0x18bf37(0x11d2)])return _0x4af84f[_0x18bf37(0xdcc)][_0x18bf37(0x16b4)]({'sectionId':_0x7c67fd['id']})[_0x18bf37(0x2945)][_0x18bf37(0x146b)](function(_0x4f070c){const _0xe7831c=_0x18bf37,_0x41a0fe=_0x3f65c0()[_0xe7831c(0x205)](_0x4f070c[_0xe7831c(0x19c7)],function(_0x55059e){const _0x425cef=_0xe7831c;return _0x3f65c0()[_0x425cef(0xc84)](_0xce83f4['lists'],{'id':_0x55059e[_0x425cef(0x18b8)]});});let _0x1bc68c=null;_0xce83f4[_0xe7831c(0x815)]&&(_0x1bc68c=_0x3f65c0()[_0xe7831c(0xc84)](_0xce83f4['lists'],{'id':Number(_0xce83f4[_0xe7831c(0x815)][_0xe7831c(0xb7c)])}));if(_0x1bc68c&&!_0x3f65c0()[_0xe7831c(0x1360)](_0x41a0fe,['id',_0x1bc68c['id']])){const _0x3b0a5d=_0x3f65c0()[_0xe7831c(0xc84)](_0xce83f4[_0xe7831c(0x1324)],{'id':_0x1bc68c['id']});_0x3b0a5d[_0xe7831c(0x8ff)]=![],_0x41a0fe[_0xe7831c(0x1f47)](_0x3b0a5d);}_0xce83f4[_0xe7831c(0x1324)]=_0x41a0fe;});}})[_0x4b3ed9(0x129e)](function(_0x369c57){const _0x52137e=_0x4b3ed9;_0x42f94e[_0x52137e(0x1980)]({'title':_0x369c57['status']?_0x52137e(0x262a)+_0x369c57['status']+'\x20-\x20'+_0x369c57[_0x52137e(0x167f)]:'SYSTEM:GETlists','msg':_0x369c57[_0x52137e(0x524)]?JSON['stringify'](_0x369c57[_0x52137e(0x524)]):_0x369c57['toString']()});}),_0x57b359[_0x4b3ed9(0x23e0)]('admin')?_0x4af84f[_0x4b3ed9(0x1e3e)][_0x4b3ed9(0x16b4)]({'fields':_0x4b3ed9(0x69a),'sort':_0x4b3ed9(0x19eb),'nolimit':_0x4b3ed9(0x1185)})['$promise']['then'](function(_0x27d69e){const _0x3f0152=_0x4b3ed9;_0xce83f4[_0x3f0152(0x23bb)]=_0x27d69e[_0x3f0152(0x19c7)]||[];})['catch'](function(_0x1d6da0){const _0x40de84=_0x4b3ed9;_0x42f94e[_0x40de84(0x1980)]({'title':_0x1d6da0[_0x40de84(0x107b)]?_0x40de84(0x262a)+_0x1d6da0[_0x40de84(0x107b)]+_0x40de84(0x1315)+_0x1d6da0['statusText']:_0x40de84(0x1e82),'msg':_0x1d6da0['data']?JSON[_0x40de84(0x10bb)](_0x1d6da0[_0x40de84(0x524)]):_0x1d6da0['toString']()});}):_0x4af84f[_0x4b3ed9(0x1e3e)][_0x4b3ed9(0x16b4)]({'fields':_0x4b3ed9(0x69a),'sort':_0x4b3ed9(0x19eb),'nolimit':_0x4b3ed9(0x1185)})[_0x4b3ed9(0x2945)][_0x4b3ed9(0x146b)](function(_0x2bc1f6){const _0x579b56=_0x4b3ed9;_0xce83f4[_0x579b56(0x23bb)]=_0x2bc1f6['rows']||[];})['then'](function(){const _0x3e4da3=_0x4b3ed9;return _0x4af84f[_0x3e4da3(0x1366)]['get']({'userProfileId':_0xce83f4[_0x3e4da3(0x2321)][_0x3e4da3(0x209a)],'sectionId':0x3ed})['$promise'];})['then'](function(_0xcd654e){const _0x6c4a41=_0x4b3ed9,_0x23f3c4=_0xcd654e&&_0xcd654e[_0x6c4a41(0x19c7)]?_0xcd654e[_0x6c4a41(0x19c7)][0x0]:null;if(!_0x23f3c4)_0xce83f4[_0x6c4a41(0x23bb)]=[];else{if(!_0x23f3c4[_0x6c4a41(0x11d2)])return _0x4af84f[_0x6c4a41(0xdcc)][_0x6c4a41(0x16b4)]({'sectionId':_0x23f3c4['id']})[_0x6c4a41(0x2945)][_0x6c4a41(0x146b)](function(_0x1f75be){const _0xa480e7=_0x6c4a41,_0x1de015=_0x3f65c0()[_0xa480e7(0x205)](_0x1f75be['rows'],function(_0x4ff442){const _0x43c40b=_0xa480e7;return _0x3f65c0()[_0x43c40b(0xc84)](_0xce83f4['pauses'],{'id':_0x4ff442[_0x43c40b(0x18b8)]});});_0xce83f4[_0xa480e7(0x23bb)][_0xa480e7(0x1df5)](function(_0x9c89a){const _0x3f3c4c=_0xa480e7;!_0x3f65c0()[_0x3f3c4c(0x1360)](_0x1de015,['id',_0x9c89a['id']])&&(_0x9c89a[_0x3f3c4c(0x8ff)]=![]),_0x1de015[_0x3f3c4c(0x1f47)](_0x9c89a);}),_0xce83f4[_0xa480e7(0x23bb)]=_0x1de015;});}})[_0x4b3ed9(0x129e)](function(_0xc6455c){const _0x59e497=_0x4b3ed9;_0x42f94e['error']({'title':_0xc6455c[_0x59e497(0x107b)]?_0x59e497(0x262a)+_0xc6455c[_0x59e497(0x107b)]+_0x59e497(0x1315)+_0xc6455c[_0x59e497(0x167f)]:'SYSTEM:GETpauses','msg':_0xc6455c[_0x59e497(0x524)]?JSON[_0x59e497(0x10bb)](_0xc6455c['data']):_0xc6455c['toString']()});});function _0x5c2c3a(_0x1be560,_0xfc47e3){const _0x4087e3=_0x4b3ed9;_0x1bd8fb[_0x4087e3(0x2615)]({'controller':'WhatsappAccountagentaddController','controllerAs':'vm','templateUrl':_0x434ef6,'parent':angular[_0x4087e3(0x1853)](_0x33ec71[_0x4087e3(0x2586)]),'targetEvent':_0xfc47e3,'clickOutsideToClose':!![],'locals':{'whatsappAccount':_0x1be560,'whatsappAccounts':_0xce83f4[_0x4087e3(0x23c)]?_0xce83f4[_0x4087e3(0x23c)]['rows']:[],'crudPermissions':_0xce83f4[_0x4087e3(0x2514)],'realtime':![]}});}function _0x17ad36(){const _0x21d558=_0x4b3ed9;_0x3db257['go'](_0x21d558(0x5cd),{},{'reload':_0x21d558(0x5cd)});}function _0xe8f6dc(){const _0x3ce0de=_0x4b3ed9;_0x4af84f[_0x3ce0de(0x815)][_0x3ce0de(0x18e1)]({'id':_0xce83f4[_0x3ce0de(0x815)]['id']},_0xce83f4[_0x3ce0de(0x815)])['$promise'][_0x3ce0de(0x146b)](function(){const _0x5abf7e=_0x3ce0de;_0x42f94e[_0x5abf7e(0x1c75)]({'title':'WhatsappAccount\x20updated!','msg':_0xce83f4[_0x5abf7e(0x815)][_0x5abf7e(0x19eb)]?_0xce83f4[_0x5abf7e(0x815)][_0x5abf7e(0x19eb)]+_0x5abf7e(0x6b0):''});})[_0x3ce0de(0x129e)](function(_0x398844){const _0x4cd163=_0x3ce0de;_0x42f94e['error']({'title':_0x398844[_0x4cd163(0x107b)]?'API:'+_0x398844[_0x4cd163(0x107b)]+'\x20-\x20'+_0x398844[_0x4cd163(0x167f)]:_0x4cd163(0x1092),'msg':_0x398844[_0x4cd163(0x524)]?JSON['stringify'](_0x398844[_0x4cd163(0x524)]):_0x398844[_0x4cd163(0xd5f)]()});});}}const _0x26407f=_0x395a81;;const _0x312283=_0x4acfac['p']+_0x313a4d(0x1fed);;_0x4bda81['$inject']=[_0x313a4d(0x23df),_0x313a4d(0x910),_0x313a4d(0x1862),'$q',_0x313a4d(0x214b),'$timeout',_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1fe4),_0x313a4d(0x247f),_0x313a4d(0xa87)];function _0x4bda81(_0x5a882c,_0x237607,_0x2fd920,_0x4fcb14,_0x1baf28,_0x5f58c1,_0x29d736,_0x1dc2f1,_0x1cbc90,_0x48aa2d,_0x8d6827){const _0xbcb97e=_0x313a4d,_0x4bc66a=this;_0x4bc66a['currentUser']=_0x8d6827['getCurrentUser'](),_0x4bc66a[_0xbcb97e(0x815)]={},_0x4bc66a[_0xbcb97e(0x18f3)]={'count':0x0,'rows':[]},_0x4bc66a['selectedWhatsappAccountWhatsappCannedAnswers']=[],_0x4bc66a[_0xbcb97e(0x2514)],_0x4bc66a[_0xbcb97e(0x1a56)]={'fields':_0xbcb97e(0x241e),'limit':0xa,'page':0x1},_0x4bc66a['init']=_0x5cc07a,_0x4bc66a[_0xbcb97e(0x19b4)]=_0x4d6787,_0x4bc66a['success']=_0x3ed88d,_0x4bc66a['getWhatsappAccountWhatsappCannedAnswers']=_0x1ff84a,_0x4bc66a['createOrEditWhatsappAccountWhatsappCannedAnswer']=_0x295407,_0x4bc66a['exportSelectedWhatsappAccountWhatsappCannedAnswers']=_0x46e8af,_0x4bc66a[_0xbcb97e(0x14e7)]=_0x3408a1,_0x4bc66a[_0xbcb97e(0x2914)]=_0x4d1681;function _0x5cc07a(_0x2a7646,_0x52b7d8){const _0x3ef827=_0xbcb97e;_0x4bc66a[_0x3ef827(0x815)]=_0x2a7646,_0x4bc66a[_0x3ef827(0x2514)]=typeof _0x52b7d8!==_0x3ef827(0x2274)?_0x52b7d8:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x4bc66a[_0x3ef827(0x1a56)][_0x3ef827(0x158a)]=_0x4bc66a[_0x3ef827(0x815)]['id'],_0x4bc66a['query']['id']=_0x4bc66a[_0x3ef827(0x815)]['id'],_0x1ff84a();}function _0x4d6787(_0x408787,_0x3322ef){const _0x294731=_0xbcb97e,_0x41dbae=_0x29d736[_0x294731(0x1e8a)]()[_0x294731(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20whatsappCannedAnswer?')[_0x294731(0x1cbe)](_0x294731(0x16d3)+(_0x408787[_0x294731(0x19eb)]||_0x408787['id']&&_0x3f65c0()[_0x294731(0x21cf)](_0x294731(0x292b))+_0x408787['id']||'whatsappCannedAnswer')+_0x294731(0x252f)+_0x294731(0xe01))[_0x294731(0x4bd)](_0x294731(0x1b5f))[_0x294731(0x1f27)](_0x3322ef)['ok']('OK')[_0x294731(0x6c3)](_0x294731(0x39a));_0x29d736[_0x294731(0x2615)](_0x41dbae)['then'](function(){_0x3408a1(_0x408787);},function(){const _0x515bac=_0x294731;console[_0x515bac(0x1a74)](_0x515bac(0x39a));});}function _0x3ed88d(_0x2dd093){const _0x5aeba6=_0xbcb97e;_0x4bc66a[_0x5aeba6(0x18f3)]=_0x2dd093||{'count':0x0,'rows':[]};}function _0x1ff84a(){const _0x4189c9=_0xbcb97e;_0x4bc66a['query']['offset']=(_0x4bc66a[_0x4189c9(0x1a56)][_0x4189c9(0x844)]-0x1)*_0x4bc66a[_0x4189c9(0x1a56)]['limit'],_0x4bc66a[_0x4189c9(0xb9c)]=_0x48aa2d[_0x4189c9(0x815)]['getAnswers'](_0x4bc66a['query'],_0x3ed88d)[_0x4189c9(0x2945)];}function _0x295407(_0x516c99,_0x33eb2a){const _0x197866=_0xbcb97e;_0x29d736[_0x197866(0x2615)]({'controller':_0x197866(0x22f),'controllerAs':'vm','templateUrl':_0x312283,'parent':angular[_0x197866(0x1853)](_0x1dc2f1[_0x197866(0x2586)]),'targetEvent':_0x516c99,'clickOutsideToClose':!![],'locals':{'whatsappAccount':_0x4bc66a['whatsappAccount'],'whatsappCannedAnswer':_0x33eb2a,'whatsappCannedAnswers':_0x4bc66a[_0x197866(0x18f3)][_0x197866(0x19c7)],'license':null,'setting':null,'crudPermissions':_0x4bc66a[_0x197866(0x2514)]}});}function _0x3408a1(_0x2a9663){const _0x156642=_0xbcb97e;_0x48aa2d['cannedAnswer'][_0x156642(0x1fac)]({'id':_0x2a9663['id']})['$promise'][_0x156642(0x146b)](function(){const _0x5446b7=_0x156642;_0x3f65c0()[_0x5446b7(0x2640)](_0x4bc66a[_0x5446b7(0x18f3)][_0x5446b7(0x19c7)],{'id':_0x2a9663['id']}),_0x4bc66a[_0x5446b7(0x18f3)]['count']-=0x1,!_0x4bc66a[_0x5446b7(0x18f3)]['rows'][_0x5446b7(0x402)]&&_0x1ff84a(),_0x1cbc90[_0x5446b7(0x1c75)]({'title':_0x5446b7(0x16ed),'msg':_0x2a9663[_0x5446b7(0x19eb)]?_0x2a9663[_0x5446b7(0x19eb)]+_0x5446b7(0x23e3):''});})[_0x156642(0x129e)](function(_0x5b522a){const _0x1238c7=_0x156642;if(_0x5b522a[_0x1238c7(0x524)]&&_0x5b522a[_0x1238c7(0x524)]['errors']&&_0x5b522a[_0x1238c7(0x524)][_0x1238c7(0xcef)][_0x1238c7(0x402)]){_0x4bc66a[_0x1238c7(0xcef)]=_0x5b522a[_0x1238c7(0x524)][_0x1238c7(0xcef)]||[{'message':_0x5b522a[_0x1238c7(0xd5f)](),'type':'SYSTEM:GETwhatsappAccount'}];for(let _0x25b13a=0x0;_0x25b13a<_0x5b522a['data']['errors'][_0x1238c7(0x402)];_0x25b13a++){_0x1cbc90[_0x1238c7(0x1980)]({'title':_0x5b522a[_0x1238c7(0x524)][_0x1238c7(0xcef)][_0x25b13a][_0x1238c7(0x1142)],'msg':_0x5b522a[_0x1238c7(0x524)][_0x1238c7(0xcef)][_0x25b13a][_0x1238c7(0x7fd)]});}}else _0x1cbc90[_0x1238c7(0x1980)]({'title':_0x5b522a[_0x1238c7(0x107b)]?'API:'+_0x5b522a[_0x1238c7(0x107b)]+_0x1238c7(0x1315)+_0x5b522a[_0x1238c7(0x167f)]:_0x1238c7(0x1092),'msg':_0x5b522a[_0x1238c7(0x524)]?JSON[_0x1238c7(0x10bb)](_0x5b522a[_0x1238c7(0x524)][_0x1238c7(0x7fd)]):_0x5b522a['message']||_0x5b522a[_0x1238c7(0xd5f)]()});});}function _0x46e8af(){const _0x57e2b9=_0xbcb97e,_0x739a91=angular[_0x57e2b9(0x235a)](_0x4bc66a[_0x57e2b9(0x1631)]);return _0x4bc66a[_0x57e2b9(0x1631)]=[],_0x739a91;}function _0x4d1681(_0x3c2f37){const _0x214071=_0xbcb97e,_0x55399a=_0x29d736[_0x214071(0x1e8a)]()[_0x214071(0x1189)](_0x214071(0xb52))[_0x214071(0x1cbe)](''+_0x4bc66a[_0x214071(0x1631)][_0x214071(0x402)]+_0x214071(0x2452)+_0x214071(0xe01))[_0x214071(0x4bd)]('delete\x20whatsappCannedAnswers')[_0x214071(0x1f27)](_0x3c2f37)['ok']('OK')['cancel'](_0x214071(0x39a));_0x29d736[_0x214071(0x2615)](_0x55399a)['then'](function(){const _0x39fe59=_0x214071;_0x4bc66a[_0x39fe59(0x1631)][_0x39fe59(0x1df5)](function(_0x280dd8){_0x3408a1(_0x280dd8);}),_0x4bc66a['selectedWhatsappAccountWhatsappCannedAnswers']=[];});}let _0x4bbda0=!![],_0x2027a5=0x1;_0x237607[_0xbcb97e(0x21e8)](_0xbcb97e(0x117f),function(_0x2b4cd7,_0xe3e4d3){const _0x1a0708=_0xbcb97e;_0x4bbda0?_0x5f58c1(function(){_0x4bbda0=![];}):(!_0xe3e4d3&&(_0x2027a5=_0x4bc66a[_0x1a0708(0x1a56)][_0x1a0708(0x844)]),_0x2b4cd7!==_0xe3e4d3&&(_0x4bc66a[_0x1a0708(0x1a56)]['page']=0x1),!_0x2b4cd7&&(_0x4bc66a[_0x1a0708(0x1a56)][_0x1a0708(0x844)]=_0x2027a5),_0x1ff84a());});}const _0x77f55b=_0x4bda81;;_0x5cd099['$inject']=['$scope',_0x313a4d(0x1862),_0x313a4d(0xd08),'$mdDialog','$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x249),_0x313a4d(0x21f7),_0x313a4d(0x247f),'Auth','license',_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x5cd099(_0x527da4,_0x1d6e1c,_0x386181,_0x522352,_0x454951,_0x343768,_0x355fa4,_0x4dbe91,_0x2f4b12,_0x53f578,_0x43de17,_0x1e7cdc,_0x53a54d,_0xc120bc){const _0xa3643e=_0x313a4d,_0x3c092c=this;_0x3c092c['currentUser']=_0x43de17[_0xa3643e(0xb12)](),_0x3c092c[_0xa3643e(0xcef)]=[],_0x3c092c[_0xa3643e(0x15b9)]=_0x53a54d,_0x3c092c[_0xa3643e(0x2690)]=_0x1e7cdc,_0x3c092c[_0xa3643e(0x2514)]=_0xc120bc,_0x3c092c[_0xa3643e(0x855)]={},_0x3c092c['passwordPattern']=_0x3c092c[_0xa3643e(0x15b9)]&&_0x3c092c['setting']['securePassword']?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x3c092c[_0xa3643e(0x1189)]=_0xa3643e(0x1adb),_0x3c092c['whatsappCannedAnswer']=angular[_0xa3643e(0x235a)](_0x2f4b12),_0x3c092c[_0xa3643e(0x249)]=_0x4dbe91,_0x3c092c['newWhatsappCannedAnswer']=![];!_0x3c092c[_0xa3643e(0x21f7)]&&(_0x3c092c[_0xa3643e(0x21f7)]={},_0x3c092c[_0xa3643e(0x1189)]=_0xa3643e(0xda7),_0x3c092c['newWhatsappCannedAnswer']=!![]);_0x1d6e1c[_0xa3643e(0x16a)]['id']&&(_0x3c092c[_0xa3643e(0x21f7)]['WhatsappAccountId']=_0x1d6e1c[_0xa3643e(0x16a)]['id']);_0x3c092c[_0xa3643e(0xbea)]=_0x591d49,_0x3c092c[_0xa3643e(0x1799)]=_0x1c5f48,_0x3c092c[_0xa3643e(0x1dcd)]=_0x34aa81,_0x3c092c[_0xa3643e(0xe73)]=_0x1aab3f,_0x3c092c[_0xa3643e(0x13f3)]=_0x22240b;function _0x591d49(){const _0x573c61=_0xa3643e;_0x3c092c['errors']=[],_0x53f578[_0x573c61(0x1ada)][_0x573c61(0x1e3)](_0x3c092c[_0x573c61(0x21f7)])['$promise'][_0x573c61(0x146b)](function(_0x47b6d6){const _0x2d3552=_0x573c61;_0x3c092c['whatsappCannedAnswers'][_0x2d3552(0xb3d)](_0x47b6d6['toJSON']()),_0x355fa4[_0x2d3552(0x1c75)]({'title':'WhatsappCannedAnswer\x20properly\x20created','msg':_0x3c092c[_0x2d3552(0x21f7)]['name']?_0x3c092c[_0x2d3552(0x21f7)][_0x2d3552(0x19eb)]+'\x20has\x20been\x20created!':''}),_0x22240b(_0x47b6d6);})[_0x573c61(0x129e)](function(_0x4eb641){const _0x4924c1=_0x573c61;if(_0x4eb641['data']&&_0x4eb641['data'][_0x4924c1(0xcef)]&&_0x4eb641['data'][_0x4924c1(0xcef)][_0x4924c1(0x402)]){_0x3c092c[_0x4924c1(0xcef)]=_0x4eb641[_0x4924c1(0x524)][_0x4924c1(0xcef)]||[{'message':_0x4eb641[_0x4924c1(0xd5f)](),'type':_0x4924c1(0x13f)}];for(let _0x570330=0x0;_0x570330<_0x4eb641[_0x4924c1(0x524)][_0x4924c1(0xcef)][_0x4924c1(0x402)];_0x570330+=0x1){_0x355fa4[_0x4924c1(0x1980)]({'title':_0x4eb641['data'][_0x4924c1(0xcef)][_0x570330][_0x4924c1(0x1142)],'msg':_0x4eb641[_0x4924c1(0x524)][_0x4924c1(0xcef)][_0x570330]['message']});}}else _0x355fa4[_0x4924c1(0x1980)]({'title':_0x4eb641[_0x4924c1(0x107b)]?'API:'+_0x4eb641['status']+_0x4924c1(0x1315)+_0x4eb641[_0x4924c1(0x167f)]:'api.cannedAnswer.save','msg':_0x4eb641[_0x4924c1(0x524)]?JSON[_0x4924c1(0x10bb)](_0x4eb641['data'][_0x4924c1(0x7fd)]):_0x4eb641[_0x4924c1(0xd5f)]()});});}function _0x1c5f48(){const _0x3bf87e=_0xa3643e;_0x3c092c['errors']=[],_0x53f578[_0x3bf87e(0x1ada)][_0x3bf87e(0x18e1)]({'id':_0x3c092c[_0x3bf87e(0x21f7)]['id']},_0x3c092c['whatsappCannedAnswer'])[_0x3bf87e(0x2945)][_0x3bf87e(0x146b)](function(_0x51f04a){const _0x529531=_0x3bf87e,_0x363014=_0x3f65c0()['find'](_0x3c092c[_0x529531(0x249)],{'id':_0x51f04a['id']});_0x363014&&_0x3f65c0()[_0x529531(0x168d)](_0x363014,_0x3f65c0()[_0x529531(0x40e)](_0x51f04a[_0x529531(0x2488)](),_0x3f65c0()[_0x529531(0x627)](_0x363014))),_0x355fa4['success']({'title':_0x529531(0x1930),'msg':_0x3c092c[_0x529531(0x21f7)][_0x529531(0x19eb)]?_0x3c092c['whatsappCannedAnswer'][_0x529531(0x19eb)]+_0x529531(0x24db):''}),_0x22240b(_0x51f04a);})[_0x3bf87e(0x129e)](function(_0x20600a){const _0x56fbf4=_0x3bf87e;if(_0x20600a[_0x56fbf4(0x524)]&&_0x20600a['data'][_0x56fbf4(0xcef)]&&_0x20600a[_0x56fbf4(0x524)][_0x56fbf4(0xcef)][_0x56fbf4(0x402)]){_0x3c092c[_0x56fbf4(0xcef)]=_0x20600a[_0x56fbf4(0x524)][_0x56fbf4(0xcef)]||[{'message':_0x20600a[_0x56fbf4(0xd5f)](),'type':_0x56fbf4(0x11ab)}];for(let _0x45f265=0x0;_0x45f265<_0x20600a[_0x56fbf4(0x524)][_0x56fbf4(0xcef)][_0x56fbf4(0x402)];_0x45f265++){_0x355fa4[_0x56fbf4(0x1980)]({'title':_0x20600a[_0x56fbf4(0x524)][_0x56fbf4(0xcef)][_0x45f265][_0x56fbf4(0x1142)],'msg':_0x20600a[_0x56fbf4(0x524)][_0x56fbf4(0xcef)][_0x45f265][_0x56fbf4(0x7fd)]});}}else _0x355fa4[_0x56fbf4(0x1980)]({'title':_0x20600a['status']?_0x56fbf4(0x262a)+_0x20600a[_0x56fbf4(0x107b)]+_0x56fbf4(0x1315)+_0x20600a[_0x56fbf4(0x167f)]:_0x56fbf4(0x11ab),'msg':_0x20600a[_0x56fbf4(0x524)]?JSON[_0x56fbf4(0x10bb)](_0x20600a[_0x56fbf4(0x524)][_0x56fbf4(0x7fd)]):_0x20600a['toString']()});});}function _0x34aa81(_0x22ef81){const _0x442c37=_0xa3643e;_0x3c092c[_0x442c37(0xcef)]=[];const _0x1d39ca=_0x522352['confirm']()['title']('Are\x20you\x20sure?')[_0x442c37(0x80f)](_0x442c37(0xb53))[_0x442c37(0x4bd)](_0x442c37(0x1cf8))['ok'](_0x442c37(0x25de))[_0x442c37(0x6c3)]('Cancel')[_0x442c37(0x1f27)](_0x22ef81);_0x522352[_0x442c37(0x2615)](_0x1d39ca)['then'](function(){const _0x370db5=_0x442c37;_0x53f578[_0x370db5(0x1ada)]['delete']({'id':_0x3c092c['whatsappCannedAnswer']['id']})[_0x370db5(0x2945)][_0x370db5(0x146b)](function(){const _0x5ad255=_0x370db5;_0x3f65c0()['remove'](_0x3c092c[_0x5ad255(0x249)],{'id':_0x3c092c[_0x5ad255(0x21f7)]['id']}),_0x355fa4[_0x5ad255(0x1c75)]({'title':_0x5ad255(0x1dd3),'msg':(_0x3c092c[_0x5ad255(0x21f7)][_0x5ad255(0x19eb)]||_0x5ad255(0x21f7))+_0x5ad255(0x23e3)}),_0x22240b(_0x3c092c['whatsappCannedAnswer']);})[_0x370db5(0x129e)](function(_0x1ec864){const _0x279664=_0x370db5;if(_0x1ec864['data']&&_0x1ec864['data'][_0x279664(0xcef)]&&_0x1ec864['data'][_0x279664(0xcef)]['length']){_0x3c092c[_0x279664(0xcef)]=_0x1ec864['data'][_0x279664(0xcef)]||[{'message':_0x1ec864[_0x279664(0xd5f)](),'type':'api.cannedAnswer.delete'}];for(let _0x20d80f=0x0;_0x20d80f<_0x1ec864[_0x279664(0x524)][_0x279664(0xcef)][_0x279664(0x402)];_0x20d80f++){_0x355fa4[_0x279664(0x1980)]({'title':_0x1ec864[_0x279664(0x524)][_0x279664(0xcef)][_0x20d80f][_0x279664(0x1142)],'msg':_0x1ec864[_0x279664(0x524)]['errors'][_0x20d80f][_0x279664(0x7fd)]});}}else _0x355fa4[_0x279664(0x1980)]({'title':_0x1ec864[_0x279664(0x107b)]?_0x279664(0x262a)+_0x1ec864['status']+_0x279664(0x1315)+_0x1ec864['statusText']:_0x279664(0xe6a),'msg':_0x1ec864[_0x279664(0x524)]?JSON[_0x279664(0x10bb)](_0x1ec864['data'][_0x279664(0x7fd)]):_0x1ec864['message']||_0x1ec864[_0x279664(0xd5f)]()});});},function(){});}function _0x1aab3f(_0x4fe302){return _0x4fe302===null?undefined:new Date(_0x4fe302);}function _0x22240b(_0x19f0f9){_0x522352['hide'](_0x19f0f9);}}const _0x4f12b2=_0x5cd099;;_0x20890e[_0x313a4d(0x11c2)]=[_0x313a4d(0x910),'$window',_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0x214b),_0x313a4d(0x247f),_0x313a4d(0x1fe4),'Auth'];function _0x20890e(_0x4aca2a,_0x2b45b1,_0x4a67fc,_0x90696e,_0x509ada,_0x2f1b13,_0x10ac44,_0x5c699a,_0x4244d8,_0x4c0fa4,_0x4419a7){const _0x4f5a71=_0x313a4d,_0x59373f=this;_0x59373f[_0x4f5a71(0x2321)]=_0x4419a7[_0x4f5a71(0xb12)](),_0x59373f[_0x4f5a71(0x2647)]={'count':0x0,'rows':[]},_0x59373f[_0x4f5a71(0x2ad)]=[],_0x59373f['crudPermissions'],_0x59373f[_0x4f5a71(0xd92)]={'first':_0x4f5a71(0x20c1),'second':'2nd','third':_0x4f5a71(0x1195)},_0x59373f[_0x4f5a71(0x1a56)]={'fields':_0x4f5a71(0x2430),'sort':_0x4f5a71(0x12f2),'limit':0xa,'page':0x1},_0x59373f[_0x4f5a71(0x5aa)]=_0x2ea775,_0x59373f['deleteConfirm']=_0x20453c,_0x59373f['success']=_0x2e93cd,_0x59373f['getDispositions']=_0xc4e8df,_0x59373f['createOrEditDisposition']=_0x4100b9,_0x59373f[_0x4f5a71(0x1220)]=_0x62233e,_0x59373f[_0x4f5a71(0x25f0)]=_0x57ce5e,_0x59373f[_0x4f5a71(0x11e4)]=_0x340f42,_0x59373f[_0x4f5a71(0x4f0)]=_0x9f411,_0x59373f[_0x4f5a71(0x481)]=_0x4e42b0;function _0x2ea775(_0x54131f,_0x53ecee){const _0xf39ca9=_0x4f5a71;_0x59373f[_0xf39ca9(0x815)]=_0x54131f||{},_0x59373f[_0xf39ca9(0x2514)]=typeof _0x53ecee!=='undefined'?_0x53ecee:{'readOnly':!![],'canEdit':![],'canDelete':![]},_0x59373f[_0xf39ca9(0x1a56)][_0xf39ca9(0x158a)]=_0x59373f[_0xf39ca9(0x815)]['id'],_0x59373f[_0xf39ca9(0x1a56)]['id']=_0x59373f[_0xf39ca9(0x815)]['id'],_0xc4e8df();}function _0x4100b9(_0x584ad0,_0x5f18c7){const _0x32da1a=_0x4f5a71;_0x509ada['show']({'controller':_0x32da1a(0x1a84),'controllerAs':'vm','templateUrl':_0x4f093a,'parent':angular[_0x32da1a(0x1853)](_0x2f1b13['body']),'targetEvent':_0x584ad0,'clickOutsideToClose':!![],'locals':{'disposition':_0x5f18c7,'model':{'id':_0x59373f[_0x32da1a(0x815)]['id'],'field':_0x32da1a(0x158a),'route':_0x32da1a(0x815)},'license':null,'setting':null,'crudPermissions':_0x59373f[_0x32da1a(0x2514)]}})[_0x32da1a(0x146b)](function(_0x390f6a){if(_0x390f6a)_0xc4e8df();});}function _0x20453c(_0x489aa5,_0x486577){const _0x5dba9b=_0x4f5a71,_0x159b2d=_0x3f65c0()[_0x5dba9b(0x1360)](_0x59373f[_0x5dba9b(0x2647)][_0x5dba9b(0x19c7)],[_0x5dba9b(0x11b5),_0x489aa5['id']]),_0x33876e=_0x509ada[_0x5dba9b(0x1e8a)]()[_0x5dba9b(0x1189)](_0x5c699a[_0x5dba9b(0xde)](_0x5dba9b(0x19e6)))[_0x5dba9b(0x80f)](_0x5c699a[_0x5dba9b(0xde)](_0x5dba9b(0xe8d)+(_0x159b2d?'DISPOSITION_DELETE_MESSAGE_CHILDREN':_0x5dba9b(0xd49)),{'name':_0x489aa5[_0x5dba9b(0x19eb)]}))[_0x5dba9b(0x4bd)]('Delete\x20disposition')[_0x5dba9b(0x1f27)](_0x486577)['ok']('OK')[_0x5dba9b(0x6c3)](_0x5c699a[_0x5dba9b(0xde)](_0x5dba9b(0x1161)));_0x509ada[_0x5dba9b(0x2615)](_0x33876e)['then'](function(){_0x62233e(_0x489aa5);});}function _0x2e93cd(_0x62726){const _0x4bffe9=_0x4f5a71;_0x59373f[_0x4bffe9(0x2647)]=_0x62726||{'count':0x0,'rows':[]};}function _0xc4e8df(){const _0x573893=_0x4f5a71;_0x59373f[_0x573893(0x1a56)]['offset']=(_0x59373f[_0x573893(0x1a56)][_0x573893(0x844)]-0x1)*_0x59373f['query'][_0x573893(0x221e)],_0x59373f[_0x573893(0xb9c)]=_0x4244d8[_0x573893(0x815)][_0x573893(0x2451)](_0x59373f[_0x573893(0x1a56)],_0x2e93cd)[_0x573893(0x2945)];}function _0x62233e(_0x3b1787){const _0x51352c=_0x4f5a71;_0x4244d8[_0x51352c(0x9e1)][_0x51352c(0x1fac)]({'id':_0x3b1787['id']})[_0x51352c(0x2945)]['then'](function(){const _0x33c22f=_0x51352c;_0xc4e8df(),_0x4c0fa4['success']({'title':_0x5c699a['instant'](_0x33c22f(0xa2f))});})[_0x51352c(0x129e)](function(_0x5126e3){const _0x4efcfe=_0x51352c;if(_0x5126e3['data']&&_0x5126e3[_0x4efcfe(0x524)][_0x4efcfe(0xcef)]&&_0x5126e3['data']['errors'][_0x4efcfe(0x402)]){_0x59373f[_0x4efcfe(0xcef)]=_0x5126e3[_0x4efcfe(0x524)][_0x4efcfe(0xcef)]||[{'message':_0x5126e3[_0x4efcfe(0xd5f)](),'type':_0x4efcfe(0x24a7)}];for(let _0x30bf9e=0x0;_0x30bf9e<_0x5126e3['data']['errors'][_0x4efcfe(0x402)];_0x30bf9e++){_0x4c0fa4['error']({'title':_0x5126e3[_0x4efcfe(0x524)][_0x4efcfe(0xcef)][_0x30bf9e][_0x4efcfe(0x1142)],'msg':_0x5126e3[_0x4efcfe(0x524)][_0x4efcfe(0xcef)][_0x30bf9e][_0x4efcfe(0x7fd)]});}}else _0x4c0fa4['error']({'title':_0x5126e3[_0x4efcfe(0x107b)]?_0x4efcfe(0x262a)+_0x5126e3['status']+_0x4efcfe(0x1315)+_0x5126e3[_0x4efcfe(0x167f)]:_0x4efcfe(0x24a7),'msg':_0x5126e3[_0x4efcfe(0x524)]?JSON[_0x4efcfe(0x10bb)](_0x5126e3[_0x4efcfe(0x524)][_0x4efcfe(0x7fd)]):_0x5126e3['message']||_0x5126e3[_0x4efcfe(0xd5f)]()});});}function _0x57ce5e(){const _0xb46ad8=_0x4f5a71,_0x2d653e=angular[_0xb46ad8(0x235a)](_0x59373f[_0xb46ad8(0x2ad)]);return _0x59373f[_0xb46ad8(0x2ad)]=[],_0x2d653e;}function _0x340f42(_0x450a9a){const _0x3e4992=_0x4f5a71,_0xc71aab=_0x509ada['confirm']()[_0x3e4992(0x1189)](_0x5c699a[_0x3e4992(0xde)](_0x3e4992(0x1a5b)))[_0x3e4992(0x80f)](_0x5c699a[_0x3e4992(0xde)](_0x3e4992(0x23ce),{'total':_0x59373f['selectedDispositions'][_0x3e4992(0x402)]}))[_0x3e4992(0x4bd)](_0x3e4992(0x1eb0))[_0x3e4992(0x1f27)](_0x450a9a)['ok']('OK')[_0x3e4992(0x6c3)](_0x5c699a[_0x3e4992(0xde)](_0x3e4992(0x1161)));_0x509ada['show'](_0xc71aab)[_0x3e4992(0x146b)](function(){const _0x134615=_0x3e4992;_0x59373f['selectedDispositions'][_0x134615(0x1df5)](function(_0x5116f8){_0x62233e(_0x5116f8);}),_0x59373f[_0x134615(0x2ad)]=[];});}function _0x9f411(){const _0xa061e=_0x4f5a71;_0x59373f[_0xa061e(0x2ad)]=[];}function _0x4e42b0(){const _0x5bf0bd=_0x4f5a71;_0x59373f[_0x5bf0bd(0x2ad)]=_0x59373f[_0x5bf0bd(0x2647)]['rows'];}let _0x46f820=!![],_0xedb266=0x1;_0x4aca2a[_0x4f5a71(0x21e8)](_0x4f5a71(0x117f),function(_0x39dc2f,_0x2af006){const _0x53aff9=_0x4f5a71;_0x46f820?_0x10ac44(function(){_0x46f820=![];}):(!_0x2af006&&(_0xedb266=_0x59373f[_0x53aff9(0x1a56)]['page']),_0x39dc2f!==_0x2af006&&(_0x59373f[_0x53aff9(0x1a56)]['page']=0x1),!_0x39dc2f&&(_0x59373f[_0x53aff9(0x1a56)][_0x53aff9(0x844)]=_0xedb266),_0xc4e8df());});}const _0x6b695b=_0x20890e;;const _0x14f6ae=_0x4acfac['p']+_0x313a4d(0x50a);;_0x28bab3['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1aa9),'$state',_0x313a4d(0x15fe),'$mdDialog','$document',_0x313a4d(0x1abe),_0x313a4d(0x214b),'whatsappAccounts',_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x2690),'setting'];function _0x28bab3(_0x147920,_0x45b3b9,_0x2369a8,_0x1c265b,_0x2c1173,_0x3a9b87,_0x58ba29,_0x3969a4,_0x359277,_0x272b2d,_0x38a222,_0x573ba3,_0x34a060,_0x5e27fd,_0x1cbe6d,_0x3a260d,_0x1d3715){const _0x3f69c2=_0x313a4d,_0x49b096=this;_0x49b096[_0x3f69c2(0x2690)]=_0x3a260d,_0x49b096[_0x3f69c2(0x15b9)]=_0x1d3715,_0x49b096[_0x3f69c2(0x2321)]=_0x1cbe6d[_0x3f69c2(0xb12)](),_0x49b096[_0x3f69c2(0x23c)]=_0x359277||{'count':0x0,'rows':[]},_0x49b096[_0x3f69c2(0x26b6)]=_0x272b2d,_0x49b096[_0x3f69c2(0x1366)]=_0x38a222&&_0x38a222[_0x3f69c2(0x51c)]==0x1?_0x38a222[_0x3f69c2(0x19c7)][0x0]:null,_0x49b096[_0x3f69c2(0x2514)]=_0x1cbe6d['parseCrudPermissions'](_0x49b096[_0x3f69c2(0x1366)]?_0x49b096[_0x3f69c2(0x1366)][_0x3f69c2(0x2514)]:null),_0x49b096[_0x3f69c2(0x768)]=_0x3f69c2(0x23c),_0x49b096['listOrder']='',_0x49b096[_0x3f69c2(0x214f)]=null,_0x49b096[_0x3f69c2(0x2925)]=[],_0x49b096['query']={'fields':_0x3f69c2(0x1edb),'sort':_0x3f69c2(0x12f2),'limit':0xa,'page':0x1},_0x49b096[_0x3f69c2(0x11da)]=_0x3f65c0()[_0x3f69c2(0x194)]([{'option':'Twilio','value':_0x3f69c2(0x169c)}],function(_0x55eca7){const _0x484d6c=_0x3f69c2;return _0x3f65c0()[_0x484d6c(0x5f4)](_0x55eca7[_0x484d6c(0x175d)],new RegExp('\x27','g'),'');}),_0x49b096['editstate']=_0x50941e,_0x49b096[_0x3f69c2(0xbce)]=_0x165524,_0x49b096['agentadddialog']=_0x19dba9,_0x49b096['deleteconfirm']=_0x2de58a,_0x49b096['success']=_0x5b538c,_0x49b096[_0x3f69c2(0x28f)]=_0x40a46d,_0x49b096['createOrEditWhatsappAccount']=_0x1c7923,_0x49b096[_0x3f69c2(0x223a)]=_0x2efb62,_0x49b096[_0x3f69c2(0x1a58)]=_0x32145a,_0x49b096[_0x3f69c2(0x1729)]=_0x10bdaa,_0x49b096[_0x3f69c2(0x30e)]=_0x25143a,_0x49b096[_0x3f69c2(0x1475)]=_0x52676a,_0x1cbe6d[_0x3f69c2(0x23e0)](_0x3f69c2(0x174b))?_0x573ba3[_0x3f69c2(0xf03)][_0x3f69c2(0x16b4)]({'fields':'id,name','sort':_0x3f69c2(0x19eb)})['$promise'][_0x3f69c2(0x146b)](function(_0x5ae589){_0x49b096['lists']=_0x5ae589['rows']||[];})[_0x3f69c2(0x129e)](function(_0xf5bb4d){const _0x4677b0=_0x3f69c2;_0x5e27fd['error']({'title':_0xf5bb4d[_0x4677b0(0x107b)]?_0x4677b0(0x262a)+_0xf5bb4d[_0x4677b0(0x107b)]+'\x20-\x20'+_0xf5bb4d[_0x4677b0(0x167f)]:_0x4677b0(0x11b3),'msg':_0xf5bb4d[_0x4677b0(0x524)]?JSON[_0x4677b0(0x10bb)](_0xf5bb4d[_0x4677b0(0x524)]):_0xf5bb4d[_0x4677b0(0xd5f)]()});}):_0x573ba3[_0x3f69c2(0xf03)][_0x3f69c2(0x16b4)]({'fields':_0x3f69c2(0x7a7),'sort':'name'})[_0x3f69c2(0x2945)][_0x3f69c2(0x146b)](function(_0x254918){const _0x236a8e=_0x3f69c2;_0x49b096[_0x236a8e(0x1324)]=_0x254918[_0x236a8e(0x19c7)]||[];})[_0x3f69c2(0x146b)](function(){const _0x5d7f12=_0x3f69c2;return _0x573ba3[_0x5d7f12(0x1366)][_0x5d7f12(0x16b4)]({'userProfileId':_0x49b096[_0x5d7f12(0x2321)]['userProfileId'],'sectionId':0x12d})['$promise'];})['then'](function(_0x4f8373){const _0x14fdca=_0x3f69c2,_0xc3654=_0x4f8373&&_0x4f8373[_0x14fdca(0x19c7)]?_0x4f8373['rows'][0x0]:null;if(!_0xc3654){const _0x4c8f6a=[];let _0x1c19ec=null;_0x49b096[_0x14fdca(0x815)]&&(_0x1c19ec=_0x3f65c0()['find'](_0x49b096[_0x14fdca(0x1324)],{'id':Number(_0x49b096['whatsappAccount']['ListId'])}));for(let _0x1b1361=0x0;_0x1b1361<_0x49b096[_0x14fdca(0x1324)][_0x14fdca(0x402)];_0x1b1361++){_0x1c19ec&&_0x49b096[_0x14fdca(0x1324)][_0x1b1361]['id']===_0x1c19ec['id']&&(_0x49b096[_0x14fdca(0x1324)][_0x1b1361][_0x14fdca(0x8ff)]=![],_0x4c8f6a['push'](_0x49b096['lists'][_0x1b1361]));}_0x49b096['lists']=_0x4c8f6a;}else{if(!_0xc3654[_0x14fdca(0x11d2)])return _0x573ba3[_0x14fdca(0xdcc)]['get']({'sectionId':_0xc3654['id']})[_0x14fdca(0x2945)][_0x14fdca(0x146b)](function(_0x4900ee){const _0x2b626e=_0x14fdca,_0x4f13fb=_0x3f65c0()[_0x2b626e(0x205)](_0x4900ee['rows'],function(_0x3d8a89){const _0x5d6d3c=_0x2b626e;return _0x3f65c0()[_0x5d6d3c(0xc84)](_0x49b096[_0x5d6d3c(0x1324)],{'id':_0x3d8a89[_0x5d6d3c(0x18b8)]});});let _0x4046b1=null;_0x49b096[_0x2b626e(0x815)]&&(_0x4046b1=_0x3f65c0()[_0x2b626e(0xc84)](_0x49b096[_0x2b626e(0x1324)],{'id':Number(_0x49b096[_0x2b626e(0x815)][_0x2b626e(0xb7c)])}));if(_0x4046b1&&!_0x3f65c0()[_0x2b626e(0x1360)](_0x4f13fb,['id',_0x4046b1['id']])){const _0x1532ec=_0x3f65c0()['find'](_0x49b096[_0x2b626e(0x1324)],{'id':_0x4046b1['id']});_0x1532ec['canSelect']=![],_0x4f13fb[_0x2b626e(0x1f47)](_0x1532ec);}_0x49b096[_0x2b626e(0x1324)]=_0x4f13fb;});}})[_0x3f69c2(0x129e)](function(_0x2875d9){const _0x3825c3=_0x3f69c2;_0x5e27fd[_0x3825c3(0x1980)]({'title':_0x2875d9[_0x3825c3(0x107b)]?_0x3825c3(0x262a)+_0x2875d9[_0x3825c3(0x107b)]+'\x20-\x20'+_0x2875d9[_0x3825c3(0x167f)]:'SYSTEM:GETlists','msg':_0x2875d9[_0x3825c3(0x524)]?JSON['stringify'](_0x2875d9[_0x3825c3(0x524)]):_0x2875d9[_0x3825c3(0xd5f)]()});}),_0x1cbe6d[_0x3f69c2(0x23e0)](_0x3f69c2(0x174b))?_0x573ba3['pause'][_0x3f69c2(0x16b4)]({'fields':'name,id','sort':_0x3f69c2(0x19eb),'nolimit':_0x3f69c2(0x1185)})[_0x3f69c2(0x2945)][_0x3f69c2(0x146b)](function(_0x43c125){const _0x297726=_0x3f69c2;_0x49b096[_0x297726(0x23bb)]=_0x43c125['rows']||[];})['catch'](function(_0x85995c){const _0x3213a8=_0x3f69c2;_0x5e27fd[_0x3213a8(0x1980)]({'title':_0x85995c[_0x3213a8(0x107b)]?_0x3213a8(0x262a)+_0x85995c[_0x3213a8(0x107b)]+_0x3213a8(0x1315)+_0x85995c[_0x3213a8(0x167f)]:'SYSTEM:GET_PAUSES','msg':_0x85995c[_0x3213a8(0x524)]?JSON[_0x3213a8(0x10bb)](_0x85995c['data']):_0x85995c[_0x3213a8(0xd5f)]()});}):_0x573ba3[_0x3f69c2(0x1e3e)][_0x3f69c2(0x16b4)]({'fields':_0x3f69c2(0x69a),'sort':_0x3f69c2(0x19eb),'nolimit':_0x3f69c2(0x1185)})[_0x3f69c2(0x2945)][_0x3f69c2(0x146b)](function(_0x113a1d){const _0x184af2=_0x3f69c2;_0x49b096[_0x184af2(0x23bb)]=_0x113a1d[_0x184af2(0x19c7)]||[];})[_0x3f69c2(0x146b)](function(){const _0x44a7e9=_0x3f69c2;return _0x573ba3[_0x44a7e9(0x1366)][_0x44a7e9(0x16b4)]({'userProfileId':_0x49b096[_0x44a7e9(0x2321)]['userProfileId'],'sectionId':0x3ed})[_0x44a7e9(0x2945)];})[_0x3f69c2(0x146b)](function(_0x1470b6){const _0x46a674=_0x3f69c2,_0x29e043=_0x1470b6&&_0x1470b6['rows']?_0x1470b6[_0x46a674(0x19c7)][0x0]:null;if(!_0x29e043)_0x49b096[_0x46a674(0x23bb)]=[];else{if(!_0x29e043['autoAssociation'])return _0x573ba3['userProfileResource'][_0x46a674(0x16b4)]({'sectionId':_0x29e043['id']})[_0x46a674(0x2945)][_0x46a674(0x146b)](function(_0x54d772){const _0x44e860=_0x46a674,_0x34a648=_0x3f65c0()[_0x44e860(0x205)](_0x54d772[_0x44e860(0x19c7)],function(_0xe68671){return _0x3f65c0()['find'](_0x49b096['pauses'],{'id':_0xe68671['resourceId']});});_0x49b096[_0x44e860(0x23bb)][_0x44e860(0x1df5)](function(_0x58e5a9){const _0x36a90c=_0x44e860;!_0x3f65c0()[_0x36a90c(0x1360)](_0x34a648,['id',_0x58e5a9['id']])&&(_0x58e5a9[_0x36a90c(0x8ff)]=![]),_0x34a648[_0x36a90c(0x1f47)](_0x58e5a9);}),_0x49b096['pauses']=_0x34a648;});}})['catch'](function(_0x5af448){const _0x4f5d0e=_0x3f69c2;_0x5e27fd[_0x4f5d0e(0x1980)]({'title':_0x5af448[_0x4f5d0e(0x107b)]?_0x4f5d0e(0x262a)+_0x5af448['status']+_0x4f5d0e(0x1315)+_0x5af448['statusText']:_0x4f5d0e(0x176),'msg':_0x5af448[_0x4f5d0e(0x524)]?JSON['stringify'](_0x5af448[_0x4f5d0e(0x524)]):_0x5af448[_0x4f5d0e(0xd5f)]()});});function _0x50941e(_0x1243ec){const _0x2fb4a4=_0x3f69c2;_0x2369a8['go'](_0x2fb4a4(0x21e3),{'id':_0x1243ec['id'],'whatsappAccount':_0x1243ec,'crudPermissions':_0x49b096[_0x2fb4a4(0x2514)]});}function _0x165524(_0x42e692){const _0x396531=_0x3f69c2;_0x2369a8['go'](_0x396531(0x21e3),{'id':_0x42e692['id'],'tab':0x5});}function _0x19dba9(_0xb1e455,_0x54d774){const _0x422699=_0x3f69c2;_0x2c1173[_0x422699(0x2615)]({'controller':_0x422699(0x501),'controllerAs':'vm','templateUrl':_0x434ef6,'parent':angular[_0x422699(0x1853)](_0x3a9b87[_0x422699(0x2586)]),'targetEvent':_0x54d774,'clickOutsideToClose':!![],'locals':{'whatsappAccount':_0xb1e455,'whatsappAccounts':_0x49b096['whatsappAccounts']?_0x49b096[_0x422699(0x23c)][_0x422699(0x19c7)]:[],'crudPermissions':_0x49b096[_0x422699(0x2514)],'realtime':![]}});}function _0x2de58a(_0x205aee,_0x13df6d){const _0x1dc661=_0x3f69c2,_0x2921e4=_0x2c1173['confirm']()['title']('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20'+_0x3f65c0()[_0x1dc661(0x20d1)]('whatsappAccount')+'?')[_0x1dc661(0x1cbe)](''+(_0x205aee['name']||_0x1dc661(0x815))+''+_0x1dc661(0xe01))[_0x1dc661(0x4bd)](_0x1dc661(0x1b05))[_0x1dc661(0x1f27)](_0x13df6d)['ok']('OK')[_0x1dc661(0x6c3)](_0x1dc661(0x39a));_0x2c1173[_0x1dc661(0x2615)](_0x2921e4)['then'](function(){_0x2efb62(_0x205aee);},function(){const _0x30acd3=_0x1dc661;console[_0x30acd3(0x1a74)](_0x30acd3(0x39a));});}let _0x1722e8=!![],_0x39374c=0x1;_0x147920[_0x3f69c2(0x21e8)]('vm.query.filter',function(_0x2d6acd,_0x4f8587){const _0x27030d=_0x3f69c2;_0x1722e8?_0x58ba29(function(){_0x1722e8=![];}):(!_0x4f8587&&(_0x39374c=_0x49b096[_0x27030d(0x1a56)][_0x27030d(0x844)]),_0x2d6acd!==_0x4f8587&&(_0x49b096['query'][_0x27030d(0x844)]=0x1),!_0x2d6acd&&(_0x49b096['query'][_0x27030d(0x844)]=_0x39374c),_0x49b096['getWhatsappAccounts']());});function _0x5b538c(_0x3afce8){const _0x2ac220=_0x3f69c2;_0x49b096[_0x2ac220(0x23c)]=_0x3afce8||{'count':0x0,'rows':[]};}function _0x40a46d(){const _0x5d5813=_0x3f69c2;_0x49b096[_0x5d5813(0x1a56)][_0x5d5813(0x145d)]=(_0x49b096[_0x5d5813(0x1a56)][_0x5d5813(0x844)]-0x1)*_0x49b096[_0x5d5813(0x1a56)]['limit'],_0x1cbe6d[_0x5d5813(0x23e0)](_0x5d5813(0x174b))?_0x49b096[_0x5d5813(0xb9c)]=_0x573ba3[_0x5d5813(0x815)][_0x5d5813(0x16b4)](_0x49b096[_0x5d5813(0x1a56)],_0x5b538c)['$promise']:(_0x49b096['query']['id']=_0x49b096['userProfile']['id'],_0x49b096[_0x5d5813(0x1a56)]['section']=_0x5d5813(0xc7c),_0x49b096[_0x5d5813(0xb9c)]=_0x573ba3[_0x5d5813(0x26b6)][_0x5d5813(0x158f)](_0x49b096[_0x5d5813(0x1a56)],_0x5b538c)['$promise']);}function _0x1c7923(_0x5e1198,_0x3cf498){const _0xb05e95=_0x3f69c2;_0x2c1173[_0xb05e95(0x2615)]({'controller':_0xb05e95(0x14fc),'controllerAs':'vm','templateUrl':_0x14f6ae,'parent':angular[_0xb05e95(0x1853)](_0x3a9b87[_0xb05e95(0x2586)]),'targetEvent':_0x5e1198,'clickOutsideToClose':!![],'locals':{'whatsappAccount':_0x3cf498,'whatsappAccounts':_0x49b096[_0xb05e95(0x23c)][_0xb05e95(0x19c7)],'license':_0x49b096[_0xb05e95(0x2690)],'setting':_0x49b096[_0xb05e95(0x15b9)],'crudPermissions':_0x49b096[_0xb05e95(0x2514)]}});}function _0x2efb62(_0x41bf2e){const _0x59f263=_0x3f69c2;_0x573ba3[_0x59f263(0x815)][_0x59f263(0x1fac)]({'id':_0x41bf2e['id']})[_0x59f263(0x2945)][_0x59f263(0x146b)](function(){const _0x16816a=_0x59f263;_0x3f65c0()['remove'](_0x49b096[_0x16816a(0x23c)][_0x16816a(0x19c7)],{'id':_0x41bf2e['id']}),_0x49b096['whatsappAccounts'][_0x16816a(0x51c)]-=0x1,!_0x49b096[_0x16816a(0x23c)][_0x16816a(0x19c7)]['length']&&_0x49b096[_0x16816a(0x28f)](),_0x5e27fd[_0x16816a(0x1c75)]({'title':_0x3f65c0()[_0x16816a(0x20d1)](_0x16816a(0x843))+'\x20deleted!','msg':_0x41bf2e[_0x16816a(0x19eb)]?_0x41bf2e['name']+'\x20has\x20been\x20deleted!':''});})['catch'](function(_0x27e7f4){const _0x15857d=_0x59f263;if(_0x27e7f4[_0x15857d(0x524)]&&_0x27e7f4[_0x15857d(0x524)][_0x15857d(0xcef)]&&_0x27e7f4[_0x15857d(0x524)]['errors'][_0x15857d(0x402)]){_0x49b096['errors']=_0x27e7f4[_0x15857d(0x524)]['errors']||[{'message':_0x27e7f4['toString'](),'type':_0x15857d(0x13a0)}];for(let _0x858306=0x0;_0x858306<_0x27e7f4[_0x15857d(0x524)]['errors'][_0x15857d(0x402)];_0x858306++){_0x5e27fd[_0x15857d(0x1980)]({'title':_0x27e7f4[_0x15857d(0x524)][_0x15857d(0xcef)][_0x858306][_0x15857d(0x1142)],'msg':_0x27e7f4[_0x15857d(0x524)][_0x15857d(0xcef)][_0x858306][_0x15857d(0x7fd)]});}}else _0x5e27fd[_0x15857d(0x1980)]({'title':_0x27e7f4[_0x15857d(0x107b)]?_0x15857d(0x262a)+_0x27e7f4[_0x15857d(0x107b)]+'\x20-\x20'+_0x27e7f4[_0x15857d(0x167f)]:'SYSTEM:DELETEwhatsappAccount','msg':_0x27e7f4[_0x15857d(0x524)]?JSON[_0x15857d(0x10bb)](_0x27e7f4['data'][_0x15857d(0x7fd)]):_0x27e7f4[_0x15857d(0x7fd)]||_0x27e7f4['toString']()});});}function _0x32145a(){const _0x3b7d94=_0x3f69c2,_0x21b653=angular[_0x3b7d94(0x235a)](_0x49b096['selectedWhatsappAccounts']);return _0x49b096[_0x3b7d94(0x2925)]=[],_0x21b653;}function _0x10bdaa(_0x537459){const _0x634a11=_0x3f69c2,_0x43930b=_0x2c1173['confirm']()[_0x634a11(0x1189)]('Are\x20you\x20sure\x20want\x20to\x20delete\x20the\x20selected\x20whatsappAccounts?')[_0x634a11(0x1cbe)](_0x634a11(0x16d3)+_0x49b096[_0x634a11(0x2925)]['length']+_0x634a11(0x2452)+_0x634a11(0xe01))['ariaLabel'](_0x634a11(0x155d))[_0x634a11(0x1f27)](_0x537459)['ok']('OK')['cancel'](_0x634a11(0x39a));_0x2c1173[_0x634a11(0x2615)](_0x43930b)[_0x634a11(0x146b)](function(){const _0x1e7622=_0x634a11;_0x49b096['selectedWhatsappAccounts'][_0x1e7622(0x1df5)](function(_0x34b77a){_0x2efb62(_0x34b77a);}),_0x49b096[_0x1e7622(0x2925)]=[];});}function _0x25143a(){const _0x3a37b3=_0x3f69c2;_0x49b096[_0x3a37b3(0x2925)]=[];}function _0x52676a(){const _0x3cd39a=_0x3f69c2;_0x49b096['selectedWhatsappAccounts']=_0x49b096[_0x3cd39a(0x23c)]['rows'];}}const _0x65939c=_0x28bab3;;_0x367eb4['$inject']=[_0x313a4d(0x910),_0x313a4d(0x1862),_0x313a4d(0xd08),_0x313a4d(0x10e8),'$q',_0x313a4d(0x214b),_0x313a4d(0x1fe4),_0x313a4d(0x740),'whatsappQueue',_0x313a4d(0x247f),_0x313a4d(0xa87),_0x313a4d(0x2690),_0x313a4d(0x15b9),_0x313a4d(0x2514)];function _0x367eb4(_0x100185,_0x30b666,_0x5efdd2,_0xfbab03,_0x417c4b,_0x3d49b2,_0x2aa045,_0x1c7624,_0x67f9af,_0x3abb35,_0x3b7db1,_0x52d2c4,_0x4daed2,_0x52d620){const _0xde54d4=_0x313a4d,_0x207152=this;_0x207152[_0xde54d4(0x2321)]=_0x3b7db1['getCurrentUser'](),_0x207152[_0xde54d4(0xcef)]=[],_0x207152[_0xde54d4(0x15b9)]=_0x4daed2,_0x207152[_0xde54d4(0x2690)]=_0x52d2c4,_0x207152[_0xde54d4(0x2514)]=_0x52d620,_0x207152[_0xde54d4(0x855)]={},_0x207152[_0xde54d4(0x2251)]=_0x207152[_0xde54d4(0x15b9)]&&_0x207152[_0xde54d4(0x15b9)][_0xde54d4(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x207152[_0xde54d4(0x1189)]=_0xde54d4(0x2810),_0x207152[_0xde54d4(0x1b3b)]=angular[_0xde54d4(0x235a)](_0x67f9af),_0x207152[_0xde54d4(0x740)]=_0x1c7624,_0x207152['newWhatsappQueue']=![];!_0x207152['whatsappQueue']&&(_0x207152['whatsappQueue']={'strategy':'beepall','timeout':0xa},_0x207152[_0xde54d4(0x1189)]=_0xde54d4(0x1fec),_0x207152[_0xde54d4(0x250d)]=!![]);_0x207152[_0xde54d4(0x1d23)]=_0x5a3c09,_0x207152['saveWhatsappQueue']=_0x127fa1,_0x207152[_0xde54d4(0x1de2)]=_0x496f88,_0x207152[_0xde54d4(0xe73)]=_0x5f068c,_0x207152['closeDialog']=_0x2774dd;function _0x5a3c09(){const _0x3121fa=_0xde54d4;_0x207152[_0x3121fa(0xcef)]=[],_0x3abb35[_0x3121fa(0x1b3b)][_0x3121fa(0x1e3)](_0x207152[_0x3121fa(0x1b3b)])[_0x3121fa(0x2945)][_0x3121fa(0x146b)](function(_0x4a16dc){const _0xf1a2e0=_0x3121fa;_0x207152[_0xf1a2e0(0x740)][_0xf1a2e0(0xb3d)](_0x4a16dc[_0xf1a2e0(0x2488)]()),_0x2aa045[_0xf1a2e0(0x1c75)]({'title':_0xf1a2e0(0x235e),'msg':_0x207152['whatsappQueue']['name']?_0x207152[_0xf1a2e0(0x1b3b)][_0xf1a2e0(0x19eb)]+_0xf1a2e0(0x1386):''}),_0x2774dd(_0x4a16dc);})[_0x3121fa(0x129e)](function(_0x203c67){const _0x4e63b1=_0x3121fa;if(_0x203c67[_0x4e63b1(0x524)]&&_0x203c67[_0x4e63b1(0x524)][_0x4e63b1(0xcef)]&&_0x203c67[_0x4e63b1(0x524)][_0x4e63b1(0xcef)][_0x4e63b1(0x402)]){_0x207152[_0x4e63b1(0xcef)]=_0x203c67[_0x4e63b1(0x524)]['errors']||[{'message':_0x203c67[_0x4e63b1(0xd5f)](),'type':'api.whatsappQueue.save'}];for(let _0x135b67=0x0;_0x135b67<_0x203c67[_0x4e63b1(0x524)][_0x4e63b1(0xcef)][_0x4e63b1(0x402)];_0x135b67+=0x1){_0x2aa045[_0x4e63b1(0x1980)]({'title':_0x203c67[_0x4e63b1(0x524)][_0x4e63b1(0xcef)][_0x135b67][_0x4e63b1(0x1142)],'msg':_0x203c67[_0x4e63b1(0x524)][_0x4e63b1(0xcef)][_0x135b67][_0x4e63b1(0x7fd)]});}}else _0x2aa045['error']({'title':_0x203c67[_0x4e63b1(0x107b)]?'API:'+_0x203c67[_0x4e63b1(0x107b)]+_0x4e63b1(0x1315)+_0x203c67['statusText']:_0x4e63b1(0x3ec),'msg':_0x203c67[_0x4e63b1(0x524)]?JSON[_0x4e63b1(0x10bb)](_0x203c67[_0x4e63b1(0x524)]['message']):_0x203c67['toString']()});});}function _0x127fa1(){const _0x1d957c=_0xde54d4;_0x207152[_0x1d957c(0xcef)]=[],_0x3abb35[_0x1d957c(0x1b3b)][_0x1d957c(0x18e1)]({'id':_0x207152[_0x1d957c(0x1b3b)]['id']},_0x207152[_0x1d957c(0x1b3b)])[_0x1d957c(0x2945)][_0x1d957c(0x146b)](function(_0x23f782){const _0x1f4acf=_0x1d957c,_0x503c79=_0x3f65c0()['find'](_0x207152[_0x1f4acf(0x740)],{'id':_0x23f782['id']});_0x503c79&&_0x3f65c0()[_0x1f4acf(0x168d)](_0x503c79,_0x3f65c0()[_0x1f4acf(0x40e)](_0x23f782[_0x1f4acf(0x2488)](),_0x3f65c0()[_0x1f4acf(0x627)](_0x503c79))),_0x2aa045[_0x1f4acf(0x1c75)]({'title':_0x1f4acf(0x279e),'msg':_0x207152[_0x1f4acf(0x1b3b)][_0x1f4acf(0x19eb)]?_0x207152[_0x1f4acf(0x1b3b)][_0x1f4acf(0x19eb)]+'\x20has\x20been\x20saved!':''}),_0x2774dd(_0x23f782);})['catch'](function(_0x251d0a){const _0x1278c0=_0x1d957c;if(_0x251d0a['data']&&_0x251d0a[_0x1278c0(0x524)][_0x1278c0(0xcef)]&&_0x251d0a[_0x1278c0(0x524)]['errors']['length']){_0x207152[_0x1278c0(0xcef)]=_0x251d0a[_0x1278c0(0x524)]['errors']||[{'message':_0x251d0a[_0x1278c0(0xd5f)](),'type':_0x1278c0(0x1ff8)}];for(let _0x1328c2=0x0;_0x1328c2<_0x251d0a[_0x1278c0(0x524)][_0x1278c0(0xcef)]['length'];_0x1328c2++){_0x2aa045['error']({'title':_0x251d0a[_0x1278c0(0x524)]['errors'][_0x1328c2][_0x1278c0(0x1142)],'msg':_0x251d0a[_0x1278c0(0x524)][_0x1278c0(0xcef)][_0x1328c2][_0x1278c0(0x7fd)]});}}else _0x2aa045[_0x1278c0(0x1980)]({'title':_0x251d0a[_0x1278c0(0x107b)]?'API:'+_0x251d0a[_0x1278c0(0x107b)]+'\x20-\x20'+_0x251d0a[_0x1278c0(0x167f)]:'api.whatsappQueue.update','msg':_0x251d0a[_0x1278c0(0x524)]?JSON[_0x1278c0(0x10bb)](_0x251d0a[_0x1278c0(0x524)][_0x1278c0(0x7fd)]):_0x251d0a[_0x1278c0(0xd5f)]()});});}function _0x496f88(_0x34e9b1){const _0x50325d=_0xde54d4;_0x207152[_0x50325d(0xcef)]=[];const _0x595350=_0xfbab03[_0x50325d(0x1e8a)]()[_0x50325d(0x1189)](_0x50325d(0x1d64))[_0x50325d(0x80f)](_0x50325d(0xec9))[_0x50325d(0x4bd)](_0x50325d(0x1803))['ok'](_0x50325d(0x25de))[_0x50325d(0x6c3)]('Cancel')[_0x50325d(0x1f27)](_0x34e9b1);_0xfbab03['show'](_0x595350)[_0x50325d(0x146b)](function(){const _0x8bf52e=_0x50325d;_0x3abb35[_0x8bf52e(0x1b3b)][_0x8bf52e(0x1fac)]({'id':_0x207152['whatsappQueue']['id']})[_0x8bf52e(0x2945)][_0x8bf52e(0x146b)](function(){const _0x3a618c=_0x8bf52e;_0x3f65c0()[_0x3a618c(0x2640)](_0x207152[_0x3a618c(0x740)],{'id':_0x207152[_0x3a618c(0x1b3b)]['id']}),_0x2aa045['success']({'title':_0x3a618c(0x26e4),'msg':(_0x207152['whatsappQueue'][_0x3a618c(0x19eb)]||_0x3a618c(0x1b3b))+_0x3a618c(0x23e3)}),_0x2774dd(_0x207152[_0x3a618c(0x1b3b)]);})[_0x8bf52e(0x129e)](function(_0x527d16){const _0x1e2777=_0x8bf52e;if(_0x527d16['data']&&_0x527d16[_0x1e2777(0x524)][_0x1e2777(0xcef)]&&_0x527d16[_0x1e2777(0x524)][_0x1e2777(0xcef)][_0x1e2777(0x402)]){_0x207152[_0x1e2777(0xcef)]=_0x527d16[_0x1e2777(0x524)][_0x1e2777(0xcef)]||[{'message':_0x527d16[_0x1e2777(0xd5f)](),'type':_0x1e2777(0x300)}];for(let _0x339967=0x0;_0x339967<_0x527d16['data'][_0x1e2777(0xcef)][_0x1e2777(0x402)];_0x339967++){_0x2aa045[_0x1e2777(0x1980)]({'title':_0x527d16[_0x1e2777(0x524)][_0x1e2777(0xcef)][_0x339967][_0x1e2777(0x1142)],'msg':_0x527d16[_0x1e2777(0x524)][_0x1e2777(0xcef)][_0x339967]['message']});}}else _0x2aa045[_0x1e2777(0x1980)]({'title':_0x527d16[_0x1e2777(0x107b)]?'API:'+_0x527d16[_0x1e2777(0x107b)]+'\x20-\x20'+_0x527d16[_0x1e2777(0x167f)]:_0x1e2777(0x300),'msg':_0x527d16[_0x1e2777(0x524)]?JSON[_0x1e2777(0x10bb)](_0x527d16[_0x1e2777(0x524)]['message']):_0x527d16[_0x1e2777(0x7fd)]||_0x527d16['toString']()});});},function(){});}function _0x5f068c(_0x2e23fb){return _0x2e23fb===null?undefined:new Date(_0x2e23fb);}function _0x2774dd(_0x48f6b1){const _0x4865e3=_0xde54d4;_0xfbab03[_0x4865e3(0x2458)](_0x48f6b1);}}const _0x5c383b=_0x367eb4;;_0x5bd675[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q',_0x313a4d(0x1fe4),_0x313a4d(0x247f),'whatsappQueue',_0x313a4d(0x740),_0x313a4d(0xfc4),_0x313a4d(0x214b),'Auth',_0x313a4d(0x2514)];function _0x5bd675(_0x413296,_0x1f62b1,_0x6554c2,_0x4d6015,_0x583457,_0xb9a785,_0x4a0e1c,_0x4bec4f,_0x39d50e,_0x3e5fe5){const _0x525742=_0x313a4d,_0xbd8f57=this;_0xbd8f57[_0x525742(0x2321)]=_0x39d50e[_0x525742(0xb12)](),_0xbd8f57[_0x525742(0x1b3b)]=_0x583457,_0xbd8f57[_0x525742(0x2514)]=_0x3e5fe5,_0xbd8f57['realtime']=_0x4a0e1c,_0xbd8f57[_0x525742(0x1fd6)]=[],_0xbd8f57['allowedItems']=[],_0xbd8f57['selectedItems']=[],_0xbd8f57[_0x525742(0x2e8)]=[],_0xbd8f57[_0x525742(0xa65)]=[],_0xbd8f57[_0x525742(0x132)]=![],_0xbd8f57[_0x525742(0x3d4)]=_0x2dc95d,_0xbd8f57[_0x525742(0x14c2)]=_0xb782c1,_0xbd8f57[_0x525742(0x13f3)]=_0xcff90d,_0xbd8f57['dualMultiselectOptions']={'readOnly':!_0xbd8f57['crudPermissions'][_0x525742(0x15f4)],'items':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x525742(0x19eb),'line1':_0x525742(0x286a),'line2':['name','internal'],'line3':'','labelAll':_0x4bec4f[_0x525742(0xde)](_0x525742(0x2050)),'labelSelected':_0x4bec4f['instant'](_0x525742(0xf73)),'transferCallback':function(){const _0x365150=_0x525742,_0x3314cb=_0x3f65c0()['xorBy'](_0xbd8f57[_0x365150(0xa65)],_0xbd8f57[_0x365150(0x1ecf)],'id');_0xbd8f57['pendingChanges']=_0x3f65c0()[_0x365150(0x2635)](_0x3314cb)?![]:!![];}};function _0x2dc95d(){const _0x3d0c1b=_0x525742;return _0x39d50e[_0x3d0c1b(0x23e0)](_0x3d0c1b(0x174b))?_0x3445a6()[_0x3d0c1b(0x129e)](function(_0x20b51e){const _0x1e3c57=_0x3d0c1b;_0x6554c2[_0x1e3c57(0x1980)]({'title':_0x20b51e[_0x1e3c57(0x107b)]?'API:'+_0x20b51e[_0x1e3c57(0x107b)]+'\x20-\x20'+_0x20b51e['statusText']:'SYSTEM:GET_AGENTS','msg':_0x20b51e['status']?JSON[_0x1e3c57(0x10bb)](_0x20b51e[_0x1e3c57(0x524)]):_0x20b51e[_0x1e3c57(0xd5f)]()});}):_0x5ccda7()[_0x3d0c1b(0x146b)](function(_0x2613f4){return _0xbd8f57['section']=_0x2613f4,_0x3445a6();})['catch'](function(_0x1e4ac2){const _0x18e882=_0x3d0c1b;_0x6554c2[_0x18e882(0x1980)]({'title':_0x1e4ac2[_0x18e882(0x107b)]?_0x18e882(0x262a)+_0x1e4ac2[_0x18e882(0x107b)]+_0x18e882(0x1315)+_0x1e4ac2['statusText']:_0x18e882(0x557),'msg':_0x1e4ac2[_0x18e882(0x107b)]?JSON[_0x18e882(0x10bb)](_0x1e4ac2['data']):_0x1e4ac2['toString']()});});}function _0x5ccda7(){return _0x1f62b1(function(_0x43a990,_0x53b4e6){const _0x59ba3a=a0_0x3bb9;_0x4d6015[_0x59ba3a(0x1366)][_0x59ba3a(0x16b4)]({'userProfileId':_0xbd8f57[_0x59ba3a(0x2321)][_0x59ba3a(0x209a)],'name':_0x59ba3a(0xca8)})['$promise']['then'](function(_0x3c0ec6){const _0x1c6973=_0x59ba3a,_0x18a93f=_0x3c0ec6&&_0x3c0ec6[_0x1c6973(0x19c7)]?_0x3c0ec6[_0x1c6973(0x19c7)][0x0]:null;_0x43a990(_0x18a93f);})[_0x59ba3a(0x129e)](function(_0x1ae9d2){_0x53b4e6(_0x1ae9d2);});});}function _0x3445a6(){return _0x1f62b1(function(_0x3f59a8,_0x5d0a64){const _0x84021f=a0_0x3bb9;return _0x1deb0b()['then'](function(_0x5ea7a3){const _0x1b5781=a0_0x3bb9;return _0xbd8f57[_0x1b5781(0x1fd6)]=_0x5ea7a3['rows']?_0x5ea7a3['rows']:[],_0x39d50e[_0x1b5781(0x23e0)](_0x1b5781(0x174b))?_0x5ea7a3:_0xbd8f57['section']?_0xbd8f57['section'][_0x1b5781(0x11d2)]?_0x5ea7a3:_0x4ac46f():null;})[_0x84021f(0x146b)](function(_0x42b277){const _0x1348d8=_0x84021f,_0x4e61bc=_0x42b277&&_0x42b277['rows']?_0x42b277[_0x1348d8(0x19c7)]:[];return _0xbd8f57['allowedItems']=_0x3f65c0()[_0x1348d8(0x205)](_0x4e61bc,function(_0x2b48f3){const _0x3b237e=_0x1348d8;return _0x3f65c0()[_0x3b237e(0xc84)](_0xbd8f57[_0x3b237e(0x1fd6)],{'id':_0x39d50e[_0x3b237e(0x23e0)](_0x3b237e(0x174b))||_0xbd8f57['section']['autoAssociation']?_0x2b48f3['id']:_0x2b48f3[_0x3b237e(0x18b8)]});}),_0xbd8f57[_0x1348d8(0x2e8)]=angular['copy'](_0xbd8f57[_0x1348d8(0xc4e)]),_0xbd8f57[_0x1348d8(0x1fd6)]['forEach'](function(_0x2a5a00){const _0x372e28=_0x1348d8,_0x13ebc0=_0x3f65c0()[_0x372e28(0xc84)](_0xbd8f57['allowedItems'],{'id':_0x2a5a00['id']});_0x39d50e[_0x372e28(0x23e0)](_0x372e28(0x174b))?_0x2a5a00['isValid']=!![]:_0x2a5a00['isValid']=typeof _0x13ebc0!==_0x372e28(0x2274)?!![]:![];}),_0x1a1366();})[_0x84021f(0x146b)](function(_0x53106b){const _0x99b76c=_0x84021f,_0x55570f=_0x53106b&&_0x53106b[_0x99b76c(0x19c7)]?_0x53106b[_0x99b76c(0x19c7)]:[];_0xbd8f57['selectedItems']=_0x3f65c0()['map'](_0x55570f,function(_0x578e1e){const _0x1cb6f4=_0x99b76c,_0x209db1=_0x3f65c0()[_0x1cb6f4(0xc84)](_0xbd8f57[_0x1cb6f4(0x1fd6)],{'id':_0x578e1e['id']});return _0x209db1[_0x1cb6f4(0x188d)]=_0x578e1e[_0x1cb6f4(0xc1a)]?_0x1cb6f4(0x2505)+_0x578e1e[_0x1cb6f4(0xc1a)][_0x1cb6f4(0x188d)]:'',_0x209db1[_0x1cb6f4(0x113f)]=typeof _0x578e1e[_0x1cb6f4(0x113f)]!==_0x1cb6f4(0x2274)?'<'+_0x578e1e[_0x1cb6f4(0x113f)]+'>':'',_0x209db1;}),_0xbd8f57[_0x99b76c(0xa65)]=angular[_0x99b76c(0x235a)](_0xbd8f57[_0x99b76c(0x1ecf)]),_0xbd8f57[_0x99b76c(0x1f8a)][_0x99b76c(0x1ecf)]=_0xbd8f57['selectedItems'],_0xbd8f57['dualMultiselectOptions'][_0x99b76c(0x1fd6)]=_0x3f65c0()[_0x99b76c(0x2796)](_0xbd8f57['allowedItems'],_0xbd8f57['dualMultiselectOptions'][_0x99b76c(0x1ecf)],'id'),_0x3f59a8();})[_0x84021f(0x129e)](function(_0xa78ba3){_0x5d0a64(_0xa78ba3);});});}function _0x4ac46f(){return _0x1f62b1(function(_0x487ba8,_0x2d9f07){const _0x65cb3d=a0_0x3bb9;return _0x4d6015['userProfileResource'][_0x65cb3d(0x16b4)]({'sectionId':_0xbd8f57[_0x65cb3d(0x2146)]['id'],'nolimit':!![]})[_0x65cb3d(0x2945)]['then'](function(_0x1f9a29){_0x487ba8(_0x1f9a29);})[_0x65cb3d(0x129e)](function(_0xbef851){_0x2d9f07(_0xbef851);});});}function _0x1a1366(){return _0x1f62b1(function(_0x3044ad,_0x3174a9){const _0x37ee4d=a0_0x3bb9;return _0x4d6015[_0x37ee4d(0x1b3b)]['getAgents']({'id':_0xbd8f57[_0x37ee4d(0x1b3b)]['id'],'fields':_0x37ee4d(0x1569),'nolimit':!![],'role':_0x37ee4d(0x1755)})[_0x37ee4d(0x2945)][_0x37ee4d(0x146b)](function(_0x1c57bf){_0x3044ad(_0x1c57bf);})['catch'](function(_0x47acd0){_0x3174a9(_0x47acd0);});});}function _0x1deb0b(){return _0x1f62b1(function(_0x4163a5,_0x5ad171){const _0x1a5ee4=a0_0x3bb9;return _0x4d6015[_0x1a5ee4(0xe7b)][_0x1a5ee4(0x16b4)]({'fields':_0x1a5ee4(0x1569),'nolimit':!![],'role':_0x1a5ee4(0x1755)})[_0x1a5ee4(0x2945)][_0x1a5ee4(0x146b)](function(_0x4a8bf4){_0x4163a5(_0x4a8bf4);})[_0x1a5ee4(0x129e)](function(_0x40e8a7){_0x5ad171(_0x40e8a7);});});}function _0x14fcbb(_0xd3c15b){return _0x1f62b1(function(_0x5661ac,_0x25a081){const _0x1d1b0b=a0_0x3bb9;_0x3f65c0()['isEmpty'](_0xd3c15b)?_0x5661ac():_0x4d6015[_0x1d1b0b(0x1b3b)][_0x1d1b0b(0x135e)]({'id':_0xbd8f57[_0x1d1b0b(0x1b3b)]['id'],'ids':_0x3f65c0()['map'](_0xd3c15b,'id')})[_0x1d1b0b(0x2945)][_0x1d1b0b(0x146b)](function(){_0x5661ac();})[_0x1d1b0b(0x129e)](function(_0x46c91b){_0x25a081(_0x46c91b);});});}function _0x398955(_0x37bc6e){return _0x1f62b1(function(_0x666de6,_0x583e05){const _0x634c60=a0_0x3bb9;_0x3f65c0()['isEmpty'](_0x37bc6e)?_0x666de6():_0x4d6015[_0x634c60(0x1b3b)][_0x634c60(0x1f53)]({'id':_0xbd8f57[_0x634c60(0x1b3b)]['id'],'ids':_0x3f65c0()[_0x634c60(0x205)](_0x37bc6e,'id')})[_0x634c60(0x2945)][_0x634c60(0x146b)](function(){_0x666de6();})[_0x634c60(0x129e)](function(_0xf89086){_0x583e05(_0xf89086);});});}function _0xb782c1(){const _0x147fc6=_0x525742,_0x3c9139=_0x3f65c0()['differenceBy'](_0xbd8f57[_0x147fc6(0xa65)],_0xbd8f57[_0x147fc6(0x1ecf)],'id'),_0x24405a=_0x3f65c0()[_0x147fc6(0x2796)](_0xbd8f57['selectedItems'],_0xbd8f57[_0x147fc6(0xa65)],'id');return _0x398955(_0x3c9139)[_0x147fc6(0x146b)](function(){return _0x14fcbb(_0x24405a);})[_0x147fc6(0x146b)](function(){const _0x4651f9=_0x147fc6;_0xbd8f57[_0x4651f9(0x132)]=![],_0xbd8f57[_0x4651f9(0x2e8)]=angular['copy'](_0xbd8f57['allowedItems']),_0xbd8f57[_0x4651f9(0xa65)]=angular[_0x4651f9(0x235a)](_0xbd8f57[_0x4651f9(0x1ecf)]),_0x6554c2[_0x4651f9(0x1c75)]({'title':_0x4651f9(0x4c0),'msg':_0x4651f9(0x26be)});})[_0x147fc6(0x129e)](function(_0x4d6683){const _0x3566a6=_0x147fc6;_0x6554c2[_0x3566a6(0x1980)]({'title':_0x4d6683[_0x3566a6(0x107b)]?'API:'+_0x4d6683[_0x3566a6(0x107b)]+'\x20-\x20'+_0x4d6683[_0x3566a6(0x167f)]:'SYSTEM:LISTS_ASSOCIATION','msg':_0x4d6683[_0x3566a6(0x107b)]?JSON[_0x3566a6(0x10bb)](_0x4d6683[_0x3566a6(0x524)]):_0x4d6683['toString']()});});}function _0xcff90d(){const _0x11b6b4=_0x525742;_0x413296[_0x11b6b4(0x2458)]();}}const _0x5d32e5=_0x5bd675;;_0x50d7b3[_0x313a4d(0x11c2)]=[_0x313a4d(0x10e8),'$q','toasty','api',_0x313a4d(0x1b3b),_0x313a4d(0x214b),_0x313a4d(0xa87),_0x313a4d(0x2514)];function _0x50d7b3(_0x3386e4,_0x5b6a3e,_0x921790,_0x48f165,_0x12c4ac,_0x22a9e5,_0x35ac8a,_0x218b2c){const _0x196c57=_0x313a4d,_0xd32f4e=this;_0xd32f4e[_0x196c57(0x2321)]=_0x35ac8a[_0x196c57(0xb12)](),_0xd32f4e[_0x196c57(0x1b3b)]=_0x12c4ac,_0xd32f4e['crudPermissions']=_0x218b2c,_0xd32f4e['items']=[],_0xd32f4e['allowedItems']=[],_0xd32f4e['selectedItems']=[],_0xd32f4e[_0x196c57(0x2e8)]=[],_0xd32f4e['startingSelectedItems']=[],_0xd32f4e['pendingChanges']=![],_0xd32f4e[_0x196c57(0x1f8a)]={'readOnly':!_0xd32f4e[_0x196c57(0x2514)][_0x196c57(0x15f4)],'allowedItems':[],'selectedItems':[],'showSelectAndDeselectAll':!![],'orderBy':_0x196c57(0x19eb),'line1':_0x196c57(0x19eb),'line2':'','line3':'','labelAll':_0x22a9e5['instant']('APP.ALL_TEAMS'),'labelSelected':_0x22a9e5[_0x196c57(0xde)](_0x196c57(0x1ae7)),'transferCallback':function(){const _0xec73f5=_0x196c57,_0xc5c514=_0x3f65c0()[_0xec73f5(0x1883)](_0xd32f4e['startingSelectedItems'],_0xd32f4e[_0xec73f5(0x1ecf)],'id');_0xd32f4e[_0xec73f5(0x132)]=_0x3f65c0()[_0xec73f5(0x2635)](_0xc5c514)?![]:!![];}},_0xd32f4e[_0x196c57(0x3d4)]=_0x4a4a8f,_0xd32f4e['saveTeams']=_0x2479d4,_0xd32f4e[_0x196c57(0x13f3)]=_0x7e02;function _0x4a4a8f(){const _0x21ebcd=_0x196c57;return _0x35ac8a['hasRole'](_0x21ebcd(0x174b))?_0x135c36()['catch'](function(_0xace9c7){const _0x5d3616=_0x21ebcd;_0x921790[_0x5d3616(0x1980)]({'title':_0xace9c7['status']?_0x5d3616(0x262a)+_0xace9c7[_0x5d3616(0x107b)]+_0x5d3616(0x1315)+_0xace9c7['statusText']:'SYSTEM:GET_TEAMS','msg':_0xace9c7[_0x5d3616(0x107b)]?JSON[_0x5d3616(0x10bb)](_0xace9c7[_0x5d3616(0x524)]):_0xace9c7['toString']()});}):_0x3a282c()[_0x21ebcd(0x146b)](function(_0x42b3b2){return _0xd32f4e['section']=_0x42b3b2,_0x135c36();})['catch'](function(_0x899ca7){const _0x1e4b71=_0x21ebcd;_0x921790[_0x1e4b71(0x1980)]({'title':_0x899ca7['status']?_0x1e4b71(0x262a)+_0x899ca7[_0x1e4b71(0x107b)]+_0x1e4b71(0x1315)+_0x899ca7[_0x1e4b71(0x167f)]:'SYSTEM:GET_TEAMS','msg':_0x899ca7['status']?JSON['stringify'](_0x899ca7[_0x1e4b71(0x524)]):_0x899ca7[_0x1e4b71(0xd5f)]()});});}function _0x3a282c(){return _0x5b6a3e(function(_0x4a3230,_0x41b714){const _0x75e9e2=a0_0x3bb9;_0x48f165[_0x75e9e2(0x1366)][_0x75e9e2(0x16b4)]({'userProfileId':_0xd32f4e[_0x75e9e2(0x2321)]['userProfileId'],'name':_0x75e9e2(0x21ae)})[_0x75e9e2(0x2945)][_0x75e9e2(0x146b)](function(_0x50082e){const _0x4d47bd=_0x75e9e2,_0x18d666=_0x50082e&&_0x50082e[_0x4d47bd(0x19c7)]?_0x50082e[_0x4d47bd(0x19c7)][0x0]:null;_0x4a3230(_0x18d666);})[_0x75e9e2(0x129e)](function(_0x4bbab7){_0x41b714(_0x4bbab7);});});}function _0x135c36(){return _0x5b6a3e(function(_0x3c1987,_0x179584){const _0x39a076=a0_0x3bb9;return _0x5d6f50()['then'](function(_0x34aee6){const _0x13ba3b=a0_0x3bb9;return _0xd32f4e[_0x13ba3b(0x1fd6)]=_0x34aee6[_0x13ba3b(0x19c7)]?_0x34aee6[_0x13ba3b(0x19c7)]:[],_0x35ac8a[_0x13ba3b(0x23e0)](_0x13ba3b(0x174b))?_0x34aee6:_0xd32f4e[_0x13ba3b(0x2146)]?_0xd32f4e[_0x13ba3b(0x2146)][_0x13ba3b(0x11d2)]?_0x34aee6:_0x5b4fad():null;})[_0x39a076(0x146b)](function(_0x1407df){const _0x188633=_0x39a076,_0x1842f3=_0x1407df&&_0x1407df['rows']?_0x1407df[_0x188633(0x19c7)]:[];return _0xd32f4e[_0x188633(0xc4e)]=_0x3f65c0()[_0x188633(0x205)](_0x1842f3,function(_0x4e34af){const _0x5894f0=_0x188633;return _0x3f65c0()[_0x5894f0(0xc84)](_0xd32f4e[_0x5894f0(0x1fd6)],{'id':_0x35ac8a[_0x5894f0(0x23e0)](_0x5894f0(0x174b))||_0xd32f4e[_0x5894f0(0x2146)]['autoAssociation']?_0x4e34af['id']:_0x4e34af[_0x5894f0(0x18b8)]});}),_0xd32f4e[_0x188633(0x1fd6)][_0x188633(0x1df5)](function(_0x27e252){const _0x1fc0b7=_0x188633,_0x52085c=_0x3f65c0()['find'](_0xd32f4e[_0x1fc0b7(0xc4e)],{'id':_0x27e252['id']});_0x35ac8a[_0x1fc0b7(0x23e0)](_0x1fc0b7(0x174b))?_0x27e252[_0x1fc0b7(0x146f)]=!![]:_0x27e252[_0x1fc0b7(0x146f)]=typeof _0x52085c!==_0x1fc0b7(0x2274)?!![]:![];}),_0x1ccb38();})[_0x39a076(0x146b)](function(_0x5f3669){const _0x4638f7=_0x39a076,_0x10d0ab=_0x5f3669&&_0x5f3669[_0x4638f7(0x19c7)]?_0x5f3669[_0x4638f7(0x19c7)]:[];_0xd32f4e[_0x4638f7(0x1ecf)]=_0x3f65c0()[_0x4638f7(0x205)](_0x10d0ab,function(_0x110c22){const _0x334374=_0x4638f7;return _0x3f65c0()[_0x334374(0xc84)](_0xd32f4e[_0x334374(0x1fd6)],{'id':_0x110c22['id']});}),_0xd32f4e[_0x4638f7(0xa65)]=angular[_0x4638f7(0x235a)](_0xd32f4e['selectedItems']),_0xd32f4e['dualMultiselectOptions'][_0x4638f7(0x1ecf)]=_0xd32f4e['selectedItems'],_0xd32f4e[_0x4638f7(0x1f8a)][_0x4638f7(0x1fd6)]=_0x3f65c0()[_0x4638f7(0x2796)](_0xd32f4e[_0x4638f7(0xc4e)],_0xd32f4e[_0x4638f7(0x1f8a)][_0x4638f7(0x1ecf)],'id'),_0x3c1987();})[_0x39a076(0x129e)](function(_0x586f52){_0x179584(_0x586f52);});});}function _0x5b4fad(){return _0x5b6a3e(function(_0x40cee5,_0x1b6a0f){const _0x2bda9b=a0_0x3bb9;return _0x48f165[_0x2bda9b(0xdcc)][_0x2bda9b(0x16b4)]({'sectionId':_0xd32f4e[_0x2bda9b(0x2146)]['id'],'nolimit':!![]})[_0x2bda9b(0x2945)][_0x2bda9b(0x146b)](function(_0x2fffa0){_0x40cee5(_0x2fffa0);})['catch'](function(_0x3e0f9a){_0x1b6a0f(_0x3e0f9a);});});}function _0x1ccb38(){return _0x5b6a3e(function(_0x4abc8b,_0x5dec3d){const _0x192b93=a0_0x3bb9;return _0x48f165[_0x192b93(0x1b3b)]['getTeams']({'id':_0xd32f4e[_0x192b93(0x1b3b)]['id'],'fields':_0x192b93(0x7a7),'nolimit':!![]})[_0x192b93(0x2945)][_0x192b93(0x146b)](function(_0x3c6b02){_0x4abc8b(_0x3c6b02);})['catch'](function(_0x205342){_0x5dec3d(_0x205342);});});}function _0x5d6f50(){return _0x5b6a3e(function(_0x33afc2,_0x3fcf62){const _0xf4d45b=a0_0x3bb9;return _0x48f165['team'][_0xf4d45b(0x16b4)]({'fields':_0xf4d45b(0x7a7),'nolimit':!![]})[_0xf4d45b(0x2945)][_0xf4d45b(0x146b)](function(_0x4c8071){_0x33afc2(_0x4c8071);})[_0xf4d45b(0x129e)](function(_0x17cf4e){_0x3fcf62(_0x17cf4e);});});}function _0x562402(_0x4ad054){return _0x5b6a3e(function(_0x2011b3,_0x209d3d){const _0x18a6e3=a0_0x3bb9;_0x3f65c0()[_0x18a6e3(0x2635)](_0x4ad054)?_0x2011b3():_0x48f165['whatsappQueue'][_0x18a6e3(0x7a0)]({'id':_0xd32f4e[_0x18a6e3(0x1b3b)]['id'],'ids':_0x3f65c0()[_0x18a6e3(0x205)](_0x4ad054,'id')})['$promise'][_0x18a6e3(0x146b)](function(){_0x2011b3();})[_0x18a6e3(0x129e)](function(_0xb02e67){_0x209d3d(_0xb02e67);});});}function _0x49c5ff(_0x5b5c57){return _0x5b6a3e(function(_0x2c928d,_0x387690){const _0x3efaa8=a0_0x3bb9;_0x3f65c0()['isEmpty'](_0x5b5c57)?_0x2c928d():_0x48f165[_0x3efaa8(0x1b3b)][_0x3efaa8(0xfa4)]({'id':_0xd32f4e[_0x3efaa8(0x1b3b)]['id'],'ids':_0x3f65c0()[_0x3efaa8(0x205)](_0x5b5c57,'id')})[_0x3efaa8(0x2945)][_0x3efaa8(0x146b)](function(){_0x2c928d();})[_0x3efaa8(0x129e)](function(_0x1a95e8){_0x387690(_0x1a95e8);});});}function _0x2479d4(){const _0xff0881=_0x196c57,_0x581dd7=_0x3f65c0()['differenceBy'](_0xd32f4e['startingSelectedItems'],_0xd32f4e['selectedItems'],'id'),_0x25532d=_0x3f65c0()[_0xff0881(0x2796)](_0xd32f4e['selectedItems'],_0xd32f4e['startingSelectedItems'],'id');return _0x49c5ff(_0x581dd7)[_0xff0881(0x146b)](function(){return _0x562402(_0x25532d);})[_0xff0881(0x146b)](function(){const _0x574009=_0xff0881;_0xd32f4e[_0x574009(0x132)]=![],_0xd32f4e[_0x574009(0x2e8)]=angular[_0x574009(0x235a)](_0xd32f4e[_0x574009(0xc4e)]),_0xd32f4e[_0x574009(0xa65)]=angular[_0x574009(0x235a)](_0xd32f4e[_0x574009(0x1ecf)]),_0x921790[_0x574009(0x1c75)]({'title':'SUCCESS','msg':'Teams\x20association\x20has\x20been\x20updated!'});})[_0xff0881(0x129e)](function(_0xe79bd3){const _0x27f521=_0xff0881;_0x921790[_0x27f521(0x1980)]({'title':_0xe79bd3[_0x27f521(0x107b)]?_0x27f521(0x262a)+_0xe79bd3['status']+'\x20-\x20'+_0xe79bd3[_0x27f521(0x167f)]:'SYSTEM:LISTS_ASSOCIATION','msg':_0xe79bd3[_0x27f521(0x107b)]?JSON['stringify'](_0xe79bd3[_0x27f521(0x524)]):_0xe79bd3[_0x27f521(0xd5f)]()});});}function _0x7e02(){const _0xaab97=_0x196c57;_0x3386e4[_0xaab97(0x2458)]();}}const _0x3d99d8=_0x50d7b3;;const _0x389a93=_0x4acfac['p']+_0x313a4d(0x18d7);;_0x422fe5['$inject']=['$state','$location','$mdDialog',_0x313a4d(0x4d8),_0x313a4d(0x214b),_0x313a4d(0x2690),'setting',_0x313a4d(0x247f),_0x313a4d(0x1fe4),_0x313a4d(0xa87),_0x313a4d(0x1b3b),_0x313a4d(0x1366)];function _0x422fe5(_0x1c0d96,_0x4e3d0a,_0x276826,_0x2b244b,_0x3321e5,_0x3a1296,_0x8c5be2,_0x59f164,_0x30d02d,_0x55b988,_0xde0ed2,_0x1ff0ce){const _0xd0430a=_0x313a4d,_0x57bf43=this;_0x57bf43[_0xd0430a(0x2321)]=_0x55b988[_0xd0430a(0xb12)](),_0x57bf43[_0xd0430a(0x2690)]=_0x3a1296,_0x57bf43[_0xd0430a(0x15b9)]=_0x8c5be2,_0x57bf43[_0xd0430a(0x2251)]=_0x57bf43[_0xd0430a(0x15b9)][_0xd0430a(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'',_0x57bf43[_0xd0430a(0x1002)]=_0x4e3d0a[_0xd0430a(0x2414)]()+_0xd0430a(0xb0e)+_0x4e3d0a[_0xd0430a(0x148e)](),_0x57bf43[_0xd0430a(0x1b3b)]=_0xde0ed2||_0x1c0d96[_0xd0430a(0x16a)][_0xd0430a(0x1b3b)]||{},_0x57bf43['userProfileSection']=_0x1ff0ce&&_0x1ff0ce[_0xd0430a(0x51c)]==0x1?_0x1ff0ce['rows'][0x0]:null,_0x57bf43['crudPermissions']=_0x55b988[_0xd0430a(0xe60)](_0x57bf43['userProfileSection']?_0x57bf43[_0xd0430a(0x1366)][_0xd0430a(0x2514)]:null),_0x57bf43[_0xd0430a(0x855)]={},_0x57bf43['selectedTab']=_0x1c0d96[_0xd0430a(0x16a)][_0xd0430a(0x13a3)]||0x0,_0x57bf43[_0xd0430a(0x244)]=_0x56b8e9,_0x57bf43[_0xd0430a(0x1027)]=_0x1fddbd,_0x57bf43[_0xd0430a(0x861)]=_0x30d02d[_0xd0430a(0x271e)],_0x57bf43['gotoWhatsappQueues']=_0x2fb035,_0x57bf43[_0xd0430a(0x753)]=_0xcf8810;function _0x56b8e9(_0x3408ad,_0x540ad0){const _0x1e31c5=_0xd0430a;_0x276826[_0x1e31c5(0x2615)]({'controller':_0x1e31c5(0xb61),'controllerAs':'vm','templateUrl':_0x389a93,'parent':angular[_0x1e31c5(0x1853)](_0x2b244b['body']),'targetEvent':_0x540ad0,'clickOutsideToClose':!![],'locals':{'whatsappQueue':_0x3408ad,'whatsappQueues':_0x57bf43[_0x1e31c5(0x740)]?_0x57bf43[_0x1e31c5(0x740)]['rows']:[],'crudPermissions':_0x57bf43['crudPermissions']}});}function _0x1fddbd(_0x184a3b,_0x18906d){const _0x10fa8e=_0xd0430a;_0x276826[_0x10fa8e(0x2615)]({'controller':_0x10fa8e(0x2d5),'controllerAs':'vm','templateUrl':_0x389127,'parent':angular[_0x10fa8e(0x1853)](_0x2b244b[_0x10fa8e(0x2586)]),'targetEvent':_0x18906d,'clickOutsideToClose':!![],'locals':{'whatsappQueue':_0x184a3b,'whatsappQueues':_0x57bf43[_0x10fa8e(0x740)]?_0x57bf43[_0x10fa8e(0x740)][_0x10fa8e(0x19c7)]:[],'crudPermissions':_0x57bf43[_0x10fa8e(0x2514)],'realtime':![]}});}function _0x2fb035(){const _0x191d65=_0xd0430a;_0x1c0d96['go']('app.whatsapp.whatsappQueues',{},{'reload':_0x191d65(0x262e)});}function _0xcf8810(){const _0x1c5f12=_0xd0430a;_0x59f164['whatsappQueue']['update']({'id':_0x57bf43['whatsappQueue']['id']},_0x57bf43[_0x1c5f12(0x1b3b)])[_0x1c5f12(0x2945)][_0x1c5f12(0x146b)](function(){const _0x3410d0=_0x1c5f12;_0x30d02d['success']({'title':_0x3410d0(0x2165),'msg':_0x57bf43[_0x3410d0(0x1b3b)][_0x3410d0(0x19eb)]?_0x57bf43[_0x3410d0(0x1b3b)][_0x3410d0(0x19eb)]+_0x3410d0(0x6b0):''});})[_0x1c5f12(0x129e)](function(_0x9f99ce){const _0x3fa297=_0x1c5f12;_0x30d02d[_0x3fa297(0x1980)]({'title':_0x9f99ce[_0x3fa297(0x107b)]?_0x3fa297(0x262a)+_0x9f99ce[_0x3fa297(0x107b)]+_0x3fa297(0x1315)+_0x9f99ce[_0x3fa297(0x167f)]:_0x3fa297(0x260d),'msg':_0x9f99ce['data']?JSON[_0x3fa297(0x10bb)](_0x9f99ce['data']):_0x9f99ce[_0x3fa297(0xd5f)]()});});}}const _0x5ade26=_0x422fe5;;_0x354ea5[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x1aa9),_0x313a4d(0x1862),_0x313a4d(0x15fe),_0x313a4d(0x10e8),_0x313a4d(0x4d8),_0x313a4d(0x1abe),_0x313a4d(0x214b),_0x313a4d(0x740),_0x313a4d(0x26b6),_0x313a4d(0x1366),_0x313a4d(0x247f),_0x313a4d(0x216c),_0x313a4d(0x1fe4),'Auth',_0x313a4d(0x2690),'setting'];function _0x354ea5(_0x2cf02b,_0x18078a,_0x12c126,_0x1b4324,_0x54c019,_0x50f7e6,_0x52376d,_0x379b94,_0x65cacd,_0x1658f7,_0x4f5718,_0x95b4c0,_0x6f13aa,_0x14df31,_0x5b867f,_0x1e3817,_0x4ba9ae){const _0x227da2=_0x313a4d,_0x45a839=this;_0x45a839['license']=_0x1e3817,_0x45a839['setting']=_0x4ba9ae,_0x45a839[_0x227da2(0x2321)]=_0x5b867f['getCurrentUser'](),_0x45a839['whatsappQueues']=_0x65cacd||{'count':0x0,'rows':[]},_0x45a839[_0x227da2(0x26b6)]=_0x1658f7,_0x45a839[_0x227da2(0x1366)]=_0x4f5718&&_0x4f5718[_0x227da2(0x51c)]==0x1?_0x4f5718[_0x227da2(0x19c7)][0x0]:null,_0x45a839[_0x227da2(0x2514)]=_0x5b867f[_0x227da2(0xe60)](_0x45a839[_0x227da2(0x1366)]?_0x45a839[_0x227da2(0x1366)][_0x227da2(0x2514)]:null),_0x45a839[_0x227da2(0x768)]=_0x227da2(0x740),_0x45a839[_0x227da2(0x216a)]='',_0x45a839[_0x227da2(0x214f)]=null,_0x45a839[_0x227da2(0x718)]=[],_0x45a839[_0x227da2(0x1a56)]={'fields':_0x227da2(0xa22),'sort':_0x227da2(0x12f2),'channel':_0x227da2(0xff9),'limit':0xa,'page':0x1},_0x45a839[_0x227da2(0x280a)]=_0x3f65c0()[_0x227da2(0x194)]([{'option':_0x227da2(0x6a7),'value':_0x227da2(0xb5a)},{'option':_0x227da2(0xa12),'value':'\x27rrmemory\x27'}],function(_0x266a2f){const _0x505095=_0x227da2;return _0x3f65c0()[_0x505095(0x5f4)](_0x266a2f[_0x505095(0x175d)],new RegExp('\x27','g'),'');}),_0x45a839[_0x227da2(0x23f9)]=_0x420759,_0x45a839[_0x227da2(0x244)]=_0x38a6d4,_0x45a839[_0x227da2(0x1027)]=_0x58cd43,_0x45a839[_0x227da2(0xf0a)]=_0x581410,_0x45a839[_0x227da2(0x138d)]=_0x50646a,_0x45a839['success']=_0x2b89a9,_0x45a839[_0x227da2(0x1db9)]=_0x34217d,_0x45a839['createOrEditWhatsappQueue']=_0x16cf32,_0x45a839[_0x227da2(0x1de2)]=_0x364d68,_0x45a839[_0x227da2(0x2839)]=_0x25d06d,_0x45a839[_0x227da2(0x108)]=_0x3d40c5,_0x45a839[_0x227da2(0x1ec5)]=_0x26dbfc,_0x45a839[_0x227da2(0x244a)]=_0x462ca9;function _0x420759(_0x9dc506){_0x12c126['go']('app.whatsapp.whatsappQueues.edit',{'id':_0x9dc506['id'],'whatsappQueue':_0x9dc506,'crudPermissions':_0x45a839['crudPermissions']});}function _0x38a6d4(_0x40f430,_0x5f4995){const _0x52ad98=_0x227da2;_0x54c019[_0x52ad98(0x2615)]({'controller':_0x52ad98(0xb61),'controllerAs':'vm','templateUrl':_0x389a93,'parent':angular[_0x52ad98(0x1853)](_0x50f7e6['body']),'targetEvent':_0x5f4995,'clickOutsideToClose':!![],'locals':{'whatsappQueue':_0x40f430,'whatsappQueues':_0x45a839[_0x52ad98(0x740)]?_0x45a839[_0x52ad98(0x740)][_0x52ad98(0x19c7)]:[],'crudPermissions':_0x45a839['crudPermissions']}});}function _0x58cd43(_0x29fa28,_0x5580ad){const _0x2fdff8=_0x227da2;_0x54c019[_0x2fdff8(0x2615)]({'controller':_0x2fdff8(0x2d5),'controllerAs':'vm','templateUrl':_0x389127,'parent':angular[_0x2fdff8(0x1853)](_0x50f7e6[_0x2fdff8(0x2586)]),'targetEvent':_0x5580ad,'clickOutsideToClose':!![],'locals':{'whatsappQueue':_0x29fa28,'whatsappQueues':_0x45a839['whatsappQueues']?_0x45a839[_0x2fdff8(0x740)][_0x2fdff8(0x19c7)]:[],'crudPermissions':_0x45a839[_0x2fdff8(0x2514)],'realtime':![]}});}function _0x581410(_0x3c2479,_0x30f752){const _0x2dfb57=_0x227da2,_0x10b54d=_0x54c019['confirm']()['title'](_0x2dfb57(0xdb2)+_0x3f65c0()[_0x2dfb57(0x20d1)]('whatsappQueue')+'?')[_0x2dfb57(0x1cbe)](''+(_0x3c2479['name']||_0x2dfb57(0x1b3b))+_0x2dfb57(0x252f)+_0x2dfb57(0xe01))[_0x2dfb57(0x4bd)](_0x2dfb57(0xcec))[_0x2dfb57(0x1f27)](_0x30f752)['ok']('OK')[_0x2dfb57(0x6c3)]('CANCEL');_0x54c019['show'](_0x10b54d)['then'](function(){_0x364d68(_0x3c2479);},function(){const _0xec2997=_0x2dfb57;console['log'](_0xec2997(0x39a));});}function _0x50646a(){const _0x61503a=_0x227da2;if(_0x5b867f['hasRole'](_0x61503a(0x174b)))_0x12c126['go']('app.whatsapp.realtime.queues',{});else return _0x95b4c0[_0x61503a(0x1366)]['get']({'userProfileId':_0x5b867f[_0x61503a(0xb12)]()['userProfileId'],'sectionId':0x83e})[_0x61503a(0x2945)][_0x61503a(0x146b)](function(_0x360d0a){const _0x1ce5ed=_0x61503a,_0x3ac783=_0x360d0a&&_0x360d0a[_0x1ce5ed(0x19c7)]?_0x360d0a[_0x1ce5ed(0x19c7)][0x0]:null;_0x3ac783&&_0x3ac783[_0x1ce5ed(0x193e)]?_0x12c126['go']('app.whatsapp.realtime.queues',{}):_0x14df31['info']({'title':_0x379b94[_0x1ce5ed(0xde)](_0x1ce5ed(0xb27)),'msg':_0x379b94[_0x1ce5ed(0xde)](_0x1ce5ed(0x174a))});})['catch'](function(_0x3da53e){const _0x1338a4=_0x61503a;_0x14df31['error']({'title':_0x3da53e[_0x1338a4(0x107b)]?_0x1338a4(0x262a)+_0x3da53e[_0x1338a4(0x107b)]+_0x1338a4(0x1315)+_0x3da53e[_0x1338a4(0x167f)]:_0x1338a4(0x698),'msg':_0x3da53e[_0x1338a4(0x107b)]?JSON[_0x1338a4(0x10bb)](_0x3da53e[_0x1338a4(0x524)]):_0x3da53e[_0x1338a4(0xd5f)]()});});}let _0x5c315d=!![],_0x2f2904=0x1;_0x2cf02b[_0x227da2(0x21e8)](_0x227da2(0x2669),function(_0x42ba50,_0x3194e5){const _0x192e6b=_0x227da2;_0x5c315d?_0x52376d(function(){_0x5c315d=![];}):(!_0x3194e5&&(_0x2f2904=_0x45a839['query'][_0x192e6b(0x844)]),_0x42ba50!==_0x3194e5&&(_0x45a839[_0x192e6b(0x1a56)][_0x192e6b(0x844)]=0x1),!_0x42ba50&&(_0x45a839[_0x192e6b(0x1a56)][_0x192e6b(0x844)]=_0x2f2904),_0x45a839['getWhatsappQueues']());});function _0x2b89a9(_0x124848){const _0x26f958=_0x227da2;_0x45a839[_0x26f958(0x740)]=_0x124848||{'count':0x0,'rows':[]};}function _0x34217d(){const _0x31a397=_0x227da2;_0x45a839['query'][_0x31a397(0x145d)]=(_0x45a839['query']['page']-0x1)*_0x45a839['query'][_0x31a397(0x221e)],_0x5b867f[_0x31a397(0x23e0)]('admin')?_0x45a839['promise']=_0x95b4c0[_0x31a397(0x1b3b)]['get'](_0x45a839[_0x31a397(0x1a56)],_0x2b89a9)[_0x31a397(0x2945)]:(_0x45a839[_0x31a397(0x1a56)]['id']=_0x45a839[_0x31a397(0x26b6)]['id'],_0x45a839[_0x31a397(0x1a56)][_0x31a397(0x2146)]=_0x31a397(0xef),_0x45a839[_0x31a397(0xb9c)]=_0x95b4c0['userProfile']['getResources'](_0x45a839[_0x31a397(0x1a56)],_0x2b89a9)[_0x31a397(0x2945)]);}function _0x16cf32(_0x142d45,_0x3520fe){const _0x3249da=_0x227da2;_0x54c019['show']({'controller':_0x3249da(0x27d2),'controllerAs':'vm','templateUrl':_0x1140c1,'parent':angular[_0x3249da(0x1853)](_0x50f7e6['body']),'targetEvent':_0x142d45,'clickOutsideToClose':!![],'locals':{'whatsappQueue':_0x3520fe,'whatsappQueues':_0x45a839[_0x3249da(0x740)][_0x3249da(0x19c7)],'license':_0x45a839[_0x3249da(0x2690)],'setting':_0x45a839['setting'],'crudPermissions':_0x45a839[_0x3249da(0x2514)]}});}function _0x364d68(_0x16a67b){const _0x5112a3=_0x227da2;_0x95b4c0[_0x5112a3(0x1b3b)][_0x5112a3(0x1fac)]({'id':_0x16a67b['id']})[_0x5112a3(0x2945)]['then'](function(){const _0x42625a=_0x5112a3;_0x3f65c0()[_0x42625a(0x2640)](_0x45a839[_0x42625a(0x740)][_0x42625a(0x19c7)],{'id':_0x16a67b['id']}),_0x45a839[_0x42625a(0x740)][_0x42625a(0x51c)]-=0x1,!_0x45a839[_0x42625a(0x740)][_0x42625a(0x19c7)][_0x42625a(0x402)]&&_0x45a839[_0x42625a(0x1db9)](),_0x14df31[_0x42625a(0x1c75)]({'title':_0x3f65c0()['startCase']('WhatsappQueue')+_0x42625a(0x201c),'msg':_0x16a67b[_0x42625a(0x19eb)]?_0x16a67b[_0x42625a(0x19eb)]+_0x42625a(0x23e3):''});})[_0x5112a3(0x129e)](function(_0x508e19){const _0x121604=_0x5112a3;if(_0x508e19['data']&&_0x508e19[_0x121604(0x524)][_0x121604(0xcef)]&&_0x508e19[_0x121604(0x524)][_0x121604(0xcef)]['length']){_0x45a839[_0x121604(0xcef)]=_0x508e19['data']['errors']||[{'message':_0x508e19[_0x121604(0xd5f)](),'type':'SYSTEM:DELETEwhatsappQueue'}];for(let _0x1431b3=0x0;_0x1431b3<_0x508e19[_0x121604(0x524)][_0x121604(0xcef)][_0x121604(0x402)];_0x1431b3++){_0x14df31[_0x121604(0x1980)]({'title':_0x508e19[_0x121604(0x524)][_0x121604(0xcef)][_0x1431b3][_0x121604(0x1142)],'msg':_0x508e19['data']['errors'][_0x1431b3][_0x121604(0x7fd)]});}}else _0x14df31[_0x121604(0x1980)]({'title':_0x508e19[_0x121604(0x107b)]?_0x121604(0x262a)+_0x508e19['status']+_0x121604(0x1315)+_0x508e19[_0x121604(0x167f)]:_0x121604(0x2423),'msg':_0x508e19[_0x121604(0x524)]?JSON[_0x121604(0x10bb)](_0x508e19[_0x121604(0x524)][_0x121604(0x7fd)]):_0x508e19['message']||_0x508e19[_0x121604(0xd5f)]()});});}function _0x25d06d(){const _0x44c373=_0x227da2,_0x4d81e4=angular[_0x44c373(0x235a)](_0x45a839[_0x44c373(0x718)]);return _0x45a839[_0x44c373(0x718)]=[],_0x4d81e4;}function _0x3d40c5(_0xee99e5){const _0x405e02=_0x227da2,_0x30b515=_0x54c019['confirm']()[_0x405e02(0x1189)](_0x405e02(0x1f99))[_0x405e02(0x1cbe)](_0x405e02(0x16d3)+_0x45a839['selectedWhatsappQueues'][_0x405e02(0x402)]+_0x405e02(0x2452)+_0x405e02(0xe01))[_0x405e02(0x4bd)]('delete\x20WhatsappQueues')[_0x405e02(0x1f27)](_0xee99e5)['ok']('OK')[_0x405e02(0x6c3)](_0x405e02(0x39a));_0x54c019[_0x405e02(0x2615)](_0x30b515)[_0x405e02(0x146b)](function(){const _0x4fbbba=_0x405e02;_0x45a839[_0x4fbbba(0x718)][_0x4fbbba(0x1df5)](function(_0x37246b){_0x364d68(_0x37246b);}),_0x45a839[_0x4fbbba(0x718)]=[];});}function _0x26dbfc(){const _0x376e66=_0x227da2;_0x45a839[_0x376e66(0x718)]=[];}function _0x462ca9(){const _0x560046=_0x227da2;_0x45a839[_0x560046(0x718)]=_0x45a839[_0x560046(0x740)][_0x560046(0x19c7)];}}const _0x5b2296=_0x354ea5;;_0x4bf41d[_0x313a4d(0x11c2)]=[_0x313a4d(0x921),'$translatePartialLoaderProvider'];function _0x4bf41d(_0x4e87d7,_0x32381a){const _0x13653e=_0x313a4d;_0x4e87d7[_0x13653e(0x13d6)](_0x13653e(0x678),{'abstract':!![],'url':_0x13653e(0x4a8)})['state'](_0x13653e(0x262e),{'url':_0x13653e(0x1865),'views':{'content@app':{'templateUrl':_0x8ddf7e,'controller':_0x13653e(0x25bb)}},'resolve':{'whatsappQueues':[_0x13653e(0x362),_0x13653e(0xa87),function(_0xd6253b,_0x513cf0){const _0x2dd92c=_0x13653e;return _0x513cf0[_0x2dd92c(0x23e0)]('admin')?_0xd6253b[_0x2dd92c(0x2922)](_0x2dd92c(0x26e6),{'fields':'createdAt,updatedAt,id,name,strategy,timeout,description','sort':'-updatedAt','channel':_0x2dd92c(0xff9),'limit':0xa,'offset':0x0}):_0xd6253b[_0x2dd92c(0x2922)]('userProfile@getResources',{'id':_0x513cf0['getCurrentUser']()[_0x2dd92c(0x209a)],'section':_0x2dd92c(0xef),'fields':'createdAt,updatedAt,id,name,strategy,timeout,description','sort':'-updatedAt','channel':_0x2dd92c(0xff9),'limit':0xa,'offset':0x0});}],'userProfile':[_0x13653e(0x362),_0x13653e(0xa87),function(_0x2a86cb,_0x31da55){const _0xe8363=_0x13653e;return _0x31da55[_0xe8363(0x23e0)](_0xe8363(0x174b))?null:_0x2a86cb[_0xe8363(0x2922)](_0xe8363(0x119a),{'fields':_0xe8363(0x227),'id':_0x31da55[_0xe8363(0xb12)]()[_0xe8363(0x209a)]});}],'userProfileSection':[_0x13653e(0x362),_0x13653e(0xa87),function(_0x2a8b84,_0x3ff3ad){const _0x5bed96=_0x13653e;return _0x3ff3ad['hasRole'](_0x5bed96(0x174b))?null:_0x2a8b84[_0x5bed96(0x2922)](_0x5bed96(0x27be),{'fields':'id,name,enabled,includeAll,autoAssociation,crudPermissions','userProfileId':_0x3ff3ad[_0x5bed96(0xb12)]()[_0x5bed96(0x209a)],'sectionId':0x835});}]},'authenticate':!![],'permissionId':0x835,'bodyClass':'whatsapp'})['state']('app.whatsapp.whatsappQueues.edit',{'url':'/:id?tab','params':{'whatsappQueue':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x181a60,'controller':_0x13653e(0x282e)}},'resolve':{'whatsappQueue':[_0x13653e(0x362),_0x13653e(0x28c8),function(_0x68c20c,_0x59051e){const _0x26a44d=_0x13653e;return _0x68c20c[_0x26a44d(0x2922)](_0x26a44d(0x26e6),{'fields':'createdAt,updatedAt,id,name,strategy,timeout,description','id':_0x59051e['id']});}],'userProfileSection':[_0x13653e(0x362),_0x13653e(0xa87),function(_0x3dce22,_0x58458d){const _0x781c03=_0x13653e;return _0x3dce22[_0x781c03(0x2922)]('userProfileSection@get',{'fields':_0x781c03(0x1e64),'userProfileId':_0x58458d['getCurrentUser']()[_0x781c03(0x209a)],'sectionId':0x835});}]},'authenticate':!![],'permissionId':0x835,'bodyClass':_0x13653e(0xff9)})[_0x13653e(0x13d6)](_0x13653e(0x5cd),{'url':'/whatsappAccounts','views':{'content@app':{'templateUrl':_0x1239f5,'controller':_0x13653e(0x8f8)}},'resolve':{'whatsappAccounts':[_0x13653e(0x362),_0x13653e(0xa87),function(_0x40e84e,_0x1fc7ad){const _0x129f4f=_0x13653e;return _0x1fc7ad[_0x129f4f(0x23e0)](_0x129f4f(0x174b))?_0x40e84e['resolve'](_0x129f4f(0x19cc),{'fields':_0x129f4f(0x1edb),'sort':_0x129f4f(0x12f2),'limit':0xa,'offset':0x0}):_0x40e84e[_0x129f4f(0x2922)](_0x129f4f(0x938),{'id':_0x1fc7ad['getCurrentUser']()[_0x129f4f(0x209a)],'section':'WhatsappAccounts','fields':_0x129f4f(0x1edb),'sort':_0x129f4f(0x12f2),'limit':0xa,'offset':0x0});}],'userProfile':[_0x13653e(0x362),_0x13653e(0xa87),function(_0x9eb851,_0x59ae22){const _0x5571cf=_0x13653e;return _0x59ae22[_0x5571cf(0x23e0)]('admin')?null:_0x9eb851[_0x5571cf(0x2922)]('userProfile@get',{'fields':_0x5571cf(0x227),'id':_0x59ae22[_0x5571cf(0xb12)]()[_0x5571cf(0x209a)]});}],'userProfileSection':[_0x13653e(0x362),_0x13653e(0xa87),function(_0x581d27,_0x385714){const _0x4bfe40=_0x13653e;return _0x385714[_0x4bfe40(0x23e0)](_0x4bfe40(0x174b))?null:_0x581d27[_0x4bfe40(0x2922)](_0x4bfe40(0x27be),{'fields':_0x4bfe40(0x1e64),'userProfileId':_0x385714[_0x4bfe40(0xb12)]()[_0x4bfe40(0x209a)],'sectionId':0x836});}]},'authenticate':!![],'permissionId':0x836,'bodyClass':_0x13653e(0xff9)})[_0x13653e(0x13d6)]('app.whatsapp.whatsappAccounts.edit',{'url':_0x13653e(0x2028),'params':{'whatsappAccount':undefined,'crudPermissions':undefined},'views':{'content@app':{'templateUrl':_0x2b4e10,'controller':_0x13653e(0x2440)}},'resolve':{'whatsappAccount':[_0x13653e(0x362),'$stateParams',function(_0x44428e,_0x145024){const _0x37f873=_0x13653e;return _0x44428e[_0x37f873(0x2922)](_0x37f873(0x19cc),{'fields':'createdAt,updatedAt,id,name,key,ListId,remote,token,type,accountSid,authToken,phone,receiveUrl,deliveryReportUrl,notificationShake,notificationSound,notificationTemplate,queueTransfer,queueTransferTimeout,waitForTheAssignedAgent,mandatoryDisposition,mandatoryDispositionPauseId,agentTransfer,agentTransferTimeout,description','id':_0x145024['id']});}],'userProfileSection':[_0x13653e(0x362),'Auth',function(_0x17438b,_0x31e6b6){const _0x957095=_0x13653e;return _0x17438b[_0x957095(0x2922)](_0x957095(0x27be),{'fields':_0x957095(0x1e64),'userProfileId':_0x31e6b6[_0x957095(0xb12)]()[_0x957095(0x209a)],'sectionId':0x836});}]},'authenticate':!![],'permissionId':0x836,'bodyClass':'whatsapp'}),_0x32381a[_0x13653e(0x15bf)]('app/main/apps/whatsapp');}angular[_0x313a4d(0x2528)](_0x313a4d(0x678),['ngCsv',_0x313a4d(0x962),_0x313a4d(0x23c7),_0x313a4d(0x963),_0x313a4d(0x19e2),_0x313a4d(0x27c1),_0x313a4d(0x195b),'ngAria',_0x313a4d(0xc86),_0x313a4d(0x1f5f),_0x313a4d(0x28a8),'mwFormViewer','mwFormUtils',_0x313a4d(0x581),_0x313a4d(0x1bd2),_0x313a4d(0x1792),_0x313a4d(0x1b65),_0x313a4d(0x1626),'chart.js',_0x313a4d(0x11df),_0x313a4d(0x2829)])[_0x313a4d(0x989)](_0x4bf41d)[_0x313a4d(0x28f0)](_0x313a4d(0x14fc),_0x5d2c89)[_0x313a4d(0x28f0)](_0x313a4d(0x210f),_0x4c8e05)[_0x313a4d(0x28f0)](_0x313a4d(0x501),_0x5e79c8)['controller'](_0x313a4d(0x287a),_0x456b4d)['controller'](_0x313a4d(0x226d),_0x4b9b3b)[_0x313a4d(0x28f0)](_0x313a4d(0x2fc),_0x1c05cd)['controller'](_0x313a4d(0x1fd9),_0x14d1a0)[_0x313a4d(0x28f0)]('EditWhatsappAccountAppdialogflowDialogController',_0x152c15)[_0x313a4d(0x28f0)]('EditWhatsappAccountAppgotoifDialogController',_0x5ef783)[_0x313a4d(0x28f0)]('EditWhatsappAccountAppgotopDialogController',_0x46b5e7)[_0x313a4d(0x28f0)]('EditWhatsappAccountAppintervalDialogController',_0x430574)[_0x313a4d(0x28f0)](_0x313a4d(0x1c5e),_0xd28de4)[_0x313a4d(0x28f0)](_0x313a4d(0x346),_0x359b21)[_0x313a4d(0x28f0)]('EditWhatsappAccountAppsystemDialogController',_0x840167)[_0x313a4d(0x28f0)](_0x313a4d(0x2403),_0x1b48f0)['controller'](_0x313a4d(0x1009),_0x5e629b)[_0x313a4d(0x28f0)](_0x313a4d(0x2825),_0x26407f)['controller']('WhatsappAccountWhatsappCannedAnswersController',_0x77f55b)[_0x313a4d(0x28f0)](_0x313a4d(0x22f),_0x4f12b2)[_0x313a4d(0x28f0)](_0x313a4d(0x56c),_0x6b695b)[_0x313a4d(0x28f0)](_0x313a4d(0x3df),_0x65939c)['controller']('CreateOrEditWhatsappQueueDialogController',_0x5c383b)['controller'](_0x313a4d(0x2d5),_0x5d32e5)[_0x313a4d(0x28f0)](_0x313a4d(0xb61),_0x3d99d8)[_0x313a4d(0x28f0)](_0x313a4d(0x1558),_0x5ade26)['controller']('WhatsappQueuesController',_0x5b2296);;const _0x32c656=_0x4acfac['p']+_0x313a4d(0x12e1);;const _0x31e320=_0x4acfac['p']+_0x313a4d(0x18f1);;const _0x49ff6b=_0x4acfac['p']+_0x313a4d(0xe82);;const _0x2a13c5=_0x4acfac['p']+'src/js/modules/core/layouts/horizontal-navigation.html/horizontal-navigation.html';;const _0x4bd0ac=_0x4acfac['p']+_0x313a4d(0x2300);;const _0xf74b74=_0x4acfac['p']+'src/js/modules/core/layouts/vertical-navigation-fullwidth-toolbar.html/vertical-navigation-fullwidth-toolbar.html';;const _0x28613b=_0x4acfac['p']+_0x313a4d(0xf39);;;_0x4efc4c[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),'$window',_0x313a4d(0x23df),_0x313a4d(0x1abe),'api',_0x313a4d(0xa87),_0x313a4d(0x15b9)];function _0x4efc4c(_0x404dc5,_0x373da6,_0x5a6a02,_0x1adc71,_0x3db91f,_0x17c128,_0xba78e3){const _0x573c26=_0x313a4d,_0x201f88=this;_0x201f88[_0x573c26(0x289a)]=![],_0x201f88[_0x573c26(0x1e45)]=![],_0x201f88['baseUrl']=_0x3db91f[_0x573c26(0x1b1f)];function _0x41122f(){const _0x45afff=_0x573c26;if(_0x404dc5[_0x45afff(0x16a)]['token']&&_0x404dc5[_0x45afff(0x16a)]['userId'])return _0x17c128[_0x45afff(0xd93)](),_0x201f88[_0x45afff(0x289a)]=!![],_0x5a6a02['put']('motion.token',_0x404dc5['params']['token']),_0x3db91f[_0x45afff(0xe7b)][_0x45afff(0x16b4)]({'id':_0x404dc5[_0x45afff(0x16a)][_0x45afff(0x1cab)]})[_0x45afff(0x2945)][_0x45afff(0x146b)](function(_0x4afa32){const _0x341791=_0x45afff;_0x4afa32&&(_0x17c128[_0x341791(0x1016)](_0x4afa32),_0x404dc5['go'](_0x341791(0x285e)));})['finally'](function(){_0x201f88['progresslogin']=![];});else _0x17c128[_0x45afff(0x1369)]()&&_0x404dc5['go'](_0x45afff(0x285e));_0x201f88[_0x45afff(0x1e45)]=_0xba78e3['googleSsoEnabled'];}function _0x1ec559(){const _0x58d746=_0x573c26;return _0x201f88[_0x58d746(0x289a)]=!![],_0x201f88[_0x58d746(0x7fd)]='',_0x17c128['login'](_0x201f88[_0x58d746(0x247c)])[_0x58d746(0x146b)](function(){const _0x3f5372=_0x58d746;return _0x404dc5['go'](_0x3f5372(0x285e));})[_0x58d746(0x129e)](function(_0x513256){const _0x3ee117=_0x58d746;_0x201f88['message']=_0x513256[_0x3ee117(0x524)]?_0x513256[_0x3ee117(0x524)][_0x3ee117(0x7fd)]:_0x513256[_0x3ee117(0x7fd)];})[_0x58d746(0x1ec6)](function(){_0x201f88['progresslogin']=![];});}function _0x1965b5(_0x251562){const _0x3f7415=_0x573c26;_0x201f88[_0x3f7415(0x7fd)]='',_0x373da6[_0x3f7415(0x1002)]['href']=_0x17c128[_0x3f7415(0x1a21)](_0x251562);}_0x201f88[_0x573c26(0x322)]=_0x1ec559,_0x201f88[_0x573c26(0x206)]=_0x1965b5,_0x201f88[_0x573c26(0x3d4)]=_0x41122f,_0x201f88[_0x573c26(0x3d4)]();}const _0x4729ea=_0x4efc4c;;_0x12021c[_0x313a4d(0x11c2)]=[_0x313a4d(0x921),_0x313a4d(0x695)];function _0x12021c(_0x1bca20,_0x40ec08){const _0x485b54=_0x313a4d;_0x1bca20[_0x485b54(0x13d6)](_0x485b54(0x14a4),{'url':_0x485b54(0x27dd),'views':{'main@':{'templateUrl':_0x31e320,'controller':_0x485b54(0x2707)},'content@app.login':{'templateUrl':_0x32c656,'controller':_0x485b54(0x1ade)}},'bodyClass':'login'}),_0x40ec08[_0x485b54(0x15bf)](_0x485b54(0x1365));}angular[_0x313a4d(0x2528)](_0x313a4d(0x14a4),[])[_0x313a4d(0x989)](_0x12021c)[_0x313a4d(0x28f0)](_0x313a4d(0x234f),_0x4729ea);;const _0x437b19=_0x4acfac['p']+'src/js/modules/forgot/forgot.html/forgot.html';;_0x155cc1[_0x313a4d(0x11c2)]=['Auth',_0x313a4d(0x1fe4),'$translate',_0x313a4d(0x1862),_0x313a4d(0x247f)];function _0x155cc1(_0x532675,_0x3a345f,_0x19d5d1,_0x390c91,_0x3cd986){const _0x1021ba=_0x313a4d,_0x2f96e5=this;_0x2f96e5[_0x1021ba(0x247c)]={},_0x2f96e5['baseUrl']=_0x3cd986[_0x1021ba(0x1b1f)];function _0x30c508(){const _0x572b80=_0x1021ba;_0x532675[_0x572b80(0x1369)]()&&_0x390c91['go'](_0x572b80(0x285e));}function _0x3f972b(){const _0x310800=_0x1021ba;return _0x3cd986[_0x310800(0x1013)]['forgot'](_0x2f96e5[_0x310800(0x247c)])[_0x310800(0x2945)][_0x310800(0x146b)](function(){const _0x2a7cda=_0x310800;_0x3a345f[_0x2a7cda(0x1c75)]({'title':_0x19d5d1[_0x2a7cda(0xde)](_0x2a7cda(0x1bae)),'msg':_0x19d5d1[_0x2a7cda(0xde)](_0x2a7cda(0xe58))});})[_0x310800(0x129e)](function(_0x1b01d4){const _0x30866b=_0x310800;_0x1b01d4&&_0x3a345f[_0x30866b(0x1980)]({'title':_0x19d5d1[_0x30866b(0xde)](_0x30866b(0x140f)),'msg':_0x1b01d4[_0x30866b(0x524)]?_0x1b01d4[_0x30866b(0x524)][_0x30866b(0x7fd)]||_0x1b01d4[_0x30866b(0x7fd)]||_0x1b01d4:_0x1b01d4['message']||_0x1b01d4});});}_0x2f96e5['forgotPassword']=_0x3f972b,_0x2f96e5['onInit']=_0x30c508;}const _0x2c4ff1=_0x155cc1;;_0x42ad5d[_0x313a4d(0x11c2)]=['$stateProvider',_0x313a4d(0x695)];function _0x42ad5d(_0x274d25,_0x383358){const _0x175d85=_0x313a4d;_0x274d25[_0x175d85(0x13d6)]('app.forgot',{'url':_0x175d85(0x345),'views':{'main@':{'templateUrl':_0x31e320,'controller':'MainController\x20as\x20vm'},'content@app.forgot':{'templateUrl':_0x437b19,'controller':_0x175d85(0x27b3)}},'bodyClass':'forgot'}),_0x383358[_0x175d85(0x15bf)](_0x175d85(0x28ee));}angular[_0x313a4d(0x2528)]('app.forgot',[])[_0x313a4d(0x989)](_0x42ad5d)['controller'](_0x313a4d(0x108a),_0x2c4ff1);;const _0x2c2330=_0x4acfac['p']+_0x313a4d(0xf5a);;_0x18a966[_0x313a4d(0x11c2)]=[_0x313a4d(0xa87),_0x313a4d(0x1fe4),_0x313a4d(0x214b),_0x313a4d(0x1862),_0x313a4d(0x247f),_0x313a4d(0x28c8)];function _0x18a966(_0x28a70b,_0x2365e9,_0xbf2a05,_0xd305e2,_0x45cda8,_0x4bf17d){const _0xb8ccd2=_0x313a4d,_0x42faed=this;_0x42faed[_0xb8ccd2(0x247c)]={'token':_0x4bf17d[_0xb8ccd2(0x1957)]},_0x42faed[_0xb8ccd2(0x15b9)]={},_0x42faed['baseUrl']=_0x45cda8['baseUrl'];function _0x24154a(){const _0x559883=_0xb8ccd2;return _0x28a70b[_0x559883(0x1369)]()&&_0xd305e2['go'](_0x559883(0x285e)),_0x45cda8[_0x559883(0x15b9)][_0x559883(0x13fa)]({'id':0x1})['$promise'][_0x559883(0x146b)](function(_0x4a170f){const _0x588d6d=_0x559883;_0x42faed[_0x588d6d(0x15b9)]=_0x4a170f,_0x42faed[_0x588d6d(0x2251)]=_0x42faed[_0x588d6d(0x15b9)][_0x588d6d(0x976)]?/(?=.*[a-z])(?=.*[A-Z])(?=.*[0-9])(?=.*[?!@#$%^&*~\-_=+[{\]}])(?=.{8,})/:'';})['catch'](function(_0x331512){const _0xe849c1=_0x559883;_0x331512&&_0x2365e9[_0xe849c1(0x1980)]({'title':'Error','msg':_0x331512[_0xe849c1(0x524)]?_0x331512['data']['message']||_0x331512['message']||_0x331512:_0x331512[_0xe849c1(0x7fd)]||_0x331512});});}function _0x349176(){const _0x2d655d=_0xb8ccd2;return _0x45cda8['auth'][_0x2d655d(0x2450)](_0x42faed[_0x2d655d(0x247c)])[_0x2d655d(0x2945)][_0x2d655d(0x146b)](function(){const _0x2fd352=_0x2d655d;_0x2365e9[_0x2fd352(0x1c75)]({'title':_0xbf2a05['instant'](_0x2fd352(0x2866)),'msg':_0xbf2a05[_0x2fd352(0xde)](_0x2fd352(0x15d4))}),_0xd305e2['go'](_0x2fd352(0x14a4));})[_0x2d655d(0x129e)](function(_0x239234){const _0x20b7ff=_0x2d655d;_0x239234&&_0x2365e9[_0x20b7ff(0x1980)]({'title':_0xbf2a05[_0x20b7ff(0xde)](_0x20b7ff(0x1e6e)),'msg':_0x239234[_0x20b7ff(0x524)]?_0x239234[_0x20b7ff(0x524)][_0x20b7ff(0x7fd)]||_0x239234[_0x20b7ff(0x7fd)]||_0x239234:_0x239234['message']||_0x239234});});}_0x42faed['resetPassword']=_0x349176,_0x42faed['onInit']=_0x24154a;}const _0x246416=_0x18a966;;_0x509e4b[_0x313a4d(0x11c2)]=['$stateProvider',_0x313a4d(0x695)];function _0x509e4b(_0x5f30ea,_0xbb8522){const _0x53977e=_0x313a4d;_0x5f30ea[_0x53977e(0x13d6)](_0x53977e(0x247d),{'url':_0x53977e(0x15bd),'views':{'main@':{'templateUrl':_0x31e320,'controller':_0x53977e(0x2707)},'content@app.reset':{'templateUrl':_0x2c2330,'controller':'ResetPasswordController\x20as\x20vm'}},'bodyClass':_0x53977e(0x2450)}),_0xbb8522['addPart']('app/reset');}angular[_0x313a4d(0x2528)](_0x313a4d(0x247d),[])[_0x313a4d(0x989)](_0x509e4b)[_0x313a4d(0x28f0)](_0x313a4d(0x1542),_0x246416);;const _0x1493e6=_0x4acfac['p']+_0x313a4d(0x1356);;_0x1dfe03[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),'$timeout','$q',_0x313a4d(0x1f9a)];function _0x1dfe03(_0x347b97,_0xbceff6,_0x594ad8,_0x150fa5){const _0x181d05=_0x313a4d,_0x47e3c2=this;function _0x19738f(_0x13aa02){const _0x1ce1d8=a0_0x3bb9;let _0x5537c5=[];const _0x127b81=_0x150fa5['getFlatNavigation'](),_0x161262=_0x594ad8[_0x1ce1d8(0xce3)]();for(let _0x384615=0x0;_0x384615<_0x127b81['length'];_0x384615+=0x1){_0x127b81[_0x384615][_0x1ce1d8(0x135a)]&&_0x5537c5[_0x1ce1d8(0x1f47)](_0x127b81[_0x384615]);}return _0x13aa02&&(_0x5537c5=_0x5537c5[_0x1ce1d8(0x1dd6)](function(_0x49843d){const _0x341a58=_0x1ce1d8;if(_0x49843d[_0x341a58(0x1189)][_0x341a58(0x256e)]()['includes'](_0x13aa02[_0x341a58(0x256e)]()))return!![];})),_0xbceff6(function(){const _0x174672=_0x1ce1d8;_0x161262[_0x174672(0x2922)](_0x5537c5);},0x3e8),_0x161262[_0x1ce1d8(0xb9c)];}function _0x38852a(_0x586db4){const _0x21e0b1=a0_0x3bb9;_0x586db4[_0x21e0b1(0x135a)]&&(_0x586db4[_0x21e0b1(0x1de9)]?_0x347b97['go'](_0x586db4[_0x21e0b1(0x13d6)],_0x586db4[_0x21e0b1(0x1de9)]):_0x347b97['go'](_0x586db4['state']));}_0x47e3c2[_0x181d05(0x21da)]=_0x19738f,_0x47e3c2[_0x181d05(0x1ced)]=_0x38852a;}const _0x1c9329=_0x1dfe03;;_0xc66ce3[_0x313a4d(0x11c2)]=[_0x313a4d(0x921),'$translatePartialLoaderProvider'];function _0xc66ce3(_0x6a8436,_0x271b62){const _0x106aef=_0x313a4d;_0x6a8436[_0x106aef(0x13d6)](_0x106aef(0x1aa5),{'url':_0x106aef(0x1d77),'views':{'main@':{'templateUrl':_0x31e320,'controller':_0x106aef(0x2707)},'content@app.errors_error-404':{'templateUrl':_0x1493e6,'controller':_0x106aef(0x222e)}},'params':{'status':0x194,'statusText':'','data':{},'config':{}},'bodyClass':'error-404'}),_0x271b62[_0x106aef(0x15bf)]('app/errors/404');}angular[_0x313a4d(0x2528)](_0x313a4d(0xb1f),[])[_0x313a4d(0x989)](_0xc66ce3)[_0x313a4d(0x28f0)](_0x313a4d(0x105),_0x1c9329);;const _0x5b5216=_0x4acfac['p']+'src/js/modules/errors/500/error-500.html/error-500.html';;_0x4803bc[_0x313a4d(0x11c2)]=[_0x313a4d(0x1862),_0x313a4d(0x237)];function _0x4803bc(_0x49ea22,_0x238d09){const _0x24e477=_0x313a4d,_0x477693=this;function _0x2d5d1d(){const _0x5c29e3=a0_0x3bb9;_0x238d09['post'](_0x5c29e3(0x13f2),_0x477693[_0x5c29e3(0x1980)])[_0x5c29e3(0x146b)](function(_0x1f4b94){const _0x2ee636=_0x5c29e3;_0x477693[_0x2ee636(0x1443)]=!![],_0x1f4b94[_0x2ee636(0x524)]&&(_0x477693[_0x2ee636(0x2148)]=_0x1f4b94[_0x2ee636(0x524)]['id']);},function(){const _0x1496e5=_0x5c29e3;_0x477693[_0x1496e5(0x1443)]=![];});}_0x477693['code']='',_0x477693[_0x24e477(0x1443)]=![],_0x477693['error']=_0x49ea22[_0x24e477(0x16a)],_0x477693[_0x24e477(0x162d)]=_0x2d5d1d;}const _0x4e6b6e=_0x4803bc;;_0x2c2c58[_0x313a4d(0x11c2)]=['$stateProvider',_0x313a4d(0x695)];function _0x2c2c58(_0x4c4f66,_0x4b5f82){const _0x1fd469=_0x313a4d;_0x4c4f66['state'](_0x1fd469(0x4dd),{'url':_0x1fd469(0x2944),'views':{'main@':{'templateUrl':_0x31e320,'controller':'MainController\x20as\x20vm'},'content@app.errors_error-500':{'templateUrl':_0x5b5216,'controller':'Error500Controller\x20as\x20vm'}},'params':{'status':0x1f4,'statusText':'','data':{},'config':{}},'bodyClass':_0x1fd469(0x587)}),_0x4b5f82[_0x1fd469(0x15bf)](_0x1fd469(0x1d6a));}angular[_0x313a4d(0x2528)](_0x313a4d(0x1322),[])[_0x313a4d(0x989)](_0x2c2c58)['controller'](_0x313a4d(0x19b6),_0x4e6b6e);;angular['module'](_0x313a4d(0x986),[_0x313a4d(0xb1f),_0x313a4d(0x1322)]);;_0x376edd[_0x313a4d(0x11c2)]=[_0x313a4d(0x162a)];function _0x376edd(_0x5d61f2){const _0x4f4f51=_0x313a4d,_0x59cd94={};return _0x59cd94[_0x4f4f51(0x1b1f)]=!![]?'/':0x0,_0x59cd94[_0x4f4f51(0x1013)]=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x2619),{},{'local':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/auth/local'},'forgot':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/auth/local/forgot'},'reset':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/auth/local/reset/:token','params':{'token':_0x4f4f51(0x16cd)}},'user':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/auth/local/user/:token','params':{'token':_0x4f4f51(0x16cd)}},'google':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1916)}}),_0x59cd94[_0x4f4f51(0x867)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+'api/jira'),_0x59cd94[_0x4f4f51(0x1af7)]=_0x5d61f2(_0x59cd94['baseUrl']+'api/rpc',{},{'startMonitor':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2725),'params':{'uniqueid':_0x4f4f51(0xaf7)}},'stopMonitor':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/rpc/voice/channels/:uniqueid/stopmixmonitor','params':{'uniqueid':_0x4f4f51(0xaf7)}},'getVoiceChannels':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xc30)},'getVoiceQueues':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xfc5)},'getChatQueues':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xfaa)},'getMailQueues':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x131f)},'getSmsQueues':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/rpc/sms/queues'},'getWhatsappQueues':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/rpc/sms/queues'},'getOpenchannelQueues':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/rpc/openchannel/queues'},'getFaxQueues':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x562)},'getVoiceQueuesPreview':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/rpc/voice/queues/preview/:id'},'getVoiceQueuesChannels':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1b45)},'getVoiceQueuesChannel':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/rpc/voice/queues/channels/:uniqueid'},'getVoiceQueuesChannelHangup':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/rpc/voice/queues/channels/:uniqueid/hangup'},'getVoiceQueuesChannelRedirect':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+'api/rpc/voice/queues/channels/:uniqueid/redirect/:exten'},'getOutbound':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1895)},'getOutboundChannels':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x2184)},'getAgents':{'method':'get','url':_0x59cd94['baseUrl']+'api/rpc/agents'},'setAgentCapacity':{'method':_0x4f4f51(0x17b7),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x1921),'params':{'id':_0x4f4f51(0x283)}},'getTelephones':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x1364)},'getTrunks':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x53a)},'getCampaigns':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x25f8)},'getMailAccounts':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1623)},'getFaxAccounts':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/rpc/fax/accounts'},'getChatQueuesWaitingInteractions':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1f48)},'getMailQueuesWaitingInteractions':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1649)},'getOpenchannelQueuesWaitingInteractions':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x1427)},'getOpenchannelQueuesIdWaitingInteractions':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/rpc/openchannel/queues/:id/waitinginteractions'},'getSmsQueuesWaitingInteractions':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x170c)},'getFaxQueuesWaitingInteractions':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/rpc/fax/queues/waitinginteractions'},'getWhatsappQueuesWaitingInteractions':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1a14)},'mailQueueNotify':{'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xc88),'params':{'id':_0x4f4f51(0x283)}},'chatQueueNotify':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x12d4),'params':{'id':_0x4f4f51(0x283)}},'faxQueueNotify':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x15c1),'params':{'id':_0x4f4f51(0x283)}},'smsQueueNotify':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x21cc),'params':{'id':_0x4f4f51(0x283)}},'openchannelQueueNotify':{'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/rpc/openchannel/queues/:id/notify','params':{'id':'@id'}},'agentNotify':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1af6),'params':{'id':_0x4f4f51(0x283)}}}),_0x59cd94['version']=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1ecd),{},{'fetch':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x154b)},'reset':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/version/reset'},'pull':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/version/pull'},'restart':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x22ff)},'migrations':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x16b1)}}),_0x59cd94[_0x4f4f51(0x1d47)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x140c),{},{'killProcess':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x286e),'params':{'pid':_0x4f4f51(0x1733)}}}),_0x59cd94[_0x4f4f51(0x1b6f)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xebb),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7),'isArray':!![]}}),_0x59cd94['user']=_0x5d61f2(_0x59cd94['baseUrl']+'api/users/:id',{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x17a5)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+'api/users/:id/clone','params':{'includeAll':'@includeAll'}},'bulkCreate':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xe15),'isArray':!![]},'addContacts':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x1832)},'changePassword':{'isArray':![],'method':_0x4f4f51(0x17b7),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x22a0)},'addQueues':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/users/:id/queues'},'removeQueues':{'isArray':![],'method':'delete','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x10bc)},'addAvatar':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/users/:id/avatar'},'getAvatar':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x1ca0)},'getContacts':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1832)},'getQueues':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x10bc)},'getVoiceQueuesRt':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2010)},'getGroups':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/users/:id/groups'},'getRecordings':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x3a3)},'getScreenRecordings':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2182)},'getChatInteractions':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x1e9b)},'getOpenchannelInteractions':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x136f)},'getMailInteractions':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x39c)},'getSmsInteractions':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1231)},'getFaxInteractions':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/users/:id/fax/interactions'},'getWhatsappInteractions':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x21de)},'login':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x239c)},'logout':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x495)},'pause':{'isArray':![],'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x192b)},'unpause':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1057)},'getTeams':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2900)},'addTeams':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x2900)},'removeTeams':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/users/:id/teams'},'getLists':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x22c6)},'getAgents':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/users/:id/agents'},'addChatInteractions':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/users/:id/chat_interactions'},'removeChatInteractions':{'isArray':![],'method':'delete','url':_0x59cd94['baseUrl']+_0x4f4f51(0x2053)},'addMailInteractions':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1e7f)},'removeMailInteractions':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/users/:id/mail_interactions'},'addFaxInteractions':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x5f3)},'removeFaxInteractions':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x5f3)},'addSmsInteractions':{'isArray':!![],'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x272d)},'removeSmsInteractions':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94['baseUrl']+'api/users/:id/sms_interactions'},'addOpenchannelInteractions':{'isArray':!![],'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xaa3)},'removeOpenchannelInteractions':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xaa3)},'addWhatsappInteractions':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1c57)},'removeWhatsappInteractions':{'isArray':![],'method':'delete','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1c57)},'getVoicePrefixes':{'isArray':![],'method':'get','url':_0x59cd94['baseUrl']+'api/users/:id/prefixes'},'getFaxAccounts':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x177b)},'addFaxAccounts':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+'api/users/:id/fax_accounts'},'removeFaxAccounts':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x177b)},'getMailAccounts':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x13a)},'addMailAccounts':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x13a)},'removeMailAccounts':{'isArray':![],'method':'delete','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x13a)},'getOpenchannelAccounts':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x192d)},'addOpenchannelAccounts':{'isArray':!![],'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/users/:id/openchannel_accounts'},'removeOpenchannelAccounts':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x192d)},'getSmsAccounts':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/users/:id/sms_accounts'},'addSmsAccounts':{'isArray':!![],'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/users/:id/sms_accounts'},'removeSmsAccounts':{'isArray':![],'method':'delete','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x185d)},'getChatWebsites':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/users/:id/chat_websites'},'addChatWebsites':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/users/:id/chat_websites'},'removeChatWebsites':{'isArray':![],'method':'delete','url':_0x59cd94['baseUrl']+'api/users/:id/chat_websites'},'getWhatsappAccounts':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x202d)},'addWhatsappAccounts':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/users/:id/whatsapp_accounts'},'removeWhatsappAccounts':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/users/:id/whatsapp_accounts'},'getSquareProjects':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/users/:id/square_projects'},'addSquareProjects':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/users/:id/square_projects'},'removeSquareProjects':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x24f5)},'getScheduledCalls':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xc1b)},'getApiKey':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/users/:id/api_key'},'createApiKey':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/users/:id/api_key'},'removeApiKey':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/users/:id/api_key'},'whoami':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+'api/users/whoami'}}),_0x59cd94[_0x4f4f51(0x15e8)]=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0xd3a),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1e02)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x2676),'params':{'includeAll':'@includeAll'}}}),_0x59cd94['voiceContext']=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x131e),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/voice/contexts/describe'},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2003),'params':{'includeAll':'@includeAll'}}}),_0x59cd94[_0x4f4f51(0xd47)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1494),{'id':_0x4f4f51(0x283)},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x3a6)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+'api/voice/extensions/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}},'addApplications':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1639)}}),_0x59cd94[_0x4f4f51(0x7bd)]=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x1c1f),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+'api/voice/mohs/describe'},'clone':{'method':'post','url':_0x59cd94['baseUrl']+_0x4f4f51(0x456),'params':{'includeAll':'@includeAll'}},'addSound':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x925)},'removeSound':{'isArray':![],'method':'delete','url':_0x59cd94['baseUrl']+_0x4f4f51(0x940)},'getSounds':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x925)}}),_0x59cd94[_0x4f4f51(0x1446)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xb06),{'id':_0x4f4f51(0x283)},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1c41)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x16b8),'params':{'includeAll':'@includeAll'}},'getHoppers':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x136)},'getHopperHistories':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1b90)},'getHopperFinals':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x24a1)},'getHopperBlacks':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xae2)},'getTeams':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x243c)},'addTeams':{'isArray':!![],'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x243c)},'removeTeams':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/voice/queues/:id/teams'},'addAgents':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x24fc)},'removeAgents':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x24fc)},'getAgents':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x24fc)},'getMembers':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+'api/voice/queues/:id/members'},'getLists':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x24c4)},'addLists':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x24c4)},'removeLists':{'isArray':!![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/voice/queues/:id/lists'},'getBlackLists':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1113)},'addBlackLists':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1113)},'removeBlackLists':{'isArray':!![],'method':'delete','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1113)}}),_0x59cd94[_0x4f4f51(0x1688)]=_0x5d61f2(_0x59cd94['baseUrl']+'api/voice/recordings/:id',{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x8e4)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x13f1),'params':{'includeAll':_0x4f4f51(0x1104)}},'download':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1efb),'responseType':_0x4f4f51(0x265f),'cache':![],'transformResponse':function(_0x6a3efa,_0x360701){const _0x3f2a85=_0x360701();return{'type':_0x3f2a85['content-type'],'length':_0x3f2a85['content-length'],'buffer':_0x6a3efa};}},'downloads':{'isArray':![],'method':'get','url':_0x59cd94['baseUrl']+'api/voice/recordings/:id/downloads'},'runTranscribe':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x232b)},'downloadTranscribe':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x232b),'responseType':'arraybuffer','cache':![],'transformResponse':function(_0x186167,_0x23ec51){const _0x2761d5=_0x4f4f51,_0x2c6d3c=_0x23ec51();return{'type':_0x2c6d3c['content-type'],'length':_0x2c6d3c[_0x2761d5(0xdb5)],'buffer':_0x186167};}}}),_0x59cd94[_0x4f4f51(0x1b80)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+'api/screen/recordings/:id',{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1808)},'clone':{'method':'post','url':_0x59cd94['baseUrl']+_0x4f4f51(0x25a0),'params':{'includeAll':_0x4f4f51(0x1104)}},'download':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1212),'responseType':_0x4f4f51(0x265f),'cache':![],'transformResponse':function(_0x5a294f,_0x294e9a){const _0x42bfa5=_0x4f4f51,_0x1e7422=_0x294e9a();return{'type':_0x1e7422[_0x42bfa5(0x22eb)],'length':_0x1e7422['content-length'],'buffer':_0x5a294f};}}}),_0x59cd94[_0x4f4f51(0xe8c)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xd96),{'id':_0x4f4f51(0x283)},{'update':{'method':'put'},'describe':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2569)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1f2e),'params':{'includeAll':_0x4f4f51(0x1104)}},'getMessages':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1cf1)}}),_0x59cd94[_0x4f4f51(0x2f3)]=_0x5d61f2(_0x59cd94['baseUrl']+'api/voice/mails/messages/:id',{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/voice/mails/messages/describe'},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2564),'params':{'includeAll':_0x4f4f51(0x1104)}},'download':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x93f),'responseType':_0x4f4f51(0x265f),'cache':![],'transformResponse':function(_0x207a96,_0x43a7dd){const _0x89dfcd=_0x4f4f51,_0x4b4d9a=_0x43a7dd();return{'type':_0x4b4d9a[_0x89dfcd(0x22eb)],'length':_0x4b4d9a['content-length'],'buffer':_0x207a96};}}}),_0x59cd94[_0x4f4f51(0x629)]=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x159a),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x16f3)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/voice/calls/reports/:id/clone','params':{'includeAll':'@includeAll'}}}),_0x59cd94[_0x4f4f51(0x160)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1f10),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1621)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1cfc),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x1cb3)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+'api/voice/agents/reports/:id',{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xf8)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2700),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94['voiceQueueReport']=_0x5d61f2(_0x59cd94['baseUrl']+'api/voice/queues/reports/:id',{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1719)},'clone':{'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x11c0),'params':{'includeAll':'@includeAll'}},'getVoiceQueuesReport':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0xf46)}}),_0x59cd94[_0x4f4f51(0x2721)]=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x1ddd),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+'api/members/reports/describe'},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/members/reports/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x279f)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+'api/trunks/:id',{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xca1)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x915),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94['voiceTransferReport']=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xd59),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/voice/transfers/reports/describe'},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1919),'params':{'includeAll':'@includeAll'}}}),_0x59cd94['mailServerOut']=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1b1),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x667)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x2506),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x67d)]=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x19df),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2663)},'clone':{'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/mail/accounts/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}},'addDisposition':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0xb4f)},'getDispositions':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xb4f)},'removeDispositions':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/mail/accounts/:id/dispositions'},'addAnswer':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/mail/accounts/:id/canned_answers'},'getAnswers':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x1e96)},'removeAnswers':{'isArray':![],'method':'delete','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1e96)},'getImap':{'isArray':![],'method':'get','url':_0x59cd94['baseUrl']+_0x4f4f51(0x1a51)},'addImap':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1a51)},'removeImap':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1a51)},'getSmtp':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xcf9)},'addSmtp':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xcf9)},'removeSmtp':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xcf9)},'addInteraction':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xf33)},'getInteractions':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xf33)},'addApplications':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x799)},'getApplications':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/mail/accounts/:id/applications'},'getMessages':{'isArray':![],'method':'get','url':_0x59cd94['baseUrl']+_0x4f4f51(0xcb7)},'send':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2763)},'verifySmtp':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1871)},'addAgents':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/mail/accounts/:id/users'},'removeAgents':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1c33)},'getAgents':{'isArray':![],'method':'get','url':_0x59cd94['baseUrl']+'api/mail/accounts/:id/users'}}),_0x59cd94[_0x4f4f51(0x101c)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xf56),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x14b3)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x26fd),'params':{'includeAll':_0x4f4f51(0x1104)}},'getMembers':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+'api/mail/queues/:id/members'},'getTeams':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1658)},'addTeams':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1658)},'removeTeams':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x1658)},'addAgents':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2382)},'removeAgents':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x2382)},'getAgents':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2382)}}),_0x59cd94['mailSubstatus']=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+'api/mail/substatuses/:id',{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x271b)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x28b2),'params':{'includeAll':'@includeAll'}}}),_0x59cd94[_0x4f4f51(0x3c7)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x814),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/mail/interactions/describe'},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1edc),'params':{'includeAll':_0x4f4f51(0x1104)}},'addMessage':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+'api/mail/interactions/:id/messages'},'getMessages':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2924)},'addTags':{'isArray':![],'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xa5e)},'removeTags':{'isArray':![],'method':'delete','url':_0x59cd94['baseUrl']+'api/mail/interactions/:id/tags'},'download':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/mail/interactions/:id/download','responseType':_0x4f4f51(0x265f),'cache':![],'transformResponse':function(_0x3e234c,_0xaed2c1){const _0x2eeb79=_0x4f4f51,_0x353c83=_0xaed2c1();return{'type':_0x353c83[_0x2eeb79(0x22eb)],'length':_0x353c83[_0x2eeb79(0xdb5)],'buffer':_0x3e234c};}}}),_0x59cd94[_0x4f4f51(0x213)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+'api/mail/messages/:id',{'id':_0x4f4f51(0x283)},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x202c)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xf00),'params':{'includeAll':_0x4f4f51(0x1104)}},'accept':{'isArray':![],'method':'put','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xe96)},'reject':{'isArray':![],'method':_0x4f4f51(0x17b7),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x59a)},'download':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1ba8),'responseType':_0x4f4f51(0x265f),'cache':![],'transformResponse':function(_0x527d62,_0x2fa923){const _0x14fd0c=_0x4f4f51,_0x4e1dec=_0x2fa923();return{'type':_0x4e1dec[_0x14fd0c(0x22eb)],'length':_0x4e1dec[_0x14fd0c(0xdb5)],'buffer':_0x527d62};}}}),_0x59cd94[_0x4f4f51(0x616)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+'api/mail/applications/:id',{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1ae1)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x438),'params':{'includeAll':'@includeAll'}}}),_0x59cd94[_0x4f4f51(0x2443)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x251b),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1947)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x136d),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x130)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1416),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x115)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x26e0),'params':{'includeAll':_0x4f4f51(0x1104)}},'addItem':{'isArray':![],'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x51e)},'getItems':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x51e)}}),_0x59cd94['dashboardItem']=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+'api/dashboards/items/:id',{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+'api/dashboards/items/describe'},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x7bb),'params':{'includeAll':'@includeAll'}}}),_0x59cd94[_0x4f4f51(0x178)]=_0x5d61f2(_0x59cd94['baseUrl']+'api/fax/accounts/:id',{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+'api/fax/accounts/describe'},'clone':{'method':'post','url':_0x59cd94['baseUrl']+_0x4f4f51(0xd68),'params':{'includeAll':_0x4f4f51(0x1104)}},'addDisposition':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x7a1)},'getDispositions':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x7a1)},'removeDispositions':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x7a1)},'addAnswer':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x20f4)},'getAnswers':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x20f4)},'removeAnswers':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x20f4)},'addInteraction':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/fax/accounts/:id/interactions'},'getInteractions':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x16e2)},'addApplications':{'isArray':![],'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2172)},'getApplications':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2172)},'addAccountApplications':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1935)},'updateAccountApplications':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2703)},'getMessages':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x19bd)},'send':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x793)},'addAgents':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1e30)},'removeAgents':{'isArray':![],'method':'delete','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1e30)},'getAgents':{'isArray':![],'method':'get','url':_0x59cd94['baseUrl']+_0x4f4f51(0x1e30)}}),_0x59cd94['faxApplication']=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1596),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x115d)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xed8),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94['faxInteraction']=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x957),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x25c7)},'clone':{'method':'post','url':_0x59cd94['baseUrl']+_0x4f4f51(0xc22),'params':{'includeAll':'@includeAll'}},'addMessage':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/fax/interactions/:id/messages'},'getMessages':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/fax/interactions/:id/messages'},'addTags':{'isArray':![],'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1270)},'removeTags':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x1270)},'download':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2658),'responseType':'arraybuffer','cache':![],'transformResponse':function(_0x25e3e4,_0x4a3ccc){const _0x2cd2f3=_0x4f4f51,_0x43625a=_0x4a3ccc();return{'type':_0x43625a[_0x2cd2f3(0x22eb)],'length':_0x43625a[_0x2cd2f3(0xdb5)],'buffer':_0x25e3e4};}}}),_0x59cd94[_0x4f4f51(0xa30)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x6fd),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xf1c)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x119c),'params':{'includeAll':_0x4f4f51(0x1104)}},'accept':{'isArray':![],'method':_0x4f4f51(0x17b7),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2706)},'reject':{'isArray':![],'method':'put','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x22b9)},'download':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x27a7),'responseType':_0x4f4f51(0x265f),'cache':![],'transformResponse':function(_0x24fde4,_0x168edc){const _0x4b8d93=_0x4f4f51,_0x13f873=_0x168edc();return{'type':_0x13f873[_0x4b8d93(0x22eb)],'length':_0x13f873['content-length'],'buffer':_0x24fde4};}}}),_0x59cd94['faxQueue']=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x245f),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2464)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x19be),'params':{'includeAll':_0x4f4f51(0x1104)}},'getMembers':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/fax/queues/:id/members'},'getTeams':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xd23)},'addTeams':{'isArray':!![],'method':'post','url':_0x59cd94['baseUrl']+_0x4f4f51(0xd23)},'removeTeams':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xd23)},'addAgents':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1ca4)},'removeAgents':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1ca4)},'getAgents':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1ca4)}}),_0x59cd94['faxQueueReport']=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1003),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x2560)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/fax/reports/queue/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x2209)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+'api/sms/accounts/:id',{'id':_0x4f4f51(0x283)},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/sms/accounts/describe'},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x17e),'params':{'includeAll':_0x4f4f51(0x1104)}},'addDisposition':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/sms/accounts/:id/dispositions'},'getDispositions':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1fb)},'removeDispositions':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1fb)},'addAnswer':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1fe8)},'getAnswers':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1fe8)},'removeAnswers':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1fe8)},'notify':{'isArray':![],'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xebe)},'addApplications':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x15e4)},'getApplications':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x15e4)},'getInteractions':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1489)},'send':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/sms/accounts/:id/send'},'status':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1628)},'statusGet':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1628)},'addAgents':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/sms/accounts/:id/users'},'removeAgents':{'isArray':![],'method':'delete','url':_0x59cd94['baseUrl']+_0x4f4f51(0x269b)},'getAgents':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/sms/accounts/:id/users'}}),_0x59cd94['smsApplication']=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x25ee),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x201f)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x140e),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0xb18)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x120f),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/sms/interactions/describe'},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xc71),'params':{'includeAll':'@includeAll'}},'addMessage':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1f9e)},'getMessages':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1f9e)},'addTags':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0xe5e)},'removeTags':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xe5e)},'download':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1856),'responseType':_0x4f4f51(0x265f),'cache':![],'transformResponse':function(_0x5f41ed,_0x580874){const _0x574d46=_0x4f4f51,_0x3008d4=_0x580874();return{'type':_0x3008d4['content-type'],'length':_0x3008d4[_0x574d46(0xdb5)],'buffer':_0x5f41ed};}}}),_0x59cd94[_0x4f4f51(0xb24)]=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x10e),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':'get','url':_0x59cd94['baseUrl']+_0x4f4f51(0x106a)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xfeb),'params':{'includeAll':_0x4f4f51(0x1104)}},'accept':{'isArray':![],'method':_0x4f4f51(0x17b7),'url':_0x59cd94['baseUrl']+_0x4f4f51(0xc16)},'reject':{'isArray':![],'method':'put','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/sms/messages/:id/reject'},'status':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x2657)}}),_0x59cd94[_0x4f4f51(0xf80)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x131),{'id':'@id'},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x2071)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x250e),'params':{'includeAll':_0x4f4f51(0x1104)}},'getMembers':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x24cf)},'getTeams':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2239)},'addTeams':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x2239)},'removeTeams':{'isArray':![],'method':'delete','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2239)},'addAgents':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x21ad)},'removeAgents':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/sms/queues/:id/users'},'getAgents':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x21ad)}}),_0x59cd94[_0x4f4f51(0xbd7)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x133d),{'id':'@id'},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x8b4)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1885),'params':{'includeAll':'@includeAll'}},'addDisposition':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x1fda)},'getDispositions':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1fda)},'removeDispositions':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1fda)},'addAnswer':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/openchannel/accounts/:id/canned_answers'},'getAnswers':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x645)},'removeAnswers':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94['baseUrl']+'api/openchannel/accounts/:id/canned_answers'},'notify':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x3fc)},'addApplications':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x20b5)},'getApplications':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x20b5)},'getInteractions':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/openchannel/accounts/:id/interactions'},'addAgents':{'isArray':!![],'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1b34)},'removeAgents':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/openchannel/accounts/:id/users'},'getAgents':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1b34)},'send':{'isArray':![],'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xeab)}}),_0x59cd94[_0x4f4f51(0x1497)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x281d),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':'get','url':_0x59cd94['baseUrl']+'api/openchannel/applications/describe'},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x578),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x119)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1daa),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/openchannel/interactions/describe'},'clone':{'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x10bf),'params':{'includeAll':_0x4f4f51(0x1104)}},'addMessage':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xad8)},'getMessages':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xad8)},'addTags':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/openchannel/interactions/:id/tags'},'removeTags':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1967)},'download':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x21f8),'responseType':_0x4f4f51(0x265f),'cache':![],'transformResponse':function(_0xcfce23,_0x553a2c){const _0x58bba1=_0x4f4f51,_0x4071e4=_0x553a2c();return{'type':_0x4071e4[_0x58bba1(0x22eb)],'length':_0x4071e4[_0x58bba1(0xdb5)],'buffer':_0xcfce23};}}}),_0x59cd94[_0x4f4f51(0x105e)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x24f7),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/openchannel/messages/describe'},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x16c9),'params':{'includeAll':_0x4f4f51(0x1104)}},'accept':{'isArray':![],'method':_0x4f4f51(0x17b7),'url':_0x59cd94['baseUrl']+_0x4f4f51(0xc72)},'reject':{'isArray':![],'method':'put','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2730)}}),_0x59cd94[_0x4f4f51(0x2755)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x487),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1db)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x20ac),'params':{'includeAll':_0x4f4f51(0x1104)}},'getMembers':{'isArray':![],'method':'get','url':_0x59cd94['baseUrl']+'api/openchannel/queues/:id/members'},'getTeams':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/openchannel/queues/:id/teams'},'addTeams':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xf88)},'removeTeams':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xf88)},'addAgents':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xa32)},'removeAgents':{'isArray':![],'method':'delete','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xa32)},'getAgents':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xa32)}}),_0x59cd94[_0x4f4f51(0x189e)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1a5),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xe22)},'clone':{'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1667),'params':{'includeAll':_0x4f4f51(0x1104)}},'addDisposition':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1e11)},'getDispositions':{'isArray':![],'method':'get','url':_0x59cd94['baseUrl']+_0x4f4f51(0x1e11)},'removeDispositions':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1e11)},'addAnswer':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/chat/websites/:id/canned_answers'},'getAnswers':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x89d)},'removeAnswers':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x89d)},'addLogo':{'isArray':![],'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xb7f)},'addAvatar':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x287f)},'addCustomerAvatar':{'isArray':![],'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/chat/websites/:id/customer_avatar'},'addSystemAvatar':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x1c27)},'getLogo':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0xb7f)},'getAvatar':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x287f)},'getCustomerAvatar':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x63f)},'getSystemAvatar':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1c27)},'notify':{'isArray':![],'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2466)},'offline':{'isArray':![],'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2623)},'addInteraction':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x97d)},'getInteractions':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x97d)},'getSnippet':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x26f6)},'addApplications':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1927)},'getApplications':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1927)},'addProactiveActions':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/chat/websites/:id/proactive_actions'},'getProactiveActions':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x307)},'getFields':{'isArray':![],'method':'get','url':_0x59cd94['baseUrl']+'api/chat/websites/:id/fields'},'getOfflineMessages':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/chat/websites/:id/offline_messages'},'addAgents':{'isArray':!![],'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/chat/websites/:id/users'},'removeAgents':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/chat/websites/:id/users'},'getAgents':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x224c)}}),_0x59cd94['openchannelQueueReport']=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xed3),{'id':'@id'},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x3a5)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/openchannel/reports/queue/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0xa4f)]=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x2833),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/chat/applications/describe'},'clone':{'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1304),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0xc9f)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1e23),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x8ef)},'clone':{'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x22b7),'params':{'includeAll':_0x4f4f51(0x1104)}},'createVidaooSession':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x25a7)},'customUpdate':{'isArray':![],'method':'put','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/chat/interactions/:id/custom_update'},'abandon':{'isArray':![],'method':_0x4f4f51(0x17b7),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x120)},'attachmentUpload':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x731)},'attachmentDownload':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/chat/interactions/:id/attachment_download'},'close':{'isArray':![],'method':_0x4f4f51(0x17b7),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/chat/interactions/:id/close'},'addMessage':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x26cf)},'getMessages':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x26cf)},'getMyMessages':{'isArray':![],'method':'get','url':_0x59cd94['baseUrl']+_0x4f4f51(0x23aa)},'addTags':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x1b8)},'removeTags':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x1b8)},'download':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x257c),'responseType':_0x4f4f51(0x265f),'cache':![],'transformResponse':function(_0xfcf4d8,_0x4485ea){const _0x39ffbb=_0x4f4f51,_0x3a9cdc=_0x4485ea();return{'type':_0x3a9cdc[_0x39ffbb(0x22eb)],'length':_0x3a9cdc['content-length'],'buffer':_0xfcf4d8};}}}),_0x59cd94[_0x4f4f51(0xe0f)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1923),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/chat/messages/describe'},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x110c),'params':{'includeAll':'@includeAll'}},'accept':{'isArray':![],'method':_0x4f4f51(0x17b7),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x134c)},'reject':{'isArray':![],'method':_0x4f4f51(0x17b7),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x5fd)}}),_0x59cd94['chatOfflineMessage']=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x188c),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x15a7)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+'api/chat/offline_messages/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x16f8)]=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x24e8),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x27d0)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x208),'params':{'includeAll':_0x4f4f51(0x1104)}},'getMembers':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x19c5)},'getTeams':{'isArray':![],'method':'get','url':_0x59cd94['baseUrl']+_0x4f4f51(0x18d4)},'addTeams':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+'api/chat/queues/:id/teams'},'removeTeams':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x18d4)},'addAgents':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+'api/chat/queues/:id/users'},'removeAgents':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1230)},'getAgents':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1230)}}),_0x59cd94[_0x4f4f51(0x1340)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x807),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x28d3)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/chat/groups/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}},'getUnread':{'isArray':![],'method':'get','url':_0x59cd94['baseUrl']+_0x4f4f51(0x13e2)},'addMembers':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1592)},'getMembers':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1592)},'removeMembers':{'isArray':![],'method':'delete','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1592)},'addMessage':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x680)},'getMessages':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x680)}}),_0x59cd94[_0x4f4f51(0xebc)]=_0x5d61f2(_0x59cd94['baseUrl']+'api/chat/proactive_actions/:id',{'id':_0x4f4f51(0x283)},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x236f)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1828),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94['cmCompany']=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1a4b),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0xdff)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1138),'params':{'includeAll':_0x4f4f51(0x1104)}},'addContacts':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1e44)},'getContacts':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1e44)}}),_0x59cd94[_0x4f4f51(0x18c3)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x4f3),{'id':_0x4f4f51(0x283)},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1ffa)},'clone':{'method':'post','url':_0x59cd94['baseUrl']+_0x4f4f51(0x200f),'params':{'includeAll':_0x4f4f51(0x1104)}},'merge':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2538)},'bulkCreate':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x1ad3)},'getTags':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x24a4)},'setTags':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+'api/cm/contacts/:id/tags'},'getHoppers':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2029)},'getHopperHistories':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2271)},'getHopperFinals':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+'api/cm/contacts/:id/hopper_finals'},'getJscriptySessions':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1aad)},'upload':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x1134)},'import':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x598)}}),_0x59cd94[_0x4f4f51(0x39f)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1ec3),{'id':_0x4f4f51(0x283)},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1236)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+'api/cm/hopper/:id/clone','params':{'includeAll':'@includeAll'}},'getPreview':{'isArray':![],'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x49e)},'getOpenContacts':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x14b8)}}),_0x59cd94[_0x4f4f51(0xdd7)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1a9c),{'id':'@id'},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x22b)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x997),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94['cmHopperFinal']=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xef9),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x290a)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/cm/hopper_final/:id/clone','params':{'includeAll':'@includeAll'}},'countContactsQueueCampaignHopperFinal':{'isArray':!![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+'api/cm/hopper_final/voice/queue/countAttributes/:id'},'countContactsIvrCampaignHopperFinal':{'isArray':!![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0xac7)},'moveContactsQueueCampaignHopperFinal':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x1f57)},'moveContactsIvrCampaignHopperFinal':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x11c7)},'checkContactHopper':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x102d)}}),_0x59cd94[_0x4f4f51(0x22e3)]=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x117d),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xfb8)},'clone':{'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2654),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x14a9)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x11af),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1798)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/cm/custom_fields/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x233c)]=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x2639),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x4d2)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x90f),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0xfc)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x19f),{'id':_0x4f4f51(0x283)},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/automations/describe'},'clone':{'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2221),'params':{'includeAll':_0x4f4f51(0x1104)}},'addConditions':{'isArray':![],'method':'post','url':_0x59cd94['baseUrl']+_0x4f4f51(0xde4)},'getConditions':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/automations/:id/conditions'},'addActions':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1708)},'getActions':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1708)}}),_0x59cd94[_0x4f4f51(0x1ada)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x139a),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x1589)},'clone':{'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x134f),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x9e1)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xd0b),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/dispositions/describe'},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/dispositions/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x115f)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1bb9),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':'get','url':_0x59cd94['baseUrl']+_0x4f4f51(0x22e2)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2529),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x2765)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+'api/intervals/:id',{'id':'@id'},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x283a)},'clone':{'method':'post','url':_0x59cd94['baseUrl']+_0x4f4f51(0x78f),'params':{'includeAll':'@includeAll'}},'addInterval':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xada)},'getIntervals':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/intervals/:id/sub_intervals'},'addIntervals':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1905)}}),_0x59cd94[_0x4f4f51(0x1e3e)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+'api/pauses/:id',{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xd19)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x34d),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x138f)]=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x1d66),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/cdr/describe'},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x24c2),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x206f)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x467),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x2ce)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/voiceQueuesLog/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x4bf)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+'api/schedules/:id',{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x127f)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/schedules/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}},'run':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x8fa)}}),_0x59cd94[_0x4f4f51(0x126d)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x22a6),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x55b)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/sounds/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}},'download':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x14e5),'responseType':_0x4f4f51(0x265f),'cache':![],'transformResponse':function(_0x5ef4e7,_0x34264d){const _0x264a6b=_0x4f4f51,_0x23e963=_0x34264d();return{'type':_0x23e963[_0x264a6b(0x22eb)],'length':_0x23e963[_0x264a6b(0xdb5)],'buffer':_0x5ef4e7};}},'delete':{'isArray':![],'method':'delete','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x22a6)}}),_0x59cd94['tag']=_0x5d61f2(_0x59cd94['baseUrl']+'api/tags/:id',{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1629)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x69e),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x1928)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2884),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/templates/describe'},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/templates/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0xd57)]=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0xe34),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/triggers/describe'},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x263c),'params':{'includeAll':_0x4f4f51(0x1104)}},'addAllCondition':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x890)},'addAnyCondition':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x24d6)},'getAllConditions':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x890)},'getAnyConditions':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x24d6)},'addAction':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1bcd)},'getActions':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1bcd)}}),_0x59cd94['variable']=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1f5b),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x224f)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x120d),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94['integration']=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/:id',{'id':_0x4f4f51(0x283)},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2720)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xc17),'params':{'includeAll':'@includeAll'}}}),_0x59cd94[_0x4f4f51(0xe40)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1c40),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x36f)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/reports/:id/clone','params':{'includeAll':'@includeAll'}}}),_0x59cd94[_0x4f4f51(0x12a5)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x256d),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x995)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+'api/analytics/custom_reports/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}},'preview':{'isArray':!![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/analytics/custom_reports/:id/preview'},'run':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x10a7)},'query':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/analytics/custom_reports/:id/query'}}),_0x59cd94[_0x4f4f51(0x20fa)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1367),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x29a)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+'api/analytics/default_reports/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}},'preview':{'isArray':!![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x17f)},'run':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xe8b)},'query':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0xcfe)}}),_0x59cd94[_0x4f4f51(0xc5d)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1a15),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x10ce)},'clone':{'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2757),'params':{'includeAll':_0x4f4f51(0x1104)}},'download':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xa8e),'responseType':_0x4f4f51(0x265f),'cache':![],'transformResponse':function(_0x17c4e4,_0x13282b){const _0x4c15b4=_0x4f4f51,_0x257169=_0x13282b();return{'type':_0x257169[_0x4c15b4(0x22eb)],'length':_0x257169[_0x4c15b4(0xdb5)],'buffer':_0x17c4e4};}}}),_0x59cd94[_0x4f4f51(0x1f01)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2149),{'id':_0x4f4f51(0x283)},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xb81)},'clone':{'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1ef9),'params':{'includeAll':'@includeAll'}}}),_0x59cd94[_0x4f4f51(0x1f56)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+'api/analytics/field_reports/:id',{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x7f4)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+'api/analytics/field_reports/:id/clone','params':{'includeAll':'@includeAll'}},'bulkCreate':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/analytics/field_reports/create_many','isArray':!![]},'bulkDestroy':{'method':'delete','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/analytics/field_reports/destroy_many','isArray':!![]},'addFields':{'isArray':!![],'method':'post','url':_0x59cd94['baseUrl']+'api/analytics/field_reports/:id/create_many'}}),_0x59cd94[_0x4f4f51(0x888)]=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x2435),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x163a)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1503),'params':{'includeAll':'@includeAll'}}}),_0x59cd94[_0x4f4f51(0x1fa4)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x154a),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x18c5)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+'api/integrations/salesforce/accounts/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}},'getConfigurations':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2777)},'addConfiguration':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/salesforce/accounts/:id/configurations'},'getFields':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x702)}}),_0x59cd94[_0x4f4f51(0x1e6)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1d76),{'id':_0x4f4f51(0x283)},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x161c)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x207e),'params':{'includeAll':_0x4f4f51(0x1104)}},'getFields':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xafe)},'getSubjects':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/salesforce/configurations/:id/subjects'},'getDescriptions':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x1814)}}),_0x59cd94['intSalesforceField']=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2fa),{'id':_0x4f4f51(0x283)},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x1d5f)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2444),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x1cf5)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x264c),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x27fb)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1e90),'params':{'includeAll':'@includeAll'}},'getConfigurations':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x7a8)},'addConfiguration':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x7a8)},'getFields':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1d93)}}),_0x59cd94[_0x4f4f51(0x547)]=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0xc79),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/sugarcrm/configurations/describe'},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x734),'params':{'includeAll':_0x4f4f51(0x1104)}},'getFields':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x147d)},'getSubjects':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/sugarcrm/configurations/:id/subjects'},'getDescriptions':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/sugarcrm/configurations/:id/descriptions'}}),_0x59cd94[_0x4f4f51(0x260e)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/sugarcrm/fields/:id',{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x11cf)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+'api/integrations/sugarcrm/fields/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x139)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/desk/accounts/:id',{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1e27)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1fa7),'params':{'includeAll':_0x4f4f51(0x1104)}},'getConfigurations':{'isArray':![],'method':'get','url':_0x59cd94['baseUrl']+'api/integrations/desk/accounts/:id/configurations'},'addConfiguration':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1bbe)},'getFields':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1bb)}}),_0x59cd94[_0x4f4f51(0x2778)]=_0x5d61f2(_0x59cd94['baseUrl']+'api/integrations/desk/configurations/:id',{'id':_0x4f4f51(0x283)},{'update':{'method':'put'},'describe':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/desk/configurations/describe'},'clone':{'method':'post','url':_0x59cd94['baseUrl']+_0x4f4f51(0xd5b),'params':{'includeAll':_0x4f4f51(0x1104)}},'getFields':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xab4)},'getSubjects':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x16a0)},'getDescriptions':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x1877)},'getTags':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xff6)},'setTags':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xff6)}}),_0x59cd94[_0x4f4f51(0x1073)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2498),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/desk/fields/describe'},'clone':{'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x25a5),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x15d7)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/zoho/accounts/:id',{'id':_0x4f4f51(0x283)},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/zoho/accounts/describe'},'clone':{'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2046),'params':{'includeAll':_0x4f4f51(0x1104)}},'getConfigurations':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/zoho/accounts/:id/configurations'},'addConfiguration':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x11b9)},'getFields':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/zoho/accounts/:id/fields'}}),_0x59cd94['intZohoConfiguration']=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2856),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x152c)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1887),'params':{'includeAll':_0x4f4f51(0x1104)}},'getFields':{'isArray':![],'method':'get','url':_0x59cd94['baseUrl']+_0x4f4f51(0x1b72)},'getSubjects':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xc00)},'getDescriptions':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x1ed0)}}),_0x59cd94['intZohoField']=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x1914),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x23c2)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0xb95),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x2686)]=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x18c6),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x15d3)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1ee6),'params':{'includeAll':'@includeAll'}},'getConfigurations':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/zendesk/accounts/:id/configurations'},'addConfiguration':{'isArray':![],'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x20bc)},'getFields':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x1752)}}),_0x59cd94['intZendeskConfiguration']=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1c6),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2776)},'clone':{'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xb38),'params':{'includeAll':_0x4f4f51(0x1104)}},'getFields':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/zendesk/configurations/:id/fields'},'getSubjects':{'isArray':![],'method':'get','url':_0x59cd94['baseUrl']+_0x4f4f51(0x198a)},'getDescriptions':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x20f3)},'getTags':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1ea3)},'setTags':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/zendesk/configurations/:id/tags'}}),_0x59cd94[_0x4f4f51(0xf5d)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2827),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':'get','url':_0x59cd94['baseUrl']+_0x4f4f51(0x1a8c)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x1e4d),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x21b2)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1eb8),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':'get','url':_0x59cd94['baseUrl']+'api/integrations/freshdesk/accounts/describe'},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x11eb),'params':{'includeAll':_0x4f4f51(0x1104)}},'getConfigurations':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/freshdesk/accounts/:id/configurations'},'addConfiguration':{'isArray':![],'method':'post','url':_0x59cd94['baseUrl']+_0x4f4f51(0x1247)},'getFields':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/freshdesk/accounts/:id/fields'}}),_0x59cd94[_0x4f4f51(0x261e)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x862),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x497)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x278e),'params':{'includeAll':_0x4f4f51(0x1104)}},'getFields':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xd2e)},'getSubjects':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x13b3)},'getDescriptions':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xd45)},'getTags':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x121a)},'setTags':{'isArray':!![],'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/freshdesk/configurations/:id/tags'}}),_0x59cd94['intFreshdeskField']=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x78a),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/freshdesk/fields/describe'},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x1692),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0xf8d)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x86d),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x189f)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x19b3),'params':{'includeAll':'@includeAll'}},'getConfigurations':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xad9)},'addConfiguration':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0xad9)},'getFields':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x13e0)}}),_0x59cd94[_0x4f4f51(0x242d)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1f64),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x20b0)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/vtiger/configurations/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}},'getFields':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x4d3)},'getSubjects':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/vtiger/configurations/:id/subjects'},'getDescriptions':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x258c)}}),_0x59cd94['intVtigerField']=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xf77),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x142a)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x21cb),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0xbd9)]=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x15be),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x30a)},'clone':{'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/servicenow/accounts/:id/clone','params':{'includeAll':'@includeAll'}},'getConfigurations':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1181)},'addConfiguration':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1181)},'getFields':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/servicenow/accounts/:id/fields'}}),_0x59cd94[_0x4f4f51(0x620)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1759),{'id':_0x4f4f51(0x283)},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x76b)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/servicenow/configurations/:id/clone','params':{'includeAll':'@includeAll'}},'getFields':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2327)},'getSubjects':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1380)},'getDescriptions':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'integrations/servicenow/configurations/:id/descriptions'}}),_0x59cd94[_0x4f4f51(0x178c)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1949),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+'api/integrations/servicenow/fields/describe'},'clone':{'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/servicenow/fields/:id/clone','params':{'includeAll':'@includeAll'}}}),_0x59cd94[_0x4f4f51(0xdb4)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x17db),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':'get','url':_0x59cd94['baseUrl']+_0x4f4f51(0x1739)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/dynamics365/accounts/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}},'getConfigurations':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xbef)},'addConfiguration':{'isArray':![],'method':'post','url':_0x59cd94['baseUrl']+_0x4f4f51(0xbef)},'getFields':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x2407)}}),_0x59cd94[_0x4f4f51(0x1c8b)]=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x1a6a),{'id':_0x4f4f51(0x283)},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/dynamics365/configurations/describe'},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x1436),'params':{'includeAll':'@includeAll'}},'getFields':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/dynamics365/configurations/:id/fields'},'getSubjects':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x266b)},'getDescriptions':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'integrations/dynamics365/configurations/:id/descriptions'}}),_0x59cd94[_0x4f4f51(0x409)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2026),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+'api/integrations/dynamics365/fields/describe'},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1d09),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x219f)]=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x1b3f),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/freshsales/accounts/describe'},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2194),'params':{'includeAll':'@includeAll'}},'getConfigurations':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x868)},'addConfiguration':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x868)},'getFields':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x210c)}}),_0x59cd94['intFreshsalesConfiguration']=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0xef5),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xce6)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/freshsales/configurations/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}},'getSubjects':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+'api/integrations/freshsales/configurations/:id/subjects'},'getDescriptions':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x2030)},'getFields':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1a17)}}),_0x59cd94[_0x4f4f51(0x6f8)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xbd8),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/freshsales/fields/describe'},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/integrations/freshsales/fields/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x1f0c)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+'api/networks/:id',{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1695)},'clone':{'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x286f),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x11f2)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+'api/chat/reports/queue/:id',{'id':'@id'},{'update':{'method':'put'},'describe':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xec2)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x26a0),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x1841)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1f9c),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x253d)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xafb),'params':{'includeAll':_0x4f4f51(0x1104)}},'getUsers':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1011)}}),_0x59cd94[_0x4f4f51(0x1641)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xdd6),{'id':_0x4f4f51(0x283)},{'update':{'method':'put'},'describe':{'method':'get','url':_0x59cd94['baseUrl']+_0x4f4f51(0x1b01)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/jscripty/answers/reports/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94['jscriptyQuestionReport']=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x733),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x232d)},'clone':{'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1210),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x468)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xa10),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x9a7)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1ee4),'params':{'includeAll':_0x4f4f51(0x1104)}},'getQuestions':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/jscripty/sessions/reports/:id/questions'}}),_0x59cd94[_0x4f4f51(0x64a)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1aff),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x22a4)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0xd8e),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x784)]=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x100b),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+'api/square/reports/describe'},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1e3b),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x27c3)]=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x1674),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x23f6)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+'api/square/details/reports/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x20c8)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+'api/jscripty/projects/:id',{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1a46)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0xeac),'params':{'includeAll':_0x4f4f51(0x1104)}},'getSessions':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x218b)},'getAnswers':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x181d)},'getSummary':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0xab0),'responseType':_0x4f4f51(0x265f),'cache':![],'transformResponse':function(_0x2bb8c5,_0x4f90c1){const _0x21d6a4=_0x4f4f51,_0x4f9f0f=_0x4f90c1();return{'type':_0x4f9f0f[_0x21d6a4(0x22eb)],'length':_0x4f9f0f['content-length'],'buffer':_0x2bb8c5};}}}),_0x59cd94[_0x4f4f51(0x15b9)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x849),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x228e)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xf7b),'params':{'includeAll':_0x4f4f51(0x1104)}},'addLogo':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xa9f)},'addLogoLogin':{'isArray':![],'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/settings/:id/logo_login'},'getLogo':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xa9f)},'getLogoLogin':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1fb8)},'gdpr':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2035)},'addPreferred':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0xb35)},'getPreferred':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xb35)},'addFavicon':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/settings/:id/favicon'},'getFavicon':{'isArray':![],'method':'get','url':_0x59cd94['baseUrl']+_0x4f4f51(0x27a5)},'getDate':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1f29)}}),_0x59cd94[_0x4f4f51(0xbf8)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+'api/square/odbc/:id',{'id':_0x4f4f51(0x283)},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x8c7)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/square/odbc/:id/clone','params':{'includeAll':'@includeAll'}},'test':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x265d)}}),_0x59cd94[_0x4f4f51(0x9b1)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x199b),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/square/projects/describe'},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x2936),'params':{'includeAll':'@includeAll'}},'addUsers':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xb67)},'removeUsers':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xb67)},'getUsers':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0xb67)}}),_0x59cd94[_0x4f4f51(0x2222)]=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x198c),{'id':'@id'},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1ac7)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1cbd),'params':{'includeAll':'@includeAll'}},'download':{'isArray':![],'method':'get','url':_0x59cd94['baseUrl']+_0x4f4f51(0x1d44),'responseType':_0x4f4f51(0x265f),'cache':![],'transformResponse':function(_0xdd65d1,_0x4e1139){const _0x3afa19=_0x4f4f51,_0x1b3899=_0x4e1139();return{'type':_0x1b3899[_0x3afa19(0x22eb)],'length':_0x1b3899[_0x3afa19(0xdb5)],'buffer':_0xdd65d1};}}}),_0x59cd94[_0x4f4f51(0xc29)]=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x2413),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1b24)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xc6f),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94['team']=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+'api/teams/:id',{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1054)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2651),'params':{'includeAll':_0x4f4f51(0x1104)}},'getQueues':{'isArray':!![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x23ab)},'addQueues':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x23ab)},'removeQueues':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x23ab)},'addAgents':{'isArray':!![],'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1008)},'removeAgents':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x1008)},'getAgents':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1008)}}),_0x59cd94['license']=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x183e),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/license/describe'},'clone':{'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/license/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x8dc)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xe3d),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1da8)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+'api/campaigns/:id/clone','params':{'includeAll':'@includeAll'}},'getHoppers':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x873)},'getHopperHistories':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x263e)},'getHopperFinals':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x137d)},'getHopperBlacks':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x1d68)},'getLists':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/campaigns/:id/lists'},'addLists':{'isArray':!![],'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x15d8)},'removeLists':{'isArray':!![],'method':'delete','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x15d8)},'getBlackLists':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x9ed)},'addBlackLists':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x9ed)},'removeBlackLists':{'isArray':!![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x9ed)}}),_0x59cd94[_0x4f4f51(0x10fd)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1f5d),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x94c)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x101e),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x2527)]=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x2523),{'id':'@id'},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x23af)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/attachments/:id/clone','params':{'includeAll':'@includeAll'}},'download':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xd8d),'responseType':'arraybuffer','cache':![],'transformResponse':function(_0x1bfa23,_0x327663){const _0x4d1e89=_0x4f4f51,_0x494416=_0x327663();return{'type':_0x494416[_0x4d1e89(0x22eb)],'length':_0x494416[_0x4d1e89(0xdb5)],'buffer':_0x1bfa23};}}}),_0x59cd94[_0x4f4f51(0x5f5)]=_0x5d61f2(_0x59cd94['baseUrl']+'api/plugins/:id',{'id':_0x4f4f51(0x283)},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x28eb)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x28cf),'params':{'includeAll':'@includeAll'}},'download':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/plugins/:id/download','responseType':_0x4f4f51(0x265f),'cache':![],'transformResponse':function(_0x3da656,_0xa9def){const _0x348709=_0x4f4f51,_0xadfb97=_0xa9def();return{'type':_0xadfb97[_0x348709(0x22eb)],'length':_0xadfb97['content-length'],'buffer':_0x3da656};}},'upload':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xaf5)},'delete':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x27f0)},'webhookGet':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1545)},'webhookPost':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1545)}}),_0x59cd94[_0x4f4f51(0x1000)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xe25),{'id':_0x4f4f51(0x283)},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1f09)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x614),'params':{'includeAll':'@includeAll'}}}),_0x59cd94[_0x4f4f51(0x16ce)]=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x1924),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/chat/reports/transfer/describe'},'clone':{'method':'post','url':_0x59cd94['baseUrl']+'api/chat/reports/transfer/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x377)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x20c7),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/fax/reports/transfer/describe'},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xd76),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0xdd1)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2744),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1f98)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/openchannel/reports/transfer/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0xa21)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+'api/sms/reports/transfer/:id',{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x15b6)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/sms/reports/transfer/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94['cmList']=_0x5d61f2(_0x59cd94['baseUrl']+'api/cm/lists/:id',{'id':_0x4f4f51(0x283)},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x14f6)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2052),'params':{'includeAll':'@includeAll'}},'addDisposition':{'isArray':![],'method':'post','url':_0x59cd94['baseUrl']+_0x4f4f51(0x1585)},'getDispositions':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/cm/lists/:id/dispositions'},'removeDispositions':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1585)},'addContacts':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x25a6)},'getContacts':{'isArray':!![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x25a6)},'getContactsCsv':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/cm/lists/:id/contacts/csv','responseType':_0x4f4f51(0x265f),'cache':![],'transformResponse':function(_0x18ba08,_0x171742){const _0x30a614=_0x4f4f51,_0x4c2092=_0x171742();return{'type':_0x4c2092[_0x30a614(0x22eb)],'length':_0x4c2092[_0x30a614(0xdb5)],'buffer':_0x18ba08};}},'addCustomField':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x130f)},'getCustomFields':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x130f)},'addAgents':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x128c)},'removeAgents':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/cm/lists/:id/users'},'getAgents':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x128c)}}),_0x59cd94['voicePrefix']=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1c4e),{'id':'@id'},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xee2)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xb31),'params':{'includeAll':'@includeAll'}},'addAgents':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1283)},'removeAgents':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1283)},'getAgents':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1283)}}),_0x59cd94[_0x4f4f51(0x26b6)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+'api/userProfiles/:id',{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x23d4)},'clone':{'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x21d4),'params':{'includeAll':'@includeAll'}},'addSections':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/userProfiles/:id/sections'},'removeSections':{'isArray':![],'method':'delete','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x818)},'getSections':{'isArray':!![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x818)},'addResources':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xaa2)},'removeResources':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xaa2)},'getResources':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/userProfiles/:id/resources'}}),_0x59cd94[_0x4f4f51(0x1366)]=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x16b2),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+'api/userProfile/sections/describe'},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xb1c),'params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94['userProfileResource']=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x172d),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x17ce)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x6c2),'params':{'includeAll':'@includeAll'}}}),_0x59cd94['notification']=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x323),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x24f1)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1550),'params':{'includeAll':_0x4f4f51(0x1104)}},'sendNotification':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+'api/notifications/'}}),_0x59cd94[_0x4f4f51(0x815)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x18e4),{'id':'@id'},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/whatsapp/accounts/describe'},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/whatsapp/accounts/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}},'addDisposition':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x17ad)},'getDispositions':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x17ad)},'removeDispositions':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x17ad)},'addAnswer':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/whatsapp/accounts/:id/canned_answers'},'getAnswers':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x14bb)},'removeAnswers':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x14bb)},'addAgents':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x1f26)},'removeAgents':{'isArray':![],'method':_0x4f4f51(0x1fac),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1f26)},'getAgents':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1f26)},'addApplications':{'isArray':![],'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2302)},'getApplications':{'isArray':![],'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2302)},'notify':{'isArray':![],'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/whatsapp/accounts/:id/notify'},'send':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x21f2)}}),_0x59cd94[_0x4f4f51(0x88f)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x167c),{'id':_0x4f4f51(0x283)},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1db7)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+'api/whatsapp/applications/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0x257a)]=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x1f1b),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':'get','url':_0x59cd94['baseUrl']+_0x4f4f51(0x13b9)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/whatsapp/interactions/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}},'addMessage':{'isArray':![],'method':'post','url':_0x59cd94['baseUrl']+_0x4f4f51(0x565)},'getMessages':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+'api/whatsapp/interactions/:id/messages'},'addTags':{'isArray':![],'method':'post','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2907)},'removeTags':{'isArray':![],'method':'delete','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2907)},'download':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1842),'responseType':_0x4f4f51(0x265f),'cache':![],'transformResponse':function(_0x350d69,_0x33fcdb){const _0x282d5c=_0x4f4f51,_0x2412db=_0x33fcdb();return{'type':_0x2412db['content-type'],'length':_0x2412db[_0x282d5c(0xdb5)],'buffer':_0x350d69};}}}),_0x59cd94[_0x4f4f51(0x812)]=_0x5d61f2(_0x59cd94['baseUrl']+_0x4f4f51(0x1bbc),{'id':_0x4f4f51(0x283)},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94['baseUrl']+_0x4f4f51(0x223e)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x4ba),'params':{'includeAll':_0x4f4f51(0x1104)}},'accept':{'isArray':![],'method':'put','url':_0x59cd94['baseUrl']+_0x4f4f51(0x228d)},'reject':{'isArray':![],'method':_0x4f4f51(0x17b7),'url':_0x59cd94['baseUrl']+'api/whatsapp/messages/:id/reject'},'status':{'isArray':![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/whatsapp/messages/:id/status'}}),_0x59cd94[_0x4f4f51(0x448)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xaef),{'id':'@id'},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/whatsapp/reports/queue/describe'},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94['baseUrl']+'api/whatsapp/reports/queue/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94[_0x4f4f51(0xa2a)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1b99),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1de0)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2948),'params':{'includeAll':'@includeAll'}}}),_0x59cd94[_0x4f4f51(0x1b3b)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0xbb3),{'id':_0x4f4f51(0x283)},{'update':{'method':'put'},'describe':{'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x1f0e)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x22c9),'params':{'includeAll':_0x4f4f51(0x1104)}},'getMembers':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2809)},'getTeams':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/whatsapp/queues/:id/teams'},'addTeams':{'isArray':!![],'method':'post','url':_0x59cd94['baseUrl']+_0x4f4f51(0x159e)},'removeTeams':{'isArray':![],'method':'delete','url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/whatsapp/queues/:id/teams'},'addAgents':{'isArray':!![],'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x17a3)},'removeAgents':{'isArray':![],'method':'delete','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x17a3)},'getAgents':{'isArray':![],'method':_0x4f4f51(0x16b4),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x17a3)}}),_0x59cd94[_0x4f4f51(0x605)]=_0x5d61f2(_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x2901),{'id':_0x4f4f51(0x283)},{'update':{'method':_0x4f4f51(0x17b7)},'describe':{'method':'get','url':_0x59cd94[_0x4f4f51(0x1b1f)]+_0x4f4f51(0x279a)},'clone':{'method':_0x4f4f51(0x1daf),'url':_0x59cd94[_0x4f4f51(0x1b1f)]+'api/cloudProviders/:id/clone','params':{'includeAll':_0x4f4f51(0x1104)}}}),_0x59cd94;}const _0x17141b=_0x376edd;;_0x18e3bf[_0x313a4d(0x11c2)]=[_0x313a4d(0x24d4),_0x313a4d(0x695),_0x313a4d(0x12df)];function _0x18e3bf(_0xedb226,_0x10a764,_0x4cf1bb){const _0x21ae26=_0x313a4d;_0x4cf1bb[_0x21ae26(0x270c)]({'position':_0x21ae26(0x420),'sound':![],'html':!![],'theme':'material'}),_0xedb226[_0x21ae26(0x949)](_0x21ae26(0x15cb),{'urlTemplate':'{part}/i18n/{lang}.json'}),_0xedb226['preferredLanguage']('en'),_0x10a764[_0x21ae26(0x15bf)](_0x21ae26(0x1bd9)),_0xedb226[_0x21ae26(0x1aaa)](null);}const _0x3b1600=_0x18e3bf;;_0x503b35[_0x313a4d(0x11c2)]=[_0x313a4d(0x1053),_0x313a4d(0x214b),_0x313a4d(0x23df),_0x313a4d(0x247f),_0x313a4d(0x1fe4)];function _0x503b35(_0x32470e,_0x1294b3,_0x138219,_0x5c4a0d,_0x2373ec){const _0x5dbc4e=_0x313a4d,_0x405cab=this;_0x405cab['themes']=_0x32470e[_0x5dbc4e(0x122e)],_0x405cab[_0x5dbc4e(0x26cc)]=_0x5dbc4e(0x1666);const _0x1bbecc=['ar','fa','he'],_0x5df568=_0x138219[_0x5dbc4e(0x16b4)](_0x5dbc4e(0x8d6));_0x5df568&&(_0x1294b3['use'](_0x5df568),_0x1bbecc[_0x5dbc4e(0x172b)](_0x5df568)>=0x0&&_0x5c4a0d['setting'][_0x5dbc4e(0x16b4)]({'id':0x1})['$promise'][_0x5dbc4e(0x146b)](function(_0x13ba23){const _0x179495=_0x5dbc4e;_0x13ba23&&_0x13ba23[_0x179495(0x2929)]&&(_0x405cab[_0x179495(0x26cc)]=_0x179495(0xad5));})['catch'](function(_0x19e565){const _0x3200fc=_0x5dbc4e;_0x19e565&&_0x2373ec[_0x3200fc(0x1980)]({'title':_0x3200fc(0x13e3),'msg':_0x19e565[_0x3200fc(0x524)]?_0x19e565['data'][_0x3200fc(0x7fd)]||_0x19e565[_0x3200fc(0x7fd)]||_0x19e565:_0x19e565[_0x3200fc(0x7fd)]||_0x19e565});}));}const _0xabe46b=_0x503b35;;_0x2e3f54[_0x313a4d(0x11c2)]=[_0x313a4d(0x214b)],_0x2311a0[_0x313a4d(0x11c2)]=['$filter',_0x313a4d(0x214b)];function _0x2311a0(_0x317d08,_0x14546e){return function(_0x16f676){const _0x375f66=a0_0x3bb9,_0x3254eb=new Date(),_0x103cb8=new Date(_0x16f676);if(_0x103cb8[_0x375f66(0xec3)]()===_0x3254eb['getDate']()&&_0x103cb8['getMonth']()===_0x3254eb['getMonth']()&&_0x103cb8[_0x375f66(0x1d3)]()===_0x3254eb[_0x375f66(0x1d3)]())return _0x317d08(_0x375f66(0x1a10))(_0x103cb8['getTime'](),_0x375f66(0x269f));if(_0x103cb8[_0x375f66(0xec3)]()===_0x3254eb[_0x375f66(0xec3)]()-0x1&&_0x103cb8[_0x375f66(0x2185)]()===_0x3254eb[_0x375f66(0x2185)]()&&_0x103cb8[_0x375f66(0x1d3)]()===_0x3254eb['getFullYear']())return _0x14546e[_0x375f66(0xde)](_0x375f66(0x16fc))+'\x20'+_0x317d08(_0x375f66(0x1a10))(_0x103cb8[_0x375f66(0x188f)](),_0x375f66(0x269f));return _0x317d08(_0x375f66(0x1a10))(_0x103cb8['getTime'](),_0x375f66(0x1d79));};}function _0x32ac7e(){return function(_0x3e4d18,_0x704072,_0x538fc4){const _0x25fc5c={};let _0xe9f734=0x0,_0x5ec722;for(_0x5ec722 in _0x3e4d18){_0xe9f734>=_0x538fc4&&(_0x25fc5c[_0x5ec722]=_0x3e4d18[_0x5ec722]);if(++_0xe9f734===_0x538fc4+_0x704072)break;}return _0x25fc5c;};}function _0x364b4a(){const _0x5884e5=_0x313a4d,_0x515aa0=[{'value':_0x5884e5(0x21aa)},{'value':_0x5884e5(0x1ac5)},{'value':_0x5884e5(0x48f)},{'value':'apr'},{'value':_0x5884e5(0x1e99)},{'value':_0x5884e5(0x1eca)},{'value':_0x5884e5(0x445)},{'value':'aug'},{'value':'sep'},{'value':_0x5884e5(0x1190)},{'value':_0x5884e5(0x12ed)},{'value':'dec'}],_0x3338a8=[{'value':'1'},{'value':'2'},{'value':'3'},{'value':'4'},{'value':'5'},{'value':'6'},{'value':'7'},{'value':'8'},{'value':'9'},{'value':'10'},{'value':'11'},{'value':'12'}],_0x31267b=[{'value':_0x5884e5(0xd66)},{'value':_0x5884e5(0x1b95)},{'value':_0x5884e5(0x1a1a)},{'value':_0x5884e5(0x26ea)},{'value':_0x5884e5(0x2230)},{'value':_0x5884e5(0x1748)},{'value':_0x5884e5(0x826)}],_0x196088=[0x1,0x2,0x3,0x4,0x5,0x6,0x7,0x8,0x9,0xa,0xb,0xc,0xd,0xe,0xf,0x10,0x11,0x12,0x13,0x14,0x15,0x16,0x17,0x18,0x19,0x1a,0x1b,0x1c,0x1d,0x1e,0x1f];return{'getMonthName':function(){return _0x515aa0;},'getMonthNumber':function(){return _0x3338a8;},'getDaysOfWeek':function(){return _0x31267b;},'getDaysOfMonth':function(){return _0x196088;}};}function _0x2e3f54(_0x32477c){const _0x275dba=_0x313a4d;return{'Today':_0x32477c[_0x275dba(0xde)](_0x275dba(0x96f)),'Yesterday':_0x32477c[_0x275dba(0xde)](_0x275dba(0x16fc)),'This\x20Week':_0x32477c[_0x275dba(0xde)]('DASHBOARDS.THIS_WEEK'),'Last\x20Week':_0x32477c[_0x275dba(0xde)](_0x275dba(0xe68)),'This\x20Month':_0x32477c[_0x275dba(0xde)](_0x275dba(0x22db)),'Last\x20Month':_0x32477c[_0x275dba(0xde)](_0x275dba(0xf86)),'This\x20Year':_0x32477c[_0x275dba(0xde)](_0x275dba(0x83c)),'Last\x20Year':_0x32477c[_0x275dba(0xde)](_0x275dba(0x1846)),'January':_0x32477c[_0x275dba(0xde)](_0x275dba(0x1bc)),'February':_0x32477c[_0x275dba(0xde)](_0x275dba(0x2561)),'March':_0x32477c['instant']('DASHBOARDS.MARCH'),'April':_0x32477c['instant'](_0x275dba(0x2701)),'May':_0x32477c['instant'](_0x275dba(0x1d7b)),'June':_0x32477c[_0x275dba(0xde)](_0x275dba(0x848)),'July':_0x32477c[_0x275dba(0xde)](_0x275dba(0x1413)),'August':_0x32477c[_0x275dba(0xde)]('DASHBOARDS.AUGUST'),'September':_0x32477c[_0x275dba(0xde)]('DASHBOARDS.SEPTEMBER'),'October':_0x32477c[_0x275dba(0xde)](_0x275dba(0x1721)),'November':_0x32477c[_0x275dba(0xde)](_0x275dba(0x23a5)),'December':_0x32477c[_0x275dba(0xde)](_0x275dba(0x210b)),'Mon':_0x32477c[_0x275dba(0xde)](_0x275dba(0xc1c)),'Tue':_0x32477c[_0x275dba(0xde)](_0x275dba(0x115b)),'Wed':_0x32477c[_0x275dba(0xde)](_0x275dba(0x199d)),'Thu':_0x32477c[_0x275dba(0xde)](_0x275dba(0x16ab)),'Fri':_0x32477c[_0x275dba(0xde)](_0x275dba(0x1d0d)),'Sat':_0x32477c['instant'](_0x275dba(0x1fa3)),'Sun':_0x32477c['instant'](_0x275dba(0xe45))};}function _0x5c1c49(){return{'enqueue':function(_0x250cdf){const _0x30136c=a0_0x3bb9;this[_0x30136c(0x2537)]=this[_0x30136c(0x2537)]?this['tail'][_0x30136c(0x1ec6)](_0x250cdf):_0x250cdf();}};};const _0x21a2e4=_0x4acfac['p']+_0x313a4d(0x6ab);;;const _0x31c894=_0x4acfac['p']+_0x313a4d(0x4db);;const _0x1c1df9=_0x4acfac['p']+'src/js/modules/navigation/layouts/vertical-navigation/navigation.html/navigation.html';;const _0x2d9fae=_0x4acfac['p']+_0x313a4d(0x55f);;;const _0x397d35=_0x4acfac['p']+_0x313a4d(0xa38);;;const _0x236ab9=_0x4acfac['p']+'src/js/modules/footer/layouts/vertical-navigation/footer.html/footer.html';;;const _0x24da40=_0x4acfac['p']+_0x313a4d(0x1465);;_0x37718d[_0x313a4d(0x11c2)]=[_0x313a4d(0x921),_0x313a4d(0x677),_0x313a4d(0x1eb5)];function _0x37718d(_0x319697,_0x4e3016,_0xf6667e){const _0x4c1f5a=_0x313a4d;_0xf6667e[_0x4c1f5a(0xc3c)](!![]),_0x4e3016['otherwise'](_0x4c1f5a(0x1a8a));let _0x19f471;angular[_0x4c1f5a(0x24f2)]([_0x4c1f5a(0x1633)])[_0x4c1f5a(0x1e21)]([_0x4c1f5a(0x23df),function(_0x59d42f){_0x19f471=_0x59d42f;}]);const _0x95447e=_0x19f471[_0x4c1f5a(0x16b4)](_0x4c1f5a(0x1151))||'verticalNavigation',_0x5a2b66={'verticalNavigation':{'main':_0x28613b,'toolbar':_0x21a2e4,'navigation':_0x1c1df9,'header':_0x397d35,'footer':_0x236ab9},'verticalNavigationFullwidthToolbar':{'main':_0xf74b74,'toolbar':'','navigation':_0x1c1df9},'verticalNavigationFullwidthToolbar2':{'main':_0x4bd0ac,'toolbar':'','navigation':_0x2d9fae},'horizontalNavigation':{'main':_0x2a13c5,'toolbar':'','navigation':_0x31c894},'contentOnly':{'main':_0x31e320,'toolbar':'','navigation':''},'contentWithToolbar':{'main':_0x49ff6b,'toolbar':'','navigation':''}};_0x319697['state'](_0x4c1f5a(0x1873),{'abstract':!![],'views':{'main@':{'templateUrl':_0x5a2b66[_0x95447e]['main'],'controller':'MainController\x20as\x20vm'},'toolbar@app':{'templateUrl':_0x5a2b66[_0x95447e][_0x4c1f5a(0x1f81)],'controller':_0x4c1f5a(0x20dc)},'navigation@app':{'templateUrl':_0x5a2b66[_0x95447e][_0x4c1f5a(0x145c)],'controller':_0x4c1f5a(0x200b)},'quickPanel@app':{'templateUrl':_0x24da40,'controller':'QuickPanelController\x20as\x20vm'},'header@app':{'templateUrl':_0x5a2b66[_0x95447e][_0x4c1f5a(0x41f)],'controller':_0x4c1f5a(0x66e)},'footer@app':{'templateUrl':_0x5a2b66[_0x95447e][_0x4c1f5a(0x473)],'controller':_0x4c1f5a(0x914)}},'resolve':{'license':[_0x4c1f5a(0x362),function(_0x39ce23){const _0x3f8148=_0x4c1f5a;return _0x39ce23[_0x3f8148(0x2922)](_0x3f8148(0x1d2));}],'setting':[_0x4c1f5a(0x362),function(_0x895993){const _0xfd8487=_0x4c1f5a;return _0x895993[_0xfd8487(0x2922)](_0xfd8487(0x20b),{'id':0x1});}]}});}const _0x32076e=_0x37718d;;_0xa33493['$inject']=[_0x313a4d(0x141e),_0x313a4d(0xc5f)];function _0xa33493(_0x20f292,_0x1fe2b0){const _0x4ff3c4=_0x313a4d;_0x20f292[_0x4ff3c4(0x1420)]({},_0x1fe2b0['showLoadingIndicator']),_0x20f292[_0x4ff3c4(0x3c1)]({},_0x1fe2b0[_0x4ff3c4(0x1cc)]);}const _0x3aedd6=_0xa33493;var _0x5b8173=_0x4acfac(0x15ef);;_0x3a92c6[_0x313a4d(0x11c2)]=[_0x313a4d(0x1fc2),'Auth'];function _0x3a92c6(_0x68f886,_0x47c215){let _0xd9231d;function _0x21fdf4(){const _0x5a8a37=a0_0x3bb9,_0xbba664=!![]?window['io']:0x0,_0x146508={'query':_0x5a8a37(0x1f2f)+_0x47c215['getCurrentUser']()['id'],'transports':[_0x5a8a37(0x14a7),_0x5a8a37(0x1b0b)]};_0xd9231d=!![]?_0xbba664(_0x146508):0x0;}return{'connect':_0x21fdf4,'on':function(_0x2117a0,_0x1bda81){_0x1bda81=_0x1bda81||angular['noop'],!_0xd9231d&&_0x21fdf4(),_0xd9231d['on'](_0x2117a0,function(){const _0xd77ba7=a0_0x3bb9,_0xf7175=arguments;_0x68f886[_0xd77ba7(0x6fc)](function(){const _0x2efdb8=_0xd77ba7;_0x1bda81[_0x2efdb8(0x1c3c)](_0xd9231d,_0xf7175);});});},'onWithoutApply':function(_0xc5df7e,_0xbc147c){_0xbc147c=_0xbc147c||angular['noop'],!_0xd9231d&&_0x21fdf4(),_0xd9231d['on'](_0xc5df7e,function(){const _0x371462=a0_0x3bb9;_0xbc147c[_0x371462(0x1c3c)](_0xd9231d,arguments);});},'emit':function(_0x2570ac,_0x15f6ed,_0x47694d){const _0x2663cd=a0_0x3bb9;_0x47694d=_0x47694d||angular[_0x2663cd(0x309)],!_0xd9231d&&_0x21fdf4(),_0xd9231d[_0x2663cd(0x165a)](_0x2570ac,_0x15f6ed,function(){const _0x390a12=arguments;_0x68f886['$apply'](function(){const _0x4a215e=a0_0x3bb9;_0x47694d[_0x4a215e(0x1c3c)](_0xd9231d,_0x390a12);});});},'removeAllListeners':function(_0x141d40){const _0x31fbf8=a0_0x3bb9;!_0xd9231d&&_0x21fdf4(),_0xd9231d[_0x31fbf8(0x1c5f)](_0x141d40);},'removeListener':function(_0x26d008,_0x573d10){!_0xd9231d&&_0x21fdf4(),_0xd9231d['removeListener'](_0x26d008,_0x573d10);},'disconnect':function(){const _0x2b7c54=a0_0x3bb9;!_0xd9231d&&_0x21fdf4(),_0xd9231d[_0x2b7c54(0x194a)]();}};}const _0x3daa89=_0x3a92c6;;_0x3acbf7[_0x313a4d(0x11c2)]=['$scope',_0x313a4d(0x1fc2),_0x313a4d(0x214b),_0x313a4d(0x1f9a),_0x313a4d(0x2161),'Auth','toasty',_0x313a4d(0x2690),_0x313a4d(0x247f),_0x313a4d(0x15b9)];function _0x3acbf7(_0x2fc36c,_0x573ef7,_0x4ff74c,_0x2218af,_0x1df849,_0x4f4300,_0x19ca9e,_0x134e48,_0x417528,_0x5cd43c){const _0x3f4ccb=_0x313a4d,_0x952ac9=this;_0x19ca9e['clear']();function _0x156f3a(){const _0x343c3e=a0_0x3bb9;return _0x4f4300[_0x343c3e(0xb12)]()&&_0x4f4300[_0x343c3e(0x23e0)]('agent');}_0x952ac9['isAgent']=_0x156f3a;function _0x14b8c3(_0x5bcf5c,_0xdb7bb2){const _0x588736=a0_0x3bb9;return{'id':_0x5bcf5c,'title':_0xdb7bb2[_0x588736(0x19eb)]||_0x588736(0x19f9),'state':_0x588736(0x993),'stateParams':_0xdb7bb2,'weight':0x2,'hidden':function(){const _0x23ce00=_0x588736;return _0x4f4300['hasRole'](_0x23ce00(0x1755))||!_0x4f4300[_0x23ce00(0x2434)](_0x5bcf5c)&&!_0x4f4300['hasRole'](_0x23ce00(0x174b));}};}function _0x4a0ad2(_0x1daa49,_0x3a3b82){const _0x42a463=a0_0x3bb9;return{'id':_0x1daa49,'title':_0x3a3b82[_0x42a463(0x19eb)]||_0x42a463(0x19f9),'state':_0x42a463(0x9ce),'stateParams':_0x3a3b82,'weight':0x2,'hidden':function(){const _0x2ac702=_0x42a463;return _0x4f4300['hasRole'](_0x2ac702(0x1755))||!_0x4f4300[_0x2ac702(0x2434)](_0x1daa49)&&!_0x4f4300[_0x2ac702(0x23e0)](_0x2ac702(0x174b));}};}function _0x4c81d9(_0x8238f9,_0x1a9138){const _0x5b0251=a0_0x3bb9,_0x4b0a26={'user':_0x3f65c0()[_0x5b0251(0x40e)](_0x4f4300[_0x5b0251(0xb12)](),['id',_0x5b0251(0x19eb),'fullname',_0x5b0251(0x1a2b),_0x5b0251(0xfb0),'internal',_0x5b0251(0x72e)])};_0x1a9138[_0x5b0251(0xcd9)]=_0x4cbf1a()['render'](_0x1a9138['agentUrl'],_0x4b0a26),_0x1df849['saveItem']('apps.home.plugin_'+_0x8238f9,{'id':_0x8238f9,'title':_0x1a9138[_0x5b0251(0x19eb)],'translate':_0x1a9138['name'],'state':'plugin_'+_0x1a9138['id'],'stateParams':_0x1a9138,'view':_0x5b0251(0x5f5),'icon':_0x1a9138['icon'],'weight':0xc,'hidden':function(){return!_0x4f4300['hasPermission'](_0x8238f9);}});}_0x2218af['saveItem'](_0x3f4ccb(0x8b0),{'title':'APPS','group':!![],'weight':0x1,'hidden':function(){const _0x5b49e8=_0x3f4ccb;return _0x4f4300[_0x5b49e8(0x23e0)](_0x5b49e8(0x1755));}}),_0x417528[_0x3f4ccb(0x11b7)][_0x3f4ccb(0x16b4)]()[_0x3f4ccb(0x2945)][_0x3f4ccb(0x146b)](function(_0x3b73d1){const _0x340c54=_0x3f4ccb;_0x952ac9[_0x340c54(0x11b7)]=_0x3b73d1&&_0x3b73d1['current']?_0x3b73d1[_0x340c54(0x2d7)]:_0x340c54(0x2088);})[_0x3f4ccb(0x129e)](function(){const _0x3a2556=_0x3f4ccb;_0x952ac9[_0x3a2556(0x11b7)]='UNKNOWN';})[_0x3f4ccb(0x1ec6)](function(){const _0x3b1978=_0x3f4ccb;_0x2218af['saveItem'](_0x3b1978(0x11b7),{'title':_0x3b1978(0x1876)+_0x952ac9[_0x3b1978(0x11b7)],'group':!![],'weight':0x2,'hidden':function(){const _0x324db2=_0x3b1978;return _0x4f4300[_0x324db2(0x23e0)](_0x324db2(0x1755));}});});function _0x16df52(_0x4b98c2){const _0x578ef5=_0x3f4ccb;return _0x3f65c0()['map'](_0x4b98c2[_0x578ef5(0x20d0)],function(_0x9d0dd4){return _0x9d0dd4['id'];});}_0x4f4300[_0x3f4ccb(0x1369)]()&&(_0x134e48[_0x3f4ccb(0xece)]&&_0x19ca9e[_0x3f4ccb(0x195d)]({'msg':_0x4ff74c[_0x3f4ccb(0xde)]('DASHBOARDS.LICENSE_EXPIRE_REMAINING_DAYS'),'showClose':!![],'timeout':![]}),_0x417528[_0x3f4ccb(0x130)][_0x3f4ccb(0x16b4)]()['$promise']['then'](function(_0x4d2386){const _0x64a3cd=_0x3f4ccb;let _0x1f5f96=0x0;for(let _0x38f079=0x0;_0x38f079<_0x4d2386['rows'][_0x64a3cd(0x402)];_0x38f079+=0x1){_0x1f5f96=0x1869f+_0x4d2386[_0x64a3cd(0x19c7)][_0x38f079]['id'],_0x2218af[_0x64a3cd(0x150e)](_0x64a3cd(0x1c77)+_0x1f5f96,_0x14b8c3(_0x1f5f96,_0x4d2386['rows'][_0x38f079]));}}),_0x417528[_0x3f4ccb(0x5f5)][_0x3f4ccb(0x16b4)]({'active':!![]})[_0x3f4ccb(0x2945)][_0x3f4ccb(0x146b)](function(_0x5baa13){const _0x7a46d3=_0x3f4ccb;let _0x3a2c33=0x0;if(_0x5baa13[_0x7a46d3(0x51c)]){const _0x5c0531=_0x3f65c0()[_0x7a46d3(0x1dd6)](_0x5baa13[_0x7a46d3(0x19c7)],function(_0x2d1706){const _0x20ba4d=_0x7a46d3;return _0x2d1706[_0x20ba4d(0x25c9)]!='never'&&_0x2d1706[_0x20ba4d(0x25c9)]!=_0x20ba4d(0x1a94);});if(_0x5c0531[_0x7a46d3(0x402)]>0x0){_0x2218af['saveItem']('apps.plugins',{'id':0x76c,'title':_0x7a46d3(0x40f),'translate':_0x7a46d3(0x5b2),'icon':_0x7a46d3(0x1205),'permissions':{'hidden':!![]},'weight':0x11,'hidden':function(){const _0x4605f5=_0x7a46d3;return _0x4f4300[_0x4605f5(0x23e0)](_0x4605f5(0x1755))||!_0x4f4300[_0x4605f5(0xff0)](_0x16df52(this))&&!_0x4f4300[_0x4605f5(0x23e0)](_0x4605f5(0x174b));}});for(let _0x119e2f=0x0;_0x119e2f<_0x5c0531['length'];_0x119e2f+=0x1){_0x3a2c33=0x15b38+_0x5c0531[_0x119e2f]['id'],_0x2218af['saveItem']('apps.plugins.plugin_'+_0x3a2c33,_0x4a0ad2(_0x3a2c33,_0x5c0531[_0x119e2f]));}_0x2218af[_0x7a46d3(0x28fa)]();}const _0x5d5d33=_0x3f65c0()[_0x7a46d3(0x1dd6)](_0x5baa13[_0x7a46d3(0x19c7)],function(_0x547bb8){const _0x4be3b6=_0x7a46d3;return _0x547bb8[_0x4be3b6(0x25c9)]!=_0x4be3b6(0x6d2)&&_0x547bb8['sidebar']!=_0x4be3b6(0x2497);});if(_0x5d5d33['length']>0x0)for(let _0x304929=0x0;_0x304929<_0x5d5d33['length'];_0x304929+=0x1){_0x3a2c33=0x15b38+_0x5d5d33[_0x304929]['id'],_0x4c81d9(_0x3a2c33,_0x5d5d33[_0x304929]);}}})),_0x134e48[_0x3f4ccb(0x1802)]&&!_0x5cd43c['defaultPageTitle']&&_0x5cd43c[_0x3f4ccb(0x13f5)]&&angular[_0x3f4ccb(0x1853)]('#pageTitle')[_0x3f4ccb(0x1931)](_0x5cd43c['pageTitle']),_0x2218af['saveItem'](_0x3f4ccb(0x17d3),{'id':0x64,'title':_0x3f4ccb(0x11e8),'translate':'DASHBOARDS.DASHBOARDS','icon':_0x3f4ccb(0x1f94),'weight':0x2,'hidden':function(){const _0x411398=_0x3f4ccb;return _0x4f4300['hasRole'](_0x411398(0x1755))||!_0x4f4300[_0x411398(0xff0)](_0x16df52(this))&&!_0x4f4300[_0x411398(0x23e0)](_0x411398(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)]('apps.dashboards.general',{'id':0x65,'title':_0x3f4ccb(0x41a),'route':'','translate':'DASHBOARDS.GENERAL','state':_0x3f4ccb(0x285e),'weight':0x1,'hidden':function(){const _0xb72004=_0x3f4ccb;return _0x4f4300['hasRole']('agent')||!_0x4f4300[_0xb72004(0x2434)](0x65)&&!_0x4f4300[_0xb72004(0x23e0)](_0xb72004(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x813),{'id':0xc8,'title':_0x3f4ccb(0x838),'translate':_0x3f4ccb(0x1735),'icon':_0x3f4ccb(0x1c49),'weight':0x3,'hidden':function(){const _0x2515a0=_0x3f4ccb;return _0x4f4300['hasRole'](_0x2515a0(0x1755))||!_0x4f4300[_0x2515a0(0xff0)](_0x16df52(this))&&!_0x4f4300['hasRole']('admin');}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0xe79),{'id':0xc9,'title':_0x3f4ccb(0x3c4),'route':_0x3f4ccb(0xe7b),'translate':_0x3f4ccb(0x17fe),'state':_0x3f4ccb(0x201d),'weight':0x1,'permissions':{'hidden':!![]},'hidden':function(){const _0xb2e006=_0x3f4ccb;return _0x4f4300['hasRole']('agent')||_0x4f4300['hasRole']('user')||!_0x4f4300[_0xb2e006(0x2434)](0xc9)&&!_0x4f4300[_0xb2e006(0x23e0)](_0xb2e006(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x2112),{'id':0xca,'title':'Agents','route':_0x3f4ccb(0xe7b),'translate':'APP.AGENTS','state':_0x3f4ccb(0x2312),'weight':0x1,'hidden':function(){const _0x58624e=_0x3f4ccb;return _0x4f4300[_0x58624e(0x23e0)](_0x58624e(0x1755))||!_0x4f4300['hasPermission'](0xca)&&!_0x4f4300['hasRole'](_0x58624e(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x15a9),{'id':0xcb,'title':'Telephones','route':_0x3f4ccb(0xe7b),'translate':_0x3f4ccb(0x1b31),'state':_0x3f4ccb(0xa79),'weight':0x1,'hidden':function(){const _0x2d3811=_0x3f4ccb;return _0x4f4300[_0x2d3811(0x23e0)]('agent')||!_0x4f4300['hasPermission'](0xcb)&&!_0x4f4300['hasRole'](_0x2d3811(0x174b));}}),_0x2218af['saveItem']('apps.staff.teams',{'id':0xcc,'title':_0x3f4ccb(0x21ae),'route':_0x3f4ccb(0x23f1),'translate':_0x3f4ccb(0x1a3d),'state':_0x3f4ccb(0x17bb),'weight':0x1,'hidden':function(){const _0x5576b5=_0x3f4ccb;return _0x4f4300[_0x5576b5(0x23e0)](_0x5576b5(0x1755))||!_0x4f4300[_0x5576b5(0x2434)](0xcc)&&!_0x4f4300[_0x5576b5(0x23e0)](_0x5576b5(0x174b));}}),_0x2218af['saveItem'](_0x3f4ccb(0xf06),{'id':0xcd,'title':_0x3f4ccb(0x1a0c),'route':_0x3f4ccb(0x26b6),'translate':'STAFF.USERPROFILES','state':_0x3f4ccb(0x2804),'weight':0x1,'permissions':{'hidden':!![]},'hidden':function(){const _0x4b8da2=_0x3f4ccb;return _0x4f4300['hasRole'](_0x4b8da2(0x1755))||!_0x4f4300['hasPermission'](0xcd)&&!_0x4f4300[_0x4b8da2(0x23e0)](_0x4b8da2(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)]('apps.contactmanager',{'id':0x12c,'title':_0x3f4ccb(0x1624),'translate':'CONTACTMANAGER.CONTACTMANAGER','icon':'icon-account-circle','weight':0x4,'license':'cm','hidden':function(){const _0x3eea9b=_0x3f4ccb;return!_0x134e48['cm']||_0x4f4300[_0x3eea9b(0x23e0)](_0x3eea9b(0x1755))||!_0x4f4300['hasChildrenPermissions'](_0x16df52(this))&&!_0x4f4300['hasRole']('admin');}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x1a3c),{'id':0x12d,'title':_0x3f4ccb(0x174f),'route':_0x3f4ccb(0xf03),'translate':'CONTACTMANAGER.LISTS','state':_0x3f4ccb(0x26ec),'weight':0x2,'hidden':function(){const _0x295d9c=_0x3f4ccb;return _0x4f4300[_0x295d9c(0x23e0)](_0x295d9c(0x1755))||!_0x4f4300[_0x295d9c(0x2434)](0x12d)&&!_0x4f4300[_0x295d9c(0x23e0)](_0x295d9c(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x2750),{'id':0x12e,'title':_0x3f4ccb(0x1a5e),'route':_0x3f4ccb(0x134d),'translate':_0x3f4ccb(0x1763),'state':_0x3f4ccb(0xd99),'weight':0x3,'hidden':function(){const _0x3158ce=_0x3f4ccb;return _0x4f4300[_0x3158ce(0x23e0)]('agent')||!_0x4f4300[_0x3158ce(0x2434)](0x12e)&&!_0x4f4300[_0x3158ce(0x23e0)]('admin');}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x13b),{'id':0x130,'title':_0x3f4ccb(0x1b8f),'route':_0x3f4ccb(0x14a9),'translate':_0x3f4ccb(0x1a0a),'state':'app.contactmanager.globalCustomFields','weight':0x4,'permissions':{'association':![]},'hidden':function(){const _0xa5040d=_0x3f4ccb;return _0x4f4300[_0xa5040d(0x23e0)]('agent')||!_0x4f4300[_0xa5040d(0x2434)](0x130)&&!_0x4f4300['hasRole']('admin');}}),_0x2218af[_0x3f4ccb(0x150e)]('apps.contactmanager.contacts',{'id':0x12f,'title':_0x3f4ccb(0x1efa),'route':'','translate':_0x3f4ccb(0x1a0b),'state':_0x3f4ccb(0x23fa),'weight':0x1,'permissions':{'association':![]},'hidden':function(){const _0x3dd728=_0x3f4ccb;return _0x4f4300[_0x3dd728(0x23e0)](_0x3dd728(0x1755))||!_0x4f4300[_0x3dd728(0x2434)](0x12f)&&!_0x4f4300['hasRole'](_0x3dd728(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x297),{'id':0x190,'title':_0x3f4ccb(0x127d),'translate':_0x3f4ccb(0x127b),'icon':_0x3f4ccb(0x165b),'weight':0x5,'license':_0x3f4ccb(0xe6),'hidden':function(){const _0x5db029=_0x3f4ccb;return!_0x134e48[_0x5db029(0xe6)]||_0x4f4300[_0x5db029(0x23e0)](_0x5db029(0x1755))||!_0x4f4300[_0x5db029(0xff0)](_0x16df52(this))&&!_0x4f4300[_0x5db029(0x23e0)]('admin');}}),_0x2218af['saveItem'](_0x3f4ccb(0x1691),{'id':0x191,'title':_0x3f4ccb(0x507),'route':_0x3f4ccb(0x1446),'translate':_0x3f4ccb(0x2758),'state':'app.voice.voiceQueues','weight':0x1,'channel':_0x3f4ccb(0xe6),'hidden':function(){const _0x1802db=_0x3f4ccb;return _0x4f4300[_0x1802db(0x23e0)]('agent')||!_0x4f4300[_0x1802db(0x2434)](0x191)&&!_0x4f4300['hasRole']('admin');}}),_0x2218af['saveItem'](_0x3f4ccb(0x67a),{'id':0x192,'title':_0x3f4ccb(0x109a),'route':'voiceExtension','translate':'VOICE.INBOUNDROUTES','state':'app.voice.inboundroutes','weight':0x1,'hidden':function(){const _0x178f51=_0x3f4ccb;return _0x4f4300['hasRole'](_0x178f51(0x1755))||!_0x4f4300[_0x178f51(0x2434)](0x192)&&!_0x4f4300[_0x178f51(0x23e0)](_0x178f51(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0xe47),{'id':0x193,'title':_0x3f4ccb(0x1c80),'route':_0x3f4ccb(0xd47),'translate':'VOICE.OUTBOUNDROUTES','state':'app.voice.outboundroutes','weight':0x1,'hidden':function(){const _0x35ab05=_0x3f4ccb;return _0x4f4300[_0x35ab05(0x23e0)](_0x35ab05(0x1755))||!_0x4f4300[_0x35ab05(0x2434)](0x193)&&!_0x4f4300['hasRole'](_0x35ab05(0x174b));}}),_0x2218af['saveItem'](_0x3f4ccb(0x287b),{'id':0x194,'title':_0x3f4ccb(0xa2b),'route':_0x3f4ccb(0xd47),'translate':_0x3f4ccb(0x1f38),'state':'app.voice.internalroutes','weight':0x1,'hidden':function(){const _0x5d84d2=_0x3f4ccb;return _0x4f4300[_0x5d84d2(0x23e0)](_0x5d84d2(0x1755))||!_0x4f4300[_0x5d84d2(0x2434)](0x194)&&!_0x4f4300[_0x5d84d2(0x23e0)](_0x5d84d2(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)]('apps.voice.contexts',{'id':0x195,'title':'Contexts','route':_0x3f4ccb(0x1b82),'translate':'VOICE.CONTEXTS','state':_0x3f4ccb(0x214d),'weight':0x1,'hidden':function(){const _0xfe47eb=_0x3f4ccb;return _0x4f4300[_0xfe47eb(0x23e0)](_0xfe47eb(0x1755))||!_0x4f4300[_0xfe47eb(0x2434)](0x195)&&!_0x4f4300[_0xfe47eb(0x23e0)](_0xfe47eb(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)]('apps.voice.voicemails',{'id':0x196,'title':_0x3f4ccb(0x1efe),'route':_0x3f4ccb(0xe8c),'translate':'VOICE.VOICEMAILS','state':'app.voice.voicemails','weight':0x1,'hidden':function(){const _0x10fc61=_0x3f4ccb;return _0x4f4300['hasRole'](_0x10fc61(0x1755))||!_0x4f4300['hasPermission'](0x196)&&!_0x4f4300['hasRole']('admin');}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x3e0),{'id':0x197,'title':'MusicOnHolds','route':_0x3f4ccb(0x7bd),'translate':_0x3f4ccb(0x883),'state':_0x3f4ccb(0x1dd8),'weight':0x1,'hidden':function(){const _0x5d76b7=_0x3f4ccb;return _0x4f4300[_0x5d76b7(0x23e0)](_0x5d76b7(0x1755))||!_0x4f4300[_0x5d76b7(0x2434)](0x197)&&!_0x4f4300[_0x5d76b7(0x23e0)]('admin');}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0xb91),{'id':0x198,'title':_0x3f4ccb(0xb19),'route':_0x3f4ccb(0x1688),'translate':_0x3f4ccb(0x20a4),'state':'app.voice.voiceRecordings','weight':0x1,'permissions':{'association':![],'loading':!![]},'hidden':function(){const _0x39cdfe=_0x3f4ccb;return _0x4f4300[_0x39cdfe(0x23e0)]('agent')||!_0x4f4300[_0x39cdfe(0x2434)](0x198)&&!_0x4f4300[_0x39cdfe(0x23e0)](_0x39cdfe(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)]('apps.voice.chanSpies',{'id':0x199,'title':_0x3f4ccb(0x1665),'route':_0x3f4ccb(0x15e8),'translate':_0x3f4ccb(0x430),'state':_0x3f4ccb(0x1b4b),'weight':0x1,'hidden':function(){const _0x482554=_0x3f4ccb;return _0x4f4300[_0x482554(0x23e0)](_0x482554(0x1755))||!_0x4f4300['hasPermission'](0x199)&&!_0x4f4300[_0x482554(0x23e0)](_0x482554(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x1c00),{'id':0x19a,'title':_0x3f4ccb(0x8cf),'route':_0x3f4ccb(0xc0f),'translate':'VOICE.VOICEPREFIXES','state':_0x3f4ccb(0x17c8),'weight':0x1,'hidden':function(){const _0x7d33d8=_0x3f4ccb;return _0x4f4300[_0x7d33d8(0x23e0)](_0x7d33d8(0x1755))||!_0x4f4300['hasPermission'](0x19a)&&!_0x4f4300[_0x7d33d8(0x23e0)](_0x7d33d8(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x1d58),{'id':0x19b,'title':_0x3f4ccb(0x15ab),'route':'','translate':'VOICE.REALTIME','state':_0x3f4ccb(0x17fd),'weight':0x1,'permissions':{'association':![],'crud':![]},'hidden':function(){const _0x12feb4=_0x3f4ccb;return _0x4f4300['hasRole'](_0x12feb4(0x1755))||!_0x4f4300[_0x12feb4(0x2434)](0x19b)&&!_0x4f4300[_0x12feb4(0x23e0)](_0x12feb4(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x1a38),{'id':0x1f4,'title':'Chat','translate':_0x3f4ccb(0x266a),'icon':_0x3f4ccb(0x1bed),'weight':0x6,'license':_0x3f4ccb(0xa7f),'hidden':function(){const _0x17992e=_0x3f4ccb;return!_0x134e48[_0x17992e(0xa7f)]||_0x4f4300['hasRole'](_0x17992e(0x1755))||!_0x4f4300['hasChildrenPermissions'](_0x16df52(this))&&!_0x4f4300['hasRole'](_0x17992e(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x164c),{'id':0x1f5,'title':_0x3f4ccb(0x1d54),'route':_0x3f4ccb(0x16f8),'translate':_0x3f4ccb(0x1146),'state':_0x3f4ccb(0x1ce1),'weight':0x1,'channel':_0x3f4ccb(0xa7f),'hidden':function(){const _0x5e0ebd=_0x3f4ccb;return _0x4f4300[_0x5e0ebd(0x23e0)]('agent')||!_0x4f4300['hasPermission'](0x1f5)&&!_0x4f4300[_0x5e0ebd(0x23e0)](_0x5e0ebd(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)]('apps.chat.chatWebsites',{'id':0x1f6,'title':_0x3f4ccb(0x274c),'route':_0x3f4ccb(0x189e),'translate':'CHAT.CHATWEBSITES','state':_0x3f4ccb(0x21f),'weight':0x1,'channel':'chat','hidden':function(){const _0x1fc7ae=_0x3f4ccb;return _0x4f4300[_0x1fc7ae(0x23e0)]('agent')||!_0x4f4300['hasPermission'](0x1f6)&&!_0x4f4300[_0x1fc7ae(0x23e0)](_0x1fc7ae(0x174b));}}),_0x2218af['saveItem'](_0x3f4ccb(0x21d0),{'id':0x1fe,'title':'Realtime','route':'','translate':_0x3f4ccb(0xe6b),'state':_0x3f4ccb(0x284e),'weight':0x1,'permissions':{'association':![],'crud':![]},'hidden':function(){const _0x5877d0=_0x3f4ccb;return _0x4f4300['hasRole'](_0x5877d0(0x1755))||!_0x4f4300[_0x5877d0(0x2434)](0x1fe)&&!_0x4f4300['hasRole']('admin');}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x12b0),{'id':0x258,'title':_0x3f4ccb(0x3b1),'translate':_0x3f4ccb(0x11a1),'icon':_0x3f4ccb(0x1c3d),'weight':0x7,'license':_0x3f4ccb(0x56b),'hidden':function(){const _0x207dd5=_0x3f4ccb;return!_0x134e48[_0x207dd5(0x56b)]||_0x4f4300[_0x207dd5(0x23e0)](_0x207dd5(0x1755))||!_0x4f4300[_0x207dd5(0xff0)](_0x16df52(this))&&!_0x4f4300[_0x207dd5(0x23e0)]('admin');}}),_0x2218af['saveItem']('apps.mail.mailQueues',{'id':0x259,'title':_0x3f4ccb(0xf4d),'route':_0x3f4ccb(0x101c),'translate':_0x3f4ccb(0xb93),'state':_0x3f4ccb(0x1263),'weight':0x1,'channel':_0x3f4ccb(0x56b),'hidden':function(){const _0x50470d=_0x3f4ccb;return _0x4f4300[_0x50470d(0x23e0)](_0x50470d(0x1755))||!_0x4f4300[_0x50470d(0x2434)](0x259)&&!_0x4f4300[_0x50470d(0x23e0)]('admin');}}),_0x2218af[_0x3f4ccb(0x150e)]('apps.mail.mailAccounts',{'id':0x25a,'title':_0x3f4ccb(0xbf0),'route':'mailAccount','translate':_0x3f4ccb(0x2091),'state':'app.mail.mailAccounts','weight':0x1,'channel':_0x3f4ccb(0x56b),'hidden':function(){const _0x2942c8=_0x3f4ccb;return _0x4f4300[_0x2942c8(0x23e0)](_0x2942c8(0x1755))||!_0x4f4300[_0x2942c8(0x2434)](0x25a)&&!_0x4f4300['hasRole'](_0x2942c8(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)]('apps.mail.mailSubtatuses',{'id':0x25b,'title':_0x3f4ccb(0x2857),'route':_0x3f4ccb(0x2036),'translate':'MAIL.MAILSUBSTATUSES','state':_0x3f4ccb(0x1379),'weight':0x1,'channel':_0x3f4ccb(0x56b),'permissions':{'association':![]},'hidden':function(){const _0x4986f4=_0x3f4ccb;return _0x4f4300[_0x4986f4(0x23e0)](_0x4986f4(0x1755))||!_0x4f4300['hasPermission'](0x25b)&&!_0x4f4300[_0x4986f4(0x23e0)](_0x4986f4(0x174b));}}),_0x2218af['saveItem'](_0x3f4ccb(0x17c7),{'id':0x262,'title':_0x3f4ccb(0x15ab),'route':'','translate':'MAIL.REALTIME','state':_0x3f4ccb(0x2795),'weight':0x1,'permissions':{'association':![],'crud':![]},'hidden':function(){const _0xedb578=_0x3f4ccb;return _0x4f4300[_0xedb578(0x23e0)](_0xedb578(0x1755))||!_0x4f4300[_0xedb578(0x2434)](0x262)&&!_0x4f4300['hasRole'](_0xedb578(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x20aa),{'id':0x2bc,'title':_0x3f4ccb(0x10f8),'translate':'SMS.SMS','icon':_0x3f4ccb(0x1580),'weight':0x8,'license':_0x3f4ccb(0x25ca),'hidden':function(){const _0x4f848c=_0x3f4ccb;return!_0x134e48[_0x4f848c(0x25ca)]||_0x4f4300[_0x4f848c(0x23e0)](_0x4f848c(0x1755))||!_0x4f4300[_0x4f848c(0xff0)](_0x16df52(this))&&!_0x4f4300['hasRole'](_0x4f848c(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)]('apps.sms.smsQueues',{'id':0x2bd,'title':_0x3f4ccb(0xe3),'route':'smsQueue','translate':'SMS.SMSQUEUES','state':_0x3f4ccb(0x68f),'weight':0x1,'channel':_0x3f4ccb(0x929),'hidden':function(){const _0x496eb5=_0x3f4ccb;return _0x4f4300['hasRole'](_0x496eb5(0x1755))||!_0x4f4300['hasPermission'](0x2bd)&&!_0x4f4300['hasRole'](_0x496eb5(0x174b));}}),_0x2218af['saveItem'](_0x3f4ccb(0xd1b),{'id':0x2be,'title':_0x3f4ccb(0xda3),'route':_0x3f4ccb(0x2209),'translate':'SMS.SMSACCOUNTS','state':_0x3f4ccb(0x51a),'weight':0x1,'channel':'sms','hidden':function(){const _0x51e479=_0x3f4ccb;return _0x4f4300['hasRole'](_0x51e479(0x1755))||!_0x4f4300['hasPermission'](0x2be)&&!_0x4f4300[_0x51e479(0x23e0)](_0x51e479(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)]('apps.sms.realtime',{'id':0x2c6,'title':_0x3f4ccb(0x15ab),'route':'','translate':'SMS.REALTIME','state':_0x3f4ccb(0xe81),'weight':0x1,'permissions':{'association':![],'crud':![]},'hidden':function(){const _0x2a2f7b=_0x3f4ccb;return _0x4f4300[_0x2a2f7b(0x23e0)](_0x2a2f7b(0x1755))||!_0x4f4300[_0x2a2f7b(0x2434)](0x2c6)&&!_0x4f4300[_0x2a2f7b(0x23e0)](_0x2a2f7b(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x2324),{'id':0x320,'title':_0x3f4ccb(0x12e0),'translate':_0x3f4ccb(0x8a6),'icon':_0x3f4ccb(0x9b8),'weight':0x9,'license':_0x3f4ccb(0x7d9),'hidden':function(){const _0x22b808=_0x3f4ccb;return!_0x134e48['openchannel']||_0x4f4300[_0x22b808(0x23e0)](_0x22b808(0x1755))||!_0x4f4300[_0x22b808(0xff0)](_0x16df52(this))&&!_0x4f4300[_0x22b808(0x23e0)](_0x22b808(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)]('apps.openchannel.openchannelQueues',{'id':0x321,'title':'OpenchannelQueues','route':_0x3f4ccb(0x2755),'translate':_0x3f4ccb(0x1ca5),'state':_0x3f4ccb(0x18ff),'weight':0x1,'channel':_0x3f4ccb(0x8de),'hidden':function(){const _0x5a3cea=_0x3f4ccb;return _0x4f4300[_0x5a3cea(0x23e0)]('agent')||!_0x4f4300[_0x5a3cea(0x2434)](0x321)&&!_0x4f4300[_0x5a3cea(0x23e0)]('admin');}}),_0x2218af[_0x3f4ccb(0x150e)]('apps.openchannel.openchannelAccounts',{'id':0x322,'title':_0x3f4ccb(0x5f9),'route':'openchannelAccount','translate':_0x3f4ccb(0x2424),'state':_0x3f4ccb(0xc7d),'weight':0x1,'channel':_0x3f4ccb(0x8de),'hidden':function(){const _0x13cbf2=_0x3f4ccb;return _0x4f4300[_0x13cbf2(0x23e0)]('agent')||!_0x4f4300[_0x13cbf2(0x2434)](0x322)&&!_0x4f4300[_0x13cbf2(0x23e0)]('admin');}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x1a63),{'id':0x32a,'title':'Realtime','route':'','translate':_0x3f4ccb(0x955),'state':_0x3f4ccb(0x13c8),'weight':0x1,'permissions':{'association':![],'crud':![]},'hidden':function(){const _0x53401c=_0x3f4ccb;return _0x4f4300['hasRole']('agent')||!_0x4f4300['hasPermission'](0x32a)&&!_0x4f4300[_0x53401c(0x23e0)]('admin');}}),_0x2218af['saveItem'](_0x3f4ccb(0x265a),{'id':0x384,'title':'Fax','translate':_0x3f4ccb(0x23c1),'icon':'icon-deskphone','weight':0xa,'license':_0x3f4ccb(0x22d9),'hidden':function(){const _0x4126df=_0x3f4ccb;return!_0x134e48[_0x4126df(0x22d9)]||_0x4f4300[_0x4126df(0x23e0)](_0x4126df(0x1755))||!_0x4f4300['hasChildrenPermissions'](_0x16df52(this))&&!_0x4f4300[_0x4126df(0x23e0)](_0x4126df(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0xb2c),{'id':0x385,'title':'FaxQueues','route':_0x3f4ccb(0x999),'translate':_0x3f4ccb(0x1faf),'state':_0x3f4ccb(0xb01),'weight':0x1,'channel':_0x3f4ccb(0x22d9),'hidden':function(){const _0x315ef2=_0x3f4ccb;return _0x4f4300['hasRole'](_0x315ef2(0x1755))||!_0x4f4300['hasPermission'](0x385)&&!_0x4f4300[_0x315ef2(0x23e0)](_0x315ef2(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)]('apps.fax.faxAccounts',{'id':0x386,'title':'FaxAccounts','route':_0x3f4ccb(0x178),'translate':'FAX.FAXACCOUNTS','state':_0x3f4ccb(0x2078),'weight':0x1,'channel':_0x3f4ccb(0x22d9),'hidden':function(){const _0xc82239=_0x3f4ccb;return _0x4f4300[_0xc82239(0x23e0)](_0xc82239(0x1755))||!_0x4f4300[_0xc82239(0x2434)](0x386)&&!_0x4f4300['hasRole']('admin');}}),_0x2218af[_0x3f4ccb(0x150e)]('apps.fax.realtime',{'id':0x38e,'title':_0x3f4ccb(0x15ab),'route':'','translate':_0x3f4ccb(0x247a),'state':_0x3f4ccb(0xbec),'weight':0x1,'permissions':{'association':![],'crud':![]},'hidden':function(){const _0x23900d=_0x3f4ccb;return _0x4f4300[_0x23900d(0x23e0)](_0x23900d(0x1755))||!_0x4f4300['hasPermission'](0x38e)&&!_0x4f4300[_0x23900d(0x23e0)](_0x23900d(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0xd00),{'id':0x3e8,'title':_0x3f4ccb(0x18f0),'translate':'TOOLS.TOOLS','icon':'icon-auto-fix','weight':0xf,'hidden':function(){const _0x3565a4=_0x3f4ccb;return _0x4f4300[_0x3565a4(0x23e0)](_0x3565a4(0x1755))||!_0x4f4300[_0x3565a4(0xff0)](_0x16df52(this))&&!_0x4f4300['hasRole']('admin');}}),_0x2218af[_0x3f4ccb(0x150e)]('apps.tools.cannedAnswers',{'id':0x3e9,'title':_0x3f4ccb(0x16c4),'route':'cannedAnswer','translate':_0x3f4ccb(0x213e),'state':_0x3f4ccb(0x1f7c),'weight':0x1,'hidden':function(){const _0x5f3f3a=_0x3f4ccb;return _0x4f4300[_0x5f3f3a(0x23e0)](_0x5f3f3a(0x1755))||!_0x4f4300[_0x5f3f3a(0x2434)](0x3e9)&&!_0x4f4300[_0x5f3f3a(0x23e0)](_0x5f3f3a(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x1cb),{'id':0x3eb,'title':_0x3f4ccb(0x907),'route':'dashboard','translate':_0x3f4ccb(0x1cce),'state':'app.tools.customDashboards','weight':0x3,'hidden':function(){const _0x3fe638=_0x3f4ccb;return _0x4f4300['hasRole']('agent')||!_0x4f4300[_0x3fe638(0x2434)](0x3eb)&&!_0x4f4300[_0x3fe638(0x23e0)]('admin');}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x2381),{'id':0x3ec,'title':_0x3f4ccb(0x162f),'route':'interval','translate':_0x3f4ccb(0x1f69),'state':_0x3f4ccb(0x2378),'weight':0x4,'hidden':function(){const _0x2b71b4=_0x3f4ccb;return _0x4f4300[_0x2b71b4(0x23e0)]('agent')||!_0x4f4300[_0x2b71b4(0x2434)](0x3ec)&&!_0x4f4300[_0x2b71b4(0x23e0)](_0x2b71b4(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x946),{'id':0x3ed,'title':_0x3f4ccb(0x197),'route':_0x3f4ccb(0x1e3e),'translate':_0x3f4ccb(0x24b9),'state':_0x3f4ccb(0x179e),'weight':0x5,'hidden':function(){const _0x4fd568=_0x3f4ccb;return _0x4f4300['hasRole'](_0x4fd568(0x1755))||!_0x4f4300[_0x4fd568(0x2434)](0x3ed)&&!_0x4f4300[_0x4fd568(0x23e0)](_0x4fd568(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)]('apps.tools.schedules',{'id':0x3ee,'title':'Scheduler','route':_0x3f4ccb(0x4bf),'translate':_0x3f4ccb(0x55c),'state':_0x3f4ccb(0x1578),'weight':0x6,'hidden':function(){const _0x7a7053=_0x3f4ccb;return _0x4f4300[_0x7a7053(0x23e0)](_0x7a7053(0x1755))||!_0x4f4300[_0x7a7053(0x2434)](0x3ee)&&!_0x4f4300[_0x7a7053(0x23e0)]('admin');}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x1c8e),{'id':0x3ef,'title':_0x3f4ccb(0x175f),'route':'sound','translate':_0x3f4ccb(0x2ee),'state':_0x3f4ccb(0x90a),'weight':0x7,'hidden':function(){return _0x4f4300['hasRole']('agent')||!_0x4f4300['hasPermission'](0x3ef)&&!_0x4f4300['hasRole']('admin');}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0xcf8),{'id':0x3f0,'title':_0x3f4ccb(0x2331),'route':'tag','translate':_0x3f4ccb(0x9f4),'state':'app.tools.tags','weight':0x8,'hidden':function(){const _0x3a9634=_0x3f4ccb;return _0x4f4300[_0x3a9634(0x23e0)]('agent')||!_0x4f4300[_0x3a9634(0x2434)](0x3f0)&&!_0x4f4300[_0x3a9634(0x23e0)](_0x3a9634(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x2f4),{'id':0x3f1,'title':_0x3f4ccb(0x7ff),'route':_0x3f4ccb(0x1928),'translate':_0x3f4ccb(0x1525),'state':_0x3f4ccb(0x2252),'weight':0x9,'hidden':function(){const _0x531b93=_0x3f4ccb;return _0x4f4300[_0x531b93(0x23e0)]('agent')||!_0x4f4300[_0x531b93(0x2434)](0x3f1)&&!_0x4f4300[_0x531b93(0x23e0)](_0x531b93(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)]('apps.tools.trunks',{'id':0x3f3,'title':'Trunks','route':_0x3f4ccb(0x279f),'translate':_0x3f4ccb(0x1e91),'state':'app.tools.trunks','weight':0xb,'hidden':function(){const _0xfa1658=_0x3f4ccb;return _0x4f4300[_0xfa1658(0x23e0)]('agent')||!_0x4f4300[_0xfa1658(0x2434)](0x3f3)&&!_0x4f4300['hasRole']('admin');}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x13e4),{'id':0x3f4,'title':'Variables','route':_0x3f4ccb(0x212),'translate':'TOOLS.VARIABLES','state':_0x3f4ccb(0x280),'weight':0xc,'hidden':function(){const _0x1bf75b=_0x3f4ccb;return _0x4f4300[_0x1bf75b(0x23e0)](_0x1bf75b(0x1755))||!_0x4f4300[_0x1bf75b(0x2434)](0x3f4)&&!_0x4f4300[_0x1bf75b(0x23e0)](_0x1bf75b(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)]('apps.tools.dispositions',{'id':0x3ea,'title':_0x3f4ccb(0x1754),'route':_0x3f4ccb(0x9e1),'translate':_0x3f4ccb(0x3ed),'state':_0x3f4ccb(0x2f5),'weight':0x2,'hidden':function(){const _0xe32ae=_0x3f4ccb;return _0x4f4300['hasRole']('agent')||!_0x4f4300['hasPermission'](0x3ea)&&!_0x4f4300[_0xe32ae(0x23e0)]('admin');}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0xf9a),{'id':0x3f2,'title':_0x3f4ccb(0x471),'route':'','translate':_0x3f4ccb(0x1c88),'state':_0x3f4ccb(0x719),'weight':0xa,'hidden':function(){const _0x429edf=_0x3f4ccb;return _0x4f4300['hasRole'](_0x429edf(0x1755))||!_0x4f4300['hasPermission'](0x3f2)&&!_0x4f4300[_0x429edf(0x23e0)](_0x429edf(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x972),{'id':0x44c,'title':_0x3f4ccb(0x22cc),'translate':_0x3f4ccb(0x4aa),'icon':_0x3f4ccb(0x1913),'weight':0xd,'license':_0x3f4ccb(0xe6),'hidden':function(){const _0x4e3bd1=_0x3f4ccb;return!_0x134e48['voice']||_0x4f4300[_0x4e3bd1(0x23e0)](_0x4e3bd1(0x1755))||!_0x4f4300[_0x4e3bd1(0xff0)](_0x16df52(this))&&!_0x4f4300['hasRole'](_0x4e3bd1(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x1e51),{'id':0x44d,'title':_0x3f4ccb(0xa4d),'route':'squareOdbc','translate':'CALLYSQUARE.ODBC','state':_0x3f4ccb(0xa1f),'weight':0x2,'hidden':function(){const _0x59dd90=_0x3f4ccb;return _0x4f4300[_0x59dd90(0x23e0)](_0x59dd90(0x1755))||!_0x4f4300['hasPermission'](0x44d)&&!_0x4f4300[_0x59dd90(0x23e0)](_0x59dd90(0x174b));}}),_0x2218af['saveItem'](_0x3f4ccb(0x1b1a),{'id':0x44e,'title':_0x3f4ccb(0x10e3),'route':_0x3f4ccb(0x2222),'translate':_0x3f4ccb(0x2849),'state':'app.callysquare.squareRecordings','weight':0x3,'permissions':{'association':![],'loading':!![]},'hidden':function(){const _0x62d2f0=_0x3f4ccb;return _0x4f4300[_0x62d2f0(0x23e0)]('agent')||!_0x4f4300[_0x62d2f0(0x2434)](0x44e)&&!_0x4f4300['hasRole'](_0x62d2f0(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0xade),{'id':0x44f,'title':_0x3f4ccb(0x1ea),'route':_0x3f4ccb(0x9b1),'translate':_0x3f4ccb(0x124e),'state':_0x3f4ccb(0x14d0),'weight':0x1,'hidden':function(){const _0x4db0d4=_0x3f4ccb;return _0x4f4300[_0x4db0d4(0x23e0)](_0x4db0d4(0x1755))||!_0x4f4300[_0x4db0d4(0x2434)](0x44f)&&!_0x4f4300['hasRole'](_0x4db0d4(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x168),{'id':0x4b0,'title':_0x3f4ccb(0x15d5),'translate':_0x3f4ccb(0x26ed),'icon':_0x3f4ccb(0x9fd),'weight':0x10,'hidden':function(){const _0x359061=_0x3f4ccb;return _0x4f4300['hasRole']('agent')||!_0x4f4300[_0x359061(0xff0)](_0x16df52(this))&&!_0x4f4300[_0x359061(0x23e0)](_0x359061(0x174b));}}),_0x2218af['saveItem'](_0x3f4ccb(0x1a6f),{'id':0x4b1,'title':'Metrics','route':'analyticMetric','translate':'ANALYTICS.METRICS','state':'app.analytics.metrics','weight':0x3,'hidden':function(){const _0x35fc4e=_0x3f4ccb;return _0x4f4300[_0x35fc4e(0x23e0)](_0x35fc4e(0x1755))||!_0x4f4300[_0x35fc4e(0x2434)](0x4b1)&&!_0x4f4300[_0x35fc4e(0x23e0)](_0x35fc4e(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)]('apps.analytics.extractedReports',{'id':0x4b2,'title':_0x3f4ccb(0x1d35),'route':_0x3f4ccb(0xc5d),'translate':'ANALYTICS.EXTRACTEDREPORTS','state':_0x3f4ccb(0x8fb),'weight':0x2,'permissions':{'association':![],'loading':!![]},'hidden':function(){const _0x43d3d0=_0x3f4ccb;return _0x4f4300['hasRole'](_0x43d3d0(0x1755))||!_0x4f4300[_0x43d3d0(0x2434)](0x4b2)&&!_0x4f4300[_0x43d3d0(0x23e0)](_0x43d3d0(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)]('apps.analytics.reports',{'id':0x4b3,'title':_0x3f4ccb(0xc20),'route':'','translate':_0x3f4ccb(0x2a0),'state':_0x3f4ccb(0x25c0),'weight':0x1,'hidden':function(){const _0x124ae4=_0x3f4ccb;return _0x4f4300[_0x124ae4(0x23e0)](_0x124ae4(0x1755))||!_0x4f4300['hasPermission'](0x4b3)&&!_0x4f4300[_0x124ae4(0x23e0)](_0x124ae4(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x1ca8),{'id':0x514,'title':_0x3f4ccb(0x1e43),'translate':_0x3f4ccb(0x253f),'icon':_0x3f4ccb(0x10b4),'weight':0x11,'hidden':function(){const _0x2c70c3=_0x3f4ccb;return _0x4f4300[_0x2c70c3(0x23e0)]('agent')||!_0x4f4300['hasChildrenPermissions'](_0x16df52(this))&&!_0x4f4300[_0x2c70c3(0x23e0)](_0x2c70c3(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0xdc1),{'id':0x515,'title':_0x3f4ccb(0x1fc),'route':'intZendeskAccount','translate':_0x3f4ccb(0x2060),'state':_0x3f4ccb(0xbd4),'weight':0x1,'permissions':{'association':![],'loading':!![]},'hidden':function(){const _0x401bab=_0x3f4ccb;return _0x4f4300['hasRole'](_0x401bab(0x1755))||!_0x4f4300[_0x401bab(0x2434)](0x515)&&!_0x4f4300[_0x401bab(0x23e0)]('admin');}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x20d7),{'id':0x516,'title':_0x3f4ccb(0x2938),'route':'intSalesforceAccount','translate':_0x3f4ccb(0x794),'state':_0x3f4ccb(0x991),'weight':0x2,'permissions':{'association':![],'loading':!![]},'hidden':function(){const _0x1d654a=_0x3f4ccb;return _0x4f4300[_0x1d654a(0x23e0)]('agent')||!_0x4f4300['hasPermission'](0x516)&&!_0x4f4300[_0x1d654a(0x23e0)](_0x1d654a(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x1130),{'id':0x517,'title':_0x3f4ccb(0x207),'route':'intFreshdeskAccount','translate':'INTEGRATIONS.FRESHDESKACCOUNTS','state':_0x3f4ccb(0x229d),'weight':0x3,'permissions':{'association':![],'loading':!![]},'hidden':function(){const _0x2c0861=_0x3f4ccb;return _0x4f4300[_0x2c0861(0x23e0)](_0x2c0861(0x1755))||!_0x4f4300['hasPermission'](0x517)&&!_0x4f4300['hasRole']('admin');}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x24e1),{'id':0x519,'title':_0x3f4ccb(0x12f0),'route':'intSugarcrmAccount','translate':_0x3f4ccb(0x10a9),'state':_0x3f4ccb(0x289c),'weight':0x4,'permissions':{'association':![],'loading':!![]},'hidden':function(){const _0x2bcaab=_0x3f4ccb;return _0x4f4300[_0x2bcaab(0x23e0)](_0x2bcaab(0x1755))||!_0x4f4300['hasPermission'](0x519)&&!_0x4f4300[_0x2bcaab(0x23e0)](_0x2bcaab(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x19bf),{'id':0x51a,'title':_0x3f4ccb(0xecc),'route':'intDeskAccount','translate':_0x3f4ccb(0x1d19),'state':_0x3f4ccb(0x1df8),'weight':0x5,'permissions':{'association':![],'loading':!![]},'hidden':function(){const _0x23db3b=_0x3f4ccb;return _0x4f4300[_0x23db3b(0x23e0)](_0x23db3b(0x1755))||!_0x4f4300[_0x23db3b(0x2434)](0x51a)&&!_0x4f4300[_0x23db3b(0x23e0)](_0x23db3b(0x174b));}}),_0x2218af['saveItem'](_0x3f4ccb(0xe98),{'id':0x51b,'title':'ZohoAccounts','route':'intZohoAccount','translate':_0x3f4ccb(0xac2),'state':_0x3f4ccb(0x17b3),'weight':0x6,'permissions':{'association':![],'loading':!![]},'hidden':function(){const _0x29f95b=_0x3f4ccb;return _0x4f4300[_0x29f95b(0x23e0)](_0x29f95b(0x1755))||!_0x4f4300[_0x29f95b(0x2434)](0x51b)&&!_0x4f4300[_0x29f95b(0x23e0)](_0x29f95b(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x2020),{'id':0x51c,'title':_0x3f4ccb(0xdbf),'route':_0x3f4ccb(0xf8d),'translate':'INTEGRATIONS.VTIGERACCOUNTS','state':'app.integrations.vtigerAccounts','weight':0x7,'permissions':{'association':![],'loading':!![]},'hidden':function(){const _0x556c5c=_0x3f4ccb;return _0x4f4300[_0x556c5c(0x23e0)](_0x556c5c(0x1755))||!_0x4f4300[_0x556c5c(0x2434)](0x51c)&&!_0x4f4300['hasRole']('admin');}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x24ac),{'id':0x51d,'title':_0x3f4ccb(0x47d),'route':_0x3f4ccb(0xdb4),'translate':_0x3f4ccb(0x259),'state':_0x3f4ccb(0x1881),'weight':0x8,'permissions':{'association':![],'loading':!![]},'hidden':function(){const _0x4dd971=_0x3f4ccb;return _0x4f4300[_0x4dd971(0x23e0)](_0x4dd971(0x1755))||!_0x4f4300[_0x4dd971(0x2434)](0x51d)&&!_0x4f4300[_0x4dd971(0x23e0)](_0x4dd971(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)]('apps.integrations.servicenowAccounts',{'id':0x51e,'title':'ServicenowAccounts','route':_0x3f4ccb(0xbd9),'translate':_0x3f4ccb(0x9bf),'state':'app.integrations.servicenowAccounts','weight':0x9,'permissions':{'association':![],'loading':!![]},'hidden':function(){const _0x336058=_0x3f4ccb;return _0x4f4300[_0x336058(0x23e0)](_0x336058(0x1755))||!_0x4f4300['hasPermission'](0x51e)&&!_0x4f4300[_0x336058(0x23e0)](_0x336058(0x174b));}}),_0x2218af['saveItem'](_0x3f4ccb(0xf50),{'id':0x518,'title':'FreshsalesAccounts','route':_0x3f4ccb(0x219f),'translate':'INTEGRATIONS.FRESHSALESACCOUNTS','state':_0x3f4ccb(0x21f9),'weight':0xa,'permissions':{'association':![],'loading':!![]},'hidden':function(){const _0xebb866=_0x3f4ccb;return _0x4f4300[_0xebb866(0x23e0)](_0xebb866(0x1755))||!_0x4f4300[_0xebb866(0x2434)](0x518)&&!_0x4f4300[_0xebb866(0x23e0)]('admin');}}),_0x2218af[_0x3f4ccb(0x150e)]('apps.settings',{'id':0x578,'title':_0x3f4ccb(0x24f4),'translate':_0x3f4ccb(0x22b5),'icon':'icon-cog','weight':0x14,'permissions':{'hidden':!![]},'hidden':function(){const _0x1e89f3=_0x3f4ccb;return _0x4f4300[_0x1e89f3(0x23e0)](_0x1e89f3(0x1755))||!_0x4f4300['hasPermission'](0x578)&&!_0x4f4300[_0x1e89f3(0x23e0)](_0x1e89f3(0x174b))||_0x4f4300[_0x1e89f3(0x23e0)](_0x1e89f3(0x174b))&&!_0x4f4300['getCurrentUser']()[_0x1e89f3(0x1da6)];}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x1bda),{'id':0x579,'title':_0x3f4ccb(0x41a),'route':_0x3f4ccb(0x15b9),'translate':_0x3f4ccb(0x18ef),'state':_0x3f4ccb(0x1e1),'weight':0x1,'hidden':function(){const _0x4ad056=_0x3f4ccb;return _0x4f4300[_0x4ad056(0x23e0)](_0x4ad056(0x1755))||!_0x4f4300[_0x4ad056(0x2434)](0x578)&&!_0x4f4300[_0x4ad056(0x23e0)](_0x4ad056(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x1b7c),{'id':0x57a,'title':'Smtp','route':'mailAccount','translate':_0x3f4ccb(0x2153),'state':_0x3f4ccb(0x1174),'weight':0x1,'hidden':function(){const _0x31fc73=_0x3f4ccb;return _0x4f4300[_0x31fc73(0x23e0)]('agent')||!_0x4f4300[_0x31fc73(0x2434)](0x578)&&!_0x4f4300[_0x31fc73(0x23e0)]('admin');}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x17c2),{'id':0x57b,'title':_0x3f4ccb(0xfd5),'route':'network','translate':_0x3f4ccb(0x1191),'state':_0x3f4ccb(0xe1c),'weight':0x1,'hidden':function(){const _0xdd483d=_0x3f4ccb;return _0x4f4300[_0xdd483d(0x23e0)](_0xdd483d(0x1755))||!_0x4f4300[_0xdd483d(0x2434)](0x578)&&!_0x4f4300[_0xdd483d(0x23e0)](_0xdd483d(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)]('apps.settings.customizations',{'id':0x57f,'title':_0x3f4ccb(0x12a0),'route':_0x3f4ccb(0x15b9),'translate':_0x3f4ccb(0x238b),'state':_0x3f4ccb(0xd74),'weight':0x1,'hidden':function(){const _0x51941a=_0x3f4ccb;return!_0x134e48[_0x51941a(0x1802)]||_0x4f4300[_0x51941a(0x23e0)](_0x51941a(0x1755))||!_0x4f4300['hasPermission'](0x578)&&!_0x4f4300['hasRole'](_0x51941a(0x174b));}}),_0x2218af['saveItem'](_0x3f4ccb(0x1136),{'id':0x580,'title':_0x3f4ccb(0x27aa),'route':_0x3f4ccb(0x605),'translate':_0x3f4ccb(0x1c98),'state':_0x3f4ccb(0x2004),'weight':0x1,'hidden':function(){const _0x7c5ca6=_0x3f4ccb;return!_0x134e48['ai']||_0x4f4300[_0x7c5ca6(0x23e0)](_0x7c5ca6(0x1755))||!_0x4f4300[_0x7c5ca6(0x2434)](0x578)&&!_0x4f4300[_0x7c5ca6(0x23e0)](_0x7c5ca6(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)]('apps.settings.updates',{'id':0x57c,'title':_0x3f4ccb(0x18eb),'route':'','translate':_0x3f4ccb(0x415),'state':_0x3f4ccb(0x259e),'weight':0x1,'hidden':function(){const _0xc0ab2=_0x3f4ccb;return!_0x134e48[_0xc0ab2(0x18e1)]||_0x4f4300['hasRole'](_0xc0ab2(0x1755))||!_0x4f4300[_0xc0ab2(0x2434)](0x578)&&!_0x4f4300[_0xc0ab2(0x23e0)]('admin');}}),_0x2218af['saveItem'](_0x3f4ccb(0x7b5),{'id':0x57d,'title':_0x3f4ccb(0x1dd9),'route':'','translate':'SETTINGS.LICENSE','state':_0x3f4ccb(0x198b),'weight':0x1,'hidden':function(){const _0x346ed8=_0x3f4ccb;return _0x4f4300[_0x346ed8(0x23e0)](_0x346ed8(0x1755))||!_0x4f4300[_0x346ed8(0x2434)](0x578)&&!_0x4f4300['hasRole'](_0x346ed8(0x174b));}}),_0x2218af['saveItem']('apps.settings.system',{'id':0x57e,'title':'System','route':'','translate':_0x3f4ccb(0x1110),'state':_0x3f4ccb(0x1c74),'weight':0x1,'hidden':function(){const _0x319c66=_0x3f4ccb;return _0x4f4300['hasRole'](_0x319c66(0x1755))||!_0x4f4300['hasPermission'](0x578)&&!_0x4f4300['hasRole'](_0x319c66(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x22f4),{'id':0x5dc,'title':_0x3f4ccb(0x1fdf),'translate':_0x3f4ccb(0x1abb),'icon':'icon-fire','weight':0x5,'license':_0x3f4ccb(0x2842),'hidden':function(){const _0x3070a3=_0x3f4ccb;return!_0x134e48[_0x3070a3(0x2842)]||_0x4f4300[_0x3070a3(0x23e0)](_0x3070a3(0x1755))||!_0x4f4300[_0x3070a3(0xff0)](_0x16df52(this))&&!_0x4f4300[_0x3070a3(0x23e0)](_0x3070a3(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x756),{'id':0x5dd,'title':_0x3f4ccb(0x15d6),'route':_0x3f4ccb(0x1446),'translate':_0x3f4ccb(0x224),'state':'app.motiondialer.queueCampaigns','weight':0x1,'channel':'bull','hidden':function(){const _0x29cd45=_0x3f4ccb;return _0x4f4300[_0x29cd45(0x23e0)](_0x29cd45(0x1755))||!_0x4f4300['hasPermission'](0x5dd)&&!_0x4f4300[_0x29cd45(0x23e0)](_0x29cd45(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)]('apps.motiondialer.ivrCampaigns',{'id':0x5de,'title':_0x3f4ccb(0x27f9),'route':_0x3f4ccb(0x8dc),'translate':_0x3f4ccb(0x1cac),'state':'app.motiondialer.ivrCampaigns','weight':0x1,'hidden':function(){const _0xc2b0df=_0x3f4ccb;return _0x4f4300[_0xc2b0df(0x23e0)](_0xc2b0df(0x1755))||!_0x4f4300[_0xc2b0df(0x2434)](0x5de)&&!_0x4f4300[_0xc2b0df(0x23e0)](_0xc2b0df(0x174b));}}),_0x2218af['saveItem'](_0x3f4ccb(0x15b2),{'id':0x5e6,'title':_0x3f4ccb(0x15ab),'route':'','translate':'MOTIONDIALER.REALTIME','state':_0x3f4ccb(0x595),'weight':0x1,'permissions':{'association':![],'crud':![]},'hidden':function(){const _0x506a2e=_0x3f4ccb;return _0x4f4300[_0x506a2e(0x23e0)]('agent')||!_0x4f4300['hasPermission'](0x5e6)&&!_0x4f4300[_0x506a2e(0x23e0)](_0x506a2e(0x174b));}}),_0x2218af['saveItem'](_0x3f4ccb(0x154e),{'id':0x640,'title':_0x3f4ccb(0x22ab),'translate':_0x3f4ccb(0xab9),'icon':_0x3f4ccb(0x2057),'weight':0x15,'permissions':{'association':![]},'hidden':function(){const _0x2aa05b=_0x3f4ccb;return _0x4f4300[_0x2aa05b(0x23e0)](_0x2aa05b(0x1755))||!_0x4f4300['hasPermission'](0x640)&&!_0x4f4300[_0x2aa05b(0x23e0)](_0x2aa05b(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x26b0),{'id':0x641,'title':_0x3f4ccb(0x20d9),'route':'','translate':_0x3f4ccb(0x25fb),'state':_0x3f4ccb(0x2542),'weight':0x1,'hidden':function(){const _0x4364fc=_0x3f4ccb;return _0x4f4300[_0x4364fc(0x23e0)](_0x4364fc(0x1755))||!_0x4f4300['hasPermission'](0x640)&&!_0x4f4300['hasRole'](_0x4364fc(0x174b));}}),_0x2218af['saveItem'](_0x3f4ccb(0x267b),{'id':0x6a4,'title':_0x3f4ccb(0x1640),'translate':'JSCRIPTY.JSCRIPTY','icon':'icon-script','weight':0xe,'license':_0x3f4ccb(0x1640),'hidden':function(){const _0x1d025a=_0x3f4ccb;return!_0x134e48['jscripty']||_0x4f4300[_0x1d025a(0x23e0)](_0x1d025a(0x1755))||!_0x4f4300[_0x1d025a(0xff0)](_0x16df52(this))&&!_0x4f4300[_0x1d025a(0x23e0)](_0x1d025a(0x174b));}}),_0x2218af['saveItem'](_0x3f4ccb(0xf0c),{'id':0x6a5,'title':_0x3f4ccb(0x1ea),'route':'jscriptyProject','translate':_0x3f4ccb(0xf4b),'state':_0x3f4ccb(0x19a2),'weight':0x1,'hidden':function(){const _0x562fea=_0x3f4ccb;return _0x4f4300[_0x562fea(0x23e0)](_0x562fea(0x1755))||!_0x4f4300[_0x562fea(0x2434)](0x6a5)&&!_0x4f4300[_0x562fea(0x23e0)](_0x562fea(0x174b));}}),_0x2218af['saveItem']('apps.marketplace',{'id':0x708,'title':_0x3f4ccb(0xa3f),'translate':'MARKETPLACE.MARKETPLACE','icon':_0x3f4ccb(0x23d9),'weight':0x12,'hidden':function(){const _0x901a90=_0x3f4ccb;return _0x4f4300['hasRole']('agent')||!_0x4f4300['hasChildrenPermissions'](_0x16df52(this))&&!_0x4f4300[_0x901a90(0x23e0)](_0x901a90(0x174b));}}),_0x2218af['saveItem'](_0x3f4ccb(0x19a5),{'id':0x709,'title':_0x3f4ccb(0x40f),'route':_0x3f4ccb(0x5f5),'translate':_0x3f4ccb(0x231e),'state':_0x3f4ccb(0x2923),'weight':0x1,'hidden':function(){const _0x31dca8=_0x3f4ccb;return _0x4f4300[_0x31dca8(0x23e0)](_0x31dca8(0x1755))||!_0x4f4300[_0x31dca8(0x2434)](0x709)&&!_0x4f4300[_0x31dca8(0x23e0)](_0x31dca8(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x2365),{'id':0x7d0,'title':_0x3f4ccb(0x1ed2),'translate':_0x3f4ccb(0x2024),'icon':_0x3f4ccb(0xeea),'weight':0xc,'license':_0x3f4ccb(0x1ca2),'hidden':function(){const _0x43592c=_0x3f4ccb;return!_0x134e48[_0x43592c(0x1ca2)]||_0x4f4300[_0x43592c(0x23e0)]('agent')||!_0x4f4300[_0x43592c(0xff0)](_0x16df52(this))&&!_0x4f4300[_0x43592c(0x23e0)](_0x43592c(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0xaec),{'id':0x7d1,'title':_0x3f4ccb(0x17a8),'route':'screenRecording','translate':_0x3f4ccb(0xfc7),'state':_0x3f4ccb(0x1b25),'weight':0x1,'permissions':{'association':![],'loading':!![]},'hidden':function(){const _0x2840a6=_0x3f4ccb;return _0x4f4300[_0x2840a6(0x23e0)](_0x2840a6(0x1755))||!_0x4f4300[_0x2840a6(0x2434)](0x7d1)&&!_0x4f4300[_0x2840a6(0x23e0)](_0x2840a6(0x174b));}}),_0x2218af['saveItem'](_0x3f4ccb(0x150),{'id':0x834,'title':_0x3f4ccb(0x113a),'translate':_0x3f4ccb(0x7ee),'icon':'icon-whatsapp','weight':0xb,'license':'whatsapp','hidden':function(){const _0x325b6b=_0x3f4ccb;return!_0x134e48[_0x325b6b(0xff9)]||_0x4f4300[_0x325b6b(0x23e0)](_0x325b6b(0x1755))||!_0x4f4300[_0x325b6b(0xff0)](_0x16df52(this))&&!_0x4f4300[_0x325b6b(0x23e0)](_0x325b6b(0x174b));}}),_0x2218af['saveItem'](_0x3f4ccb(0xee6),{'id':0x835,'title':_0x3f4ccb(0xef),'route':_0x3f4ccb(0x1b3b),'translate':'WHATSAPP.WHATSAPPQUEUES','state':_0x3f4ccb(0x262e),'weight':0x1,'channel':_0x3f4ccb(0xff9),'hidden':function(){const _0x567925=_0x3f4ccb;return _0x4f4300[_0x567925(0x23e0)]('agent')||!_0x4f4300[_0x567925(0x2434)](0x835)&&!_0x4f4300[_0x567925(0x23e0)](_0x567925(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)](_0x3f4ccb(0x1c2d),{'id':0x836,'title':_0x3f4ccb(0xc7c),'route':'whatsappAccount','translate':'WHATSAPP.WHATSAPPACCOUNTS','state':_0x3f4ccb(0x5cd),'weight':0x1,'channel':_0x3f4ccb(0xff9),'hidden':function(){const _0x3bc040=_0x3f4ccb;return _0x4f4300[_0x3bc040(0x23e0)](_0x3bc040(0x1755))||!_0x4f4300[_0x3bc040(0x2434)](0x836)&&!_0x4f4300[_0x3bc040(0x23e0)](_0x3bc040(0x174b));}}),_0x2218af[_0x3f4ccb(0x150e)]('apps.whatsapp.realtime',{'id':0x83e,'title':'Realtime','route':'','translate':'APP.REALTIME','state':_0x3f4ccb(0x2829),'weight':0x1,'permissions':{'association':![],'crud':![]},'hidden':function(){const _0x24b5fd=_0x3f4ccb;return _0x4f4300[_0x24b5fd(0x23e0)](_0x24b5fd(0x1755))||!_0x4f4300[_0x24b5fd(0x2434)](0x83e)&&!_0x4f4300['hasRole']('admin');}}),_0x2218af[_0x3f4ccb(0x28fa)](),_0x1df849[_0x3f4ccb(0x150e)](_0x3f4ccb(0x8b0),{'title':_0x3f4ccb(0x26b7),'group':!![],'weight':0x1}),_0x1df849[_0x3f4ccb(0x150e)](_0x3f4ccb(0x1c43),{'id':0x64,'title':'Home','translate':_0x3f4ccb(0x2370),'icon':'icon-home','weight':0x1}),_0x1df849['saveItem'](_0x3f4ccb(0x24ee),{'id':0x65,'title':'Dashboard','translate':_0x3f4ccb(0x19bc),'state':_0x3f4ccb(0x130),'view':_0x3f4ccb(0x130),'icon':_0x3f4ccb(0x1f94),'iconClass':_0x3f4ccb(0x271f),'weight':0x1,'hidden':function(){const _0x6fb0c=_0x3f4ccb;return!_0x4f4300[_0x6fb0c(0x2434)](0x65);}}),_0x1df849[_0x3f4ccb(0x150e)](_0x3f4ccb(0x2272),{'id':0x66,'title':'Contacts','translate':_0x3f4ccb(0x1811),'state':_0x3f4ccb(0x1471),'view':_0x3f4ccb(0x1471),'icon':_0x3f4ccb(0x130a),'iconClass':_0x3f4ccb(0x245c),'weight':0x2,'hidden':function(){const _0x49a10f=_0x3f4ccb;return!_0x134e48['cm']||!_0x4f4300[_0x49a10f(0x2434)](0x66);}}),_0x1df849[_0x3f4ccb(0x150e)](_0x3f4ccb(0x590),{'id':0x67,'title':_0x3f4ccb(0x1efa),'translate':'DASHBOARDS.MYCONTACTS','state':_0x3f4ccb(0x14e6),'view':'mycontacts','icon':_0x3f4ccb(0x1c49),'iconClass':_0x3f4ccb(0x245c),'weight':0x3,'hidden':function(){const _0x23aa76=_0x3f4ccb;return!_0x134e48['cm']||!_0x4f4300[_0x23aa76(0x2434)](0x67);}}),_0x1df849[_0x3f4ccb(0x150e)]('apps.home.scheduled',{'id':0x71,'title':_0x3f4ccb(0x759),'translate':_0x3f4ccb(0x17b2),'state':_0x3f4ccb(0x10dc),'view':_0x3f4ccb(0x10dc),'icon':'icon-calendar-clock','iconClass':_0x3f4ccb(0x1214),'weight':0x3,'hidden':function(){const _0x463593=_0x3f4ccb;return!_0x134e48[_0x463593(0x2842)]||!_0x4f4300[_0x463593(0x2434)](0x71);}}),_0x1df849['saveItem'](_0x3f4ccb(0x1a1),{'id':0x6e,'title':_0x3f4ccb(0x127d),'translate':_0x3f4ccb(0x16ae),'state':_0x3f4ccb(0xe6),'view':_0x3f4ccb(0xe6),'icon':'icon-phone','iconClass':_0x3f4ccb(0x5fe),'weight':0x4,'hidden':function(){const _0x1e8965=_0x3f4ccb;return!_0x134e48[_0x1e8965(0xe6)]||!_0x4f4300['hasPermission'](0x6e);}}),_0x1df849['saveItem'](_0x3f4ccb(0x2128),{'id':0x6f,'title':_0x3f4ccb(0x1933),'translate':_0x3f4ccb(0x14fe),'state':_0x3f4ccb(0x521),'view':'abandoned','icon':_0x3f4ccb(0x28c4),'iconClass':_0x3f4ccb(0x2602),'weight':0x5,'hidden':function(){const _0x2355d7=_0x3f4ccb;return!_0x134e48[_0x2355d7(0xe6)]||!_0x4f4300[_0x2355d7(0x2434)](0x6f);}}),_0x1df849[_0x3f4ccb(0x150e)](_0x3f4ccb(0x15d9),{'id':0x68,'title':_0x3f4ccb(0xd72),'translate':'DASHBOARDS.RECORDINGS','state':_0x3f4ccb(0x1f8d),'view':'recordings','icon':_0x3f4ccb(0x1096),'iconClass':_0x3f4ccb(0x1da5),'weight':0x6,'hidden':function(){const _0xf7746e=_0x3f4ccb;return!_0x134e48['voice']||!_0x4f4300[_0xf7746e(0x2434)](0x68);}}),_0x1df849[_0x3f4ccb(0x150e)](_0x3f4ccb(0x1fd5),{'id':0x69,'title':_0x3f4ccb(0x712),'translate':'DASHBOARDS.CHAT','state':_0x3f4ccb(0xa7f),'view':_0x3f4ccb(0xa7f),'icon':_0x3f4ccb(0x1bed),'iconClass':_0x3f4ccb(0x1290),'weight':0x7,'hidden':function(){const _0x332bab=_0x3f4ccb;return!_0x134e48['chat']||!_0x4f4300[_0x332bab(0x2434)](0x69);}}),_0x1df849[_0x3f4ccb(0x150e)](_0x3f4ccb(0x1ef8),{'id':0x6a,'title':_0x3f4ccb(0x3b1),'translate':_0x3f4ccb(0x2934),'state':_0x3f4ccb(0x56b),'view':'mail','icon':_0x3f4ccb(0x1c3d),'iconClass':_0x3f4ccb(0xa78),'weight':0x8,'hidden':function(){const _0x3e4fd9=_0x3f4ccb;return!_0x134e48[_0x3e4fd9(0x56b)]||!_0x4f4300[_0x3e4fd9(0x2434)](0x6a);}}),_0x1df849[_0x3f4ccb(0x150e)](_0x3f4ccb(0x1155),{'id':0x6b,'title':_0x3f4ccb(0x10f8),'translate':_0x3f4ccb(0x797),'state':_0x3f4ccb(0x929),'view':_0x3f4ccb(0x929),'icon':_0x3f4ccb(0x1580),'iconClass':_0x3f4ccb(0x1879),'weight':0x9,'hidden':function(){const _0x16fb9e=_0x3f4ccb;return!_0x134e48[_0x16fb9e(0x25ca)]||!_0x4f4300[_0x16fb9e(0x2434)](0x6b);}}),_0x1df849[_0x3f4ccb(0x150e)](_0x3f4ccb(0x204f),{'id':0x6c,'title':'Openchannel','translate':_0x3f4ccb(0x189c),'state':_0x3f4ccb(0x7d9),'view':_0x3f4ccb(0x7d9),'icon':_0x3f4ccb(0x9b8),'iconClass':_0x3f4ccb(0x1d73),'weight':0xa,'hidden':function(){const _0x26301d=_0x3f4ccb;return!_0x134e48[_0x26301d(0x7d9)]||!_0x4f4300[_0x26301d(0x2434)](0x6c);}}),_0x1df849['saveItem'](_0x3f4ccb(0x16d0),{'id':0x6d,'title':_0x3f4ccb(0xe86),'translate':_0x3f4ccb(0x8fc),'state':_0x3f4ccb(0x22d9),'view':_0x3f4ccb(0x22d9),'icon':_0x3f4ccb(0xc0e),'iconClass':_0x3f4ccb(0x141d),'weight':0xb,'hidden':function(){const _0x45ab56=_0x3f4ccb;return!_0x134e48[_0x45ab56(0x22d9)]||!_0x4f4300[_0x45ab56(0x2434)](0x6d);}}),_0x1df849['saveItem'](_0x3f4ccb(0x1c8c),{'id':0x72,'title':_0x3f4ccb(0x113a),'translate':_0x3f4ccb(0xc06),'state':_0x3f4ccb(0xff9),'view':_0x3f4ccb(0xff9),'icon':_0x3f4ccb(0x28bf),'iconClass':_0x3f4ccb(0xfdd),'weight':0xc,'hidden':function(){const _0x94e602=_0x3f4ccb;return!_0x134e48[_0x94e602(0xff9)]||!_0x4f4300[_0x94e602(0x2434)](0x72);}}),_0x2fc36c[_0x3f4ccb(0x16ad)](_0x3f4ccb(0x1787),function(_0x3419b4){const _0x58c437=_0x3f4ccb;_0x3419b4[_0x58c437(0x139d)][_0x58c437(0x1132)]===_0x2fc36c[_0x58c437(0x1132)]&&_0x573ef7[_0x58c437(0x25a4)](_0x58c437(0x26ab));});}const _0x3f7ec7=_0x3acbf7;;const _0x238e6f=['angular-toasty',_0x313a4d(0x283b),_0x313a4d(0x2513),'app.navigation',_0x313a4d(0x10a4),_0x313a4d(0xe87),_0x313a4d(0x197b),'app.footer',_0x313a4d(0x2843),'app.staff',_0x313a4d(0x1d7a),_0x313a4d(0x2494),_0x313a4d(0x1f22),_0x313a4d(0x19d5),_0x313a4d(0x6c1),'app.openchannel',_0x313a4d(0x28d9),_0x313a4d(0xe84),_0x313a4d(0xea4),_0x313a4d(0x1925),_0x313a4d(0x112b),'app.settings',_0x313a4d(0x234d),_0x313a4d(0x23ba),'app.jscripty',_0x313a4d(0x9f8),_0x313a4d(0xa59),_0x313a4d(0x5dc),_0x313a4d(0x678),_0x313a4d(0x14a4),_0x313a4d(0x1348),_0x313a4d(0x247d),'app.errors'];angular['module']('motion',_0x238e6f)[_0x313a4d(0x1750)](_0x313a4d(0x247f),_0x17141b)[_0x313a4d(0x989)](_0x3b1600)[_0x313a4d(0x28f0)](_0x313a4d(0x1372),_0xabe46b)[_0x313a4d(0x1dd6)]('limitObjectFromTo',_0x32ac7e)[_0x313a4d(0x1dd6)](_0x313a4d(0x93b),_0x2311a0)[_0x313a4d(0x1750)](_0x313a4d(0x15f6),_0x364b4a)[_0x313a4d(0x1750)](_0x313a4d(0x1768),_0x2e3f54)[_0x313a4d(0x1750)](_0x313a4d(0x2dc),_0x5c1c49)[_0x313a4d(0x989)](_0x32076e)[_0x313a4d(0x1caa)](_0x3aedd6)[_0x313a4d(0x1750)](_0x313a4d(0x279d),_0x3daa89)['controller'](_0x313a4d(0xda4),_0x3f7ec7);},0x1a2c:(_0x2e5cce,_0x408bee,_0x1f72bf)=>{const _0x3e9e0c=a0_0x54e64e;var _0x42c3ad={'./af':0xae2,'./af.js':0xae2,'./ar':0x363,'./ar-dz':0x1022,'./ar-dz.js':0x1022,'./ar-kw':0x17f7,'./ar-kw.js':0x17f7,'./ar-ly':0x1928,'./ar-ly.js':0x1928,'./ar-ma':0x1e16,'./ar-ma.js':0x1e16,'./ar-sa':0x1798,'./ar-sa.js':0x1798,'./ar-tn':0x1bbc,'./ar-tn.js':0x1bbc,'./ar.js':0x363,'./az':0x43b,'./az.js':0x43b,'./be':0x2650,'./be.js':0x2650,'./bg':0x2092,'./bg.js':0x2092,'./bm':0x1d0e,'./bm.js':0x1d0e,'./bn':0x22c9,'./bn-bd':0x1851,'./bn-bd.js':0x1851,'./bn.js':0x22c9,'./bo':0x618,'./bo.js':0x618,'./br':0x4fe,'./br.js':0x4fe,'./bs':0x26e,'./bs.js':0x26e,'./ca':0x9a4,'./ca.js':0x9a4,'./cs':0x16be,'./cs.js':0x16be,'./cv':0x36d,'./cv.js':0x36d,'./cy':0x1ccd,'./cy.js':0x1ccd,'./da':0x12ac,'./da.js':0x12ac,'./de':0x260c,'./de-at':0xd9,'./de-at.js':0xd9,'./de-ch':0x37e,'./de-ch.js':0x37e,'./de.js':0x260c,'./dv':0x14b4,'./dv.js':0x14b4,'./el':0x345,'./el.js':0x345,'./en-au':0x209c,'./en-au.js':0x209c,'./en-ca':0x1ef5,'./en-ca.js':0x1ef5,'./en-gb':0x8c3,'./en-gb.js':0x8c3,'./en-ie':0x1924,'./en-ie.js':0x1924,'./en-il':0x1c27,'./en-il.js':0x1c27,'./en-in':0x104f,'./en-in.js':0x104f,'./en-nz':0x18af,'./en-nz.js':0x18af,'./en-sg':0x67e,'./en-sg.js':0x67e,'./eo':0xb63,'./eo.js':0xb63,'./es':0x1617,'./es-do':0x1483,'./es-do.js':0x1483,'./es-mx':0x17e0,'./es-mx.js':0x17e0,'./es-us':0x47a,'./es-us.js':0x47a,'./es.js':0x1617,'./et':0x15e3,'./et.js':0x15e3,'./eu':0x1e53,'./eu.js':0x1e53,'./fa':0x1b2f,'./fa.js':0x1b2f,'./fi':0x769,'./fi.js':0x769,'./fil':0x9f5,'./fil.js':0x9f5,'./fo':0x1256,'./fo.js':0x1256,'./fr':0x1176,'./fr-ca':0xbe9,'./fr-ca.js':0xbe9,'./fr-ch':0x91a,'./fr-ch.js':0x91a,'./fr.js':0x1176,'./fy':0x13b4,'./fy.js':0x13b4,'./ga':0x244f,'./ga.js':0x244f,'./gd':0x835,'./gd.js':0x835,'./gl':0x225a,'./gl.js':0x225a,'./gom-deva':0x1ecc,'./gom-deva.js':0x1ecc,'./gom-latn':0xc60,'./gom-latn.js':0xc60,'./gu':0x14e5,'./gu.js':0x14e5,'./he':0x106e,'./he.js':0x106e,'./hi':0x5e,'./hi.js':0x5e,'./hr':0x13c,'./hr.js':0x13c,'./hu':0x85a,'./hu.js':0x85a,'./hy-am':0x58f,'./hy-am.js':0x58f,'./id':0x2402,'./id.js':0x2402,'./is':0x87,'./is.js':0x87,'./it':0x272,'./it-ch':0x96,'./it-ch.js':0x96,'./it.js':0x272,'./ja':0x23df,'./ja.js':0x23df,'./jv':0x10be,'./jv.js':0x10be,'./ka':0x839,'./ka.js':0x839,'./kk':0x1e5c,'./kk.js':0x1e5c,'./km':0x2236,'./km.js':0x2236,'./kn':0x2442,'./kn.js':0x2442,'./ko':0xe92,'./ko.js':0xe92,'./ku':0x580,'./ku.js':0x580,'./ky':0xcdb,'./ky.js':0xcdb,'./lb':0x1ab9,'./lb.js':0x1ab9,'./lo':0x155a,'./lo.js':0x155a,'./lt':0x1b62,'./lt.js':0x1b62,'./lv':0x1dab,'./lv.js':0x1dab,'./me':0x2685,'./me.js':0x2685,'./mi':0x1575,'./mi.js':0x1575,'./mk':0x174e,'./mk.js':0x174e,'./ml':0x1cad,'./ml.js':0x1cad,'./mn':0x13fb,'./mn.js':0x13fb,'./mr':0x172,'./mr.js':0x172,'./ms':0x2677,'./ms-my':0x4d5,'./ms-my.js':0x4d5,'./ms.js':0x2677,'./mt':0x84e,'./mt.js':0x84e,'./my':0x1815,'./my.js':0x1815,'./nb':0x133c,'./nb.js':0x133c,'./ne':0x1a58,'./ne.js':0x1a58,'./nl':0xf3d,'./nl-be':0x2656,'./nl-be.js':0x2656,'./nl.js':0xf3d,'./nn':0xf25,'./nn.js':0xf25,'./oc-lnc':0x857,'./oc-lnc.js':0x857,'./pa-in':0x16e2,'./pa-in.js':0x16e2,'./pl':0x118f,'./pl.js':0x118f,'./pt':0x2530,'./pt-br':0x1f23,'./pt-br.js':0x1f23,'./pt.js':0x2530,'./ro':0x193b,'./ro.js':0x193b,'./ru':0x701,'./ru.js':0x701,'./sd':0x3b6,'./sd.js':0x3b6,'./se':0x1ea,'./se.js':0x1ea,'./si':0x7c,'./si.js':0x7c,'./sk':0x1099,'./sk.js':0x1099,'./sl':0x1379,'./sl.js':0x1379,'./sq':0x450,'./sq.js':0x450,'./sr':0x23ab,'./sr-cyrl':0x26bb,'./sr-cyrl.js':0x26bb,'./sr.js':0x23ab,'./ss':0x1705,'./ss.js':0x1705,'./sv':0x2238,'./sv.js':0x2238,'./sw':0x494,'./sw.js':0x494,'./ta':0x1ca5,'./ta.js':0x1ca5,'./te':0xc26,'./te.js':0xc26,'./tet':0x82f,'./tet.js':0x82f,'./tg':0x1c99,'./tg.js':0x1c99,'./th':0x2351,'./th.js':0x2351,'./tk':0x232d,'./tk.js':0x232d,'./tl-ph':0x1688,'./tl-ph.js':0x1688,'./tlh':0x24e4,'./tlh.js':0x24e4,'./tr':0x95d,'./tr.js':0x95d,'./tzl':0x203e,'./tzl.js':0x203e,'./tzm':0x452,'./tzm-latn':0x2bb,'./tzm-latn.js':0x2bb,'./tzm.js':0x452,'./ug-cn':0x2448,'./ug-cn.js':0x2448,'./uk':0x1e0b,'./uk.js':0x1e0b,'./ur':0xed3,'./ur.js':0xed3,'./uz':0x1a87,'./uz-latn':0x24c,'./uz-latn.js':0x24c,'./uz.js':0x1a87,'./vi':0x1622,'./vi.js':0x1622,'./x-pseudo':0x111a,'./x-pseudo.js':0x111a,'./yo':0x16ad,'./yo.js':0x16ad,'./zh-cn':0xeff,'./zh-cn.js':0xeff,'./zh-hk':0x165e,'./zh-hk.js':0x165e,'./zh-mo':0x264f,'./zh-mo.js':0x264f,'./zh-tw':0x1038,'./zh-tw.js':0x1038};function _0x2f50d6(_0x1bfdfe){var _0x3f9aaa=_0x212162(_0x1bfdfe);return _0x1f72bf(_0x3f9aaa);}function _0x212162(_0x70af61){const _0x27ed78=a0_0x3bb9;if(!_0x1f72bf['o'](_0x42c3ad,_0x70af61)){var _0x21dea9=new Error(_0x27ed78(0xc0d)+_0x70af61+'\x27');_0x21dea9[_0x27ed78(0x2148)]=_0x27ed78(0x3dd);throw _0x21dea9;}return _0x42c3ad[_0x70af61];}_0x2f50d6[_0x3e9e0c(0x627)]=function _0x3959c2(){return Object['keys'](_0x42c3ad);},_0x2f50d6[_0x3e9e0c(0x2922)]=_0x212162,_0x2e5cce[_0x3e9e0c(0x102c)]=_0x2f50d6,_0x2f50d6['id']=0x1a2c;},0x1666:_0x530686=>{const _0x5c1941=a0_0x54e64e;'use strict';_0x530686[_0x5c1941(0x102c)]=angular;}},0x0,[[0x2069,0x29a,0x301]]]); \ No newline at end of file diff --git a/public/app/core/directives/ms-phonebar/ms-phonebar-dialpad.html b/public/app/core/directives/ms-phonebar/ms-phonebar-dialpad.html index 6431727..15028c1 100644 --- a/public/app/core/directives/ms-phonebar/ms-phonebar-dialpad.html +++ b/public/app/core/directives/ms-phonebar/ms-phonebar-dialpad.html @@ -179,16 +179,12 @@ class="quantity-indicator md-red-500-bg" ng-class="vm_pb.registered ? 'md-green-500-bg' : 'md-red-500-bg'" >
- - - {{vm_pb.conf.license ? (vm_pb.registered ? 'TOOLBAR.REGISTERED' : + {{vm_pb.conf.license ? (vm_pb.registered ? 'TOOLBAR.REGISTERED' : 'TOOLBAR.NOT_REGISTERED') : 'TOOLBAR.WEBRTC_LICENSE_NOT_ENABELD' | - translate}} - {{ days > 0 ? days + 'd' : '' }} - {{hhours}}:{{mminutes}}:{{sseconds}} - + translate}}
@@ -301,10 +297,8 @@ + session.user) }} {{hhours}}:{{mminutes}}:{{sseconds}} + > diff --git a/public/app/main/apps/dashboards/views/general/agent/bot/agent.general.bot.html b/public/app/main/apps/dashboards/views/general/agent/bot/agent.general.bot.html index 9161eba..98a71e7 100644 --- a/public/app/main/apps/dashboards/views/general/agent/bot/agent.general.bot.html +++ b/public/app/main/apps/dashboards/views/general/agent/bot/agent.general.bot.html @@ -44,12 +44,6 @@ layout-fill > #{{vm.tab.data.uniqueid}} - {{vm.tab.title}} -
diff --git a/public/app/main/apps/dashboards/views/general/agent/contact/agent.general.contact.html b/public/app/main/apps/dashboards/views/general/agent/contact/agent.general.contact.html index c1c462e..b102462 100644 --- a/public/app/main/apps/dashboards/views/general/agent/contact/agent.general.contact.html +++ b/public/app/main/apps/dashboards/views/general/agent/contact/agent.general.contact.html @@ -21,18 +21,12 @@ flex >
- - {{ days > 0 ? days + 'd' : '' }} - {{hhours}}:{{mminutes}}:{{sseconds}} + +
- {{ days > 0 ? days + 'd' : '' }} - {{hhours}}:{{mminutes}}:{{sseconds}} +
diff --git a/public/app/main/apps/dashboards/views/general/agent/home/chat/agent.general.chat.html b/public/app/main/apps/dashboards/views/general/agent/home/chat/agent.general.chat.html index fde1d3f..a004c03 100644 --- a/public/app/main/apps/dashboards/views/general/agent/home/chat/agent.general.chat.html +++ b/public/app/main/apps/dashboards/views/general/agent/home/chat/agent.general.chat.html @@ -70,7 +70,9 @@
- + diff --git a/public/app/main/apps/dashboards/views/general/agent/home/contacts/agent.general.contacts.html b/public/app/main/apps/dashboards/views/general/agent/home/contacts/agent.general.contacts.html index 2dcf33e..614da02 100644 --- a/public/app/main/apps/dashboards/views/general/agent/home/contacts/agent.general.contacts.html +++ b/public/app/main/apps/dashboards/views/general/agent/home/contacts/agent.general.contacts.html @@ -1,30 +1,62 @@ - +
Contacts - +
- +
- - + + {{'DASHBOARDS.ADVANCED_SEARCH' | translate}} - + {{'DASHBOARDS.RELOAD' | translate}} - +
@@ -48,9 +80,7 @@
- + @@ -72,14 +102,20 @@
- + - + @@ -93,13 +129,26 @@
-
- +
+ {{contact.Tags[0].name}}
-
+
- {{tag.name}}, + {{tag.name}},
@@ -107,24 +156,38 @@
- + - + Edit Contact - + Merge Contact - + Duplicate Contact @@ -136,6 +199,12 @@
- - \ No newline at end of file + + diff --git a/public/app/main/apps/dashboards/views/general/agent/home/fax/agent.general.fax.html b/public/app/main/apps/dashboards/views/general/agent/home/fax/agent.general.fax.html index ae17173..aa61a84 100644 --- a/public/app/main/apps/dashboards/views/general/agent/home/fax/agent.general.fax.html +++ b/public/app/main/apps/dashboards/views/general/agent/home/fax/agent.general.fax.html @@ -91,7 +91,9 @@ - {{'DASHBOARDS.CONTACT' | translate}} + + {{'DASHBOARDS.CONTACT' | translate}} + {{'DASHBOARDS.STARTEDAT' | translate}} diff --git a/public/app/main/apps/dashboards/views/general/agent/home/mail/agent.general.mail.html b/public/app/main/apps/dashboards/views/general/agent/home/mail/agent.general.mail.html index 7507ba9..7d90e9d 100644 --- a/public/app/main/apps/dashboards/views/general/agent/home/mail/agent.general.mail.html +++ b/public/app/main/apps/dashboards/views/general/agent/home/mail/agent.general.mail.html @@ -91,7 +91,9 @@ - {{'DASHBOARDS.CONTACT' | translate}} + + {{'DASHBOARDS.CONTACT' | translate}} + {{'DASHBOARDS.SUBJECT' | translate}} diff --git a/public/app/main/apps/dashboards/views/general/agent/home/mycontacts/agent.general.mycontacts.html b/public/app/main/apps/dashboards/views/general/agent/home/mycontacts/agent.general.mycontacts.html index e183ec7..fb602c7 100644 --- a/public/app/main/apps/dashboards/views/general/agent/home/mycontacts/agent.general.mycontacts.html +++ b/public/app/main/apps/dashboards/views/general/agent/home/mycontacts/agent.general.mycontacts.html @@ -1,30 +1,63 @@ - +
- My Contacts - + My Contacts +
- +
- - + + {{'DASHBOARDS.ADVANCED_SEARCH' | translate}} - + {{'DASHBOARDS.RELOAD' | translate}} - +
@@ -48,9 +81,7 @@ {{'DASHBOARDS.EMAIL' | translate}} - - {{'APP.LIST' | translate}} - + {{'APP.LIST' | translate}} {{'DASHBOARDS.TAGS' | translate}} @@ -67,19 +98,29 @@ {{contact.id}} - + {{contact.firstName}} {{contact.lastName}} - + - + @@ -93,13 +134,26 @@
-
- +
+ {{contact.Tags[0].name}}
-
+
- {{tag.name}}, + {{tag.name}},
@@ -107,24 +161,38 @@ - + - + Edit Contact - + Merge Contact - + Duplicate Contact @@ -136,6 +204,12 @@ - - \ No newline at end of file + + diff --git a/public/app/main/apps/dashboards/views/general/agent/home/openchannel/agent.general.openchannel.html b/public/app/main/apps/dashboards/views/general/agent/home/openchannel/agent.general.openchannel.html index 5faf20f..fe2ffc2 100644 --- a/public/app/main/apps/dashboards/views/general/agent/home/openchannel/agent.general.openchannel.html +++ b/public/app/main/apps/dashboards/views/general/agent/home/openchannel/agent.general.openchannel.html @@ -97,7 +97,9 @@ - {{'DASHBOARDS.CONTACT' | translate}} + + {{'DASHBOARDS.CONTACT' | translate}} + {{'DASHBOARDS.STARTEDAT' | translate}} diff --git a/public/app/main/apps/dashboards/views/general/agent/home/sms/agent.general.sms.html b/public/app/main/apps/dashboards/views/general/agent/home/sms/agent.general.sms.html index 93c9e4a..9aed40c 100644 --- a/public/app/main/apps/dashboards/views/general/agent/home/sms/agent.general.sms.html +++ b/public/app/main/apps/dashboards/views/general/agent/home/sms/agent.general.sms.html @@ -91,7 +91,9 @@ - {{'DASHBOARDS.CONTACT' | translate}} + + {{'DASHBOARDS.CONTACT' | translate}} + {{'DASHBOARDS.STARTEDAT' | translate}} diff --git a/public/app/main/apps/dashboards/views/general/agent/home/whatsapp/agent.general.whatsapp.html b/public/app/main/apps/dashboards/views/general/agent/home/whatsapp/agent.general.whatsapp.html index e087654..e7287d9 100644 --- a/public/app/main/apps/dashboards/views/general/agent/home/whatsapp/agent.general.whatsapp.html +++ b/public/app/main/apps/dashboards/views/general/agent/home/whatsapp/agent.general.whatsapp.html @@ -93,7 +93,9 @@ - {{'DASHBOARDS.CONTACT' | translate}} + + {{'DASHBOARDS.CONTACT' | translate}} + {{'DASHBOARDS.STARTEDAT' | translate}} diff --git a/public/app/main/apps/dashboards/views/general/agent/interaction/views/agent.general.toolbar.html b/public/app/main/apps/dashboards/views/general/agent/interaction/views/agent.general.toolbar.html index 5c37f27..8ff773c 100644 --- a/public/app/main/apps/dashboards/views/general/agent/interaction/views/agent.general.toolbar.html +++ b/public/app/main/apps/dashboards/views/general/agent/interaction/views/agent.general.toolbar.html @@ -48,10 +48,7 @@ class="blue-grey-300-fg padding-left-40" ng-if="!vm.interaction.closed" > - {{ days > 0 ? days + 'd' : '' }} - {{hhours}}:{{mminutes}}:{{sseconds}} +
{{ days > 0 ? days + 'd' : '' }} - {{hhours}}:{{mminutes}}:{{sseconds}} + > {{vm.contact.firstName}} {{vm.contact.lastName}} {{vm.contact.phone}}xCALLY Motion
\ No newline at end of file +
\ No newline at end of file diff --git a/public/runtime-472ac7a6568b98562237.js b/public/runtime-472ac7a6568b98562237.js index b908272..6ac8fc9 100644 --- a/public/runtime-472ac7a6568b98562237.js +++ b/public/runtime-472ac7a6568b98562237.js @@ -1 +1 @@ -var a1_0x118c=["length","push","amdD","call","nmd","define cannot be used indirect","exports","children","object","defineProperty","splice","apply","webpackChunkmotion_client","hasOwnProperty"];!function(r,e){!function(e){for(;--e;)r.push(r.shift())}(++e)}(a1_0x118c,239);var a1_0x1455=function(r,e){return a1_0x118c[r-=415]};(()=>{"use strict";var r,e,n,t={},a={};function o(r){var e=a1_0x1455;if(a[r])return a[r][e(420)];var n=a[r]={id:r,loaded:!1,exports:{}};return t[r][e(417)](n[e(420)],n,n[e(420)],o),n.loaded=!0,n[e(420)]}o.m=t,o[(n=a1_0x1455)(416)]=function(){throw new Error(n(419))},o.n=r=>{var e=r&&r.__esModule?()=>r.default:()=>r;return o.d(e,{a:e}),e},o.d=(r,e)=>{var n=a1_0x1455;for(var t in e)o.o(e,t)&&!o.o(r,t)&&Object[n(423)](r,t,{enumerable:!0,get:e[t]})},o.g=function(){if(typeof globalThis===a1_0x1455(422))return globalThis;try{return this||new Function("return this")()}catch(r){if("object"==typeof window)return window}}(),e=a1_0x1455,o.o=(r,n)=>Object.prototype[e(427)][e(417)](r,n),o[(r=a1_0x1455)(418)]=e=>{var n=r;return e.paths=[],e.children||(e[n(421)]=[]),e},o.p="/",(()=>{var r=a1_0x1455,e={666:0},n=[],t=()=>{};function a(){for(var r,t=a1_0x1455,a=0;a{}),r}o.x=()=>{var r=a1_0x1455;o.x=()=>{},u=u.slice();for(var e=0;e{for(var a,i,u=a1_0x1455,[f,l,s,x]=r,d=0,h=[];d{"use strict";var r,a={},e={};function n(r){var t=a1_0x16ac;if(e[r])return e[r][t(332)];var o=e[r]={id:r,loaded:!1,exports:{}};return a[r][t(340)](o[t(332)],o,o.exports,n),o[t(341)]=!0,o[t(332)]}n.m=a,n.amdD=function(){throw new Error(a1_0x16ac(338))},n.n=r=>{var a=a1_0x16ac,e=r&&r.__esModule?()=>r[a(347)]:()=>r;return n.d(e,{a:e}),e},n.d=(r,a)=>{for(var e in a)n.o(a,e)&&!n.o(r,e)&&Object.defineProperty(r,e,{enumerable:!0,get:a[e]})},n.g=function(){var r=a1_0x16ac;if(typeof globalThis===r(331))return globalThis;try{return this||new Function(r(335))()}catch(a){if(typeof window===r(331))return window}}(),r=a1_0x16ac,n.o=(a,e)=>Object.prototype[r(333)].call(a,e),n.nmd=r=>{var a=a1_0x16ac;return r[a(345)]=[],r[a(336)]||(r.children=[]),r},n.p="/",(()=>{var r=a1_0x16ac,a={666:0},e=[],t=()=>{};function o(){for(var r,t=a1_0x16ac,o=0;o{}),r}n.x=()=>{var r=a1_0x16ac;n.x=()=>{},i=i[r(334)]();for(var a=0;a{for(var o,c,i=a1_0x16ac,[f,s,l,x]=r,d=0,p=[];d{{#queue}}Queue: {{queue.name}}
{{/queue}}From : {{from}}"},"notificationSound":{"type":"boolean","default":true},"notificationShake":{"type":"boolean","default":false},"hideWhenOffline":{"type":"boolean","default":false},"agentIdentifier":{"type":"string","default":"website_alias"},"waitForTheAssignedAgent":{"type":"integer","default":10},"alignment":{"type":"string","default":"bottom_right"},"verticalAlignment":{"type":"integer","default":30},"messagesAlignment":{"type":"string","default":"alternate"},"defaultTitle":{"type":"string"},"customerAvatar":{"type":"string"},"showCustomerAvatar":{"type":"boolean","default":false},"messageFontSize":{"type":"integer","default":12},"backgroundColor":{"type":"string","default":"#fafafa"},"queueTransfer":{"type":"boolean","default":false},"queueTransferTimeout":{"type":"integer","default":300},"agentTransfer":{"type":"boolean","default":false},"agentTransferTimeout":{"type":"integer","default":300},"systemAlias":{"type":"string","default":"System"},"systemAvatar":{"type":"string"},"mandatoryDispositionPauseId":{"type":"integer","description":"Status to put when mandatory disposition is enabled"},"mandatoryDisposition":{"type":"boolean","description":"Enabled/disables mandatory dispo on a queue","default":false},"vidaooEscalation":{"type":"boolean","default":false},"vidaooApiKey":{"type":"string","default":false}},"required":["name","address","key","color","color_button","textColor","backgroundColor"]}}}},"responses":{"201":{"description":"ChatWebsite successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/ChatWebsite"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/chat/websites/describe":{"get":{"summary":"Gets table info about Websites","security":[{"BearerAuth":[]}],"operationId":"describeChatWebsite","tags":["Chat Websites"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/chat/websites/{id}":{"get":{"summary":"Gets a single Website","security":[{"BearerAuth":[]}],"operationId":"getChatWebsiteById","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite to get"}],"responses":{"200":{"description":"ChatWebsite with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/ChatWebsite"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ChatWebsite not found"}}},"put":{"summary":"Update an existing Website","security":[{"BearerAuth":[]}],"operationId":"updateChatWebsiteById","tags":["Chat Websites"],"requestBody":{"required":true,"description":"data for updating a new Website","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"address":{"type":"string"},"description":{"type":"string"},"mapKey":{"type":"string","default":"email"},"mapKeyOffline":{"type":"string","default":"email"},"key":{"type":"string"},"agentAlias":{"type":"string","default":"Agent"},"customerAlias":{"type":"string","default":"Me"},"color":{"type":"string","default":"#011F6A"},"color_button":{"type":"string","default":"#011F6A"},"textColor":{"type":"string","default":"#ffffff"},"fontSize":{"type":"integer","default":15},"remote":{"type":"string"},"animation":{"type":"boolean","default":true},"header_shape":{"type":"string","enum":["rounded","squared"],"default":"rounded"},"header_online":{"type":"string","default":"We are here!"},"start_chat_button":{"type":"string","default":"Chat"},"offline_chat_button":{"type":"string","default":"Send"},"header_offline":{"type":"string","default":"Contact us"},"download_transcript":{"type":"boolean","default":true},"timeout":{"type":"integer","default":0},"whiteLabel":{"type":"string","default":"Powered by xCALLY"},"defaultWhiteLabel":{"type":"boolean","default":true},"sitepic":{"type":"string"},"closingQuestion":{"type":"string","default":"Do you want to close the interaction?"},"formSubmitSuccessMessage":{"type":"string","default":"Form properly submitted"},"formSubmitFailureMessage":{"type":"string","default":"Form submission error"},"noteTitle":{"type":"string","default":"Note"},"placeholderMessage":{"type":"string","default":"Type a message"},"closingMessage":{"type":"string","default":"Thanks you for your time!"},"closingMessageButton":{"type":"string","default":"Send"},"skipMessageButton":{"type":"string","default":"Skip"},"conditionAgreement":{"type":"boolean","default":false},"enableRating":{"type":"boolean","default":false},"enableFeedback":{"type":"boolean","default":false},"enableSendButton":{"type":"boolean","default":false},"feedbackTitle":{"type":"string","default":"Feedback"},"ratingType":{"type":"string","enum":["star","thumb"],"default":"star"},"ratingStarsNumber":{"type":"integer","default":5},"onlineForm":{"type":"string","default":"{\"items\":[{\"type\":\"input\",\"variable\":null,\"config\":{\"placeholder\":\"name\",\"type\":\"text\",\"required\":true},\"props\":{\"title\":\"name\",\"helpText\":\"\"}},{\"type\":\"input\",\"props\":{\"title\":\"email\",\"helpText\":\"\"},\"config\":{\"required\":true,\"placeholder\":\"email\",\"type\":\"email\"},\"variable\":null}],\"fromKey\":1}"},"offlineForm":{"type":"string","default":"{\"items\":[{\"type\":\"input\",\"props\":{\"title\":\"email\",\"helpText\":\"\"},\"config\":{\"required\":true,\"placeholder\":\"email\",\"type\":\"email\"},\"variable\":null},{\"type\":\"textarea\",\"config\":{\"placeholder\":\"Comments\"},\"props\":{\"title\":\"Comments\",\"helpText\":\"Leave your comments\"}}],\"fromKey\":0}"},"token":{"type":"string","default":"sUS2aAfQTKplrp7C7e7Y0msoJi1Tvt11"},"autoclose":{"type":"boolean","default":true},"enableCustomerWriting":{"type":"boolean","default":false},"forwardTranscript":{"type":"boolean","default":false},"forwardTranscriptMessage":{"type":"string"},"forwardOffline":{"type":"boolean","default":false},"forwardOfflineAddress":{"type":"string"},"waitingTitle":{"type":"string","default":"All of our agents are busy at this time. Your chat is very important to us."},"waitingMessage":{"type":"string","default":"Please hold and we will answer your request as soon as possible."},"offlineMessageSubject":{"type":"string","default":"New offline message from websiteName"},"offlineMessageBody":{"type":"string","default":"You received a new offline message from websiteName. Here you can find the details of the request:"},"enableUnmanagedNote":{"type":"boolean","default":true},"unmanagedMessage":{"type":"string","default":"Your request has not been processed."},"skipUnmanaged":{"type":"string","default":"Skip"},"sendUnmanaged":{"type":"string","default":"Send"},"enableCustomerAttachment":{"type":"boolean","default":false},"enableCustomerCheckmarks":{"type":"boolean","default":false},"agentAvatar":{"type":"string"},"showAgentAvatar":{"type":"boolean","default":false},"timezone":{"type":"string"},"notificationTemplate":{"type":"string","default":"Account: {{account.name}}
{{#queue}}Queue: {{queue.name}}
{{/queue}}From : {{from}}"},"notificationSound":{"type":"boolean","default":true},"notificationShake":{"type":"boolean","default":false},"hideWhenOffline":{"type":"boolean","default":false},"agentIdentifier":{"type":"string","default":"website_alias"},"waitForTheAssignedAgent":{"type":"integer","default":10},"alignment":{"type":"string","default":"bottom_right"},"verticalAlignment":{"type":"integer","default":30},"messagesAlignment":{"type":"string","default":"alternate"},"defaultTitle":{"type":"string"},"customerAvatar":{"type":"string"},"showCustomerAvatar":{"type":"boolean","default":false},"messageFontSize":{"type":"integer","default":12},"backgroundColor":{"type":"string","default":"#fafafa"},"queueTransfer":{"type":"boolean","default":false},"queueTransferTimeout":{"type":"integer","default":300},"agentTransfer":{"type":"boolean","default":false},"agentTransferTimeout":{"type":"integer","default":300},"systemAlias":{"type":"string","default":"System"},"systemAvatar":{"type":"string"},"mandatoryDispositionPauseId":{"type":"integer","description":"Status to put when mandatory disposition is enabled"},"mandatoryDisposition":{"type":"boolean","description":"Enabled/disables mandatory dispo on a queue","default":false},"vidaooEscalation":{"type":"boolean","default":false},"vidaooApiKey":{"type":"string","default":false}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ChatWebsite not found"}}}},"/chat/websites/{id}/dispositions":{"get":{"summary":"Gets account dispositions","security":[{"BearerAuth":[]}],"operationId":"getDispositions","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new disposition","security":[{"BearerAuth":[]}],"operationId":"addDisposition","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes canned answers from account","security":[{"BearerAuth":[]}],"operationId":"removeDispositions","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/chat/websites/{id}/canned_answers":{"get":{"summary":"Gets account canned answers","security":[{"BearerAuth":[]}],"operationId":"getAnswers","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new canned answer","security":[{"BearerAuth":[]}],"operationId":"addAnswer","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes canned answers from account","security":[{"BearerAuth":[]}],"operationId":"removeAnswers","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/chat/websites/{id}/logo":{"get":{"summary":"Get logo","operationId":"getLogo","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}}}},"post":{"summary":"Add logo","security":[{"BearerAuth":[]}],"operationId":"postaddLogo","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite"}],"requestBody":{"required":true,"description":"data for uploading a file","content":{"multipart/form-data":{"schema":{"type":"object","properties":{"file":{"type":"string","format":"binary"}}}}}},"responses":{"201":{"description":"success."},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/chat/websites/{id}/avatar":{"get":{"summary":"Get avatar","operationId":"getAvatar","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}}}},"post":{"summary":"Add avatar","security":[{"BearerAuth":[]}],"operationId":"postaddAvatar","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite"}],"requestBody":{"required":true,"description":"data for uploading a file","content":{"multipart/form-data":{"schema":{"type":"object","properties":{"file":{"type":"string","format":"binary"}}}}}},"responses":{"201":{"description":"success."},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/chat/websites/{id}/customer_avatar":{"get":{"summary":"Get Customer Avatar","operationId":"getCustomerAvatar","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}}}},"post":{"summary":"Add customer avatar","security":[{"BearerAuth":[]}],"operationId":"postaddCustomerAvatar","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite"}],"requestBody":{"required":true,"description":"data for uploading a file","content":{"multipart/form-data":{"schema":{"type":"object","properties":{"file":{"type":"string","format":"binary"}}}}}},"responses":{"201":{"description":"success."},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/chat/websites/{id}/system_avatar":{"get":{"summary":"Get System Avatar","operationId":"getSystemAvatar","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}}}},"post":{"summary":"Add system avatar","security":[{"BearerAuth":[]}],"operationId":"postaddSystemAvatar","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite"}],"requestBody":{"required":true,"description":"data for uploading a file","content":{"multipart/form-data":{"schema":{"type":"object","properties":{"file":{"type":"string","format":"binary"}}}}}},"responses":{"201":{"description":"success."},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/chat/websites/{id}/interactions":{"get":{"summary":"Gets Website Interactions","security":[{"BearerAuth":[]}],"operationId":"getInteractions","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new interactions","security":[{"BearerAuth":[]}],"operationId":"addInteraction","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/chat/websites/{id}/snippet":{"get":{"summary":"Gets Website Snippet","operationId":"getSnippet","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}}}}},"/chat/websites/{id}/applications":{"get":{"summary":"Gets Website Applications","security":[{"BearerAuth":[]}],"operationId":"getApplications","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new applications","security":[{"BearerAuth":[]}],"operationId":"addApplications","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/chat/websites/{id}/proactive_actions":{"get":{"summary":"Gets Website Proactive Actions","security":[{"BearerAuth":[]}],"operationId":"getProactiveActions","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new Proactive Actions","security":[{"BearerAuth":[]}],"operationId":"addProactiveActions","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/chat/websites/{id}/fields":{"get":{"summary":"Gets Website Fields","operationId":"getFields","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}}}}},"/chat/websites/{id}/offline_messages":{"get":{"summary":"Gets Website Offline Messages","security":[{"BearerAuth":[]}],"operationId":"getOfflineMessages","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/chat/websites/{id}/users":{"get":{"summary":"Gets agents from website","security":[{"BearerAuth":[]}],"operationId":"getAgents","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add agents to a website","security":[{"BearerAuth":[]}],"operationId":"addAgents","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes agents from a website","security":[{"BearerAuth":[]}],"operationId":"removeAgents","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/chat/websites/{id}/notify":{"post":{"summary":"Notify new message","operationId":"notify","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite"}],"responses":{"201":{"description":"successfully created"}}}},"/chat/websites/{id}/offline":{"post":{"summary":"Offline message","operationId":"offline","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite"}],"responses":{"201":{"description":"successfully created"}}}},"/chat/websites/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Website","security":[{"BearerAuth":[]}],"operationId":"deleteChatWebsiteById","tags":["Chat Websites"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChatWebsite to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ChatWebsite not found"}}}},"/cloudProviders":{"get":{"summary":"Gets a list of CloudProviders","security":[{"BearerAuth":[]}],"operationId":"listAllCloudProvider","tags":["CloudProviders"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each CloudProvider"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of CloudProviders","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/CloudProvider"}}}}},"206":{"description":"Partial (paged) collection of CloudProviders","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/CloudProvider"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new CloudProvider","security":[{"BearerAuth":[]}],"operationId":"createCloudProvider","tags":["CloudProviders"],"requestBody":{"required":true,"description":"data for creating a new CloudProvider","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"service":{"type":"string","enum":["AmazonAWS","Google"]},"data1":{"type":"string"},"data2":{"type":"string"}},"required":["name","service"]}}}},"responses":{"201":{"description":"CloudProvider successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/CloudProvider"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cloudProviders/{id}":{"get":{"summary":"Gets a single CloudProvider","security":[{"BearerAuth":[]}],"operationId":"getCloudProviderById","tags":["CloudProviders"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CloudProvider to get"}],"responses":{"200":{"description":"CloudProvider with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/CloudProvider"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"CloudProvider not found"}}},"put":{"summary":"Update an existing CloudProvider","security":[{"BearerAuth":[]}],"operationId":"updateCloudProviderById","tags":["CloudProviders"],"requestBody":{"required":true,"description":"data for updating a new CloudProvider","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"service":{"type":"string","enum":["AmazonAWS","Google"]},"data1":{"type":"string"},"data2":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CloudProvider to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"CloudProvider not found"}}}},"/cloudProviders/{id}/destroy_many":{"delete":{"summary":"Destroy an existing CloudProvider","security":[{"BearerAuth":[]}],"operationId":"deleteCloudProviderById","tags":["CloudProviders"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CloudProvider to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"CloudProvider not found"}}}},"/cm/companies":{"get":{"summary":"Gets a list of Companies","security":[{"BearerAuth":[]}],"operationId":"listAllCmCompany","tags":["Cm Companies"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each CmCompany"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Companies","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/CmCompany"}}}}},"206":{"description":"Partial (paged) collection of Companies","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/CmCompany"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Company","security":[{"BearerAuth":[]}],"operationId":"createCmCompany","tags":["Cm Companies"],"requestBody":{"required":true,"description":"data for creating a new Company","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"vat":{"type":"string"},"companyId":{"type":"string"},"website":{"type":"string"},"phone":{"type":"string"},"fax":{"type":"string"},"type":{"type":"string"},"street":{"type":"string"},"postalCode":{"type":"string"},"city":{"type":"string"},"country":{"type":"string"},"email":{"type":"string"},"emailDomain":{"type":"string"},"sStreet":{"type":"string"},"sPostalCode":{"type":"string"},"sCity":{"type":"string"},"sCountry":{"type":"string"},"description":{"type":"string"}},"required":["name"]}}}},"responses":{"201":{"description":"CmCompany successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/CmCompany"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/companies/describe":{"get":{"summary":"Gets table info about Companies","security":[{"BearerAuth":[]}],"operationId":"describeCmCompany","tags":["Cm Companies"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/companies/{id}":{"get":{"summary":"Gets a single Company","security":[{"BearerAuth":[]}],"operationId":"getCmCompanyById","tags":["Cm Companies"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmCompany to get"}],"responses":{"200":{"description":"CmCompany with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/CmCompany"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"CmCompany not found"}}},"put":{"summary":"Update an existing Company","security":[{"BearerAuth":[]}],"operationId":"updateCmCompanyById","tags":["Cm Companies"],"requestBody":{"required":true,"description":"data for updating a new Company","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"vat":{"type":"string"},"companyId":{"type":"string"},"website":{"type":"string"},"phone":{"type":"string"},"fax":{"type":"string"},"type":{"type":"string"},"street":{"type":"string"},"postalCode":{"type":"string"},"city":{"type":"string"},"country":{"type":"string"},"email":{"type":"string"},"emailDomain":{"type":"string"},"sStreet":{"type":"string"},"sPostalCode":{"type":"string"},"sCity":{"type":"string"},"sCountry":{"type":"string"},"description":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmCompany to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"CmCompany not found"}}}},"/cm/companies/{id}/contacts":{"get":{"summary":"Gets List Contacts","security":[{"BearerAuth":[]}],"operationId":"getContacts","tags":["Cm Companies"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmCompany"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new contacts","security":[{"BearerAuth":[]}],"operationId":"addContacts","tags":["Cm Companies"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmCompany"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/companies/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Company","security":[{"BearerAuth":[]}],"operationId":"deleteCmCompanyById","tags":["Cm Companies"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmCompany to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"CmCompany not found"}}}},"/cm/contacts":{"get":{"summary":"Gets a list of Contacts","security":[{"BearerAuth":[]}],"operationId":"listAllCmContact","tags":["Cm Contacts"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each CmContact"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Contacts","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/CmContact"}}}}},"206":{"description":"Partial (paged) collection of Contacts","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/CmContact"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/contacts/describe":{"get":{"summary":"Gets table info about Contacts","security":[{"BearerAuth":[]}],"operationId":"describeCmContact","tags":["Cm Contacts"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/contacts/{id}":{"get":{"summary":"Gets a single Contact","security":[{"BearerAuth":[]}],"operationId":"show","tags":["Cm Contacts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmContact"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"put":{"summary":"Update a single Contact","security":[{"BearerAuth":[]}],"operationId":"update","tags":["Cm Contacts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmContact"}],"responses":{"200":{"description":"successfully updated"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/contacts/{id}/tags":{"get":{"summary":"Gets configurations tags","security":[{"BearerAuth":[]}],"operationId":"getTags","tags":["Cm Contacts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmContact"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Sets new tags","security":[{"BearerAuth":[]}],"operationId":"setTags","tags":["Cm Contacts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmContact"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/contacts/{id}/hoppers":{"get":{"summary":"Gets contact hoppers","security":[{"BearerAuth":[]}],"operationId":"getHoppers","tags":["Cm Contacts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmContact"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/contacts/{id}/hopper_histories":{"get":{"summary":"Gets contact hopper histories","security":[{"BearerAuth":[]}],"operationId":"getHopperHistories","tags":["Cm Contacts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmContact"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/contacts/{id}/hopper_finals":{"get":{"summary":"Gets contact hopper finals","security":[{"BearerAuth":[]}],"operationId":"getHopperFinals","tags":["Cm Contacts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmContact"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/contacts/{id}/jscripty_sessions":{"get":{"summary":"Gets contact hopper blacks","security":[{"BearerAuth":[]}],"operationId":"getJscriptySessions","tags":["Cm Contacts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmContact"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/contacts/merge":{"post":{"summary":"Merge Contact","security":[{"BearerAuth":[]}],"operationId":"merge","tags":["Cm Contacts"],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/contacts/":{"post":{"summary":"Create Contact","security":[{"BearerAuth":[]}],"operationId":"create","tags":["Cm Contacts"],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/contacts/create_many":{"post":{"summary":"Create Contacts","security":[{"BearerAuth":[]}],"operationId":"bulkCreate","tags":["Cm Contacts"],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/contacts/upload":{"post":{"summary":"Upload csv","security":[{"BearerAuth":[]}],"operationId":"postupload","tags":["Cm contacts"],"requestBody":{"required":true,"description":"data for uploading a file","content":{"multipart/form-data":{"schema":{"type":"object","properties":{"file":{"type":"string","format":"binary"}}}}}},"responses":{"201":{"description":"success."},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/contacts/upload/{id}":{"post":{"summary":"Import new contacts by csv","security":[{"BearerAuth":[]}],"operationId":"import","tags":["Cm contacts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmContact"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/contacts/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Contact","security":[{"BearerAuth":[]}],"operationId":"deleteCmContactById","tags":["Cm Contacts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmContact to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"CmContact not found"}}}},"/cm/custom_fields":{"get":{"summary":"Gets a list of Custom Fields","security":[{"BearerAuth":[]}],"operationId":"listAllCmCustomField","tags":["Cm Custom Fields"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each CmCustomField"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Custom Fields","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/CmCustomField"}}}}},"206":{"description":"Partial (paged) collection of Custom Fields","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/CmCustomField"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/custom_fields/{id}":{"get":{"summary":"Gets a single Custom Field","security":[{"BearerAuth":[]}],"operationId":"getCmCustomFieldById","tags":["Cm Custom Fields"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmCustomField to get"}],"responses":{"200":{"description":"CmCustomField with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/CmCustomField"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"CmCustomField not found"}}},"put":{"summary":"Update an existing Custom Field","security":[{"BearerAuth":[]}],"operationId":"updateCmCustomFieldById","tags":["Cm Custom Fields"],"requestBody":{"required":true,"description":"data for updating a new Custom Field","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"alias":{"type":"string"},"type":{"type":"string"},"values":{"type":"string"},"required":{"type":"boolean","default":false},"clickToAction":{"type":"boolean","default":false},"actionType":{"type":"string","enum":["voice"]}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmCustomField to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"CmCustomField not found"}}}},"/cm/custom_fields/":{"post":{"summary":"Create a new custom field","security":[{"BearerAuth":[]}],"operationId":"create","tags":["Custom Fields"],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/custom_fields/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Custom Field","security":[{"BearerAuth":[]}],"operationId":"deleteCmCustomFieldById","tags":["Cm Custom Fields"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmCustomField to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"CmCustomField not found"}}}},"/cm/hopper":{"get":{"summary":"Gets a list of Hopper","security":[{"BearerAuth":[]}],"operationId":"listAllCmHopper","tags":["Cm Hopper"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each CmHopper"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Hopper","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/CmHopper"}}}}},"206":{"description":"Partial (paged) collection of Hopper","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/CmHopper"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Hopper","security":[{"BearerAuth":[]}],"operationId":"createCmHopper","tags":["Cm Hopper"],"requestBody":{"required":true,"description":"data for creating a new Hopper","content":{"application/json":{"schema":{"type":"object","properties":{"phone":{"type":"string"},"active":{"type":"boolean","default":false},"scheduledat":{"type":"string","default":"2020-11-27 10:41:25"},"countbusyretry":{"type":"integer","default":0},"countcongestionretry":{"type":"integer","default":0},"countnoanswerretry":{"type":"integer","default":0},"callback":{"type":"boolean","default":false},"callbackuniqueid":{"type":"string","default":null},"callbackat":{"type":"string"},"priority":{"type":"integer","default":2},"recallme":{"type":"boolean","default":false},"ContactId":{"type":"integer"},"ListId":{"type":"integer"},"UserId":{"type":"integer"},"VoiceQueueId":{"type":"integer"},"CampaignId":{"type":"integer"},"countnosuchnumberretry":{"type":"integer","default":0},"countdropretry":{"type":"integer","default":0},"countabandonedretry":{"type":"integer","default":0},"countmachineretry":{"type":"integer","default":0},"countagentrejectretry":{"type":"integer","default":0}},"required":["phone"]}}}},"responses":{"201":{"description":"CmHopper successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/CmHopper"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/hopper/describe":{"get":{"summary":"Gets table info about Hopper","security":[{"BearerAuth":[]}],"operationId":"describeCmHopper","tags":["Cm Hopper"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/hopper/opencontacts":{"get":{"summary":"Gets Open Contacts","security":[{"BearerAuth":[]}],"operationId":"getOpenContacts","tags":["Cm Hopper"],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/hopper/{id}":{"get":{"summary":"Gets a single Hopper","security":[{"BearerAuth":[]}],"operationId":"getCmHopperById","tags":["Cm Hopper"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmHopper to get"}],"responses":{"200":{"description":"CmHopper with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/CmHopper"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"CmHopper not found"}}},"put":{"summary":"Update an existing Hopper","security":[{"BearerAuth":[]}],"operationId":"updateCmHopperById","tags":["Cm Hopper"],"requestBody":{"required":true,"description":"data for updating a new Hopper","content":{"application/json":{"schema":{"type":"object","properties":{"phone":{"type":"string"},"active":{"type":"boolean","default":false},"scheduledat":{"type":"string","default":"2020-11-27 10:41:25"},"countbusyretry":{"type":"integer","default":0},"countcongestionretry":{"type":"integer","default":0},"countnoanswerretry":{"type":"integer","default":0},"callback":{"type":"boolean","default":false},"callbackuniqueid":{"type":"string","default":null},"callbackat":{"type":"string"},"priority":{"type":"integer","default":2},"recallme":{"type":"boolean","default":false},"ContactId":{"type":"integer"},"ListId":{"type":"integer"},"UserId":{"type":"integer"},"VoiceQueueId":{"type":"integer"},"CampaignId":{"type":"integer"},"countnosuchnumberretry":{"type":"integer","default":0},"countdropretry":{"type":"integer","default":0},"countabandonedretry":{"type":"integer","default":0},"countmachineretry":{"type":"integer","default":0},"countagentrejectretry":{"type":"integer","default":0}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmHopper to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"CmHopper not found"}}},"delete":{"summary":"Delete Hopper","security":[{"BearerAuth":[]}],"operationId":"destroy","tags":["Cm Hopper"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmHopper"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/hopper/preview":{"post":{"summary":"Gets Preview Dialer Contacts","security":[{"BearerAuth":[]}],"operationId":"getPreview","tags":["Cm Hopper"],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/hopper_black":{"get":{"summary":"Gets a list of Hopper Black","security":[{"BearerAuth":[]}],"operationId":"listAllCmHopperBlack","tags":["Cm Hopper Black"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each CmHopperBlack"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Hopper Black","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/CmHopperBlack"}}}}},"206":{"description":"Partial (paged) collection of Hopper Black","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/CmHopperBlack"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/hopper_black/describe":{"get":{"summary":"Gets table info about Hopper Black","security":[{"BearerAuth":[]}],"operationId":"describeCmHopperBlack","tags":["Cm Hopper Black"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/hopper_black/{id}":{"get":{"summary":"Gets a single Hopper Black","security":[{"BearerAuth":[]}],"operationId":"getCmHopperBlackById","tags":["Cm Hopper Black"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmHopperBlack to get"}],"responses":{"200":{"description":"CmHopperBlack with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/CmHopperBlack"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"CmHopperBlack not found"}}},"put":{"summary":"Update an existing Hopper Black","security":[{"BearerAuth":[]}],"operationId":"updateCmHopperBlackById","tags":["Cm Hopper Black"],"requestBody":{"required":true,"description":"data for updating a new Hopper Black","content":{"application/json":{"schema":{"type":"object","properties":{"phone":{"type":"string"},"ContactId":{"type":"integer"},"ListId":{"type":"integer"},"VoiceQueueId":{"type":"integer"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmHopperBlack to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"CmHopperBlack not found"}}}},"/cm/hopper_black/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Hopper Black","security":[{"BearerAuth":[]}],"operationId":"deleteCmHopperBlackById","tags":["Cm Hopper Black"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmHopperBlack to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"CmHopperBlack not found"}}}},"/cm/hopper_final":{"get":{"summary":"Gets a list of HopperFinal","security":[{"BearerAuth":[]}],"operationId":"listAllCmHopperFinal","tags":["Cm Hopper Final"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each CmHopperFinal"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of HopperFinal","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/CmHopperFinal"}}}}},"206":{"description":"Partial (paged) collection of HopperFinal","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/CmHopperFinal"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/hopper_final/describe":{"get":{"summary":"Gets table info about HopperFinal","security":[{"BearerAuth":[]}],"operationId":"describeCmHopperFinal","tags":["Cm Hopper Final"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/hopper_final/{id}":{"get":{"summary":"Gets a single HopperFinal","security":[{"BearerAuth":[]}],"operationId":"getCmHopperFinalById","tags":["Cm Hopper Final"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmHopperFinal to get"}],"responses":{"200":{"description":"CmHopperFinal with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/CmHopperFinal"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"CmHopperFinal not found"}}},"put":{"summary":"Update a single hopper final","security":[{"BearerAuth":[]}],"operationId":"update","tags":["Cm Hopper Final"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmHopperFinal"}],"responses":{"200":{"description":"successfully updated"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/hopper_final/voice/queue/countAttributes/{id}":{"get":{"summary":"Return number contacts for attributes","security":[{"BearerAuth":[]}],"operationId":"countContactsQueueCampaignHopperFinal","tags":["Cm Hopper Final"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmHopperFinal"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/hopper_final/campaign/countAttributes/{id}":{"get":{"summary":"Return number contacts for attributes","security":[{"BearerAuth":[]}],"operationId":"countContactsIvrCampaignHopperFinal","tags":["Cm Hopper Final"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmHopperFinal"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/hopper_final/voice/queue/moveContacts/{id}":{"post":{"summary":"Move contacts in hopper","security":[{"BearerAuth":[]}],"operationId":"moveContactsQueueCampaignHopperFinal","tags":["Cm Hopper Final"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmHopperFinal"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/hopper_final/voice/campaign/moveContacts/{id}":{"post":{"summary":"Move contacts in hopper","security":[{"BearerAuth":[]}],"operationId":"moveContactsIvrCampaignHopperFinal","tags":["Cm Hopper Final"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmHopperFinal"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/hopper_final/checkContactHopper":{"post":{"summary":"Check if contact is in hopper","security":[{"BearerAuth":[]}],"operationId":"checkContactHopper","tags":["Cm Hopper Final"],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/hopper_history":{"get":{"summary":"Gets a list of HopperHistory","security":[{"BearerAuth":[]}],"operationId":"listAllCmHopperHistory","tags":["Cm Hopper History"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each CmHopperHistory"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of HopperHistory","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/CmHopperHistory"}}}}},"206":{"description":"Partial (paged) collection of HopperHistory","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/CmHopperHistory"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new HopperHistory","security":[{"BearerAuth":[]}],"operationId":"createCmHopperHistory","tags":["Cm Hopper History"],"requestBody":{"required":true,"description":"data for creating a new HopperHistory","content":{"application/json":{"schema":{"type":"object","properties":{"state":{"type":"integer"},"statedesc":{"type":"string"},"scheduledat":{"type":"string"},"countbusyretry":{"type":"integer","default":0},"countcongestionretry":{"type":"integer","default":0},"countnoanswerretry":{"type":"integer","default":0},"countglobal":{"type":"integer","default":0},"uniqueid":{"type":"string"},"originatecalleridnum":{"type":"string"},"originatecalleridname":{"type":"string"},"calleridnum":{"type":"string"},"calleridname":{"type":"string"},"starttime":{"type":"string"},"responsetime":{"type":"string"},"answertime":{"type":"string"},"droptime":{"type":"string"},"endtime":{"type":"string"},"ringtime":{"type":"integer","default":0},"holdtime":{"type":"integer","default":0},"talktime":{"type":"integer","default":0},"followuptime":{"type":"integer","default":0},"dropreason":{"type":"string"},"campaign":{"type":"string"},"campaigntype":{"type":"string"},"membername":{"type":"string"},"reason":{"type":"string"},"amd":{"type":"boolean","default":false},"fax":{"type":"boolean","default":false},"callback":{"type":"boolean","default":false},"callbackuniqueid":{"type":"string","default":null},"callbackat":{"type":"string"},"recallme":{"type":"boolean","default":false},"editedat":{"type":"string"},"edited":{"type":"boolean","default":false},"countnosuchnumberretry":{"type":"integer","default":0},"countdropretry":{"type":"integer","default":0},"countabandonedretry":{"type":"integer","default":0},"countmachineretry":{"type":"integer","default":0},"countagentrejectretry":{"type":"integer","default":0}}}}}},"responses":{"201":{"description":"CmHopperHistory successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/CmHopperHistory"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/hopper_history/describe":{"get":{"summary":"Gets table info about HopperHistory","security":[{"BearerAuth":[]}],"operationId":"describeCmHopperHistory","tags":["Cm Hopper History"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/hopper_history/{id}":{"get":{"summary":"Gets a single HopperHistory","security":[{"BearerAuth":[]}],"operationId":"getCmHopperHistoryById","tags":["Cm Hopper History"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmHopperHistory to get"}],"responses":{"200":{"description":"CmHopperHistory with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/CmHopperHistory"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"CmHopperHistory not found"}}},"put":{"summary":"Update a single hopper history","security":[{"BearerAuth":[]}],"operationId":"update","tags":["Cm Hopper History"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmHopperHistory"}],"responses":{"200":{"description":"successfully updated"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/lists":{"get":{"summary":"Gets a list of Lists","security":[{"BearerAuth":[]}],"operationId":"listAllCmList","tags":["Cm Lists"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each CmList"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Lists","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/CmList"}}}}},"206":{"description":"Partial (paged) collection of Lists","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/CmList"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new List","security":[{"BearerAuth":[]}],"operationId":"createCmList","tags":["Cm Lists"],"requestBody":{"required":true,"description":"data for creating a new List","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"dialPrefix":{"type":"string"}},"required":["name"]}}}},"responses":{"201":{"description":"CmList successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/CmList"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/lists/describe":{"get":{"summary":"Gets table info about Lists","security":[{"BearerAuth":[]}],"operationId":"describeCmList","tags":["Cm Lists"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/lists/{id}":{"get":{"summary":"Gets a single List","security":[{"BearerAuth":[]}],"operationId":"getCmListById","tags":["Cm Lists"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmList to get"}],"responses":{"200":{"description":"CmList with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/CmList"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"CmList not found"}}},"put":{"summary":"Update an existing List","security":[{"BearerAuth":[]}],"operationId":"updateCmListById","tags":["Cm Lists"],"requestBody":{"required":true,"description":"data for updating a new List","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"dialPrefix":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmList to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"CmList not found"}}}},"/cm/lists/{id}/dispositions":{"get":{"summary":"Gets list dispositions","security":[{"BearerAuth":[]}],"operationId":"getDispositions","tags":["Cm Lists"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmList"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new disposition","security":[{"BearerAuth":[]}],"operationId":"addDisposition","tags":["Cm Lists"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmList"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes dispositions from account","security":[{"BearerAuth":[]}],"operationId":"removeDispositions","tags":["Cm Lists"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmList"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/lists/{id}/contacts":{"get":{"summary":"Gets List Contacts","security":[{"BearerAuth":[]}],"operationId":"getContacts","tags":["Cm Lists"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmList"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new contacts","security":[{"BearerAuth":[]}],"operationId":"addContacts","tags":["Cm Lists"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmList"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/lists/{id}/contacts/csv":{"get":{"summary":"Gets CSV List Contacts","security":[{"BearerAuth":[]}],"operationId":"getContactsCsv","tags":["Cm Lists"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmList"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/lists/{id}/fields":{"get":{"summary":"Gets Custom Fields","security":[{"BearerAuth":[]}],"operationId":"getCustomFields","tags":["Cm Lists"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmList"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new custom field","security":[{"BearerAuth":[]}],"operationId":"addCustomField","tags":["Cm Lists"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmList"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/lists/{id}/users":{"get":{"summary":"Gets agents from list","security":[{"BearerAuth":[]}],"operationId":"getAgents","tags":["Cm Lists"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmList"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Adds agents to a list","security":[{"BearerAuth":[]}],"operationId":"addAgents","tags":["Cm Lists"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmList"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes agents from a list","security":[{"BearerAuth":[]}],"operationId":"removeAgents","tags":["Cm Lists"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmList"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/cm/lists/{id}/destroy_many":{"delete":{"summary":"Destroy an existing List","security":[{"BearerAuth":[]}],"operationId":"deleteCmListById","tags":["Cm Lists"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the CmList to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"CmList not found"}}}},"/conditions/{id}":{"put":{"summary":"Update an existing Condition","security":[{"BearerAuth":[]}],"operationId":"updateConditionById","tags":["Conditions"],"requestBody":{"required":true,"description":"data for updating a new Condition","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"field":{"type":"string"},"operator":{"type":"string"},"value":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Condition to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Condition not found"}}}},"/conditions/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Condition","security":[{"BearerAuth":[]}],"operationId":"deleteConditionById","tags":["Conditions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Condition to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Condition not found"}}}},"/dashboards":{"get":{"summary":"Gets a list of Dashboards","security":[{"BearerAuth":[]}],"operationId":"listAllDashboard","tags":["Dashboards"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each Dashboard"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Dashboards","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Dashboard"}}}}},"206":{"description":"Partial (paged) collection of Dashboards","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Dashboard"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Dashboard","security":[{"BearerAuth":[]}],"operationId":"createDashboard","tags":["Dashboards"],"requestBody":{"required":true,"description":"data for creating a new Dashboard","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"}},"required":["name"]}}}},"responses":{"201":{"description":"Dashboard successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Dashboard"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/dashboards/{id}":{"get":{"summary":"Gets a single Dashboard","security":[{"BearerAuth":[]}],"operationId":"getDashboardById","tags":["Dashboards"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Dashboard to get"}],"responses":{"200":{"description":"Dashboard with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Dashboard"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Dashboard not found"}}},"put":{"summary":"Update an existing Dashboard","security":[{"BearerAuth":[]}],"operationId":"updateDashboardById","tags":["Dashboards"],"requestBody":{"required":true,"description":"data for updating a new Dashboard","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Dashboard to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Dashboard not found"}}}},"/dashboards/{id}/items":{"get":{"summary":"Gets items","security":[{"BearerAuth":[]}],"operationId":"getItems","tags":["Dashboards"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Dashboard"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new item","security":[{"BearerAuth":[]}],"operationId":"addItem","tags":["Dashboards"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Dashboard"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/dashboards/clone":{"post":{"summary":"Clone an existing Dashboard","security":[{"BearerAuth":[]}],"operationId":"cloneDashboard","tags":["Dashboards"],"requestBody":{"required":true,"description":"data for creating a new Dashboard","content":{"application/json":{"schema":{"type":"object","properties":{"id":{"type":"integer","description":"id of the Dashboard to clone"},"name":{"type":"string"},"description":{"type":"string"}},"required":["id"]}}}},"responses":{"201":{"description":"Dashboard successfully cloned. Returns the cloned object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Dashboard"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/dashboards/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Dashboard","security":[{"BearerAuth":[]}],"operationId":"deleteDashboardById","tags":["Dashboards"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Dashboard to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Dashboard not found"}}}},"/dashboards/items/{id}":{"get":{"summary":"Gets a single Dashboard Item","security":[{"BearerAuth":[]}],"operationId":"getDashboardItemById","tags":["Dashboard Items"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the DashboardItem to get"}],"responses":{"200":{"description":"DashboardItem with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/DashboardItem"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"DashboardItem not found"}}},"put":{"summary":"Update an existing item","security":[{"BearerAuth":[]}],"operationId":"update","tags":["Dashboard Items"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the DashboardItem"}],"responses":{"200":{"description":"successfully updated"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/dashboards/items/":{"post":{"summary":"Create dasboard item","security":[{"BearerAuth":[]}],"operationId":"create","tags":["Dashboard Items"],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/dashboards/items/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Dashboard Item","security":[{"BearerAuth":[]}],"operationId":"deleteDashboardItemById","tags":["Dashboard Items"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the DashboardItem to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"DashboardItem not found"}}}},"/dispositions":{"get":{"summary":"Gets a list of Dispositions","security":[{"BearerAuth":[]}],"operationId":"listAllDisposition","tags":["Dispositions"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each Disposition"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Dispositions","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Disposition"}}}}},"206":{"description":"Partial (paged) collection of Dispositions","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Disposition"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Disposition","security":[{"BearerAuth":[]}],"operationId":"createDisposition","tags":["Dispositions"],"requestBody":{"required":true,"description":"data for creating a new Disposition","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"level":{"type":"string","enum":["first","second","third"],"default":"first"},"description":{"type":"string"}},"required":["name","level"]}}}},"responses":{"201":{"description":"Disposition successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Disposition"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/dispositions/{id}":{"get":{"summary":"Gets a single Disposition","security":[{"BearerAuth":[]}],"operationId":"getDispositionById","tags":["Dispositions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Disposition to get"}],"responses":{"200":{"description":"Disposition with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Disposition"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Disposition not found"}}},"put":{"summary":"Update an existing Disposition","security":[{"BearerAuth":[]}],"operationId":"updateDispositionById","tags":["Dispositions"],"requestBody":{"required":true,"description":"data for updating a new Disposition","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"level":{"type":"string","enum":["first","second","third"],"default":"first"},"description":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Disposition to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Disposition not found"}}}},"/dispositions/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Disposition","security":[{"BearerAuth":[]}],"operationId":"deleteDispositionById","tags":["Dispositions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Disposition to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Disposition not found"}}}},"/fax/accounts":{"get":{"summary":"Gets a list of Accounts","security":[{"BearerAuth":[]}],"operationId":"listAllFaxAccount","tags":["Fax Accounts"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each FaxAccount"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/FaxAccount"}}}}},"206":{"description":"Partial (paged) collection of Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/FaxAccount"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Account","security":[{"BearerAuth":[]}],"operationId":"createFaxAccount","tags":["Fax Accounts"],"requestBody":{"required":true,"description":"data for creating a new Account","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"ecm":{"type":"string","enum":["yes","no"],"default":"yes"},"headerinfo":{"type":"string","default":"xCALLY Motion Fax"},"localstationid":{"type":"string"},"minrate":{"type":"string","enum":["2400","4800","7200","9600","12000","14400"],"default":"4800"},"maxrate":{"type":"string","enum":["2400","4800","7200","9600","12000","14400"],"default":"14400"},"modem":{"type":"string","default":"v17,v27,v29"},"gateway":{"type":"string","default":"no"},"faxdetect":{"type":"string","default":"no"},"t38timeout":{"type":"integer","default":5000},"tech":{"type":"string","enum":["SIP","IAX","DADHI","KHOMP"],"default":"SIP"},"key":{"type":"string"},"notificationTemplate":{"type":"string","default":"Account: {{account.name}}
{{#queue}}Queue: {{queue.name}}
{{/queue}}From : {{from}}"},"notificationSound":{"type":"boolean","default":true},"notificationShake":{"type":"boolean","default":false},"waitForTheAssignedAgent":{"type":"integer","default":10},"queueTransfer":{"type":"boolean","default":false},"queueTransferTimeout":{"type":"integer","default":300},"agentTransfer":{"type":"boolean","default":false},"agentTransferTimeout":{"type":"integer","default":300},"mandatoryDispositionPauseId":{"type":"integer","description":"Status to put when mandatory disposition is enabled","default":null},"mandatoryDisposition":{"type":"boolean","description":"Enabled/disables mandatory dispo on a queue","default":false}},"required":["name","key"]}}}},"responses":{"201":{"description":"FaxAccount successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/FaxAccount"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/accounts/describe":{"get":{"summary":"Gets table info about Accounts","security":[{"BearerAuth":[]}],"operationId":"describeFaxAccount","tags":["Fax Accounts"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/accounts/{id}":{"get":{"summary":"Gets a single Account","security":[{"BearerAuth":[]}],"operationId":"getFaxAccountById","tags":["Fax Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxAccount to get"}],"responses":{"200":{"description":"FaxAccount with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/FaxAccount"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FaxAccount not found"}}},"put":{"summary":"Update an existing Account","security":[{"BearerAuth":[]}],"operationId":"updateFaxAccountById","tags":["Fax Accounts"],"requestBody":{"required":true,"description":"data for updating a new Account","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"ecm":{"type":"string","enum":["yes","no"],"default":"yes"},"headerinfo":{"type":"string","default":"xCALLY Motion Fax"},"localstationid":{"type":"string"},"minrate":{"type":"string","enum":["2400","4800","7200","9600","12000","14400"],"default":"4800"},"maxrate":{"type":"string","enum":["2400","4800","7200","9600","12000","14400"],"default":"14400"},"modem":{"type":"string","default":"v17,v27,v29"},"gateway":{"type":"string","default":"no"},"faxdetect":{"type":"string","default":"no"},"t38timeout":{"type":"integer","default":5000},"tech":{"type":"string","enum":["SIP","IAX","DADHI","KHOMP"],"default":"SIP"},"key":{"type":"string"},"notificationTemplate":{"type":"string","default":"Account: {{account.name}}
{{#queue}}Queue: {{queue.name}}
{{/queue}}From : {{from}}"},"notificationSound":{"type":"boolean","default":true},"notificationShake":{"type":"boolean","default":false},"waitForTheAssignedAgent":{"type":"integer","default":10},"queueTransfer":{"type":"boolean","default":false},"queueTransferTimeout":{"type":"integer","default":300},"agentTransfer":{"type":"boolean","default":false},"agentTransferTimeout":{"type":"integer","default":300},"mandatoryDispositionPauseId":{"type":"integer","description":"Status to put when mandatory disposition is enabled","default":null},"mandatoryDisposition":{"type":"boolean","description":"Enabled/disables mandatory dispo on a queue","default":false}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxAccount to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FaxAccount not found"}}}},"/fax/accounts/{id}/dispositions":{"get":{"summary":"Gets account dispositions","security":[{"BearerAuth":[]}],"operationId":"getDispositions","tags":["Fax Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new disposition","security":[{"BearerAuth":[]}],"operationId":"addDisposition","tags":["Fax Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes dispositions from account","security":[{"BearerAuth":[]}],"operationId":"removeDispositions","tags":["Fax Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxAccount"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/accounts/{id}/canned_answers":{"get":{"summary":"Gets account canned answers","security":[{"BearerAuth":[]}],"operationId":"getAnswers","tags":["Fax Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new canned answer","security":[{"BearerAuth":[]}],"operationId":"addAnswer","tags":["Fax Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes canned answers from account","security":[{"BearerAuth":[]}],"operationId":"removeAnswers","tags":["Fax Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxAccount"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/accounts/{id}/interactions":{"get":{"summary":"Gets account interactions","security":[{"BearerAuth":[]}],"operationId":"getInteractions","tags":["Fax Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new interactions","security":[{"BearerAuth":[]}],"operationId":"addInteraction","tags":["Fax Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/accounts/{id}/applications":{"get":{"summary":"Gets account pplications","security":[{"BearerAuth":[]}],"operationId":"getApplications","tags":["Fax Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new applications","security":[{"BearerAuth":[]}],"operationId":"addApplications","tags":["Fax Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/accounts/{id}/messages":{"get":{"summary":"Gets account messages","security":[{"BearerAuth":[]}],"operationId":"getMessages","tags":["Fax Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/accounts/{id}/users":{"get":{"summary":"Gets agents from fax account","security":[{"BearerAuth":[]}],"operationId":"getAgents","tags":["Fax Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add agents to a fax account","security":[{"BearerAuth":[]}],"operationId":"addAgents","tags":["Fax Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes agents from a fax account","security":[{"BearerAuth":[]}],"operationId":"removeAgents","tags":["Fax Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxAccount"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/accounts/addaccountapplications":{"post":{"summary":"Creates new account and applications","security":[{"BearerAuth":[]}],"operationId":"addAccountApplications","tags":["Fax Accounts"],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/accounts/updateaccountapplications":{"post":{"summary":"Update account and applications","security":[{"BearerAuth":[]}],"operationId":"updateAccountApplications","tags":["Fax Accounts"],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/accounts/{id}/send":{"post":{"summary":"Send new fax","security":[{"BearerAuth":[]}],"operationId":"send","tags":["Fax Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/accounts/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Account","security":[{"BearerAuth":[]}],"operationId":"deleteFaxAccountById","tags":["Fax Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxAccount to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FaxAccount not found"}}}},"/fax/applications":{"get":{"summary":"Gets a list of Applications","security":[{"BearerAuth":[]}],"operationId":"listAllFaxApplication","tags":["Fax Applications"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each FaxApplication"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Applications","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/FaxApplication"}}}}},"206":{"description":"Partial (paged) collection of Applications","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/FaxApplication"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Application","security":[{"BearerAuth":[]}],"operationId":"createFaxApplication","tags":["Fax Applications"],"requestBody":{"required":true,"description":"data for creating a new Application","content":{"application/json":{"schema":{"type":"object","properties":{"priority":{"type":"integer"},"app":{"type":"string","default":"noop"},"appdata":{"type":"string"},"description":{"type":"string"},"interval":{"type":"string","default":"*,*,*,*"}},"required":["priority","app"]}}}},"responses":{"201":{"description":"FaxApplication successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/FaxApplication"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/applications/{id}":{"get":{"summary":"Gets a single Application","security":[{"BearerAuth":[]}],"operationId":"getFaxApplicationById","tags":["Fax Applications"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxApplication to get"}],"responses":{"200":{"description":"FaxApplication with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/FaxApplication"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FaxApplication not found"}}},"put":{"summary":"Update an existing Application","security":[{"BearerAuth":[]}],"operationId":"updateFaxApplicationById","tags":["Fax Applications"],"requestBody":{"required":true,"description":"data for updating a new Application","content":{"application/json":{"schema":{"type":"object","properties":{"priority":{"type":"integer"},"app":{"type":"string","default":"noop"},"appdata":{"type":"string"},"description":{"type":"string"},"interval":{"type":"string","default":"*,*,*,*"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxApplication to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FaxApplication not found"}}}},"/fax/applications/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Application","security":[{"BearerAuth":[]}],"operationId":"deleteFaxApplicationById","tags":["Fax Applications"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxApplication to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FaxApplication not found"}}}},"/fax/interactions":{"get":{"summary":"Gets a list of Interactions","security":[{"BearerAuth":[]}],"operationId":"listAllFaxInteraction","tags":["Fax Interactions"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each FaxInteraction"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Interactions","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/FaxInteraction"}}}}},"206":{"description":"Partial (paged) collection of Interactions","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/FaxInteraction"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Interaction","security":[{"BearerAuth":[]}],"operationId":"createFaxInteraction","tags":["Fax Interactions"],"requestBody":{"required":true,"description":"data for creating a new Interaction","content":{"application/json":{"schema":{"type":"object","properties":{"closed":{"type":"boolean","default":false},"closedAt":{"type":"string"},"disposition":{"type":"string"},"secondDisposition":{"type":"string"},"thirdDisposition":{"type":"string"},"note":{"type":"string"},"read1stAt":{"type":"string"},"fax":{"type":"string"},"firstMsgDirection":{"type":"string","enum":["in","out"],"default":"in"},"lastMsgAt":{"type":"string"},"lastMsgDirection":{"type":"string","enum":["in","out"],"default":"in"}},"required":["firstMsgDirection","lastMsgDirection"]}}}},"responses":{"201":{"description":"FaxInteraction successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/FaxInteraction"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/interactions/describe":{"get":{"summary":"Gets table info about Interactions","security":[{"BearerAuth":[]}],"operationId":"describeFaxInteraction","tags":["Fax Interactions"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/interactions/{id}":{"get":{"summary":"Gets a single Interaction","security":[{"BearerAuth":[]}],"operationId":"getFaxInteractionById","tags":["Fax Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxInteraction to get"}],"responses":{"200":{"description":"FaxInteraction with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/FaxInteraction"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FaxInteraction not found"}}},"put":{"summary":"Update an existing Interaction","security":[{"BearerAuth":[]}],"operationId":"updateFaxInteractionById","tags":["Fax Interactions"],"requestBody":{"required":true,"description":"data for updating a new Interaction","content":{"application/json":{"schema":{"type":"object","properties":{"closed":{"type":"boolean","default":false},"closedAt":{"type":"string"},"disposition":{"type":"string"},"secondDisposition":{"type":"string"},"thirdDisposition":{"type":"string"},"note":{"type":"string"},"read1stAt":{"type":"string"},"fax":{"type":"string"},"firstMsgDirection":{"type":"string","enum":["in","out"],"default":"in"},"lastMsgAt":{"type":"string"},"lastMsgDirection":{"type":"string","enum":["in","out"],"default":"in"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxInteraction to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FaxInteraction not found"}}}},"/fax/interactions/{id}/messages":{"get":{"summary":"Gets interaction messages","security":[{"BearerAuth":[]}],"operationId":"getMessages","tags":["Fax Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxInteraction"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new messages","security":[{"BearerAuth":[]}],"operationId":"addMessage","tags":["Fax Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxInteraction"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/interactions/{id}/download":{"get":{"summary":"Get interactions","security":[{"BearerAuth":[]}],"operationId":"download","tags":["Fax Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxInteraction"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/interactions/{id}/tags":{"post":{"summary":"Add tags to the interaction","security":[{"BearerAuth":[]}],"operationId":"addTags","tags":["Fax Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxInteraction"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes tags from interaction","security":[{"BearerAuth":[]}],"operationId":"removeTags","tags":["Fax Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxInteraction"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/interactions/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Interaction","security":[{"BearerAuth":[]}],"operationId":"deleteFaxInteractionById","tags":["Fax Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxInteraction to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FaxInteraction not found"}}}},"/fax/messages":{"get":{"summary":"Gets a list of Messages","security":[{"BearerAuth":[]}],"operationId":"listAllFaxMessage","tags":["Fax Messages"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each FaxMessage"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Messages","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/FaxMessage"}}}}},"206":{"description":"Partial (paged) collection of Messages","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/FaxMessage"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/messages/describe":{"get":{"summary":"Gets table info about Messages","security":[{"BearerAuth":[]}],"operationId":"describeFaxMessage","tags":["Fax Messages"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/messages/{id}":{"get":{"summary":"Gets a single Message","security":[{"BearerAuth":[]}],"operationId":"getFaxMessageById","tags":["Fax Messages"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxMessage to get"}],"responses":{"200":{"description":"FaxMessage with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/FaxMessage"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FaxMessage not found"}}},"put":{"summary":"Update an existing Message","security":[{"BearerAuth":[]}],"operationId":"updateFaxMessageById","tags":["Fax Messages"],"requestBody":{"required":true,"description":"data for updating a new Message","content":{"application/json":{"schema":{"type":"object","properties":{"body":{"type":"string"},"read":{"type":"boolean","default":false},"direction":{"type":"string","enum":["in","out"],"default":"out"},"failMessage":{"type":"string","default":null},"readAt":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxMessage to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FaxMessage not found"}}}},"/fax/messages/{id}/download":{"get":{"summary":"Get message","security":[{"BearerAuth":[]}],"operationId":"download","tags":["Fax Message"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxMessage"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/messages/":{"post":{"summary":"Create message and send Fax","security":[{"BearerAuth":[]}],"operationId":"create","tags":["Fax Messages"],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/messages/{id}/accept":{"put":{"summary":"Accepts message","security":[{"BearerAuth":[]}],"operationId":"accept","tags":["Fax Messages"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxMessage"}],"responses":{"200":{"description":"successfully updated"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/messages/{id}/reject":{"put":{"summary":"Rejects message","security":[{"BearerAuth":[]}],"operationId":"reject","tags":["Fax Messages"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxMessage"}],"responses":{"200":{"description":"successfully updated"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/messages/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Message","security":[{"BearerAuth":[]}],"operationId":"deleteFaxMessageById","tags":["Fax Messages"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxMessage to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FaxMessage not found"}}}},"/fax/queues":{"get":{"summary":"Gets a list of Queues","security":[{"BearerAuth":[]}],"operationId":"listAllFaxQueue","tags":["Fax Queues"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each FaxQueue"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Queues","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/FaxQueue"}}}}},"206":{"description":"Partial (paged) collection of Queues","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/FaxQueue"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Queue","security":[{"BearerAuth":[]}],"operationId":"createFaxQueue","tags":["Fax Queues"],"requestBody":{"required":true,"description":"data for creating a new Queue","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"timeout":{"type":"integer"},"strategy":{"type":"string","enum":["rrmemory","beepall","roundrobin"]},"lastAgent":{"type":"integer","default":0}},"required":["name","timeout","strategy"]}}}},"responses":{"201":{"description":"FaxQueue successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/FaxQueue"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/queues/describe":{"get":{"summary":"Gets table info about Queues","security":[{"BearerAuth":[]}],"operationId":"describeFaxQueue","tags":["Fax Queues"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/queues/{id}":{"get":{"summary":"Gets a single Queue","security":[{"BearerAuth":[]}],"operationId":"getFaxQueueById","tags":["Fax Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxQueue to get"}],"responses":{"200":{"description":"FaxQueue with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/FaxQueue"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FaxQueue not found"}}},"put":{"summary":"Update an existing Queue","security":[{"BearerAuth":[]}],"operationId":"updateFaxQueueById","tags":["Fax Queues"],"requestBody":{"required":true,"description":"data for updating a new Queue","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"timeout":{"type":"integer"},"strategy":{"type":"string","enum":["rrmemory","beepall","roundrobin"]},"lastAgent":{"type":"integer","default":0}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxQueue to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FaxQueue not found"}}}},"/fax/queues/{id}/members":{"get":{"summary":"GetMembers","security":[{"BearerAuth":[]}],"operationId":"getMembers","tags":["Fax Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxQueue"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/queues/{id}/teams":{"get":{"summary":"Gets queues list","security":[{"BearerAuth":[]}],"operationId":"getTeams","tags":["Fax Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxQueue"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add teams to a queue","security":[{"BearerAuth":[]}],"operationId":"addTeams","tags":["Fax Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxQueue"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Remove teams from a queue","security":[{"BearerAuth":[]}],"operationId":"removeTeams","tags":["Teams"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxQueue"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/queues/{id}/users":{"get":{"summary":"Gets queue agents","security":[{"BearerAuth":[]}],"operationId":"getAgents","tags":["Fax Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxQueue"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add agents to a queue","security":[{"BearerAuth":[]}],"operationId":"addAgents","tags":["Fax Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxQueue"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes agents from a queue","security":[{"BearerAuth":[]}],"operationId":"removeAgents","tags":["Fax Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxQueue"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/queues/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Queue","security":[{"BearerAuth":[]}],"operationId":"deleteFaxQueueById","tags":["Fax Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxQueue to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FaxQueue not found"}}}},"/fax/reports/queue":{"get":{"summary":"Gets a list of Fax Queue Reports","security":[{"BearerAuth":[]}],"operationId":"listAllFaxQueueReport","tags":["Fax Queue Reports"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each FaxQueueReport"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Fax Queue Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/FaxQueueReport"}}}}},"206":{"description":"Partial (paged) collection of Fax Queue Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/FaxQueueReport"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Fax Queue Report","security":[{"BearerAuth":[]}],"operationId":"createFaxQueueReport","tags":["Fax Queue Reports"],"requestBody":{"required":true,"description":"data for creating a new Fax Queue Report","content":{"application/json":{"schema":{"type":"object","properties":{"uniqueid":{"type":"string"},"from":{"type":"string"},"joinAt":{"type":"string"},"leaveAt":{"type":"string"},"acceptAt":{"type":"string"},"exitAt":{"type":"string"},"reason":{"type":"string"}},"required":["uniqueid"]}}}},"responses":{"201":{"description":"FaxQueueReport successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/FaxQueueReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/reports/queue/describe":{"get":{"summary":"Gets table info about Fax Queue Reports","security":[{"BearerAuth":[]}],"operationId":"describeFaxQueueReport","tags":["Fax Queue Reports"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/reports/queue/{id}":{"get":{"summary":"Gets a single Fax Queue Report","security":[{"BearerAuth":[]}],"operationId":"getFaxQueueReportById","tags":["Fax Queue Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxQueueReport to get"}],"responses":{"200":{"description":"FaxQueueReport with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/FaxQueueReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FaxQueueReport not found"}}},"put":{"summary":"Update an existing Fax Queue Report","security":[{"BearerAuth":[]}],"operationId":"updateFaxQueueReportById","tags":["Fax Queue Reports"],"requestBody":{"required":true,"description":"data for updating a new Fax Queue Report","content":{"application/json":{"schema":{"type":"object","properties":{"uniqueid":{"type":"string"},"from":{"type":"string"},"joinAt":{"type":"string"},"leaveAt":{"type":"string"},"acceptAt":{"type":"string"},"exitAt":{"type":"string"},"reason":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxQueueReport to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FaxQueueReport not found"}}}},"/fax/reports/queue/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Fax Queue Report","security":[{"BearerAuth":[]}],"operationId":"deleteFaxQueueReportById","tags":["Fax Queue Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxQueueReport to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FaxQueueReport not found"}}}},"/fax/reports/transfer":{"get":{"summary":"Gets a list of Fax Transfer Reports","security":[{"BearerAuth":[]}],"operationId":"listAllFaxTransferReport","tags":["Fax Transfer Reports"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each FaxTransferReport"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Fax Transfer Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/FaxTransferReport"}}}}},"206":{"description":"Partial (paged) collection of Fax Transfer Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/FaxTransferReport"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Fax Transfer Report","security":[{"BearerAuth":[]}],"operationId":"createFaxTransferReport","tags":["Fax Transfer Reports"],"requestBody":{"required":true,"description":"data for creating a new Fax Transfer Report","content":{"application/json":{"schema":{"type":"object","properties":{"uniqueid":{"type":"string"},"type":{"type":"string","enum":["account","agent","queue"],"default":"queue"},"transferredAt":{"type":"string","default":"NOW"}},"required":["uniqueid","type","transferredAt"]}}}},"responses":{"201":{"description":"FaxTransferReport successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/FaxTransferReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/reports/transfer/describe":{"get":{"summary":"Gets table info about Fax Transfer Reports","security":[{"BearerAuth":[]}],"operationId":"describeFaxTransferReport","tags":["Fax Transfer Reports"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/fax/reports/transfer/{id}":{"get":{"summary":"Gets a single Fax Transfer Report","security":[{"BearerAuth":[]}],"operationId":"getFaxTransferReportById","tags":["Fax Transfer Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxTransferReport to get"}],"responses":{"200":{"description":"FaxTransferReport with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/FaxTransferReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FaxTransferReport not found"}}},"put":{"summary":"Update an existing Fax Transfer Report","security":[{"BearerAuth":[]}],"operationId":"updateFaxTransferReportById","tags":["Fax Transfer Reports"],"requestBody":{"required":true,"description":"data for updating a new Fax Transfer Report","content":{"application/json":{"schema":{"type":"object","properties":{"uniqueid":{"type":"string"},"type":{"type":"string","enum":["account","agent","queue"],"default":"queue"},"transferredAt":{"type":"string","default":"NOW"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxTransferReport to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FaxTransferReport not found"}}}},"/fax/reports/transfer/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Fax Transfer Report","security":[{"BearerAuth":[]}],"operationId":"deleteFaxTransferReportById","tags":["Fax Transfer Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FaxTransferReport to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FaxTransferReport not found"}}}},"/integrations/desk/accounts":{"get":{"summary":"Gets a list of Desk Accounts","security":[{"BearerAuth":[]}],"operationId":"listAllDeskAccount","tags":["Desk Accounts"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each DeskAccount"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Desk Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/DeskAccount"}}}}},"206":{"description":"Partial (paged) collection of Desk Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/DeskAccount"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Desk Account","security":[{"BearerAuth":[]}],"operationId":"createDeskAccount","tags":["Desk Accounts"],"requestBody":{"required":true,"description":"data for creating a new Desk Account","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"username":{"type":"string"},"remoteUri":{"type":"string"},"authType":{"type":"string","enum":["basic"],"default":"basic"},"password":{"type":"string"},"consumerKey":{"type":"string"},"consumerSecret":{"type":"string"},"token":{"type":"string"},"tokenSecret":{"type":"string"},"serverUrl":{"type":"string"},"type":{"type":"string","enum":["integrationTab","newTab"],"default":"integrationTab"}}}}}},"responses":{"201":{"description":"DeskAccount successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/DeskAccount"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/desk/accounts/{id}":{"get":{"summary":"Gets a single Desk Account","security":[{"BearerAuth":[]}],"operationId":"getDeskAccountById","tags":["Desk Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the DeskAccount to get"}],"responses":{"200":{"description":"DeskAccount with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/DeskAccount"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"DeskAccount not found"}}},"put":{"summary":"Update an existing Desk Account","security":[{"BearerAuth":[]}],"operationId":"updateDeskAccountById","tags":["Desk Accounts"],"requestBody":{"required":true,"description":"data for updating a new Desk Account","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"username":{"type":"string"},"remoteUri":{"type":"string"},"authType":{"type":"string","enum":["basic"],"default":"basic"},"password":{"type":"string"},"consumerKey":{"type":"string"},"consumerSecret":{"type":"string"},"token":{"type":"string"},"tokenSecret":{"type":"string"},"serverUrl":{"type":"string"},"type":{"type":"string","enum":["integrationTab","newTab"],"default":"integrationTab"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the DeskAccount to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"DeskAccount not found"}}}},"/integrations/desk/accounts/{id}/configurations":{"get":{"summary":"Gets account configurations","security":[{"BearerAuth":[]}],"operationId":"getConfigurations","tags":["Desk Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the DeskAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new configuration","security":[{"BearerAuth":[]}],"operationId":"addConfiguration","tags":["Desk Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the DeskAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/desk/accounts/{id}/fields":{"get":{"summary":"Gets account fields","security":[{"BearerAuth":[]}],"operationId":"getFields","tags":["Desk Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the DeskAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/desk/accounts/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Desk Account","security":[{"BearerAuth":[]}],"operationId":"deleteDeskAccountById","tags":["Desk Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the DeskAccount to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"DeskAccount not found"}}}},"/integrations/desk/configurations":{"get":{"summary":"Gets a list of Desk Configurations","security":[{"BearerAuth":[]}],"operationId":"listAllDeskConfiguration","tags":["Desk Configurations"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each DeskConfiguration"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Desk Configurations","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/DeskConfiguration"}}}}},"206":{"description":"Partial (paged) collection of Desk Configurations","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/DeskConfiguration"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Desk Configuration","security":[{"BearerAuth":[]}],"operationId":"createDeskConfiguration","tags":["Desk Configurations"],"requestBody":{"required":true,"description":"data for creating a new Desk Configuration","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"}}}}}},"responses":{"201":{"description":"DeskConfiguration successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/DeskConfiguration"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/desk/configurations/{id}":{"get":{"summary":"Gets a single Desk Configuration","security":[{"BearerAuth":[]}],"operationId":"getDeskConfigurationById","tags":["Desk Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the DeskConfiguration to get"}],"responses":{"200":{"description":"DeskConfiguration with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/DeskConfiguration"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"DeskConfiguration not found"}}},"put":{"summary":"Update an existing Desk Configuration","security":[{"BearerAuth":[]}],"operationId":"updateDeskConfigurationById","tags":["Desk Configurations"],"requestBody":{"required":true,"description":"data for updating a new Desk Configuration","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the DeskConfiguration to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"DeskConfiguration not found"}}}},"/integrations/desk/configurations/{id}/fields":{"get":{"summary":"Gets configurations fields","security":[{"BearerAuth":[]}],"operationId":"getFields","tags":["Desk Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the DeskConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/desk/configurations/{id}/subjects":{"get":{"summary":"Gets configurations subjects","security":[{"BearerAuth":[]}],"operationId":"getSubjects","tags":["Desk Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the DeskConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/desk/configurations/{id}/descriptions":{"get":{"summary":"Gets configurations descriptions","security":[{"BearerAuth":[]}],"operationId":"getDescriptions","tags":["Desk Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the DeskConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/desk/configurations/{id}/tags":{"get":{"summary":"Gets configurations tags","security":[{"BearerAuth":[]}],"operationId":"getTags","tags":["Desk Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the DeskConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Sets new tags","security":[{"BearerAuth":[]}],"operationId":"setTags","tags":["Desk Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the DeskConfiguration"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/desk/configurations/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Desk Configuration","security":[{"BearerAuth":[]}],"operationId":"deleteDeskConfigurationById","tags":["Desk Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the DeskConfiguration to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"DeskConfiguration not found"}}}},"/integrations/desk/fields":{"get":{"summary":"Gets a list of Desk Fields","security":[{"BearerAuth":[]}],"operationId":"listAllDeskField","tags":["Desk Fields"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each DeskField"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Desk Fields","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/DeskField"}}}}},"206":{"description":"Partial (paged) collection of Desk Fields","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/DeskField"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Desk Field","security":[{"BearerAuth":[]}],"operationId":"createDeskField","tags":["Desk Fields"],"requestBody":{"required":true,"description":"data for creating a new Desk Field","content":{"application/json":{"schema":{"type":"object","properties":{"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"default":"string"},"content":{"type":"string"},"key":{"type":"string"},"keyType":{"type":"string","enum":["string","variable","customVariable"]},"keyContent":{"type":"string"},"idField":{"type":"string"},"nameField":{"type":"string"},"customField":{"type":"boolean","default":true},"variableName":{"type":"string"}}}}}},"responses":{"201":{"description":"DeskField successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/DeskField"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/desk/fields/{id}":{"get":{"summary":"Gets a single Desk Field","security":[{"BearerAuth":[]}],"operationId":"getDeskFieldById","tags":["Desk Fields"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the DeskField to get"}],"responses":{"200":{"description":"DeskField with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/DeskField"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"DeskField not found"}}},"put":{"summary":"Update an existing Desk Field","security":[{"BearerAuth":[]}],"operationId":"updateDeskFieldById","tags":["Desk Fields"],"requestBody":{"required":true,"description":"data for updating a new Desk Field","content":{"application/json":{"schema":{"type":"object","properties":{"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"default":"string"},"content":{"type":"string"},"key":{"type":"string"},"keyType":{"type":"string","enum":["string","variable","customVariable"]},"keyContent":{"type":"string"},"idField":{"type":"string"},"nameField":{"type":"string"},"customField":{"type":"boolean","default":true},"variableName":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the DeskField to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"DeskField not found"}}}},"/integrations/desk/fields/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Desk Field","security":[{"BearerAuth":[]}],"operationId":"deleteDeskFieldById","tags":["Desk Fields"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the DeskField to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"DeskField not found"}}}},"/integrations/dynamics365/accounts":{"get":{"summary":"Gets a list of Dynamics365 Accounts","security":[{"BearerAuth":[]}],"operationId":"listAllDynamics365Account","tags":["Dynamics365 Accounts"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each Dynamics365Account"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Dynamics365 Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Dynamics365Account"}}}}},"206":{"description":"Partial (paged) collection of Dynamics365 Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Dynamics365Account"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Dynamics365 Account","security":[{"BearerAuth":[]}],"operationId":"createDynamics365Account","tags":["Dynamics365 Accounts"],"requestBody":{"required":true,"description":"data for creating a new Dynamics365 Account","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"username":{"type":"string"},"password":{"type":"string"},"remoteUri":{"type":"string"},"tenantId":{"type":"string"},"clientId":{"type":"string"},"clientSecret":{"type":"string"},"serverUrl":{"type":"string"},"description":{"type":"string"}}}}}},"responses":{"201":{"description":"Dynamics365Account successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Dynamics365Account"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/dynamics365/accounts/{id}":{"get":{"summary":"Gets a single Dynamics365 Account","security":[{"BearerAuth":[]}],"operationId":"getDynamics365AccountById","tags":["Dynamics365 Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Dynamics365Account to get"}],"responses":{"200":{"description":"Dynamics365Account with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Dynamics365Account"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Dynamics365Account not found"}}},"put":{"summary":"Update an existing Dynamics365 Account","security":[{"BearerAuth":[]}],"operationId":"updateDynamics365AccountById","tags":["Dynamics365 Accounts"],"requestBody":{"required":true,"description":"data for updating a new Dynamics365 Account","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"username":{"type":"string"},"password":{"type":"string"},"remoteUri":{"type":"string"},"tenantId":{"type":"string"},"clientId":{"type":"string"},"clientSecret":{"type":"string"},"serverUrl":{"type":"string"},"description":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Dynamics365Account to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Dynamics365Account not found"}}}},"/integrations/dynamics365/accounts/{id}/configurations":{"get":{"summary":"Gets account configurations","security":[{"BearerAuth":[]}],"operationId":"getConfigurations","tags":["Dynamics365 Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Dynamics365Account"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new configuration","security":[{"BearerAuth":[]}],"operationId":"addConfiguration","tags":["Dynamics365 Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Dynamics365Account"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/dynamics365/accounts/{id}/fields":{"get":{"summary":"Gets account fields","security":[{"BearerAuth":[]}],"operationId":"getFields","tags":["Dynamics365 Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Dynamics365Account"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/dynamics365/accounts/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Dynamics365 Account","security":[{"BearerAuth":[]}],"operationId":"deleteDynamics365AccountById","tags":["Dynamics365 Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Dynamics365Account to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Dynamics365Account not found"}}}},"/integrations/dynamics365/configurations":{"get":{"summary":"Gets a list of Dynamics365 Configurations","security":[{"BearerAuth":[]}],"operationId":"listAllDynamics365Configuration","tags":["Dynamics365 Configurations"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each Dynamics365Configuration"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Dynamics365 Configurations","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Dynamics365Configuration"}}}}},"206":{"description":"Partial (paged) collection of Dynamics365 Configurations","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Dynamics365Configuration"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Dynamics365 Configuration","security":[{"BearerAuth":[]}],"operationId":"createDynamics365Configuration","tags":["Dynamics365 Configurations"],"requestBody":{"required":true,"description":"data for creating a new Dynamics365 Configuration","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"ticketType":{"type":"string","enum":["incident","phonecall"],"default":"incident"}}}}}},"responses":{"201":{"description":"Dynamics365Configuration successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Dynamics365Configuration"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/dynamics365/configurations/{id}":{"get":{"summary":"Gets a single Dynamics365 Configuration","security":[{"BearerAuth":[]}],"operationId":"getDynamics365ConfigurationById","tags":["Dynamics365 Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Dynamics365Configuration to get"}],"responses":{"200":{"description":"Dynamics365Configuration with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Dynamics365Configuration"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Dynamics365Configuration not found"}}},"put":{"summary":"Update an existing Dynamics365 Configuration","security":[{"BearerAuth":[]}],"operationId":"updateDynamics365ConfigurationById","tags":["Dynamics365 Configurations"],"requestBody":{"required":true,"description":"data for updating a new Dynamics365 Configuration","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"ticketType":{"type":"string","enum":["incident","phonecall"],"default":"incident"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Dynamics365Configuration to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Dynamics365Configuration not found"}}}},"/integrations/dynamics365/configurations/{id}/fields":{"get":{"summary":"Gets configurations fields","security":[{"BearerAuth":[]}],"operationId":"getFields","tags":["Dynamics365 Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Dynamics365Configuration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/dynamics365/configurations/{id}/subjects":{"get":{"summary":"Gets configurations subjects","security":[{"BearerAuth":[]}],"operationId":"getSubjects","tags":["Dynamics365 Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Dynamics365Configuration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/dynamics365/configurations/{id}/descriptions":{"get":{"summary":"Gets configurations descriptions","security":[{"BearerAuth":[]}],"operationId":"getDescriptions","tags":["Dynamics365 Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Dynamics365Configuration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/dynamics365/configurations/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Dynamics365 Configuration","security":[{"BearerAuth":[]}],"operationId":"deleteDynamics365ConfigurationById","tags":["Dynamics365 Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Dynamics365Configuration to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Dynamics365Configuration not found"}}}},"/integrations/dynamics365/fields":{"get":{"summary":"Gets a list of Dynamics365 Fields","security":[{"BearerAuth":[]}],"operationId":"listAllDynamics365Field","tags":["Dynamics365 Fields"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each Dynamics365Field"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Dynamics365 Fields","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Dynamics365Field"}}}}},"206":{"description":"Partial (paged) collection of Dynamics365 Fields","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Dynamics365Field"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Dynamics365 Field","security":[{"BearerAuth":[]}],"operationId":"createDynamics365Field","tags":["Dynamics365 Fields"],"requestBody":{"required":true,"description":"data for creating a new Dynamics365 Field","content":{"application/json":{"schema":{"type":"object","properties":{"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"default":"string"},"content":{"type":"string"},"key":{"type":"string"},"keyType":{"type":"string","enum":["string","variable","customVariable"]},"keyContent":{"type":"string"},"idField":{"type":"string"},"nameField":{"type":"string"},"customField":{"type":"boolean","default":true},"variableName":{"type":"string"}}}}}},"responses":{"201":{"description":"Dynamics365Field successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Dynamics365Field"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/dynamics365/fields/{id}":{"get":{"summary":"Gets a single Dynamics365 Field","security":[{"BearerAuth":[]}],"operationId":"getDynamics365FieldById","tags":["Dynamics365 Fields"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Dynamics365Field to get"}],"responses":{"200":{"description":"Dynamics365Field with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Dynamics365Field"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Dynamics365Field not found"}}},"put":{"summary":"Update an existing Dynamics365 Field","security":[{"BearerAuth":[]}],"operationId":"updateDynamics365FieldById","tags":["Dynamics365 Fields"],"requestBody":{"required":true,"description":"data for updating a new Dynamics365 Field","content":{"application/json":{"schema":{"type":"object","properties":{"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"default":"string"},"content":{"type":"string"},"key":{"type":"string"},"keyType":{"type":"string","enum":["string","variable","customVariable"]},"keyContent":{"type":"string"},"idField":{"type":"string"},"nameField":{"type":"string"},"customField":{"type":"boolean","default":true},"variableName":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Dynamics365Field to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Dynamics365Field not found"}}}},"/integrations/dynamics365/fields/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Dynamics365 Field","security":[{"BearerAuth":[]}],"operationId":"deleteDynamics365FieldById","tags":["Dynamics365 Fields"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Dynamics365Field to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Dynamics365Field not found"}}}},"/integrations":{"get":{"summary":"Gets a list of Integrations","security":[{"BearerAuth":[]}],"operationId":"listAllIntegration","tags":["Integrations"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each Integration"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Integrations","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Integration"}}}}},"206":{"description":"Partial (paged) collection of Integrations","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Integration"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Integration","security":[{"BearerAuth":[]}],"operationId":"createIntegration","tags":["Integrations"],"requestBody":{"required":true,"description":"data for creating a new Integration","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"version":{"type":"string"},"main":{"type":"string","default":"app.js"},"filename":{"type":"string"},"path":{"type":"string"},"type":{"type":"string"},"size":{"type":"integer"},"active":{"type":"boolean","default":false},"author":{"type":"string"},"logo":{"type":"string"},"state":{"type":"string"},"description":{"type":"string"},"title":{"type":"string"},"remoteUri":{"type":"string"},"link":{"type":"string","default":"#"}},"required":["name","version"]}}}},"responses":{"201":{"description":"Integration successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Integration"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/{id}":{"get":{"summary":"Gets a single Integration","security":[{"BearerAuth":[]}],"operationId":"getIntegrationById","tags":["Integrations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Integration to get"}],"responses":{"200":{"description":"Integration with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Integration"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Integration not found"}}},"put":{"summary":"Update an existing Integration","security":[{"BearerAuth":[]}],"operationId":"updateIntegrationById","tags":["Integrations"],"requestBody":{"required":true,"description":"data for updating a new Integration","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"version":{"type":"string"},"main":{"type":"string","default":"app.js"},"filename":{"type":"string"},"path":{"type":"string"},"type":{"type":"string"},"size":{"type":"integer"},"active":{"type":"boolean","default":false},"author":{"type":"string"},"logo":{"type":"string"},"state":{"type":"string"},"description":{"type":"string"},"title":{"type":"string"},"remoteUri":{"type":"string"},"link":{"type":"string","default":"#"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Integration to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Integration not found"}}}},"/integrations/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Integration","security":[{"BearerAuth":[]}],"operationId":"deleteIntegrationById","tags":["Integrations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Integration to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Integration not found"}}}},"/integrations/reports":{"get":{"summary":"Gets a list of Integration Reports","security":[{"BearerAuth":[]}],"operationId":"listAllIntegrationReport","tags":["Integration Reports"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each IntegrationReport"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Integration Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/IntegrationReport"}}}}},"206":{"description":"Partial (paged) collection of Integration Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/IntegrationReport"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Integration Report","security":[{"BearerAuth":[]}],"operationId":"createIntegrationReport","tags":["Integration Reports"],"requestBody":{"required":true,"description":"data for creating a new Integration Report","content":{"application/json":{"schema":{"type":"object","properties":{"integration":{"type":"string"},"eventChannel":{"type":"string"},"exitStatus":{"type":"string"},"ticketId":{"type":"string"},"integrationId":{"type":"integer"},"contacts":{"type":"string"},"uniqueid":{"type":"string"},"calleridnum":{"type":"string"},"calleridname":{"type":"string"},"queue":{"type":"string"},"interface":{"type":"string"},"membername":{"type":"string"},"agentcalledAt":{"type":"string"},"agentconnectAt":{"type":"string"},"holdtime":{"type":"integer"},"agentcomplete":{"type":"boolean","default":false},"agentcompleteAt":{"type":"string"},"talktime":{"type":"integer"},"agentacw":{"type":"boolean","default":false},"acwtime":{"type":"integer"},"reason":{"type":"string"},"agentringnoanswer":{"type":"boolean","default":false},"agentringnoanswerAt":{"type":"string"},"agentdump":{"type":"boolean","default":false},"agentdumpAt":{"type":"string"},"lastevent":{"type":"string"},"channel":{"type":"string"},"channelstate":{"type":"integer"},"channelstatedesc":{"type":"string"},"connectedlinenum":{"type":"string"},"connectedlinename":{"type":"string"},"language":{"type":"string"},"accountcode":{"type":"string"},"context":{"type":"string"},"exten":{"type":"string"},"priority":{"type":"string"},"destchannel":{"type":"string"},"destchannelstate":{"type":"integer"},"destchannelstatedesc":{"type":"string"},"destcalleridnum":{"type":"string"},"destcalleridname":{"type":"string"},"destconnectedlinenum":{"type":"string"},"destconnectedlinename":{"type":"string"},"destlanguage":{"type":"string"},"destaccountcode":{"type":"string"},"destcontext":{"type":"string"},"destexten":{"type":"string"},"destpriority":{"type":"string"},"destuniqueid":{"type":"string"},"messageId":{"type":"string"},"inReplyTo":{"type":"string"},"subject":{"type":"string"},"from":{"type":"string"},"to":{"type":"string"},"cc":{"type":"string"},"attachment":{"type":"string"},"html":{"type":"string"},"text":{"type":"string"},"status":{"type":"string","enum":["SENT","SENDING","RECEIVED","FAILED"]},"url":{"type":"string"},"app":{"type":"string"},"appdata":{"type":"string"},"projectId":{"type":"integer"}}}}}},"responses":{"201":{"description":"IntegrationReport successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/IntegrationReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/reports/describe":{"get":{"summary":"Gets table info about Integration Reports","security":[{"BearerAuth":[]}],"operationId":"describeIntegrationReport","tags":["Integration Reports"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/reports/{id}":{"get":{"summary":"Gets a single Integration Report","security":[{"BearerAuth":[]}],"operationId":"getIntegrationReportById","tags":["Integration Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the IntegrationReport to get"}],"responses":{"200":{"description":"IntegrationReport with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/IntegrationReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"IntegrationReport not found"}}},"put":{"summary":"Update an existing Integration Report","security":[{"BearerAuth":[]}],"operationId":"updateIntegrationReportById","tags":["Integration Reports"],"requestBody":{"required":true,"description":"data for updating a new Integration Report","content":{"application/json":{"schema":{"type":"object","properties":{"integration":{"type":"string"},"eventChannel":{"type":"string"},"exitStatus":{"type":"string"},"ticketId":{"type":"string"},"integrationId":{"type":"integer"},"contacts":{"type":"string"},"uniqueid":{"type":"string"},"calleridnum":{"type":"string"},"calleridname":{"type":"string"},"queue":{"type":"string"},"interface":{"type":"string"},"membername":{"type":"string"},"agentcalledAt":{"type":"string"},"agentconnectAt":{"type":"string"},"holdtime":{"type":"integer"},"agentcomplete":{"type":"boolean","default":false},"agentcompleteAt":{"type":"string"},"talktime":{"type":"integer"},"agentacw":{"type":"boolean","default":false},"acwtime":{"type":"integer"},"reason":{"type":"string"},"agentringnoanswer":{"type":"boolean","default":false},"agentringnoanswerAt":{"type":"string"},"agentdump":{"type":"boolean","default":false},"agentdumpAt":{"type":"string"},"lastevent":{"type":"string"},"channel":{"type":"string"},"channelstate":{"type":"integer"},"channelstatedesc":{"type":"string"},"connectedlinenum":{"type":"string"},"connectedlinename":{"type":"string"},"language":{"type":"string"},"accountcode":{"type":"string"},"context":{"type":"string"},"exten":{"type":"string"},"priority":{"type":"string"},"destchannel":{"type":"string"},"destchannelstate":{"type":"integer"},"destchannelstatedesc":{"type":"string"},"destcalleridnum":{"type":"string"},"destcalleridname":{"type":"string"},"destconnectedlinenum":{"type":"string"},"destconnectedlinename":{"type":"string"},"destlanguage":{"type":"string"},"destaccountcode":{"type":"string"},"destcontext":{"type":"string"},"destexten":{"type":"string"},"destpriority":{"type":"string"},"destuniqueid":{"type":"string"},"messageId":{"type":"string"},"inReplyTo":{"type":"string"},"subject":{"type":"string"},"from":{"type":"string"},"to":{"type":"string"},"cc":{"type":"string"},"attachment":{"type":"string"},"html":{"type":"string"},"text":{"type":"string"},"status":{"type":"string","enum":["SENT","SENDING","RECEIVED","FAILED"]},"url":{"type":"string"},"app":{"type":"string"},"appdata":{"type":"string"},"projectId":{"type":"integer"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the IntegrationReport to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"IntegrationReport not found"}}}},"/integrations/reports/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Integration Report","security":[{"BearerAuth":[]}],"operationId":"deleteIntegrationReportById","tags":["Integration Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the IntegrationReport to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"IntegrationReport not found"}}}},"/intervals":{"get":{"summary":"Gets a list of Intervals","security":[{"BearerAuth":[]}],"operationId":"listAllInterval","tags":["Intervals"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each Interval"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Intervals","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Interval"}}}}},"206":{"description":"Partial (paged) collection of Intervals","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Interval"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Interval","security":[{"BearerAuth":[]}],"operationId":"createInterval","tags":["Intervals"],"requestBody":{"required":true,"description":"data for creating a new Interval","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"interval":{"type":"string"}}}}}},"responses":{"201":{"description":"Interval successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Interval"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/intervals/{id}":{"get":{"summary":"Gets a single Interval","security":[{"BearerAuth":[]}],"operationId":"getIntervalById","tags":["Intervals"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Interval to get"}],"responses":{"200":{"description":"Interval with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Interval"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Interval not found"}}},"put":{"summary":"Update an existing Interval","security":[{"BearerAuth":[]}],"operationId":"updateIntervalById","tags":["Intervals"],"requestBody":{"required":true,"description":"data for updating a new Interval","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"interval":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Interval to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Interval not found"}}}},"/intervals/{id}/sub_intervals":{"get":{"summary":"Get sub intervals set","security":[{"BearerAuth":[]}],"operationId":"getIntervals","tags":["Intervals"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Interval"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new sub interval","security":[{"BearerAuth":[]}],"operationId":"addInterval","tags":["Intervals"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Interval"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/intervals/{id}/sub_intervals/create_many":{"post":{"summary":"Create new sub intervals set","security":[{"BearerAuth":[]}],"operationId":"addIntervals","tags":["Intervals"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Interval"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/intervals/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Interval","security":[{"BearerAuth":[]}],"operationId":"deleteIntervalById","tags":["Intervals"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Interval to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Interval not found"}}}},"/integrations/freshdesk/accounts":{"get":{"summary":"Gets a list of Freshdesk Accounts","security":[{"BearerAuth":[]}],"operationId":"listAllFreshdeskAccount","tags":["Freshdesk Accounts"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each FreshdeskAccount"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Freshdesk Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/FreshdeskAccount"}}}}},"206":{"description":"Partial (paged) collection of Freshdesk Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/FreshdeskAccount"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Freshdesk Account","security":[{"BearerAuth":[]}],"operationId":"createFreshdeskAccount","tags":["Freshdesk Accounts"],"requestBody":{"required":true,"description":"data for creating a new Freshdesk Account","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"username":{"type":"string"},"apiKey":{"type":"string"},"remoteUri":{"type":"string"},"serverUrl":{"type":"string"}}}}}},"responses":{"201":{"description":"FreshdeskAccount successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/FreshdeskAccount"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/freshdesk/accounts/{id}":{"get":{"summary":"Gets a single Freshdesk Account","security":[{"BearerAuth":[]}],"operationId":"getFreshdeskAccountById","tags":["Freshdesk Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshdeskAccount to get"}],"responses":{"200":{"description":"FreshdeskAccount with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/FreshdeskAccount"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FreshdeskAccount not found"}}},"put":{"summary":"Update an existing Freshdesk Account","security":[{"BearerAuth":[]}],"operationId":"updateFreshdeskAccountById","tags":["Freshdesk Accounts"],"requestBody":{"required":true,"description":"data for updating a new Freshdesk Account","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"username":{"type":"string"},"apiKey":{"type":"string"},"remoteUri":{"type":"string"},"serverUrl":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshdeskAccount to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FreshdeskAccount not found"}}}},"/integrations/freshdesk/accounts/{id}/configurations":{"get":{"summary":"Gets account configurations","security":[{"BearerAuth":[]}],"operationId":"getConfigurations","tags":["Freshdesk Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshdeskAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new configuration","security":[{"BearerAuth":[]}],"operationId":"addConfiguration","tags":["Freshdesk Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshdeskAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/freshdesk/accounts/{id}/fields":{"get":{"summary":"Gets account fields","security":[{"BearerAuth":[]}],"operationId":"getFields","tags":["Freshdesk Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshdeskAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/freshdesk/accounts/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Freshdesk Account","security":[{"BearerAuth":[]}],"operationId":"deleteFreshdeskAccountById","tags":["Freshdesk Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshdeskAccount to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FreshdeskAccount not found"}}}},"/integrations/freshdesk/configurations":{"get":{"summary":"Gets a list of Freshdesk Configurations","security":[{"BearerAuth":[]}],"operationId":"listAllFreshdeskConfiguration","tags":["Freshdesk Configurations"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each FreshdeskConfiguration"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Freshdesk Configurations","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/FreshdeskConfiguration"}}}}},"206":{"description":"Partial (paged) collection of Freshdesk Configurations","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/FreshdeskConfiguration"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Freshdesk Configuration","security":[{"BearerAuth":[]}],"operationId":"createFreshdeskConfiguration","tags":["Freshdesk Configurations"],"requestBody":{"required":true,"description":"data for creating a new Freshdesk Configuration","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"}}}}}},"responses":{"201":{"description":"FreshdeskConfiguration successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/FreshdeskConfiguration"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/freshdesk/configurations/{id}":{"get":{"summary":"Gets a single Freshdesk Configuration","security":[{"BearerAuth":[]}],"operationId":"getFreshdeskConfigurationById","tags":["Freshdesk Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshdeskConfiguration to get"}],"responses":{"200":{"description":"FreshdeskConfiguration with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/FreshdeskConfiguration"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FreshdeskConfiguration not found"}}},"put":{"summary":"Update an existing Freshdesk Configuration","security":[{"BearerAuth":[]}],"operationId":"updateFreshdeskConfigurationById","tags":["Freshdesk Configurations"],"requestBody":{"required":true,"description":"data for updating a new Freshdesk Configuration","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshdeskConfiguration to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FreshdeskConfiguration not found"}}}},"/integrations/freshdesk/configurations/{id}/fields":{"get":{"summary":"Gets configurations fields","security":[{"BearerAuth":[]}],"operationId":"getFields","tags":["Freshdesk Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshdeskConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/freshdesk/configurations/{id}/subjects":{"get":{"summary":"Gets configurations subjects","security":[{"BearerAuth":[]}],"operationId":"getSubjects","tags":["Freshdesk Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshdeskConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/freshdesk/configurations/{id}/descriptions":{"get":{"summary":"Gets configurations descriptions","security":[{"BearerAuth":[]}],"operationId":"getDescriptions","tags":["Freshdesk Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshdeskConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/freshdesk/configurations/{id}/tags":{"get":{"summary":"Gets configurations tags","security":[{"BearerAuth":[]}],"operationId":"getTags","tags":["Freshdesk Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshdeskConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Sets new tags","security":[{"BearerAuth":[]}],"operationId":"setTags","tags":["Freshdesk Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshdeskConfiguration"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/freshdesk/configurations/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Freshdesk Configuration","security":[{"BearerAuth":[]}],"operationId":"deleteFreshdeskConfigurationById","tags":["Freshdesk Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshdeskConfiguration to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FreshdeskConfiguration not found"}}}},"/integrations/freshdesk/fields":{"get":{"summary":"Gets a list of Freshdesk Fields","security":[{"BearerAuth":[]}],"operationId":"listAllFreshdeskField","tags":["Freshdesk Fields"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each FreshdeskField"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Freshdesk Fields","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/FreshdeskField"}}}}},"206":{"description":"Partial (paged) collection of Freshdesk Fields","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/FreshdeskField"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Freshdesk Field","security":[{"BearerAuth":[]}],"operationId":"createFreshdeskField","tags":["Freshdesk Fields"],"requestBody":{"required":true,"description":"data for creating a new Freshdesk Field","content":{"application/json":{"schema":{"type":"object","properties":{"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"default":"string"},"content":{"type":"string"},"key":{"type":"string"},"keyType":{"type":"string","enum":["string","variable","customVariable"]},"keyContent":{"type":"string"},"idField":{"type":"string"},"nameField":{"type":"string"},"customField":{"type":"boolean","default":true},"variableName":{"type":"string"}}}}}},"responses":{"201":{"description":"FreshdeskField successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/FreshdeskField"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/freshdesk/fields/{id}":{"get":{"summary":"Gets a single Freshdesk Field","security":[{"BearerAuth":[]}],"operationId":"getFreshdeskFieldById","tags":["Freshdesk Fields"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshdeskField to get"}],"responses":{"200":{"description":"FreshdeskField with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/FreshdeskField"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FreshdeskField not found"}}},"put":{"summary":"Update an existing Freshdesk Field","security":[{"BearerAuth":[]}],"operationId":"updateFreshdeskFieldById","tags":["Freshdesk Fields"],"requestBody":{"required":true,"description":"data for updating a new Freshdesk Field","content":{"application/json":{"schema":{"type":"object","properties":{"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"default":"string"},"content":{"type":"string"},"key":{"type":"string"},"keyType":{"type":"string","enum":["string","variable","customVariable"]},"keyContent":{"type":"string"},"idField":{"type":"string"},"nameField":{"type":"string"},"customField":{"type":"boolean","default":true},"variableName":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshdeskField to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FreshdeskField not found"}}}},"/integrations/freshdesk/fields/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Freshdesk Field","security":[{"BearerAuth":[]}],"operationId":"deleteFreshdeskFieldById","tags":["Freshdesk Fields"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshdeskField to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FreshdeskField not found"}}}},"/integrations/freshsales/accounts":{"get":{"summary":"Gets a list of Freshsales Accounts","security":[{"BearerAuth":[]}],"operationId":"listAllFreshsalesAccount","tags":["Freshsales Accounts"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each FreshsalesAccount"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Freshsales Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/FreshsalesAccount"}}}}},"206":{"description":"Partial (paged) collection of Freshsales Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/FreshsalesAccount"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Freshsales Account","security":[{"BearerAuth":[]}],"operationId":"createFreshsalesAccount","tags":["Freshsales Accounts"],"requestBody":{"required":true,"description":"data for creating a new Freshsales Account","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"username":{"type":"string"},"apiKey":{"type":"string"},"remoteUri":{"type":"string"},"serverUrl":{"type":"string"}}}}}},"responses":{"201":{"description":"FreshsalesAccount successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/FreshsalesAccount"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/freshsales/accounts/{id}":{"get":{"summary":"Gets a single Freshsales Account","security":[{"BearerAuth":[]}],"operationId":"getFreshsalesAccountById","tags":["Freshsales Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshsalesAccount to get"}],"responses":{"200":{"description":"FreshsalesAccount with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/FreshsalesAccount"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FreshsalesAccount not found"}}},"put":{"summary":"Update an existing Freshsales Account","security":[{"BearerAuth":[]}],"operationId":"updateFreshsalesAccountById","tags":["Freshsales Accounts"],"requestBody":{"required":true,"description":"data for updating a new Freshsales Account","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"username":{"type":"string"},"apiKey":{"type":"string"},"remoteUri":{"type":"string"},"serverUrl":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshsalesAccount to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FreshsalesAccount not found"}}}},"/integrations/freshsales/accounts/{id}/configurations":{"get":{"summary":"Gets account configurations","security":[{"BearerAuth":[]}],"operationId":"getConfigurations","tags":["Freshsales Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshsalesAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new configuration","security":[{"BearerAuth":[]}],"operationId":"addConfiguration","tags":["Freshsales Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshsalesAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/freshsales/accounts/{id}/fields":{"get":{"summary":"Gets account fields","security":[{"BearerAuth":[]}],"operationId":"getFields","tags":["Freshsales Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshsalesAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/freshsales/accounts/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Freshsales Account","security":[{"BearerAuth":[]}],"operationId":"deleteFreshsalesAccountById","tags":["Freshsales Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshsalesAccount to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FreshsalesAccount not found"}}}},"/integrations/freshsales/configurations":{"get":{"summary":"Gets a list of Freshsales Configurations","security":[{"BearerAuth":[]}],"operationId":"listAllFreshsalesConfiguration","tags":["Freshsales Configurations"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each FreshsalesConfiguration"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Freshsales Configurations","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/FreshsalesConfiguration"}}}}},"206":{"description":"Partial (paged) collection of Freshsales Configurations","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/FreshsalesConfiguration"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Freshsales Configuration","security":[{"BearerAuth":[]}],"operationId":"createFreshsalesConfiguration","tags":["Freshsales Configurations"],"requestBody":{"required":true,"description":"data for creating a new Freshsales Configuration","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"}}}}}},"responses":{"201":{"description":"FreshsalesConfiguration successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/FreshsalesConfiguration"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/freshsales/configurations/{id}":{"get":{"summary":"Gets a single Freshsales Configuration","security":[{"BearerAuth":[]}],"operationId":"getFreshsalesConfigurationById","tags":["Freshsales Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshsalesConfiguration to get"}],"responses":{"200":{"description":"FreshsalesConfiguration with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/FreshsalesConfiguration"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FreshsalesConfiguration not found"}}},"put":{"summary":"Update an existing Freshsales Configuration","security":[{"BearerAuth":[]}],"operationId":"updateFreshsalesConfigurationById","tags":["Freshsales Configurations"],"requestBody":{"required":true,"description":"data for updating a new Freshsales Configuration","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshsalesConfiguration to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FreshsalesConfiguration not found"}}}},"/integrations/freshsales/configurations/{id}/subjects":{"get":{"summary":"Gets configurations subjects","security":[{"BearerAuth":[]}],"operationId":"getSubjects","tags":["Freshsales Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshsalesConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/freshsales/configurations/{id}/descriptions":{"get":{"summary":"Gets configurations descriptions","security":[{"BearerAuth":[]}],"operationId":"getDescriptions","tags":["Freshsales Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshsalesConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/freshsales/configurations/{id}/fields":{"get":{"summary":"Gets configurations fields","security":[{"BearerAuth":[]}],"operationId":"getFields","tags":["Freshsales Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshsalesConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/freshsales/configurations/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Freshsales Configuration","security":[{"BearerAuth":[]}],"operationId":"deleteFreshsalesConfigurationById","tags":["Freshsales Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshsalesConfiguration to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FreshsalesConfiguration not found"}}}},"/integrations/freshsales/fields":{"get":{"summary":"Gets a list of Freshsales Fields","security":[{"BearerAuth":[]}],"operationId":"listAllFreshsalesField","tags":["Freshsales Fields"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each FreshsalesField"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Freshsales Fields","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/FreshsalesField"}}}}},"206":{"description":"Partial (paged) collection of Freshsales Fields","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/FreshsalesField"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Freshsales Field","security":[{"BearerAuth":[]}],"operationId":"createFreshsalesField","tags":["Freshsales Fields"],"requestBody":{"required":true,"description":"data for creating a new Freshsales Field","content":{"application/json":{"schema":{"type":"object","properties":{"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"default":"string"},"content":{"type":"string"},"key":{"type":"string"},"keyType":{"type":"string","enum":["string","variable","customVariable"]},"keyContent":{"type":"string"},"idField":{"type":"string"},"nameField":{"type":"string"},"customField":{"type":"boolean","default":true},"variableName":{"type":"string"}}}}}},"responses":{"201":{"description":"FreshsalesField successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/FreshsalesField"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/freshsales/fields/{id}":{"get":{"summary":"Gets a single Freshsales Field","security":[{"BearerAuth":[]}],"operationId":"getFreshsalesFieldById","tags":["Freshsales Fields"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshsalesField to get"}],"responses":{"200":{"description":"FreshsalesField with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/FreshsalesField"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FreshsalesField not found"}}},"put":{"summary":"Update an existing Freshsales Field","security":[{"BearerAuth":[]}],"operationId":"updateFreshsalesFieldById","tags":["Freshsales Fields"],"requestBody":{"required":true,"description":"data for updating a new Freshsales Field","content":{"application/json":{"schema":{"type":"object","properties":{"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"default":"string"},"content":{"type":"string"},"key":{"type":"string"},"keyType":{"type":"string","enum":["string","variable","customVariable"]},"keyContent":{"type":"string"},"idField":{"type":"string"},"nameField":{"type":"string"},"customField":{"type":"boolean","default":true},"variableName":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshsalesField to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FreshsalesField not found"}}}},"/integrations/freshsales/fields/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Freshsales Field","security":[{"BearerAuth":[]}],"operationId":"deleteFreshsalesFieldById","tags":["Freshsales Fields"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the FreshsalesField to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"FreshsalesField not found"}}}},"/integrations/salesforce/accounts":{"get":{"summary":"Gets a list of Salesforce Accounts","security":[{"BearerAuth":[]}],"operationId":"listAllSalesforceAccount","tags":["Salesforce Accounts"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each SalesforceAccount"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Salesforce Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SalesforceAccount"}}}}},"206":{"description":"Partial (paged) collection of Salesforce Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SalesforceAccount"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Salesforce Account","security":[{"BearerAuth":[]}],"operationId":"createSalesforceAccount","tags":["Salesforce Accounts"],"requestBody":{"required":true,"description":"data for creating a new Salesforce Account","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"username":{"type":"string"},"remoteUri":{"type":"string"},"password":{"type":"string"},"clientId":{"type":"string"},"clientSecret":{"type":"string"},"securityToken":{"type":"string"},"serverUrl":{"type":"string"},"type":{"type":"string","enum":["integrationTab","newTab"],"default":"integrationTab"}}}}}},"responses":{"201":{"description":"SalesforceAccount successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SalesforceAccount"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/salesforce/accounts/{id}":{"get":{"summary":"Gets a single Salesforce Account","security":[{"BearerAuth":[]}],"operationId":"getSalesforceAccountById","tags":["Salesforce Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SalesforceAccount to get"}],"responses":{"200":{"description":"SalesforceAccount with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SalesforceAccount"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SalesforceAccount not found"}}},"put":{"summary":"Update an existing Salesforce Account","security":[{"BearerAuth":[]}],"operationId":"updateSalesforceAccountById","tags":["Salesforce Accounts"],"requestBody":{"required":true,"description":"data for updating a new Salesforce Account","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"username":{"type":"string"},"remoteUri":{"type":"string"},"password":{"type":"string"},"clientId":{"type":"string"},"clientSecret":{"type":"string"},"securityToken":{"type":"string"},"serverUrl":{"type":"string"},"type":{"type":"string","enum":["integrationTab","newTab"],"default":"integrationTab"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SalesforceAccount to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SalesforceAccount not found"}}}},"/integrations/salesforce/accounts/{id}/configurations":{"get":{"summary":"Gets account configurations","security":[{"BearerAuth":[]}],"operationId":"getConfigurations","tags":["Salesforce Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SalesforceAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new configuration","security":[{"BearerAuth":[]}],"operationId":"addConfiguration","tags":["Salesforce Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SalesforceAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/salesforce/accounts/{id}/fields":{"get":{"summary":"Gets account fields","security":[{"BearerAuth":[]}],"operationId":"getFields","tags":["Salesforce Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SalesforceAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/salesforce/accounts/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Salesforce Account","security":[{"BearerAuth":[]}],"operationId":"deleteSalesforceAccountById","tags":["Salesforce Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SalesforceAccount to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SalesforceAccount not found"}}}},"/integrations/salesforce/configurations":{"get":{"summary":"Gets a list of Salesforce Configurations","security":[{"BearerAuth":[]}],"operationId":"listAllSalesforceConfiguration","tags":["Salesforce Configurations"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each SalesforceConfiguration"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Salesforce Configurations","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SalesforceConfiguration"}}}}},"206":{"description":"Partial (paged) collection of Salesforce Configurations","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SalesforceConfiguration"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Salesforce Configuration","security":[{"BearerAuth":[]}],"operationId":"createSalesforceConfiguration","tags":["Salesforce Configurations"],"requestBody":{"required":true,"description":"data for creating a new Salesforce Configuration","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"ticketType":{"type":"string","enum":["Task","Case"],"default":"Task"},"moduleSearch":{"type":"string","enum":["contact_lead","contact","lead"],"default":"contact_lead"},"moduleCreate":{"type":"string","enum":["nothing","contact","lead"],"default":"lead"}}}}}},"responses":{"201":{"description":"SalesforceConfiguration successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SalesforceConfiguration"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/salesforce/configurations/{id}":{"get":{"summary":"Gets a single Salesforce Configuration","security":[{"BearerAuth":[]}],"operationId":"getSalesforceConfigurationById","tags":["Salesforce Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SalesforceConfiguration to get"}],"responses":{"200":{"description":"SalesforceConfiguration with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SalesforceConfiguration"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SalesforceConfiguration not found"}}},"put":{"summary":"Update an existing Salesforce Configuration","security":[{"BearerAuth":[]}],"operationId":"updateSalesforceConfigurationById","tags":["Salesforce Configurations"],"requestBody":{"required":true,"description":"data for updating a new Salesforce Configuration","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"ticketType":{"type":"string","enum":["Task","Case"],"default":"Task"},"moduleSearch":{"type":"string","enum":["contact_lead","contact","lead"],"default":"contact_lead"},"moduleCreate":{"type":"string","enum":["nothing","contact","lead"],"default":"lead"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SalesforceConfiguration to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SalesforceConfiguration not found"}}}},"/integrations/salesforce/configurations/{id}/fields":{"get":{"summary":"Gets configurations fields","security":[{"BearerAuth":[]}],"operationId":"getFields","tags":["Salesforce Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SalesforceConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/salesforce/configurations/{id}/subjects":{"get":{"summary":"Gets configurations subjects","security":[{"BearerAuth":[]}],"operationId":"getSubjects","tags":["Salesforce Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SalesforceConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/salesforce/configurations/{id}/descriptions":{"get":{"summary":"Gets configurations descriptions","security":[{"BearerAuth":[]}],"operationId":"getDescriptions","tags":["Salesforce Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SalesforceConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/salesforce/configurations/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Salesforce Configuration","security":[{"BearerAuth":[]}],"operationId":"deleteSalesforceConfigurationById","tags":["Salesforce Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SalesforceConfiguration to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SalesforceConfiguration not found"}}}},"/integrations/salesforce/fields":{"get":{"summary":"Gets a list of Salesforce Fields","security":[{"BearerAuth":[]}],"operationId":"listAllSalesforceField","tags":["Salesforce Fields"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each SalesforceField"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Salesforce Fields","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SalesforceField"}}}}},"206":{"description":"Partial (paged) collection of Salesforce Fields","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SalesforceField"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Salesforce Field","security":[{"BearerAuth":[]}],"operationId":"createSalesforceField","tags":["Salesforce Fields"],"requestBody":{"required":true,"description":"data for creating a new Salesforce Field","content":{"application/json":{"schema":{"type":"object","properties":{"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"default":"string"},"content":{"type":"string"},"key":{"type":"string"},"keyType":{"type":"string","enum":["string","variable","customVariable"]},"keyContent":{"type":"string"},"idField":{"type":"string"},"variableName":{"type":"string"}}}}}},"responses":{"201":{"description":"SalesforceField successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SalesforceField"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/salesforce/fields/{id}":{"get":{"summary":"Gets a single Salesforce Field","security":[{"BearerAuth":[]}],"operationId":"getSalesforceFieldById","tags":["Salesforce Fields"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SalesforceField to get"}],"responses":{"200":{"description":"SalesforceField with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SalesforceField"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SalesforceField not found"}}},"put":{"summary":"Update an existing Salesforce Field","security":[{"BearerAuth":[]}],"operationId":"updateSalesforceFieldById","tags":["Salesforce Fields"],"requestBody":{"required":true,"description":"data for updating a new Salesforce Field","content":{"application/json":{"schema":{"type":"object","properties":{"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"default":"string"},"content":{"type":"string"},"key":{"type":"string"},"keyType":{"type":"string","enum":["string","variable","customVariable"]},"keyContent":{"type":"string"},"idField":{"type":"string"},"variableName":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SalesforceField to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SalesforceField not found"}}}},"/integrations/salesforce/fields/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Salesforce Field","security":[{"BearerAuth":[]}],"operationId":"deleteSalesforceFieldById","tags":["Salesforce Fields"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SalesforceField to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SalesforceField not found"}}}},"/integrations/servicenow/accounts":{"get":{"summary":"Gets a list of Servicenow Accounts","security":[{"BearerAuth":[]}],"operationId":"listAllServicenowAccount","tags":["Servicenow Accounts"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each ServicenowAccount"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Servicenow Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/ServicenowAccount"}}}}},"206":{"description":"Partial (paged) collection of Servicenow Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/ServicenowAccount"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Servicenow Account","security":[{"BearerAuth":[]}],"operationId":"createServicenowAccount","tags":["Servicenow Accounts"],"requestBody":{"required":true,"description":"data for creating a new Servicenow Account","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"username":{"type":"string"},"password":{"type":"string"},"email":{"type":"string"},"remoteUri":{"type":"string"},"serverUrl":{"type":"string"}}}}}},"responses":{"201":{"description":"ServicenowAccount successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/ServicenowAccount"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/servicenow/accounts/{id}":{"get":{"summary":"Gets a single Servicenow Account","security":[{"BearerAuth":[]}],"operationId":"getServicenowAccountById","tags":["Servicenow Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ServicenowAccount to get"}],"responses":{"200":{"description":"ServicenowAccount with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/ServicenowAccount"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ServicenowAccount not found"}}},"put":{"summary":"Update an existing Servicenow Account","security":[{"BearerAuth":[]}],"operationId":"updateServicenowAccountById","tags":["Servicenow Accounts"],"requestBody":{"required":true,"description":"data for updating a new Servicenow Account","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"username":{"type":"string"},"password":{"type":"string"},"email":{"type":"string"},"remoteUri":{"type":"string"},"serverUrl":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ServicenowAccount to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ServicenowAccount not found"}}}},"/integrations/servicenow/accounts/{id}/configurations":{"get":{"summary":"Gets account configurations","security":[{"BearerAuth":[]}],"operationId":"getConfigurations","tags":["Servicenow Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ServicenowAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new configuration","security":[{"BearerAuth":[]}],"operationId":"addConfiguration","tags":["Servicenow Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ServicenowAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/servicenow/accounts/{id}/fields":{"get":{"summary":"Gets account fields","security":[{"BearerAuth":[]}],"operationId":"getFields","tags":["Servicenow Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ServicenowAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/servicenow/accounts/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Servicenow Account","security":[{"BearerAuth":[]}],"operationId":"deleteServicenowAccountById","tags":["Servicenow Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ServicenowAccount to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ServicenowAccount not found"}}}},"/integrations/servicenow/configurations":{"get":{"summary":"Gets a list of Servicenow Configurations","security":[{"BearerAuth":[]}],"operationId":"listAllServicenowConfiguration","tags":["Servicenow Configurations"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each ServicenowConfiguration"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Servicenow Configurations","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/ServicenowConfiguration"}}}}},"206":{"description":"Partial (paged) collection of Servicenow Configurations","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/ServicenowConfiguration"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Servicenow Configuration","security":[{"BearerAuth":[]}],"operationId":"createServicenowConfiguration","tags":["Servicenow Configurations"],"requestBody":{"required":true,"description":"data for creating a new Servicenow Configuration","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"}}}}}},"responses":{"201":{"description":"ServicenowConfiguration successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/ServicenowConfiguration"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/servicenow/configurations/{id}":{"get":{"summary":"Gets a single Servicenow Configuration","security":[{"BearerAuth":[]}],"operationId":"getServicenowConfigurationById","tags":["Servicenow Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ServicenowConfiguration to get"}],"responses":{"200":{"description":"ServicenowConfiguration with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/ServicenowConfiguration"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ServicenowConfiguration not found"}}},"put":{"summary":"Update an existing Servicenow Configuration","security":[{"BearerAuth":[]}],"operationId":"updateServicenowConfigurationById","tags":["Servicenow Configurations"],"requestBody":{"required":true,"description":"data for updating a new Servicenow Configuration","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ServicenowConfiguration to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ServicenowConfiguration not found"}}}},"/integrations/servicenow/configurations/{id}/fields":{"get":{"summary":"Gets configurations fields","security":[{"BearerAuth":[]}],"operationId":"getFields","tags":["Servicenow Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ServicenowConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/servicenow/configurations/{id}/subjects":{"get":{"summary":"Gets configurations subjects","security":[{"BearerAuth":[]}],"operationId":"getSubjects","tags":["Servicenow Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ServicenowConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/servicenow/configurations/{id}/descriptions":{"get":{"summary":"Gets configurations descriptions","security":[{"BearerAuth":[]}],"operationId":"getDescriptions","tags":["Servicenow Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ServicenowConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/servicenow/configurations/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Servicenow Configuration","security":[{"BearerAuth":[]}],"operationId":"deleteServicenowConfigurationById","tags":["Servicenow Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ServicenowConfiguration to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ServicenowConfiguration not found"}}}},"/integrations/servicenow/fields":{"get":{"summary":"Gets a list of Servicenow Fields","security":[{"BearerAuth":[]}],"operationId":"listAllServicenowField","tags":["Servicenow Fields"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each ServicenowField"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Servicenow Fields","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/ServicenowField"}}}}},"206":{"description":"Partial (paged) collection of Servicenow Fields","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/ServicenowField"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Servicenow Field","security":[{"BearerAuth":[]}],"operationId":"createServicenowField","tags":["Servicenow Fields"],"requestBody":{"required":true,"description":"data for creating a new Servicenow Field","content":{"application/json":{"schema":{"type":"object","properties":{"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"default":"string"},"content":{"type":"string"},"key":{"type":"string"},"keyType":{"type":"string","enum":["string","variable","customVariable"]},"keyContent":{"type":"string"},"idField":{"type":"string"},"nameField":{"type":"string"},"customField":{"type":"boolean","default":true},"variableName":{"type":"string"}}}}}},"responses":{"201":{"description":"ServicenowField successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/ServicenowField"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/servicenow/fields/{id}":{"get":{"summary":"Gets a single Servicenow Field","security":[{"BearerAuth":[]}],"operationId":"getServicenowFieldById","tags":["Servicenow Fields"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ServicenowField to get"}],"responses":{"200":{"description":"ServicenowField with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/ServicenowField"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ServicenowField not found"}}},"put":{"summary":"Update an existing Servicenow Field","security":[{"BearerAuth":[]}],"operationId":"updateServicenowFieldById","tags":["Servicenow Fields"],"requestBody":{"required":true,"description":"data for updating a new Servicenow Field","content":{"application/json":{"schema":{"type":"object","properties":{"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"default":"string"},"content":{"type":"string"},"key":{"type":"string"},"keyType":{"type":"string","enum":["string","variable","customVariable"]},"keyContent":{"type":"string"},"idField":{"type":"string"},"nameField":{"type":"string"},"customField":{"type":"boolean","default":true},"variableName":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ServicenowField to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ServicenowField not found"}}}},"/integrations/servicenow/fields/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Servicenow Field","security":[{"BearerAuth":[]}],"operationId":"deleteServicenowFieldById","tags":["Servicenow Fields"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ServicenowField to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ServicenowField not found"}}}},"/integrations/sugarcrm/accounts":{"get":{"summary":"Gets a list of Sugarcrm Accounts","security":[{"BearerAuth":[]}],"operationId":"listAllSugarcrmAccount","tags":["Sugarcrm Accounts"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each SugarcrmAccount"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Sugarcrm Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SugarcrmAccount"}}}}},"206":{"description":"Partial (paged) collection of Sugarcrm Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SugarcrmAccount"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Sugarcrm Account","security":[{"BearerAuth":[]}],"operationId":"createSugarcrmAccount","tags":["Sugarcrm Accounts"],"requestBody":{"required":true,"description":"data for creating a new Sugarcrm Account","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"username":{"type":"string"},"password":{"type":"string"},"remoteUri":{"type":"string"},"serverUrl":{"type":"string"}}}}}},"responses":{"201":{"description":"SugarcrmAccount successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SugarcrmAccount"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/sugarcrm/accounts/{id}":{"get":{"summary":"Gets a single Sugarcrm Account","security":[{"BearerAuth":[]}],"operationId":"getSugarcrmAccountById","tags":["Sugarcrm Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SugarcrmAccount to get"}],"responses":{"200":{"description":"SugarcrmAccount with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SugarcrmAccount"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SugarcrmAccount not found"}}},"put":{"summary":"Update an existing Sugarcrm Account","security":[{"BearerAuth":[]}],"operationId":"updateSugarcrmAccountById","tags":["Sugarcrm Accounts"],"requestBody":{"required":true,"description":"data for updating a new Sugarcrm Account","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"username":{"type":"string"},"password":{"type":"string"},"remoteUri":{"type":"string"},"serverUrl":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SugarcrmAccount to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SugarcrmAccount not found"}}}},"/integrations/sugarcrm/accounts/{id}/configurations":{"get":{"summary":"Gets account configurations","security":[{"BearerAuth":[]}],"operationId":"getConfigurations","tags":["Sugarcrm Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SugarcrmAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new configuration","security":[{"BearerAuth":[]}],"operationId":"addConfiguration","tags":["Sugarcrm Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SugarcrmAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/sugarcrm/accounts/{id}/fields":{"get":{"summary":"Gets account fields","security":[{"BearerAuth":[]}],"operationId":"getFields","tags":["Sugarcrm Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SugarcrmAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/sugarcrm/accounts/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Sugarcrm Account","security":[{"BearerAuth":[]}],"operationId":"deleteSugarcrmAccountById","tags":["Sugarcrm Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SugarcrmAccount to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SugarcrmAccount not found"}}}},"/integrations/sugarcrm/configurations":{"get":{"summary":"Gets a list of SugarCRM Configurations","security":[{"BearerAuth":[]}],"operationId":"listAllSugarcrmConfiguration","tags":["SugarCRM Configurations"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each SugarcrmConfiguration"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of SugarCRM Configurations","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SugarcrmConfiguration"}}}}},"206":{"description":"Partial (paged) collection of SugarCRM Configurations","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SugarcrmConfiguration"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new SugarCRM Configuration","security":[{"BearerAuth":[]}],"operationId":"createSugarcrmConfiguration","tags":["SugarCRM Configurations"],"requestBody":{"required":true,"description":"data for creating a new SugarCRM Configuration","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"}}}}}},"responses":{"201":{"description":"SugarcrmConfiguration successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SugarcrmConfiguration"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/sugarcrm/configurations/{id}":{"get":{"summary":"Gets a single SugarCRM Configuration","security":[{"BearerAuth":[]}],"operationId":"getSugarcrmConfigurationById","tags":["SugarCRM Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SugarcrmConfiguration to get"}],"responses":{"200":{"description":"SugarcrmConfiguration with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SugarcrmConfiguration"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SugarcrmConfiguration not found"}}},"put":{"summary":"Update an existing SugarCRM Configuration","security":[{"BearerAuth":[]}],"operationId":"updateSugarcrmConfigurationById","tags":["SugarCRM Configurations"],"requestBody":{"required":true,"description":"data for updating a new SugarCRM Configuration","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SugarcrmConfiguration to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SugarcrmConfiguration not found"}}}},"/integrations/sugarcrm/configurations/{id}/fields":{"get":{"summary":"Gets configurations fields","security":[{"BearerAuth":[]}],"operationId":"getFields","tags":["Sugarcrm Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SugarcrmConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/sugarcrm/configurations/{id}/subjects":{"get":{"summary":"Gets configurations subjects","security":[{"BearerAuth":[]}],"operationId":"getSubjects","tags":["Sugarcrm Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SugarcrmConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/sugarcrm/configurations/{id}/descriptions":{"get":{"summary":"Gets configurations descriptions","security":[{"BearerAuth":[]}],"operationId":"getDescriptions","tags":["Sugarcrm Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SugarcrmConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/sugarcrm/configurations/{id}/destroy_many":{"delete":{"summary":"Destroy an existing SugarCRM Configuration","security":[{"BearerAuth":[]}],"operationId":"deleteSugarcrmConfigurationById","tags":["SugarCRM Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SugarcrmConfiguration to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SugarcrmConfiguration not found"}}}},"/integrations/sugarcrm/fields":{"get":{"summary":"Gets a list of Sugarcrm Fields","security":[{"BearerAuth":[]}],"operationId":"listAllSugarcrmField","tags":["Sugarcrm Fields"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each SugarcrmField"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Sugarcrm Fields","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SugarcrmField"}}}}},"206":{"description":"Partial (paged) collection of Sugarcrm Fields","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SugarcrmField"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Sugarcrm Field","security":[{"BearerAuth":[]}],"operationId":"createSugarcrmField","tags":["Sugarcrm Fields"],"requestBody":{"required":true,"description":"data for creating a new Sugarcrm Field","content":{"application/json":{"schema":{"type":"object","properties":{"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"default":"string"},"content":{"type":"string"},"key":{"type":"string"},"keyType":{"type":"string","enum":["string","variable","customVariable"]},"keyContent":{"type":"string"},"idField":{"type":"string"},"nameField":{"type":"string"},"customField":{"type":"boolean","default":true},"variableName":{"type":"string"}}}}}},"responses":{"201":{"description":"SugarcrmField successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SugarcrmField"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/sugarcrm/fields/{id}":{"get":{"summary":"Gets a single Sugarcrm Field","security":[{"BearerAuth":[]}],"operationId":"getSugarcrmFieldById","tags":["Sugarcrm Fields"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SugarcrmField to get"}],"responses":{"200":{"description":"SugarcrmField with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SugarcrmField"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SugarcrmField not found"}}},"put":{"summary":"Update an existing Sugarcrm Field","security":[{"BearerAuth":[]}],"operationId":"updateSugarcrmFieldById","tags":["Sugarcrm Fields"],"requestBody":{"required":true,"description":"data for updating a new Sugarcrm Field","content":{"application/json":{"schema":{"type":"object","properties":{"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"default":"string"},"content":{"type":"string"},"key":{"type":"string"},"keyType":{"type":"string","enum":["string","variable","customVariable"]},"keyContent":{"type":"string"},"idField":{"type":"string"},"nameField":{"type":"string"},"customField":{"type":"boolean","default":true},"variableName":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SugarcrmField to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SugarcrmField not found"}}}},"/integrations/sugarcrm/fields/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Sugarcrm Field","security":[{"BearerAuth":[]}],"operationId":"deleteSugarcrmFieldById","tags":["Sugarcrm Fields"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SugarcrmField to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SugarcrmField not found"}}}},"/integrations/vtiger/accounts":{"get":{"summary":"Gets a list of Vtiger Accounts","security":[{"BearerAuth":[]}],"operationId":"listAllVtigerAccount","tags":["Vtiger Accounts"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each VtigerAccount"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Vtiger Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VtigerAccount"}}}}},"206":{"description":"Partial (paged) collection of Vtiger Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VtigerAccount"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Vtiger Account","security":[{"BearerAuth":[]}],"operationId":"createVtigerAccount","tags":["Vtiger Accounts"],"requestBody":{"required":true,"description":"data for creating a new Vtiger Account","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"username":{"type":"string"},"moduleName":{"type":"string","default":"HelpDesk"},"remoteUri":{"type":"string"},"serverUrl":{"type":"string"},"accessKey":{"type":"string"}},"required":["name","username","moduleName","remoteUri","accessKey"]}}}},"responses":{"201":{"description":"VtigerAccount successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VtigerAccount"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/vtiger/accounts/{id}":{"get":{"summary":"Gets a single Vtiger Account","security":[{"BearerAuth":[]}],"operationId":"getVtigerAccountById","tags":["Vtiger Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VtigerAccount to get"}],"responses":{"200":{"description":"VtigerAccount with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VtigerAccount"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VtigerAccount not found"}}},"put":{"summary":"Update an existing Vtiger Account","security":[{"BearerAuth":[]}],"operationId":"updateVtigerAccountById","tags":["Vtiger Accounts"],"requestBody":{"required":true,"description":"data for updating a new Vtiger Account","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"username":{"type":"string"},"moduleName":{"type":"string","default":"HelpDesk"},"remoteUri":{"type":"string"},"serverUrl":{"type":"string"},"accessKey":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VtigerAccount to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VtigerAccount not found"}}}},"/integrations/vtiger/accounts/{id}/configurations":{"get":{"summary":"Gets account configurations","security":[{"BearerAuth":[]}],"operationId":"getConfigurations","tags":["Vtiger Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VtigerAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new configuration","security":[{"BearerAuth":[]}],"operationId":"addConfiguration","tags":["Vtiger Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VtigerAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/vtiger/accounts/{id}/fields":{"get":{"summary":"Gets account fields","security":[{"BearerAuth":[]}],"operationId":"getFields","tags":["Vtiger Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VtigerAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/vtiger/accounts/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Vtiger Account","security":[{"BearerAuth":[]}],"operationId":"deleteVtigerAccountById","tags":["Vtiger Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VtigerAccount to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VtigerAccount not found"}}}},"/integrations/vtiger/configurations":{"get":{"summary":"Gets a list of Vtiger Configurations","security":[{"BearerAuth":[]}],"operationId":"listAllVtigerConfiguration","tags":["Vtiger Configurations"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each VtigerConfiguration"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Vtiger Configurations","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VtigerConfiguration"}}}}},"206":{"description":"Partial (paged) collection of Vtiger Configurations","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VtigerConfiguration"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Vtiger Configuration","security":[{"BearerAuth":[]}],"operationId":"createVtigerConfiguration","tags":["Vtiger Configurations"],"requestBody":{"required":true,"description":"data for creating a new Vtiger Configuration","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"}}}}}},"responses":{"201":{"description":"VtigerConfiguration successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VtigerConfiguration"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/vtiger/configurations/{id}":{"get":{"summary":"Gets a single Vtiger Configuration","security":[{"BearerAuth":[]}],"operationId":"getVtigerConfigurationById","tags":["Vtiger Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VtigerConfiguration to get"}],"responses":{"200":{"description":"VtigerConfiguration with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VtigerConfiguration"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VtigerConfiguration not found"}}},"put":{"summary":"Update an existing Vtiger Configuration","security":[{"BearerAuth":[]}],"operationId":"updateVtigerConfigurationById","tags":["Vtiger Configurations"],"requestBody":{"required":true,"description":"data for updating a new Vtiger Configuration","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VtigerConfiguration to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VtigerConfiguration not found"}}}},"/integrations/vtiger/configurations/{id}/fields":{"get":{"summary":"Gets configurations fields","security":[{"BearerAuth":[]}],"operationId":"getFields","tags":["Vtiger Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VtigerConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/vtiger/configurations/{id}/subjects":{"get":{"summary":"Gets configurations subjects","security":[{"BearerAuth":[]}],"operationId":"getSubjects","tags":["Vtiger Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VtigerConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/vtiger/configurations/{id}/descriptions":{"get":{"summary":"Gets configurations descriptions","security":[{"BearerAuth":[]}],"operationId":"getDescriptions","tags":["Vtiger Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VtigerConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/vtiger/configurations/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Vtiger Configuration","security":[{"BearerAuth":[]}],"operationId":"deleteVtigerConfigurationById","tags":["Vtiger Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VtigerConfiguration to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VtigerConfiguration not found"}}}},"/integrations/vtiger/fields":{"get":{"summary":"Gets a list of Vtiger Fields","security":[{"BearerAuth":[]}],"operationId":"listAllVtigerField","tags":["Vtiger Fields"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each VtigerField"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Vtiger Fields","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VtigerField"}}}}},"206":{"description":"Partial (paged) collection of Vtiger Fields","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VtigerField"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Vtiger Field","security":[{"BearerAuth":[]}],"operationId":"createVtigerField","tags":["Vtiger Fields"],"requestBody":{"required":true,"description":"data for creating a new Vtiger Field","content":{"application/json":{"schema":{"type":"object","properties":{"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"default":"string"},"content":{"type":"string"},"key":{"type":"string"},"keyType":{"type":"string","enum":["string","variable","customVariable"]},"keyContent":{"type":"string"},"idField":{"type":"string"},"nameField":{"type":"string"},"customField":{"type":"boolean","default":true},"variableName":{"type":"string"}}}}}},"responses":{"201":{"description":"VtigerField successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VtigerField"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/vtiger/fields/{id}":{"get":{"summary":"Gets a single Vtiger Field","security":[{"BearerAuth":[]}],"operationId":"getVtigerFieldById","tags":["Vtiger Fields"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VtigerField to get"}],"responses":{"200":{"description":"VtigerField with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VtigerField"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VtigerField not found"}}},"put":{"summary":"Update an existing Vtiger Field","security":[{"BearerAuth":[]}],"operationId":"updateVtigerFieldById","tags":["Vtiger Fields"],"requestBody":{"required":true,"description":"data for updating a new Vtiger Field","content":{"application/json":{"schema":{"type":"object","properties":{"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"default":"string"},"content":{"type":"string"},"key":{"type":"string"},"keyType":{"type":"string","enum":["string","variable","customVariable"]},"keyContent":{"type":"string"},"idField":{"type":"string"},"nameField":{"type":"string"},"customField":{"type":"boolean","default":true},"variableName":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VtigerField to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VtigerField not found"}}}},"/integrations/vtiger/fields/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Vtiger Field","security":[{"BearerAuth":[]}],"operationId":"deleteVtigerFieldById","tags":["Vtiger Fields"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VtigerField to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VtigerField not found"}}}},"/integrations/zendesk/accounts":{"get":{"summary":"Gets a list of Zendesk Accounts","security":[{"BearerAuth":[]}],"operationId":"listAllZendeskAccount","tags":["Zendesk Accounts"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each ZendeskAccount"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Zendesk Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/ZendeskAccount"}}}}},"206":{"description":"Partial (paged) collection of Zendesk Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/ZendeskAccount"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Zendesk Account","security":[{"BearerAuth":[]}],"operationId":"createZendeskAccount","tags":["Zendesk Accounts"],"requestBody":{"required":true,"description":"data for creating a new Zendesk Account","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"username":{"type":"string"},"password":{"type":"string"},"token":{"type":"string"},"remoteUri":{"type":"string"},"authType":{"type":"string","enum":["password","token"],"default":"password"},"serverUrl":{"type":"string"},"type":{"type":"string","enum":["integrationTab","newTab"],"default":"integrationTab"}}}}}},"responses":{"201":{"description":"ZendeskAccount successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/ZendeskAccount"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/zendesk/accounts/{id}":{"get":{"summary":"Gets a single Zendesk Account","security":[{"BearerAuth":[]}],"operationId":"getZendeskAccountById","tags":["Zendesk Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZendeskAccount to get"}],"responses":{"200":{"description":"ZendeskAccount with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/ZendeskAccount"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ZendeskAccount not found"}}},"put":{"summary":"Update an existing Zendesk Account","security":[{"BearerAuth":[]}],"operationId":"updateZendeskAccountById","tags":["Zendesk Accounts"],"requestBody":{"required":true,"description":"data for updating a new Zendesk Account","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"username":{"type":"string"},"password":{"type":"string"},"token":{"type":"string"},"remoteUri":{"type":"string"},"authType":{"type":"string","enum":["password","token"],"default":"password"},"serverUrl":{"type":"string"},"type":{"type":"string","enum":["integrationTab","newTab"],"default":"integrationTab"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZendeskAccount to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ZendeskAccount not found"}}}},"/integrations/zendesk/accounts/{id}/configurations":{"get":{"summary":"Gets account configurations","security":[{"BearerAuth":[]}],"operationId":"getConfigurations","tags":["Zendesk Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZendeskAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new configuration","security":[{"BearerAuth":[]}],"operationId":"addConfiguration","tags":["Zendesk Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZendeskAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/zendesk/accounts/{id}/fields":{"get":{"summary":"Gets account fields","security":[{"BearerAuth":[]}],"operationId":"getFields","tags":["Zendesk Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZendeskAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/zendesk/accounts/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Zendesk Account","security":[{"BearerAuth":[]}],"operationId":"deleteZendeskAccountById","tags":["Zendesk Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZendeskAccount to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ZendeskAccount not found"}}}},"/integrations/zendesk/configurations":{"get":{"summary":"Gets a list of Zendesk Configurations","security":[{"BearerAuth":[]}],"operationId":"listAllZendeskConfiguration","tags":["Zendesk Configurations"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each ZendeskConfiguration"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Zendesk Configurations","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/ZendeskConfiguration"}}}}},"206":{"description":"Partial (paged) collection of Zendesk Configurations","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/ZendeskConfiguration"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Zendesk Configuration","security":[{"BearerAuth":[]}],"operationId":"createZendeskConfiguration","tags":["Zendesk Configurations"],"requestBody":{"required":true,"description":"data for creating a new Zendesk Configuration","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"}}}}}},"responses":{"201":{"description":"ZendeskConfiguration successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/ZendeskConfiguration"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/zendesk/configurations/{id}":{"get":{"summary":"Gets a single Zendesk Configuration","security":[{"BearerAuth":[]}],"operationId":"getZendeskConfigurationById","tags":["Zendesk Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZendeskConfiguration to get"}],"responses":{"200":{"description":"ZendeskConfiguration with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/ZendeskConfiguration"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ZendeskConfiguration not found"}}},"put":{"summary":"Update an existing Zendesk Configuration","security":[{"BearerAuth":[]}],"operationId":"updateZendeskConfigurationById","tags":["Zendesk Configurations"],"requestBody":{"required":true,"description":"data for updating a new Zendesk Configuration","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZendeskConfiguration to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ZendeskConfiguration not found"}}}},"/integrations/zendesk/configurations/{id}/fields":{"get":{"summary":"Gets configurations fields","security":[{"BearerAuth":[]}],"operationId":"getFields","tags":["Zendesk Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZendeskConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/zendesk/configurations/{id}/subjects":{"get":{"summary":"Gets configurations subjects","security":[{"BearerAuth":[]}],"operationId":"getSubjects","tags":["Zendesk Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZendeskConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/zendesk/configurations/{id}/descriptions":{"get":{"summary":"Gets configurations descriptions","security":[{"BearerAuth":[]}],"operationId":"getDescriptions","tags":["Zendesk Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZendeskConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/zendesk/configurations/{id}/tags":{"get":{"summary":"Gets configurations tags","security":[{"BearerAuth":[]}],"operationId":"getTags","tags":["Zendesk Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZendeskConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Sets new tags","security":[{"BearerAuth":[]}],"operationId":"setTags","tags":["Zendesk Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZendeskConfiguration"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/zendesk/configurations/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Zendesk Configuration","security":[{"BearerAuth":[]}],"operationId":"deleteZendeskConfigurationById","tags":["Zendesk Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZendeskConfiguration to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ZendeskConfiguration not found"}}}},"/integrations/zendesk/fields":{"get":{"summary":"Gets a list of Zendesk Fields","security":[{"BearerAuth":[]}],"operationId":"listAllZendeskField","tags":["Zendesk Fields"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each ZendeskField"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Zendesk Fields","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/ZendeskField"}}}}},"206":{"description":"Partial (paged) collection of Zendesk Fields","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/ZendeskField"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Zendesk Field","security":[{"BearerAuth":[]}],"operationId":"createZendeskField","tags":["Zendesk Fields"],"requestBody":{"required":true,"description":"data for creating a new Zendesk Field","content":{"application/json":{"schema":{"type":"object","properties":{"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"default":"string"},"content":{"type":"string"},"key":{"type":"string"},"keyType":{"type":"string","enum":["string","variable","customVariable"]},"keyContent":{"type":"string"},"idField":{"type":"string"},"nameField":{"type":"string"},"customField":{"type":"boolean","default":true},"variableName":{"type":"string"}}}}}},"responses":{"201":{"description":"ZendeskField successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/ZendeskField"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/zendesk/fields/{id}":{"get":{"summary":"Gets a single Zendesk Field","security":[{"BearerAuth":[]}],"operationId":"getZendeskFieldById","tags":["Zendesk Fields"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZendeskField to get"}],"responses":{"200":{"description":"ZendeskField with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/ZendeskField"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ZendeskField not found"}}},"put":{"summary":"Update an existing Zendesk Field","security":[{"BearerAuth":[]}],"operationId":"updateZendeskFieldById","tags":["Zendesk Fields"],"requestBody":{"required":true,"description":"data for updating a new Zendesk Field","content":{"application/json":{"schema":{"type":"object","properties":{"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"default":"string"},"content":{"type":"string"},"key":{"type":"string"},"keyType":{"type":"string","enum":["string","variable","customVariable"]},"keyContent":{"type":"string"},"idField":{"type":"string"},"nameField":{"type":"string"},"customField":{"type":"boolean","default":true},"variableName":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZendeskField to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ZendeskField not found"}}}},"/integrations/zendesk/fields/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Zendesk Field","security":[{"BearerAuth":[]}],"operationId":"deleteZendeskFieldById","tags":["Zendesk Fields"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZendeskField to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ZendeskField not found"}}}},"/integrations/zoho/accounts":{"get":{"summary":"Gets a list of Zoho Accounts","security":[{"BearerAuth":[]}],"operationId":"listAllZohoAccount","tags":["Zoho Accounts"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each ZohoAccount"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Zoho Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/ZohoAccount"}}}}},"206":{"description":"Partial (paged) collection of Zoho Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/ZohoAccount"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Zoho Account","security":[{"BearerAuth":[]}],"operationId":"createZohoAccount","tags":["Zoho Accounts"],"requestBody":{"required":true,"description":"data for creating a new Zoho Account","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"host":{"type":"string"},"zone":{"type":"string"},"clientId":{"type":"string"},"clientSecret":{"type":"string"},"serverUrl":{"type":"string"},"code":{"type":"string"},"refreshToken":{"type":"string"}}}}}},"responses":{"201":{"description":"ZohoAccount successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/ZohoAccount"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/zoho/accounts/{id}":{"get":{"summary":"Gets a single Zoho Account","security":[{"BearerAuth":[]}],"operationId":"getZohoAccountById","tags":["Zoho Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZohoAccount to get"}],"responses":{"200":{"description":"ZohoAccount with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/ZohoAccount"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ZohoAccount not found"}}},"put":{"summary":"Update an existing Zoho Account","security":[{"BearerAuth":[]}],"operationId":"updateZohoAccountById","tags":["Zoho Accounts"],"requestBody":{"required":true,"description":"data for updating a new Zoho Account","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"host":{"type":"string"},"zone":{"type":"string"},"clientId":{"type":"string"},"clientSecret":{"type":"string"},"serverUrl":{"type":"string"},"code":{"type":"string"},"refreshToken":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZohoAccount to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ZohoAccount not found"}}}},"/integrations/zoho/accounts/{id}/configurations":{"get":{"summary":"Gets account configurations","security":[{"BearerAuth":[]}],"operationId":"getConfigurations","tags":["Zoho Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZohoAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new configuration","security":[{"BearerAuth":[]}],"operationId":"addConfiguration","tags":["Zoho Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZohoAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/zoho/accounts/{id}/fields":{"get":{"summary":"Gets account fields","security":[{"BearerAuth":[]}],"operationId":"getFields","tags":["Zoho Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZohoAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/zoho/accounts/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Zoho Account","security":[{"BearerAuth":[]}],"operationId":"deleteZohoAccountById","tags":["Zoho Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZohoAccount to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ZohoAccount not found"}}}},"/integrations/zoho/configurations":{"get":{"summary":"Gets a list of Zoho Configurations","security":[{"BearerAuth":[]}],"operationId":"listAllZohoConfiguration","tags":["Zoho Configurations"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each ZohoConfiguration"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Zoho Configurations","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/ZohoConfiguration"}}}}},"206":{"description":"Partial (paged) collection of Zoho Configurations","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/ZohoConfiguration"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Zoho Configuration","security":[{"BearerAuth":[]}],"operationId":"createZohoConfiguration","tags":["Zoho Configurations"],"requestBody":{"required":true,"description":"data for creating a new Zoho Configuration","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"moduleCreate":{"type":"string","enum":["lead","contact","nothing"],"default":"lead"},"moduleSearch":{"type":"string","enum":["contact_lead","contact","lead"],"default":"contact_lead"},"description":{"type":"string"}}}}}},"responses":{"201":{"description":"ZohoConfiguration successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/ZohoConfiguration"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/zoho/configurations/{id}":{"get":{"summary":"Gets a single Zoho Configuration","security":[{"BearerAuth":[]}],"operationId":"getZohoConfigurationById","tags":["Zoho Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZohoConfiguration to get"}],"responses":{"200":{"description":"ZohoConfiguration with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/ZohoConfiguration"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ZohoConfiguration not found"}}},"put":{"summary":"Update an existing Zoho Configuration","security":[{"BearerAuth":[]}],"operationId":"updateZohoConfigurationById","tags":["Zoho Configurations"],"requestBody":{"required":true,"description":"data for updating a new Zoho Configuration","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"moduleCreate":{"type":"string","enum":["lead","contact","nothing"],"default":"lead"},"moduleSearch":{"type":"string","enum":["contact_lead","contact","lead"],"default":"contact_lead"},"description":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZohoConfiguration to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ZohoConfiguration not found"}}}},"/integrations/zoho/configurations/{id}/fields":{"get":{"summary":"Gets configurations fields","security":[{"BearerAuth":[]}],"operationId":"getFields","tags":["Zoho Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZohoConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/zoho/configurations/{id}/subjects":{"get":{"summary":"Gets configurations subjects","security":[{"BearerAuth":[]}],"operationId":"getSubjects","tags":["Zoho Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZohoConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/zoho/configurations/{id}/descriptions":{"get":{"summary":"Gets configurations descriptions","security":[{"BearerAuth":[]}],"operationId":"getDescriptions","tags":["Zoho Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZohoConfiguration"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/zoho/configurations/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Zoho Configuration","security":[{"BearerAuth":[]}],"operationId":"deleteZohoConfigurationById","tags":["Zoho Configurations"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZohoConfiguration to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ZohoConfiguration not found"}}}},"/integrations/zoho/fields":{"get":{"summary":"Gets a list of Zoho Fields","security":[{"BearerAuth":[]}],"operationId":"listAllZohoField","tags":["Zoho Fields"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each ZohoField"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Zoho Fields","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/ZohoField"}}}}},"206":{"description":"Partial (paged) collection of Zoho Fields","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/ZohoField"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Zoho Field","security":[{"BearerAuth":[]}],"operationId":"createZohoField","tags":["Zoho Fields"],"requestBody":{"required":true,"description":"data for creating a new Zoho Field","content":{"application/json":{"schema":{"type":"object","properties":{"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"default":"string"},"content":{"type":"string"},"key":{"type":"string"},"keyType":{"type":"string","enum":["string","variable","customVariable"]},"keyContent":{"type":"string"},"idField":{"type":"string"},"nameField":{"type":"string"},"customField":{"type":"boolean","default":true},"variableName":{"type":"string"}}}}}},"responses":{"201":{"description":"ZohoField successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/ZohoField"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/integrations/zoho/fields/{id}":{"get":{"summary":"Gets a single Zoho Field","security":[{"BearerAuth":[]}],"operationId":"getZohoFieldById","tags":["Zoho Fields"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZohoField to get"}],"responses":{"200":{"description":"ZohoField with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/ZohoField"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ZohoField not found"}}},"put":{"summary":"Update an existing Zoho Field","security":[{"BearerAuth":[]}],"operationId":"updateZohoFieldById","tags":["Zoho Fields"],"requestBody":{"required":true,"description":"data for updating a new Zoho Field","content":{"application/json":{"schema":{"type":"object","properties":{"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"default":"string"},"content":{"type":"string"},"key":{"type":"string"},"keyType":{"type":"string","enum":["string","variable","customVariable"]},"keyContent":{"type":"string"},"idField":{"type":"string"},"nameField":{"type":"string"},"customField":{"type":"boolean","default":true},"variableName":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZohoField to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ZohoField not found"}}}},"/integrations/zoho/fields/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Zoho Field","security":[{"BearerAuth":[]}],"operationId":"deleteZohoFieldById","tags":["Zoho Fields"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ZohoField to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ZohoField not found"}}}},"/jira":{"post":{"summary":"Creates a new issue","security":[{"BearerAuth":[]}],"operationId":"createJiraIssue","tags":["Issue"],"requestBody":{"required":true,"description":"Issue content","content":{"application/json":{"schema":{"type":"object","properties":{"issuetype":{"type":"string","description":"the issue type","default":"Bug"},"summary":{"type":"string","description":"short description of the issue"},"description":{"type":"string","description":"the issue full description"}},"required":["summary","description"]}}}},"responses":{"201":{"description":"issue submitted successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/jscripty/answers/reports":{"get":{"summary":"Gets a list of Reports","security":[{"BearerAuth":[]}],"operationId":"listAllJscriptyAnswerReport","tags":["JscriptyAnswerReport"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each JscriptyAnswerReport"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/JscriptyAnswerReport"}}}}},"206":{"description":"Partial (paged) collection of Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/JscriptyAnswerReport"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Report","security":[{"BearerAuth":[]}],"operationId":"createJscriptyAnswerReport","tags":["JscriptyAnswerReport"],"requestBody":{"required":true,"description":"data for creating a new Report","content":{"application/json":{"schema":{"type":"object","properties":{"question":{"type":"string"},"answer":{"type":"string"},"membername":{"type":"string"},"projectname":{"type":"string"},"queue":{"type":"string"},"uniqueid":{"type":"string"},"calleridname":{"type":"string"},"calleridnum":{"type":"string"},"questionId":{"type":"string"}}}}}},"responses":{"201":{"description":"JscriptyAnswerReport successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JscriptyAnswerReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/jscripty/answers/reports/describe":{"get":{"summary":"Gets table info about Reports","security":[{"BearerAuth":[]}],"operationId":"describeJscriptyAnswerReport","tags":["JscriptyAnswerReport"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/jscripty/answers/reports/{id}":{"get":{"summary":"Gets a single Report","security":[{"BearerAuth":[]}],"operationId":"getJscriptyAnswerReportById","tags":["JscriptyAnswerReport"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the JscriptyAnswerReport to get"}],"responses":{"200":{"description":"JscriptyAnswerReport with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JscriptyAnswerReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"JscriptyAnswerReport not found"}}},"put":{"summary":"Update an existing Report","security":[{"BearerAuth":[]}],"operationId":"updateJscriptyAnswerReportById","tags":["JscriptyAnswerReport"],"requestBody":{"required":true,"description":"data for updating a new Report","content":{"application/json":{"schema":{"type":"object","properties":{"question":{"type":"string"},"answer":{"type":"string"},"membername":{"type":"string"},"projectname":{"type":"string"},"queue":{"type":"string"},"uniqueid":{"type":"string"},"calleridname":{"type":"string"},"calleridnum":{"type":"string"},"questionId":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the JscriptyAnswerReport to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"JscriptyAnswerReport not found"}}}},"/jscripty/answers/reports/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Report","security":[{"BearerAuth":[]}],"operationId":"deleteJscriptyAnswerReportById","tags":["JscriptyAnswerReport"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the JscriptyAnswerReport to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"JscriptyAnswerReport not found"}}}},"/jscripty/projects":{"get":{"summary":"Gets a list of Projects","security":[{"BearerAuth":[]}],"operationId":"listAllJscriptyProject","tags":["Jscripty Projects"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each JscriptyProject"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Projects","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/JscriptyProject"}}}}},"206":{"description":"Partial (paged) collection of Projects","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/JscriptyProject"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Project","security":[{"BearerAuth":[]}],"operationId":"createJscriptyProject","tags":["Jscripty Projects"],"requestBody":{"required":true,"description":"data for creating a new Project","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"formData":{"type":"string"},"enableUncompleteSave":{"type":"boolean","default":true},"sendUnpauseOnSubmit":{"type":"boolean","default":false}}}}}},"responses":{"201":{"description":"JscriptyProject successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JscriptyProject"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/jscripty/projects/{id}":{"get":{"summary":"Gets a single Project","security":[{"BearerAuth":[]}],"operationId":"getJscriptyProjectById","tags":["Jscripty Projects"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the JscriptyProject to get"}],"responses":{"200":{"description":"JscriptyProject with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JscriptyProject"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"JscriptyProject not found"}}},"put":{"summary":"Update an existing Project","security":[{"BearerAuth":[]}],"operationId":"updateJscriptyProjectById","tags":["Jscripty Projects"],"requestBody":{"required":true,"description":"data for updating a new Project","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"formData":{"type":"string"},"enableUncompleteSave":{"type":"boolean","default":true},"sendUnpauseOnSubmit":{"type":"boolean","default":false}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the JscriptyProject to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"JscriptyProject not found"}}}},"/jscripty/projects/{id}/sessions":{"get":{"summary":"Gets jscripty project sessions","security":[{"BearerAuth":[]}],"operationId":"getSessions","tags":["Jscripty Projects"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the JscriptyProject"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/jscripty/projects/{id}/answers":{"get":{"summary":"Gets jscripty project answers","security":[{"BearerAuth":[]}],"operationId":"getAnswers","tags":["Jscripty Projects"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the JscriptyProject"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/jscripty/projects/{id}/summary":{"get":{"summary":"Gets jscripty project summary","security":[{"BearerAuth":[]}],"operationId":"getSummary","tags":["Jscripty Projects"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the JscriptyProject"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/jscripty/projects/clone":{"post":{"summary":"Clone an existing Project","security":[{"BearerAuth":[]}],"operationId":"cloneJscriptyProject","tags":["Jscripty Projects"],"requestBody":{"required":true,"description":"data for creating a new Project","content":{"application/json":{"schema":{"type":"object","properties":{"id":{"type":"integer","description":"id of the Project to clone"},"name":{"type":"string"},"description":{"type":"string"},"formData":{"type":"string"},"enableUncompleteSave":{"type":"boolean","default":true},"sendUnpauseOnSubmit":{"type":"boolean","default":false}},"required":["id"]}}}},"responses":{"201":{"description":"JscriptyProject successfully cloned. Returns the cloned object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JscriptyProject"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/jscripty/projects/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Project","security":[{"BearerAuth":[]}],"operationId":"deleteJscriptyProjectById","tags":["Jscripty Projects"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the JscriptyProject to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"JscriptyProject not found"}}}},"/jscripty/questions/reports":{"get":{"summary":"Gets a list of Reports","security":[{"BearerAuth":[]}],"operationId":"listAllJscriptyQuestionReport","tags":["JscriptyQuestionReport"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each JscriptyQuestionReport"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/JscriptyQuestionReport"}}}}},"206":{"description":"Partial (paged) collection of Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/JscriptyQuestionReport"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Report","security":[{"BearerAuth":[]}],"operationId":"createJscriptyQuestionReport","tags":["JscriptyQuestionReport"],"requestBody":{"required":true,"description":"data for creating a new Report","content":{"application/json":{"schema":{"type":"object","properties":{"question":{"type":"string"},"answer":{"type":"string"},"membername":{"type":"string"},"projectname":{"type":"string"},"queue":{"type":"string"},"uniqueid":{"type":"string"},"calleridname":{"type":"string"},"calleridnum":{"type":"string"},"questionId":{"type":"string"}}}}}},"responses":{"201":{"description":"JscriptyQuestionReport successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JscriptyQuestionReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/jscripty/questions/reports/describe":{"get":{"summary":"Gets table info about Reports","security":[{"BearerAuth":[]}],"operationId":"describeJscriptyQuestionReport","tags":["JscriptyQuestionReport"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/jscripty/questions/reports/{id}":{"get":{"summary":"Gets a single Report","security":[{"BearerAuth":[]}],"operationId":"getJscriptyQuestionReportById","tags":["JscriptyQuestionReport"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the JscriptyQuestionReport to get"}],"responses":{"200":{"description":"JscriptyQuestionReport with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JscriptyQuestionReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"JscriptyQuestionReport not found"}}},"put":{"summary":"Update an existing Report","security":[{"BearerAuth":[]}],"operationId":"updateJscriptyQuestionReportById","tags":["JscriptyQuestionReport"],"requestBody":{"required":true,"description":"data for updating a new Report","content":{"application/json":{"schema":{"type":"object","properties":{"question":{"type":"string"},"answer":{"type":"string"},"membername":{"type":"string"},"projectname":{"type":"string"},"queue":{"type":"string"},"uniqueid":{"type":"string"},"calleridname":{"type":"string"},"calleridnum":{"type":"string"},"questionId":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the JscriptyQuestionReport to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"JscriptyQuestionReport not found"}}}},"/jscripty/questions/reports/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Report","security":[{"BearerAuth":[]}],"operationId":"deleteJscriptyQuestionReportById","tags":["JscriptyQuestionReport"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the JscriptyQuestionReport to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"JscriptyQuestionReport not found"}}}},"/jscripty/sessions/reports":{"get":{"summary":"Gets a list of Reports","security":[{"BearerAuth":[]}],"operationId":"listAllJscriptySessionReport","tags":["JscriptySessionReport"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each JscriptySessionReport"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/JscriptySessionReport"}}}}},"206":{"description":"Partial (paged) collection of Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/JscriptySessionReport"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Report","security":[{"BearerAuth":[]}],"operationId":"createJscriptySessionReport","tags":["JscriptySessionReport"],"requestBody":{"required":true,"description":"data for creating a new Report","content":{"application/json":{"schema":{"type":"object","properties":{"starttime":{"type":"string"},"endtime":{"type":"string"},"membername":{"type":"string"},"projectname":{"type":"string"},"queue":{"type":"string"},"uniqueid":{"type":"string"},"calleridname":{"type":"string"},"calleridnum":{"type":"string"},"completed":{"type":"boolean","default":false}}}}}},"responses":{"201":{"description":"JscriptySessionReport successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JscriptySessionReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/jscripty/sessions/reports/describe":{"get":{"summary":"Gets table info about Reports","security":[{"BearerAuth":[]}],"operationId":"describeJscriptySessionReport","tags":["JscriptySessionReport"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/jscripty/sessions/reports/{id}":{"get":{"summary":"Gets a single Report","security":[{"BearerAuth":[]}],"operationId":"getJscriptySessionReportById","tags":["JscriptySessionReport"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the JscriptySessionReport to get"}],"responses":{"200":{"description":"JscriptySessionReport with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JscriptySessionReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"JscriptySessionReport not found"}}},"put":{"summary":"Update an existing Report","security":[{"BearerAuth":[]}],"operationId":"updateJscriptySessionReportById","tags":["JscriptySessionReport"],"requestBody":{"required":true,"description":"data for updating a new Report","content":{"application/json":{"schema":{"type":"object","properties":{"starttime":{"type":"string"},"endtime":{"type":"string"},"membername":{"type":"string"},"projectname":{"type":"string"},"queue":{"type":"string"},"uniqueid":{"type":"string"},"calleridname":{"type":"string"},"calleridnum":{"type":"string"},"completed":{"type":"boolean","default":false}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the JscriptySessionReport to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"JscriptySessionReport not found"}}}},"/jscripty/sessions/reports/{id}/questions":{"get":{"summary":"Gets Jscripty Session questions","security":[{"BearerAuth":[]}],"operationId":"getQuestions","tags":["JscriptySessionReport"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the JscriptySessionReport"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/jscripty/sessions/reports/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Report","security":[{"BearerAuth":[]}],"operationId":"deleteJscriptySessionReportById","tags":["JscriptySessionReport"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the JscriptySessionReport to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"JscriptySessionReport not found"}}}},"/license/":{"get":{"summary":"Gets License Info","operationId":"index","tags":["License"],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}}}}},"/license/{id}":{"put":{"summary":"Update License Info","security":[{"BearerAuth":[]}],"operationId":"update","tags":["License"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the License"}],"responses":{"200":{"description":"successfully updated"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/accounts":{"get":{"summary":"Gets a list of Accounts","security":[{"BearerAuth":[]}],"operationId":"listAllMailAccount","tags":["Mail Accounts"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each MailAccount"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/MailAccount"}}}}},"206":{"description":"Partial (paged) collection of Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/MailAccount"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/accounts/describe":{"get":{"summary":"Gets table info about Accounts","security":[{"BearerAuth":[]}],"operationId":"describeMailAccount","tags":["Mail Accounts"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/accounts/{id}":{"get":{"summary":"Gets a single Account","security":[{"BearerAuth":[]}],"operationId":"getMailAccountById","tags":["Mail Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailAccount to get"}],"responses":{"200":{"description":"MailAccount with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/MailAccount"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"MailAccount not found"}}},"put":{"summary":"Update an existing Account","security":[{"BearerAuth":[]}],"operationId":"updateMailAccountById","tags":["Mail Accounts"],"requestBody":{"required":true,"description":"data for updating a new Account","content":{"application/json":{"schema":{"type":"object","properties":{"description":{"type":"string"},"name":{"type":"string"},"service":{"type":"boolean","default":false},"email":{"type":"string"},"active":{"type":"boolean","default":true},"key":{"type":"string"},"template":{"type":"string"},"markAsUnread":{"type":"boolean","default":false},"fontFamily":{"type":"string","default":"Arial,Helvetica,sans-serif"},"fontSize":{"type":"integer","default":13},"notificationTemplate":{"type":"string","default":"Account: {{account.name}}
{{#queue}}Queue: {{queue.name}}
{{/queue}}From : {{from}}
Subject : {{message.subject}}"},"notificationSound":{"type":"boolean","default":true},"notificationShake":{"type":"boolean","default":false},"waitForTheAssignedAgent":{"type":"integer","default":10},"queueTransfer":{"type":"boolean","default":false},"queueTransferTimeout":{"type":"integer","default":300},"agentTransfer":{"type":"boolean","default":false},"agentTransferTimeout":{"type":"integer","default":300},"mandatoryDispositionPauseId":{"type":"integer","description":"Status to put when mandatory disposition is enabled","default":null},"mandatoryDisposition":{"type":"boolean","description":"Enabled/disables mandatory dispo on a queue","default":false}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailAccount to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"MailAccount not found"}}},"delete":{"summary":"Deletes a mail account","security":[{"BearerAuth":[]}],"operationId":"destroy","tags":["Mail Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailAccount"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/accounts/{id}/dispositions":{"get":{"summary":"Gets account dispositions","security":[{"BearerAuth":[]}],"operationId":"getDispositions","tags":["Mail Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new disposition","security":[{"BearerAuth":[]}],"operationId":"addDisposition","tags":["Mail Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes dispositions from account","security":[{"BearerAuth":[]}],"operationId":"removeDispositions","tags":["Mail Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailAccount"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/accounts/{id}/canned_answers":{"get":{"summary":"Gets account canned answers","security":[{"BearerAuth":[]}],"operationId":"getAnswers","tags":["Mail Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new canned answer","security":[{"BearerAuth":[]}],"operationId":"addAnswer","tags":["Mail Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes canned answers from account","security":[{"BearerAuth":[]}],"operationId":"removeAnswers","tags":["Mail Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailAccount"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/accounts/{id}/in_servers":{"get":{"summary":"Gets account IMAP server","security":[{"BearerAuth":[]}],"operationId":"getImap","tags":["Mail Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new IMAP server","security":[{"BearerAuth":[]}],"operationId":"addImap","tags":["Mail Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes IMAP server from an account","security":[{"BearerAuth":[]}],"operationId":"removeImap","tags":["Mail Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailAccount"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/accounts/{id}/out_servers":{"get":{"summary":"Gets account SMTP server","security":[{"BearerAuth":[]}],"operationId":"getSmtp","tags":["Mail Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new SMTP server","security":[{"BearerAuth":[]}],"operationId":"addSmtp","tags":["Mail Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes SMTP server from an account","security":[{"BearerAuth":[]}],"operationId":"removeSmtp","tags":["Mail Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailAccount"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/accounts/{id}/interactions":{"get":{"summary":"Gets account interactions","security":[{"BearerAuth":[]}],"operationId":"getInteractions","tags":["Mail Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new interactions","security":[{"BearerAuth":[]}],"operationId":"addInteraction","tags":["Mail Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/accounts/{id}/applications":{"get":{"summary":"Gets account applications","security":[{"BearerAuth":[]}],"operationId":"getApplications","tags":["Mail Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new applications","security":[{"BearerAuth":[]}],"operationId":"addApplications","tags":["Mail Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/accounts/{id}/messages":{"get":{"summary":"Gets account messages","security":[{"BearerAuth":[]}],"operationId":"getMessages","tags":["Mail Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/accounts/{id}/verify":{"get":{"summary":"Verify mail account","security":[{"BearerAuth":[]}],"operationId":"verifySmtp","tags":["Mail Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/accounts/{id}/users":{"get":{"summary":"Gets agents from mail account","security":[{"BearerAuth":[]}],"operationId":"getAgents","tags":["Mail Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add agents to a mail account","security":[{"BearerAuth":[]}],"operationId":"addAgents","tags":["Mail Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes agents from a mail account","security":[{"BearerAuth":[]}],"operationId":"removeAgents","tags":["Mail Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailAccount"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/accounts/":{"post":{"summary":"Create a mail account","security":[{"BearerAuth":[]}],"operationId":"create","tags":["Mail Accounts"],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/accounts/{id}/send":{"post":{"summary":"Send new mail","security":[{"BearerAuth":[]}],"operationId":"send","tags":["Mail Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/applications/{id}":{"get":{"summary":"Gets a single Application","security":[{"BearerAuth":[]}],"operationId":"getMailApplicationById","tags":["Mail Applications"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailApplication to get"}],"responses":{"200":{"description":"MailApplication with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/MailApplication"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"MailApplication not found"}}},"put":{"summary":"Update an existing Application","security":[{"BearerAuth":[]}],"operationId":"updateMailApplicationById","tags":["Mail Applications"],"requestBody":{"required":true,"description":"data for updating a new Application","content":{"application/json":{"schema":{"type":"object","properties":{"priority":{"type":"integer"},"app":{"type":"string","default":"noop"},"appdata":{"type":"string"},"description":{"type":"string"},"interval":{"type":"string","default":"*,*,*,*"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailApplication to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"MailApplication not found"}}}},"/mail/applications/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Application","security":[{"BearerAuth":[]}],"operationId":"deleteMailApplicationById","tags":["Mail Applications"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailApplication to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"MailApplication not found"}}}},"/mail/interactions":{"get":{"summary":"Gets a list of Interactions","security":[{"BearerAuth":[]}],"operationId":"listAllMailInteraction","tags":["Mail Interactions"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each MailInteraction"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Interactions","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/MailInteraction"}}}}},"206":{"description":"Partial (paged) collection of Interactions","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/MailInteraction"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Interaction","security":[{"BearerAuth":[]}],"operationId":"createMailInteraction","tags":["Mail Interactions"],"requestBody":{"required":true,"description":"data for creating a new Interaction","content":{"application/json":{"schema":{"type":"object","properties":{"closed":{"type":"boolean","default":false},"closedAt":{"type":"string"},"disposition":{"type":"string"},"secondDisposition":{"type":"string"},"thirdDisposition":{"type":"string"},"note":{"type":"string"},"inReplyTo":{"type":"string"},"to":{"type":"string"},"cc":{"type":"string"},"subject":{"type":"string"},"attach":{"type":"boolean","default":false},"read1stAt":{"type":"string"},"substatus":{"type":"string"},"substatusAt":{"type":"string"},"firstMsgDirection":{"type":"string","enum":["in","out"],"default":"in"},"lastMsgAt":{"type":"string"},"lastMsgDirection":{"type":"string","enum":["in","out"],"default":"in"},"lastMsgBody":{"type":"string"},"lastMsgText":{"type":"string"}},"required":["firstMsgDirection","lastMsgDirection"]}}}},"responses":{"201":{"description":"MailInteraction successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/MailInteraction"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/interactions/describe":{"get":{"summary":"Gets table info about Interactions","security":[{"BearerAuth":[]}],"operationId":"describeMailInteraction","tags":["Mail Interactions"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/interactions/{id}":{"get":{"summary":"Gets a single Interaction","security":[{"BearerAuth":[]}],"operationId":"getMailInteractionById","tags":["Mail Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailInteraction to get"}],"responses":{"200":{"description":"MailInteraction with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/MailInteraction"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"MailInteraction not found"}}},"put":{"summary":"Update an existing Interaction","security":[{"BearerAuth":[]}],"operationId":"updateMailInteractionById","tags":["Mail Interactions"],"requestBody":{"required":true,"description":"data for updating a new Interaction","content":{"application/json":{"schema":{"type":"object","properties":{"closed":{"type":"boolean","default":false},"closedAt":{"type":"string"},"disposition":{"type":"string"},"secondDisposition":{"type":"string"},"thirdDisposition":{"type":"string"},"note":{"type":"string"},"inReplyTo":{"type":"string"},"to":{"type":"string"},"cc":{"type":"string"},"subject":{"type":"string"},"attach":{"type":"boolean","default":false},"read1stAt":{"type":"string"},"substatus":{"type":"string"},"substatusAt":{"type":"string"},"firstMsgDirection":{"type":"string","enum":["in","out"],"default":"in"},"lastMsgAt":{"type":"string"},"lastMsgDirection":{"type":"string","enum":["in","out"],"default":"in"},"lastMsgBody":{"type":"string"},"lastMsgText":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailInteraction to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"MailInteraction not found"}}}},"/mail/interactions/{id}/messages":{"get":{"summary":"Gets interaction messages","security":[{"BearerAuth":[]}],"operationId":"getMessages","tags":["Mail Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailInteraction"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new message","security":[{"BearerAuth":[]}],"operationId":"addMessage","tags":["Mail Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailInteraction"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/interactions/{id}/download":{"get":{"summary":"Gets interaction","security":[{"BearerAuth":[]}],"operationId":"download","tags":["Mail Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailInteraction"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/interactions/{id}/tags":{"post":{"summary":"Add tags to the interaction","security":[{"BearerAuth":[]}],"operationId":"addTags","tags":["Mail Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailInteraction"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes tags from interaction","security":[{"BearerAuth":[]}],"operationId":"removeTags","tags":["Mail Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailInteraction"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/interactions/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Interaction","security":[{"BearerAuth":[]}],"operationId":"deleteMailInteractionById","tags":["Mail Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailInteraction to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"MailInteraction not found"}}}},"/mail/messages":{"get":{"summary":"Gets a list of Messages","security":[{"BearerAuth":[]}],"operationId":"listAllMailMessage","tags":["Mail Messages"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each MailMessage"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Messages","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/MailMessage"}}}}},"206":{"description":"Partial (paged) collection of Messages","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/MailMessage"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/messages/describe":{"get":{"summary":"Gets table info about Messages","security":[{"BearerAuth":[]}],"operationId":"describeMailMessage","tags":["Mail Messages"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/messages/{id}":{"get":{"summary":"Gets a single Message","security":[{"BearerAuth":[]}],"operationId":"getMailMessageById","tags":["Mail Messages"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailMessage to get"}],"responses":{"200":{"description":"MailMessage with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/MailMessage"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"MailMessage not found"}}},"put":{"summary":"Update an existing Message","security":[{"BearerAuth":[]}],"operationId":"updateMailMessageById","tags":["Mail Messages"],"requestBody":{"required":true,"description":"data for updating a new Message","content":{"application/json":{"schema":{"type":"object","properties":{"body":{"type":"string"},"plainBody":{"type":"string"},"read":{"type":"boolean","default":false},"direction":{"type":"string","enum":["in","out"],"default":"out"},"messageId":{"type":"string"},"from":{"type":"string"},"to":{"type":"string"},"cc":{"type":"string"},"bcc":{"type":"string"},"subject":{"type":"string"},"sentAt":{"type":"string"},"attach":{"type":"integer","default":0},"secret":{"type":"boolean","default":false},"readAt":{"type":"string"},"originTo":{"type":"string"},"originCc":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailMessage to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"MailMessage not found"}}}},"/mail/messages/{id}/download":{"get":{"summary":"Gets message","security":[{"BearerAuth":[]}],"operationId":"download","tags":["Mail Messages"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailMessage"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/messages/":{"post":{"summary":"Create a message","security":[{"BearerAuth":[]}],"operationId":"create","tags":["Mail Messages"],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/messages/{id}/accept":{"put":{"summary":"Accepts message","security":[{"BearerAuth":[]}],"operationId":"accept","tags":["Mail Messages"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailMessage"}],"responses":{"200":{"description":"successfully updated"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/messages/{id}/reject":{"put":{"summary":"Rejects message","security":[{"BearerAuth":[]}],"operationId":"reject","tags":["Mail Messages"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailMessage"}],"responses":{"200":{"description":"successfully updated"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/messages/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Message","security":[{"BearerAuth":[]}],"operationId":"deleteMailMessageById","tags":["Mail Messages"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailMessage to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"MailMessage not found"}}}},"/mail/queues":{"get":{"summary":"Gets a list of Queues","security":[{"BearerAuth":[]}],"operationId":"listAllMailQueue","tags":["Mail Queues"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each MailQueue"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Queues","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/MailQueue"}}}}},"206":{"description":"Partial (paged) collection of Queues","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/MailQueue"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Queue","security":[{"BearerAuth":[]}],"operationId":"createMailQueue","tags":["Mail Queues"],"requestBody":{"required":true,"description":"data for creating a new Queue","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"timeout":{"type":"integer"},"strategy":{"type":"string","enum":["rrmemory","beepall","roundrobin"]}}}}}},"responses":{"201":{"description":"MailQueue successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/MailQueue"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/queues/describe":{"get":{"summary":"Gets table info about Queues","security":[{"BearerAuth":[]}],"operationId":"describeMailQueue","tags":["Mail Queues"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/queues/{id}":{"get":{"summary":"Gets a single Queue","security":[{"BearerAuth":[]}],"operationId":"getMailQueueById","tags":["Mail Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailQueue to get"}],"responses":{"200":{"description":"MailQueue with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/MailQueue"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"MailQueue not found"}}},"put":{"summary":"Update an existing Queue","security":[{"BearerAuth":[]}],"operationId":"updateMailQueueById","tags":["Mail Queues"],"requestBody":{"required":true,"description":"data for updating a new Queue","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"timeout":{"type":"integer"},"strategy":{"type":"string","enum":["rrmemory","beepall","roundrobin"]}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailQueue to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"MailQueue not found"}}}},"/mail/queues/{id}/members":{"get":{"summary":"GetMembers","security":[{"BearerAuth":[]}],"operationId":"getMembers","tags":["Mail Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailQueue"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/queues/{id}/teams":{"get":{"summary":"Gets queues list","security":[{"BearerAuth":[]}],"operationId":"getTeams","tags":["Mail Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailQueue"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add teams to a queue","security":[{"BearerAuth":[]}],"operationId":"addTeams","tags":["Mail Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailQueue"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Remove teams from a queue","security":[{"BearerAuth":[]}],"operationId":"removeTeams","tags":["Teams"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailQueue"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/queues/{id}/users":{"get":{"summary":"Gets queue agents","security":[{"BearerAuth":[]}],"operationId":"getAgents","tags":["Mail Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailQueue"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add agents to a queue","security":[{"BearerAuth":[]}],"operationId":"addAgents","tags":["Mail Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailQueue"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes agents from a queue","security":[{"BearerAuth":[]}],"operationId":"removeAgents","tags":["Mail Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailQueue"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/queues/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Queue","security":[{"BearerAuth":[]}],"operationId":"deleteMailQueueById","tags":["Mail Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailQueue to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"MailQueue not found"}}}},"/mail/reports/queue":{"get":{"summary":"Gets a list of Mail Queue Reports","security":[{"BearerAuth":[]}],"operationId":"listAllMailQueueReport","tags":["Mail Queue Reports"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each MailQueueReport"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Mail Queue Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/MailQueueReport"}}}}},"206":{"description":"Partial (paged) collection of Mail Queue Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/MailQueueReport"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Mail Queue Report","security":[{"BearerAuth":[]}],"operationId":"createMailQueueReport","tags":["Mail Queue Reports"],"requestBody":{"required":true,"description":"data for creating a new Mail Queue Report","content":{"application/json":{"schema":{"type":"object","properties":{"uniqueid":{"type":"string"},"from":{"type":"string"},"joinAt":{"type":"string"},"leaveAt":{"type":"string"},"acceptAt":{"type":"string"},"exitAt":{"type":"string"},"reason":{"type":"string"}},"required":["uniqueid"]}}}},"responses":{"201":{"description":"MailQueueReport successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/MailQueueReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/reports/queue/describe":{"get":{"summary":"Gets table info about Mail Queue Reports","security":[{"BearerAuth":[]}],"operationId":"describeMailQueueReport","tags":["Mail Queue Reports"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/reports/queue/{id}":{"get":{"summary":"Gets a single Mail Queue Report","security":[{"BearerAuth":[]}],"operationId":"getMailQueueReportById","tags":["Mail Queue Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailQueueReport to get"}],"responses":{"200":{"description":"MailQueueReport with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/MailQueueReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"MailQueueReport not found"}}},"put":{"summary":"Update an existing Mail Queue Report","security":[{"BearerAuth":[]}],"operationId":"updateMailQueueReportById","tags":["Mail Queue Reports"],"requestBody":{"required":true,"description":"data for updating a new Mail Queue Report","content":{"application/json":{"schema":{"type":"object","properties":{"uniqueid":{"type":"string"},"from":{"type":"string"},"joinAt":{"type":"string"},"leaveAt":{"type":"string"},"acceptAt":{"type":"string"},"exitAt":{"type":"string"},"reason":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailQueueReport to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"MailQueueReport not found"}}}},"/mail/reports/queue/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Mail Queue Report","security":[{"BearerAuth":[]}],"operationId":"deleteMailQueueReportById","tags":["Mail Queue Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailQueueReport to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"MailQueueReport not found"}}}},"/mail/out_servers":{"get":{"summary":"Gets a list of SMTPs","security":[{"BearerAuth":[]}],"operationId":"listAllMailServerOut","tags":["Mail SMTP"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each MailServerOut"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of SMTPs","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/MailServerOut"}}}}},"206":{"description":"Partial (paged) collection of SMTPs","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/MailServerOut"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new SMTP","security":[{"BearerAuth":[]}],"operationId":"createMailServerOut","tags":["Mail SMTP"],"requestBody":{"required":true,"description":"data for creating a new SMTP","content":{"application/json":{"schema":{"type":"object","properties":{"description":{"type":"string"},"host":{"type":"string"},"user":{"type":"string"},"pass":{"type":"string"},"port":{"type":"integer"},"secure":{"type":"boolean","default":false},"service":{"type":"string"},"authentication":{"type":"boolean","default":true}}}}}},"responses":{"201":{"description":"MailServerOut successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/MailServerOut"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/out_servers/{id}":{"get":{"summary":"Gets a single SMTP","security":[{"BearerAuth":[]}],"operationId":"getMailServerOutById","tags":["Mail SMTP"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailServerOut to get"}],"responses":{"200":{"description":"MailServerOut with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/MailServerOut"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"MailServerOut not found"}}},"put":{"summary":"Update an existing SMTP","security":[{"BearerAuth":[]}],"operationId":"updateMailServerOutById","tags":["Mail SMTP"],"requestBody":{"required":true,"description":"data for updating a new SMTP","content":{"application/json":{"schema":{"type":"object","properties":{"description":{"type":"string"},"host":{"type":"string"},"user":{"type":"string"},"pass":{"type":"string"},"port":{"type":"integer"},"secure":{"type":"boolean","default":false},"service":{"type":"string"},"authentication":{"type":"boolean","default":true}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailServerOut to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"MailServerOut not found"}}}},"/mail/out_servers/{id}/destroy_many":{"delete":{"summary":"Destroy an existing SMTP","security":[{"BearerAuth":[]}],"operationId":"deleteMailServerOutById","tags":["Mail SMTP"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailServerOut to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"MailServerOut not found"}}}},"/mail/substatuses":{"get":{"summary":"Gets a list of Substatuses","security":[{"BearerAuth":[]}],"operationId":"listAllMailSubstatus","tags":["Mail Substatuses"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each MailSubstatus"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Substatuses","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/MailSubstatus"}}}}},"206":{"description":"Partial (paged) collection of Substatuses","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/MailSubstatus"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Queue","security":[{"BearerAuth":[]}],"operationId":"createMailSubstatus","tags":["Mail Substatuses"],"requestBody":{"required":true,"description":"data for creating a new Queue","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"}},"required":["name"]}}}},"responses":{"201":{"description":"MailSubstatus successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/MailSubstatus"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/substatuses/describe":{"get":{"summary":"Gets table info about Substatuses","security":[{"BearerAuth":[]}],"operationId":"describeMailSubstatus","tags":["Mail Substatuses"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/substatuses/{id}":{"get":{"summary":"Gets a single Queue","security":[{"BearerAuth":[]}],"operationId":"getMailSubstatusById","tags":["Mail Substatuses"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailSubstatus to get"}],"responses":{"200":{"description":"MailSubstatus with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/MailSubstatus"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"MailSubstatus not found"}}},"put":{"summary":"Update an existing Queue","security":[{"BearerAuth":[]}],"operationId":"updateMailSubstatusById","tags":["Mail Substatuses"],"requestBody":{"required":true,"description":"data for updating a new Queue","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailSubstatus to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"MailSubstatus not found"}}}},"/mail/substatuses/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Queue","security":[{"BearerAuth":[]}],"operationId":"deleteMailSubstatusById","tags":["Mail Substatuses"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailSubstatus to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"MailSubstatus not found"}}}},"/mail/reports/transfer":{"get":{"summary":"Gets a list of Mail Transfer Reports","security":[{"BearerAuth":[]}],"operationId":"listAllMailTransferReport","tags":["Mail Transfer Reports"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each MailTransferReport"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Mail Transfer Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/MailTransferReport"}}}}},"206":{"description":"Partial (paged) collection of Mail Transfer Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/MailTransferReport"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Mail Transfer Report","security":[{"BearerAuth":[]}],"operationId":"createMailTransferReport","tags":["Mail Transfer Reports"],"requestBody":{"required":true,"description":"data for creating a new Mail Transfer Report","content":{"application/json":{"schema":{"type":"object","properties":{"uniqueid":{"type":"string"},"type":{"type":"string","enum":["account","agent","queue"],"default":"queue"},"transferredAt":{"type":"string","default":"NOW"}},"required":["uniqueid","type","transferredAt"]}}}},"responses":{"201":{"description":"MailTransferReport successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/MailTransferReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/reports/transfer/describe":{"get":{"summary":"Gets table info about Mail Transfer Reports","security":[{"BearerAuth":[]}],"operationId":"describeMailTransferReport","tags":["Mail Transfer Reports"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/mail/reports/transfer/{id}":{"get":{"summary":"Gets a single Mail Transfer Report","security":[{"BearerAuth":[]}],"operationId":"getMailTransferReportById","tags":["Mail Transfer Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailTransferReport to get"}],"responses":{"200":{"description":"MailTransferReport with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/MailTransferReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"MailTransferReport not found"}}},"put":{"summary":"Update an existing Mail Transfer Report","security":[{"BearerAuth":[]}],"operationId":"updateMailTransferReportById","tags":["Mail Transfer Reports"],"requestBody":{"required":true,"description":"data for updating a new Mail Transfer Report","content":{"application/json":{"schema":{"type":"object","properties":{"uniqueid":{"type":"string"},"type":{"type":"string","enum":["account","agent","queue"],"default":"queue"},"transferredAt":{"type":"string","default":"NOW"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailTransferReport to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"MailTransferReport not found"}}}},"/mail/reports/transfer/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Mail Transfer Report","security":[{"BearerAuth":[]}],"operationId":"deleteMailTransferReportById","tags":["Mail Transfer Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MailTransferReport to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"MailTransferReport not found"}}}},"/members/reports":{"get":{"summary":"Gets a list of Member Reports","security":[{"BearerAuth":[]}],"operationId":"listAllMemberReport","tags":["Member Reports"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each MemberReport"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Member Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/MemberReport"}}}}},"206":{"description":"Partial (paged) collection of Member Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/MemberReport"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/members/reports/describe":{"get":{"summary":"Gets table info about Member Reports","security":[{"BearerAuth":[]}],"operationId":"describeMemberReport","tags":["Member Reports"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/members/reports/{id}":{"get":{"summary":"Gets a single Member Report","security":[{"BearerAuth":[]}],"operationId":"getMemberReportById","tags":["Member Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the MemberReport to get"}],"responses":{"200":{"description":"MemberReport with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/MemberReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"MemberReport not found"}}}},"/migrations":{"get":{"summary":"Gets a list of Migrations","operationId":"listAllMigration","tags":["Migrations"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each Migration"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Migrations","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Migration"}}}}},"206":{"description":"Partial (paged) collection of Migrations","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Migration"}}}}}}}},"/networks":{"get":{"summary":"Gets a list of Networks","security":[{"BearerAuth":[]}],"operationId":"listAllNetwork","tags":["Networks"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each Network"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Networks","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Network"}}}}},"206":{"description":"Partial (paged) collection of Networks","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Network"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/networks/{id}":{"get":{"summary":"Gets a single Network","security":[{"BearerAuth":[]}],"operationId":"getNetworkById","tags":["Networks"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Network to get"}],"responses":{"200":{"description":"Network with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Network"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Network not found"}}},"put":{"summary":"Update an existing network","security":[{"BearerAuth":[]}],"operationId":"update","tags":["Networks"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Network"}],"responses":{"200":{"description":"successfully updated"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Deletes a network","security":[{"BearerAuth":[]}],"operationId":"destroy","tags":["Networks"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Network"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/networks/":{"post":{"summary":"Create a new network","security":[{"BearerAuth":[]}],"operationId":"create","tags":["Networks"],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/notifications/":{"post":{"summary":"Send notification to user","security":[{"BearerAuth":[]}],"operationId":"sendNotification","tags":["Notifications"],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/openchannel/accounts":{"get":{"summary":"Gets a list of Accounts","security":[{"BearerAuth":[]}],"operationId":"listAllOpenchannelAccount","tags":["Openchannel Accounts"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each OpenchannelAccount"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/OpenchannelAccount"}}}}},"206":{"description":"Partial (paged) collection of Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/OpenchannelAccount"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Account","security":[{"BearerAuth":[]}],"operationId":"createOpenchannelAccount","tags":["Openchannel Accounts"],"requestBody":{"required":true,"description":"data for creating a new Account","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"token":{"type":"string","default":"opoXzKt8ZC9vMwf35tCZb0Y8Ci6w5NXM"},"replyUri":{"type":"string"},"key":{"type":"string"},"notificationTemplate":{"type":"string","default":"Account: {{account.name}}
{{#queue}}Queue: {{queue.name}}
{{/queue}}From : {{from}}"},"notificationSound":{"type":"boolean","default":true},"notificationShake":{"type":"boolean","default":false},"waitForTheAssignedAgent":{"type":"integer","default":10},"mapKey":{"type":"string"},"queueTransfer":{"type":"boolean","default":false},"queueTransferTimeout":{"type":"integer","default":300},"agentTransfer":{"type":"boolean","default":false},"agentTransferTimeout":{"type":"integer","default":300},"mandatoryDispositionPauseId":{"type":"integer","description":"Status to put when mandatory disposition is enabled","default":null},"mandatoryDisposition":{"type":"boolean","description":"Enabled/disables mandatory dispo on a queue","default":false}},"required":["name","key"]}}}},"responses":{"201":{"description":"OpenchannelAccount successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/OpenchannelAccount"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/openchannel/accounts/describe":{"get":{"summary":"Gets table info about Accounts","security":[{"BearerAuth":[]}],"operationId":"describeOpenchannelAccount","tags":["Openchannel Accounts"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/openchannel/accounts/{id}":{"get":{"summary":"Gets a single Account","security":[{"BearerAuth":[]}],"operationId":"getOpenchannelAccountById","tags":["Openchannel Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelAccount to get"}],"responses":{"200":{"description":"OpenchannelAccount with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/OpenchannelAccount"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"OpenchannelAccount not found"}}},"put":{"summary":"Update an existing Account","security":[{"BearerAuth":[]}],"operationId":"updateOpenchannelAccountById","tags":["Openchannel Accounts"],"requestBody":{"required":true,"description":"data for updating a new Account","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"token":{"type":"string","default":"HgITaNXHR7se5sobT0VIEUDhnuXiOOoL"},"replyUri":{"type":"string"},"key":{"type":"string"},"notificationTemplate":{"type":"string","default":"Account: {{account.name}}
{{#queue}}Queue: {{queue.name}}
{{/queue}}From : {{from}}"},"notificationSound":{"type":"boolean","default":true},"notificationShake":{"type":"boolean","default":false},"waitForTheAssignedAgent":{"type":"integer","default":10},"mapKey":{"type":"string"},"queueTransfer":{"type":"boolean","default":false},"queueTransferTimeout":{"type":"integer","default":300},"agentTransfer":{"type":"boolean","default":false},"agentTransferTimeout":{"type":"integer","default":300},"mandatoryDispositionPauseId":{"type":"integer","description":"Status to put when mandatory disposition is enabled","default":null},"mandatoryDisposition":{"type":"boolean","description":"Enabled/disables mandatory dispo on a queue","default":false}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelAccount to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"OpenchannelAccount not found"}}}},"/openchannel/accounts/{id}/dispositions":{"get":{"summary":"Gets account dispositions","security":[{"BearerAuth":[]}],"operationId":"getDispositions","tags":["Openchannel Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new disposition","security":[{"BearerAuth":[]}],"operationId":"addDisposition","tags":["Openchannel Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes dispositions from account","security":[{"BearerAuth":[]}],"operationId":"removeDispositions","tags":["Openchannel Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelAccount"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/openchannel/accounts/{id}/canned_answers":{"get":{"summary":"Gets account canned answers","security":[{"BearerAuth":[]}],"operationId":"getAnswers","tags":["Openchannel Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new canned answer","security":[{"BearerAuth":[]}],"operationId":"addAnswer","tags":["Openchannel Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes canned answers from account","security":[{"BearerAuth":[]}],"operationId":"removeAnswers","tags":["Openchannel Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelAccount"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/openchannel/accounts/{id}/applications":{"get":{"summary":"Gets account applications","security":[{"BearerAuth":[]}],"operationId":"getApplications","tags":["Openchannel Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new applications","security":[{"BearerAuth":[]}],"operationId":"addApplications","tags":["Openchannel Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/openchannel/accounts/{id}/interactions":{"get":{"summary":"Gets Openchannel Account Interactions","security":[{"BearerAuth":[]}],"operationId":"getInteractions","tags":["Openchannel Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/openchannel/accounts/{id}/users":{"get":{"summary":"Gets agents from openchannel account","security":[{"BearerAuth":[]}],"operationId":"getAgents","tags":["Openchannel Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add agents to a openchannel account","security":[{"BearerAuth":[]}],"operationId":"addAgents","tags":["Openchannel Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes agents from a openchannel account","security":[{"BearerAuth":[]}],"operationId":"removeAgents","tags":["Openchannel Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelAccount"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/openchannel/accounts/{id}/notify":{"post":{"summary":"Notify new message","operationId":"notify","tags":["Openchannel Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelAccount"}],"responses":{"201":{"description":"successfully created"}}}},"/openchannel/accounts/{id}/send":{"post":{"summary":"Send new openchannel message","security":[{"BearerAuth":[]}],"operationId":"send","tags":["Openchannel Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/openchannel/accounts/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Account","security":[{"BearerAuth":[]}],"operationId":"deleteOpenchannelAccountById","tags":["Openchannel Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelAccount to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"OpenchannelAccount not found"}}}},"/openchannel/applications":{"get":{"summary":"Gets a list of Applications","security":[{"BearerAuth":[]}],"operationId":"listAllOpenchannelApplication","tags":["Openchannel Applications"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each OpenchannelApplication"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Applications","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/OpenchannelApplication"}}}}},"206":{"description":"Partial (paged) collection of Applications","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/OpenchannelApplication"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Application","security":[{"BearerAuth":[]}],"operationId":"createOpenchannelApplication","tags":["Openchannel Applications"],"requestBody":{"required":true,"description":"data for creating a new Application","content":{"application/json":{"schema":{"type":"object","properties":{"priority":{"type":"integer"},"app":{"type":"string","default":"noop"},"appdata":{"type":"string"},"description":{"type":"string"},"interval":{"type":"string","default":"*,*,*,*"}},"required":["priority","app"]}}}},"responses":{"201":{"description":"OpenchannelApplication successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/OpenchannelApplication"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/openchannel/applications/{id}":{"get":{"summary":"Gets a single Application","security":[{"BearerAuth":[]}],"operationId":"getOpenchannelApplicationById","tags":["Openchannel Applications"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelApplication to get"}],"responses":{"200":{"description":"OpenchannelApplication with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/OpenchannelApplication"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"OpenchannelApplication not found"}}},"put":{"summary":"Update an existing Application","security":[{"BearerAuth":[]}],"operationId":"updateOpenchannelApplicationById","tags":["Openchannel Applications"],"requestBody":{"required":true,"description":"data for updating a new Application","content":{"application/json":{"schema":{"type":"object","properties":{"priority":{"type":"integer"},"app":{"type":"string","default":"noop"},"appdata":{"type":"string"},"description":{"type":"string"},"interval":{"type":"string","default":"*,*,*,*"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelApplication to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"OpenchannelApplication not found"}}}},"/openchannel/applications/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Application","security":[{"BearerAuth":[]}],"operationId":"deleteOpenchannelApplicationById","tags":["Openchannel Applications"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelApplication to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"OpenchannelApplication not found"}}}},"/openchannel/interactions":{"get":{"summary":"Gets a list of Interactions","security":[{"BearerAuth":[]}],"operationId":"listAllOpenchannelInteraction","tags":["Openchannel Interactions"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each OpenchannelInteraction"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Interactions","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/OpenchannelInteraction"}}}}},"206":{"description":"Partial (paged) collection of Interactions","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/OpenchannelInteraction"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Interaction","security":[{"BearerAuth":[]}],"operationId":"createOpenchannelInteraction","tags":["Openchannel Interactions"],"requestBody":{"required":true,"description":"data for creating a new Interaction","content":{"application/json":{"schema":{"type":"object","properties":{"closed":{"type":"boolean","default":false},"closedAt":{"type":"string"},"disposition":{"type":"string"},"secondDisposition":{"type":"string"},"thirdDisposition":{"type":"string"},"note":{"type":"string"},"read1stAt":{"type":"string"},"threadId":{"type":"string"},"externalUrl":{"type":"string"},"lastMsgAt":{"type":"string"},"lastMsgDirection":{"type":"string","enum":["in","out"],"default":"in"},"from":{"type":"string"}},"required":["lastMsgDirection"]}}}},"responses":{"201":{"description":"OpenchannelInteraction successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/OpenchannelInteraction"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/openchannel/interactions/describe":{"get":{"summary":"Gets table info about Interactions","security":[{"BearerAuth":[]}],"operationId":"describeOpenchannelInteraction","tags":["Openchannel Interactions"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/openchannel/interactions/{id}":{"get":{"summary":"Gets a single Interaction","security":[{"BearerAuth":[]}],"operationId":"getOpenchannelInteractionById","tags":["Openchannel Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelInteraction to get"}],"responses":{"200":{"description":"OpenchannelInteraction with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/OpenchannelInteraction"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"OpenchannelInteraction not found"}}},"put":{"summary":"Update an existing Interaction","security":[{"BearerAuth":[]}],"operationId":"updateOpenchannelInteractionById","tags":["Openchannel Interactions"],"requestBody":{"required":true,"description":"data for updating a new Interaction","content":{"application/json":{"schema":{"type":"object","properties":{"closed":{"type":"boolean","default":false},"closedAt":{"type":"string"},"disposition":{"type":"string"},"secondDisposition":{"type":"string"},"thirdDisposition":{"type":"string"},"note":{"type":"string"},"read1stAt":{"type":"string"},"threadId":{"type":"string"},"externalUrl":{"type":"string"},"lastMsgAt":{"type":"string"},"lastMsgDirection":{"type":"string","enum":["in","out"],"default":"in"},"from":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelInteraction to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"OpenchannelInteraction not found"}}}},"/openchannel/interactions/{id}/messages":{"get":{"summary":"Gets interaction messages","security":[{"BearerAuth":[]}],"operationId":"getMessages","tags":["Openchannel Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelInteraction"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new messages","security":[{"BearerAuth":[]}],"operationId":"addMessage","tags":["Openchannel Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelInteraction"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/openchannel/interactions/{id}/download":{"get":{"summary":"Gets interaction","security":[{"BearerAuth":[]}],"operationId":"download","tags":["Openchannel Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelInteraction"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/openchannel/interactions/{id}/tags":{"post":{"summary":"Add tags to the interaction","security":[{"BearerAuth":[]}],"operationId":"addTags","tags":["Openchannel Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelInteraction"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes tags from interaction","security":[{"BearerAuth":[]}],"operationId":"removeTags","tags":["Openchannel Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelInteraction"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/openchannel/interactions/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Interaction","security":[{"BearerAuth":[]}],"operationId":"deleteOpenchannelInteractionById","tags":["Openchannel Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelInteraction to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"OpenchannelInteraction not found"}}}},"/openchannel/messages":{"get":{"summary":"Gets a list of Messages","security":[{"BearerAuth":[]}],"operationId":"listAllOpenchannelMessage","tags":["Openchannel Messages"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each OpenchannelMessage"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Messages","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/OpenchannelMessage"}}}}},"206":{"description":"Partial (paged) collection of Messages","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/OpenchannelMessage"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Message","security":[{"BearerAuth":[]}],"operationId":"createOpenchannelMessage","tags":["Openchannel Messages"],"requestBody":{"required":true,"description":"data for creating a new Message","content":{"application/json":{"schema":{"type":"object","properties":{"body":{"type":"string"},"read":{"type":"boolean","default":false},"secret":{"type":"boolean","default":false},"direction":{"type":"string","enum":["in","out"],"default":"out"},"readAt":{"type":"string"},"providerName":{"type":"string"},"providerResponse":{"type":"string"}},"required":["body","direction"]}}}},"responses":{"201":{"description":"OpenchannelMessage successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/OpenchannelMessage"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/openchannel/messages/describe":{"get":{"summary":"Gets table info about Messages","security":[{"BearerAuth":[]}],"operationId":"describeOpenchannelMessage","tags":["Openchannel Messages"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/openchannel/messages/{id}":{"get":{"summary":"Gets a single Message","security":[{"BearerAuth":[]}],"operationId":"getOpenchannelMessageById","tags":["Openchannel Messages"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelMessage to get"}],"responses":{"200":{"description":"OpenchannelMessage with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/OpenchannelMessage"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"OpenchannelMessage not found"}}},"put":{"summary":"Update an existing Message","security":[{"BearerAuth":[]}],"operationId":"updateOpenchannelMessageById","tags":["Openchannel Messages"],"requestBody":{"required":true,"description":"data for updating a new Message","content":{"application/json":{"schema":{"type":"object","properties":{"body":{"type":"string"},"read":{"type":"boolean","default":false},"secret":{"type":"boolean","default":false},"direction":{"type":"string","enum":["in","out"],"default":"out"},"readAt":{"type":"string"},"providerName":{"type":"string"},"providerResponse":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelMessage to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"OpenchannelMessage not found"}}}},"/openchannel/messages/{id}/accept":{"put":{"summary":"Accepts message","security":[{"BearerAuth":[]}],"operationId":"accept","tags":["Openchannel Messages"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelMessage"}],"responses":{"200":{"description":"successfully updated"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/openchannel/messages/{id}/reject":{"put":{"summary":"Rejects message","security":[{"BearerAuth":[]}],"operationId":"reject","tags":["Openchannel Messages"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelMessage"}],"responses":{"200":{"description":"successfully updated"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/openchannel/messages/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Message","security":[{"BearerAuth":[]}],"operationId":"deleteOpenchannelMessageById","tags":["Openchannel Messages"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelMessage to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"OpenchannelMessage not found"}}}},"/openchannel/queues":{"get":{"summary":"Gets a list of Queues","security":[{"BearerAuth":[]}],"operationId":"listAllOpenchannelQueue","tags":["Openchannel Queues"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each OpenchannelQueue"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Queues","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/OpenchannelQueue"}}}}},"206":{"description":"Partial (paged) collection of Queues","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/OpenchannelQueue"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Queue","security":[{"BearerAuth":[]}],"operationId":"createOpenchannelQueue","tags":["Openchannel Queues"],"requestBody":{"required":true,"description":"data for creating a new Queue","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"timeout":{"type":"integer"},"strategy":{"type":"string","enum":["rrmemory","beepall","roundrobin"]}}}}}},"responses":{"201":{"description":"OpenchannelQueue successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/OpenchannelQueue"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/openchannel/queues/describe":{"get":{"summary":"Gets table info about Queues","security":[{"BearerAuth":[]}],"operationId":"describeOpenchannelQueue","tags":["Openchannel Queues"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/openchannel/queues/{id}":{"get":{"summary":"Gets a single Queue","security":[{"BearerAuth":[]}],"operationId":"getOpenchannelQueueById","tags":["Openchannel Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelQueue to get"}],"responses":{"200":{"description":"OpenchannelQueue with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/OpenchannelQueue"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"OpenchannelQueue not found"}}},"put":{"summary":"Update an existing Queue","security":[{"BearerAuth":[]}],"operationId":"updateOpenchannelQueueById","tags":["Openchannel Queues"],"requestBody":{"required":true,"description":"data for updating a new Queue","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"timeout":{"type":"integer"},"strategy":{"type":"string","enum":["rrmemory","beepall","roundrobin"]}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelQueue to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"OpenchannelQueue not found"}}}},"/openchannel/queues/{id}/members":{"get":{"summary":"GetMembers","security":[{"BearerAuth":[]}],"operationId":"getMembers","tags":["Openchannel Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelQueue"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/openchannel/queues/{id}/teams":{"get":{"summary":"Gets queues list","security":[{"BearerAuth":[]}],"operationId":"getTeams","tags":["Openchannel Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelQueue"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add teams to a queue","security":[{"BearerAuth":[]}],"operationId":"addTeams","tags":["Openchannel Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelQueue"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Remove teams from a queue","security":[{"BearerAuth":[]}],"operationId":"removeTeams","tags":["Teams"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelQueue"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/openchannel/queues/{id}/users":{"get":{"summary":"Gets queue agents","security":[{"BearerAuth":[]}],"operationId":"getAgents","tags":["Openchannel Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelQueue"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add agents to a queue","security":[{"BearerAuth":[]}],"operationId":"addAgents","tags":["Openchannel Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelQueue"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes agents from a queue","security":[{"BearerAuth":[]}],"operationId":"removeAgents","tags":["Openchannel Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelQueue"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/openchannel/queues/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Queue","security":[{"BearerAuth":[]}],"operationId":"deleteOpenchannelQueueById","tags":["Openchannel Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelQueue to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"OpenchannelQueue not found"}}}},"/openchannel/reports/queue":{"get":{"summary":"Gets a list of Openchannel Queue Reports","security":[{"BearerAuth":[]}],"operationId":"listAllOpenchannelQueueReport","tags":["Openchannel Queue Reports"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each OpenchannelQueueReport"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Openchannel Queue Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/OpenchannelQueueReport"}}}}},"206":{"description":"Partial (paged) collection of Openchannel Queue Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/OpenchannelQueueReport"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Openchannel Queue Report","security":[{"BearerAuth":[]}],"operationId":"createOpenchannelQueueReport","tags":["Openchannel Queue Reports"],"requestBody":{"required":true,"description":"data for creating a new Openchannel Queue Report","content":{"application/json":{"schema":{"type":"object","properties":{"uniqueid":{"type":"string"},"from":{"type":"string"},"joinAt":{"type":"string"},"leaveAt":{"type":"string"},"acceptAt":{"type":"string"},"exitAt":{"type":"string"},"reason":{"type":"string"}},"required":["uniqueid"]}}}},"responses":{"201":{"description":"OpenchannelQueueReport successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/OpenchannelQueueReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/openchannel/reports/queue/describe":{"get":{"summary":"Gets table info about Openchannel Queue Reports","security":[{"BearerAuth":[]}],"operationId":"describeOpenchannelQueueReport","tags":["Openchannel Queue Reports"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/openchannel/reports/queue/{id}":{"get":{"summary":"Gets a single Openchannel Queue Report","security":[{"BearerAuth":[]}],"operationId":"getOpenchannelQueueReportById","tags":["Openchannel Queue Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelQueueReport to get"}],"responses":{"200":{"description":"OpenchannelQueueReport with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/OpenchannelQueueReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"OpenchannelQueueReport not found"}}},"put":{"summary":"Update an existing Openchannel Queue Report","security":[{"BearerAuth":[]}],"operationId":"updateOpenchannelQueueReportById","tags":["Openchannel Queue Reports"],"requestBody":{"required":true,"description":"data for updating a new Openchannel Queue Report","content":{"application/json":{"schema":{"type":"object","properties":{"uniqueid":{"type":"string"},"from":{"type":"string"},"joinAt":{"type":"string"},"leaveAt":{"type":"string"},"acceptAt":{"type":"string"},"exitAt":{"type":"string"},"reason":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelQueueReport to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"OpenchannelQueueReport not found"}}}},"/openchannel/reports/queue/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Openchannel Queue Report","security":[{"BearerAuth":[]}],"operationId":"deleteOpenchannelQueueReportById","tags":["Openchannel Queue Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelQueueReport to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"OpenchannelQueueReport not found"}}}},"/openchannel/reports/transfer":{"get":{"summary":"Gets a list of Openchannel Transfer Reports","security":[{"BearerAuth":[]}],"operationId":"listAllOpenchannelTransferReport","tags":["Openchannel Transfer Reports"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each OpenchannelTransferReport"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Openchannel Transfer Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/OpenchannelTransferReport"}}}}},"206":{"description":"Partial (paged) collection of Openchannel Transfer Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/OpenchannelTransferReport"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Openchannel Transfer Report","security":[{"BearerAuth":[]}],"operationId":"createOpenchannelTransferReport","tags":["Openchannel Transfer Reports"],"requestBody":{"required":true,"description":"data for creating a new Openchannel Transfer Report","content":{"application/json":{"schema":{"type":"object","properties":{"uniqueid":{"type":"string"},"type":{"type":"string","enum":["account","agent","queue"],"default":"queue"},"transferredAt":{"type":"string","default":"NOW"}},"required":["uniqueid","type","transferredAt"]}}}},"responses":{"201":{"description":"OpenchannelTransferReport successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/OpenchannelTransferReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/openchannel/reports/transfer/describe":{"get":{"summary":"Gets table info about Openchannel Transfer Reports","security":[{"BearerAuth":[]}],"operationId":"describeOpenchannelTransferReport","tags":["Openchannel Transfer Reports"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/openchannel/reports/transfer/{id}":{"get":{"summary":"Gets a single Openchannel Transfer Report","security":[{"BearerAuth":[]}],"operationId":"getOpenchannelTransferReportById","tags":["Openchannel Transfer Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelTransferReport to get"}],"responses":{"200":{"description":"OpenchannelTransferReport with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/OpenchannelTransferReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"OpenchannelTransferReport not found"}}},"put":{"summary":"Update an existing Openchannel Transfer Report","security":[{"BearerAuth":[]}],"operationId":"updateOpenchannelTransferReportById","tags":["Openchannel Transfer Reports"],"requestBody":{"required":true,"description":"data for updating a new Openchannel Transfer Report","content":{"application/json":{"schema":{"type":"object","properties":{"uniqueid":{"type":"string"},"type":{"type":"string","enum":["account","agent","queue"],"default":"queue"},"transferredAt":{"type":"string","default":"NOW"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelTransferReport to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"OpenchannelTransferReport not found"}}}},"/openchannel/reports/transfer/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Openchannel Transfer Report","security":[{"BearerAuth":[]}],"operationId":"deleteOpenchannelTransferReportById","tags":["Openchannel Transfer Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the OpenchannelTransferReport to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"OpenchannelTransferReport not found"}}}},"/pauses":{"get":{"summary":"Gets a list of Pauses","security":[{"BearerAuth":[]}],"operationId":"listAllPause","tags":["Pauses"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each Pause"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Pauses","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Pause"}}}}},"206":{"description":"Partial (paged) collection of Pauses","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Pause"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Pause","security":[{"BearerAuth":[]}],"operationId":"createPause","tags":["Pauses"],"requestBody":{"required":true,"description":"data for creating a new Pause","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"}},"required":["name"]}}}},"responses":{"201":{"description":"Pause successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Pause"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/pauses/{id}":{"get":{"summary":"Gets a single Pause","security":[{"BearerAuth":[]}],"operationId":"getPauseById","tags":["Pauses"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Pause to get"}],"responses":{"200":{"description":"Pause with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Pause"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Pause not found"}}},"put":{"summary":"Update an existing Pause","security":[{"BearerAuth":[]}],"operationId":"updatePauseById","tags":["Pauses"],"requestBody":{"required":true,"description":"data for updating a new Pause","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Pause to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Pause not found"}}}},"/pauses/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Pause","security":[{"BearerAuth":[]}],"operationId":"deletePauseById","tags":["Pauses"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Pause to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Pause not found"}}}},"/plugins":{"get":{"summary":"Gets a list of Plugins","security":[{"BearerAuth":[]}],"operationId":"listAllPlugin","tags":["Plugins"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each Plugin"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Plugins","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Plugin"}}}}},"206":{"description":"Partial (paged) collection of Plugins","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Plugin"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/plugins/webhook":{"get":{"summary":"Redirect a plugin request to the specified path","operationId":"webhookGet","tags":["Plugins"],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}}}},"post":{"summary":"Redirect a plugin request to the specified path","operationId":"webhookPost","tags":["Plugins"],"responses":{"201":{"description":"successfully created"}}}},"/plugins/{id}":{"get":{"summary":"Gets a single Plugin","security":[{"BearerAuth":[]}],"operationId":"getPluginById","tags":["Plugins"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Plugin to get"}],"responses":{"200":{"description":"Plugin with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Plugin"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Plugin not found"}}},"put":{"summary":"Update an existing plugin","security":[{"BearerAuth":[]}],"operationId":"update","tags":["Plugins"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Plugin"}],"responses":{"200":{"description":"successfully updated"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Delete a plugin","security":[{"BearerAuth":[]}],"operationId":"delete","tags":["Plugins"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Plugin"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/plugins/{id}/download":{"get":{"summary":"Download plugin source code","security":[{"BearerAuth":[]}],"operationId":"download","tags":["Plugins"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Plugin"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/plugins/":{"post":{"summary":"Upload new plugin","security":[{"BearerAuth":[]}],"operationId":"postupload","tags":["Plugins"],"requestBody":{"required":true,"description":"data for uploading a file","content":{"multipart/form-data":{"schema":{"type":"object","properties":{"file":{"type":"string","format":"binary"}}}}}},"responses":{"201":{"description":"success."},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/pm2":{"get":{"summary":"Gets pm2 processes","security":[{"BearerAuth":[]}],"operationId":"getPm2Processes","tags":["Pm2"],"responses":{"200":{"description":"list of processes","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Start a single pm2 process","security":[{"BearerAuth":[]}],"operationId":"startPm2Process","tags":["Pm2"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the process"}],"requestBody":{"required":true,"description":"process data","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string","description":"process name"},"script":{"type":"string","description":"script name"}},"required":["name","script"]}}}},"responses":{"201":{"description":"successfully started"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Process not found"}}}},"/pm2/{id}":{"get":{"summary":"Gets a single pm2 process by id","security":[{"BearerAuth":[]}],"operationId":"getPm2Process","tags":["Pm2"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the process"}],"responses":{"200":{"description":"process information","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Process not found"}}},"put":{"summary":"Update an existing process","security":[{"BearerAuth":[]}],"operationId":"updatePm2Process","tags":["Pm2"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the process"}],"requestBody":{"required":true,"description":"process data","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string","description":"process name"},"script":{"type":"string","description":"script name"}},"required":["name","script"]}}}},"responses":{"200":{"description":"successfully updated"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Process not found"}}},"delete":{"summary":"Delete an existing process by id","security":[{"BearerAuth":[]}],"operationId":"deletePm2Process","tags":["Pm2"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the process"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Process not found"}}}},"/rpc/voice/channels":{"get":{"summary":"Gets a list of RTVoiceChannels","security":[{"BearerAuth":[]}],"operationId":"getRTVoiceChannels","tags":["RPC Realtime"],"responses":{"200":{"description":"list of RTVoiceChannels","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/rpc/voice/channels/{uniqueid}/mixmonitor":{"get":{"summary":"Gets a list of RTVoiceChannelMixMonitor","security":[{"BearerAuth":[]}],"operationId":"getRTVoiceChannelMixMonitor","tags":["RPC Realtime"],"parameters":[{"in":"path","name":"uniqueid","schema":{"type":"integer"},"required":true,"description":"unique id of the channel"}],"responses":{"200":{"description":"list of RTVoiceChannelMixMonitor","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/rpc/voice/channels/{uniqueid}/stopmixmonitor":{"get":{"summary":"Gets a list of RTVoiceChannelStopMixMonitor","security":[{"BearerAuth":[]}],"operationId":"getRTVoiceChannelStopMixMonitor","tags":["RPC Realtime"],"parameters":[{"in":"path","name":"uniqueid","schema":{"type":"integer"},"required":true,"description":"unique id of the channel"}],"responses":{"200":{"description":"list of RTVoiceChannelStopMixMonitor","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/rpc/voice/queues":{"get":{"summary":"Gets a list of RTVoiceQueues","security":[{"BearerAuth":[]}],"operationId":"getRTVoiceQueues","tags":["RPC Realtime"],"responses":{"200":{"description":"list of RTVoiceQueues","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/rpc/chat/queues":{"get":{"summary":"Gets a list of RTChatQueues","security":[{"BearerAuth":[]}],"operationId":"getRTChatQueues","tags":["RPC Realtime"],"responses":{"200":{"description":"list of RTChatQueues","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/rpc/mail/queues":{"get":{"summary":"Gets a list of RTMailQueues","security":[{"BearerAuth":[]}],"operationId":"getRTMailQueues","tags":["RPC Realtime"],"responses":{"200":{"description":"list of RTMailQueues","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/rpc/mail/accounts":{"get":{"summary":"Gets a list of RTMailAccounts","security":[{"BearerAuth":[]}],"operationId":"getRTMailAccounts","tags":["RPC Realtime"],"responses":{"200":{"description":"list of RTMailAccounts","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/rpc/openchannel/queues":{"get":{"summary":"Gets a list of RTOpenchannelQueues","security":[{"BearerAuth":[]}],"operationId":"getRTOpenchannelQueues","tags":["RPC Realtime"],"responses":{"200":{"description":"list of RTOpenchannelQueues","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/rpc/sms/queues":{"get":{"summary":"Gets a list of RTSmsQueues","security":[{"BearerAuth":[]}],"operationId":"getRTSmsQueues","tags":["RPC Realtime"],"responses":{"200":{"description":"list of RTSmsQueues","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/rpc/whatsapp/queues":{"get":{"summary":"Gets a list of RTWhatsappQueues","security":[{"BearerAuth":[]}],"operationId":"getRTWhatsappQueues","tags":["RPC Realtime"],"responses":{"200":{"description":"list of RTWhatsappQueues","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/rpc/fax/queues":{"get":{"summary":"Gets a list of RTFaxQueues","security":[{"BearerAuth":[]}],"operationId":"getRTFaxQueues","tags":["RPC Realtime"],"responses":{"200":{"description":"list of RTFaxQueues","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/rpc/voice/queues/preview/{id}":{"get":{"summary":"Gets a single preview contact","security":[{"BearerAuth":[]}],"operationId":"getRTVoiceQueuePreview","tags":["RPC Realtime"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the preview"}],"responses":{"200":{"description":"preview contact","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"preview contact not found"}}}},"/rpc/voice/queues/channels":{"get":{"summary":"Gets a list of RTVoiceQueuesChannels","security":[{"BearerAuth":[]}],"operationId":"getRTVoiceQueuesChannels","tags":["RPC Realtime"],"responses":{"200":{"description":"list of RTVoiceQueuesChannels","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/rpc/voice/queues/channels/{uniqueid}":{"get":{"summary":"Gets a single RTVoiceQueueChannel","security":[{"BearerAuth":[]}],"operationId":"getRTVoiceQueueChannel","tags":["RPC Realtime"],"parameters":[{"in":"path","name":"uniqueid","schema":{"type":"integer"},"required":true,"description":"unique id of the channel"}],"responses":{"200":{"description":"RT Voice Queue Channel","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Channel not found"}}}},"/rpc/voice/queues/channels/{uniqueid}/hangup":{"get":{"summary":"Hangup a single RTVoiceQueueChannel","security":[{"BearerAuth":[]}],"operationId":"hangupRTVoiceQueueChannel","tags":["RPC Realtime"],"parameters":[{"in":"path","name":"uniqueid","schema":{"type":"integer"},"required":true,"description":"unique id of the channel"}],"responses":{"200":{"description":"Hangup ok"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Channel not found"}}}},"/rpc/voice/queues/channels/{uniqueid}/redirect/{exten}":{"get":{"summary":"Redirect a single RTVoiceQueueChannel to a specific extension.","security":[{"BearerAuth":[]}],"operationId":"redirectRTVoiceQueueChannel","tags":["RPC Realtime"],"parameters":[{"in":"path","name":"uniqueid","schema":{"type":"integer"},"required":true,"description":"unique id of the channel"},{"in":"path","name":"exten","schema":{"type":"string"},"required":true,"description":"extension name"}],"responses":{"200":{"description":"Redirect ok"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Channel or extension not found"}}}},"/rpc/voice/queues/{id}":{"get":{"summary":"Gets a single RTVoiceQueue","security":[{"BearerAuth":[]}],"operationId":"getRTVoiceQueue","tags":["RPC Realtime"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the queue"}],"responses":{"200":{"description":"RT Voice Queue","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"RT Voice Queue not found"}}}},"/rpc/outbound":{"get":{"summary":"Gets a list of RTOutbound","security":[{"BearerAuth":[]}],"operationId":"getRTOutbound","tags":["RPC Realtime"],"responses":{"200":{"description":"list of RTOutbound","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/rpc/outbound/channels":{"get":{"summary":"Gets a list of RTOutboundChannels","security":[{"BearerAuth":[]}],"operationId":"getRTOutboundChannels","tags":["RPC Realtime"],"responses":{"200":{"description":"list of RTOutboundChannels","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/rpc/agents":{"get":{"summary":"Gets a list of RTAgents","security":[{"BearerAuth":[]}],"operationId":"getRTAgents","tags":["RPC Realtime"],"responses":{"200":{"description":"list of RTAgents","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/rpc/agents/{id}/capacity":{"put":{"summary":"Sets agent capacity","security":[{"BearerAuth":[]}],"operationId":"putRTAgentCapacity","tags":["RPC Realtime"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the agent"}],"requestBody":{"required":true,"description":"Desired capacity payload","content":{"application/json":{"schema":{"type":"object","properties":{"capacity":{"type":"integer","description":"the desired capacity"},"interaction":{"type":"object","description":"interaction"}},"required":["capacity","interaction"]}}}},"responses":{"200":{"description":"Capacity updated","content":{"application/json":{"schema":{"type":"object","properties":{"id":{"type":"integer","description":"agent id"},"capacity":{"type":"integer","description":"agent capacity"}},"required":["id","capacity"]}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Agent not found"}}}},"/rpc/telephones":{"get":{"summary":"Gets a list of RTTelephones","security":[{"BearerAuth":[]}],"operationId":"getRTTelephones","tags":["RPC Realtime"],"responses":{"200":{"description":"list of RTTelephones","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/rpc/trunks":{"get":{"summary":"Gets a list of RTTrunks","security":[{"BearerAuth":[]}],"operationId":"getRTTrunks","tags":["RPC Realtime"],"responses":{"200":{"description":"list of RTTrunks","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/rpc/campaigns":{"get":{"summary":"Gets a list of campaigns","security":[{"BearerAuth":[]}],"operationId":"getCampaigns","tags":["RPC Realtime"],"responses":{"200":{"description":"list of campaigns","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/rpc/fax/accounts":{"get":{"summary":"Gets a list of FaxAccounts","security":[{"BearerAuth":[]}],"operationId":"getFaxAccounts","tags":["RPC Realtime"],"responses":{"200":{"description":"list of FaxAccounts","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/rpc/chat/queues/waitinginteractions":{"get":{"summary":"Gets a list of chatQueuesWaitingInteractions","security":[{"BearerAuth":[]}],"operationId":"getChatQueuesWaitingInteractions","tags":["RPC Realtime"],"responses":{"200":{"description":"list of chatQueuesWaitingInteractions","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/rpc/mail/queues/waitinginteractions":{"get":{"summary":"Gets a list of mailQueuesWaitingInteractions","security":[{"BearerAuth":[]}],"operationId":"getMailQueuesWaitingInteractions","tags":["RPC Realtime"],"responses":{"200":{"description":"list of mailQueuesWaitingInteractions","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/rpc/openchannel/queues/waitinginteractions":{"get":{"summary":"Gets a list of openchannelQueuesWaitingInteractions","security":[{"BearerAuth":[]}],"operationId":"getOpenchannelQueuesWaitingInteractions","tags":["RPC Realtime"],"responses":{"200":{"description":"list of openchannelQueuesWaitingInteractions","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/rpc/openchannel/queues/{id}/waitinginteractions":{"get":{"summary":"Gets a list of openchannelQueuesIdWaitingInteractions for the queue","security":[{"BearerAuth":[]}],"operationId":"getOpenchannelQueuesWaitingInteractionsForId","tags":["RPC Realtime"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the queue"}],"responses":{"200":{"description":"list of openchannelQueuesIdWaitingInteractions","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/rpc/sms/queues/waitinginteractions":{"get":{"summary":"Gets a list of smsQueuesWaitingInteractions","security":[{"BearerAuth":[]}],"operationId":"getSmsQueuesWaitingInteractions","tags":["RPC Realtime"],"responses":{"200":{"description":"list of getSmsQueuesWaitingInteractions","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/rpc/whatsapp/queues/waitinginteractions":{"get":{"summary":"Gets a list of whatsappQueuesWaitingInteractions","security":[{"BearerAuth":[]}],"operationId":"getWhatsappQueuesWaitingInteractions","tags":["RPC Realtime"],"responses":{"200":{"description":"list of whatsappQueuesWaitingInteractions","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/rpc/fax/queues/waitinginteractions":{"get":{"summary":"Gets a list of faxQueuesWaitingInteractions","security":[{"BearerAuth":[]}],"operationId":"getFaxQueuesWaitingInteractions","tags":["RPC Realtime"],"responses":{"200":{"description":"list of faxQueuesWaitingInteractions","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/rpc/mail/queues/{id}/notify":{"post":{"summary":"Notify message to a specific mail queue","security":[{"BearerAuth":[]}],"operationId":"postMailQueueNotify","tags":["RPC Realtime"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the agent"}],"requestBody":{"required":true,"description":"message to be notified","content":{"application/json":{"schema":{"type":"object"}}}},"responses":{"200":{"description":"Notification","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Agent not found"}}}},"/rpc/mail/queues/{id}":{"get":{"summary":"Gets a single RTMailQueue","security":[{"BearerAuth":[]}],"operationId":"getRTMailQueue","tags":["RPC Realtime"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the queue"}],"responses":{"200":{"description":"Queue","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Queue not found"}}}},"/rpc/chat/queues/{id}/notify":{"post":{"summary":"Notify message to a specific chat queue","security":[{"BearerAuth":[]}],"operationId":"postChatQueueNotify","tags":["RPC Realtime"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the agent"}],"responses":{"200":{"description":"Notification","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Agent not found"}}}},"/rpc/chat/queues/{id}":{"get":{"summary":"Gets a single RTChatQueue","security":[{"BearerAuth":[]}],"operationId":"getRTChatQueue","tags":["RPC Realtime"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the queue"}],"responses":{"200":{"description":"Queue","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Queue not found"}}}},"/rpc/fax/queues/{id}/notify":{"post":{"summary":"Notify message to a specific fax queue","security":[{"BearerAuth":[]}],"operationId":"postFaxQueueNotify","tags":["RPC Realtime"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the queue"}],"responses":{"200":{"description":"Notification","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Queue not found"}}}},"/rpc/fax/queues/{id}":{"get":{"summary":"Gets a single RTFaxQueue","security":[{"BearerAuth":[]}],"operationId":"getRTFaxQueue","tags":["RPC Realtimen"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the queue"}],"responses":{"200":{"description":"Queue","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Queue not found"}}}},"/rpc/openchannel/queues/{id}/notify":{"post":{"summary":"Notify message to a specific openchannel queue","security":[{"BearerAuth":[]}],"operationId":"postOpenchannelQueueNotify","tags":["RPC Realtime"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the queue"}],"responses":{"200":{"description":"Notification","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Process not found"}}}},"/rpc/openchannel/queues/{id}":{"get":{"summary":"Gets a single RTOpenchannelQueue","security":[{"BearerAuth":[]}],"operationId":"getRTOpenchannelQueue","tags":["RPC Realtime"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the queue"}],"responses":{"200":{"description":"Queue","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Queue not found"}}}},"/rpc/sms/queues/{id}/notify":{"post":{"summary":"Notify message to a specific sms queue","security":[{"BearerAuth":[]}],"operationId":"postSmsQueueNotify","tags":["RPC Realtime"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the queue"}],"responses":{"200":{"description":"Notification","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Process not found"}}}},"/rpc/whatsapp/queues/{id}/notify":{"post":{"summary":"Notify message to a specific agent","security":[{"BearerAuth":[]}],"operationId":"postAgentNotify","tags":["RPC Realtime"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the agent"}],"responses":{"200":{"description":"Notification","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Agent not found"}}}},"/rpc/sms/queues/{id}":{"get":{"summary":"Gets a single RTSmsQueue","security":[{"BearerAuth":[]}],"operationId":"getRTSmsQueue","tags":["RPC Realtime"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the queue"}],"responses":{"200":{"description":"Queue","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Queue not found"}}}},"/rpc/whatsapp/queues/{id}":{"get":{"summary":"Gets a single RTWhatsappQueue","security":[{"BearerAuth":[]}],"operationId":"getRTWhatsappQueue","tags":["RPC Realtime"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the queue"}],"responses":{"200":{"description":"Queue","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Queue not found"}}}},"/schedules":{"get":{"summary":"Gets a list of Schedules","security":[{"BearerAuth":[]}],"operationId":"listAllSchedule","tags":["Schedules"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each Schedule"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Schedules","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Schedule"}}}}},"206":{"description":"Partial (paged) collection of Schedules","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Schedule"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Schedule","security":[{"BearerAuth":[]}],"operationId":"createSchedule","tags":["Schedules"],"requestBody":{"required":true,"description":"data for creating a new Schedule","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"active":{"type":"boolean","default":false},"cron":{"type":"string","default":"0 0 * * *"},"startAt":{"type":"string"},"endAt":{"type":"string"},"subtractNumber":{"type":"integer","default":1},"subtractUnit":{"type":"string","enum":["years","quarters","months","weeks","days","hours","minutes"],"default":"days"},"output":{"type":"string","enum":["csv","pdf","xlsx"],"default":"csv"},"type":{"type":"string","enum":["custom","default"],"default":"custom"},"sendMail":{"type":"boolean","default":false},"email":{"type":"string"},"cc":{"type":"string"},"bcc":{"type":"string"},"sendIfEmpty":{"type":"boolean","default":true}},"required":["name","active","cron","startAt","endAt","subtractNumber","subtractUnit","output","type"]}}}},"responses":{"201":{"description":"Schedule successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Schedule"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/schedules/{id}":{"get":{"summary":"Gets a single Schedule","security":[{"BearerAuth":[]}],"operationId":"getScheduleById","tags":["Schedules"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Schedule to get"}],"responses":{"200":{"description":"Schedule with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Schedule"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Schedule not found"}}},"put":{"summary":"Update an existing Schedule","security":[{"BearerAuth":[]}],"operationId":"updateScheduleById","tags":["Schedules"],"requestBody":{"required":true,"description":"data for updating a new Schedule","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"active":{"type":"boolean","default":false},"cron":{"type":"string","default":"0 0 * * *"},"startAt":{"type":"string"},"endAt":{"type":"string"},"subtractNumber":{"type":"integer","default":1},"subtractUnit":{"type":"string","enum":["years","quarters","months","weeks","days","hours","minutes"],"default":"days"},"output":{"type":"string","enum":["csv","pdf","xlsx"],"default":"csv"},"type":{"type":"string","enum":["custom","default"],"default":"custom"},"sendMail":{"type":"boolean","default":false},"email":{"type":"string"},"cc":{"type":"string"},"bcc":{"type":"string"},"sendIfEmpty":{"type":"boolean","default":true}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Schedule to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Schedule not found"}}}},"/schedules/{id}/run":{"get":{"summary":"Run Scheduler","security":[{"BearerAuth":[]}],"operationId":"run","tags":["Schedules"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Schedule"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/schedules/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Schedule","security":[{"BearerAuth":[]}],"operationId":"deleteScheduleById","tags":["Schedules"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Schedule to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Schedule not found"}}}},"/screen/recordings":{"get":{"summary":"Gets a list of Recordings","security":[{"BearerAuth":[]}],"operationId":"listAllScreenRecording","tags":["Screen Recordings"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each ScreenRecording"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Recordings","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/ScreenRecording"}}}}},"206":{"description":"Partial (paged) collection of Recordings","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/ScreenRecording"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Recording","security":[{"BearerAuth":[]}],"operationId":"createScreenRecording","tags":["Screen Recordings"],"requestBody":{"required":true,"description":"data for creating a new Recording","content":{"application/json":{"schema":{"type":"object","properties":{"format":{"type":"string"},"interactionid":{"type":"string"},"channel":{"type":"string"},"value":{"type":"string"},"rating":{"type":"integer"},"duration":{"type":"integer"},"startedAt":{"type":"string"},"closedAt":{"type":"string"},"createdAt":{"type":"string"},"updatedAt":{"type":"string"}}}}}},"responses":{"201":{"description":"ScreenRecording successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/ScreenRecording"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/screen/recordings/describe":{"get":{"summary":"Gets table info about Recordings","security":[{"BearerAuth":[]}],"operationId":"describeScreenRecording","tags":["Screen Recordings"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/screen/recordings/{id}":{"get":{"summary":"Gets a single Recording","security":[{"BearerAuth":[]}],"operationId":"getScreenRecordingById","tags":["Screen Recordings"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ScreenRecording to get"}],"responses":{"200":{"description":"ScreenRecording with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/ScreenRecording"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ScreenRecording not found"}}},"put":{"summary":"Update an existing Recording","security":[{"BearerAuth":[]}],"operationId":"updateScreenRecordingById","tags":["Screen Recordings"],"requestBody":{"required":true,"description":"data for updating a new Recording","content":{"application/json":{"schema":{"type":"object","properties":{"format":{"type":"string"},"interactionid":{"type":"string"},"channel":{"type":"string"},"value":{"type":"string"},"rating":{"type":"integer"},"duration":{"type":"integer"},"startedAt":{"type":"string"},"closedAt":{"type":"string"},"createdAt":{"type":"string"},"updatedAt":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ScreenRecording to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"ScreenRecording not found"}}},"delete":{"summary":"Delete screen recording","security":[{"BearerAuth":[]}],"operationId":"destroy","tags":["Screen Recordings"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ScreenRecording"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/screen/recordings/{id}/download":{"get":{"summary":"Download Recording","security":[{"BearerAuth":[]}],"operationId":"download","tags":["Screen Recordings"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ScreenRecording"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/settings":{"get":{"summary":"Gets a list of Settings","security":[{"BearerAuth":[]}],"operationId":"listAllSetting","tags":["Settings"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each Setting"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Settings","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Setting"}}}}},"206":{"description":"Partial (paged) collection of Settings","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Setting"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/settings/now":{"get":{"summary":"Get Server Current Date","operationId":"getDate","tags":["Settings"],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}}}}},"/settings/{id}":{"get":{"summary":"Gets a single Setting","operationId":"getSettingById","tags":["Settings"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Setting to get"}],"responses":{"200":{"description":"Setting with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Setting"}}}},"404":{"description":"Setting not found"}}},"put":{"summary":"Update an existing Setting","security":[{"BearerAuth":[]}],"operationId":"updateSettingById","tags":["Settings"],"requestBody":{"required":true,"description":"data for updating a new Setting","content":{"application/json":{"schema":{"type":"object","properties":{"min_internal":{"type":"integer","default":1000},"min_mailbox":{"type":"integer","default":1000},"agi_port":{"type":"integer","default":4573},"soundPath":{"type":"string","default":"/var/opt/motion2/server/files/sounds/converted"},"logo":{"type":"string"},"defaultLogo":{"type":"boolean","default":true},"loginLogo":{"type":"string"},"defaultLoginLogo":{"type":"boolean","default":true},"headerWhiteLabel":{"type":"string"},"defaultHeaderWhiteLabel":{"type":"boolean","default":true},"securePassword":{"type":"boolean","default":true},"firstSetup":{"type":"boolean","default":true},"stunaddr":{"type":"string"},"turnaddr":{"type":"string"},"turnusername":{"type":"string"},"turnpassword":{"type":"string"},"pageTitle":{"type":"string"},"defaultPageTitle":{"type":"boolean","default":true},"callRecordingEncryption":{"type":"boolean","default":false},"passwordExpiresDays":{"type":"integer","default":90},"phoneBarAutoUpdater":{"type":"boolean","default":true},"phoneBarAutoUpdaterUrl":{"type":"string","default":"https://www.xcally.com/bar/phonebar/autoupdater.xml"},"enableEmailPreview":{"type":"boolean","default":false},"split":{"type":"boolean","default":true},"splitSizeCsv":{"type":"integer","default":5000},"splitSizePdf":{"type":"integer","default":5000},"splitSizeXlsx":{"type":"integer","default":5000},"messagesAlignment":{"type":"string","default":"alternate"},"chatTimeout":{"type":"integer","default":30},"phoneBarRememberMeEnabled":{"type":"boolean","default":true},"preferred":{"type":"string"},"defaultPreferred":{"type":"boolean","default":true},"rtlSupport":{"type":"boolean","default":false},"defaultScreenRecordingPath":{"type":"string"},"allowedLoginAttempts":{"type":"integer","default":5},"blockDuration":{"type":"integer","default":10},"favicon":{"type":"string"},"defaultFavicon":{"type":"boolean","default":true},"loginMailFrequency":{"type":"integer","default":10},"transcribe":{"type":"boolean","default":false},"automaticTranscribe":{"type":"boolean","default":false},"transcribeAccountId":{"type":"integer"},"transcribeRegion":{"type":"string"},"sentiment":{"type":"boolean","default":false},"automaticSentiment":{"type":"boolean","default":false},"sentimentAccountId":{"type":"integer"},"sentimentRegion":{"type":"string"},"language":{"type":"string"},"bucket":{"type":"string"},"googleSsoEnabled":{"type":"boolean","default":false},"enforcePasswordHistory":{"type":"boolean","default":true},"passwordHistoryLimit":{"type":"integer","default":3}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Setting to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Setting not found"}}}},"/settings/{id}/logo":{"get":{"summary":"Get logo","operationId":"getLogo","tags":["Settings"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Setting"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}}}},"post":{"summary":"Add logo","security":[{"BearerAuth":[]}],"operationId":"postaddLogo","tags":["Settings"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Setting"}],"requestBody":{"required":true,"description":"data for uploading a file","content":{"multipart/form-data":{"schema":{"type":"object","properties":{"file":{"type":"string","format":"binary"}}}}}},"responses":{"201":{"description":"success."},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/settings/{id}/logo_login":{"get":{"summary":"Get logo login","operationId":"getLogoLogin","tags":["Settings"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Setting"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}}}},"post":{"summary":"Add logo login","security":[{"BearerAuth":[]}],"operationId":"postaddLogoLogin","tags":["Settings"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Setting"}],"requestBody":{"required":true,"description":"data for uploading a file","content":{"multipart/form-data":{"schema":{"type":"object","properties":{"file":{"type":"string","format":"binary"}}}}}},"responses":{"201":{"description":"success."},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/settings/{id}/gdpr":{"get":{"summary":"Get gdpr settings","operationId":"gdpr","tags":["Settings"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Setting"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}}}}},"/settings/{id}/preferred":{"get":{"summary":"Get Preferred","operationId":"getPreferred","tags":["Settings"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Setting"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}}}},"post":{"summary":"Add Preferred","security":[{"BearerAuth":[]}],"operationId":"postaddPreferred","tags":["Settings"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Setting"}],"requestBody":{"required":true,"description":"data for uploading a file","content":{"multipart/form-data":{"schema":{"type":"object","properties":{"file":{"type":"string","format":"binary"}}}}}},"responses":{"201":{"description":"success."},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/settings/{id}/favicon":{"get":{"summary":"Get Favicon","operationId":"getFavicon","tags":["Settings"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Setting"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}}}},"post":{"summary":"Add Favicon","security":[{"BearerAuth":[]}],"operationId":"postaddFavicon","tags":["Settings"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Setting"}],"requestBody":{"required":true,"description":"data for uploading a file","content":{"multipart/form-data":{"schema":{"type":"object","properties":{"file":{"type":"string","format":"binary"}}}}}},"responses":{"201":{"description":"success."},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sms/accounts":{"get":{"summary":"Gets a list of Accounts","security":[{"BearerAuth":[]}],"operationId":"listAllSmsAccount","tags":["Sms Accounts"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each SmsAccount"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SmsAccount"}}}}},"206":{"description":"Partial (paged) collection of Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SmsAccount"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Account","security":[{"BearerAuth":[]}],"operationId":"createSmsAccount","tags":["Sms Accounts"],"requestBody":{"required":true,"description":"data for creating a new Account","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"key":{"type":"string"},"remote":{"type":"string"},"token":{"type":"string","default":"XiWeIvQm9jR44uKXXbaTAMRW3cKSKIDM"},"phone":{"type":"string"},"type":{"type":"string","enum":["twilio","skebby","connectel","clicksend","plivo","clickatell","csc","infobip","intelepeer"]},"accountSid":{"type":"string"},"authId":{"type":"string"},"authToken":{"type":"string"},"smsMethod":{"type":"string","enum":["SI","TI","GP"]},"username":{"type":"string"},"password":{"type":"string"},"apiKey":{"type":"string"},"senderString":{"type":"string"},"deliveryReport":{"type":"boolean","default":false},"description":{"type":"string"},"notificationTemplate":{"type":"string","default":"Account: {{account.name}}
{{#queue}}Queue: {{queue.name}}
{{/queue}}From : {{from}}"},"notificationSound":{"type":"boolean","default":true},"notificationShake":{"type":"boolean","default":false},"waitForTheAssignedAgent":{"type":"integer","default":10},"queueTransfer":{"type":"boolean","default":false},"queueTransferTimeout":{"type":"integer","default":300},"agentTransfer":{"type":"boolean","default":false},"agentTransferTimeout":{"type":"integer","default":300},"baseUrl":{"type":"string"},"mandatoryDispositionPauseId":{"type":"integer","description":"Status to put when mandatory disposition is enabled"},"mandatoryDisposition":{"type":"boolean","description":"Enabled/disables mandatory dispo on a queue","default":false}},"required":["name","key","remote"]}}}},"responses":{"201":{"description":"SmsAccount successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SmsAccount"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sms/accounts/describe":{"get":{"summary":"Gets table info about Accounts","security":[{"BearerAuth":[]}],"operationId":"describeSmsAccount","tags":["Sms Accounts"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sms/accounts/{id}":{"get":{"summary":"Gets a single Account","security":[{"BearerAuth":[]}],"operationId":"getSmsAccountById","tags":["Sms Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsAccount to get"}],"responses":{"200":{"description":"SmsAccount with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SmsAccount"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SmsAccount not found"}}},"put":{"summary":"Update an existing Account","security":[{"BearerAuth":[]}],"operationId":"updateSmsAccountById","tags":["Sms Accounts"],"requestBody":{"required":true,"description":"data for updating a new Account","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"key":{"type":"string"},"remote":{"type":"string"},"token":{"type":"string","default":"5sbjWGiIAFCaMsOZLSTaVBFZQrZ6BXaO"},"phone":{"type":"string"},"type":{"type":"string","enum":["twilio","skebby","connectel","clicksend","plivo","clickatell","csc","infobip","intelepeer"]},"accountSid":{"type":"string"},"authId":{"type":"string"},"authToken":{"type":"string"},"smsMethod":{"type":"string","enum":["SI","TI","GP"]},"username":{"type":"string"},"password":{"type":"string"},"apiKey":{"type":"string"},"senderString":{"type":"string"},"deliveryReport":{"type":"boolean","default":false},"description":{"type":"string"},"notificationTemplate":{"type":"string","default":"Account: {{account.name}}
{{#queue}}Queue: {{queue.name}}
{{/queue}}From : {{from}}"},"notificationSound":{"type":"boolean","default":true},"notificationShake":{"type":"boolean","default":false},"waitForTheAssignedAgent":{"type":"integer","default":10},"queueTransfer":{"type":"boolean","default":false},"queueTransferTimeout":{"type":"integer","default":300},"agentTransfer":{"type":"boolean","default":false},"agentTransferTimeout":{"type":"integer","default":300},"baseUrl":{"type":"string"},"mandatoryDispositionPauseId":{"type":"integer","description":"Status to put when mandatory disposition is enabled"},"mandatoryDisposition":{"type":"boolean","description":"Enabled/disables mandatory dispo on a queue","default":false}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsAccount to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SmsAccount not found"}}}},"/sms/accounts/{id}/dispositions":{"get":{"summary":"Gets account dispositions","security":[{"BearerAuth":[]}],"operationId":"getDispositions","tags":["Sms Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new disposition","security":[{"BearerAuth":[]}],"operationId":"addDisposition","tags":["Sms Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes dispositions from account","security":[{"BearerAuth":[]}],"operationId":"removeDispositions","tags":["Sms Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsAccount"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sms/accounts/{id}/canned_answers":{"get":{"summary":"Gets account canned answers","security":[{"BearerAuth":[]}],"operationId":"getAnswers","tags":["Sms Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new canned answer","security":[{"BearerAuth":[]}],"operationId":"addAnswer","tags":["Sms Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes canned answers from account","security":[{"BearerAuth":[]}],"operationId":"removeAnswers","tags":["Sms Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsAccount"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sms/accounts/{id}/applications":{"get":{"summary":"Gets account applications","security":[{"BearerAuth":[]}],"operationId":"getApplications","tags":["Sms Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new applications","security":[{"BearerAuth":[]}],"operationId":"addApplications","tags":["Sms Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sms/accounts/{id}/interactions":{"get":{"summary":"Gets Sms Account interactions","security":[{"BearerAuth":[]}],"operationId":"getInteractions","tags":["Sms Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sms/accounts/{id}/status":{"get":{"summary":"Receive message status as get request","operationId":"statusGet","tags":["Sms Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}}}},"post":{"summary":"Receive message status","operationId":"status","tags":["Sms Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsAccount"}],"responses":{"201":{"description":"successfully created"}}}},"/sms/accounts/{id}/users":{"get":{"summary":"Gets agents from sms account","security":[{"BearerAuth":[]}],"operationId":"getAgents","tags":["Sms Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add agents to a sms account","security":[{"BearerAuth":[]}],"operationId":"addAgents","tags":["Sms Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes agents from a sms account","security":[{"BearerAuth":[]}],"operationId":"removeAgents","tags":["Sms Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsAccount"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sms/accounts/{id}/notify":{"post":{"summary":"Notify new message","operationId":"notify","tags":["Sms Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsAccount"}],"responses":{"201":{"description":"successfully created"}}}},"/sms/accounts/{id}/send":{"post":{"summary":"Send new sms message","security":[{"BearerAuth":[]}],"operationId":"send","tags":["Sms Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sms/accounts/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Account","security":[{"BearerAuth":[]}],"operationId":"deleteSmsAccountById","tags":["Sms Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsAccount to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SmsAccount not found"}}}},"/sms/applications":{"get":{"summary":"Gets a list of Applications","security":[{"BearerAuth":[]}],"operationId":"listAllSmsApplication","tags":["Sms Applications"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each SmsApplication"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Applications","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SmsApplication"}}}}},"206":{"description":"Partial (paged) collection of Applications","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SmsApplication"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Application","security":[{"BearerAuth":[]}],"operationId":"createSmsApplication","tags":["Sms Applications"],"requestBody":{"required":true,"description":"data for creating a new Application","content":{"application/json":{"schema":{"type":"object","properties":{"priority":{"type":"integer"},"app":{"type":"string","default":"noop"},"appdata":{"type":"string"},"description":{"type":"string"},"interval":{"type":"string","default":"*,*,*,*"}},"required":["priority","app"]}}}},"responses":{"201":{"description":"SmsApplication successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SmsApplication"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sms/applications/{id}":{"get":{"summary":"Gets a single Application","security":[{"BearerAuth":[]}],"operationId":"getSmsApplicationById","tags":["Sms Applications"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsApplication to get"}],"responses":{"200":{"description":"SmsApplication with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SmsApplication"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SmsApplication not found"}}},"put":{"summary":"Update an existing Application","security":[{"BearerAuth":[]}],"operationId":"updateSmsApplicationById","tags":["Sms Applications"],"requestBody":{"required":true,"description":"data for updating a new Application","content":{"application/json":{"schema":{"type":"object","properties":{"priority":{"type":"integer"},"app":{"type":"string","default":"noop"},"appdata":{"type":"string"},"description":{"type":"string"},"interval":{"type":"string","default":"*,*,*,*"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsApplication to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SmsApplication not found"}}}},"/sms/applications/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Application","security":[{"BearerAuth":[]}],"operationId":"deleteSmsApplicationById","tags":["Sms Applications"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsApplication to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SmsApplication not found"}}}},"/sms/interactions":{"get":{"summary":"Gets a list of Interactions","security":[{"BearerAuth":[]}],"operationId":"listAllSmsInteraction","tags":["Sms Interactions"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each SmsInteraction"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Interactions","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SmsInteraction"}}}}},"206":{"description":"Partial (paged) collection of Interactions","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SmsInteraction"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Interaction","security":[{"BearerAuth":[]}],"operationId":"createSmsInteraction","tags":["Sms Interactions"],"requestBody":{"required":true,"description":"data for creating a new Interaction","content":{"application/json":{"schema":{"type":"object","properties":{"closed":{"type":"boolean","default":false},"closedAt":{"type":"string"},"disposition":{"type":"string"},"secondDisposition":{"type":"string"},"thirdDisposition":{"type":"string"},"note":{"type":"string"},"phone":{"type":"string"},"read1stAt":{"type":"string"},"firstMsgDirection":{"type":"string","enum":["in","out"],"default":"in"},"lastMsgAt":{"type":"string"},"lastMsgDirection":{"type":"string","enum":["in","out"],"default":"in"}},"required":["firstMsgDirection","lastMsgDirection"]}}}},"responses":{"201":{"description":"SmsInteraction successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SmsInteraction"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sms/interactions/describe":{"get":{"summary":"Gets table info about Interactions","security":[{"BearerAuth":[]}],"operationId":"describeSmsInteraction","tags":["Sms Interactions"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sms/interactions/{id}":{"get":{"summary":"Gets a single Interaction","security":[{"BearerAuth":[]}],"operationId":"getSmsInteractionById","tags":["Sms Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsInteraction to get"}],"responses":{"200":{"description":"SmsInteraction with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SmsInteraction"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SmsInteraction not found"}}},"put":{"summary":"Update an existing Interaction","security":[{"BearerAuth":[]}],"operationId":"updateSmsInteractionById","tags":["Sms Interactions"],"requestBody":{"required":true,"description":"data for updating a new Interaction","content":{"application/json":{"schema":{"type":"object","properties":{"closed":{"type":"boolean","default":false},"closedAt":{"type":"string"},"disposition":{"type":"string"},"secondDisposition":{"type":"string"},"thirdDisposition":{"type":"string"},"note":{"type":"string"},"phone":{"type":"string"},"read1stAt":{"type":"string"},"firstMsgDirection":{"type":"string","enum":["in","out"],"default":"in"},"lastMsgAt":{"type":"string"},"lastMsgDirection":{"type":"string","enum":["in","out"],"default":"in"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsInteraction to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SmsInteraction not found"}}}},"/sms/interactions/{id}/messages":{"get":{"summary":"Gets interaction messages","security":[{"BearerAuth":[]}],"operationId":"getMessages","tags":["Sms Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsInteraction"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new messages","security":[{"BearerAuth":[]}],"operationId":"addMessage","tags":["Sms Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsInteraction"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sms/interactions/{id}/download":{"get":{"summary":"Gets interaction","security":[{"BearerAuth":[]}],"operationId":"download","tags":["Sms Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsInteraction"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sms/interactions/{id}/tags":{"post":{"summary":"Add tags to the interaction","security":[{"BearerAuth":[]}],"operationId":"addTags","tags":["Sms Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsInteraction"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes tags from interaction","security":[{"BearerAuth":[]}],"operationId":"removeTags","tags":["Sms Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsInteraction"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sms/interactions/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Interaction","security":[{"BearerAuth":[]}],"operationId":"deleteSmsInteractionById","tags":["Sms Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsInteraction to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SmsInteraction not found"}}}},"/sms/messages":{"get":{"summary":"Gets a list of Messages","security":[{"BearerAuth":[]}],"operationId":"listAllSmsMessage","tags":["Sms Messages"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each SmsMessage"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Messages","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SmsMessage"}}}}},"206":{"description":"Partial (paged) collection of Messages","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SmsMessage"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Message","security":[{"BearerAuth":[]}],"operationId":"createSmsMessage","tags":["Sms Messages"],"requestBody":{"required":true,"description":"data for creating a new Message","content":{"application/json":{"schema":{"type":"object","properties":{"body":{"type":"string"},"read":{"type":"boolean","default":false},"direction":{"type":"string","enum":["in","out"],"default":"out"},"messageId":{"type":"string"},"phone":{"type":"string"},"readAt":{"type":"string"},"secret":{"type":"boolean","default":false},"providerName":{"type":"string"},"providerResponse":{"type":"string"}},"required":["body","direction"]}}}},"responses":{"201":{"description":"SmsMessage successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SmsMessage"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sms/messages/describe":{"get":{"summary":"Gets table info about Messages","security":[{"BearerAuth":[]}],"operationId":"describeSmsMessage","tags":["Sms Messages"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sms/messages/{id}":{"get":{"summary":"Gets a single Message","security":[{"BearerAuth":[]}],"operationId":"getSmsMessageById","tags":["Sms Messages"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsMessage to get"}],"responses":{"200":{"description":"SmsMessage with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SmsMessage"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SmsMessage not found"}}},"put":{"summary":"Update an existing Message","security":[{"BearerAuth":[]}],"operationId":"updateSmsMessageById","tags":["Sms Messages"],"requestBody":{"required":true,"description":"data for updating a new Message","content":{"application/json":{"schema":{"type":"object","properties":{"body":{"type":"string"},"read":{"type":"boolean","default":false},"direction":{"type":"string","enum":["in","out"],"default":"out"},"messageId":{"type":"string"},"phone":{"type":"string"},"readAt":{"type":"string"},"secret":{"type":"boolean","default":false},"providerName":{"type":"string"},"providerResponse":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsMessage to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SmsMessage not found"}}}},"/sms/messages/{id}/status":{"post":{"summary":"Receive message status","operationId":"status","tags":["Sms Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsMessage"}],"responses":{"201":{"description":"successfully created"}}}},"/sms/messages/{id}/accept":{"put":{"summary":"Accepts message","security":[{"BearerAuth":[]}],"operationId":"accept","tags":["Sms Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsMessage"}],"responses":{"200":{"description":"successfully updated"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sms/messages/{id}/reject":{"put":{"summary":"Rejects message","security":[{"BearerAuth":[]}],"operationId":"reject","tags":["Sms Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsMessage"}],"responses":{"200":{"description":"successfully updated"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sms/messages/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Message","security":[{"BearerAuth":[]}],"operationId":"deleteSmsMessageById","tags":["Sms Messages"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsMessage to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SmsMessage not found"}}}},"/sms/queues":{"get":{"summary":"Gets a list of Queues","security":[{"BearerAuth":[]}],"operationId":"listAllSmsQueue","tags":["Sms Queues"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each SmsQueue"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Queues","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SmsQueue"}}}}},"206":{"description":"Partial (paged) collection of Queues","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SmsQueue"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Queue","security":[{"BearerAuth":[]}],"operationId":"createSmsQueue","tags":["Sms Queues"],"requestBody":{"required":true,"description":"data for creating a new Queue","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"timeout":{"type":"integer"},"strategy":{"type":"string","enum":["rrmemory","beepall","roundrobin"]}}}}}},"responses":{"201":{"description":"SmsQueue successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SmsQueue"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sms/queues/describe":{"get":{"summary":"Gets table info about Queues","security":[{"BearerAuth":[]}],"operationId":"describeSmsQueue","tags":["Sms Queues"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sms/queues/{id}":{"get":{"summary":"Gets a single Queue","security":[{"BearerAuth":[]}],"operationId":"getSmsQueueById","tags":["Sms Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsQueue to get"}],"responses":{"200":{"description":"SmsQueue with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SmsQueue"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SmsQueue not found"}}},"put":{"summary":"Update an existing Queue","security":[{"BearerAuth":[]}],"operationId":"updateSmsQueueById","tags":["Sms Queues"],"requestBody":{"required":true,"description":"data for updating a new Queue","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"timeout":{"type":"integer"},"strategy":{"type":"string","enum":["rrmemory","beepall","roundrobin"]}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsQueue to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SmsQueue not found"}}}},"/sms/queues/{id}/members":{"get":{"summary":"GetMembers","security":[{"BearerAuth":[]}],"operationId":"getMembers","tags":["Sms Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsQueue"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sms/queues/{id}/teams":{"get":{"summary":"Gets queues list","security":[{"BearerAuth":[]}],"operationId":"getTeams","tags":["Sms Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsQueue"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add teams to a queue","security":[{"BearerAuth":[]}],"operationId":"addTeams","tags":["Sms Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsQueue"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Remove teams from a queue","security":[{"BearerAuth":[]}],"operationId":"removeTeams","tags":["Teams"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsQueue"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sms/queues/{id}/users":{"get":{"summary":"Gets queue agents","security":[{"BearerAuth":[]}],"operationId":"getAgents","tags":["Sms Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsQueue"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add agents to a queue","security":[{"BearerAuth":[]}],"operationId":"addAgents","tags":["Sms Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsQueue"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes agents from a queue","security":[{"BearerAuth":[]}],"operationId":"removeAgents","tags":["Sms Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsQueue"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sms/queues/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Queue","security":[{"BearerAuth":[]}],"operationId":"deleteSmsQueueById","tags":["Sms Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsQueue to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SmsQueue not found"}}}},"/sms/reports/queue":{"get":{"summary":"Gets a list of Sms Queue Reports","security":[{"BearerAuth":[]}],"operationId":"listAllSmsQueueReport","tags":["Sms Queue Reports"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each SmsQueueReport"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Sms Queue Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SmsQueueReport"}}}}},"206":{"description":"Partial (paged) collection of Sms Queue Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SmsQueueReport"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Sms Queue Report","security":[{"BearerAuth":[]}],"operationId":"createSmsQueueReport","tags":["Sms Queue Reports"],"requestBody":{"required":true,"description":"data for creating a new Sms Queue Report","content":{"application/json":{"schema":{"type":"object","properties":{"uniqueid":{"type":"string"},"from":{"type":"string"},"joinAt":{"type":"string"},"leaveAt":{"type":"string"},"acceptAt":{"type":"string"},"exitAt":{"type":"string"},"reason":{"type":"string"}},"required":["uniqueid"]}}}},"responses":{"201":{"description":"SmsQueueReport successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SmsQueueReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sms/reports/queue/describe":{"get":{"summary":"Gets table info about Sms Queue Reports","security":[{"BearerAuth":[]}],"operationId":"describeSmsQueueReport","tags":["Sms Queue Reports"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sms/reports/queue/{id}":{"get":{"summary":"Gets a single Sms Queue Report","security":[{"BearerAuth":[]}],"operationId":"getSmsQueueReportById","tags":["Sms Queue Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsQueueReport to get"}],"responses":{"200":{"description":"SmsQueueReport with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SmsQueueReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SmsQueueReport not found"}}},"put":{"summary":"Update an existing Sms Queue Report","security":[{"BearerAuth":[]}],"operationId":"updateSmsQueueReportById","tags":["Sms Queue Reports"],"requestBody":{"required":true,"description":"data for updating a new Sms Queue Report","content":{"application/json":{"schema":{"type":"object","properties":{"uniqueid":{"type":"string"},"from":{"type":"string"},"joinAt":{"type":"string"},"leaveAt":{"type":"string"},"acceptAt":{"type":"string"},"exitAt":{"type":"string"},"reason":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsQueueReport to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SmsQueueReport not found"}}}},"/sms/reports/queue/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Sms Queue Report","security":[{"BearerAuth":[]}],"operationId":"deleteSmsQueueReportById","tags":["Sms Queue Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsQueueReport to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SmsQueueReport not found"}}}},"/sms/reports/transfer":{"get":{"summary":"Gets a list of Sms Transfer Reports","security":[{"BearerAuth":[]}],"operationId":"listAllSmsTransferReport","tags":["Sms Transfer Reports"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each SmsTransferReport"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Sms Transfer Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SmsTransferReport"}}}}},"206":{"description":"Partial (paged) collection of Sms Transfer Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SmsTransferReport"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Sms Transfer Report","security":[{"BearerAuth":[]}],"operationId":"createSmsTransferReport","tags":["Sms Transfer Reports"],"requestBody":{"required":true,"description":"data for creating a new Sms Transfer Report","content":{"application/json":{"schema":{"type":"object","properties":{"uniqueid":{"type":"string"},"type":{"type":"string","enum":["account","agent","queue"],"default":"queue"},"transferredAt":{"type":"string","default":"NOW"}},"required":["uniqueid","type","transferredAt"]}}}},"responses":{"201":{"description":"SmsTransferReport successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SmsTransferReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sms/reports/transfer/describe":{"get":{"summary":"Gets table info about Sms Transfer Reports","security":[{"BearerAuth":[]}],"operationId":"describeSmsTransferReport","tags":["Sms Transfer Reports"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sms/reports/transfer/{id}":{"get":{"summary":"Gets a single Sms Transfer Report","security":[{"BearerAuth":[]}],"operationId":"getSmsTransferReportById","tags":["Sms Transfer Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsTransferReport to get"}],"responses":{"200":{"description":"SmsTransferReport with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SmsTransferReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SmsTransferReport not found"}}},"put":{"summary":"Update an existing Sms Transfer Report","security":[{"BearerAuth":[]}],"operationId":"updateSmsTransferReportById","tags":["Sms Transfer Reports"],"requestBody":{"required":true,"description":"data for updating a new Sms Transfer Report","content":{"application/json":{"schema":{"type":"object","properties":{"uniqueid":{"type":"string"},"type":{"type":"string","enum":["account","agent","queue"],"default":"queue"},"transferredAt":{"type":"string","default":"NOW"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsTransferReport to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SmsTransferReport not found"}}}},"/sms/reports/transfer/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Sms Transfer Report","security":[{"BearerAuth":[]}],"operationId":"deleteSmsTransferReportById","tags":["Sms Transfer Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SmsTransferReport to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SmsTransferReport not found"}}}},"/sounds":{"get":{"summary":"Gets a list of Sounds","security":[{"BearerAuth":[]}],"operationId":"listAllSound","tags":["Sounds"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each Sound"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Sounds","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Sound"}}}}},"206":{"description":"Partial (paged) collection of Sounds","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Sound"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sounds/{id}":{"get":{"summary":"Gets a single Sound","security":[{"BearerAuth":[]}],"operationId":"getSoundById","tags":["Sounds"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Sound to get"}],"responses":{"200":{"description":"Sound with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Sound"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Sound not found"}}},"put":{"summary":"Update an existing new sound","security":[{"BearerAuth":[]}],"operationId":"update","tags":["Sounds"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Sound"}],"responses":{"200":{"description":"successfully updated"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Deletes a sound","security":[{"BearerAuth":[]}],"operationId":"delete","tags":["Sounds"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Sound"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sounds/{id}/download":{"get":{"summary":"Download Sound","security":[{"BearerAuth":[]}],"operationId":"download","tags":["Sounds"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Sound"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/sounds/":{"post":{"summary":"Create a new sound","security":[{"BearerAuth":[]}],"operationId":"postcreate","tags":["Sounds"],"requestBody":{"required":true,"description":"data for uploading a file","content":{"multipart/form-data":{"schema":{"type":"object","properties":{"file":{"type":"string","format":"binary"}}}}}},"responses":{"201":{"description":"success."},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/square/messages":{"get":{"summary":"Gets a list of Messages","security":[{"BearerAuth":[]}],"operationId":"listAllSquareMessage","tags":["Square Messages"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each SquareMessage"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Messages","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SquareMessage"}}}}},"206":{"description":"Partial (paged) collection of Messages","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SquareMessage"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Message","security":[{"BearerAuth":[]}],"operationId":"createSquareMessage","tags":["Square Messages"],"requestBody":{"required":true,"description":"data for creating a new Message","content":{"application/json":{"schema":{"type":"object","properties":{"uniqueid":{"type":"string"},"body":{"type":"string"},"direction":{"type":"string","enum":["in","out"],"default":"out"},"providerName":{"type":"string"},"providerResponse":{"type":"string"}},"required":["body","direction"]}}}},"responses":{"201":{"description":"SquareMessage successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SquareMessage"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/square/messages/{id}":{"get":{"summary":"Gets a single Message","security":[{"BearerAuth":[]}],"operationId":"getSquareMessageById","tags":["Square Messages"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SquareMessage to get"}],"responses":{"200":{"description":"SquareMessage with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SquareMessage"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SquareMessage not found"}}},"put":{"summary":"Update an existing Message","security":[{"BearerAuth":[]}],"operationId":"updateSquareMessageById","tags":["Square Messages"],"requestBody":{"required":true,"description":"data for updating a new Message","content":{"application/json":{"schema":{"type":"object","properties":{"uniqueid":{"type":"string"},"body":{"type":"string"},"direction":{"type":"string","enum":["in","out"],"default":"out"},"providerName":{"type":"string"},"providerResponse":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SquareMessage to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SquareMessage not found"}}}},"/square/messages/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Message","security":[{"BearerAuth":[]}],"operationId":"deleteSquareMessageById","tags":["Square Messages"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SquareMessage to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SquareMessage not found"}}}},"/square/odbc":{"get":{"summary":"Gets a list of ODBCs","security":[{"BearerAuth":[]}],"operationId":"listAllSquareOdbc","tags":["Square ODBC"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each SquareOdbc"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of ODBCs","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SquareOdbc"}}}}},"206":{"description":"Partial (paged) collection of ODBCs","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SquareOdbc"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new ODBC","security":[{"BearerAuth":[]}],"operationId":"createSquareOdbc","tags":["Square ODBC"],"requestBody":{"required":true,"description":"data for creating a new ODBC","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"dsn":{"type":"string"},"description":{"type":"string"}},"required":["name"]}}}},"responses":{"201":{"description":"SquareOdbc successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SquareOdbc"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/square/odbc/{id}":{"get":{"summary":"Gets a single ODBC","security":[{"BearerAuth":[]}],"operationId":"getSquareOdbcById","tags":["Square ODBC"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SquareOdbc to get"}],"responses":{"200":{"description":"SquareOdbc with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SquareOdbc"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SquareOdbc not found"}}},"put":{"summary":"Update an existing ODBC","security":[{"BearerAuth":[]}],"operationId":"updateSquareOdbcById","tags":["Square ODBC"],"requestBody":{"required":true,"description":"data for updating a new ODBC","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"dsn":{"type":"string"},"description":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SquareOdbc to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SquareOdbc not found"}}}},"/square/odbc/{id}/test":{"get":{"summary":"Test Odbc","security":[{"BearerAuth":[]}],"operationId":"test","tags":["Square ODBC"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SquareOdbc"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/square/odbc/{id}/destroy_many":{"delete":{"summary":"Destroy an existing ODBC","security":[{"BearerAuth":[]}],"operationId":"deleteSquareOdbcById","tags":["Square ODBC"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SquareOdbc to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SquareOdbc not found"}}}},"/square/projects":{"get":{"summary":"Gets a list of Projects","security":[{"BearerAuth":[]}],"operationId":"listAllSquareProject","tags":["Square Projects"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each SquareProject"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Projects","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SquareProject"}}}}},"206":{"description":"Partial (paged) collection of Projects","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SquareProject"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Project","security":[{"BearerAuth":[]}],"operationId":"createSquareProject","tags":["Square Projects"],"requestBody":{"required":true,"description":"data for creating a new Project","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"notes":{"type":"string"},"preproduction":{"type":"object"},"production":{"type":"object"}},"required":["name"]}}}},"responses":{"201":{"description":"SquareProject successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SquareProject"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/square/projects/{id}":{"get":{"summary":"Gets a single Project","security":[{"BearerAuth":[]}],"operationId":"getSquareProjectById","tags":["Square Projects"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SquareProject to get"}],"responses":{"200":{"description":"SquareProject with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SquareProject"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SquareProject not found"}}},"put":{"summary":"Update an existing Project","security":[{"BearerAuth":[]}],"operationId":"updateSquareProjectById","tags":["Square Projects"],"requestBody":{"required":true,"description":"data for updating a new Project","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"notes":{"type":"string"},"preproduction":{"type":"object"},"production":{"type":"object"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SquareProject to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SquareProject not found"}}}},"/square/projects/{id}/users":{"get":{"summary":"Gets users permissions from Project","security":[{"BearerAuth":[]}],"operationId":"getUsers","tags":["Square Projects"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SquareProject"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Adds user permissions to a Project","security":[{"BearerAuth":[]}],"operationId":"addUsers","tags":["Square Projects"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SquareProject"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes user permissions from a Project","security":[{"BearerAuth":[]}],"operationId":"removeUsers","tags":["Square Projects"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SquareProject"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/square/projects/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Project","security":[{"BearerAuth":[]}],"operationId":"deleteSquareProjectById","tags":["Square Projects"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SquareProject to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SquareProject not found"}}}},"/square/recordings":{"get":{"summary":"Gets a list of Recordings","security":[{"BearerAuth":[]}],"operationId":"listAllSquareRecording","tags":["Square Recordings"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each SquareRecording"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Recordings","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SquareRecording"}}}}},"206":{"description":"Partial (paged) collection of Recordings","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SquareRecording"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Recording","security":[{"BearerAuth":[]}],"operationId":"createSquareRecording","tags":["Square Recordings"],"requestBody":{"required":true,"description":"data for creating a new Recording","content":{"application/json":{"schema":{"type":"object","properties":{"uniqueid":{"type":"string"},"callerid":{"type":"string"},"calleridname":{"type":"string"},"context":{"type":"string"},"extension":{"type":"string"},"priority":{"type":"string"},"accountcode":{"type":"string"},"dnid":{"type":"string"},"projectName":{"type":"string"},"saveName":{"type":"string"},"filename":{"type":"string"},"savePath":{"type":"string"},"format":{"type":"string"}}}}}},"responses":{"201":{"description":"SquareRecording successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SquareRecording"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/square/recordings/{id}":{"get":{"summary":"Gets a single Recording","security":[{"BearerAuth":[]}],"operationId":"getSquareRecordingById","tags":["Square Recordings"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SquareRecording to get"}],"responses":{"200":{"description":"SquareRecording with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SquareRecording"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SquareRecording not found"}}},"put":{"summary":"Update an existing Recording","security":[{"BearerAuth":[]}],"operationId":"updateSquareRecordingById","tags":["Square Recordings"],"requestBody":{"required":true,"description":"data for updating a new Recording","content":{"application/json":{"schema":{"type":"object","properties":{"uniqueid":{"type":"string"},"callerid":{"type":"string"},"calleridname":{"type":"string"},"context":{"type":"string"},"extension":{"type":"string"},"priority":{"type":"string"},"accountcode":{"type":"string"},"dnid":{"type":"string"},"projectName":{"type":"string"},"saveName":{"type":"string"},"filename":{"type":"string"},"savePath":{"type":"string"},"format":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SquareRecording to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SquareRecording not found"}}}},"/square/recordings/{id}/download":{"get":{"summary":"Download Recording","security":[{"BearerAuth":[]}],"operationId":"download","tags":["Square Recordings"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SquareRecording"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/square/recordings/{id}/delete":{"delete":{"summary":"Delete recording","security":[{"BearerAuth":[]}],"operationId":"destroy","tags":["Square Recordings"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SquareRecording"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/square/reports":{"get":{"summary":"Gets a list of Square Reports","security":[{"BearerAuth":[]}],"operationId":"listAllSquareReport","tags":["Square Reports"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each SquareReport"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Square Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SquareReport"}}}}},"206":{"description":"Partial (paged) collection of Square Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SquareReport"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Square Report","security":[{"BearerAuth":[]}],"operationId":"createSquareReport","tags":["Square Reports"],"requestBody":{"required":true,"description":"data for creating a new Square Report","content":{"application/json":{"schema":{"type":"object","properties":{"network":{"type":"string"},"network_script":{"type":"string"},"request":{"type":"string"},"channel":{"type":"string"},"language":{"type":"string"},"type":{"type":"string"},"uniqueid":{"type":"string"},"version":{"type":"string"},"callerid":{"type":"string"},"calleridname":{"type":"string"},"callingpres":{"type":"string"},"callingani2":{"type":"string"},"callington":{"type":"string"},"callingtns":{"type":"string"},"dnid":{"type":"string"},"rdnis":{"type":"string"},"context":{"type":"string"},"extension":{"type":"string"},"priority":{"type":"string"},"enhanced":{"type":"string"},"accountcode":{"type":"string"},"threadid":{"type":"string"},"project_name":{"type":"string"},"joinAt":{"type":"string"},"leaveAt":{"type":"string"},"bot":{"type":"boolean","default":false}}}}}},"responses":{"201":{"description":"SquareReport successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SquareReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/square/reports/describe":{"get":{"summary":"Gets table info about Square Reports","security":[{"BearerAuth":[]}],"operationId":"describeSquareReport","tags":["Square Reports"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/square/reports/{id}":{"get":{"summary":"Gets a single Square Report","security":[{"BearerAuth":[]}],"operationId":"getSquareReportById","tags":["Square Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SquareReport to get"}],"responses":{"200":{"description":"SquareReport with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SquareReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SquareReport not found"}}},"put":{"summary":"Update an existing Square Report","security":[{"BearerAuth":[]}],"operationId":"updateSquareReportById","tags":["Square Reports"],"requestBody":{"required":true,"description":"data for updating a new Square Report","content":{"application/json":{"schema":{"type":"object","properties":{"network":{"type":"string"},"network_script":{"type":"string"},"request":{"type":"string"},"channel":{"type":"string"},"language":{"type":"string"},"type":{"type":"string"},"uniqueid":{"type":"string"},"version":{"type":"string"},"callerid":{"type":"string"},"calleridname":{"type":"string"},"callingpres":{"type":"string"},"callingani2":{"type":"string"},"callington":{"type":"string"},"callingtns":{"type":"string"},"dnid":{"type":"string"},"rdnis":{"type":"string"},"context":{"type":"string"},"extension":{"type":"string"},"priority":{"type":"string"},"enhanced":{"type":"string"},"accountcode":{"type":"string"},"threadid":{"type":"string"},"project_name":{"type":"string"},"joinAt":{"type":"string"},"leaveAt":{"type":"string"},"bot":{"type":"boolean","default":false}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SquareReport to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SquareReport not found"}}}},"/square/reports/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Square Report","security":[{"BearerAuth":[]}],"operationId":"deleteSquareReportById","tags":["Square Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SquareReport to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SquareReport not found"}}}},"/square/details/reports":{"get":{"summary":"Gets a list of Square Detail Reports","security":[{"BearerAuth":[]}],"operationId":"listAllSquareDetailsReport","tags":["Square Details Reports"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each SquareDetailsReport"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Square Detail Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SquareDetailsReport"}}}}},"206":{"description":"Partial (paged) collection of Square Detail Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/SquareDetailsReport"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Square Detail Report","security":[{"BearerAuth":[]}],"operationId":"createSquareDetailsReport","tags":["Square Details Reports"],"requestBody":{"required":true,"description":"data for creating a new Square Detail Report","content":{"application/json":{"schema":{"type":"object","properties":{"uniqueid":{"type":"string"},"node":{"type":"string"},"application":{"type":"string"},"data":{"type":"string"},"project_name":{"type":"string"},"callerid":{"type":"string"}}}}}},"responses":{"201":{"description":"SquareDetailsReport successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SquareDetailsReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/square/details/reports/describe":{"get":{"summary":"Gets table info about Square Detail Reports","security":[{"BearerAuth":[]}],"operationId":"describeSquareDetailsReport","tags":["Square Details Reports"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/square/details/reports/{id}":{"get":{"summary":"Gets a single Square Detail Report","security":[{"BearerAuth":[]}],"operationId":"getSquareDetailsReportById","tags":["Square Details Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SquareDetailsReport to get"}],"responses":{"200":{"description":"SquareDetailsReport with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/SquareDetailsReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SquareDetailsReport not found"}}},"put":{"summary":"Update an existing Square Detail Report","security":[{"BearerAuth":[]}],"operationId":"updateSquareDetailsReportById","tags":["Square Details Reports"],"requestBody":{"required":true,"description":"data for updating a new Square Detail Report","content":{"application/json":{"schema":{"type":"object","properties":{"uniqueid":{"type":"string"},"node":{"type":"string"},"application":{"type":"string"},"data":{"type":"string"},"project_name":{"type":"string"},"callerid":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SquareDetailsReport to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SquareDetailsReport not found"}}}},"/square/details/reports/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Square Detail Report","security":[{"BearerAuth":[]}],"operationId":"deleteSquareDetailsReportById","tags":["Square Details Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the SquareDetailsReport to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"SquareDetailsReport not found"}}}},"/system":{"get":{"summary":"Gets system information","security":[{"BearerAuth":[]}],"operationId":"getSystemInformation","tags":["System Information"],"responses":{"200":{"description":"system information","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/system/process":{"get":{"summary":"Gets process information","security":[{"BearerAuth":[]}],"operationId":"getSystemProcessInformation","tags":["System Information"],"responses":{"200":{"description":"process information","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/tags":{"get":{"summary":"Gets a list of Tags","security":[{"BearerAuth":[]}],"operationId":"listAllTag","tags":["Tags"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each Tag"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Tags","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Tag"}}}}},"206":{"description":"Partial (paged) collection of Tags","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Tag"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Tag","security":[{"BearerAuth":[]}],"operationId":"createTag","tags":["Tags"],"requestBody":{"required":true,"description":"data for creating a new Tag","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"color":{"type":"string","default":"#0091EA"},"description":{"type":"string"}},"required":["name"]}}}},"responses":{"201":{"description":"Tag successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Tag"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/tags/{id}":{"get":{"summary":"Gets a single Tag","security":[{"BearerAuth":[]}],"operationId":"getTagById","tags":["Tags"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Tag to get"}],"responses":{"200":{"description":"Tag with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Tag"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Tag not found"}}},"put":{"summary":"Update an existing Tag","security":[{"BearerAuth":[]}],"operationId":"updateTagById","tags":["Tags"],"requestBody":{"required":true,"description":"data for updating a new Tag","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"color":{"type":"string","default":"#0091EA"},"description":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Tag to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Tag not found"}}}},"/tags/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Tag","security":[{"BearerAuth":[]}],"operationId":"deleteTagById","tags":["Tags"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Tag to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Tag not found"}}}},"/teams":{"get":{"summary":"Gets a list of Teams","security":[{"BearerAuth":[]}],"operationId":"listAllTeam","tags":["Teams"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each Team"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Teams","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Team"}}}}},"206":{"description":"Partial (paged) collection of Teams","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Team"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Team","security":[{"BearerAuth":[]}],"operationId":"createTeam","tags":["Teams"],"requestBody":{"required":true,"description":"data for creating a new Team","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"}},"required":["name"]}}}},"responses":{"201":{"description":"Team successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Team"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/teams/{id}":{"get":{"summary":"Gets a single Team","security":[{"BearerAuth":[]}],"operationId":"getTeamById","tags":["Teams"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Team to get"}],"responses":{"200":{"description":"Team with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Team"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Team not found"}}},"put":{"summary":"Update an existing Team","security":[{"BearerAuth":[]}],"operationId":"updateTeamById","tags":["Teams"],"requestBody":{"required":true,"description":"data for updating a new Team","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Team to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Team not found"}}}},"/teams/{id}/queues":{"get":{"summary":"Gets Queues list","security":[{"BearerAuth":[]}],"operationId":"getQueues","tags":["Teams"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Team"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add queues to a team","security":[{"BearerAuth":[]}],"operationId":"addQueues","tags":["Teams"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Team"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Remove queues to a team","security":[{"BearerAuth":[]}],"operationId":"removeQueues","tags":["Teams"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Team"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/teams/{id}/users":{"get":{"summary":"Gets agents from team","security":[{"BearerAuth":[]}],"operationId":"getAgents","tags":["Teams"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Team"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Adds agents to a team","security":[{"BearerAuth":[]}],"operationId":"addAgents","tags":["Teams"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Team"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes agents from a team","security":[{"BearerAuth":[]}],"operationId":"removeAgents","tags":["Teams"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Team"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/teams/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Team","security":[{"BearerAuth":[]}],"operationId":"deleteTeamById","tags":["Teams"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Team to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Team not found"}}}},"/templates":{"get":{"summary":"Gets a list of Templates","security":[{"BearerAuth":[]}],"operationId":"listAllTemplate","tags":["Templates"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each Template"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Templates","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Template"}}}}},"206":{"description":"Partial (paged) collection of Templates","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Template"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Template","security":[{"BearerAuth":[]}],"operationId":"createTemplate","tags":["Templates"],"requestBody":{"required":true,"description":"data for creating a new Template","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"html":{"type":"string"}}}}}},"responses":{"201":{"description":"Template successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Template"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/templates/{id}":{"get":{"summary":"Gets a single Template","security":[{"BearerAuth":[]}],"operationId":"getTemplateById","tags":["Templates"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Template to get"}],"responses":{"200":{"description":"Template with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Template"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Template not found"}}},"put":{"summary":"Update an existing Template","security":[{"BearerAuth":[]}],"operationId":"updateTemplateById","tags":["Templates"],"requestBody":{"required":true,"description":"data for updating a new Template","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"},"html":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Template to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Template not found"}}}},"/templates/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Template","security":[{"BearerAuth":[]}],"operationId":"deleteTemplateById","tags":["Templates"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Template to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Template not found"}}}},"/triggers":{"get":{"summary":"Gets a list of Triggers","security":[{"BearerAuth":[]}],"operationId":"listAllTrigger","tags":["Triggers"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each Trigger"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Triggers","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Trigger"}}}}},"206":{"description":"Partial (paged) collection of Triggers","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Trigger"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Trigger","security":[{"BearerAuth":[]}],"operationId":"createTrigger","tags":["Triggers"],"requestBody":{"required":true,"description":"data for creating a new Trigger","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"channel":{"type":"string"},"description":{"type":"string"},"status":{"type":"boolean","default":false}}}}}},"responses":{"201":{"description":"Trigger successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Trigger"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/triggers/{id}":{"get":{"summary":"Gets a single Trigger","security":[{"BearerAuth":[]}],"operationId":"getTriggerById","tags":["Triggers"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Trigger to get"}],"responses":{"200":{"description":"Trigger with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Trigger"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Trigger not found"}}},"put":{"summary":"Update an existing Trigger","security":[{"BearerAuth":[]}],"operationId":"updateTriggerById","tags":["Triggers"],"requestBody":{"required":true,"description":"data for updating a new Trigger","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"channel":{"type":"string"},"description":{"type":"string"},"status":{"type":"boolean","default":false}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Trigger to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Trigger not found"}}}},"/triggers/{id}/all_conditions":{"get":{"summary":"Gets \"AND\" Trigger Conditions","security":[{"BearerAuth":[]}],"operationId":"getAllConditions","tags":["Triggers"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Trigger"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new \"AND\"condition","security":[{"BearerAuth":[]}],"operationId":"addAllCondition","tags":["Triggers"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Trigger"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/triggers/{id}/any_conditions":{"get":{"summary":"Gets \"OR\" Trigger Conditions","security":[{"BearerAuth":[]}],"operationId":"getAnyConditions","tags":["Triggers"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Trigger"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new \"OR\"condition","security":[{"BearerAuth":[]}],"operationId":"addAnyCondition","tags":["Triggers"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Trigger"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/triggers/{id}/actions":{"get":{"summary":"Gets Trigger Actions","security":[{"BearerAuth":[]}],"operationId":"getActions","tags":["Triggers"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Trigger"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new actions","security":[{"BearerAuth":[]}],"operationId":"addAction","tags":["Triggers"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Trigger"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/triggers/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Trigger","security":[{"BearerAuth":[]}],"operationId":"deleteTriggerById","tags":["Triggers"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Trigger to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Trigger not found"}}}},"/trunks":{"get":{"summary":"Gets a list of Trunks","security":[{"BearerAuth":[]}],"operationId":"listAllTrunk","tags":["Trunks"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each Trunk"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Trunks","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Trunk"}}}}},"206":{"description":"Partial (paged) collection of Trunks","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Trunk"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/trunks/{id}":{"get":{"summary":"Gets a single Trunk","security":[{"BearerAuth":[]}],"operationId":"getTrunkById","tags":["Trunks"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Trunk to get"}],"responses":{"200":{"description":"Trunk with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Trunk"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Trunk not found"}}},"put":{"summary":"Update an existing trunk","security":[{"BearerAuth":[]}],"operationId":"update","tags":["Trunks"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Trunk"}],"responses":{"200":{"description":"successfully updated"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Deletes a trunk","security":[{"BearerAuth":[]}],"operationId":"destroy","tags":["Trunks"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Trunk"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/trunks/clone":{"post":{"summary":"Clone an existing Trunk","security":[{"BearerAuth":[]}],"operationId":"cloneTrunk","tags":["Trunks"],"requestBody":{"required":true,"description":"data for creating a new Trunk","content":{"application/json":{"schema":{"type":"object","properties":{"id":{"type":"integer","description":"id of the Trunk to clone"},"name":{"type":"string"},"type":{"type":"string","enum":["friend","user","peer"],"default":"friend"},"context":{"type":"string","default":"from-voip-provider"},"callingpres":{"type":"string","enum":["ALLOWED_NOT_SCREENED","ALLOWED_PASSED_SCREEN","ALLOWED_FAILED_SCREEN","ALLOWED","PROHIB_NOT_SCREENED","PROHIB_PASSED_SCREEN","PROHIB_FAILED_SCREEN","PROHIB"]},"deny":{"type":"string"},"permit":{"type":"string"},"secret":{"type":"string"},"md5secret":{"type":"string"},"remotesecret":{"type":"string"},"transport":{"type":"string","description":"String is deprecated. Please use an Array as [\"udp\", \"tcp\"]","default":"udp"},"dtmfmode":{"type":"string","enum":["rfc2833","info","shortinfo","inband","auto"],"default":"rfc2833"},"directmedia":{"type":"string","enum":["yes","no","nonat","update","outgoing"],"default":"no"},"directrtpsetup":{"type":"string","enum":["yes","no"],"default":"no"},"directmediapermit":{"type":"string"},"directmediadeny":{"type":"string"},"nat":{"type":"string","description":"String is deprecated. Please use an Array as [\"force_rport\", \"comedia\"]","default":"force_rport,comedia"},"callgroup":{"type":"string"},"namedcallgroup":{"type":"string"},"pickupgroup":{"type":"string"},"namedpickupgroup":{"type":"string"},"language":{"type":"string","default":"en"},"tonezone":{"type":"string"},"disallow":{"type":"string","default":"all"},"allow":{"type":"string","description":"String is deprecated. Please use an Array as [\"ulaw\", \"alaw\", \"alaw\"]","default":"ulaw;alaw;gsm"},"autoframing":{"type":"string","enum":["yes","no"]},"insecure":{"type":"string","description":"String is deprecated. Please use an Array as [\"port\", \"invite\"]","default":"port,invite"},"trustrpid":{"type":"string","enum":["yes","no"],"default":"no"},"trust_id_outbound":{"type":"string","enum":["yes","no"],"default":"no"},"progressinband":{"type":"string","enum":["yes","no","never"]},"promiscredir":{"type":"string","enum":["yes","no"]},"useclientcode":{"type":"string","enum":["yes","no"]},"accountcode":{"type":"integer"},"setvar":{"type":"string"},"callerid":{"type":"string","default":"\"\" <>"},"amaflags":{"type":"string"},"callcounter":{"type":"string","enum":["yes","no"],"default":"yes"},"busylevel":{"type":"integer"},"allowoverlap":{"type":"string","enum":["yes","no"]},"allowsubscribe":{"type":"string","enum":["yes","no"]},"allowtransfer":{"type":"string","enum":["yes","no"]},"ignoresdpversion":{"type":"string","enum":["yes","no"]},"subscribecontext":{"type":"string"},"template":{"type":"string"},"videosupport":{"type":"string","enum":["yes","no","always"],"default":"no"},"maxcallbitrate":{"type":"integer"},"rfc2833compensate":{"type":"string","enum":["yes","no"]},"mailbox":{"type":"string"},"session_timers":{"type":"string","enum":["accept","refuse","originate"]},"session_expires":{"type":"integer"},"session_minse":{"type":"integer"},"session_refresher":{"type":"string","enum":["uac","uas"],"default":"uas"},"t38pt_usertpsource":{"type":"string"},"regexten":{"type":"string"},"fromdomain":{"type":"string"},"fromuser":{"type":"string"},"port":{"type":"integer"},"qualify":{"type":"string","enum":["yes","no"],"default":"yes"},"keepalive":{"type":"integer"},"defaultip":{"type":"string"},"defaultuser":{"type":"string"},"rtptimeout":{"type":"integer"},"rtpholdtimeout":{"type":"integer"},"rtpkeepalive":{"type":"integer"},"sendrpid":{"type":"string","enum":["yes","no","pai"],"default":"no"},"outboundproxy":{"type":"string"},"callbackextension":{"type":"string"},"timert1":{"type":"integer"},"timerb":{"type":"integer"},"qualifyfreq":{"type":"integer"},"contactpermit":{"type":"string"},"contactdeny":{"type":"string"},"contactacl":{"type":"string"},"unsolicited_mailbox":{"type":"string"},"use_q850_reason":{"type":"string"},"maxforwards":{"type":"integer"},"encryption":{"type":"string","enum":["yes","no"],"default":"no"},"avpf":{"type":"string","enum":["yes","no"]},"force_avp":{"type":"string","enum":["yes","no"]},"icesupport":{"type":"string","enum":["yes","no"]},"dtlsenable":{"type":"string","enum":["yes","no"]},"dtlsverify":{"type":"string","enum":["yes","no","fingerprint","certificate"]},"dtlsrekey":{"type":"integer"},"dtlscertfile":{"type":"string"},"dtlsprivatekey":{"type":"string"},"dtlscipher":{"type":"string"},"dtlscafile":{"type":"string"},"dtlscapath":{"type":"string"},"dtlssetup":{"type":"string","enum":["active","passive","actpass"]},"dtlsfingerprint":{"type":"string"},"usereqphone":{"type":"string","enum":["yes","no"],"default":"no"},"recordonfeature":{"type":"string"},"recordofffeature":{"type":"string"},"call_limit":{"type":"integer","default":1000},"registertrying":{"type":"string","enum":["yes","no"]},"subscribemwi":{"type":"string","enum":["yes","no"]},"vmexten":{"type":"string"},"mohinterpret":{"type":"string"},"mohsuggest":{"type":"string"},"parkinglot":{"type":"string"},"description":{"type":"string"},"host":{"type":"string","default":"dynamic"},"canreinvite":{"type":"string","enum":["yes","no","nonat","update","update,nonat"],"default":"no"},"registry":{"type":"string"},"otherFields":{"type":"string"},"active":{"type":"boolean","default":true},"t38pt_udptl":{"type":"string","default":"no"}},"required":["id"]}}}},"responses":{"201":{"description":"Trunk successfully cloned. Returns the cloned object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Trunk"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/trunks/":{"post":{"summary":"Create a new trunk","security":[{"BearerAuth":[]}],"operationId":"create","tags":["Trunks"],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/users":{"get":{"summary":"Gets a list of Users","security":[{"BearerAuth":[]}],"operationId":"listUsers","tags":["Users"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each User"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Users","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/User"}}}}},"206":{"description":"Partial (paged) collection of Users","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/User"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Create a new User","security":[{"BearerAuth":[]}],"operationId":"postCreateUser","tags":["Users"],"requestBody":{"required":true,"description":"user to be created","content":{"application/json":{"schema":{"$ref":"#/components/schemas/User"}}}},"responses":{"201":{"description":"user created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/users/describe":{"get":{"summary":"Gets table info about Users","security":[{"BearerAuth":[]}],"operationId":"describeUsers","tags":["Users"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/users/whoami":{"get":{"summary":"Gets current user","security":[{"BearerAuth":[]}],"operationId":"getWhoAmI","tags":["Users"],"responses":{"200":{"description":"current user information","content":{"application/json":{"schema":{"$ref":"#/components/schemas/User"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/users/{id}":{"get":{"summary":"Gets a user by id","security":[{"BearerAuth":[]}],"operationId":"getUserById","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user to get"}],"responses":{"200":{"description":"user information","content":{"application/json":{"schema":{"$ref":"#/components/schemas/User"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"put":{"summary":"Update an existing User","security":[{"BearerAuth":[]}],"operationId":"putUpdateUser","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"requestBody":{"required":true,"description":"user properties to be updated","content":{"application/json":{"schema":{"$ref":"#/components/schemas/User"}}}},"responses":{"200":{"description":"user updated"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Remove a user","security":[{"BearerAuth":[]}],"operationId":"deleteUser","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"responses":{"204":{"description":"user deleted successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"user not found"}}}},"/users/{id}/avatar":{"get":{"summary":"Gets a user avatar by id","security":[{"BearerAuth":[]}],"operationId":"getUserAvatarById","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"responses":{"200":{"description":"user avatar","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add avatar","security":[{"BearerAuth":[]}],"operationId":"postAddAvatar","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"requestBody":{"required":true,"description":"Add avatar","content":{"application/json":{"schema":{"type":"object"}}}},"responses":{"201":{"description":"avatar added"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/users/{id}/contacts":{"get":{"summary":"Gets a user contacts by id","security":[{"BearerAuth":[]}],"operationId":"getUserContactsById","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"responses":{"200":{"description":"user contacts list result","content":{"application/json":{"schema":{"type":"object","properties":{"count":{"type":"integer","description":"results count","default":0},"rows":{"description":"user contacts list","type":"array","items":{"$ref":"#/components/schemas/CmContact"}}}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add contacts to a user","security":[{"BearerAuth":[]}],"operationId":"postAddUserContact","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"requestBody":{"required":true,"description":"user contacts to be added","content":{"application/json":{"schema":{"type":"object"}}}},"responses":{"201":{"description":"contacts added"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/users/{id}/queues":{"get":{"summary":"Gets a user queues list by user id","security":[{"BearerAuth":[]}],"operationId":"getUserQueues","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"},{"in":"query","name":"channel","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string","enum":["voice","mail","chat","fax","sms","whatsapp","openchannel"]},"description":"channel to filter for (e.g. mail, voice...)","examples":{"fields":{"value":"mail","summary":"A call returning only queues for channel = mail"}}}],"responses":{"200":{"description":"user queues list result","content":{"application/json":{"schema":{"type":"object","properties":{"count":{"type":"integer","description":"results count","default":0},"rows":{"description":"user queues list","type":"array","items":{"oneOf":[{"$ref":"#/components/schemas/VoiceQueue"},{"$ref":"#/components/schemas/MailQueue"},{"$ref":"#/components/schemas/ChatQueue"},{"$ref":"#/components/schemas/FaxQueue"},{"$ref":"#/components/schemas/SmsQueue"},{"$ref":"#/components/schemas/OpenchannelQueue"},{"$ref":"#/components/schemas/WhatsappQueue"}]}}}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add queues to an agent","security":[{"BearerAuth":[]}],"operationId":"postAddQueuesToAgent","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"requestBody":{"required":true,"description":"Add queues to an agent","content":{"application/json":{"schema":{"type":"object"}}}},"responses":{"201":{"description":"queues added"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Remove one or more queues from an agent","security":[{"BearerAuth":[]}],"operationId":"deleteUserQueues","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"},{"in":"query","name":"ids","allowReserved":true,"style":"form","explode":true,"schema":{"type":"string"},"description":"ids of the voice queues to be removed","examples":{"fields":{"value":"1,2,3","summary":"remove voice queues with ids=1, ids=2 and ids=3"}}}],"responses":{"204":{"description":"queues deleted successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"one or more records not found"}}}},"/users/{id}/queues_rt":{"get":{"summary":"Gets a user UserVoiceQueuesRt list by user id","security":[{"BearerAuth":[]}],"operationId":"getUserVoiceQueuesRt","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"responses":{"200":{"description":"user UserVoiceQueuesRt list result","content":{"application/json":{"schema":{"type":"object","properties":{"count":{"type":"integer","description":"results count","default":0},"rows":{"description":"user UserVoiceQueueRt list","type":"array","items":{"$ref":"#/components/schemas/UserVoiceQueueRt"}}}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/users/{id}/groups":{"get":{"summary":"Gets a user ChatGroups list by user id","security":[{"BearerAuth":[]}],"operationId":"getChatGroups","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"responses":{"200":{"description":"user ChatGroups list result","content":{"application/json":{"schema":{"type":"object","properties":{"count":{"type":"integer","description":"results count","default":0},"rows":{"description":"user ChatGroups list","type":"array","items":{"$ref":"#/components/schemas/ChatGroup"}}}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/users/{id}/recordings":{"get":{"summary":"Gets a user VoiceRecording list by user id","security":[{"BearerAuth":[]}],"operationId":"getVoiceRecordings","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"responses":{"200":{"description":"user VoiceRecording list result","content":{"application/json":{"schema":{"type":"object","properties":{"count":{"type":"integer","description":"results count","default":0},"rows":{"description":"user VoiceRecording list","type":"array","items":{"$ref":"#/components/schemas/VoiceRecording"}}}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/users/{id}/screen_recordings":{"get":{"summary":"Gets a user ScreenRecording list by user id","security":[{"BearerAuth":[]}],"operationId":"getScreenRecordings","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"responses":{"200":{"description":"user ScreenRecording list result","content":{"application/json":{"schema":{"type":"object","properties":{"count":{"type":"integer","description":"results count","default":0},"rows":{"description":"user ScreenRecording list","type":"array","items":{"$ref":"#/components/schemas/ScreenRecording"}}}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/users/{id}/chat/interactions":{"get":{"summary":"Gets a user ChatInteraction list by user id","security":[{"BearerAuth":[]}],"operationId":"getChatInteractions","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"responses":{"200":{"description":"user ChatInteraction list result","content":{"application/json":{"schema":{"type":"object","properties":{"count":{"type":"integer","description":"results count","default":0},"rows":{"description":"user ChatInteraction list","type":"array","items":{"$ref":"#/components/schemas/ChatInteraction"}}}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/users/{id}/openchannel/interactions":{"get":{"summary":"Gets a user OpenchannelInteraction list by user id","security":[{"BearerAuth":[]}],"operationId":"getOpenchannelInteractions","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"responses":{"200":{"description":"user OpenchannelInteraction list result","content":{"application/json":{"schema":{"type":"object","properties":{"count":{"type":"integer","description":"results count","default":0},"rows":{"description":"user OpenchannelInteraction list","type":"array","items":{"$ref":"#/components/schemas/OpenchannelInteraction"}}}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/users/{id}/mail/interactions":{"get":{"summary":"Gets a user MailInteraction list by user id","security":[{"BearerAuth":[]}],"operationId":"getMailInteractions","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"responses":{"200":{"description":"user MailInteraction list result","content":{"application/json":{"schema":{"type":"object","properties":{"count":{"type":"integer","description":"results count","default":0},"rows":{"description":"user MailInteraction list","type":"array","items":{"$ref":"#/components/schemas/MailInteraction"}}}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/users/{id}/sms/interactions":{"get":{"summary":"Gets a user SmsInteraction list by user id","security":[{"BearerAuth":[]}],"operationId":"getSmsInteractions","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"responses":{"200":{"description":"user SmsInteraction list result","content":{"application/json":{"schema":{"type":"object","properties":{"count":{"type":"integer","description":"results count","default":0},"rows":{"description":"user SmsInteraction list","type":"array","items":{"$ref":"#/components/schemas/SmsInteraction"}}}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/users/{id}/fax/interactions":{"get":{"summary":"Gets a user FaxInteraction list by user id","security":[{"BearerAuth":[]}],"operationId":"getFaxInteractions","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"responses":{"200":{"description":"user FaxInteraction list result","content":{"application/json":{"schema":{"type":"object","properties":{"count":{"type":"integer","description":"results count","default":0},"rows":{"description":"user FaxInteraction list","type":"array","items":{"$ref":"#/components/schemas/FaxInteraction"}}}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/users/{id}/whatsapp/interactions":{"get":{"summary":"Gets a user WhatsappInteraction list by user id","security":[{"BearerAuth":[]}],"operationId":"getWhatsappInteractions","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"responses":{"200":{"description":"user WhatsappInteraction list result","content":{"application/json":{"schema":{"type":"object","properties":{"count":{"type":"integer","description":"results count","default":0},"rows":{"description":"user WhatsappInteraction list","type":"array","items":{"$ref":"#/components/schemas/WhatsappInteraction"}}}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/users/{id}/teams":{"get":{"summary":"Gets a user Teams list by user id","security":[{"BearerAuth":[]}],"operationId":"getTeamss","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"responses":{"200":{"description":"user Teams list result","content":{"application/json":{"schema":{"type":"object","properties":{"count":{"type":"integer","description":"results count","default":0},"rows":{"description":"user Teams list","type":"array","items":{"$ref":"#/components/schemas/Team"}}}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add teams to an agent","security":[{"BearerAuth":[]}],"operationId":"postPause","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"requestBody":{"required":true,"description":"teams to be added","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"responses":{"201":{"description":"teams added successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Remove one or more teams from an agent","security":[{"BearerAuth":[]}],"operationId":"deleteUserTeams","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"},{"in":"query","name":"ids","allowReserved":true,"style":"form","explode":true,"schema":{"type":"string"},"description":"ids of the teams to be removed","examples":{"fields":{"value":"1,2,3","summary":"remove teams with ids=1, ids=2 and ids=3"}}}],"responses":{"204":{"description":"teams deleted successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"one or more records not found"}}}},"/users/{id}/lists":{"get":{"summary":"Gets a user CmList list by user id","security":[{"BearerAuth":[]}],"operationId":"getCmLists","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"responses":{"200":{"description":"user CmList list result","content":{"application/json":{"schema":{"type":"object","properties":{"count":{"type":"integer","description":"results count","default":0},"rows":{"description":"user CmList list","type":"array","items":{"$ref":"#/components/schemas/CmList"}}}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/users/{id}/agents":{"get":{"summary":"Gets a user Agents list by user id","security":[{"BearerAuth":[]}],"operationId":"getAgents","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"responses":{"200":{"description":"user Agents list result","content":{"application/json":{"schema":{"type":"object","properties":{"count":{"type":"integer","description":"results count","default":0},"rows":{"description":"user Agents list","type":"array","items":{"$ref":"#/components/schemas/User"}}}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/users/{id}/prefixes":{"get":{"summary":"Gets a user VoicePrefix list by user id","security":[{"BearerAuth":[]}],"operationId":"getVoicePrefixes","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"responses":{"200":{"description":"user VoicePrefix list result","content":{"application/json":{"schema":{"type":"object","properties":{"count":{"type":"integer","description":"results count","default":0},"rows":{"description":"user VoicePrefix list","type":"array","items":{"$ref":"#/components/schemas/VoicePrefix"}}}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/users/{id}/fax_accounts":{"get":{"summary":"Gets a user FaxAccount list by user id","security":[{"BearerAuth":[]}],"operationId":"getFaxAccounts","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"responses":{"200":{"description":"user FaxAccount list result","content":{"application/json":{"schema":{"type":"object","properties":{"count":{"type":"integer","description":"results count","default":0},"rows":{"description":"user FaxAccount list","type":"array","items":{"$ref":"#/components/schemas/FaxAccount"}}}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add a Fax Account to a user","security":[{"BearerAuth":[]}],"operationId":"postUserFaxAccounts","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"requestBody":{"required":true,"description":"fax accounts to be added","content":{"application/json":{"schema":{"type":"object","properties":{"ids":{"description":"fax accounts identifiers","type":"array","items":{"type":"integer"}}},"required":["ids"]}}}},"responses":{"201":{"description":"fax accounts added successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Remove fax accounts from an agent","security":[{"BearerAuth":[]}],"operationId":"deleteUserFaxAccounts","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"},{"in":"query","name":"ids","allowReserved":true,"style":"form","explode":true,"schema":{"type":"string"},"description":"ids of the fax accounts to be removed","examples":{"fields":{"value":"1,2,3","summary":"remove fax accounts with ids=1, ids=2 and ids=3"}}}],"responses":{"204":{"description":"fax accounts deleted successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"one or more records not found"}}}},"/users/{id}/mail_accounts":{"get":{"summary":"Gets a user MailAccount list by user id","security":[{"BearerAuth":[]}],"operationId":"getMailAccounts","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"responses":{"200":{"description":"user MailAccount list result","content":{"application/json":{"schema":{"type":"object","properties":{"count":{"type":"integer","description":"results count","default":0},"rows":{"description":"user MailAccount list","type":"array","items":{"$ref":"#/components/schemas/MailAccount"}}}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add a mail Account to a user","security":[{"BearerAuth":[]}],"operationId":"postUserMailAccounts","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"requestBody":{"required":true,"description":"mail accounts to be added","content":{"application/json":{"schema":{"type":"object","properties":{"ids":{"description":"mail accounts identifiers","type":"array","items":{"type":"integer"}}},"required":["ids"]}}}},"responses":{"201":{"description":"mail accounts added successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Remove mail accounts from an agent","security":[{"BearerAuth":[]}],"operationId":"deleteUserMailAccounts","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"},{"in":"query","name":"ids","allowReserved":true,"style":"form","explode":true,"schema":{"type":"string"},"description":"ids of the mail accounts to be removed","examples":{"fields":{"value":"1,2,3","summary":"remove mail accounts with ids=1, ids=2 and ids=3"}}}],"responses":{"204":{"description":"mail accounts deleted successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"one or more records not found"}}}},"/users/{id}/openchannel_accounts":{"get":{"summary":"Gets a user OpenchannelAccount list by user id","security":[{"BearerAuth":[]}],"operationId":"getOpenchannelAccounts","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"responses":{"200":{"description":"user OpenchannelAccount list result","content":{"application/json":{"schema":{"type":"object","properties":{"count":{"type":"integer","description":"results count","default":0},"rows":{"description":"user OpenchannelAccount list","type":"array","items":{"$ref":"#/components/schemas/OpenchannelAccount"}}}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add a openchannel Account to a user","security":[{"BearerAuth":[]}],"operationId":"postUserOpenchannelAccounts","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"requestBody":{"required":true,"description":"openchannel accounts to be added","content":{"application/json":{"schema":{"type":"object","properties":{"ids":{"description":"openchannel accounts identifiers","type":"array","items":{"type":"integer"}}},"required":["ids"]}}}},"responses":{"201":{"description":"openchannel accounts added successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Remove openchannel accounts from an agent","security":[{"BearerAuth":[]}],"operationId":"deleteUserOpenchannelAccounts","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"},{"in":"query","name":"ids","allowReserved":true,"style":"form","explode":true,"schema":{"type":"string"},"description":"ids of the openchannel accounts to be removed","examples":{"fields":{"value":"1,2,3","summary":"remove openchannel accounts with ids=1, ids=2 and ids=3"}}}],"responses":{"204":{"description":"openchannel accounts deleted successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"one or more records not found"}}}},"/users/{id}/sms_accounts":{"get":{"summary":"Gets a user SmsAccount list by user id","security":[{"BearerAuth":[]}],"operationId":"getSmsAccounts","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"responses":{"200":{"description":"user SmsAccount list result","content":{"application/json":{"schema":{"type":"object","properties":{"count":{"type":"integer","description":"results count","default":0},"rows":{"description":"user SmsAccount list","type":"array","items":{"$ref":"#/components/schemas/SmsAccount"}}}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add a sms Account to a user","security":[{"BearerAuth":[]}],"operationId":"postUserSmsAccounts","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"requestBody":{"required":true,"description":"sms accounts to be added","content":{"application/json":{"schema":{"type":"object","properties":{"ids":{"description":"sms accounts identifiers","type":"array","items":{"type":"integer"}}},"required":["ids"]}}}},"responses":{"201":{"description":"sms accounts added successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Remove sms accounts from an agent","security":[{"BearerAuth":[]}],"operationId":"deleteUserSmsAccounts","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"},{"in":"query","name":"ids","allowReserved":true,"style":"form","explode":true,"schema":{"type":"string"},"description":"ids of the sms accounts to be removed","examples":{"fields":{"value":"1,2,3","summary":"remove sms accounts with ids=1, ids=2 and ids=3"}}}],"responses":{"204":{"description":"sms accounts deleted successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"one or more records not found"}}}},"/users/{id}/chat_websites":{"get":{"summary":"Gets a user ChatWebsite list by user id","security":[{"BearerAuth":[]}],"operationId":"getChatWebsites","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"responses":{"200":{"description":"user ChatWebsite list result","content":{"application/json":{"schema":{"type":"object","properties":{"count":{"type":"integer","description":"results count","default":0},"rows":{"description":"user ChatWebsite list","type":"array","items":{"$ref":"#/components/schemas/ChatWebsite"}}}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add a Chat Website to a user","security":[{"BearerAuth":[]}],"operationId":"postUserChatWebsites","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"requestBody":{"required":true,"description":"chat websites to be added","content":{"application/json":{"schema":{"type":"object","properties":{"ids":{"description":"chat websites identifiers","type":"array","items":{"type":"integer"}}},"required":["ids"]}}}},"responses":{"201":{"description":"chat websites added successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Remove chat websites from an agent","security":[{"BearerAuth":[]}],"operationId":"deleteUserChatWebsites","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"},{"in":"query","name":"ids","allowReserved":true,"style":"form","explode":true,"schema":{"type":"string"},"description":"ids of the chat websites to be removed","examples":{"fields":{"value":"1,2,3","summary":"remove chat websites with ids=1, ids=2 and ids=3"}}}],"responses":{"204":{"description":"chat websites deleted successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"one or more records not found"}}}},"/users/{id}/whatsapp_accounts":{"get":{"summary":"Gets a user WhatsappAccount list by user id","security":[{"BearerAuth":[]}],"operationId":"getWhatsappAccounts","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"responses":{"200":{"description":"user WhatsappAccount list result","content":{"application/json":{"schema":{"type":"object","properties":{"count":{"type":"integer","description":"results count","default":0},"rows":{"description":"user WhatsappAccount list","type":"array","items":{"$ref":"#/components/schemas/WhatsappAccount"}}}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add a whatsapp Account to a user","security":[{"BearerAuth":[]}],"operationId":"postUserWhatsappAccounts","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"requestBody":{"required":true,"description":"whatsapp accounts to be added","content":{"application/json":{"schema":{"type":"object","properties":{"ids":{"description":"whatsapp accounts identifiers","type":"array","items":{"type":"integer"}}},"required":["ids"]}}}},"responses":{"201":{"description":"whatsapp accounts added successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Remove whatsapp accounts from an agent","security":[{"BearerAuth":[]}],"operationId":"deleteUserWhatsappAccounts","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"},{"in":"query","name":"ids","allowReserved":true,"style":"form","explode":true,"schema":{"type":"string"},"description":"ids of the whatsapp accounts to be removed","examples":{"fields":{"value":"1,2,3","summary":"remove whatsapp accounts with ids=1, ids=2 and ids=3"}}}],"responses":{"204":{"description":"whatsapp accounts deleted successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"one or more records not found"}}}},"/users/{id}/square_projects":{"get":{"summary":"Gets a user SquareProject list by user id","security":[{"BearerAuth":[]}],"operationId":"getSquareProjects","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"responses":{"200":{"description":"user SquareProject list result","content":{"application/json":{"schema":{"type":"object","properties":{"count":{"type":"integer","description":"results count","default":0},"rows":{"description":"user SquareProject list","type":"array","items":{"$ref":"#/components/schemas/SquareProject"}}}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add a Square Project to a user","security":[{"BearerAuth":[]}],"operationId":"postUserSquareProject","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"requestBody":{"required":true,"description":"square project to be added","content":{"application/json":{"schema":{"type":"object","properties":{"ids":{"description":"square project identifiers","type":"array","items":{"type":"integer"}}},"required":["ids"]}}}},"responses":{"201":{"description":"square project added successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Remove one or more Square Project from a user","security":[{"BearerAuth":[]}],"operationId":"deleteSquareProjects","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"},{"in":"query","name":"ids","allowReserved":true,"style":"form","explode":true,"schema":{"type":"string"},"description":"ids of the square project to be removed","examples":{"fields":{"value":"1,2,3","summary":"remove square project with ids=1, ids=2 and ids=3"}}}],"responses":{"204":{"description":"square project deleted successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"one or more records not found"}}}},"/users/{id}/scheduled_calls":{"get":{"summary":"Gets a user scheduled calls list by user id","security":[{"BearerAuth":[]}],"operationId":"getScheduledCalls","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"responses":{"200":{"description":"user scheduled calls list result","content":{"application/json":{"schema":{"type":"object","properties":{"count":{"type":"integer","description":"results count","default":0},"rows":{"description":"user scheduled calls list","type":"array","items":{"type":"object"}}}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/users/{id}/api_key":{"get":{"summary":"Gets a user api key by user id","security":[{"BearerAuth":[]}],"operationId":"getApiKey","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"responses":{"200":{"description":"user api keys list result","content":{"application/json":{"schema":{"type":"object","properties":{"api_key":{"type":"string","description":"api key token"}}}}}},"204":{"description":"No API access key found!","content":{"application/json":{"schema":{"type":"object","properties":{"message":{"type":"string","description":"error message","default":"No API access key found!"}}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Create a new API access key for the user","security":[{"BearerAuth":[]}],"operationId":"postCreateApiKey","parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"tags":["Users"],"responses":{"201":{"description":"the api key that has been created","content":{"application/json":{"schema":{"type":"object","properties":{"api_key":{"type":"string","description":"api key token"}}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Remove API access key for the user","security":[{"BearerAuth":[]}],"operationId":"deleteUserApiKey","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"responses":{"204":{"description":"user apikey deleted successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"user not found"}}}},"/users/create_many":{"post":{"summary":"Create several Users","security":[{"BearerAuth":[]}],"operationId":"postCreateUsers","tags":["Users"],"requestBody":{"required":true,"description":"users to be created","content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/User"}}}}},"responses":{"201":{"description":"users created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/users/{id}/login":{"post":{"summary":"perform SIP Login","security":[{"BearerAuth":[]}],"operationId":"postSipLogin","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"requestBody":{"required":true,"description":"Login","content":{"application/json":{"schema":{"type":"object"}}}},"responses":{"201":{"description":"SIP Login success"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/users/{id}/logout":{"post":{"summary":"perform SIP logout","security":[{"BearerAuth":[]}],"operationId":"postSipLogout","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"responses":{"201":{"description":"SIP logout success"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/users/{id}/pause":{"post":{"summary":"set pause status","security":[{"BearerAuth":[]}],"operationId":"postPause","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"requestBody":{"required":true,"description":"pause payload","content":{"application/json":{"schema":{"type":"object"}}}},"responses":{"201":{"description":"status updated successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/users/{id}/unpause":{"post":{"summary":"unset pause status","security":[{"BearerAuth":[]}],"operationId":"postUnpause","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"requestBody":{"required":true,"description":"unpause payload","content":{"application/json":{"schema":{"type":"object"}}}},"responses":{"201":{"description":"status updated successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/users/{id}/chat_interactions":{"post":{"summary":"Add chat interaction tabs to an agent","security":[{"BearerAuth":[]}],"operationId":"postUserChatInteraction","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"requestBody":{"required":true,"description":"chat interaction tabs","content":{"application/json":{"schema":{"type":"object","properties":{"ids":{"description":"chat interactions identifiers","type":"array","items":{"type":"integer"}}},"required":["ids"]}}}},"responses":{"201":{"description":"chat interaction tabs added successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Remove chat interactions from an agent","security":[{"BearerAuth":[]}],"operationId":"deleteUserChatInteractions","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"},{"in":"query","name":"ids","allowReserved":true,"style":"form","explode":true,"schema":{"type":"string"},"description":"ids of the chat interactions to be removed","examples":{"fields":{"value":"1,2,3","summary":"remove chat interactions with ids=1, ids=2 and ids=3"}}}],"responses":{"204":{"description":"chat interactions deleted successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"one or more records not found"}}}},"/users/{id}/mail_interactions":{"post":{"summary":"Add mail interaction tabs to an agent","security":[{"BearerAuth":[]}],"operationId":"postUserMailInteraction","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"requestBody":{"required":true,"description":"mail interaction tabs","content":{"application/json":{"schema":{"type":"object","properties":{"ids":{"description":"mail interactions identifiers","type":"array","items":{"type":"integer"}}},"required":["ids"]}}}},"responses":{"201":{"description":"mail interaction tabs added successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Remove mail interactions from an agent","security":[{"BearerAuth":[]}],"operationId":"deleteUserMailInteractions","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"},{"in":"query","name":"ids","allowReserved":true,"style":"form","explode":true,"schema":{"type":"string"},"description":"ids of the mail interactions to be removed","examples":{"fields":{"value":"1,2,3","summary":"remove mail interactions with ids=1, ids=2 and ids=3"}}}],"responses":{"204":{"description":"mail interactions deleted successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"one or more records not found"}}}},"/users/{id}/fax_interactions":{"post":{"summary":"Add fax interaction tabs to an agent","security":[{"BearerAuth":[]}],"operationId":"postUserFaxInteraction","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"requestBody":{"required":true,"description":"fax interaction tabs","content":{"application/json":{"schema":{"type":"object","properties":{"ids":{"description":"fax interactions identifiers","type":"array","items":{"type":"integer"}}},"required":["ids"]}}}},"responses":{"201":{"description":"fax interaction tabs added successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Remove fax interactions from an agent","security":[{"BearerAuth":[]}],"operationId":"deleteUserFaxInteractions","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"},{"in":"query","name":"ids","allowReserved":true,"style":"form","explode":true,"schema":{"type":"string"},"description":"ids of the fax interactions to be removed","examples":{"fields":{"value":"1,2,3","summary":"remove fax interactions with ids=1, ids=2 and ids=3"}}}],"responses":{"204":{"description":"fax interactions deleted successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"one or more records not found"}}}},"/users/{id}/sms_interactions":{"post":{"summary":"Add sms interaction tabs to an agent","security":[{"BearerAuth":[]}],"operationId":"postUserSmsInteraction","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"requestBody":{"required":true,"description":"sms interaction tabs","content":{"application/json":{"schema":{"type":"object","properties":{"ids":{"description":"sms interactions identifiers","type":"array","items":{"type":"integer"}}},"required":["ids"]}}}},"responses":{"201":{"description":"sms interaction tabs added successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Remove sms_interactions from an agent","security":[{"BearerAuth":[]}],"operationId":"deleteUserSmsInteractions","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"},{"in":"query","name":"ids","allowReserved":true,"style":"form","explode":true,"schema":{"type":"string"},"description":"ids of the sms interactions to be removed","examples":{"fields":{"value":"1,2,3","summary":"remove sms interactions with ids=1, ids=2 and ids=3"}}}],"responses":{"204":{"description":"sms interactions deleted successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"one or more records not found"}}}},"/users/{id}/openchannel_interactions":{"post":{"summary":"Add openchannel interaction tabs to an agent","security":[{"BearerAuth":[]}],"operationId":"postUserOpenchannelInteraction","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"requestBody":{"required":true,"description":"openchannel interaction tabs","content":{"application/json":{"schema":{"type":"object","properties":{"ids":{"description":"openchannel interactions identifiers","type":"array","items":{"type":"integer"}}},"required":["ids"]}}}},"responses":{"201":{"description":"openchannel interaction tabs added successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Remove openchannel interactions from an agent","security":[{"BearerAuth":[]}],"operationId":"deleteUserOpenchannelInteractions","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"},{"in":"query","name":"ids","allowReserved":true,"style":"form","explode":true,"schema":{"type":"string"},"description":"ids of the openchannel interactions to be removed","examples":{"fields":{"value":"1,2,3","summary":"remove openchannel interactions with ids=1, ids=2 and ids=3"}}}],"responses":{"204":{"description":"openchannel interactions deleted successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"one or more records not found"}}}},"/users/{id}/whatsapp_interactions":{"post":{"summary":"Add whatsapp interaction tabs to an agent","security":[{"BearerAuth":[]}],"operationId":"postUserWhatsappInteraction","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"requestBody":{"required":true,"description":"whatsapp interaction tabs","content":{"application/json":{"schema":{"type":"object","properties":{"ids":{"description":"whatsapp interactions identifiers","type":"array","items":{"type":"integer"}}},"required":["ids"]}}}},"responses":{"201":{"description":"whatsapp interaction tabs added successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Remove whatsapp_interactions from an agent","security":[{"BearerAuth":[]}],"operationId":"deleteUserWhatsappInteractions","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"},{"in":"query","name":"ids","allowReserved":true,"style":"form","explode":true,"schema":{"type":"string"},"description":"ids of the whatsapp interactions to be removed","examples":{"fields":{"value":"1,2,3","summary":"remove whatsapp interactions with ids=1, ids=2 and ids=3"}}}],"responses":{"204":{"description":"whatsapp interactions deleted successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"one or more records not found"}}}},"/users/{id}/password":{"put":{"summary":"Update an existing User","security":[{"BearerAuth":[]}],"operationId":"putUpdateUser","tags":["Users"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the user"}],"requestBody":{"required":true,"description":"old password and new password","content":{"application/json":{"schema":{"type":"object","properties":{"oldPassword":{"type":"string","format":"password","description":"old password"},"newPassword":{"type":"string","format":"password","description":"new password"}},"required":["oldPassword","newPassword"]}}}},"responses":{"200":{"description":"user password updated"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/userProfiles":{"get":{"summary":"Gets a list of User Profiles","security":[{"BearerAuth":[]}],"operationId":"listAllUserProfile","tags":["User Profiles"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each UserProfile"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of User Profiles","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/UserProfile"}}}}},"206":{"description":"Partial (paged) collection of User Profiles","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/UserProfile"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new User Profile","security":[{"BearerAuth":[]}],"operationId":"createUserProfile","tags":["User Profiles"],"requestBody":{"required":true,"description":"data for creating a new User Profile","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"crudPermissions":{"type":"string","default":"r"},"description":{"type":"string"}},"required":["name","crudPermissions"]}}}},"responses":{"201":{"description":"UserProfile successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/UserProfile"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/userProfiles/describe":{"get":{"summary":"Gets table info about User Profiles","security":[{"BearerAuth":[]}],"operationId":"describeUserProfile","tags":["User Profiles"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/userProfiles/{id}":{"get":{"summary":"Gets a single User Profile","security":[{"BearerAuth":[]}],"operationId":"getUserProfileById","tags":["User Profiles"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the UserProfile to get"}],"responses":{"200":{"description":"UserProfile with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/UserProfile"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"UserProfile not found"}}},"put":{"summary":"Update an existing User Profile","security":[{"BearerAuth":[]}],"operationId":"updateUserProfileById","tags":["User Profiles"],"requestBody":{"required":true,"description":"data for updating a new User Profile","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"crudPermissions":{"type":"string","default":"r"},"description":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the UserProfile to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"UserProfile not found"}}}},"/userProfiles/{id}/sections":{"get":{"summary":"Get sections associated to a User Profile","security":[{"BearerAuth":[]}],"operationId":"getSections","tags":["User Profiles"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the UserProfile"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add sections' permissions to User Profile","security":[{"BearerAuth":[]}],"operationId":"addSections","tags":["User Profiles"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the UserProfile"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes sections' permissions from User Profile","security":[{"BearerAuth":[]}],"operationId":"removeSections","tags":["User Profiles"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the UserProfile"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/userProfiles/{id}/resources":{"get":{"summary":"Get Resources assigned to a Section","security":[{"BearerAuth":[]}],"operationId":"getResources","tags":["User Profiles"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the UserProfile"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add resources' permissions to User Profile","security":[{"BearerAuth":[]}],"operationId":"addResources","tags":["User Profiles"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the UserProfile"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes resources' permissions from User Profile","security":[{"BearerAuth":[]}],"operationId":"removeResources","tags":["User Profiles"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the UserProfile"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/userProfiles/clone":{"post":{"summary":"Clone an existing User Profile","security":[{"BearerAuth":[]}],"operationId":"cloneUserProfile","tags":["User Profiles"],"requestBody":{"required":true,"description":"data for creating a new User Profile","content":{"application/json":{"schema":{"type":"object","properties":{"id":{"type":"integer","description":"id of the User Profile to clone"},"name":{"type":"string"},"crudPermissions":{"type":"string","default":"r"},"description":{"type":"string"}},"required":["id"]}}}},"responses":{"201":{"description":"UserProfile successfully cloned. Returns the cloned object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/UserProfile"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/userProfiles/{id}/destroy_many":{"delete":{"summary":"Destroy an existing User Profile","security":[{"BearerAuth":[]}],"operationId":"deleteUserProfileById","tags":["User Profiles"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the UserProfile to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"UserProfile not found"}}}},"/userProfile/resources":{"get":{"summary":"Gets a list of User Profile Resources","security":[{"BearerAuth":[]}],"operationId":"listAllUserProfileResource","tags":["User Profile Resources"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each UserProfileResource"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of User Profile Resources","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/UserProfileResource"}}}}},"206":{"description":"Partial (paged) collection of User Profile Resources","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/UserProfileResource"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new User Profile Resource","security":[{"BearerAuth":[]}],"operationId":"createUserProfileResource","tags":["User Profile Resources"],"requestBody":{"required":true,"description":"data for creating a new User Profile Resource","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"resourceId":{"type":"integer"},"type":{"type":"string"}},"required":["name","resourceId","type"]}}}},"responses":{"201":{"description":"UserProfileResource successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/UserProfileResource"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/userProfile/resources/describe":{"get":{"summary":"Gets table info about User Profile Resources","security":[{"BearerAuth":[]}],"operationId":"describeUserProfileResource","tags":["User Profile Resources"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/userProfile/resources/{id}":{"get":{"summary":"Gets a single User Profile Resource","security":[{"BearerAuth":[]}],"operationId":"getUserProfileResourceById","tags":["User Profile Resources"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the UserProfileResource to get"}],"responses":{"200":{"description":"UserProfileResource with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/UserProfileResource"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"UserProfileResource not found"}}},"put":{"summary":"Update an existing User Profile Resource","security":[{"BearerAuth":[]}],"operationId":"updateUserProfileResourceById","tags":["User Profile Resources"],"requestBody":{"required":true,"description":"data for updating a new User Profile Resource","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"resourceId":{"type":"integer"},"type":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the UserProfileResource to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"UserProfileResource not found"}}}},"/userProfile/resources/{id}/destroy_many":{"delete":{"summary":"Destroy an existing User Profile Resource","security":[{"BearerAuth":[]}],"operationId":"deleteUserProfileResourceById","tags":["User Profile Resources"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the UserProfileResource to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"UserProfileResource not found"}}}},"/userProfile/sections":{"get":{"summary":"Gets a list of User Profile Sections","security":[{"BearerAuth":[]}],"operationId":"listAllUserProfileSection","tags":["User Profile Sections"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each UserProfileSection"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of User Profile Sections","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/UserProfileSection"}}}}},"206":{"description":"Partial (paged) collection of User Profile Sections","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/UserProfileSection"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new User Profile Section","security":[{"BearerAuth":[]}],"operationId":"createUserProfileSection","tags":["User Profile Sections"],"requestBody":{"required":true,"description":"data for creating a new User Profile Section","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"category":{"type":"string"},"sectionId":{"type":"integer"},"enabled":{"type":"boolean","default":null},"autoAssociation":{"type":"boolean","default":null},"crudPermissions":{"type":"string"}},"required":["name","category","sectionId"]}}}},"responses":{"201":{"description":"UserProfileSection successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/UserProfileSection"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/userProfile/sections/describe":{"get":{"summary":"Gets table info about User Profile Sections","security":[{"BearerAuth":[]}],"operationId":"describeUserProfileSection","tags":["User Profile Sections"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/userProfile/sections/{id}":{"get":{"summary":"Gets a single User Profile Section","security":[{"BearerAuth":[]}],"operationId":"getUserProfileSectionById","tags":["User Profile Sections"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the UserProfileSection to get"}],"responses":{"200":{"description":"UserProfileSection with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/UserProfileSection"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"UserProfileSection not found"}}},"put":{"summary":"Update an existing User Profile Section","security":[{"BearerAuth":[]}],"operationId":"updateUserProfileSectionById","tags":["User Profile Sections"],"requestBody":{"required":true,"description":"data for updating a new User Profile Section","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"category":{"type":"string"},"sectionId":{"type":"integer"},"enabled":{"type":"boolean","default":null},"autoAssociation":{"type":"boolean","default":null},"crudPermissions":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the UserProfileSection to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"UserProfileSection not found"}}}},"/userProfile/sections/{id}/destroy_many":{"delete":{"summary":"Destroy an existing User Profile Section","security":[{"BearerAuth":[]}],"operationId":"deleteUserProfileSectionById","tags":["User Profile Sections"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the UserProfileSection to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"UserProfileSection not found"}}}},"/variables":{"get":{"summary":"Gets a list of Variables","security":[{"BearerAuth":[]}],"operationId":"listAllVariable","tags":["Variables"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each Variable"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Variables","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Variable"}}}}},"206":{"description":"Partial (paged) collection of Variables","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/Variable"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Variable","security":[{"BearerAuth":[]}],"operationId":"createVariable","tags":["Variables"],"requestBody":{"required":true,"description":"data for creating a new Variable","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"}},"required":["name"]}}}},"responses":{"201":{"description":"Variable successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Variable"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/variables/{id}":{"get":{"summary":"Gets a single Variable","security":[{"BearerAuth":[]}],"operationId":"getVariableById","tags":["Variables"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Variable to get"}],"responses":{"200":{"description":"Variable with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/Variable"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Variable not found"}}},"put":{"summary":"Update an existing Variable","security":[{"BearerAuth":[]}],"operationId":"updateVariableById","tags":["Variables"],"requestBody":{"required":true,"description":"data for updating a new Variable","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"description":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Variable to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Variable not found"}}}},"/variables/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Variable","security":[{"BearerAuth":[]}],"operationId":"deleteVariableById","tags":["Variables"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the Variable to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"Variable not found"}}}},"/version":{"get":{"summary":"Gets version","operationId":"getVersion","tags":["Version"],"responses":{"200":{"description":"full server version infomation","content":{"application/json":{"schema":{"type":"object","properties":{"current":{"type":"string","description":"current product version (semver)"},"phonebar":{"type":"string","description":"current phonebar version (semver)"},"shortHash":{"type":"string","description":"latest server git sha hash"},"branch":{"type":"string","description":"server git branch"},"tag":{"type":"string","description":"server git tag (s)"},"committedOn":{"type":"string","format":"date","description":"last commit date"},"buildDate":{"type":"string","format":"date","description":"buildDate date"}},"required":["current","phonebar","shortHash","branch","tag","committedOn","buildDate"]}}}}}}},"/version/fetch":{"get":{"summary":"Fetch git version","security":[{"BearerAuth":[]}],"operationId":"fetchVersion","tags":["Version"],"responses":{"200":{"description":"operation successfull","content":{"application/json":{"schema":{"type":"object","properties":{"output":{"type":"string","default":"git fetch origin master","description":"current output"}},"required":["output"]}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/version/reset":{"get":{"summary":"Reset git version","security":[{"BearerAuth":[]}],"operationId":"resetVersion","tags":["Version"],"responses":{"200":{"description":"operation successfull","content":{"application/json":{"schema":{"type":"object","properties":{"output":{"type":"string","default":"git reset --hard","description":"current output"}},"required":["output"]}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/version/pull":{"get":{"summary":"Pull git version","security":[{"BearerAuth":[]}],"operationId":"pullVersion","tags":["Version"],"responses":{"200":{"description":"operation successfull","content":{"application/json":{"schema":{"type":"object","properties":{"output":{"type":"string","description":"current output"}},"required":["output"]}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/version/restart":{"get":{"summary":"Restart motion2 after update","security":[{"BearerAuth":[]}],"operationId":"restartVersion","tags":["Version"],"responses":{"200":{"description":"operation successfull","content":{"application/json":{"schema":{"type":"object","properties":{"output":{"type":"string","default":"Your system has been restarted successfully","description":"current output"}},"required":["output"]}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/version/migrations":{"get":{"summary":"Launch database migrations","security":[{"BearerAuth":[]}],"operationId":"migrateVersion","tags":["Version"],"responses":{"200":{"description":"operation successfull","content":{"application/json":{"schema":{"type":"object","properties":{"output":{"type":"string","default":"Database already up-to-date","description":"current output"},"migrations":{"type":"array","items":{"type":"string"},"description":"migrations that have been executed (version numbers)"}},"required":["output"]}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/agents/reports":{"get":{"summary":"Gets a list of Agent Reports","security":[{"BearerAuth":[]}],"operationId":"listAllVoiceAgentReport","tags":["Voice Agent Reports"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each VoiceAgentReport"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Agent Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoiceAgentReport"}}}}},"206":{"description":"Partial (paged) collection of Agent Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoiceAgentReport"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/agents/reports/describe":{"get":{"summary":"Gets table info about Agent Reports","security":[{"BearerAuth":[]}],"operationId":"describeVoiceAgentReport","tags":["Voice Agent Reports"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/agents/reports/{id}":{"get":{"summary":"Gets a single Agent Report","security":[{"BearerAuth":[]}],"operationId":"getVoiceAgentReportById","tags":["Voice Agent Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceAgentReport to get"}],"responses":{"200":{"description":"VoiceAgentReport with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VoiceAgentReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VoiceAgentReport not found"}}}},"/voice/calls/reports":{"get":{"summary":"Gets a list of Call Reports","security":[{"BearerAuth":[]}],"operationId":"listAllVoiceCallReport","tags":["Voice Call Reports"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each VoiceCallReport"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Call Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoiceCallReport"}}}}},"206":{"description":"Partial (paged) collection of Call Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoiceCallReport"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/calls/reports/describe":{"get":{"summary":"Gets table info about Call Reports","security":[{"BearerAuth":[]}],"operationId":"describeVoiceCallReport","tags":["Voice Call Reports"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/calls/reports/{id}":{"get":{"summary":"Gets a single Call Report","security":[{"BearerAuth":[]}],"operationId":"getVoiceCallReportById","tags":["Voice Call Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceCallReport to get"}],"responses":{"200":{"description":"VoiceCallReport with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VoiceCallReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VoiceCallReport not found"}}},"put":{"summary":"Update a single cdr","security":[{"BearerAuth":[]}],"operationId":"update","tags":["Voice Call Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceCallReport"}],"responses":{"200":{"description":"successfully updated"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/chanspy":{"get":{"summary":"Gets a list of Voice ChanSpy","security":[{"BearerAuth":[]}],"operationId":"listVoiceChanSpy","tags":["Voice ChanSpy"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each voice chanspy"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Voice ChanSpy","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoiceChanSpy"}}}}},"206":{"description":"Partial (paged) collection of Voice ChanSpy","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoiceChanSpy"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/chanspy/{id}":{"get":{"summary":"Get a ChanSpy by Id","security":[{"BearerAuth":[]}],"operationId":"getChanSpyById","tags":["Voice ChanSpy"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChanSpy"}],"responses":{"200":{"description":"the desired chanspy","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VoiceChanSpy"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"chanspy not found"}}},"post":{"summary":"create a ChanSpy","security":[{"BearerAuth":[]}],"operationId":"createChanSpy","tags":["Voice ChanSpy"],"requestBody":{"required":true,"description":"chanspy data","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string","description":"chanspy name"},"prefix":{"type":"string","description":"chanspy prefix"},"options":{"type":"string","description":"chanspy preoptionsfix"},"auth":{"type":"boolean","description":"chanspy auth"},"password":{"type":"string","format":"password","description":"chanspy password"},"record":{"type":"boolean","description":"chanspy record"},"recordingFormat":{"type":"string","description":"chanspy recordingFormat"},"description":{"type":"string","description":"chanspy description"}},"required":["prefix"]}}}},"responses":{"201":{"description":"successfully created","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VoiceChanSpy"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"chanspy not found"}}},"put":{"summary":"Update a ChanSpy","security":[{"BearerAuth":[]}],"operationId":"updateChanSpyById","tags":["Voice ChanSpy"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChanSpy"}],"requestBody":{"required":true,"description":"chanspy data","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string","description":"chanspy name"},"prefix":{"type":"string","description":"chanspy prefix"},"options":{"type":"string","description":"chanspy preoptionsfix"},"auth":{"type":"boolean","description":"chanspy auth"},"password":{"type":"string","format":"password","description":"chanspy password"},"record":{"type":"boolean","description":"chanspy record"},"recordingFormat":{"type":"string","description":"chanspy recordingFormat"},"description":{"type":"string","description":"chanspy description"}},"required":["prefix"]}}}},"responses":{"200":{"description":"successfully updated"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"chanspy not found"}}},"delete":{"summary":"Delete a ChanSpy","security":[{"BearerAuth":[]}],"operationId":"deleteChanSpyById","tags":["Voice ChanSpy"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the ChanSpy"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"chanspy not found"}}}},"/voice/contexts":{"get":{"summary":"Gets a list of Contexts","security":[{"BearerAuth":[]}],"operationId":"listAllVoiceContext","tags":["Voice Contexts"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each VoiceContext"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Contexts","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoiceContext"}}}}},"206":{"description":"Partial (paged) collection of Contexts","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoiceContext"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/contexts/{id}":{"get":{"summary":"Gets a single Context","security":[{"BearerAuth":[]}],"operationId":"getVoiceContextById","tags":["Voice Contexts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceContext to get"}],"responses":{"200":{"description":"VoiceContext with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VoiceContext"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VoiceContext not found"}}},"put":{"summary":"Update an existing context","security":[{"BearerAuth":[]}],"operationId":"update","tags":["Voice Contexts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceContext"}],"responses":{"200":{"description":"successfully updated"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Deletes a context","security":[{"BearerAuth":[]}],"operationId":"destroy","tags":["Voice Contexts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceContext"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/contexts/":{"post":{"summary":"Create a new context","security":[{"BearerAuth":[]}],"operationId":"create","tags":["Voice Contexts"],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/dials/reports":{"get":{"summary":"Gets a list of Dial Reports","security":[{"BearerAuth":[]}],"operationId":"listAllVoiceDialReport","tags":["Voice Dial Reports"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each VoiceDialReport"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Dial Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoiceDialReport"}}}}},"206":{"description":"Partial (paged) collection of Dial Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoiceDialReport"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/dials/reports/describe":{"get":{"summary":"Gets table info about Dial Reports","security":[{"BearerAuth":[]}],"operationId":"describeVoiceDialReport","tags":["Voice Dial Reports"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/dials/reports/{id}":{"get":{"summary":"Gets a single Dial Report","security":[{"BearerAuth":[]}],"operationId":"getVoiceDialReportById","tags":["Voice Dial Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceDialReport to get"}],"responses":{"200":{"description":"VoiceDialReport with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VoiceDialReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VoiceDialReport not found"}}}},"/voice/extensions":{"get":{"summary":"Gets a list of Extensions","security":[{"BearerAuth":[]}],"operationId":"listAllVoiceExtension","tags":["Voice Extensions"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each VoiceExtension"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Extensions","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoiceExtension"}}}}},"206":{"description":"Partial (paged) collection of Extensions","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoiceExtension"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/extensions/{id}":{"get":{"summary":"Gets a single Extension","security":[{"BearerAuth":[]}],"operationId":"getVoiceExtensionById","tags":["Voice Extensions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceExtension to get"}],"responses":{"200":{"description":"VoiceExtension with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VoiceExtension"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VoiceExtension not found"}}},"put":{"summary":"Update an extension","security":[{"BearerAuth":[]}],"operationId":"update","tags":["Voice Extensions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceExtension"}],"responses":{"200":{"description":"successfully updated"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/extensions/":{"post":{"summary":"Create an extension","security":[{"BearerAuth":[]}],"operationId":"create","tags":["Voice Extensions"],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/extensions/{id}/applications":{"post":{"summary":"Create new applications","security":[{"BearerAuth":[]}],"operationId":"addApplications","tags":["Voice Extensions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceExtension"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/extensions/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Extension","security":[{"BearerAuth":[]}],"operationId":"deleteVoiceExtensionById","tags":["Voice Extensions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceExtension to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VoiceExtension not found"}}}},"/voice/mails":{"get":{"summary":"Gets a list of Mails","security":[{"BearerAuth":[]}],"operationId":"listAllVoiceMail","tags":["Voice Mails"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each VoiceMail"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Mails","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoiceMail"}}}}},"206":{"description":"Partial (paged) collection of Mails","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoiceMail"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Mail","security":[{"BearerAuth":[]}],"operationId":"createVoiceMail","tags":["Voice Mails"],"requestBody":{"required":true,"description":"data for creating a new Mail","content":{"application/json":{"schema":{"type":"object","properties":{"customer_id":{"type":"string"},"context":{"type":"string","default":"from-voicemail"},"mailbox":{"type":"string","default":""},"password":{"type":"string"},"fullname":{"type":"string"},"email":{"type":"string"},"pager":{"type":"string"},"tz":{"type":"string","default":"central"},"attach":{"type":"string","enum":["yes","no"],"default":"yes"},"saycid":{"type":"string","enum":["yes","no"],"default":"yes"},"dialout":{"type":"string"},"callback":{"type":"string"},"review":{"type":"string","enum":["yes","no"],"default":"no"},"operator":{"type":"string","enum":["yes","no"],"default":"no"},"envelope":{"type":"string","enum":["yes","no"],"default":"no"},"sayduration":{"type":"string","enum":["yes","no"],"default":"no"},"saydurationm":{"type":"string","default":1},"sendvoicemail":{"type":"string","enum":["yes","no"],"default":"no"},"delete":{"type":"string","enum":["yes","no"],"default":"no"},"nextaftercmd":{"type":"string","enum":["yes","no"],"default":"yes"},"forcename":{"type":"string","enum":["yes","no"],"default":"no"},"forcegreetings":{"type":"string","enum":["yes","no"],"default":"no"},"hidefromdir":{"type":"string","enum":["yes","no"],"default":"yes"},"stamp":{"type":"string"},"emailsubject":{"type":"string"},"emailbody":{"type":"string"},"maxsecs":{"type":"integer","default":180},"maxmsg":{"type":"integer","default":100},"name":{"type":"string"}},"required":["mailbox","attach","saycid","review","operator","envelope","sayduration","saydurationm","sendvoicemail","delete","nextaftercmd","forcename","forcegreetings","hidefromdir","maxsecs","maxmsg"]}}}},"responses":{"201":{"description":"VoiceMail successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VoiceMail"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/mails/{id}":{"get":{"summary":"Gets a single Mail","security":[{"BearerAuth":[]}],"operationId":"getVoiceMailById","tags":["Voice Mails"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceMail to get"}],"responses":{"200":{"description":"VoiceMail with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VoiceMail"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VoiceMail not found"}}},"put":{"summary":"Update an existing Mail","security":[{"BearerAuth":[]}],"operationId":"updateVoiceMailById","tags":["Voice Mails"],"requestBody":{"required":true,"description":"data for updating a new Mail","content":{"application/json":{"schema":{"type":"object","properties":{"customer_id":{"type":"string"},"context":{"type":"string","default":"from-voicemail"},"mailbox":{"type":"string","default":""},"password":{"type":"string"},"fullname":{"type":"string"},"email":{"type":"string"},"pager":{"type":"string"},"tz":{"type":"string","default":"central"},"attach":{"type":"string","enum":["yes","no"],"default":"yes"},"saycid":{"type":"string","enum":["yes","no"],"default":"yes"},"dialout":{"type":"string"},"callback":{"type":"string"},"review":{"type":"string","enum":["yes","no"],"default":"no"},"operator":{"type":"string","enum":["yes","no"],"default":"no"},"envelope":{"type":"string","enum":["yes","no"],"default":"no"},"sayduration":{"type":"string","enum":["yes","no"],"default":"no"},"saydurationm":{"type":"string","default":1},"sendvoicemail":{"type":"string","enum":["yes","no"],"default":"no"},"delete":{"type":"string","enum":["yes","no"],"default":"no"},"nextaftercmd":{"type":"string","enum":["yes","no"],"default":"yes"},"forcename":{"type":"string","enum":["yes","no"],"default":"no"},"forcegreetings":{"type":"string","enum":["yes","no"],"default":"no"},"hidefromdir":{"type":"string","enum":["yes","no"],"default":"yes"},"stamp":{"type":"string"},"emailsubject":{"type":"string"},"emailbody":{"type":"string"},"maxsecs":{"type":"integer","default":180},"maxmsg":{"type":"integer","default":100},"name":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceMail to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VoiceMail not found"}}}},"/voice/mails/{id}/messages":{"get":{"summary":"Gets voice mail messages","security":[{"BearerAuth":[]}],"operationId":"getMessages","tags":["Voice Mails"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceMail"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/mails/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Mail","security":[{"BearerAuth":[]}],"operationId":"deleteVoiceMailById","tags":["Voice Mails"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceMail to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VoiceMail not found"}}}},"/voice/mails/messages":{"get":{"summary":"Gets a list of Messages","security":[{"BearerAuth":[]}],"operationId":"listAllVoiceMailMessage","tags":["Voice Messages"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each VoiceMailMessage"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Messages","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoiceMailMessage"}}}}},"206":{"description":"Partial (paged) collection of Messages","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoiceMailMessage"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Message","security":[{"BearerAuth":[]}],"operationId":"createVoiceMailMessage","tags":["Voice Messages"],"requestBody":{"required":true,"description":"data for creating a new Message","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"msgnum":{"type":"integer","default":0},"dir":{"type":"string","default":""},"context":{"type":"string","default":""},"macrocontext":{"type":"string","default":""},"callerid":{"type":"string","default":""},"origtime":{"type":"string","default":""},"duration":{"type":"string","default":""},"mailboxuser":{"type":"string","default":""},"mailboxcontext":{"type":"string","default":""},"recording":{"type":"object","default":null},"flag":{"type":"string","default":""},"msg_id":{"type":"string","default":""},"stamp":{"type":"string"}},"required":["msgnum","stamp"]}}}},"responses":{"201":{"description":"VoiceMailMessage successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VoiceMailMessage"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/mails/messages/{id}":{"get":{"summary":"Gets a single Message","security":[{"BearerAuth":[]}],"operationId":"getVoiceMailMessageById","tags":["Voice Messages"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceMailMessage to get"}],"responses":{"200":{"description":"VoiceMailMessage with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VoiceMailMessage"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VoiceMailMessage not found"}}},"put":{"summary":"Update an existing Message","security":[{"BearerAuth":[]}],"operationId":"updateVoiceMailMessageById","tags":["Voice Messages"],"requestBody":{"required":true,"description":"data for updating a new Message","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"msgnum":{"type":"integer","default":0},"dir":{"type":"string","default":""},"context":{"type":"string","default":""},"macrocontext":{"type":"string","default":""},"callerid":{"type":"string","default":""},"origtime":{"type":"string","default":""},"duration":{"type":"string","default":""},"mailboxuser":{"type":"string","default":""},"mailboxcontext":{"type":"string","default":""},"recording":{"type":"object","default":null},"flag":{"type":"string","default":""},"msg_id":{"type":"string","default":""},"stamp":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceMailMessage to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VoiceMailMessage not found"}}}},"/voice/mails/messages/{id}/download":{"get":{"summary":"Download Voice Message","security":[{"BearerAuth":[]}],"operationId":"download","tags":["Voice Messages"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceMailMessage"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/mails/messages/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Message","security":[{"BearerAuth":[]}],"operationId":"deleteVoiceMailMessageById","tags":["Voice Messages"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceMailMessage to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VoiceMailMessage not found"}}}},"/voice/mohs":{"get":{"summary":"Gets a list of Music On Holds","security":[{"BearerAuth":[]}],"operationId":"listAllVoiceMusicOnHold","tags":["Voice MOHs"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each VoiceMusicOnHold"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Music On Holds","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoiceMusicOnHold"}}}}},"206":{"description":"Partial (paged) collection of Music On Holds","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoiceMusicOnHold"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/mohs/{id}":{"get":{"summary":"Gets a single Music On Hold","security":[{"BearerAuth":[]}],"operationId":"getVoiceMusicOnHoldById","tags":["Voice MOHs"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceMusicOnHold to get"}],"responses":{"200":{"description":"VoiceMusicOnHold with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VoiceMusicOnHold"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VoiceMusicOnHold not found"}}},"put":{"summary":"Update an existing Music On Hold","security":[{"BearerAuth":[]}],"operationId":"updateVoiceMusicOnHoldById","tags":["Voice MOHs"],"requestBody":{"required":true,"description":"data for updating a new Music On Hold","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"mode":{"type":"string","enum":["custom","files","mp3nb","quietmp3nb","quietmp3","mp3"],"default":"files"},"directory":{"type":"string"},"application":{"type":"string"},"digit":{"type":"string"},"sort":{"type":"string","default":"alpha"},"format":{"type":"string"},"stamp":{"type":"string"},"defaultEntry":{"type":"boolean","default":0}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceMusicOnHold to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VoiceMusicOnHold not found"}}},"delete":{"summary":"Deletes an MOH","security":[{"BearerAuth":[]}],"operationId":"destroy","tags":["Voice MOHs"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceMusicOnHold"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/mohs/{id}/sounds":{"get":{"summary":"Gets sounds from MOH","security":[{"BearerAuth":[]}],"operationId":"getSounds","tags":["Voice MOHs"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceMusicOnHold"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add sound to MOH","security":[{"BearerAuth":[]}],"operationId":"addSound","tags":["Voice MOHs"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceMusicOnHold"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/mohs/":{"post":{"summary":"Create a new a new MOH","security":[{"BearerAuth":[]}],"operationId":"create","tags":["Voice MOHs"],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/mohs/{id}/sounds/:id2":{"delete":{"summary":"Remove sound from MOH","security":[{"BearerAuth":[]}],"operationId":"removeSound","tags":["Voice MOHs"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceMusicOnHold"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/prefixes":{"get":{"summary":"Gets a list of Prefixes","security":[{"BearerAuth":[]}],"operationId":"listAllVoicePrefix","tags":["Voice Prefixes"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each VoicePrefix"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Prefixes","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoicePrefix"}}}}},"206":{"description":"Partial (paged) collection of Prefixes","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoicePrefix"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/prefixes/describe":{"get":{"summary":"Gets table info about Prefixes","security":[{"BearerAuth":[]}],"operationId":"describeVoicePrefix","tags":["Voice Prefixes"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/prefixes/{id}":{"get":{"summary":"Gets a single Prefix","security":[{"BearerAuth":[]}],"operationId":"getVoicePrefixById","tags":["Voice Prefixes"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoicePrefix to get"}],"responses":{"200":{"description":"VoicePrefix with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VoicePrefix"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VoicePrefix not found"}}},"put":{"summary":"Update an existing prefix","security":[{"BearerAuth":[]}],"operationId":"update","tags":["Voice Prefixes"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoicePrefix"}],"responses":{"200":{"description":"successfully updated"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/prefixes/{id}/users":{"get":{"summary":"Gets agents from prefix","security":[{"BearerAuth":[]}],"operationId":"getAgents","tags":["Voice Prefixes"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoicePrefix"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add agents to a prefix","security":[{"BearerAuth":[]}],"operationId":"addAgents","tags":["Voice Prefixes"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoicePrefix"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes agents from a prefix","security":[{"BearerAuth":[]}],"operationId":"removeAgents","tags":["Voice Prefixes"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoicePrefix"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/prefixes/":{"post":{"summary":"Create a prefix","security":[{"BearerAuth":[]}],"operationId":"create","tags":["Voice Prefixes"],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/prefixes/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Prefix","security":[{"BearerAuth":[]}],"operationId":"deleteVoicePrefixById","tags":["Voice Prefixes"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoicePrefix to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VoicePrefix not found"}}}},"/voice/queues":{"get":{"summary":"Gets a list of Queues","security":[{"BearerAuth":[]}],"operationId":"listAllVoiceQueue","tags":["Voice Queues"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each VoiceQueue"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Queues","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoiceQueue"}}}}},"206":{"description":"Partial (paged) collection of Queues","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoiceQueue"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Queue","security":[{"BearerAuth":[]}],"operationId":"createVoiceQueue","tags":["Voice Queues"],"requestBody":{"required":true,"description":"data for creating a new Queue","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"type":{"type":"string","enum":["inbound","outbound"],"default":"inbound"},"musiconhold":{"type":"string","default":"default"},"announce":{"type":"string","default":""},"strategy":{"type":"string","enum":["rr","ringall","leastrecent","fewestcalls","random","rrmemory","linear","wrandom","rrordered"],"default":"ringall"},"servicelevel":{"type":"integer","default":0},"context":{"type":"string","default":null},"penaltymemberslimit":{"type":"integer"},"timeout":{"type":"integer","default":15},"retry":{"type":"integer","default":2},"timeoutpriority":{"type":"string"},"weight":{"type":"integer","default":0},"wrapuptime":{"type":"integer","default":0},"autofill":{"type":"string","enum":["yes","no"],"default":"yes"},"autopause":{"type":"string","enum":["yes","no","all"],"default":"no"},"autopausedelay":{"type":"integer"},"autopausebusy":{"type":"string","enum":["yes","no"],"default":"no"},"autopauseunavail":{"type":"string","enum":["yes","no"],"default":"no"},"maxlen":{"type":"integer","default":0},"setinterfacevar":{"type":"string","enum":["yes","no"],"default":"no"},"setqueueentryvar":{"type":"string","enum":["yes","no"]},"setqueuevar":{"type":"string","enum":["yes","no"],"default":"no"},"eventmemberstatus":{"type":"string","enum":["yes","no"],"default":"no"},"membermacro":{"type":"string"},"membergosub":{"type":"string"},"announce_frequency":{"type":"integer","default":0},"min_announce_frequency":{"type":"integer","default":0},"periodic_announce_frequency":{"type":"integer","default":0},"random_periodic_announce":{"type":"string","enum":["yes","no"],"default":"no"},"relative_periodic_announce":{"type":"string","enum":["yes","no"],"default":"yes"},"announce_holdtime":{"type":"string","enum":["yes","no","once"],"default":"no"},"announce_position":{"type":"string","default":"no"},"announce_to_first_user":{"type":"string","enum":["yes","no"]},"announce_position_limit":{"type":"integer"},"announce_round_seconds":{"type":"string","enum":["0","5","10","15","20","30"],"default":"0"},"monitor_format":{"type":"string","default":""},"monitor_type":{"type":"string"},"queue_youarenext":{"type":"string","default":null},"queue_thereare":{"type":"string","default":null},"queue_callswaiting":{"type":"string","default":null},"queue_holdtime":{"type":"string","default":null},"queue_minute":{"type":"string","default":null},"queue_minutes":{"type":"string","default":null},"queue_seconds":{"type":"string","default":null},"queue_thankyou":{"type":"string","default":null},"queue_reporthold":{"type":"string"},"queue_quantity1":{"type":"string"},"queue_quantity2":{"type":"string"},"queue_periodic_announce":{"type":"string"},"queue_less_than":{"type":"string"},"periodic_announce":{"type":"string"},"joinempty":{"type":"string","default":"yes"},"leavewhenempty":{"type":"string","default":"no"},"reportholdtime":{"type":"string","enum":["yes","no"],"default":"no"},"ringinuse":{"type":"string","enum":["yes","no"],"default":"no"},"memberdelay":{"type":"integer","default":0},"timeoutrestart":{"type":"string","enum":["yes","no"],"default":"no"},"defaultrule":{"type":"string"},"description":{"type":"string"},"acw":{"type":"boolean","default":0},"acwTimeout":{"type":"integer","default":10},"dialActive":{"type":"boolean","description":"Active/Disactive Campaign","default":0},"dialMethod":{"type":"string","enum":["preview","progressive","power","predictive","booked"],"description":"Dial Method.","default":"progressive"},"dialLimitChannel":{"type":"integer","description":"Max 9999 channels, 0 means unlimited.","default":0},"dialLimitQueue":{"type":"integer","description":"Max 9999 member in queue(min:1, max:9999), 0 means unlimited.","default":0},"dialPowerLevel":{"type":"number","description":"Power Level: Calls for agents (min:1, max:10).","default":1},"dialPredictiveOptimization":{"type":"string","enum":["agentBusyFactor","dropRate"],"description":"Only for predictive method.","default":"dropRate"},"dialPredictiveOptimizationPercentage":{"type":"number","description":"Predictive Optimization Percentage (min: 1, max: 95)","default":3},"dialPredictiveInterval":{"type":"integer","description":"Interval Predictive Minutes (min:5 max:30)","default":10},"dialOriginateCallerIdName":{"type":"string"},"dialOriginateCallerIdNumber":{"type":"string"},"dialOriginateTimeout":{"type":"integer","description":"Originate Timeout Seconds (min:1, max:999)","default":30},"dialQueueOptions":{"type":"string","description":"https://wiki.asterisk.org/wiki/display/AST/Asterisk+13+Application_Queue","default":"tTxX"},"dialQueueTimeout":{"type":"integer","description":"Queue Timeout Seconds (min:1, max:999)","default":3},"dialQueueProject":{"type":"string","description":"AGI queue option (use: agi://127.0.0.1/square,)"},"dialCongestionMaxRetry":{"type":"integer","description":"#Congestion Retry (min:1, max:999)","default":3},"dialCongestionRetryFrequency":{"type":"integer","description":"Congestion Retry Frequency Minutes (min:1, max:99999)","default":150},"dialBusyMaxRetry":{"type":"integer","description":"#Busy Retry (min:1, max:999)","default":3},"dialBusyRetryFrequency":{"type":"integer","description":"Busy Retry Frequency Minutes (min:1, max:99999)","default":150},"dialNoAnswerMaxRetry":{"type":"integer","description":"#NoAnswer Retry (min:1, max:999)","default":3},"dialNoAnswerRetryFrequency":{"type":"integer","description":"NoAnswer Retry Frequency Minutes (min:1, max:99999)","default":150},"dialGlobalMaxRetry":{"type":"integer","description":"#Global Max Retry (min:1, max:999)","default":4},"dialTimezone":{"type":"string","default":null},"dialGlobalInterval":{"type":"string","default":"07:00-22:00,*,*,*"},"dialPrefix":{"type":"string","default":null},"dialCheckDuplicateType":{"type":"string","enum":["always","never","onlyIfOpen"],"default":"always"},"dialAMDActive":{"type":"boolean","description":"Active/Disactive AMD","default":0},"dialAMDInitialSilence":{"type":"integer","description":"#AMD Initial Silence","default":2500},"dialAMDGreeting":{"type":"integer","description":"#AMD Greeting","default":1500},"dialAMDAfterGreetingSilence":{"type":"integer","description":"#AMD After Greeting Silence","default":800},"dialAMDTotalAnalysisTime":{"type":"integer","description":"#AMD Total Analysis Time","default":5000},"dialAMDMinWordLength":{"type":"integer","description":"#AMD Min Word Length","default":100},"dialAMDBetweenWordsSilence":{"type":"integer","description":"#AMD Between Words Silence","default":50},"dialAMDMaximumNumberOfWords":{"type":"integer","description":"#AMD Maximum Number Of Words","default":3},"dialAMDSilenceThreshold":{"type":"integer","description":"#AMD Silence Threshold (min:0, max:32767)","default":256},"dialAMDMaximumWordLength":{"type":"integer","description":"#AMD Maximum Word Length","default":5000},"dialRecallMeTimeout":{"type":"integer","description":"#RecallMe Timeout (min:1)","default":30},"dialRecallInQueue":{"type":"boolean","description":"Active/Disactive Recall In Queue","default":0},"dialOrderByScheduledAt":{"type":"string","enum":["DESC","ASC"],"default":"DESC"},"dialQueueProject2":{"type":"string","description":"AGI queue option (use: agi://127.0.0.1/square,)"},"dialAgiAfterHangupClient":{"type":"boolean","default":false},"dialAgiAfterHangupAgent":{"type":"boolean","default":false},"dialRandomLastDigitCallerIdNumber":{"type":"integer","description":"Random Last Digit (min:1, max:15)","default":0},"dialCutDigit":{"type":"integer","description":"Cut Digit (min:1, max:15)","default":0},"dialNoSuchNumberMaxRetry":{"type":"integer","description":"#NoSuchNumber Retry (min:1, max:999)","default":3},"dialNoSuchNumberRetryFrequency":{"type":"integer","description":"NoSuchNumber Retry Frequency Minutes (min:1, max:99999)","default":150},"dialDropMaxRetry":{"type":"integer","description":"#Drop Retry (min:1, max:999)","default":3},"dialDropRetryFrequency":{"type":"integer","description":"Drop Retry Frequency Minutes (min:1, max:99999)","default":150},"dialAbandonedMaxRetry":{"type":"integer","description":"#Abandoned Retry (min:1, max:999)","default":3},"dialAbandonedRetryFrequency":{"type":"integer","description":"Abandoned Retry Frequency Minutes (min:1, max:99999)","default":150},"dialMachineMaxRetry":{"type":"integer","description":"#Machine Retry (min:1, max:999)","default":3},"dialMachineRetryFrequency":{"type":"integer","description":"Machine Retry Frequency Minutes (min:1, max:99999)","default":150},"dialAgentRejectMaxRetry":{"type":"integer","description":"#AgentReject Retry (min:1, max:999)","default":3},"dialAgentRejectRetryFrequency":{"type":"integer","description":"AgentReject Retry Frequency Minutes (min:1, max:99999)","default":150},"mandatoryDispositionPauseId":{"type":"integer","description":"Status to put when mandatory disposition is enabled"},"mandatoryDisposition":{"type":"boolean","description":"Enabled/disables mandatory dispo on a queue","default":false},"dialPredictiveIntervalMaxThreshold":{"type":"integer","default":20},"dialPredictiveIntervalMinThreshold":{"type":"integer","default":10}},"required":["name","type","strategy"]}}}},"responses":{"201":{"description":"VoiceQueue successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VoiceQueue"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/queues/{id}":{"get":{"summary":"Gets a single Queue","security":[{"BearerAuth":[]}],"operationId":"getVoiceQueueById","tags":["Voice Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceQueue to get"}],"responses":{"200":{"description":"VoiceQueue with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VoiceQueue"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VoiceQueue not found"}}},"put":{"summary":"Update an existing Queue","security":[{"BearerAuth":[]}],"operationId":"updateVoiceQueueById","tags":["Voice Queues"],"requestBody":{"required":true,"description":"data for updating a new Queue","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"type":{"type":"string","enum":["inbound","outbound"],"default":"inbound"},"musiconhold":{"type":"string","default":"default"},"announce":{"type":"string","default":""},"strategy":{"type":"string","enum":["rr","ringall","leastrecent","fewestcalls","random","rrmemory","linear","wrandom","rrordered"],"default":"ringall"},"servicelevel":{"type":"integer","default":0},"context":{"type":"string","default":null},"penaltymemberslimit":{"type":"integer"},"timeout":{"type":"integer","default":15},"retry":{"type":"integer","default":2},"timeoutpriority":{"type":"string"},"weight":{"type":"integer","default":0},"wrapuptime":{"type":"integer","default":0},"autofill":{"type":"string","enum":["yes","no"],"default":"yes"},"autopause":{"type":"string","enum":["yes","no","all"],"default":"no"},"autopausedelay":{"type":"integer"},"autopausebusy":{"type":"string","enum":["yes","no"],"default":"no"},"autopauseunavail":{"type":"string","enum":["yes","no"],"default":"no"},"maxlen":{"type":"integer","default":0},"setinterfacevar":{"type":"string","enum":["yes","no"],"default":"no"},"setqueueentryvar":{"type":"string","enum":["yes","no"]},"setqueuevar":{"type":"string","enum":["yes","no"],"default":"no"},"eventmemberstatus":{"type":"string","enum":["yes","no"],"default":"no"},"membermacro":{"type":"string"},"membergosub":{"type":"string"},"announce_frequency":{"type":"integer","default":0},"min_announce_frequency":{"type":"integer","default":0},"periodic_announce_frequency":{"type":"integer","default":0},"random_periodic_announce":{"type":"string","enum":["yes","no"],"default":"no"},"relative_periodic_announce":{"type":"string","enum":["yes","no"],"default":"yes"},"announce_holdtime":{"type":"string","enum":["yes","no","once"],"default":"no"},"announce_position":{"type":"string","default":"no"},"announce_to_first_user":{"type":"string","enum":["yes","no"]},"announce_position_limit":{"type":"integer"},"announce_round_seconds":{"type":"string","enum":["0","5","10","15","20","30"],"default":"0"},"monitor_format":{"type":"string","default":""},"monitor_type":{"type":"string"},"queue_youarenext":{"type":"string","default":null},"queue_thereare":{"type":"string","default":null},"queue_callswaiting":{"type":"string","default":null},"queue_holdtime":{"type":"string","default":null},"queue_minute":{"type":"string","default":null},"queue_minutes":{"type":"string","default":null},"queue_seconds":{"type":"string","default":null},"queue_thankyou":{"type":"string","default":null},"queue_reporthold":{"type":"string"},"queue_quantity1":{"type":"string"},"queue_quantity2":{"type":"string"},"queue_periodic_announce":{"type":"string"},"queue_less_than":{"type":"string"},"periodic_announce":{"type":"string"},"joinempty":{"type":"string","default":"yes"},"leavewhenempty":{"type":"string","default":"no"},"reportholdtime":{"type":"string","enum":["yes","no"],"default":"no"},"ringinuse":{"type":"string","enum":["yes","no"],"default":"no"},"memberdelay":{"type":"integer","default":0},"timeoutrestart":{"type":"string","enum":["yes","no"],"default":"no"},"defaultrule":{"type":"string"},"description":{"type":"string"},"acw":{"type":"boolean","default":0},"acwTimeout":{"type":"integer","default":10},"dialActive":{"type":"boolean","description":"Active/Disactive Campaign","default":0},"dialMethod":{"type":"string","enum":["preview","progressive","power","predictive","booked"],"description":"Dial Method.","default":"progressive"},"dialLimitChannel":{"type":"integer","description":"Max 9999 channels, 0 means unlimited.","default":0},"dialLimitQueue":{"type":"integer","description":"Max 9999 member in queue(min:1, max:9999), 0 means unlimited.","default":0},"dialPowerLevel":{"type":"number","description":"Power Level: Calls for agents (min:1, max:10).","default":1},"dialPredictiveOptimization":{"type":"string","enum":["agentBusyFactor","dropRate"],"description":"Only for predictive method.","default":"dropRate"},"dialPredictiveOptimizationPercentage":{"type":"number","description":"Predictive Optimization Percentage (min: 1, max: 95)","default":3},"dialPredictiveInterval":{"type":"integer","description":"Interval Predictive Minutes (min:5 max:30)","default":10},"dialOriginateCallerIdName":{"type":"string"},"dialOriginateCallerIdNumber":{"type":"string"},"dialOriginateTimeout":{"type":"integer","description":"Originate Timeout Seconds (min:1, max:999)","default":30},"dialQueueOptions":{"type":"string","description":"https://wiki.asterisk.org/wiki/display/AST/Asterisk+13+Application_Queue","default":"tTxX"},"dialQueueTimeout":{"type":"integer","description":"Queue Timeout Seconds (min:1, max:999)","default":3},"dialQueueProject":{"type":"string","description":"AGI queue option (use: agi://127.0.0.1/square,)"},"dialCongestionMaxRetry":{"type":"integer","description":"#Congestion Retry (min:1, max:999)","default":3},"dialCongestionRetryFrequency":{"type":"integer","description":"Congestion Retry Frequency Minutes (min:1, max:99999)","default":150},"dialBusyMaxRetry":{"type":"integer","description":"#Busy Retry (min:1, max:999)","default":3},"dialBusyRetryFrequency":{"type":"integer","description":"Busy Retry Frequency Minutes (min:1, max:99999)","default":150},"dialNoAnswerMaxRetry":{"type":"integer","description":"#NoAnswer Retry (min:1, max:999)","default":3},"dialNoAnswerRetryFrequency":{"type":"integer","description":"NoAnswer Retry Frequency Minutes (min:1, max:99999)","default":150},"dialGlobalMaxRetry":{"type":"integer","description":"#Global Max Retry (min:1, max:999)","default":4},"dialTimezone":{"type":"string","default":null},"dialGlobalInterval":{"type":"string","default":"07:00-22:00,*,*,*"},"dialPrefix":{"type":"string","default":null},"dialCheckDuplicateType":{"type":"string","enum":["always","never","onlyIfOpen"],"default":"always"},"dialAMDActive":{"type":"boolean","description":"Active/Disactive AMD","default":0},"dialAMDInitialSilence":{"type":"integer","description":"#AMD Initial Silence","default":2500},"dialAMDGreeting":{"type":"integer","description":"#AMD Greeting","default":1500},"dialAMDAfterGreetingSilence":{"type":"integer","description":"#AMD After Greeting Silence","default":800},"dialAMDTotalAnalysisTime":{"type":"integer","description":"#AMD Total Analysis Time","default":5000},"dialAMDMinWordLength":{"type":"integer","description":"#AMD Min Word Length","default":100},"dialAMDBetweenWordsSilence":{"type":"integer","description":"#AMD Between Words Silence","default":50},"dialAMDMaximumNumberOfWords":{"type":"integer","description":"#AMD Maximum Number Of Words","default":3},"dialAMDSilenceThreshold":{"type":"integer","description":"#AMD Silence Threshold (min:0, max:32767)","default":256},"dialAMDMaximumWordLength":{"type":"integer","description":"#AMD Maximum Word Length","default":5000},"dialRecallMeTimeout":{"type":"integer","description":"#RecallMe Timeout (min:1)","default":30},"dialRecallInQueue":{"type":"boolean","description":"Active/Disactive Recall In Queue","default":0},"dialOrderByScheduledAt":{"type":"string","enum":["DESC","ASC"],"default":"DESC"},"dialQueueProject2":{"type":"string","description":"AGI queue option (use: agi://127.0.0.1/square,)"},"dialAgiAfterHangupClient":{"type":"boolean","default":false},"dialAgiAfterHangupAgent":{"type":"boolean","default":false},"dialRandomLastDigitCallerIdNumber":{"type":"integer","description":"Random Last Digit (min:1, max:15)","default":0},"dialCutDigit":{"type":"integer","description":"Cut Digit (min:1, max:15)","default":0},"dialNoSuchNumberMaxRetry":{"type":"integer","description":"#NoSuchNumber Retry (min:1, max:999)","default":3},"dialNoSuchNumberRetryFrequency":{"type":"integer","description":"NoSuchNumber Retry Frequency Minutes (min:1, max:99999)","default":150},"dialDropMaxRetry":{"type":"integer","description":"#Drop Retry (min:1, max:999)","default":3},"dialDropRetryFrequency":{"type":"integer","description":"Drop Retry Frequency Minutes (min:1, max:99999)","default":150},"dialAbandonedMaxRetry":{"type":"integer","description":"#Abandoned Retry (min:1, max:999)","default":3},"dialAbandonedRetryFrequency":{"type":"integer","description":"Abandoned Retry Frequency Minutes (min:1, max:99999)","default":150},"dialMachineMaxRetry":{"type":"integer","description":"#Machine Retry (min:1, max:999)","default":3},"dialMachineRetryFrequency":{"type":"integer","description":"Machine Retry Frequency Minutes (min:1, max:99999)","default":150},"dialAgentRejectMaxRetry":{"type":"integer","description":"#AgentReject Retry (min:1, max:999)","default":3},"dialAgentRejectRetryFrequency":{"type":"integer","description":"AgentReject Retry Frequency Minutes (min:1, max:99999)","default":150},"mandatoryDispositionPauseId":{"type":"integer","description":"Status to put when mandatory disposition is enabled"},"mandatoryDisposition":{"type":"boolean","description":"Enabled/disables mandatory dispo on a queue","default":false},"dialPredictiveIntervalMaxThreshold":{"type":"integer","default":20},"dialPredictiveIntervalMinThreshold":{"type":"integer","default":10}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceQueue to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VoiceQueue not found"}}}},"/voice/queues/{id}/hoppers":{"get":{"summary":"Gets queue hoppers","security":[{"BearerAuth":[]}],"operationId":"getHoppers","tags":["Voice Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceQueue"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/queues/{id}/hopper_histories":{"get":{"summary":"Gets queue hopper histories","security":[{"BearerAuth":[]}],"operationId":"getHopperHistories","tags":["Voice Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceQueue"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/queues/{id}/hopper_finals":{"get":{"summary":"Gets queue hopper finals","security":[{"BearerAuth":[]}],"operationId":"getHopperFinals","tags":["Voice Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceQueue"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/queues/{id}/hopper_black":{"get":{"summary":"Gets queue hopper blacks","security":[{"BearerAuth":[]}],"operationId":"getHopperBlacks","tags":["Voice Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceQueue"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/queues/{id}/teams":{"get":{"summary":"Gets queue team","security":[{"BearerAuth":[]}],"operationId":"getTeams","tags":["Voice Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceQueue"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add teams to queue","security":[{"BearerAuth":[]}],"operationId":"addTeams","tags":["Voice Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceQueue"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Remove teams from a queue","security":[{"BearerAuth":[]}],"operationId":"removeTeams","tags":["Teams"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceQueue"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/queues/{id}/users":{"get":{"summary":"Gets queue agents","security":[{"BearerAuth":[]}],"operationId":"getAgents","tags":["Voice Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceQueue"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add agents to queue","security":[{"BearerAuth":[]}],"operationId":"addAgents","tags":["Voice Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceQueue"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes agents from a queue","security":[{"BearerAuth":[]}],"operationId":"removeAgents","tags":["Voice Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceQueue"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/queues/{id}/members":{"get":{"summary":"Gets queue members","security":[{"BearerAuth":[]}],"operationId":"getMembers","tags":["Voice Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceQueue"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/queues/{id}/lists":{"get":{"summary":"Get queue lists","security":[{"BearerAuth":[]}],"operationId":"getLists","tags":["Voice Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceQueue"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add lists to a queue","security":[{"BearerAuth":[]}],"operationId":"addLists","tags":["Voice Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceQueue"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Remove lists from a queue","security":[{"BearerAuth":[]}],"operationId":"removeLists","tags":["Voice Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceQueue"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/queues/{id}/blacklists":{"get":{"summary":"Get queue blacklists","security":[{"BearerAuth":[]}],"operationId":"getBlackLists","tags":["Voice Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceQueue"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add blacklists to a queue","security":[{"BearerAuth":[]}],"operationId":"addBlackLists","tags":["Voice Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceQueue"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Remove blacklists from a queue","security":[{"BearerAuth":[]}],"operationId":"removeBlackLists","tags":["Voice Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceQueue"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/queues/clone":{"post":{"summary":"Clone an existing Queue","security":[{"BearerAuth":[]}],"operationId":"cloneVoiceQueue","tags":["Voice Queues"],"requestBody":{"required":true,"description":"data for creating a new Queue","content":{"application/json":{"schema":{"type":"object","properties":{"id":{"type":"integer","description":"id of the Queue to clone"},"name":{"type":"string"},"type":{"type":"string","enum":["inbound","outbound"],"default":"inbound"},"musiconhold":{"type":"string","default":"default"},"announce":{"type":"string","default":""},"strategy":{"type":"string","enum":["rr","ringall","leastrecent","fewestcalls","random","rrmemory","linear","wrandom","rrordered"],"default":"ringall"},"servicelevel":{"type":"integer","default":0},"context":{"type":"string","default":null},"penaltymemberslimit":{"type":"integer"},"timeout":{"type":"integer","default":15},"retry":{"type":"integer","default":2},"timeoutpriority":{"type":"string"},"weight":{"type":"integer","default":0},"wrapuptime":{"type":"integer","default":0},"autofill":{"type":"string","enum":["yes","no"],"default":"yes"},"autopause":{"type":"string","enum":["yes","no","all"],"default":"no"},"autopausedelay":{"type":"integer"},"autopausebusy":{"type":"string","enum":["yes","no"],"default":"no"},"autopauseunavail":{"type":"string","enum":["yes","no"],"default":"no"},"maxlen":{"type":"integer","default":0},"setinterfacevar":{"type":"string","enum":["yes","no"],"default":"no"},"setqueueentryvar":{"type":"string","enum":["yes","no"]},"setqueuevar":{"type":"string","enum":["yes","no"],"default":"no"},"eventmemberstatus":{"type":"string","enum":["yes","no"],"default":"no"},"membermacro":{"type":"string"},"membergosub":{"type":"string"},"announce_frequency":{"type":"integer","default":0},"min_announce_frequency":{"type":"integer","default":0},"periodic_announce_frequency":{"type":"integer","default":0},"random_periodic_announce":{"type":"string","enum":["yes","no"],"default":"no"},"relative_periodic_announce":{"type":"string","enum":["yes","no"],"default":"yes"},"announce_holdtime":{"type":"string","enum":["yes","no","once"],"default":"no"},"announce_position":{"type":"string","default":"no"},"announce_to_first_user":{"type":"string","enum":["yes","no"]},"announce_position_limit":{"type":"integer"},"announce_round_seconds":{"type":"string","enum":["0","5","10","15","20","30"],"default":"0"},"monitor_format":{"type":"string","default":""},"monitor_type":{"type":"string"},"queue_youarenext":{"type":"string","default":null},"queue_thereare":{"type":"string","default":null},"queue_callswaiting":{"type":"string","default":null},"queue_holdtime":{"type":"string","default":null},"queue_minute":{"type":"string","default":null},"queue_minutes":{"type":"string","default":null},"queue_seconds":{"type":"string","default":null},"queue_thankyou":{"type":"string","default":null},"queue_reporthold":{"type":"string"},"queue_quantity1":{"type":"string"},"queue_quantity2":{"type":"string"},"queue_periodic_announce":{"type":"string"},"queue_less_than":{"type":"string"},"periodic_announce":{"type":"string"},"joinempty":{"type":"string","default":"yes"},"leavewhenempty":{"type":"string","default":"no"},"reportholdtime":{"type":"string","enum":["yes","no"],"default":"no"},"ringinuse":{"type":"string","enum":["yes","no"],"default":"no"},"memberdelay":{"type":"integer","default":0},"timeoutrestart":{"type":"string","enum":["yes","no"],"default":"no"},"defaultrule":{"type":"string"},"description":{"type":"string"},"acw":{"type":"boolean","default":0},"acwTimeout":{"type":"integer","default":10},"dialActive":{"type":"boolean","description":"Active/Disactive Campaign","default":0},"dialMethod":{"type":"string","enum":["preview","progressive","power","predictive","booked"],"description":"Dial Method.","default":"progressive"},"dialLimitChannel":{"type":"integer","description":"Max 9999 channels, 0 means unlimited.","default":0},"dialLimitQueue":{"type":"integer","description":"Max 9999 member in queue(min:1, max:9999), 0 means unlimited.","default":0},"dialPowerLevel":{"type":"number","description":"Power Level: Calls for agents (min:1, max:10).","default":1},"dialPredictiveOptimization":{"type":"string","enum":["agentBusyFactor","dropRate"],"description":"Only for predictive method.","default":"dropRate"},"dialPredictiveOptimizationPercentage":{"type":"number","description":"Predictive Optimization Percentage (min: 1, max: 95)","default":3},"dialPredictiveInterval":{"type":"integer","description":"Interval Predictive Minutes (min:5 max:30)","default":10},"dialOriginateCallerIdName":{"type":"string"},"dialOriginateCallerIdNumber":{"type":"string"},"dialOriginateTimeout":{"type":"integer","description":"Originate Timeout Seconds (min:1, max:999)","default":30},"dialQueueOptions":{"type":"string","description":"https://wiki.asterisk.org/wiki/display/AST/Asterisk+13+Application_Queue","default":"tTxX"},"dialQueueTimeout":{"type":"integer","description":"Queue Timeout Seconds (min:1, max:999)","default":3},"dialQueueProject":{"type":"string","description":"AGI queue option (use: agi://127.0.0.1/square,)"},"dialCongestionMaxRetry":{"type":"integer","description":"#Congestion Retry (min:1, max:999)","default":3},"dialCongestionRetryFrequency":{"type":"integer","description":"Congestion Retry Frequency Minutes (min:1, max:99999)","default":150},"dialBusyMaxRetry":{"type":"integer","description":"#Busy Retry (min:1, max:999)","default":3},"dialBusyRetryFrequency":{"type":"integer","description":"Busy Retry Frequency Minutes (min:1, max:99999)","default":150},"dialNoAnswerMaxRetry":{"type":"integer","description":"#NoAnswer Retry (min:1, max:999)","default":3},"dialNoAnswerRetryFrequency":{"type":"integer","description":"NoAnswer Retry Frequency Minutes (min:1, max:99999)","default":150},"dialGlobalMaxRetry":{"type":"integer","description":"#Global Max Retry (min:1, max:999)","default":4},"dialTimezone":{"type":"string","default":null},"dialGlobalInterval":{"type":"string","default":"07:00-22:00,*,*,*"},"dialPrefix":{"type":"string","default":null},"dialCheckDuplicateType":{"type":"string","enum":["always","never","onlyIfOpen"],"default":"always"},"dialAMDActive":{"type":"boolean","description":"Active/Disactive AMD","default":0},"dialAMDInitialSilence":{"type":"integer","description":"#AMD Initial Silence","default":2500},"dialAMDGreeting":{"type":"integer","description":"#AMD Greeting","default":1500},"dialAMDAfterGreetingSilence":{"type":"integer","description":"#AMD After Greeting Silence","default":800},"dialAMDTotalAnalysisTime":{"type":"integer","description":"#AMD Total Analysis Time","default":5000},"dialAMDMinWordLength":{"type":"integer","description":"#AMD Min Word Length","default":100},"dialAMDBetweenWordsSilence":{"type":"integer","description":"#AMD Between Words Silence","default":50},"dialAMDMaximumNumberOfWords":{"type":"integer","description":"#AMD Maximum Number Of Words","default":3},"dialAMDSilenceThreshold":{"type":"integer","description":"#AMD Silence Threshold (min:0, max:32767)","default":256},"dialAMDMaximumWordLength":{"type":"integer","description":"#AMD Maximum Word Length","default":5000},"dialRecallMeTimeout":{"type":"integer","description":"#RecallMe Timeout (min:1)","default":30},"dialRecallInQueue":{"type":"boolean","description":"Active/Disactive Recall In Queue","default":0},"dialOrderByScheduledAt":{"type":"string","enum":["DESC","ASC"],"default":"DESC"},"dialQueueProject2":{"type":"string","description":"AGI queue option (use: agi://127.0.0.1/square,)"},"dialAgiAfterHangupClient":{"type":"boolean","default":false},"dialAgiAfterHangupAgent":{"type":"boolean","default":false},"dialRandomLastDigitCallerIdNumber":{"type":"integer","description":"Random Last Digit (min:1, max:15)","default":0},"dialCutDigit":{"type":"integer","description":"Cut Digit (min:1, max:15)","default":0},"dialNoSuchNumberMaxRetry":{"type":"integer","description":"#NoSuchNumber Retry (min:1, max:999)","default":3},"dialNoSuchNumberRetryFrequency":{"type":"integer","description":"NoSuchNumber Retry Frequency Minutes (min:1, max:99999)","default":150},"dialDropMaxRetry":{"type":"integer","description":"#Drop Retry (min:1, max:999)","default":3},"dialDropRetryFrequency":{"type":"integer","description":"Drop Retry Frequency Minutes (min:1, max:99999)","default":150},"dialAbandonedMaxRetry":{"type":"integer","description":"#Abandoned Retry (min:1, max:999)","default":3},"dialAbandonedRetryFrequency":{"type":"integer","description":"Abandoned Retry Frequency Minutes (min:1, max:99999)","default":150},"dialMachineMaxRetry":{"type":"integer","description":"#Machine Retry (min:1, max:999)","default":3},"dialMachineRetryFrequency":{"type":"integer","description":"Machine Retry Frequency Minutes (min:1, max:99999)","default":150},"dialAgentRejectMaxRetry":{"type":"integer","description":"#AgentReject Retry (min:1, max:999)","default":3},"dialAgentRejectRetryFrequency":{"type":"integer","description":"AgentReject Retry Frequency Minutes (min:1, max:99999)","default":150},"mandatoryDispositionPauseId":{"type":"integer","description":"Status to put when mandatory disposition is enabled"},"mandatoryDisposition":{"type":"boolean","description":"Enabled/disables mandatory dispo on a queue","default":false},"dialPredictiveIntervalMaxThreshold":{"type":"integer","default":20},"dialPredictiveIntervalMinThreshold":{"type":"integer","default":10}},"required":["id"]}}}},"responses":{"201":{"description":"VoiceQueue successfully cloned. Returns the cloned object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VoiceQueue"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/queues/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Queue","security":[{"BearerAuth":[]}],"operationId":"deleteVoiceQueueById","tags":["Voice Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceQueue to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VoiceQueue not found"}}}},"/voice/queues/reports":{"get":{"summary":"Gets a list of Queue Reports","security":[{"BearerAuth":[]}],"operationId":"listAllVoiceQueueReport","tags":["Voice Queue Reports"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each VoiceQueueReport"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Queue Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoiceQueueReport"}}}}},"206":{"description":"Partial (paged) collection of Queue Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoiceQueueReport"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/queues/reports/describe":{"get":{"summary":"Gets table info about Queue Reports","security":[{"BearerAuth":[]}],"operationId":"describeVoiceQueueReport","tags":["Voice Queue Reports"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/queues/reports/index":{"get":{"summary":"Get Voice Queues Report","security":[{"BearerAuth":[]}],"operationId":"getVoiceQueuesReport","tags":["Voice Queue Reports"],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/queues/reports/{id}":{"get":{"summary":"Gets a single Queue Report","security":[{"BearerAuth":[]}],"operationId":"getVoiceQueueReportById","tags":["Voice Queue Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceQueueReport to get"}],"responses":{"200":{"description":"VoiceQueueReport with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VoiceQueueReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VoiceQueueReport not found"}}}},"/voiceQueuesLog":{"get":{"summary":"Gets a list of VoiceQueuesLogs","security":[{"BearerAuth":[]}],"operationId":"listAllVoiceQueuesLog","tags":["voiceQueuesLog"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each VoiceQueuesLog"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of VoiceQueuesLogs","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoiceQueuesLog"}}}}},"206":{"description":"Partial (paged) collection of VoiceQueuesLogs","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoiceQueuesLog"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new VoiceQueuesLog","security":[{"BearerAuth":[]}],"operationId":"createVoiceQueuesLog","tags":["voiceQueuesLog"],"requestBody":{"required":true,"description":"data for creating a new VoiceQueuesLog","content":{"application/json":{"schema":{"type":"object","properties":{"time":{"type":"string"},"callid":{"type":"string","default":""},"queuename":{"type":"string","default":""},"agent":{"type":"string","default":""},"event":{"type":"string","default":""},"data":{"type":"string","default":""},"data1":{"type":"string","default":""},"data2":{"type":"string","default":""},"data3":{"type":"string","default":""},"data4":{"type":"string","default":""},"data5":{"type":"string","default":""},"dtm":{"type":"string","default":"2020-11-27 10:41:25"}},"required":["callid","queuename","agent","event","data","data1","data2","data3","data4","data5","dtm"]}}}},"responses":{"201":{"description":"VoiceQueuesLog successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VoiceQueuesLog"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voiceQueuesLog/{id}":{"get":{"summary":"Gets a single VoiceQueuesLog","security":[{"BearerAuth":[]}],"operationId":"getVoiceQueuesLogById","tags":["voiceQueuesLog"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceQueuesLog to get"}],"responses":{"200":{"description":"VoiceQueuesLog with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VoiceQueuesLog"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VoiceQueuesLog not found"}}},"put":{"summary":"Update an existing VoiceQueuesLog","security":[{"BearerAuth":[]}],"operationId":"updateVoiceQueuesLogById","tags":["voiceQueuesLog"],"requestBody":{"required":true,"description":"data for updating a new VoiceQueuesLog","content":{"application/json":{"schema":{"type":"object","properties":{"time":{"type":"string"},"callid":{"type":"string","default":""},"queuename":{"type":"string","default":""},"agent":{"type":"string","default":""},"event":{"type":"string","default":""},"data":{"type":"string","default":""},"data1":{"type":"string","default":""},"data2":{"type":"string","default":""},"data3":{"type":"string","default":""},"data4":{"type":"string","default":""},"data5":{"type":"string","default":""},"dtm":{"type":"string","default":"2020-11-27 10:41:25"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceQueuesLog to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VoiceQueuesLog not found"}}}},"/voiceQueuesLog/{id}/destroy_many":{"delete":{"summary":"Destroy an existing VoiceQueuesLog","security":[{"BearerAuth":[]}],"operationId":"deleteVoiceQueuesLogById","tags":["voiceQueuesLog"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceQueuesLog to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VoiceQueuesLog not found"}}}},"/voice/recordings":{"get":{"summary":"Gets a list of Recordings","security":[{"BearerAuth":[]}],"operationId":"listAllVoiceRecording","tags":["Voice Recordings"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each VoiceRecording"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Recordings","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoiceRecording"}}}}},"206":{"description":"Partial (paged) collection of Recordings","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoiceRecording"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Recording","security":[{"BearerAuth":[]}],"operationId":"createVoiceRecording","tags":["Voice Recordings"],"requestBody":{"required":true,"description":"data for creating a new Recording","content":{"application/json":{"schema":{"type":"object","properties":{"format":{"type":"string"},"uniqueid":{"type":"string"},"channel":{"type":"string"},"membername":{"type":"string"},"calleridnum":{"type":"string"},"calleridname":{"type":"string"},"connectedlinenum":{"type":"string"},"connectedlinename":{"type":"string"},"accountcode":{"type":"string"},"context":{"type":"string"},"exten":{"type":"string"},"value":{"type":"string"},"type":{"type":"string"},"rating":{"type":"integer"},"queue":{"type":"string"},"userDisposition":{"type":"string"},"userSecondDisposition":{"type":"string"},"userThirdDisposition":{"type":"string"},"location":{"type":"string"},"transcribeName":{"type":"string"},"transcribeStatus":{"type":"string","default":"NEW"},"fileUri":{"type":"string"},"fileText":{"type":"string"},"failureReason":{"type":"string"},"sentiment":{"type":"string"},"sPositive":{"type":"number"},"sNegative":{"type":"number"},"sNeutral":{"type":"number"},"sMixed":{"type":"number"},"tempSentiment":{"type":"boolean","default":false},"createdAt":{"type":"string"},"updatedAt":{"type":"string"}}}}}},"responses":{"201":{"description":"VoiceRecording successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VoiceRecording"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/recordings/describe":{"get":{"summary":"Gets table info about Recordings","security":[{"BearerAuth":[]}],"operationId":"describeVoiceRecording","tags":["Voice Recordings"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/recordings/{id}":{"get":{"summary":"Gets a single Recording","security":[{"BearerAuth":[]}],"operationId":"getVoiceRecordingById","tags":["Voice Recordings"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceRecording to get"}],"responses":{"200":{"description":"VoiceRecording with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VoiceRecording"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VoiceRecording not found"}}},"put":{"summary":"Update an existing Recording","security":[{"BearerAuth":[]}],"operationId":"updateVoiceRecordingById","tags":["Voice Recordings"],"requestBody":{"required":true,"description":"data for updating a new Recording","content":{"application/json":{"schema":{"type":"object","properties":{"format":{"type":"string"},"uniqueid":{"type":"string"},"channel":{"type":"string"},"membername":{"type":"string"},"calleridnum":{"type":"string"},"calleridname":{"type":"string"},"connectedlinenum":{"type":"string"},"connectedlinename":{"type":"string"},"accountcode":{"type":"string"},"context":{"type":"string"},"exten":{"type":"string"},"value":{"type":"string"},"type":{"type":"string"},"rating":{"type":"integer"},"queue":{"type":"string"},"userDisposition":{"type":"string"},"userSecondDisposition":{"type":"string"},"userThirdDisposition":{"type":"string"},"location":{"type":"string"},"transcribeName":{"type":"string"},"transcribeStatus":{"type":"string","default":"NEW"},"fileUri":{"type":"string"},"fileText":{"type":"string"},"failureReason":{"type":"string"},"sentiment":{"type":"string"},"sPositive":{"type":"number"},"sNegative":{"type":"number"},"sNeutral":{"type":"number"},"sMixed":{"type":"number"},"tempSentiment":{"type":"boolean","default":false},"createdAt":{"type":"string"},"updatedAt":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceRecording to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VoiceRecording not found"}}},"delete":{"summary":"Delete voice recording","security":[{"BearerAuth":[]}],"operationId":"destroy","tags":["Voice Recordings"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceRecording"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/recordings/{id}/download":{"get":{"summary":"Download Recording","security":[{"BearerAuth":[]}],"operationId":"download","tags":["Voice Recordings"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceRecording"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/recordings/{id}/downloads":{"get":{"summary":"Download Recording","operationId":"downloads","tags":["Voice Recordings"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceRecording"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}}}}},"/voice/recordings/{id}/transcribe":{"get":{"summary":"Run Transcribe Recording","security":[{"BearerAuth":[]}],"operationId":"downloadTranscribe","tags":["Voice Recordings"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceRecording"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Run Transcribe Recording","security":[{"BearerAuth":[]}],"operationId":"runTranscribe","tags":["Voice Recordings"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceRecording"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/transfers/reports":{"get":{"summary":"Gets a list of Transfer Reports","security":[{"BearerAuth":[]}],"operationId":"listAllVoiceTransferReport","tags":["Voice Transfer Reports"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each VoiceTransferReport"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Transfer Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoiceTransferReport"}}}}},"206":{"description":"Partial (paged) collection of Transfer Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/VoiceTransferReport"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Transfer Report","security":[{"BearerAuth":[]}],"operationId":"createVoiceTransferReport","tags":["Voice Transfer Reports"],"requestBody":{"required":true,"description":"data for creating a new Transfer Report","content":{"application/json":{"schema":{"type":"object","properties":{"type":{"type":"string","enum":["blind","attended"]},"result":{"type":"string"},"transfererchannel":{"type":"string"},"transferercalleridnum":{"type":"string"},"transferercalleridname":{"type":"string"},"transfererconnectedlinenum":{"type":"string"},"transfererconnectedlinename":{"type":"string"},"transfereraccountcode":{"type":"string"},"transferercontext":{"type":"string"},"transfererexten":{"type":"string"},"transfererlinkedid":{"type":"string"},"transfereechannel":{"type":"string"},"transfereecalleridnum":{"type":"string"},"transfereecalleridname":{"type":"string"},"transfereeconnectedlinenum":{"type":"string"},"transfereeconnectedlinename":{"type":"string"},"transfereeaccountcode":{"type":"string"},"transfereecontext":{"type":"string"},"transfereeexten":{"type":"string"},"transfereelinkedid":{"type":"string"},"isexternal":{"type":"string","enum":["Yes","No"]},"context":{"type":"string"},"extension":{"type":"string"}}}}}},"responses":{"201":{"description":"VoiceTransferReport successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VoiceTransferReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/voice/transfers/reports/{id}":{"get":{"summary":"Gets a single Transfer Report","security":[{"BearerAuth":[]}],"operationId":"getVoiceTransferReportById","tags":["Voice Transfer Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceTransferReport to get"}],"responses":{"200":{"description":"VoiceTransferReport with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/VoiceTransferReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VoiceTransferReport not found"}}},"put":{"summary":"Update an existing Transfer Report","security":[{"BearerAuth":[]}],"operationId":"updateVoiceTransferReportById","tags":["Voice Transfer Reports"],"requestBody":{"required":true,"description":"data for updating a new Transfer Report","content":{"application/json":{"schema":{"type":"object","properties":{"type":{"type":"string","enum":["blind","attended"]},"result":{"type":"string"},"transfererchannel":{"type":"string"},"transferercalleridnum":{"type":"string"},"transferercalleridname":{"type":"string"},"transfererconnectedlinenum":{"type":"string"},"transfererconnectedlinename":{"type":"string"},"transfereraccountcode":{"type":"string"},"transferercontext":{"type":"string"},"transfererexten":{"type":"string"},"transfererlinkedid":{"type":"string"},"transfereechannel":{"type":"string"},"transfereecalleridnum":{"type":"string"},"transfereecalleridname":{"type":"string"},"transfereeconnectedlinenum":{"type":"string"},"transfereeconnectedlinename":{"type":"string"},"transfereeaccountcode":{"type":"string"},"transfereecontext":{"type":"string"},"transfereeexten":{"type":"string"},"transfereelinkedid":{"type":"string"},"isexternal":{"type":"string","enum":["Yes","No"]},"context":{"type":"string"},"extension":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceTransferReport to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VoiceTransferReport not found"}}}},"/voice/transfers/reports/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Transfer Report","security":[{"BearerAuth":[]}],"operationId":"deleteVoiceTransferReportById","tags":["Voice Transfer Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the VoiceTransferReport to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"VoiceTransferReport not found"}}}},"/webbar/originate":{"post":{"summary":"Originate new webrtc call","security":[{"BearerAuth":[]}],"operationId":"webBarOriginate","tags":["WebBar"],"requestBody":{"required":true,"description":"Request payload","content":{"application/json":{"schema":{"type":"object","properties":{"callNumber":{"type":"string","description":"call number"},"userId":{"type":"integer","description":"user id"},"callerId":{"type":"string","description":"caller id"},"callbackUrl":{"type":"string","format":"uri","description":"callback uri"}},"required":["callNumber","userId","callerId","callbackUrl"]}}}},"responses":{"200":{"description":"web call originated successfully"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/webbar/hangup":{"post":{"summary":"hangup call","security":[{"BearerAuth":[]}],"operationId":"webBarHangup","tags":["WebBar"],"requestBody":{"required":true,"description":"Request payload","content":{"application/json":{"schema":{"type":"object","properties":{"sessionId":{"type":"string","description":"session id"},"userId":{"type":"integer","description":"user id"}},"required":["sessionId","userId"]}}}},"responses":{"200":{"description":"Hangup success"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/webbar/answer":{"post":{"summary":"answer new webrtc call","security":[{"BearerAuth":[]}],"operationId":"webBarAnswer","tags":["WebBar"],"requestBody":{"required":true,"description":"Request payload","content":{"application/json":{"schema":{"type":"object","properties":{"sessionId":{"type":"string","description":"session id"},"userId":{"type":"integer","description":"user id"}},"required":["sessionId","userId"]}}}},"responses":{"200":{"description":"Answer success"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/webbar/hold":{"post":{"summary":"hold webrtc call","security":[{"BearerAuth":[]}],"operationId":"webBarHold","tags":["WebBar"],"requestBody":{"required":true,"description":"Request payload","content":{"application/json":{"schema":{"type":"object","properties":{"sessionId":{"type":"string","description":"session id"},"userId":{"type":"integer","description":"user id"}},"required":["userId"]}}}},"responses":{"200":{"description":"Hold success"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/webbar/unhold":{"post":{"summary":"unhold webrtc call","security":[{"BearerAuth":[]}],"operationId":"webBarUnhold","tags":["WebBar"],"requestBody":{"required":true,"description":"Request payload","content":{"application/json":{"schema":{"type":"object","properties":{"sessionId":{"type":"string","description":"session id"},"userId":{"type":"integer","description":"user id"}},"required":["sessionId","userId"]}}}},"responses":{"200":{"description":"Unhold success"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/webbar/calls":{"post":{"summary":"retrieve webrtc call list for a user","security":[{"BearerAuth":[]}],"operationId":"webBarCalls","tags":["WebBar"],"requestBody":{"required":true,"description":"Request payload containing the user","content":{"application/json":{"schema":{"type":"object","properties":{"userId":{"type":"integer","description":"user id"}},"required":["userId"]}}}},"responses":{"200":{"description":"list of calls","content":{"application/json":{"schema":{"type":"array","items":{"type":"object"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/webbar/transfer":{"post":{"summary":"blind transfer webrtc call","security":[{"BearerAuth":[]}],"operationId":"webBarTransfer","tags":["WebBar"],"requestBody":{"required":true,"description":"Request payload","content":{"application/json":{"schema":{"type":"object","properties":{"userId":{"type":"integer","description":"user id"},"sessionId":{"type":"string","description":"session id"},"transferNumber":{"type":"string","description":"transfer number"}},"required":["userId","sessionId","transferNumber"]}}}},"responses":{"200":{"description":"Transfer success"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/whatsapp/accounts":{"get":{"summary":"Gets a list of Accounts","security":[{"BearerAuth":[]}],"operationId":"listAllWhatsappAccount","tags":["Whatsapp Accounts"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each WhatsappAccount"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/WhatsappAccount"}}}}},"206":{"description":"Partial (paged) collection of Accounts","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/WhatsappAccount"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Account","security":[{"BearerAuth":[]}],"operationId":"createWhatsappAccount","tags":["Whatsapp Accounts"],"requestBody":{"required":true,"description":"data for creating a new Account","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"key":{"type":"string"},"remote":{"type":"string"},"token":{"type":"string","default":"RR1qO3WWSMLNOqQM8V3jP6ZqLmuIDDnf"},"phone":{"type":"string"},"type":{"type":"string","enum":["twilio"]},"accountSid":{"type":"string"},"authToken":{"type":"string"},"notificationTemplate":{"type":"string","default":"Account: {{account.name}}
{{#queue}}Queue: {{queue.name}}
{{/queue}}From : {{from}}"},"notificationSound":{"type":"boolean","default":false},"notificationShake":{"type":"boolean","default":false},"waitForTheAssignedAgent":{"type":"integer","default":10},"queueTransfer":{"type":"boolean","default":false},"queueTransferTimeout":{"type":"integer","default":300},"agentTransfer":{"type":"boolean","default":false},"agentTransferTimeout":{"type":"integer","default":300},"mandatoryDispositionPauseId":{"type":"integer","description":"Status to put when mandatory disposition is enabled"},"mandatoryDisposition":{"type":"boolean","description":"Enabled/disables mandatory dispo on a queue","default":false},"description":{"type":"string"}},"required":["name","key","remote"]}}}},"responses":{"201":{"description":"WhatsappAccount successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/WhatsappAccount"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/whatsapp/accounts/describe":{"get":{"summary":"Gets table info about Accounts","security":[{"BearerAuth":[]}],"operationId":"describeWhatsappAccount","tags":["Whatsapp Accounts"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/whatsapp/accounts/{id}":{"get":{"summary":"Gets a single Account","security":[{"BearerAuth":[]}],"operationId":"getWhatsappAccountById","tags":["Whatsapp Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappAccount to get"}],"responses":{"200":{"description":"WhatsappAccount with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/WhatsappAccount"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"WhatsappAccount not found"}}},"put":{"summary":"Update an existing Account","security":[{"BearerAuth":[]}],"operationId":"updateWhatsappAccountById","tags":["Whatsapp Accounts"],"requestBody":{"required":true,"description":"data for updating a new Account","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"key":{"type":"string"},"remote":{"type":"string"},"token":{"type":"string","default":"FMf1MjzZO3wZH7rudjIGZiO6b2Q6vmOz"},"phone":{"type":"string"},"type":{"type":"string","enum":["twilio"]},"accountSid":{"type":"string"},"authToken":{"type":"string"},"notificationTemplate":{"type":"string","default":"Account: {{account.name}}
{{#queue}}Queue: {{queue.name}}
{{/queue}}From : {{from}}"},"notificationSound":{"type":"boolean","default":false},"notificationShake":{"type":"boolean","default":false},"waitForTheAssignedAgent":{"type":"integer","default":10},"queueTransfer":{"type":"boolean","default":false},"queueTransferTimeout":{"type":"integer","default":300},"agentTransfer":{"type":"boolean","default":false},"agentTransferTimeout":{"type":"integer","default":300},"mandatoryDispositionPauseId":{"type":"integer","description":"Status to put when mandatory disposition is enabled"},"mandatoryDisposition":{"type":"boolean","description":"Enabled/disables mandatory dispo on a queue","default":false},"description":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappAccount to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"WhatsappAccount not found"}}}},"/whatsapp/accounts/{id}/dispositions":{"get":{"summary":"Gets account dispositions","security":[{"BearerAuth":[]}],"operationId":"getDispositions","tags":["Whatsapp Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new disposition","security":[{"BearerAuth":[]}],"operationId":"addDisposition","tags":["Whatsapp Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes dispositions from account","security":[{"BearerAuth":[]}],"operationId":"removeDispositions","tags":["Whatsapp Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappAccount"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/whatsapp/accounts/{id}/canned_answers":{"get":{"summary":"Gets account canned answers","security":[{"BearerAuth":[]}],"operationId":"getAnswers","tags":["Whatsapp Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new canned answer","security":[{"BearerAuth":[]}],"operationId":"addAnswer","tags":["Whatsapp Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes canned answers from account","security":[{"BearerAuth":[]}],"operationId":"removeAnswers","tags":["Whatsapp Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappAccount"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/whatsapp/accounts/{id}/users":{"get":{"summary":"Gets agents from whatsapp account","security":[{"BearerAuth":[]}],"operationId":"getAgents","tags":["Whatsapp Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add agents to a whatsapp account","security":[{"BearerAuth":[]}],"operationId":"addAgents","tags":["Whatsapp Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes agents from a whatsapp account","security":[{"BearerAuth":[]}],"operationId":"removeAgents","tags":["Whatsapp Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappAccount"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/whatsapp/accounts/{id}/applications":{"get":{"summary":"Gets account applications","security":[{"BearerAuth":[]}],"operationId":"getApplications","tags":["Whatsapp Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappAccount"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new applications","security":[{"BearerAuth":[]}],"operationId":"addApplications","tags":["Whatsapp Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/whatsapp/accounts/{id}/notify":{"post":{"summary":"Notify new message","operationId":"notify","tags":["Whatsapp Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappAccount"}],"responses":{"201":{"description":"successfully created"}}}},"/whatsapp/accounts/{id}/send":{"post":{"summary":"Send new whatsapp message","security":[{"BearerAuth":[]}],"operationId":"send","tags":["Whatsapp Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappAccount"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/whatsapp/accounts/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Account","security":[{"BearerAuth":[]}],"operationId":"deleteWhatsappAccountById","tags":["Whatsapp Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappAccount to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"WhatsappAccount not found"}}}},"/whatsapp/applications":{"get":{"summary":"Gets a list of Applications","security":[{"BearerAuth":[]}],"operationId":"listAllWhatsappApplication","tags":["Whatsapp Applications"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each WhatsappApplication"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Applications","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/WhatsappApplication"}}}}},"206":{"description":"Partial (paged) collection of Applications","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/WhatsappApplication"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Application","security":[{"BearerAuth":[]}],"operationId":"createWhatsappApplication","tags":["Whatsapp Applications"],"requestBody":{"required":true,"description":"data for creating a new Application","content":{"application/json":{"schema":{"type":"object","properties":{"priority":{"type":"integer"},"app":{"type":"string","default":"noop"},"appdata":{"type":"string"},"description":{"type":"string"},"interval":{"type":"string","default":"*,*,*,*"}},"required":["priority","app"]}}}},"responses":{"201":{"description":"WhatsappApplication successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/WhatsappApplication"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/whatsapp/applications/{id}":{"get":{"summary":"Gets a single Application","security":[{"BearerAuth":[]}],"operationId":"getWhatsappApplicationById","tags":["Whatsapp Applications"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappApplication to get"}],"responses":{"200":{"description":"WhatsappApplication with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/WhatsappApplication"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"WhatsappApplication not found"}}},"put":{"summary":"Update an existing Application","security":[{"BearerAuth":[]}],"operationId":"updateWhatsappApplicationById","tags":["Whatsapp Applications"],"requestBody":{"required":true,"description":"data for updating a new Application","content":{"application/json":{"schema":{"type":"object","properties":{"priority":{"type":"integer"},"app":{"type":"string","default":"noop"},"appdata":{"type":"string"},"description":{"type":"string"},"interval":{"type":"string","default":"*,*,*,*"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappApplication to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"WhatsappApplication not found"}}}},"/whatsapp/applications/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Application","security":[{"BearerAuth":[]}],"operationId":"deleteWhatsappApplicationById","tags":["Whatsapp Applications"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappApplication to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"WhatsappApplication not found"}}}},"/whatsapp/interactions":{"get":{"summary":"Gets a list of Interactions","security":[{"BearerAuth":[]}],"operationId":"listAllWhatsappInteraction","tags":["Whatsapp Interactions"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each WhatsappInteraction"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Interactions","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/WhatsappInteraction"}}}}},"206":{"description":"Partial (paged) collection of Interactions","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/WhatsappInteraction"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Interaction","security":[{"BearerAuth":[]}],"operationId":"createWhatsappInteraction","tags":["Whatsapp Interactions"],"requestBody":{"required":true,"description":"data for creating a new Interaction","content":{"application/json":{"schema":{"type":"object","properties":{"closed":{"type":"boolean","default":false},"closedAt":{"type":"string"},"disposition":{"type":"string"},"secondDisposition":{"type":"string"},"thirdDisposition":{"type":"string"},"note":{"type":"string"},"phone":{"type":"string"},"read1stAt":{"type":"string"},"firstMsgDirection":{"type":"string","enum":["in","out"],"default":"in"},"lastMsgAt":{"type":"string"},"lastMsgDirection":{"type":"string","enum":["in","out"],"default":"in"}},"required":["firstMsgDirection","lastMsgDirection"]}}}},"responses":{"201":{"description":"WhatsappInteraction successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/WhatsappInteraction"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/whatsapp/interactions/describe":{"get":{"summary":"Gets table info about Interactions","security":[{"BearerAuth":[]}],"operationId":"describeWhatsappInteraction","tags":["Whatsapp Interactions"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/whatsapp/interactions/{id}":{"get":{"summary":"Gets a single Interaction","security":[{"BearerAuth":[]}],"operationId":"getWhatsappInteractionById","tags":["Whatsapp Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappInteraction to get"}],"responses":{"200":{"description":"WhatsappInteraction with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/WhatsappInteraction"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"WhatsappInteraction not found"}}},"put":{"summary":"Update an existing Interaction","security":[{"BearerAuth":[]}],"operationId":"updateWhatsappInteractionById","tags":["Whatsapp Interactions"],"requestBody":{"required":true,"description":"data for updating a new Interaction","content":{"application/json":{"schema":{"type":"object","properties":{"closed":{"type":"boolean","default":false},"closedAt":{"type":"string"},"disposition":{"type":"string"},"secondDisposition":{"type":"string"},"thirdDisposition":{"type":"string"},"note":{"type":"string"},"phone":{"type":"string"},"read1stAt":{"type":"string"},"firstMsgDirection":{"type":"string","enum":["in","out"],"default":"in"},"lastMsgAt":{"type":"string"},"lastMsgDirection":{"type":"string","enum":["in","out"],"default":"in"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappInteraction to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"WhatsappInteraction not found"}}}},"/whatsapp/interactions/{id}/messages":{"get":{"summary":"Gets interaction messages","security":[{"BearerAuth":[]}],"operationId":"getMessages","tags":["Whatsapp Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappInteraction"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates new messages","security":[{"BearerAuth":[]}],"operationId":"addMessage","tags":["Whatsapp Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappInteraction"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/whatsapp/interactions/{id}/download":{"get":{"summary":"Gets interaction","security":[{"BearerAuth":[]}],"operationId":"download","tags":["Whatsapp Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappInteraction"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/whatsapp/interactions/{id}/tags":{"post":{"summary":"Add tags to the interaction","security":[{"BearerAuth":[]}],"operationId":"addTags","tags":["Whatsapp Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappInteraction"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes tags from interaction","security":[{"BearerAuth":[]}],"operationId":"removeTags","tags":["Whatsapp Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappInteraction"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/whatsapp/interactions/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Interaction","security":[{"BearerAuth":[]}],"operationId":"deleteWhatsappInteractionById","tags":["Whatsapp Interactions"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappInteraction to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"WhatsappInteraction not found"}}}},"/whatsapp/messages":{"get":{"summary":"Gets a list of Messages","security":[{"BearerAuth":[]}],"operationId":"listAllWhatsappMessage","tags":["Whatsapp Messages"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each WhatsappMessage"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Messages","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/WhatsappMessage"}}}}},"206":{"description":"Partial (paged) collection of Messages","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/WhatsappMessage"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Message","security":[{"BearerAuth":[]}],"operationId":"createWhatsappMessage","tags":["Whatsapp Messages"],"requestBody":{"required":true,"description":"data for creating a new Message","content":{"application/json":{"schema":{"type":"object","properties":{"body":{"type":"string"},"read":{"type":"boolean","default":false},"direction":{"type":"string","enum":["in","out"],"default":"out"},"messageId":{"type":"string"},"phone":{"type":"string"},"readAt":{"type":"string"},"secret":{"type":"boolean","default":false},"providerName":{"type":"string"},"providerResponse":{"type":"string"}},"required":["body","direction"]}}}},"responses":{"201":{"description":"WhatsappMessage successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/WhatsappMessage"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/whatsapp/messages/describe":{"get":{"summary":"Gets table info about Messages","security":[{"BearerAuth":[]}],"operationId":"describeWhatsappMessage","tags":["Whatsapp Messages"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/whatsapp/messages/{id}":{"get":{"summary":"Gets a single Message","security":[{"BearerAuth":[]}],"operationId":"getWhatsappMessageById","tags":["Whatsapp Messages"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappMessage to get"}],"responses":{"200":{"description":"WhatsappMessage with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/WhatsappMessage"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"WhatsappMessage not found"}}},"put":{"summary":"Update an existing Message","security":[{"BearerAuth":[]}],"operationId":"updateWhatsappMessageById","tags":["Whatsapp Messages"],"requestBody":{"required":true,"description":"data for updating a new Message","content":{"application/json":{"schema":{"type":"object","properties":{"body":{"type":"string"},"read":{"type":"boolean","default":false},"direction":{"type":"string","enum":["in","out"],"default":"out"},"messageId":{"type":"string"},"phone":{"type":"string"},"readAt":{"type":"string"},"secret":{"type":"boolean","default":false},"providerName":{"type":"string"},"providerResponse":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappMessage to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"WhatsappMessage not found"}}}},"/whatsapp/messages/{id}/status":{"post":{"summary":"Receive message status","operationId":"status","tags":["Whatsapp Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappMessage"}],"responses":{"201":{"description":"successfully created"}}}},"/whatsapp/messages/{id}/accept":{"put":{"summary":"Accepts message","security":[{"BearerAuth":[]}],"operationId":"accept","tags":["Whatsapp Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappMessage"}],"responses":{"200":{"description":"successfully updated"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/whatsapp/messages/{id}/reject":{"put":{"summary":"Rejects message","security":[{"BearerAuth":[]}],"operationId":"reject","tags":["Whatsapp Accounts"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappMessage"}],"responses":{"200":{"description":"successfully updated"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/whatsapp/messages/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Message","security":[{"BearerAuth":[]}],"operationId":"deleteWhatsappMessageById","tags":["Whatsapp Messages"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappMessage to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"WhatsappMessage not found"}}}},"/whatsapp/queues":{"get":{"summary":"Gets a list of Queues","security":[{"BearerAuth":[]}],"operationId":"listAllWhatsappQueue","tags":["Whatsapp Queues"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each WhatsappQueue"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Queues","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/WhatsappQueue"}}}}},"206":{"description":"Partial (paged) collection of Queues","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/WhatsappQueue"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Queue","security":[{"BearerAuth":[]}],"operationId":"createWhatsappQueue","tags":["Whatsapp Queues"],"requestBody":{"required":true,"description":"data for creating a new Queue","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"timeout":{"type":"integer"},"strategy":{"type":"string","enum":["rrmemory","beepall","roundrobin"]},"description":{"type":"string"}}}}}},"responses":{"201":{"description":"WhatsappQueue successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/WhatsappQueue"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/whatsapp/queues/describe":{"get":{"summary":"Gets table info about Queues","security":[{"BearerAuth":[]}],"operationId":"describeWhatsappQueue","tags":["Whatsapp Queues"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/whatsapp/queues/{id}":{"get":{"summary":"Gets a single Queue","security":[{"BearerAuth":[]}],"operationId":"getWhatsappQueueById","tags":["Whatsapp Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappQueue to get"}],"responses":{"200":{"description":"WhatsappQueue with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/WhatsappQueue"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"WhatsappQueue not found"}}},"put":{"summary":"Update an existing Queue","security":[{"BearerAuth":[]}],"operationId":"updateWhatsappQueueById","tags":["Whatsapp Queues"],"requestBody":{"required":true,"description":"data for updating a new Queue","content":{"application/json":{"schema":{"type":"object","properties":{"name":{"type":"string"},"timeout":{"type":"integer"},"strategy":{"type":"string","enum":["rrmemory","beepall","roundrobin"]},"description":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappQueue to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"WhatsappQueue not found"}}}},"/whatsapp/queues/{id}/members":{"get":{"summary":"GetMembers","security":[{"BearerAuth":[]}],"operationId":"getMembers","tags":["Whatsapp Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappQueue"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/whatsapp/queues/{id}/teams":{"get":{"summary":"Gets queues list","security":[{"BearerAuth":[]}],"operationId":"getTeams","tags":["Whatsapp Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappQueue"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add teams to a queue","security":[{"BearerAuth":[]}],"operationId":"addTeams","tags":["Whatsapp Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappQueue"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Remove teams from a queue","security":[{"BearerAuth":[]}],"operationId":"removeTeams","tags":["Teams"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappQueue"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/whatsapp/queues/{id}/users":{"get":{"summary":"Gets queue agents","security":[{"BearerAuth":[]}],"operationId":"getAgents","tags":["Whatsapp Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappQueue"}],"responses":{"200":{"description":"success","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Add agents to a queue","security":[{"BearerAuth":[]}],"operationId":"addAgents","tags":["Whatsapp Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappQueue"}],"responses":{"201":{"description":"successfully created"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"delete":{"summary":"Removes agents from a queue","security":[{"BearerAuth":[]}],"operationId":"removeAgents","tags":["Whatsapp Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappQueue"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/whatsapp/queues/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Queue","security":[{"BearerAuth":[]}],"operationId":"deleteWhatsappQueueById","tags":["Whatsapp Queues"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappQueue to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"WhatsappQueue not found"}}}},"/whatsapp/reports/queue":{"get":{"summary":"Gets a list of Whatsapp Queue Reports","security":[{"BearerAuth":[]}],"operationId":"listAllWhatsappQueueReport","tags":["Whatsapp Queue Reports"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each WhatsappQueueReport"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Whatsapp Queue Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/WhatsappQueueReport"}}}}},"206":{"description":"Partial (paged) collection of Whatsapp Queue Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/WhatsappQueueReport"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Whatsapp Queue Report","security":[{"BearerAuth":[]}],"operationId":"createWhatsappQueueReport","tags":["Whatsapp Queue Reports"],"requestBody":{"required":true,"description":"data for creating a new Whatsapp Queue Report","content":{"application/json":{"schema":{"type":"object","properties":{"uniqueid":{"type":"string"},"from":{"type":"string"},"joinAt":{"type":"string"},"leaveAt":{"type":"string"},"acceptAt":{"type":"string"},"exitAt":{"type":"string"},"reason":{"type":"string"}},"required":["uniqueid"]}}}},"responses":{"201":{"description":"WhatsappQueueReport successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/WhatsappQueueReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/whatsapp/reports/queue/describe":{"get":{"summary":"Gets table info about Whatsapp Queue Reports","security":[{"BearerAuth":[]}],"operationId":"describeWhatsappQueueReport","tags":["Whatsapp Queue Reports"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/whatsapp/reports/queue/{id}":{"get":{"summary":"Gets a single Whatsapp Queue Report","security":[{"BearerAuth":[]}],"operationId":"getWhatsappQueueReportById","tags":["Whatsapp Queue Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappQueueReport to get"}],"responses":{"200":{"description":"WhatsappQueueReport with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/WhatsappQueueReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"WhatsappQueueReport not found"}}},"put":{"summary":"Update an existing Whatsapp Queue Report","security":[{"BearerAuth":[]}],"operationId":"updateWhatsappQueueReportById","tags":["Whatsapp Queue Reports"],"requestBody":{"required":true,"description":"data for updating a new Whatsapp Queue Report","content":{"application/json":{"schema":{"type":"object","properties":{"uniqueid":{"type":"string"},"from":{"type":"string"},"joinAt":{"type":"string"},"leaveAt":{"type":"string"},"acceptAt":{"type":"string"},"exitAt":{"type":"string"},"reason":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappQueueReport to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"WhatsappQueueReport not found"}}}},"/whatsapp/reports/queue/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Whatsapp Queue Report","security":[{"BearerAuth":[]}],"operationId":"deleteWhatsappQueueReportById","tags":["Whatsapp Queue Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappQueueReport to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"WhatsappQueueReport not found"}}}},"/whatsapp/reports/transfer":{"get":{"summary":"Gets a list of Whatsapp Transfer Reports","security":[{"BearerAuth":[]}],"operationId":"listAllWhatsappTransferReport","tags":["Whatsapp Transfer Reports"],"parameters":[{"in":"query","name":"fields","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"return only specific fields for a result set. This parameter accepts a comma-separated list. E.g. `fields=id,name` will return only id and name of each object.","examples":{"fields":{"value":"id,name","summary":"A call returning only id and name of each WhatsappTransferReport"}}},{"in":"query","name":"filter","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"the result will be filtered by the value you specify. This parameter accepts a comma-separated list. E.g. `filter=john.doe,jane.miller` will return only records with name equal to `john.doe` or `jane.miller`","examples":{"name":{"value":"john.doe,jane.miller","summary":"A call returning a result set for records with name of john.doe or jane.miller"},"filter":{"value":"john","summary":"the result will be filtered by the value you specify"}}},{"in":"query","name":"sort","allowReserved":true,"style":"form","explode":false,"schema":{"type":"string"},"description":"sort a result set based on one or several fields. This parameter accepts a comma-separated list. Results will be sorted in the order of the fields provided. The default sorting order for fields is ascending. Fields can be sorted in descending order by prefixing them with a dash (-). E.g. `sort=id,-name` will sort results by `id` ascending and then `name` descending","examples":{"sort":{"value":"id,-name","summary":"sorting results by id ascending and then name descending"}}},{"in":"query","name":"offset","style":"form","explode":false,"schema":{"type":"number"},"description":"a number indicating the start position in the result set you want to return. E.g. `offset=5` will return a result set starting at 5th element.","examples":{"offset":{"value":5,"summary":"a result set starting at 5"}}},{"in":"query","name":"limit","style":"form","explode":false,"schema":{"type":"string"},"description":"a number indicating how many records past the start position you want returned (default = `100`). E.g. `limit=25` will return a maximum of `25` elements.","examples":{"sort":{"value":25,"summary":"returning no more than 25 records"}}}],"responses":{"200":{"description":"Entire collection of Whatsapp Transfer Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total. If there are 50 records in total, with a offset of 5 and a limit of 25, the returned Content-Range header would be '5-30/50'","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/WhatsappTransferReport"}}}}},"206":{"description":"Partial (paged) collection of Whatsapp Transfer Reports","headers":{"Content-Range":{"description":"paging data in the form start - end / total","schema":{"type":"string"}}},"content":{"application/json":{"schema":{"type":"array","items":{"$ref":"#/components/schemas/WhatsappTransferReport"}}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}},"post":{"summary":"Creates a new Whatsapp Transfer Report","security":[{"BearerAuth":[]}],"operationId":"createWhatsappTransferReport","tags":["Whatsapp Transfer Reports"],"requestBody":{"required":true,"description":"data for creating a new Whatsapp Transfer Report","content":{"application/json":{"schema":{"type":"object","properties":{"uniqueid":{"type":"string"},"type":{"type":"string","enum":["account","agent","queue"]},"transferredAt":{"type":"string"}},"required":["uniqueid","type"]}}}},"responses":{"201":{"description":"WhatsappTransferReport successfully created. Returns the created object representation.","content":{"application/json":{"schema":{"$ref":"#/components/schemas/WhatsappTransferReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/whatsapp/reports/transfer/describe":{"get":{"summary":"Gets table info about Whatsapp Transfer Reports","security":[{"BearerAuth":[]}],"operationId":"describeWhatsappTransferReport","tags":["Whatsapp Transfer Reports"],"responses":{"200":{"description":"succesfully retrieve the table info","content":{"application/json":{"schema":{"type":"object"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"}}}},"/whatsapp/reports/transfer/{id}":{"get":{"summary":"Gets a single Whatsapp Transfer Report","security":[{"BearerAuth":[]}],"operationId":"getWhatsappTransferReportById","tags":["Whatsapp Transfer Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappTransferReport to get"}],"responses":{"200":{"description":"WhatsappTransferReport with matching id","content":{"application/json":{"schema":{"$ref":"#/components/schemas/WhatsappTransferReport"}}}},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"WhatsappTransferReport not found"}}},"put":{"summary":"Update an existing Whatsapp Transfer Report","security":[{"BearerAuth":[]}],"operationId":"updateWhatsappTransferReportById","tags":["Whatsapp Transfer Reports"],"requestBody":{"required":true,"description":"data for updating a new Whatsapp Transfer Report","content":{"application/json":{"schema":{"type":"object","properties":{"uniqueid":{"type":"string"},"type":{"type":"string","enum":["account","agent","queue"]},"transferredAt":{"type":"string"}}}}}},"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappTransferReport to be updated"}],"responses":{"200":{"description":"successful update"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"WhatsappTransferReport not found"}}}},"/whatsapp/reports/transfer/{id}/destroy_many":{"delete":{"summary":"Destroy an existing Whatsapp Transfer Report","security":[{"BearerAuth":[]}],"operationId":"deleteWhatsappTransferReportById","tags":["Whatsapp Transfer Reports"],"parameters":[{"in":"path","name":"id","schema":{"type":"integer"},"required":true,"description":"Numeric id of the WhatsappTransferReport to be deleted"}],"responses":{"204":{"description":"successfully deleted"},"401":{"description":"Not authenticated"},"403":{"description":"Access token does not have the required scope"},"404":{"description":"WhatsappTransferReport not found"}}}}},"components":{"securitySchemes":{"BearerAuth":{"type":"http","scheme":"bearer"}},"schemas":{"User":{"title":"User","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"fullname":{"type":"string"},"alias":{"type":"string","nullable":true},"email":{"type":"string"},"role":{"type":"string","enum":["admin","user","agent","telephone"]},"password":{"type":"string"},"provider":{"type":"string","nullable":true,"default":"local"},"internal":{"type":"integer","format":"int32"},"salt":{"type":"string","nullable":true},"phone":{"type":"string","nullable":true},"mobile":{"type":"string","nullable":true},"address":{"type":"string","nullable":true},"zipcode":{"type":"string","nullable":true},"userpic":{"type":"string","nullable":true},"city":{"type":"string","nullable":true},"country":{"type":"string","nullable":true},"online":{"type":"boolean","nullable":true,"default":false},"lastLoginAt":{"type":"string","format":"date-time","nullable":true},"voicePause":{"type":"boolean","nullable":true,"default":false},"chatPause":{"type":"boolean","nullable":true,"default":false},"mailPause":{"type":"boolean","nullable":true,"default":false},"faxPause":{"type":"boolean","nullable":true,"default":false},"smsPause":{"type":"boolean","nullable":true,"default":false},"whatsappPause":{"type":"boolean","nullable":true,"default":false},"openchannelPause":{"type":"boolean","nullable":true,"default":false},"pauseType":{"type":"string","nullable":true,"default":"DEFAULT PAUSE"},"lastPauseAt":{"type":"string","format":"date-time","nullable":true},"chatCapacity":{"type":"integer","format":"int32","nullable":true,"default":0},"mailCapacity":{"type":"integer","format":"int32","nullable":true,"default":0},"faxCapacity":{"type":"integer","format":"int32","nullable":true,"default":0},"smsCapacity":{"type":"integer","format":"int32","nullable":true,"default":0},"whatsappCapacity":{"type":"integer","format":"int32","nullable":true,"default":0},"openchannelCapacity":{"type":"integer","format":"int32","nullable":true,"default":0},"phoneBarAutoAnswer":{"type":"boolean","nullable":true,"default":false},"phoneBarEnableSettings":{"type":"boolean","nullable":true,"default":true},"phoneBarListenPort":{"type":"integer","format":"int32","nullable":true,"default":5160},"phoneBarExpires":{"type":"integer","format":"int32","nullable":true,"default":120},"phoneBarRemoteControl":{"type":"boolean","nullable":true,"default":false},"phoneBarRemoteControlPort":{"type":"integer","format":"int32","nullable":true,"default":9888},"phoneBarEnableRecording":{"type":"boolean","nullable":true,"default":false},"phoneBarRingInUse":{"type":"boolean","nullable":true,"default":false},"chanspy":{"type":"boolean","nullable":true,"default":false},"description":{"type":"string","nullable":true},"host":{"type":"string","nullable":true,"default":"dynamic"},"ipaddr":{"type":"string","nullable":true},"port":{"type":"integer","format":"int32","nullable":true},"regseconds":{"type":"integer","format":"int32","nullable":true},"fullcontact":{"type":"string","nullable":true},"regserver":{"type":"string","nullable":true},"useragent":{"type":"string","nullable":true},"lastms":{"type":"integer","format":"int32","nullable":true},"type":{"type":"string","enum":["friend","user","peer"],"nullable":true,"default":"friend"},"context":{"type":"string","nullable":true,"default":"from-sip"},"callingpres":{"type":"string","enum":["ALLOWED_NOT_SCREENED","ALLOWED_PASSED_SCREEN","ALLOWED_FAILED_SCREEN","ALLOWED","PROHIB_NOT_SCREENED","PROHIB_PASSED_SCREEN","PROHIB_FAILED_SCREEN","PROHIB"],"nullable":true},"deny":{"type":"string","nullable":true},"permit":{"type":"string","nullable":true},"secret":{"type":"string","nullable":true},"md5secret":{"type":"string","nullable":true},"remotesecret":{"type":"string","nullable":true},"transport":{"type":"string","nullable":true,"default":"udp"},"dtmfmode":{"type":"string","enum":["rfc2833","info","shortinfo","inband","auto"],"nullable":true,"default":"rfc2833"},"directmedia":{"type":"string","enum":["yes","no","nonat","update","outgoing"],"nullable":true,"default":"no"},"directrtpsetup":{"type":"string","enum":["yes","no"],"nullable":true,"default":"no"},"directmediapermit":{"type":"string","nullable":true},"directmediadeny":{"type":"string","nullable":true},"nat":{"type":"string","nullable":true,"default":"force_rport,comedia"},"callgroup":{"type":"string","nullable":true},"namedcallgroup":{"type":"string","nullable":true},"pickupgroup":{"type":"string","nullable":true},"namedpickupgroup":{"type":"string","nullable":true},"language":{"type":"string","nullable":true,"default":"en"},"tonezone":{"type":"string","nullable":true},"disallow":{"type":"string","default":"all"},"allow":{"type":"string","default":"ulaw;alaw;gsm"},"autoframing":{"type":"string","enum":["yes","no"],"nullable":true},"insecure":{"type":"string","nullable":true},"trustrpid":{"type":"string","enum":["yes","no"],"nullable":true,"default":"no"},"trust_id_outbound":{"type":"string","enum":["yes","no"],"nullable":true,"default":"no"},"progressinband":{"type":"string","enum":["yes","no","never"],"nullable":true},"promiscredir":{"type":"string","enum":["yes","no"],"nullable":true},"useclientcode":{"type":"string","enum":["yes","no"],"nullable":true},"accountcode":{"type":"integer","format":"int32","nullable":true},"setvar":{"type":"string","nullable":true},"callerid":{"type":"string","nullable":true,"default":"\"\" <>"},"amaflags":{"type":"string","nullable":true},"callcounter":{"type":"string","enum":["yes","no"],"nullable":true,"default":"yes"},"busylevel":{"type":"integer","format":"int32","nullable":true},"allowoverlap":{"type":"string","enum":["yes","no"],"nullable":true},"allowsubscribe":{"type":"string","enum":["yes","no"],"nullable":true},"allowtransfer":{"type":"string","enum":["yes","no"],"nullable":true},"ignoresdpversion":{"type":"string","enum":["yes","no"],"nullable":true},"subscribecontext":{"type":"string","nullable":true},"template":{"type":"string","nullable":true},"videosupport":{"type":"string","enum":["yes","no","always"],"nullable":true,"default":"no"},"maxcallbitrate":{"type":"integer","format":"int32","nullable":true},"rfc2833compensate":{"type":"string","enum":["yes","no"],"nullable":true},"mailbox":{"type":"string","nullable":true},"session_timers":{"type":"string","enum":["accept","refuse","originate"],"nullable":true},"session_expires":{"type":"integer","format":"int32","nullable":true},"session_minse":{"type":"integer","format":"int32","nullable":true},"session_refresher":{"type":"string","enum":["uac","uas"],"nullable":true,"default":"uas"},"t38pt_usertpsource":{"type":"string","nullable":true},"regexten":{"type":"string","nullable":true},"fromdomain":{"type":"string","nullable":true},"fromuser":{"type":"string","nullable":true},"qualify":{"type":"string","enum":["yes","no"],"nullable":true,"default":"yes"},"keepalive":{"type":"integer","format":"int32","nullable":true},"defaultip":{"type":"string","nullable":true},"defaultuser":{"type":"string","nullable":true},"rtptimeout":{"type":"integer","format":"int32","nullable":true},"rtpholdtimeout":{"type":"integer","format":"int32","nullable":true},"rtpkeepalive":{"type":"integer","format":"int32","nullable":true},"sendrpid":{"type":"string","enum":["yes","no"],"nullable":true,"default":"no"},"outboundproxy":{"type":"string","nullable":true},"callbackextension":{"type":"string","nullable":true},"timert1":{"type":"integer","format":"int32","nullable":true},"timerb":{"type":"integer","format":"int32","nullable":true},"qualifyfreq":{"type":"integer","format":"int32","nullable":true},"contactpermit":{"type":"string","nullable":true},"contactdeny":{"type":"string","nullable":true},"contactacl":{"type":"string","nullable":true},"unsolicited_mailbox":{"type":"string","nullable":true},"use_q850_reason":{"type":"string","nullable":true},"maxforwards":{"type":"integer","format":"int32","nullable":true},"encryption":{"type":"string","enum":["yes","no"],"nullable":true,"default":"no"},"avpf":{"type":"string","enum":["yes","no"],"nullable":true},"force_avp":{"type":"string","enum":["yes","no"],"nullable":true},"icesupport":{"type":"string","enum":["yes","no"],"nullable":true},"dtlsenable":{"type":"string","enum":["yes","no"],"nullable":true},"dtlsverify":{"type":"string","enum":["yes","no","fingerprint","certificate"],"nullable":true},"dtlsrekey":{"type":"integer","format":"int32","nullable":true},"dtlscertfile":{"type":"string","nullable":true},"dtlsprivatekey":{"type":"string","nullable":true},"dtlscipher":{"type":"string","nullable":true},"dtlscafile":{"type":"string","nullable":true},"dtlscapath":{"type":"string","nullable":true},"dtlssetup":{"type":"string","enum":["active","passive","actpass"],"nullable":true},"dtlsfingerprint":{"type":"string","nullable":true},"usereqphone":{"type":"string","enum":["yes","no"],"nullable":true,"default":"no"},"recordonfeature":{"type":"string","nullable":true},"recordofffeature":{"type":"string","nullable":true},"call_limit":{"type":"integer","format":"int32","nullable":true,"default":10},"registertrying":{"type":"string","enum":["yes","no"],"nullable":true},"subscribemwi":{"type":"string","enum":["yes","no"],"nullable":true},"vmexten":{"type":"string","nullable":true},"mohinterpret":{"type":"string","nullable":true},"mohsuggest":{"type":"string","nullable":true},"parkinglot":{"type":"string","nullable":true},"canreinvite":{"type":"string","enum":["yes","no","nonat","update","update,nonat"],"nullable":true,"default":"no"},"loginInPause":{"type":"boolean","nullable":true,"default":false},"resetPasswordToken":{"type":"string","nullable":true},"resetPasswordExpires":{"type":"string","format":"date-time","nullable":true},"passwordResetAt":{"type":"string","format":"date-time","nullable":true,"default":null},"previousPasswords":{"type":"string","nullable":true},"showWebBar":{"type":"integer","format":"int32","nullable":true,"default":0},"permissions":{"type":"string","nullable":true},"phoneBarUnconditionalNumber":{"type":"string","nullable":true},"phoneBarNoReplyNumber":{"type":"string","nullable":true},"phoneBarBusyNumber":{"type":"string","nullable":true},"phoneBarUnconditional":{"type":"boolean","nullable":true,"default":false},"phoneBarNoReply":{"type":"boolean","nullable":true,"default":false},"phoneBarBusy":{"type":"boolean","nullable":true,"default":false},"phoneBarDnd":{"type":"boolean","nullable":true,"default":true},"phoneBarUnansweredCallBadge":{"type":"boolean","nullable":true,"default":true},"phoneBarEnableDtmfTone":{"type":"boolean","nullable":true,"default":false},"phoneBarAutoAnswerDelay":{"type":"integer","format":"int32","nullable":true,"default":0},"extensionMonitor":{"type":"string","nullable":true,"default":""},"crudPermissions":{"type":"integer","format":"int32","nullable":true,"default":0},"rtcp_mux":{"type":"string","enum":["yes","no"],"nullable":true,"default":"no"},"allowmessenger":{"type":"boolean","nullable":true,"default":true},"phoneBarOutboundProxy":{"type":"string","nullable":true},"phoneBarEnableJaws":{"type":"boolean","nullable":true,"default":false},"phoneBarEnableScreenRecordingByAgent":{"type":"boolean","nullable":true,"default":false},"phoneBarEnableVideoRecording":{"anyOf":[{"type":"object"},{"type":"array"},{"type":"boolean"},{"type":"integer"},{"type":"number"},{"type":"string"}],"nullable":true},"phoneBarEnableAutomaticScreenRecording":{"type":"boolean","nullable":true,"default":false},"phoneBarPrefixRequired":{"type":"boolean","nullable":true,"default":false},"hotdesk":{"type":"boolean","nullable":true,"default":false},"interface":{"type":"string","nullable":true,"default":null},"privacyEnabled":{"type":"boolean","nullable":true,"default":false},"apiKeyNonce":{"type":"string","nullable":true},"apiKeyIat":{"type":"string","nullable":true},"screenrecording":{"type":"boolean","nullable":true,"default":false},"blocked":{"type":"boolean","nullable":true,"default":false},"blockedAt":{"type":"string","format":"date-time","nullable":true,"default":null},"loginAttempts":{"type":"integer","format":"int32","nullable":true,"default":0},"disabled":{"type":"boolean","nullable":true,"default":false},"settingsEnabled":{"type":"boolean","nullable":true,"default":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"userProfileId":{"type":"integer","format":"int32","nullable":true}},"required":["id","name","fullname","email","role","password","provider","internal","online","voicePause","chatPause","mailPause","faxPause","smsPause","whatsappPause","openchannelPause","pauseType","chatCapacity","mailCapacity","faxCapacity","smsCapacity","whatsappCapacity","openchannelCapacity","phoneBarAutoAnswer","phoneBarEnableSettings","phoneBarListenPort","phoneBarExpires","phoneBarRemoteControl","phoneBarRemoteControlPort","phoneBarEnableRecording","phoneBarRingInUse","chanspy","host","type","context","transport","dtmfmode","directmedia","directrtpsetup","nat","language","disallow","allow","trustrpid","trust_id_outbound","callerid","callcounter","videosupport","session_refresher","qualify","sendrpid","encryption","usereqphone","call_limit","canreinvite","loginInPause","passwordResetAt","showWebBar","phoneBarUnconditional","phoneBarNoReply","phoneBarBusy","phoneBarDnd","phoneBarUnansweredCallBadge","phoneBarEnableDtmfTone","phoneBarAutoAnswerDelay","extensionMonitor","crudPermissions","rtcp_mux","allowmessenger","phoneBarEnableJaws","phoneBarEnableScreenRecordingByAgent","phoneBarEnableAutomaticScreenRecording","phoneBarPrefixRequired","hotdesk","interface","privacyEnabled","screenrecording","blocked","blockedAt","loginAttempts","disabled","settingsEnabled","createdAt","updatedAt"]},"VoiceChanSpy":{"title":"VoiceChanSpy","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"prefix":{"type":"string"},"options":{"type":"string","nullable":true},"auth":{"type":"boolean","nullable":true,"default":false},"password":{"type":"string","nullable":true},"record":{"type":"boolean","nullable":true,"default":false},"recordingFormat":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","prefix","auth","record","createdAt","updatedAt"]},"VoiceContext":{"title":"VoiceContext","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"defaultEntry":{"type":"boolean","nullable":true,"default":0},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","defaultEntry","createdAt","updatedAt"]},"VoiceExtension":{"title":"VoiceExtension","type":"object","properties":{"id":{"type":"integer","format":"int32"},"context":{"type":"string"},"exten":{"type":"string"},"priority":{"type":"string","default":"1"},"tag":{"type":"string","default":"--"},"app":{"type":"string","default":"NoOp"},"appdata":{"type":"string","maxLength":4096,"nullable":true,"default":""},"type":{"type":"string","enum":["inbound","outbound","internal","inbound-fax","outbound-fax","system","any"]},"description":{"type":"string","nullable":true},"interval":{"type":"string","default":"*,*,*,*"},"IntervalId":{"type":"integer","format":"int32","nullable":true},"isApp":{"type":"boolean","default":false},"appType":{"type":"string","nullable":true,"default":null},"callerID":{"type":"string","nullable":true},"record":{"type":"boolean","nullable":true,"default":false},"cutdigits":{"type":"integer","format":"int32","nullable":true},"recordingFormat":{"type":"string","nullable":true,"default":"wav"},"answer":{"type":"boolean","nullable":true,"default":true},"name":{"anyOf":[{"type":"object"},{"type":"array"},{"type":"boolean"},{"type":"integer"},{"type":"number"},{"type":"string"}],"nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"UserId":{"type":"integer","format":"int32","nullable":true},"ChanSpyId":{"type":"integer","format":"int32","nullable":true},"VoiceContextId":{"type":"integer","format":"int32","nullable":true},"VoiceExtensionId":{"type":"integer","format":"int32","nullable":true},"FaxAccountId":{"type":"integer","format":"int32","nullable":true},"VoicePrefixId":{"type":"integer","format":"int32","nullable":true}},"required":["id","context","exten","priority","tag","app","appdata","type","interval","isApp","appType","record","recordingFormat","answer","createdAt","updatedAt"]},"VoiceMusicOnHold":{"title":"VoiceMusicOnHold","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"mode":{"type":"string","enum":["custom","files","mp3nb","quietmp3nb","quietmp3","mp3"],"nullable":true,"default":"files"},"directory":{"type":"string","nullable":true},"application":{"type":"string","nullable":true},"digit":{"type":"string","nullable":true},"sort":{"type":"string","nullable":true,"default":"alpha"},"format":{"type":"string","nullable":true},"stamp":{"anyOf":[{"type":"object"},{"type":"array"},{"type":"boolean"},{"type":"integer"},{"type":"number"},{"type":"string"}],"nullable":true},"defaultEntry":{"type":"boolean","nullable":true,"default":0},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","name","mode","sort","defaultEntry","createdAt","updatedAt"]},"VoiceQueue":{"title":"VoiceQueue","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"type":{"type":"string","enum":["inbound","outbound"],"default":"inbound"},"musiconhold":{"type":"string","nullable":true,"default":"default"},"announce":{"type":"string","nullable":true,"default":""},"strategy":{"type":"string","enum":["rr","ringall","leastrecent","fewestcalls","random","rrmemory","linear","wrandom","rrordered"],"default":"ringall"},"servicelevel":{"type":"integer","format":"int32","nullable":true,"default":0},"context":{"type":"string","nullable":true,"default":null},"penaltymemberslimit":{"type":"integer","format":"int32","nullable":true},"timeout":{"type":"integer","format":"int32","nullable":true,"default":15},"retry":{"type":"integer","format":"int32","nullable":true,"default":2},"timeoutpriority":{"type":"string","nullable":true},"weight":{"type":"integer","format":"int32","nullable":true,"default":0},"wrapuptime":{"type":"integer","format":"int32","nullable":true,"default":0},"autofill":{"type":"string","enum":["yes","no"],"nullable":true,"default":"yes"},"autopause":{"type":"string","enum":["yes","no","all"],"nullable":true,"default":"no"},"autopausedelay":{"type":"integer","format":"int32","nullable":true},"autopausebusy":{"type":"string","enum":["yes","no"],"nullable":true,"default":"no"},"autopauseunavail":{"type":"string","enum":["yes","no"],"nullable":true,"default":"no"},"maxlen":{"type":"integer","format":"int32","nullable":true,"default":0},"setinterfacevar":{"type":"string","enum":["yes","no"],"nullable":true,"default":"no"},"setqueueentryvar":{"type":"string","enum":["yes","no"],"nullable":true},"setqueuevar":{"type":"string","enum":["yes","no"],"nullable":true,"default":"no"},"eventmemberstatus":{"type":"string","enum":["yes","no"],"nullable":true,"default":"no"},"membermacro":{"type":"string","nullable":true},"membergosub":{"type":"string","nullable":true},"announce_frequency":{"type":"integer","format":"int32","nullable":true,"default":0},"min_announce_frequency":{"type":"integer","format":"int32","nullable":true,"default":0},"periodic_announce_frequency":{"type":"integer","format":"int32","nullable":true,"default":0},"random_periodic_announce":{"type":"string","enum":["yes","no"],"nullable":true,"default":"no"},"relative_periodic_announce":{"type":"string","enum":["yes","no"],"nullable":true,"default":"yes"},"announce_holdtime":{"type":"string","enum":["yes","no","once"],"nullable":true,"default":"no"},"announce_position":{"type":"string","nullable":true,"default":"no"},"announce_to_first_user":{"type":"string","enum":["yes","no"],"nullable":true},"announce_position_limit":{"type":"integer","format":"int32","nullable":true},"announce_round_seconds":{"type":"string","enum":["0","5","10","15","20","30"],"nullable":true,"default":"0"},"monitor_format":{"type":"string","nullable":true,"default":""},"monitor_type":{"type":"string","nullable":true},"queue_youarenext":{"type":"string","nullable":true,"default":null},"queue_thereare":{"type":"string","nullable":true,"default":null},"queue_callswaiting":{"type":"string","nullable":true,"default":null},"queue_holdtime":{"type":"string","nullable":true,"default":null},"queue_minute":{"type":"string","nullable":true,"default":null},"queue_minutes":{"type":"string","nullable":true,"default":null},"queue_seconds":{"type":"string","nullable":true,"default":null},"queue_thankyou":{"type":"string","nullable":true,"default":null},"queue_reporthold":{"type":"string","nullable":true},"queue_quantity1":{"type":"string","nullable":true},"queue_quantity2":{"type":"string","nullable":true},"queue_periodic_announce":{"type":"string","nullable":true},"queue_less_than":{"type":"string","nullable":true},"periodic_announce":{"type":"string","nullable":true},"joinempty":{"type":"string","nullable":true,"default":"yes"},"leavewhenempty":{"type":"string","nullable":true,"default":"no"},"reportholdtime":{"type":"string","enum":["yes","no"],"nullable":true,"default":"no"},"ringinuse":{"type":"string","enum":["yes","no"],"nullable":true,"default":"no"},"memberdelay":{"type":"integer","format":"int32","nullable":true,"default":0},"timeoutrestart":{"type":"string","enum":["yes","no"],"nullable":true,"default":"no"},"defaultrule":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"acw":{"type":"boolean","nullable":true,"default":0},"acwTimeout":{"type":"integer","format":"int32","nullable":true,"default":10},"dialActive":{"type":"boolean","nullable":true,"default":0},"dialMethod":{"type":"string","enum":["preview","progressive","power","predictive","booked"],"nullable":true,"default":"progressive"},"dialLimitChannel":{"type":"integer","format":"int32","nullable":true,"default":0},"dialLimitQueue":{"type":"integer","format":"int32","nullable":true,"default":0},"dialPowerLevel":{"type":"number","format":"float","nullable":true,"default":1},"dialPredictiveOptimization":{"type":"string","enum":["agentBusyFactor","dropRate"],"nullable":true,"default":"dropRate"},"dialPredictiveOptimizationPercentage":{"type":"number","format":"float","nullable":true,"default":3},"dialPredictiveInterval":{"type":"integer","format":"int32","nullable":true,"default":10},"dialOriginateCallerIdName":{"type":"string","nullable":true},"dialOriginateCallerIdNumber":{"type":"string","nullable":true},"dialOriginateTimeout":{"type":"integer","format":"int32","nullable":true,"default":30},"dialQueueOptions":{"type":"string","nullable":true,"default":"tTxX"},"dialQueueTimeout":{"type":"integer","format":"int32","nullable":true,"default":3},"dialQueueProject":{"type":"string","nullable":true},"dialCongestionMaxRetry":{"type":"integer","format":"int32","nullable":true,"default":3},"dialCongestionRetryFrequency":{"type":"integer","format":"int32","nullable":true,"default":150},"dialBusyMaxRetry":{"type":"integer","format":"int32","nullable":true,"default":3},"dialBusyRetryFrequency":{"type":"integer","format":"int32","nullable":true,"default":150},"dialNoAnswerMaxRetry":{"type":"integer","format":"int32","nullable":true,"default":3},"dialNoAnswerRetryFrequency":{"type":"integer","format":"int32","nullable":true,"default":150},"dialGlobalMaxRetry":{"type":"integer","format":"int32","nullable":true,"default":4},"dialTimezone":{"type":"string","nullable":true,"default":null},"dialGlobalInterval":{"type":"string","nullable":true,"default":"07:00-22:00,*,*,*"},"dialPrefix":{"type":"string","nullable":true,"default":null},"dialCheckDuplicateType":{"type":"string","enum":["always","never","onlyIfOpen"],"nullable":true,"default":"always"},"dialAMDActive":{"type":"boolean","nullable":true,"default":0},"dialAMDInitialSilence":{"type":"integer","format":"int32","nullable":true,"default":2500},"dialAMDGreeting":{"type":"integer","format":"int32","nullable":true,"default":1500},"dialAMDAfterGreetingSilence":{"type":"integer","format":"int32","nullable":true,"default":800},"dialAMDTotalAnalysisTime":{"type":"integer","format":"int32","nullable":true,"default":5000},"dialAMDMinWordLength":{"type":"integer","format":"int32","nullable":true,"default":100},"dialAMDBetweenWordsSilence":{"type":"integer","format":"int32","nullable":true,"default":50},"dialAMDMaximumNumberOfWords":{"type":"integer","format":"int32","nullable":true,"default":3},"dialAMDSilenceThreshold":{"type":"integer","format":"int32","nullable":true,"default":256},"dialAMDMaximumWordLength":{"type":"integer","format":"int32","nullable":true,"default":5000},"dialRecallMeTimeout":{"type":"integer","format":"int32","nullable":true,"default":30},"dialRecallInQueue":{"type":"boolean","nullable":true,"default":0},"dialOrderByScheduledAt":{"type":"string","enum":["DESC","ASC"],"nullable":true,"default":"DESC"},"dialQueueProject2":{"type":"string","nullable":true},"dialAgiAfterHangupClient":{"type":"boolean","nullable":true,"default":false},"dialAgiAfterHangupAgent":{"type":"boolean","nullable":true,"default":false},"dialRandomLastDigitCallerIdNumber":{"type":"integer","format":"int32","nullable":true,"default":0},"dialCutDigit":{"type":"integer","format":"int32","nullable":true,"default":0},"dialNoSuchNumberMaxRetry":{"type":"integer","format":"int32","nullable":true,"default":3},"dialNoSuchNumberRetryFrequency":{"type":"integer","format":"int32","nullable":true,"default":150},"dialDropMaxRetry":{"type":"integer","format":"int32","nullable":true,"default":3},"dialDropRetryFrequency":{"type":"integer","format":"int32","nullable":true,"default":150},"dialAbandonedMaxRetry":{"type":"integer","format":"int32","nullable":true,"default":3},"dialAbandonedRetryFrequency":{"type":"integer","format":"int32","nullable":true,"default":150},"dialMachineMaxRetry":{"type":"integer","format":"int32","nullable":true,"default":3},"dialMachineRetryFrequency":{"type":"integer","format":"int32","nullable":true,"default":150},"dialAgentRejectMaxRetry":{"type":"integer","format":"int32","nullable":true,"default":3},"dialAgentRejectRetryFrequency":{"type":"integer","format":"int32","nullable":true,"default":150},"mandatoryDispositionPauseId":{"type":"integer","format":"int32","nullable":true},"mandatoryDisposition":{"type":"boolean","nullable":true,"default":false},"dialPredictiveIntervalMaxThreshold":{"type":"integer","format":"int32","nullable":true,"default":20},"dialPredictiveIntervalMinThreshold":{"type":"integer","format":"int32","nullable":true,"default":10},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"TrunkId":{"type":"integer","format":"int32","nullable":true},"TrunkBackupId":{"type":"integer","format":"int32","nullable":true},"IntervalId":{"type":"integer","format":"int32","nullable":true}},"required":["id","name","type","musiconhold","announce","strategy","servicelevel","context","timeout","retry","weight","wrapuptime","autofill","autopause","autopausebusy","autopauseunavail","maxlen","setinterfacevar","setqueuevar","eventmemberstatus","announce_frequency","min_announce_frequency","periodic_announce_frequency","random_periodic_announce","relative_periodic_announce","announce_holdtime","announce_position","announce_round_seconds","monitor_format","queue_youarenext","queue_thereare","queue_callswaiting","queue_holdtime","queue_minute","queue_minutes","queue_seconds","queue_thankyou","joinempty","leavewhenempty","reportholdtime","ringinuse","memberdelay","timeoutrestart","acw","acwTimeout","dialActive","dialMethod","dialLimitChannel","dialLimitQueue","dialPowerLevel","dialPredictiveOptimization","dialPredictiveOptimizationPercentage","dialPredictiveInterval","dialOriginateTimeout","dialQueueOptions","dialQueueTimeout","dialCongestionMaxRetry","dialCongestionRetryFrequency","dialBusyMaxRetry","dialBusyRetryFrequency","dialNoAnswerMaxRetry","dialNoAnswerRetryFrequency","dialGlobalMaxRetry","dialTimezone","dialGlobalInterval","dialPrefix","dialCheckDuplicateType","dialAMDActive","dialAMDInitialSilence","dialAMDGreeting","dialAMDAfterGreetingSilence","dialAMDTotalAnalysisTime","dialAMDMinWordLength","dialAMDBetweenWordsSilence","dialAMDMaximumNumberOfWords","dialAMDSilenceThreshold","dialAMDMaximumWordLength","dialRecallMeTimeout","dialRecallInQueue","dialOrderByScheduledAt","dialAgiAfterHangupClient","dialAgiAfterHangupAgent","dialRandomLastDigitCallerIdNumber","dialCutDigit","dialNoSuchNumberMaxRetry","dialNoSuchNumberRetryFrequency","dialDropMaxRetry","dialDropRetryFrequency","dialAbandonedMaxRetry","dialAbandonedRetryFrequency","dialMachineMaxRetry","dialMachineRetryFrequency","dialAgentRejectMaxRetry","dialAgentRejectRetryFrequency","mandatoryDisposition","dialPredictiveIntervalMaxThreshold","dialPredictiveIntervalMinThreshold","createdAt","updatedAt"]},"VoiceRecording":{"title":"VoiceRecording","type":"object","properties":{"id":{"type":"integer","format":"int32"},"format":{"anyOf":[{"type":"object"},{"type":"array"},{"type":"boolean"},{"type":"integer"},{"type":"number"},{"type":"string"}],"nullable":true},"uniqueid":{"type":"string","nullable":true},"channel":{"type":"string","nullable":true},"membername":{"type":"string","nullable":true},"calleridnum":{"type":"string","nullable":true},"calleridname":{"type":"string","nullable":true},"connectedlinenum":{"type":"string","nullable":true},"connectedlinename":{"type":"string","nullable":true},"accountcode":{"type":"string","nullable":true},"context":{"type":"string","nullable":true},"exten":{"type":"string","nullable":true},"value":{"type":"string","nullable":true},"type":{"type":"string","nullable":true},"rating":{"type":"integer","format":"int32","nullable":true},"queue":{"type":"string","nullable":true},"userDisposition":{"type":"string","nullable":true},"userSecondDisposition":{"type":"string","nullable":true},"userThirdDisposition":{"type":"string","nullable":true},"location":{"type":"string","nullable":true},"transcribeName":{"type":"string","nullable":true},"transcribeStatus":{"type":"string","nullable":true,"default":"NEW"},"fileUri":{"type":"string","nullable":true},"fileText":{"type":"string","nullable":true},"failureReason":{"type":"string","nullable":true},"sentiment":{"type":"string","nullable":true},"sPositive":{"type":"number","format":"float","nullable":true},"sNegative":{"type":"number","format":"float","nullable":true},"sNeutral":{"type":"number","format":"float","nullable":true},"sMixed":{"type":"number","format":"float","nullable":true},"tempSentiment":{"type":"boolean","nullable":true,"default":false},"createdAt":{"type":"string","format":"date-time","nullable":true},"updatedAt":{"type":"string","format":"date-time","nullable":true},"UserId":{"type":"integer","format":"int32","nullable":true}},"required":["id","transcribeStatus","tempSentiment"]},"ScreenRecording":{"title":"ScreenRecording","type":"object","properties":{"id":{"type":"integer","format":"int32"},"format":{"anyOf":[{"type":"object"},{"type":"array"},{"type":"boolean"},{"type":"integer"},{"type":"number"},{"type":"string"}],"nullable":true},"interactionid":{"type":"string","nullable":true},"channel":{"type":"string","nullable":true},"value":{"type":"string","nullable":true},"rating":{"type":"integer","format":"int32","nullable":true},"duration":{"type":"integer","format":"int32","nullable":true},"startedAt":{"type":"string","format":"date-time","nullable":true},"closedAt":{"type":"string","format":"date-time","nullable":true},"createdAt":{"type":"string","format":"date-time","nullable":true},"updatedAt":{"type":"string","format":"date-time","nullable":true},"UserId":{"type":"integer","format":"int32","nullable":true}},"required":["id"]},"VoiceMail":{"title":"VoiceMail","type":"object","properties":{"id":{"type":"integer","format":"int32"},"customer_id":{"type":"string","nullable":true},"context":{"type":"string","nullable":true,"default":"from-voicemail"},"mailbox":{"type":"string","default":""},"password":{"type":"string","nullable":true},"fullname":{"type":"string","nullable":true},"email":{"type":"string","nullable":true},"pager":{"type":"string","nullable":true},"tz":{"type":"string","nullable":true,"default":"central"},"attach":{"type":"string","enum":["yes","no"],"default":"yes"},"saycid":{"type":"string","enum":["yes","no"],"default":"yes"},"dialout":{"type":"string","nullable":true},"callback":{"type":"string","nullable":true},"review":{"type":"string","enum":["yes","no"],"default":"no"},"operator":{"type":"string","enum":["yes","no"],"default":"no"},"envelope":{"type":"string","enum":["yes","no"],"default":"no"},"sayduration":{"type":"string","enum":["yes","no"],"default":"no"},"saydurationm":{"anyOf":[{"type":"object"},{"type":"array"},{"type":"boolean"},{"type":"integer"},{"type":"number"},{"type":"string"}],"default":1},"sendvoicemail":{"type":"string","enum":["yes","no"],"default":"no"},"delete":{"type":"string","enum":["yes","no"],"default":"no"},"nextaftercmd":{"type":"string","enum":["yes","no"],"default":"yes"},"forcename":{"type":"string","enum":["yes","no"],"default":"no"},"forcegreetings":{"type":"string","enum":["yes","no"],"default":"no"},"hidefromdir":{"type":"string","enum":["yes","no"],"default":"yes"},"stamp":{"anyOf":[{"type":"object"},{"type":"array"},{"type":"boolean"},{"type":"integer"},{"type":"number"},{"type":"string"}],"nullable":true},"emailsubject":{"type":"string","nullable":true},"emailbody":{"type":"string","nullable":true},"maxsecs":{"type":"integer","format":"int32","default":180},"maxmsg":{"type":"integer","format":"int32","default":100},"name":{"anyOf":[{"type":"object"},{"type":"array"},{"type":"boolean"},{"type":"integer"},{"type":"number"},{"type":"string"}],"nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"UserId":{"type":"integer","format":"int32","nullable":true}},"required":["id","context","mailbox","tz","attach","saycid","review","operator","envelope","sayduration","saydurationm","sendvoicemail","delete","nextaftercmd","forcename","forcegreetings","hidefromdir","maxsecs","maxmsg","createdAt","updatedAt"]},"VoiceMailMessage":{"title":"VoiceMailMessage","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"anyOf":[{"type":"object"},{"type":"array"},{"type":"boolean"},{"type":"integer"},{"type":"number"},{"type":"string"}],"nullable":true},"msgnum":{"type":"integer","format":"int32","default":0},"dir":{"type":"string","nullable":true,"default":""},"context":{"type":"string","nullable":true,"default":""},"macrocontext":{"type":"string","nullable":true,"default":""},"callerid":{"type":"string","nullable":true,"default":""},"origtime":{"type":"string","nullable":true,"default":""},"duration":{"type":"string","nullable":true,"default":""},"mailboxuser":{"type":"string","nullable":true,"default":""},"mailboxcontext":{"type":"string","nullable":true,"default":""},"recording":{"type":"string","format":"byte","nullable":true,"default":null},"flag":{"type":"string","nullable":true,"default":""},"msg_id":{"type":"string","nullable":true,"default":""},"stamp":{"anyOf":[{"type":"object"},{"type":"array"},{"type":"boolean"},{"type":"integer"},{"type":"number"},{"type":"string"}]}},"required":["id","msgnum","dir","context","macrocontext","callerid","origtime","duration","mailboxuser","mailboxcontext","recording","flag","msg_id","stamp"]},"VoiceCallReport":{"title":"VoiceCallReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"uniqueid":{"type":"string","nullable":true},"type":{"type":"string","enum":["inbound","internal","outbound","inbound-fax","outbound-fax","dialer"],"nullable":true},"tag":{"type":"string","nullable":true},"accountcode":{"type":"string","nullable":true},"source":{"type":"string","nullable":true},"destination":{"type":"string","nullable":true},"destinationcontext":{"type":"string","nullable":true},"callerid":{"type":"string","nullable":true},"channel":{"type":"string","nullable":true},"destinationchannel":{"type":"string","nullable":true},"lastapplication":{"type":"string","nullable":true},"lastdata":{"type":"string","nullable":true},"starttime":{"type":"string","format":"date-time","nullable":true},"systemanswertime":{"type":"string","format":"date-time","nullable":true},"answertime":{"type":"string","format":"date-time","nullable":true},"endtime":{"type":"string","format":"date-time","nullable":true},"duration":{"type":"integer","format":"int32","nullable":true},"billableseconds":{"type":"integer","format":"int32","nullable":true},"mohtime":{"type":"integer","format":"int32","nullable":true,"default":0},"disposition":{"type":"string","nullable":true},"amaflags":{"type":"string","nullable":true},"userfield":{"type":"string","nullable":true},"userDisposition":{"type":"string","nullable":true},"userSecondDisposition":{"type":"string","nullable":true},"userThirdDisposition":{"type":"string","nullable":true},"note":{"type":"string","nullable":true},"prefix":{"type":"string","nullable":true},"routeid":{"type":"integer","format":"int32","nullable":true},"sipcallid":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"UserId":{"type":"integer","format":"int32","nullable":true},"ContactId":{"type":"integer","format":"int32","nullable":true}},"required":["id","mohtime","createdAt","updatedAt"]},"VoiceDialReport":{"title":"VoiceDialReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"privilege":{"type":"string","nullable":true},"channel":{"type":"string","nullable":true},"channelstate":{"type":"integer","format":"int32","nullable":true},"channelstatedesc":{"type":"string","nullable":true},"calleridnum":{"type":"string","nullable":true},"calleridname":{"type":"string","nullable":true},"connectedlinenum":{"type":"string","nullable":true},"connectedlinename":{"type":"string","nullable":true},"language":{"type":"string","nullable":true},"accountcode":{"type":"string","nullable":true},"context":{"type":"string","nullable":true},"exten":{"type":"string","nullable":true},"priority":{"type":"integer","format":"int32","nullable":true},"uniqueid":{"type":"string","nullable":true},"linkedid":{"type":"string","nullable":true},"destchannel":{"type":"string","nullable":true},"destchannelstate":{"type":"integer","format":"int32","nullable":true},"destchannelstatedesc":{"type":"string","nullable":true},"destcalleridnum":{"type":"string","nullable":true},"destcalleridname":{"type":"string","nullable":true},"destconnectedlinenum":{"type":"string","nullable":true},"destconnectedlinename":{"type":"string","nullable":true},"destlanguage":{"type":"string","nullable":true},"destaccountcode":{"type":"string","nullable":true},"destcontext":{"type":"string","nullable":true},"destexten":{"type":"string","nullable":true},"destpriority":{"type":"integer","format":"int32","nullable":true},"destuniqueid":{"type":"string","nullable":true},"destlinkedid":{"type":"string","nullable":true},"dialstring":{"type":"string","nullable":true},"dialstatus":{"type":"string","nullable":true},"starttime":{"type":"string","format":"date-time","nullable":true},"answertime":{"type":"string","format":"date-time","nullable":true},"endtime":{"type":"string","format":"date-time","nullable":true},"lastevent":{"type":"string","nullable":true},"routeId":{"type":"integer","format":"int32","nullable":true},"duration":{"type":"integer","format":"int32","nullable":true},"holdtime":{"type":"integer","format":"int32","nullable":true},"billableseconds":{"type":"integer","format":"int32","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","createdAt","updatedAt"]},"VoiceAgentReport":{"title":"VoiceAgentReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"type":{"type":"string","nullable":true},"uniqueid":{"type":"string","nullable":true},"calleridnum":{"type":"string","nullable":true},"calleridname":{"type":"string","nullable":true},"queue":{"type":"string","nullable":true},"interface":{"type":"string","nullable":true},"membername":{"type":"string","nullable":true},"agentcalledAt":{"type":"string","format":"date-time","nullable":true},"agentconnectAt":{"type":"string","format":"date-time","nullable":true},"agentcompleteAt":{"type":"string","format":"date-time","nullable":true},"agentringnoanswerAt":{"type":"string","format":"date-time","nullable":true},"agentdumpAt":{"type":"string","format":"date-time","nullable":true},"holdtime":{"type":"integer","format":"int32","nullable":true},"agentcomplete":{"type":"boolean","nullable":true,"default":false},"agentringnoanswer":{"type":"boolean","nullable":true,"default":false},"agentdump":{"type":"boolean","nullable":true,"default":false},"talktime":{"type":"integer","format":"int32","nullable":true},"agentacw":{"type":"boolean","nullable":true,"default":false},"acwtime":{"type":"integer","format":"int32","nullable":true},"reason":{"type":"string","nullable":true},"lastevent":{"type":"string","nullable":true},"channel":{"type":"string","nullable":true},"channelstate":{"type":"integer","format":"int32","nullable":true},"channelstatedesc":{"type":"string","nullable":true},"connectedlinenum":{"type":"string","nullable":true},"connectedlinename":{"type":"string","nullable":true},"language":{"type":"string","nullable":true},"accountcode":{"type":"string","nullable":true},"context":{"type":"string","nullable":true},"exten":{"type":"string","nullable":true},"priority":{"type":"string","nullable":true},"destchannel":{"type":"string","nullable":true},"destchannelstate":{"type":"integer","format":"int32","nullable":true},"destchannelstatedesc":{"type":"string","nullable":true},"destcalleridnum":{"type":"string","nullable":true},"destcalleridname":{"type":"string","nullable":true},"destconnectedlinenum":{"type":"string","nullable":true},"destconnectedlinename":{"type":"string","nullable":true},"destlanguage":{"type":"string","nullable":true},"destaccountcode":{"type":"string","nullable":true},"destcontext":{"type":"string","nullable":true},"destexten":{"type":"string","nullable":true},"destpriority":{"type":"string","nullable":true},"destuniqueid":{"type":"string","nullable":true},"answeredelsewheredestinationuniqueid":{"type":"string","nullable":true},"answeredelsewheremembername":{"type":"string","nullable":true},"transfer":{"type":"boolean","nullable":true,"default":false},"transfertype":{"type":"string","nullable":true},"transferexten":{"type":"string","nullable":true},"transferuniqueid":{"type":"string","nullable":true},"agententerreason":{"type":"integer","format":"int32","nullable":true,"default":0},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","agentcomplete","agentringnoanswer","agentdump","agentacw","transfer","agententerreason","createdAt","updatedAt"]},"VoiceQueueReport":{"title":"VoiceQueueReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"type":{"type":"string","nullable":true},"uniqueid":{"type":"string","nullable":true},"calleridnum":{"type":"string","nullable":true},"calleridname":{"type":"string","nullable":true},"queue":{"type":"string","nullable":true},"queuecallerjoinAt":{"type":"string","format":"date-time","nullable":true},"queuecallerleaveAt":{"type":"string","format":"date-time","nullable":true},"position":{"type":"integer","format":"int32","nullable":true},"count":{"type":"integer","format":"int32","nullable":true},"queuecallerabandon":{"type":"boolean","nullable":true,"default":false},"queuecallerabandonAt":{"type":"string","format":"date-time","nullable":true},"queuecallercomplete":{"type":"boolean","nullable":true,"default":false},"queuecallercompleteAt":{"type":"string","format":"date-time","nullable":true},"queuecallerexit":{"type":"boolean","nullable":true,"default":false},"queuecallerexitAt":{"type":"string","format":"date-time","nullable":true},"queuecallerexitreason":{"type":"string","nullable":true},"originalposition":{"type":"integer","format":"int32","nullable":true},"channel":{"type":"string","nullable":true},"connectedlinenum":{"type":"string","nullable":true},"connectedlinename":{"type":"string","nullable":true},"accountcode":{"type":"string","nullable":true},"context":{"type":"string","nullable":true},"exten":{"type":"string","nullable":true},"priority":{"type":"string","nullable":true},"holdtime":{"type":"integer","format":"int32","nullable":true},"mohtime":{"type":"integer","format":"int32","nullable":true,"default":0},"assigned":{"type":"boolean","nullable":true,"default":false},"lastAssignedTo":{"type":"string","nullable":true},"transfer":{"type":"boolean","nullable":true,"default":false},"transfertype":{"type":"string","nullable":true},"transferexten":{"type":"string","nullable":true},"transferuniqueid":{"type":"string","nullable":true},"disposition":{"type":"string","nullable":true},"secondDisposition":{"type":"string","nullable":true},"thirdDisposition":{"type":"string","nullable":true},"queuecallerenterreason":{"type":"integer","format":"int32","nullable":true,"default":0},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","queuecallerabandon","queuecallercomplete","queuecallerexit","mohtime","assigned","transfer","queuecallerenterreason","createdAt","updatedAt"]},"MemberReport":{"title":"MemberReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"channel":{"type":"string","nullable":true},"membername":{"type":"string","nullable":true},"interface":{"type":"string","nullable":true},"type":{"type":"string","nullable":true,"default":"DEFAULT PAUSE"},"duration":{"type":"integer","format":"int32","nullable":true},"enterAt":{"type":"string","format":"date-time","nullable":true},"exitAt":{"type":"string","format":"date-time","nullable":true},"data1":{"type":"string","nullable":true},"data2":{"type":"string","nullable":true},"data3":{"type":"string","nullable":true},"data4":{"type":"string","nullable":true},"data5":{"type":"string","nullable":true},"role":{"type":"string","nullable":true},"internal":{"type":"integer","format":"int32","nullable":true},"uniqueid":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","type","createdAt","updatedAt"]},"Trunk":{"title":"Trunk","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"type":{"type":"string","enum":["friend","user","peer"],"default":"friend"},"context":{"type":"string","default":"from-voip-provider"},"callingpres":{"type":"string","enum":["ALLOWED_NOT_SCREENED","ALLOWED_PASSED_SCREEN","ALLOWED_FAILED_SCREEN","ALLOWED","PROHIB_NOT_SCREENED","PROHIB_PASSED_SCREEN","PROHIB_FAILED_SCREEN","PROHIB"],"nullable":true},"deny":{"type":"string","nullable":true},"permit":{"type":"string","nullable":true},"secret":{"type":"string","nullable":true},"md5secret":{"type":"string","nullable":true},"remotesecret":{"type":"string","nullable":true},"transport":{"type":"string","nullable":true,"default":"udp"},"dtmfmode":{"type":"string","enum":["rfc2833","info","shortinfo","inband","auto"],"nullable":true,"default":"rfc2833"},"directmedia":{"type":"string","enum":["yes","no","nonat","update","outgoing"],"nullable":true,"default":"no"},"directrtpsetup":{"type":"string","enum":["yes","no"],"nullable":true,"default":"no"},"directmediapermit":{"type":"string","nullable":true},"directmediadeny":{"type":"string","nullable":true},"nat":{"type":"string","nullable":true,"default":"force_rport,comedia"},"callgroup":{"type":"string","nullable":true},"namedcallgroup":{"type":"string","nullable":true},"pickupgroup":{"type":"string","nullable":true},"namedpickupgroup":{"type":"string","nullable":true},"language":{"type":"string","nullable":true,"default":"en"},"tonezone":{"type":"string","nullable":true},"disallow":{"type":"string","nullable":true,"default":"all"},"allow":{"type":"string","default":"ulaw;alaw;gsm"},"autoframing":{"type":"string","enum":["yes","no"],"nullable":true},"insecure":{"type":"string","nullable":true,"default":"port,invite"},"trustrpid":{"type":"string","enum":["yes","no"],"nullable":true,"default":"no"},"trust_id_outbound":{"type":"string","enum":["yes","no"],"nullable":true,"default":"no"},"progressinband":{"type":"string","enum":["yes","no","never"],"nullable":true},"promiscredir":{"type":"string","enum":["yes","no"],"nullable":true},"useclientcode":{"type":"string","enum":["yes","no"],"nullable":true},"accountcode":{"type":"integer","format":"int32","nullable":true},"setvar":{"type":"string","nullable":true},"callerid":{"type":"string","nullable":true,"default":"\"\" <>"},"amaflags":{"type":"string","nullable":true},"callcounter":{"type":"string","enum":["yes","no"],"nullable":true,"default":"yes"},"busylevel":{"type":"integer","format":"int32","nullable":true},"allowoverlap":{"type":"string","enum":["yes","no"],"nullable":true},"allowsubscribe":{"type":"string","enum":["yes","no"],"nullable":true},"allowtransfer":{"type":"string","enum":["yes","no"],"nullable":true},"ignoresdpversion":{"type":"string","enum":["yes","no"],"nullable":true},"subscribecontext":{"type":"string","nullable":true},"template":{"type":"string","nullable":true},"videosupport":{"type":"string","enum":["yes","no","always"],"nullable":true,"default":"no"},"maxcallbitrate":{"type":"integer","format":"int32","nullable":true},"rfc2833compensate":{"type":"string","enum":["yes","no"],"nullable":true},"mailbox":{"type":"string","nullable":true},"session_timers":{"type":"string","enum":["accept","refuse","originate"],"nullable":true},"session_expires":{"type":"integer","format":"int32","nullable":true},"session_minse":{"type":"integer","format":"int32","nullable":true},"session_refresher":{"type":"string","enum":["uac","uas"],"nullable":true,"default":"uas"},"t38pt_usertpsource":{"type":"string","nullable":true},"regexten":{"type":"string","nullable":true},"fromdomain":{"type":"string","nullable":true},"fromuser":{"type":"string","nullable":true},"port":{"type":"integer","format":"int32","nullable":true},"qualify":{"type":"string","enum":["yes","no"],"nullable":true,"default":"yes"},"keepalive":{"type":"integer","format":"int32","nullable":true},"defaultip":{"type":"string","nullable":true},"defaultuser":{"type":"string","nullable":true},"rtptimeout":{"type":"integer","format":"int32","nullable":true},"rtpholdtimeout":{"type":"integer","format":"int32","nullable":true},"rtpkeepalive":{"type":"integer","format":"int32","nullable":true},"sendrpid":{"type":"string","enum":["yes","no","pai"],"nullable":true,"default":"no"},"outboundproxy":{"type":"string","nullable":true},"callbackextension":{"type":"string","nullable":true},"timert1":{"type":"integer","format":"int32","nullable":true},"timerb":{"type":"integer","format":"int32","nullable":true},"qualifyfreq":{"type":"integer","format":"int32","nullable":true},"contactpermit":{"type":"string","nullable":true},"contactdeny":{"type":"string","nullable":true},"contactacl":{"type":"string","nullable":true},"unsolicited_mailbox":{"type":"string","nullable":true},"use_q850_reason":{"type":"string","nullable":true},"maxforwards":{"type":"integer","format":"int32","nullable":true},"encryption":{"type":"string","enum":["yes","no"],"nullable":true,"default":"no"},"avpf":{"type":"string","enum":["yes","no"],"nullable":true},"force_avp":{"type":"string","enum":["yes","no"],"nullable":true},"icesupport":{"type":"string","enum":["yes","no"],"nullable":true},"dtlsenable":{"type":"string","enum":["yes","no"],"nullable":true},"dtlsverify":{"type":"string","enum":["yes","no","fingerprint","certificate"],"nullable":true},"dtlsrekey":{"type":"integer","format":"int32","nullable":true},"dtlscertfile":{"type":"string","nullable":true},"dtlsprivatekey":{"type":"string","nullable":true},"dtlscipher":{"type":"string","nullable":true},"dtlscafile":{"type":"string","nullable":true},"dtlscapath":{"type":"string","nullable":true},"dtlssetup":{"type":"string","enum":["active","passive","actpass"],"nullable":true},"dtlsfingerprint":{"type":"string","nullable":true},"usereqphone":{"type":"string","enum":["yes","no"],"nullable":true,"default":"no"},"recordonfeature":{"type":"string","nullable":true},"recordofffeature":{"type":"string","nullable":true},"call_limit":{"type":"integer","format":"int32","nullable":true,"default":1000},"registertrying":{"type":"string","enum":["yes","no"],"nullable":true},"subscribemwi":{"type":"string","enum":["yes","no"],"nullable":true},"vmexten":{"type":"string","nullable":true},"mohinterpret":{"type":"string","nullable":true},"mohsuggest":{"type":"string","nullable":true},"parkinglot":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"host":{"type":"string","nullable":true,"default":"dynamic"},"canreinvite":{"type":"string","enum":["yes","no","nonat","update","update,nonat"],"nullable":true,"default":"no"},"registry":{"type":"string","nullable":true},"otherFields":{"type":"string","nullable":true},"active":{"type":"boolean","default":true},"t38pt_udptl":{"type":"string","nullable":true,"default":"no"},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","name","type","context","transport","dtmfmode","directmedia","directrtpsetup","nat","language","disallow","allow","insecure","trustrpid","trust_id_outbound","callerid","callcounter","videosupport","session_refresher","qualify","sendrpid","encryption","usereqphone","call_limit","host","canreinvite","active","t38pt_udptl","createdAt","updatedAt"]},"VoiceTransferReport":{"title":"VoiceTransferReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"type":{"type":"string","enum":["blind","attended"],"nullable":true},"result":{"type":"string","nullable":true},"transfererchannel":{"type":"string","nullable":true},"transferercalleridnum":{"type":"string","nullable":true},"transferercalleridname":{"type":"string","nullable":true},"transfererconnectedlinenum":{"type":"string","nullable":true},"transfererconnectedlinename":{"type":"string","nullable":true},"transfereraccountcode":{"type":"string","nullable":true},"transferercontext":{"type":"string","nullable":true},"transfererexten":{"type":"string","nullable":true},"transfererlinkedid":{"type":"string","nullable":true},"transfereechannel":{"type":"string","nullable":true},"transfereecalleridnum":{"type":"string","nullable":true},"transfereecalleridname":{"type":"string","nullable":true},"transfereeconnectedlinenum":{"type":"string","nullable":true},"transfereeconnectedlinename":{"type":"string","nullable":true},"transfereeaccountcode":{"type":"string","nullable":true},"transfereecontext":{"type":"string","nullable":true},"transfereeexten":{"type":"string","nullable":true},"transfereelinkedid":{"type":"string","nullable":true},"isexternal":{"type":"string","enum":["Yes","No"],"nullable":true},"context":{"type":"string","nullable":true},"extension":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","createdAt","updatedAt"]},"UserVoiceQueueRt":{"title":"UserVoiceQueueRt","type":"object","properties":{"uniqueid":{"type":"integer","format":"int32","nullable":true},"membername":{"type":"string"},"UserId":{"type":"integer","format":"int32"},"queue_name":{"type":"string"},"VoiceQueueId":{"type":"integer","format":"int32"},"interface":{"type":"string"},"penalty":{"type":"integer","format":"int32","nullable":true,"default":0},"paused":{"type":"integer","format":"int32","nullable":true,"default":0},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["membername","UserId","queue_name","VoiceQueueId","interface","penalty","paused","createdAt","updatedAt"]},"UserVoiceQueue":{"title":"UserVoiceQueue","type":"object","properties":{"penalty":{"type":"integer","format":"int32","nullable":true,"default":0},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"UserId":{"type":"integer","format":"int32","nullable":true},"VoiceQueueId":{"type":"integer","format":"int32","nullable":true}},"required":["penalty","createdAt","updatedAt"]},"UserChatQueue":{"title":"UserChatQueue","type":"object","properties":{"penalty":{"type":"integer","format":"int32","nullable":true,"default":0},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"UserId":{"type":"integer","format":"int32","nullable":true},"ChatQueueId":{"type":"integer","format":"int32","nullable":true}},"required":["penalty","createdAt","updatedAt"]},"UserMailQueue":{"title":"UserMailQueue","type":"object","properties":{"penalty":{"type":"integer","format":"int32","nullable":true,"default":0},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"UserId":{"type":"integer","format":"int32","nullable":true},"MailQueueId":{"type":"integer","format":"int32","nullable":true}},"required":["penalty","createdAt","updatedAt"]},"UserFaxQueue":{"title":"UserFaxQueue","type":"object","properties":{"penalty":{"type":"integer","format":"int32","nullable":true,"default":0},"assigned":{"type":"integer","format":"int32","nullable":true,"default":0},"queue":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"UserId":{"type":"integer","format":"int32","nullable":true},"FaxQueueId":{"type":"integer","format":"int32","nullable":true}},"required":["penalty","assigned","createdAt","updatedAt"]},"UserSmsQueue":{"title":"UserSmsQueue","type":"object","properties":{"penalty":{"type":"integer","format":"int32","nullable":true,"default":0},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"UserId":{"type":"integer","format":"int32","nullable":true},"SmsQueueId":{"type":"integer","format":"int32","nullable":true}},"required":["penalty","createdAt","updatedAt"]},"UserOpenchannelQueue":{"title":"UserOpenchannelQueue","type":"object","properties":{"penalty":{"type":"integer","format":"int32","nullable":true,"default":0},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"UserId":{"type":"integer","format":"int32","nullable":true},"OpenchannelQueueId":{"type":"integer","format":"int32","nullable":true}},"required":["penalty","createdAt","updatedAt"]},"UserWhatsappQueue":{"title":"UserWhatsappQueue","type":"object","properties":{"penalty":{"type":"integer","format":"int32","nullable":true,"default":0},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"UserId":{"type":"integer","format":"int32","nullable":true},"WhatsappQueueId":{"type":"integer","format":"int32","nullable":true}},"required":["penalty","createdAt","updatedAt"]},"MailServerIn":{"title":"MailServerIn","type":"object","properties":{"id":{"type":"integer","format":"int32"},"description":{"type":"string","nullable":true},"host":{"type":"string","nullable":true},"authentication":{"type":"boolean","nullable":true,"default":true},"user":{"type":"string","nullable":true},"password":{"type":"string","nullable":true},"port":{"type":"integer","format":"int32","nullable":true},"tls":{"type":"boolean","nullable":true,"default":true},"mailbox":{"type":"string","nullable":true,"default":"INBOX"},"connTimeout":{"type":"integer","format":"int32","nullable":true,"default":10},"authTimeout":{"type":"integer","format":"int32","nullable":true,"default":5},"service":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"MailAccountId":{"type":"integer","format":"int32","nullable":true}},"required":["id","authentication","tls","mailbox","connTimeout","authTimeout","createdAt","updatedAt"]},"MailServerOut":{"title":"MailServerOut","type":"object","properties":{"id":{"type":"integer","format":"int32"},"description":{"type":"string","nullable":true},"host":{"type":"string","nullable":true},"user":{"type":"string","nullable":true},"pass":{"type":"string","nullable":true},"port":{"type":"integer","format":"int32","nullable":true},"secure":{"type":"boolean","nullable":true,"default":false},"service":{"type":"string","nullable":true},"authentication":{"type":"boolean","nullable":true,"default":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"MailAccountId":{"type":"integer","format":"int32","nullable":true}},"required":["id","secure","authentication","createdAt","updatedAt"]},"MailAccount":{"title":"MailAccount","type":"object","properties":{"id":{"type":"integer","format":"int32"},"description":{"type":"string","nullable":true},"name":{"type":"string"},"service":{"type":"boolean","nullable":true,"default":false},"email":{"type":"string","nullable":true},"active":{"type":"boolean","nullable":true,"default":true},"key":{"type":"string"},"template":{"type":"string","nullable":true},"markAsUnread":{"type":"boolean","nullable":true,"default":false},"fontFamily":{"type":"string","default":"Arial,Helvetica,sans-serif"},"fontSize":{"type":"integer","format":"int32","nullable":true,"default":13},"notificationTemplate":{"type":"string","nullable":true},"notificationSound":{"type":"boolean","nullable":true,"default":true},"notificationShake":{"type":"boolean","nullable":true,"default":false},"waitForTheAssignedAgent":{"type":"integer","format":"int32","nullable":true,"default":10},"queueTransfer":{"type":"boolean","nullable":true,"default":false},"queueTransferTimeout":{"type":"integer","format":"int32","nullable":true,"default":300},"agentTransfer":{"type":"boolean","nullable":true,"default":false},"agentTransferTimeout":{"type":"integer","format":"int32","nullable":true,"default":300},"mandatoryDispositionPauseId":{"type":"integer","format":"int32","nullable":true,"default":null},"mandatoryDisposition":{"type":"boolean","nullable":true,"default":false},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"ListId":{"type":"integer","format":"int32","nullable":true},"TemplateId":{"type":"integer","format":"int32","nullable":true}},"required":["id","name","service","active","key","markAsUnread","fontFamily","fontSize","notificationTemplate","notificationSound","notificationShake","waitForTheAssignedAgent","queueTransfer","queueTransferTimeout","agentTransfer","agentTransferTimeout","mandatoryDispositionPauseId","mandatoryDisposition","createdAt","updatedAt"]},"MailQueue":{"title":"MailQueue","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"timeout":{"type":"integer","format":"int32","nullable":true},"strategy":{"type":"string","enum":["rrmemory","beepall","roundrobin"],"nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","createdAt","updatedAt"]},"MailSubstatus":{"title":"MailSubstatus","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"description":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","name","createdAt","updatedAt"]},"MailInteraction":{"title":"MailInteraction","type":"object","properties":{"id":{"type":"integer","format":"int32"},"closed":{"type":"boolean","nullable":true,"default":false},"closedAt":{"type":"string","format":"date-time","nullable":true},"disposition":{"type":"string","nullable":true},"secondDisposition":{"type":"string","nullable":true},"thirdDisposition":{"type":"string","nullable":true},"note":{"type":"string","nullable":true},"inReplyTo":{"type":"string","maxLength":510,"nullable":true},"to":{"type":"string","nullable":true},"cc":{"type":"string","nullable":true},"subject":{"type":"string","nullable":true},"attach":{"type":"boolean","nullable":true,"default":false},"read1stAt":{"type":"string","format":"date-time","nullable":true},"substatus":{"type":"string","maxLength":60,"nullable":true},"substatusAt":{"type":"string","format":"date-time","nullable":true},"firstMsgDirection":{"type":"string","enum":["in","out"],"default":"in"},"lastMsgAt":{"type":"string","format":"date-time","nullable":true},"lastMsgDirection":{"type":"string","enum":["in","out"],"default":"in"},"lastMsgBody":{"type":"string","nullable":true},"lastMsgText":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"UserId":{"type":"integer","format":"int32","nullable":true},"MailAccountId":{"type":"integer","format":"int32","nullable":true},"ContactId":{"type":"integer","format":"int32","nullable":true}},"required":["id","closed","attach","firstMsgDirection","lastMsgDirection","createdAt","updatedAt"]},"MailMessage":{"title":"MailMessage","type":"object","properties":{"id":{"type":"integer","format":"int32"},"body":{"type":"string"},"plainBody":{"type":"string","nullable":true},"read":{"type":"boolean","nullable":true,"default":false},"direction":{"type":"string","enum":["in","out"],"default":"out"},"messageId":{"type":"string","maxLength":510,"nullable":true},"from":{"type":"string","nullable":true},"to":{"type":"string","nullable":true},"cc":{"type":"string","nullable":true},"bcc":{"type":"string","nullable":true},"subject":{"type":"string","nullable":true},"sentAt":{"type":"string","format":"date-time","nullable":true},"attach":{"type":"integer","format":"int32","nullable":true,"default":0},"secret":{"type":"boolean","nullable":true,"default":false},"readAt":{"type":"string","format":"date-time","nullable":true},"originTo":{"type":"string","nullable":true},"originCc":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"MailAccountId":{"type":"integer","format":"int32","nullable":true},"MailInteractionId":{"type":"integer","format":"int32","nullable":true},"UserId":{"type":"integer","format":"int32","nullable":true},"ContactId":{"type":"integer","format":"int32","nullable":true}},"required":["id","body","read","direction","attach","secret","createdAt","updatedAt"]},"MailApplication":{"title":"MailApplication","type":"object","properties":{"id":{"type":"integer","format":"int32"},"priority":{"type":"integer","format":"int32"},"app":{"type":"string","default":"noop"},"appdata":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"interval":{"type":"string","nullable":true,"default":"*,*,*,*"},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"MailAccountId":{"type":"integer","format":"int32","nullable":true},"UserId":{"type":"integer","format":"int32","nullable":true},"MailQueueId":{"type":"integer","format":"int32","nullable":true},"IntervalId":{"type":"integer","format":"int32","nullable":true},"TagId":{"type":"integer","format":"int32","nullable":true}},"required":["id","priority","app","interval","createdAt","updatedAt"]},"MailQueueReport":{"title":"MailQueueReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"uniqueid":{"type":"string"},"from":{"type":"string","nullable":true},"joinAt":{"type":"string","format":"date-time","nullable":true},"leaveAt":{"type":"string","format":"date-time","nullable":true},"acceptAt":{"type":"string","format":"date-time","nullable":true},"exitAt":{"type":"string","format":"date-time","nullable":true},"reason":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"MailAccountId":{"type":"integer","format":"int32","nullable":true},"ListId":{"type":"integer","format":"int32","nullable":true},"ContactId":{"type":"integer","format":"int32","nullable":true},"MailInteractionId":{"type":"integer","format":"int32","nullable":true},"MailMessageId":{"type":"integer","format":"int32","nullable":true},"UserId":{"type":"integer","format":"int32","nullable":true},"MailQueueId":{"type":"integer","format":"int32","nullable":true}},"required":["id","uniqueid","createdAt","updatedAt"]},"Dashboard":{"title":"Dashboard","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"description":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","name","createdAt","updatedAt"]},"DashboardItem":{"title":"DashboardItem","type":"object","properties":{"id":{"type":"integer","format":"int32"},"title":{"type":"string"},"type":{"type":"string","maxLength":20},"attrs":{"type":"string","nullable":true},"sizeX":{"type":"integer","format":"int32","default":1},"sizeY":{"type":"integer","format":"int32","default":1},"row":{"type":"integer","format":"int32","nullable":true},"col":{"type":"integer","format":"int32","nullable":true},"background":{"type":"string","default":"#ffffff"},"foreground":{"type":"string","default":"#2196f3"},"link":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"DashboardId":{"type":"integer","format":"int32","nullable":true}},"required":["id","title","type","sizeX","sizeY","background","foreground","createdAt","updatedAt"]},"FaxAccount":{"title":"FaxAccount","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"description":{"type":"string","nullable":true},"ecm":{"type":"string","enum":["yes","no"],"nullable":true,"default":"yes"},"headerinfo":{"type":"string","nullable":true,"default":"xCALLY Motion Fax"},"localstationid":{"type":"string","nullable":true},"minrate":{"type":"string","enum":["2400","4800","7200","9600","12000","14400"],"nullable":true,"default":"4800"},"maxrate":{"type":"string","enum":["2400","4800","7200","9600","12000","14400"],"nullable":true,"default":"14400"},"modem":{"type":"string","nullable":true,"default":"v17,v27,v29"},"gateway":{"type":"string","nullable":true,"default":"no"},"faxdetect":{"type":"string","nullable":true,"default":"no"},"t38timeout":{"type":"integer","format":"int32","nullable":true,"default":5000},"tech":{"type":"string","enum":["SIP","IAX","DADHI","KHOMP"],"nullable":true,"default":"SIP"},"key":{"type":"string"},"notificationTemplate":{"type":"string","nullable":true},"notificationSound":{"type":"boolean","nullable":true,"default":true},"notificationShake":{"type":"boolean","nullable":true,"default":false},"waitForTheAssignedAgent":{"type":"integer","format":"int32","nullable":true,"default":10},"queueTransfer":{"type":"boolean","nullable":true,"default":false},"queueTransferTimeout":{"type":"integer","format":"int32","nullable":true,"default":300},"agentTransfer":{"type":"boolean","nullable":true,"default":false},"agentTransferTimeout":{"type":"integer","format":"int32","nullable":true,"default":300},"mandatoryDispositionPauseId":{"type":"integer","format":"int32","nullable":true,"default":null},"mandatoryDisposition":{"type":"boolean","nullable":true,"default":false},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"TrunkId":{"type":"integer","format":"int32","nullable":true},"ListId":{"type":"integer","format":"int32","nullable":true}},"required":["id","name","ecm","headerinfo","minrate","maxrate","modem","gateway","faxdetect","t38timeout","tech","key","notificationTemplate","notificationSound","notificationShake","waitForTheAssignedAgent","queueTransfer","queueTransferTimeout","agentTransfer","agentTransferTimeout","mandatoryDispositionPauseId","mandatoryDisposition","createdAt","updatedAt"]},"FaxApplication":{"title":"FaxApplication","type":"object","properties":{"id":{"type":"integer","format":"int32"},"priority":{"type":"integer","format":"int32"},"app":{"type":"string","default":"noop"},"appdata":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"interval":{"type":"string","nullable":true,"default":"*,*,*,*"},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"FaxAccountId":{"type":"integer","format":"int32","nullable":true},"UserId":{"type":"integer","format":"int32","nullable":true},"FaxQueueId":{"type":"integer","format":"int32","nullable":true},"TagId":{"type":"integer","format":"int32","nullable":true},"IntervalId":{"type":"integer","format":"int32","nullable":true}},"required":["id","priority","app","interval","createdAt","updatedAt"]},"FaxInteraction":{"title":"FaxInteraction","type":"object","properties":{"id":{"type":"integer","format":"int32"},"closed":{"type":"boolean","nullable":true,"default":false},"closedAt":{"type":"string","format":"date-time","nullable":true},"disposition":{"type":"string","nullable":true},"secondDisposition":{"type":"string","nullable":true},"thirdDisposition":{"type":"string","nullable":true},"note":{"type":"string","nullable":true},"read1stAt":{"type":"string","format":"date-time","nullable":true},"fax":{"type":"string","nullable":true},"firstMsgDirection":{"type":"string","enum":["in","out"],"default":"in"},"lastMsgAt":{"type":"string","format":"date-time","nullable":true},"lastMsgDirection":{"type":"string","enum":["in","out"],"default":"in"},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"UserId":{"type":"integer","format":"int32","nullable":true},"FaxAccountId":{"type":"integer","format":"int32","nullable":true},"ContactId":{"type":"integer","format":"int32","nullable":true}},"required":["id","closed","firstMsgDirection","lastMsgDirection","createdAt","updatedAt"]},"FaxMessage":{"title":"FaxMessage","type":"object","properties":{"id":{"type":"integer","format":"int32"},"body":{"type":"string"},"read":{"type":"boolean","nullable":true,"default":false},"direction":{"type":"string","enum":["in","out"],"default":"out"},"failMessage":{"type":"string","nullable":true,"default":null},"readAt":{"type":"string","format":"date-time","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"FaxAccountId":{"type":"integer","format":"int32","nullable":true},"FaxInteractionId":{"type":"integer","format":"int32","nullable":true},"UserId":{"type":"integer","format":"int32","nullable":true},"ContactId":{"type":"integer","format":"int32","nullable":true},"AttachmentId":{"type":"integer","format":"int32","nullable":true}},"required":["id","body","read","direction","failMessage","createdAt","updatedAt"]},"FaxQueue":{"title":"FaxQueue","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"description":{"type":"string","nullable":true},"timeout":{"type":"integer","format":"int32"},"strategy":{"type":"string","enum":["rrmemory","beepall","roundrobin"]},"lastAgent":{"type":"integer","format":"int32","nullable":true,"default":0},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","name","timeout","strategy","lastAgent","createdAt","updatedAt"]},"FaxQueueReport":{"title":"FaxQueueReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"uniqueid":{"type":"string"},"from":{"type":"string","nullable":true},"joinAt":{"type":"string","format":"date-time","nullable":true},"leaveAt":{"type":"string","format":"date-time","nullable":true},"acceptAt":{"type":"string","format":"date-time","nullable":true},"exitAt":{"type":"string","format":"date-time","nullable":true},"reason":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"FaxAccountId":{"type":"integer","format":"int32","nullable":true},"ListId":{"type":"integer","format":"int32","nullable":true},"ContactId":{"type":"integer","format":"int32","nullable":true},"FaxInteractionId":{"type":"integer","format":"int32","nullable":true},"FaxMessageId":{"type":"integer","format":"int32","nullable":true},"UserId":{"type":"integer","format":"int32","nullable":true},"FaxQueueId":{"type":"integer","format":"int32","nullable":true}},"required":["id","uniqueid","createdAt","updatedAt"]},"SmsAccount":{"title":"SmsAccount","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"key":{"type":"string"},"remote":{"type":"string"},"token":{"type":"string","nullable":true},"phone":{"type":"string","nullable":true},"type":{"type":"string","enum":["twilio","skebby","connectel","clicksend","plivo","clickatell","csc","infobip","intelepeer"],"nullable":true},"accountSid":{"type":"string","nullable":true},"authId":{"type":"string","nullable":true},"authToken":{"type":"string","nullable":true},"smsMethod":{"type":"string","enum":["SI","TI","GP"],"nullable":true},"username":{"type":"string","nullable":true},"password":{"type":"string","nullable":true},"apiKey":{"type":"string","nullable":true},"senderString":{"type":"string","nullable":true},"deliveryReport":{"type":"boolean","nullable":true,"default":false},"description":{"type":"string","nullable":true},"notificationTemplate":{"type":"string","nullable":true},"notificationSound":{"type":"boolean","nullable":true,"default":true},"notificationShake":{"type":"boolean","nullable":true,"default":false},"waitForTheAssignedAgent":{"type":"integer","format":"int32","nullable":true,"default":10},"queueTransfer":{"type":"boolean","nullable":true,"default":false},"queueTransferTimeout":{"type":"integer","format":"int32","nullable":true,"default":300},"agentTransfer":{"type":"boolean","nullable":true,"default":false},"agentTransferTimeout":{"type":"integer","format":"int32","nullable":true,"default":300},"baseUrl":{"type":"string","nullable":true},"mandatoryDispositionPauseId":{"type":"integer","format":"int32","nullable":true},"mandatoryDisposition":{"type":"boolean","nullable":true,"default":false},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"ListId":{"type":"integer","format":"int32","nullable":true}},"required":["id","name","key","remote","token","deliveryReport","notificationTemplate","notificationSound","notificationShake","waitForTheAssignedAgent","queueTransfer","queueTransferTimeout","agentTransfer","agentTransferTimeout","mandatoryDisposition","createdAt","updatedAt"]},"SmsApplication":{"title":"SmsApplication","type":"object","properties":{"id":{"type":"integer","format":"int32"},"priority":{"type":"integer","format":"int32"},"app":{"type":"string","default":"noop"},"appdata":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"interval":{"type":"string","nullable":true,"default":"*,*,*,*"},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"SmsAccountId":{"type":"integer","format":"int32","nullable":true},"UserId":{"type":"integer","format":"int32","nullable":true},"SmsQueueId":{"type":"integer","format":"int32","nullable":true},"IntervalId":{"type":"integer","format":"int32","nullable":true},"TagId":{"type":"integer","format":"int32","nullable":true}},"required":["id","priority","app","interval","createdAt","updatedAt"]},"SmsInteraction":{"title":"SmsInteraction","type":"object","properties":{"id":{"type":"integer","format":"int32"},"closed":{"type":"boolean","nullable":true,"default":false},"closedAt":{"type":"string","format":"date-time","nullable":true},"disposition":{"type":"string","nullable":true},"secondDisposition":{"type":"string","nullable":true},"thirdDisposition":{"type":"string","nullable":true},"note":{"type":"string","nullable":true},"phone":{"type":"string","nullable":true},"read1stAt":{"type":"string","format":"date-time","nullable":true},"firstMsgDirection":{"type":"string","enum":["in","out"],"default":"in"},"lastMsgAt":{"type":"string","format":"date-time","nullable":true},"lastMsgDirection":{"type":"string","enum":["in","out"],"default":"in"},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"UserId":{"type":"integer","format":"int32","nullable":true},"SmsAccountId":{"type":"integer","format":"int32","nullable":true},"ContactId":{"type":"integer","format":"int32","nullable":true}},"required":["id","closed","firstMsgDirection","lastMsgDirection","createdAt","updatedAt"]},"SmsMessage":{"title":"SmsMessage","type":"object","properties":{"id":{"type":"integer","format":"int32"},"body":{"type":"string"},"read":{"type":"boolean","nullable":true,"default":false},"direction":{"type":"string","enum":["in","out"],"default":"out"},"messageId":{"type":"string","maxLength":190,"nullable":true},"phone":{"type":"string","nullable":true},"readAt":{"type":"string","format":"date-time","nullable":true},"secret":{"type":"boolean","nullable":true,"default":false},"providerName":{"type":"string","nullable":true},"providerResponse":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"SmsAccountId":{"type":"integer","format":"int32","nullable":true},"SmsInteractionId":{"type":"integer","format":"int32","nullable":true},"UserId":{"type":"integer","format":"int32","nullable":true},"ContactId":{"type":"integer","format":"int32","nullable":true}},"required":["id","body","read","direction","secret","createdAt","updatedAt"]},"SmsQueue":{"title":"SmsQueue","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"timeout":{"type":"integer","format":"int32","nullable":true},"strategy":{"type":"string","enum":["rrmemory","beepall","roundrobin"],"nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","createdAt","updatedAt"]},"OpenchannelAccount":{"title":"OpenchannelAccount","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"description":{"type":"string","nullable":true},"token":{"type":"string","nullable":true},"replyUri":{"type":"string","nullable":true},"key":{"type":"string"},"notificationTemplate":{"type":"string","nullable":true},"notificationSound":{"type":"boolean","nullable":true,"default":true},"notificationShake":{"type":"boolean","nullable":true,"default":false},"waitForTheAssignedAgent":{"type":"integer","format":"int32","nullable":true,"default":10},"mapKey":{"type":"string","nullable":true},"queueTransfer":{"type":"boolean","nullable":true,"default":false},"queueTransferTimeout":{"type":"integer","format":"int32","nullable":true,"default":300},"agentTransfer":{"type":"boolean","nullable":true,"default":false},"agentTransferTimeout":{"type":"integer","format":"int32","nullable":true,"default":300},"mandatoryDispositionPauseId":{"type":"integer","format":"int32","nullable":true,"default":null},"mandatoryDisposition":{"type":"boolean","nullable":true,"default":false},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"ListId":{"type":"integer","format":"int32","nullable":true}},"required":["id","name","token","key","notificationTemplate","notificationSound","notificationShake","waitForTheAssignedAgent","queueTransfer","queueTransferTimeout","agentTransfer","agentTransferTimeout","mandatoryDispositionPauseId","mandatoryDisposition","createdAt","updatedAt"]},"OpenchannelApplication":{"title":"OpenchannelApplication","type":"object","properties":{"id":{"type":"integer","format":"int32"},"priority":{"type":"integer","format":"int32"},"app":{"type":"string","default":"noop"},"appdata":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"interval":{"type":"string","nullable":true,"default":"*,*,*,*"},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"OpenchannelAccountId":{"type":"integer","format":"int32","nullable":true},"UserId":{"type":"integer","format":"int32","nullable":true},"OpenchannelQueueId":{"type":"integer","format":"int32","nullable":true},"IntervalId":{"type":"integer","format":"int32","nullable":true},"TagId":{"type":"integer","format":"int32","nullable":true}},"required":["id","priority","app","interval","createdAt","updatedAt"]},"OpenchannelInteraction":{"title":"OpenchannelInteraction","type":"object","properties":{"id":{"type":"integer","format":"int32"},"closed":{"type":"boolean","nullable":true,"default":false},"closedAt":{"type":"string","format":"date-time","nullable":true},"disposition":{"type":"string","nullable":true},"secondDisposition":{"type":"string","nullable":true},"thirdDisposition":{"type":"string","nullable":true},"note":{"type":"string","nullable":true},"read1stAt":{"type":"string","format":"date-time","nullable":true},"threadId":{"type":"string","nullable":true},"externalUrl":{"type":"string","nullable":true},"lastMsgAt":{"type":"string","format":"date-time","nullable":true},"lastMsgDirection":{"type":"string","enum":["in","out"],"default":"in"},"from":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"UserId":{"type":"integer","format":"int32","nullable":true},"OpenchannelAccountId":{"type":"integer","format":"int32","nullable":true},"ContactId":{"type":"integer","format":"int32","nullable":true}},"required":["id","closed","lastMsgDirection","createdAt","updatedAt"]},"OpenchannelMessage":{"title":"OpenchannelMessage","type":"object","properties":{"id":{"type":"integer","format":"int32"},"body":{"type":"string"},"read":{"type":"boolean","nullable":true,"default":false},"secret":{"type":"boolean","nullable":true,"default":false},"direction":{"type":"string","enum":["in","out"],"default":"out"},"readAt":{"type":"string","format":"date-time","nullable":true},"providerName":{"type":"string","nullable":true},"providerResponse":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"OpenchannelAccountId":{"type":"integer","format":"int32","nullable":true},"OpenchannelInteractionId":{"type":"integer","format":"int32","nullable":true},"UserId":{"type":"integer","format":"int32","nullable":true},"ContactId":{"type":"integer","format":"int32","nullable":true},"AttachmentId":{"type":"integer","format":"int32","nullable":true}},"required":["id","body","read","secret","direction","createdAt","updatedAt"]},"OpenchannelQueue":{"title":"OpenchannelQueue","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"timeout":{"type":"integer","format":"int32","nullable":true},"strategy":{"type":"string","enum":["rrmemory","beepall","roundrobin"],"nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","createdAt","updatedAt"]},"ChatWebsite":{"title":"ChatWebsite","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"address":{"type":"string"},"description":{"type":"string","nullable":true},"mapKey":{"type":"string","nullable":true,"default":"email"},"mapKeyOffline":{"type":"string","nullable":true,"default":"email"},"key":{"type":"string"},"agentAlias":{"type":"string","nullable":true,"default":"Agent"},"customerAlias":{"type":"string","nullable":true,"default":"Me"},"color":{"type":"string","default":"#011F6A"},"color_button":{"type":"string","default":"#011F6A"},"textColor":{"type":"string","default":"#ffffff"},"fontSize":{"type":"integer","format":"int32","nullable":true,"default":15},"remote":{"type":"string","nullable":true},"animation":{"type":"boolean","nullable":true,"default":true},"header_shape":{"type":"string","enum":["rounded","squared"],"nullable":true,"default":"rounded"},"header_online":{"type":"string","nullable":true,"default":"We are here!"},"start_chat_button":{"type":"string","nullable":true,"default":"Chat"},"offline_chat_button":{"type":"string","nullable":true,"default":"Send"},"header_offline":{"type":"string","nullable":true,"default":"Contact us"},"download_transcript":{"type":"boolean","nullable":true,"default":true},"timeout":{"type":"integer","format":"int32","nullable":true,"default":0},"whiteLabel":{"type":"string","nullable":true,"default":"Powered by xCALLY"},"defaultWhiteLabel":{"type":"boolean","nullable":true,"default":true},"sitepic":{"type":"string","nullable":true},"closingQuestion":{"type":"string","nullable":true,"default":"Do you want to close the interaction?"},"formSubmitSuccessMessage":{"type":"string","nullable":true,"default":"Form properly submitted"},"formSubmitFailureMessage":{"type":"string","nullable":true,"default":"Form submission error"},"noteTitle":{"type":"string","nullable":true,"default":"Note"},"placeholderMessage":{"type":"string","nullable":true,"default":"Type a message"},"closingMessage":{"type":"string","nullable":true,"default":"Thanks you for your time!"},"closingMessageButton":{"type":"string","nullable":true,"default":"Send"},"skipMessageButton":{"type":"string","nullable":true,"default":"Skip"},"conditionAgreement":{"type":"boolean","nullable":true,"default":false},"enableRating":{"type":"boolean","nullable":true,"default":false},"enableFeedback":{"type":"boolean","nullable":true,"default":false},"enableSendButton":{"type":"boolean","nullable":true,"default":false},"feedbackTitle":{"type":"string","nullable":true,"default":"Feedback"},"ratingType":{"type":"string","enum":["star","thumb"],"nullable":true,"default":"star"},"ratingStarsNumber":{"type":"integer","format":"int32","nullable":true,"default":5},"onlineForm":{"type":"string","nullable":true},"offlineForm":{"type":"string","nullable":true},"token":{"type":"string","nullable":true},"autoclose":{"type":"boolean","nullable":true,"default":true},"enableCustomerWriting":{"type":"boolean","nullable":true,"default":false},"forwardTranscript":{"type":"boolean","nullable":true,"default":false},"forwardTranscriptMessage":{"type":"string","nullable":true},"forwardOffline":{"type":"boolean","nullable":true,"default":false},"forwardOfflineAddress":{"type":"string","nullable":true},"waitingTitle":{"type":"string","nullable":true,"default":"All of our agents are busy at this time. Your chat is very important to us."},"waitingMessage":{"type":"string","nullable":true,"default":"Please hold and we will answer your request as soon as possible."},"offlineMessageSubject":{"type":"string","nullable":true,"default":"New offline message from websiteName"},"offlineMessageBody":{"type":"string","nullable":true,"default":"You received a new offline message from websiteName. Here you can find the details of the request:"},"enableUnmanagedNote":{"type":"boolean","nullable":true,"default":true},"unmanagedMessage":{"type":"string","nullable":true,"default":"Your request has not been processed."},"skipUnmanaged":{"type":"string","nullable":true,"default":"Skip"},"sendUnmanaged":{"type":"string","nullable":true,"default":"Send"},"enableCustomerAttachment":{"type":"boolean","nullable":true,"default":false},"enableCustomerCheckmarks":{"type":"boolean","nullable":true,"default":false},"agentAvatar":{"type":"string","nullable":true},"showAgentAvatar":{"type":"boolean","nullable":true,"default":false},"timezone":{"type":"string","nullable":true},"notificationTemplate":{"type":"string","nullable":true},"notificationSound":{"type":"boolean","nullable":true,"default":true},"notificationShake":{"type":"boolean","nullable":true,"default":false},"hideWhenOffline":{"type":"boolean","nullable":true,"default":false},"agentIdentifier":{"type":"string","nullable":true,"default":"website_alias"},"waitForTheAssignedAgent":{"type":"integer","format":"int32","nullable":true,"default":10},"alignment":{"type":"string","nullable":true,"default":"bottom_right"},"verticalAlignment":{"type":"integer","format":"int32","nullable":true,"default":30},"messagesAlignment":{"type":"string","nullable":true,"default":"alternate"},"defaultTitle":{"type":"string","nullable":true},"customerAvatar":{"type":"string","nullable":true},"showCustomerAvatar":{"type":"boolean","nullable":true,"default":false},"messageFontSize":{"type":"integer","format":"int32","nullable":true,"default":12},"backgroundColor":{"type":"string","default":"#fafafa"},"queueTransfer":{"type":"boolean","nullable":true,"default":false},"queueTransferTimeout":{"type":"integer","format":"int32","nullable":true,"default":300},"agentTransfer":{"type":"boolean","nullable":true,"default":false},"agentTransferTimeout":{"type":"integer","format":"int32","nullable":true,"default":300},"systemAlias":{"type":"string","nullable":true,"default":"System"},"systemAvatar":{"type":"string","nullable":true},"mandatoryDispositionPauseId":{"type":"integer","format":"int32","nullable":true},"mandatoryDisposition":{"type":"boolean","nullable":true,"default":false},"vidaooEscalation":{"type":"boolean","nullable":true,"default":false},"vidaooApiKey":{"type":"string","nullable":true,"default":false},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"ListId":{"type":"integer","format":"int32","nullable":true},"IntervalId":{"type":"integer","format":"int32","nullable":true}},"required":["id","name","address","mapKey","mapKeyOffline","key","agentAlias","customerAlias","color","color_button","textColor","fontSize","animation","header_shape","header_online","start_chat_button","offline_chat_button","header_offline","download_transcript","timeout","whiteLabel","defaultWhiteLabel","closingQuestion","formSubmitSuccessMessage","formSubmitFailureMessage","noteTitle","placeholderMessage","closingMessage","closingMessageButton","skipMessageButton","conditionAgreement","enableRating","enableFeedback","enableSendButton","feedbackTitle","ratingType","ratingStarsNumber","onlineForm","offlineForm","token","autoclose","enableCustomerWriting","forwardTranscript","forwardOffline","waitingTitle","waitingMessage","offlineMessageSubject","offlineMessageBody","enableUnmanagedNote","unmanagedMessage","skipUnmanaged","sendUnmanaged","enableCustomerAttachment","enableCustomerCheckmarks","showAgentAvatar","notificationTemplate","notificationSound","notificationShake","hideWhenOffline","agentIdentifier","waitForTheAssignedAgent","alignment","verticalAlignment","messagesAlignment","showCustomerAvatar","messageFontSize","backgroundColor","queueTransfer","queueTransferTimeout","agentTransfer","agentTransferTimeout","systemAlias","mandatoryDisposition","vidaooEscalation","vidaooApiKey","createdAt","updatedAt"]},"OpenchannelQueueReport":{"title":"OpenchannelQueueReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"uniqueid":{"type":"string"},"from":{"type":"string","nullable":true},"joinAt":{"type":"string","format":"date-time","nullable":true},"leaveAt":{"type":"string","format":"date-time","nullable":true},"acceptAt":{"type":"string","format":"date-time","nullable":true},"exitAt":{"type":"string","format":"date-time","nullable":true},"reason":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"OpenchannelAccountId":{"type":"integer","format":"int32","nullable":true},"ListId":{"type":"integer","format":"int32","nullable":true},"ContactId":{"type":"integer","format":"int32","nullable":true},"OpenchannelInteractionId":{"type":"integer","format":"int32","nullable":true},"OpenchannelMessageId":{"type":"integer","format":"int32","nullable":true},"UserId":{"type":"integer","format":"int32","nullable":true},"OpenchannelQueueId":{"type":"integer","format":"int32","nullable":true}},"required":["id","uniqueid","createdAt","updatedAt"]},"ChatApplication":{"title":"ChatApplication","type":"object","properties":{"id":{"type":"integer","format":"int32"},"priority":{"type":"integer","format":"int32"},"app":{"type":"string","default":"noop"},"appdata":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"interval":{"type":"string","nullable":true,"default":"*,*,*,*"},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"ChatWebsiteId":{"type":"integer","format":"int32","nullable":true},"UserId":{"type":"integer","format":"int32","nullable":true},"ChatQueueId":{"type":"integer","format":"int32","nullable":true},"TagId":{"type":"integer","format":"int32","nullable":true},"IntervalId":{"type":"integer","format":"int32","nullable":true}},"required":["id","priority","app","interval","createdAt","updatedAt"]},"ChatInteraction":{"title":"ChatInteraction","type":"object","properties":{"id":{"type":"integer","format":"int32"},"closed":{"type":"boolean","nullable":true,"default":false},"ratingValue":{"type":"integer","format":"int32","nullable":true},"ratingType":{"type":"string","enum":["star","thumb"],"nullable":true,"default":"star"},"ratingMessage":{"type":"string","nullable":true},"pathTranscript":{"type":"string","nullable":true},"mailTranscript":{"type":"string","nullable":true},"closedAt":{"type":"string","format":"date-time","nullable":true},"disposition":{"type":"string","nullable":true},"secondDisposition":{"type":"string","nullable":true},"thirdDisposition":{"type":"string","nullable":true},"note":{"type":"string","nullable":true},"browserName":{"type":"string","nullable":true},"browserVersion":{"type":"string","nullable":true},"osName":{"type":"string","nullable":true},"osVersion":{"type":"string","nullable":true},"deviceModel":{"type":"string","nullable":true},"deviceVendor":{"type":"string","nullable":true},"deviceType":{"type":"string","nullable":true},"referer":{"type":"string","nullable":true},"customerIp":{"type":"string","nullable":true},"formData":{"type":"string","nullable":true},"read1stAt":{"type":"string","format":"date-time","nullable":true},"lastMsgAt":{"type":"string","format":"date-time","nullable":true},"lastMsgDirection":{"type":"string","enum":["in","out"],"default":"in"},"closeReason":{"type":"string","nullable":true},"customerPort":{"type":"string","nullable":true},"vidaooSessionId":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"UserId":{"type":"integer","format":"int32","nullable":true},"ChatWebsiteId":{"type":"integer","format":"int32","nullable":true},"ContactId":{"type":"integer","format":"int32","nullable":true}},"required":["id","closed","ratingType","lastMsgDirection","createdAt","updatedAt"]},"ChatMessage":{"title":"ChatMessage","type":"object","properties":{"id":{"type":"integer","format":"int32"},"body":{"type":"string"},"read":{"type":"boolean","nullable":true,"default":false},"secret":{"type":"boolean","nullable":true,"default":false},"direction":{"type":"string","enum":["in","out"],"default":"out"},"readAt":{"type":"string","format":"date-time","nullable":true},"providerName":{"type":"string","nullable":true},"providerResponse":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"ChatWebsiteId":{"type":"integer","format":"int32","nullable":true},"ChatInteractionId":{"type":"integer","format":"int32","nullable":true},"UserId":{"type":"integer","format":"int32","nullable":true},"ContactId":{"type":"integer","format":"int32","nullable":true},"AttachmentId":{"type":"integer","format":"int32","nullable":true}},"required":["id","body","read","secret","direction","createdAt","updatedAt"]},"ChatOfflineMessage":{"title":"ChatOfflineMessage","type":"object","properties":{"id":{"type":"integer","format":"int32"},"body":{"type":"string"},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"ContactId":{"type":"integer","format":"int32","nullable":true},"ChatWebsiteId":{"type":"integer","format":"int32","nullable":true}},"required":["id","body","createdAt","updatedAt"]},"ChatQueue":{"title":"ChatQueue","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"description":{"type":"string","nullable":true},"timeout":{"type":"integer","format":"int32","nullable":true},"strategy":{"type":"string","enum":["rrmemory","beepall","roundrobin"],"nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","name","createdAt","updatedAt"]},"ChatGroup":{"title":"ChatGroup","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"description":{"type":"string","nullable":true},"write":{"type":"boolean","nullable":true,"default":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","name","write","createdAt","updatedAt"]},"ChatProactiveAction":{"title":"ChatProactiveAction","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"type":{"type":"string","enum":["mouseOver","timeout"],"nullable":true,"default":"mouseOver"},"selector":{"type":"string","nullable":true},"timeout":{"type":"integer","format":"int32","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"ChatWebsiteId":{"type":"integer","format":"int32","nullable":true}},"required":["id","name","type","createdAt","updatedAt"]},"CmCompany":{"title":"CmCompany","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"vat":{"type":"string","nullable":true},"companyId":{"type":"string","nullable":true},"website":{"type":"string","nullable":true},"phone":{"type":"string","nullable":true},"fax":{"type":"string","nullable":true},"type":{"type":"string","nullable":true},"street":{"type":"string","nullable":true},"postalCode":{"type":"string","nullable":true},"city":{"type":"string","nullable":true},"country":{"type":"string","nullable":true},"email":{"type":"string","nullable":true},"emailDomain":{"type":"string","nullable":true},"sStreet":{"type":"string","nullable":true},"sPostalCode":{"type":"string","nullable":true},"sCity":{"type":"string","nullable":true},"sCountry":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","name","createdAt","updatedAt"]},"CmContact":{"title":"CmContact","type":"object","properties":{"id":{"type":"integer","format":"int32"},"firstName":{"type":"string"},"lastName":{"type":"string","nullable":true},"street":{"type":"string","nullable":true},"postalCode":{"type":"string","nullable":true},"city":{"type":"string","nullable":true},"country":{"type":"string","nullable":true},"dateOfBirth":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"phone":{"type":"string","nullable":true},"mobile":{"type":"string","nullable":true},"fax":{"type":"string","nullable":true},"email":{"type":"string","nullable":true},"url":{"type":"string","nullable":true},"facebook":{"type":"string","nullable":true},"fb_data":{"type":"string","nullable":true},"twitter":{"type":"string","nullable":true},"skype":{"type":"string","nullable":true},"teams":{"type":"string","nullable":true},"viber":{"type":"string","nullable":true},"line":{"type":"string","nullable":true},"wechat":{"type":"string","nullable":true},"telegram":{"type":"string","nullable":true},"UserId":{"type":"integer","format":"int32","nullable":true},"priority":{"type":"integer","format":"int32","nullable":true,"default":2},"scheduledat":{"type":"string","format":"date-time","nullable":true,"default":{}},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"deletedAt":{"type":"string","format":"date-time","nullable":true},"CompanyId":{"type":"integer","format":"int32","nullable":true},"ListId":{"type":"integer","format":"int32","nullable":true}},"required":["id","firstName","priority","scheduledat","createdAt","updatedAt"]},"CmHopper":{"title":"CmHopper","type":"object","properties":{"id":{"type":"integer","format":"int32"},"phone":{"type":"string"},"active":{"type":"boolean","nullable":true,"default":false},"scheduledat":{"type":"string","format":"date-time","nullable":true,"default":"2020-12-04 19:45:06"},"countbusyretry":{"type":"integer","format":"int32","nullable":true,"default":0},"countcongestionretry":{"type":"integer","format":"int32","nullable":true,"default":0},"countnoanswerretry":{"type":"integer","format":"int32","nullable":true,"default":0},"callback":{"type":"boolean","nullable":true,"default":false},"callbackuniqueid":{"type":"string","nullable":true,"default":null},"callbackat":{"type":"string","format":"date-time","nullable":true},"priority":{"type":"integer","format":"int32","nullable":true,"default":2},"recallme":{"type":"boolean","nullable":true,"default":false},"ContactId":{"type":"integer","format":"int32","nullable":true},"ListId":{"type":"integer","format":"int32","nullable":true},"UserId":{"type":"integer","format":"int32","nullable":true},"VoiceQueueId":{"type":"integer","format":"int32","nullable":true},"CampaignId":{"type":"integer","format":"int32","nullable":true},"countnosuchnumberretry":{"type":"integer","format":"int32","nullable":true,"default":0},"countdropretry":{"type":"integer","format":"int32","nullable":true,"default":0},"countabandonedretry":{"type":"integer","format":"int32","nullable":true,"default":0},"countmachineretry":{"type":"integer","format":"int32","nullable":true,"default":0},"countagentrejectretry":{"type":"integer","format":"int32","nullable":true,"default":0},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"OwnerId":{"type":"integer","format":"int32","nullable":true}},"required":["id","phone","active","scheduledat","countbusyretry","countcongestionretry","countnoanswerretry","callback","callbackuniqueid","priority","recallme","countnosuchnumberretry","countdropretry","countabandonedretry","countmachineretry","countagentrejectretry","createdAt","updatedAt"]},"CmHopperBlack":{"title":"CmHopperBlack","type":"object","properties":{"id":{"type":"integer","format":"int32"},"phone":{"type":"string"},"ContactId":{"type":"integer","format":"int32","nullable":true},"ListId":{"type":"integer","format":"int32","nullable":true},"VoiceQueueId":{"type":"integer","format":"int32","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"CampaignId":{"type":"integer","format":"int32","nullable":true}},"required":["id","phone","createdAt","updatedAt"]},"CmHopperFinal":{"title":"CmHopperFinal","type":"object","properties":{"id":{"type":"integer","format":"int32"},"state":{"type":"integer","format":"int32","nullable":true},"statedesc":{"type":"string","nullable":true},"scheduledat":{"type":"string","format":"date-time","nullable":true},"countbusyretry":{"type":"integer","format":"int32","nullable":true,"default":0},"countcongestionretry":{"type":"integer","format":"int32","nullable":true,"default":0},"countnoanswerretry":{"type":"integer","format":"int32","nullable":true,"default":0},"countglobal":{"type":"integer","format":"int32","nullable":true,"default":0},"uniqueid":{"type":"string","nullable":true},"originatecalleridnum":{"type":"string","nullable":true},"originatecalleridname":{"type":"string","nullable":true},"calleridnum":{"type":"string","nullable":true},"calleridname":{"type":"string","nullable":true},"starttime":{"type":"string","format":"date-time","nullable":true},"responsetime":{"type":"string","format":"date-time","nullable":true},"answertime":{"type":"string","format":"date-time","nullable":true},"droptime":{"type":"string","format":"date-time","nullable":true},"endtime":{"type":"string","format":"date-time","nullable":true},"ringtime":{"type":"integer","format":"int32","nullable":true,"default":0},"holdtime":{"type":"integer","format":"int32","nullable":true,"default":0},"talktime":{"type":"integer","format":"int32","nullable":true,"default":0},"followuptime":{"type":"integer","format":"int32","nullable":true,"default":0},"dropreason":{"type":"string","nullable":true},"campaign":{"type":"string","nullable":true},"campaigntype":{"type":"string","nullable":true},"membername":{"type":"string","nullable":true},"reason":{"type":"string","nullable":true},"disposition":{"type":"string","nullable":true},"secondDisposition":{"type":"string","nullable":true},"thirdDisposition":{"type":"string","nullable":true},"dispositionat":{"type":"string","format":"date-time","nullable":true},"amd":{"type":"boolean","nullable":true,"default":false},"fax":{"type":"boolean","nullable":true,"default":false},"blacklist":{"type":"boolean","nullable":true,"default":false},"rescheduled":{"type":"boolean","nullable":true,"default":false},"rescheduledat":{"type":"string","format":"date-time","nullable":true},"callback":{"type":"boolean","nullable":true,"default":false},"callbackuniqueid":{"type":"string","nullable":true,"default":null},"callbackat":{"type":"string","format":"date-time","nullable":true},"deleted":{"type":"string","nullable":true,"default":null},"deletedat":{"type":"string","format":"date-time","nullable":true},"recallme":{"type":"boolean","nullable":true,"default":false},"agiafterat":{"type":"string","format":"date-time","nullable":true},"countnosuchnumberretry":{"type":"integer","format":"int32","nullable":true,"default":0},"countdropretry":{"type":"integer","format":"int32","nullable":true,"default":0},"countabandonedretry":{"type":"integer","format":"int32","nullable":true,"default":0},"countmachineretry":{"type":"integer","format":"int32","nullable":true,"default":0},"countagentrejectretry":{"type":"integer","format":"int32","nullable":true,"default":0},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"UserId":{"type":"integer","format":"int32","nullable":true},"VoiceQueueId":{"type":"integer","format":"int32","nullable":true},"ContactId":{"type":"integer","format":"int32","nullable":true},"CampaignId":{"type":"integer","format":"int32","nullable":true},"ListId":{"type":"integer","format":"int32","nullable":true}},"required":["id","countbusyretry","countcongestionretry","countnoanswerretry","countglobal","ringtime","holdtime","talktime","followuptime","amd","fax","blacklist","rescheduled","callback","callbackuniqueid","deleted","recallme","countnosuchnumberretry","countdropretry","countabandonedretry","countmachineretry","countagentrejectretry","createdAt","updatedAt"]},"CmHopperHistory":{"title":"CmHopperHistory","type":"object","properties":{"id":{"type":"integer","format":"int32"},"state":{"type":"integer","format":"int32","nullable":true},"statedesc":{"type":"string","nullable":true},"scheduledat":{"type":"string","format":"date-time","nullable":true},"countbusyretry":{"type":"integer","format":"int32","nullable":true,"default":0},"countcongestionretry":{"type":"integer","format":"int32","nullable":true,"default":0},"countnoanswerretry":{"type":"integer","format":"int32","nullable":true,"default":0},"countglobal":{"type":"integer","format":"int32","nullable":true,"default":0},"uniqueid":{"type":"string","nullable":true},"originatecalleridnum":{"type":"string","nullable":true},"originatecalleridname":{"type":"string","nullable":true},"calleridnum":{"type":"string","nullable":true},"calleridname":{"type":"string","nullable":true},"starttime":{"type":"string","format":"date-time","nullable":true},"responsetime":{"type":"string","format":"date-time","nullable":true},"answertime":{"type":"string","format":"date-time","nullable":true},"droptime":{"type":"string","format":"date-time","nullable":true},"endtime":{"type":"string","format":"date-time","nullable":true},"ringtime":{"type":"integer","format":"int32","nullable":true,"default":0},"holdtime":{"type":"integer","format":"int32","nullable":true,"default":0},"talktime":{"type":"integer","format":"int32","nullable":true,"default":0},"followuptime":{"type":"integer","format":"int32","nullable":true,"default":0},"dropreason":{"type":"string","nullable":true},"campaign":{"type":"string","nullable":true},"campaigntype":{"type":"string","nullable":true},"membername":{"type":"string","nullable":true},"reason":{"type":"string","nullable":true},"amd":{"type":"boolean","nullable":true,"default":false},"fax":{"type":"boolean","nullable":true,"default":false},"callback":{"type":"boolean","nullable":true,"default":false},"callbackuniqueid":{"type":"string","nullable":true,"default":null},"callbackat":{"type":"string","format":"date-time","nullable":true},"recallme":{"type":"boolean","nullable":true,"default":false},"editedat":{"type":"string","format":"date-time","nullable":true},"edited":{"type":"boolean","nullable":true,"default":false},"countnosuchnumberretry":{"type":"integer","format":"int32","nullable":true,"default":0},"countdropretry":{"type":"integer","format":"int32","nullable":true,"default":0},"countabandonedretry":{"type":"integer","format":"int32","nullable":true,"default":0},"countmachineretry":{"type":"integer","format":"int32","nullable":true,"default":0},"countagentrejectretry":{"type":"integer","format":"int32","nullable":true,"default":0},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"UserId":{"type":"integer","format":"int32","nullable":true},"VoiceQueueId":{"type":"integer","format":"int32","nullable":true},"ContactId":{"type":"integer","format":"int32","nullable":true},"CampaignId":{"type":"integer","format":"int32","nullable":true},"ListId":{"type":"integer","format":"int32","nullable":true}},"required":["id","countbusyretry","countcongestionretry","countnoanswerretry","countglobal","ringtime","holdtime","talktime","followuptime","amd","fax","callback","callbackuniqueid","recallme","edited","countnosuchnumberretry","countdropretry","countabandonedretry","countmachineretry","countagentrejectretry","createdAt","updatedAt"]},"ReportAgentPreview":{"title":"ReportAgentPreview","type":"object","properties":{"id":{"type":"integer","format":"int32"},"state":{"type":"integer","format":"int32","nullable":true},"statedesc":{"type":"string","nullable":true},"scheduledat":{"type":"string","format":"date-time","nullable":true},"uniqueid":{"type":"string","nullable":true},"starttime":{"type":"string","format":"date-time","nullable":true},"responsetime":{"type":"string","format":"date-time","nullable":true},"ringtime":{"type":"integer","format":"int32","nullable":true,"default":0},"campaign":{"type":"string","nullable":true},"campaigntype":{"type":"string","nullable":true},"membername":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"UserId":{"type":"integer","format":"int32","nullable":true},"VoiceQueueId":{"type":"integer","format":"int32","nullable":true},"ContactId":{"type":"integer","format":"int32","nullable":true},"ListId":{"type":"integer","format":"int32","nullable":true}},"required":["id","ringtime","createdAt","updatedAt"]},"CmCustomField":{"title":"CmCustomField","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"anyOf":[{"type":"object"},{"type":"array"},{"type":"boolean"},{"type":"integer"},{"type":"number"},{"type":"string"}],"nullable":true},"alias":{"type":"string"},"type":{"type":"string"},"values":{"type":"string","nullable":true},"required":{"type":"boolean","nullable":true,"default":false},"clickToAction":{"type":"boolean","nullable":true,"default":false},"actionType":{"type":"string","enum":["voice"],"nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"deletedAt":{"type":"string","format":"date-time","nullable":true},"ListId":{"type":"integer","format":"int32","nullable":true}},"required":["id","alias","type","required","clickToAction","createdAt","updatedAt"]},"Action":{"title":"Action","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"anyOf":[{"type":"object"},{"type":"array"},{"type":"boolean"},{"type":"integer"},{"type":"number"},{"type":"string"}],"nullable":true},"action":{"type":"string"},"data1":{"type":"string","maxLength":4096,"nullable":true},"data2":{"type":"string","maxLength":4096,"nullable":true},"data3":{"type":"string","maxLength":4096,"nullable":true},"data4":{"type":"string","maxLength":4096,"nullable":true},"data5":{"type":"string","maxLength":4096,"nullable":true},"data6":{"type":"string","nullable":true},"data7":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"AutomationId":{"type":"integer","format":"int32","nullable":true},"TriggerId":{"type":"integer","format":"int32","nullable":true}},"required":["id","action","createdAt","updatedAt"]},"Automation":{"title":"Automation","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"channel":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"status":{"type":"boolean","nullable":true,"default":false},"timeout":{"type":"integer","format":"int32","nullable":true,"default":10},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","name","status","timeout","createdAt","updatedAt"]},"CannedAnswer":{"title":"CannedAnswer","type":"object","properties":{"id":{"type":"integer","format":"int32"},"key":{"type":"string"},"value":{"type":"string"},"description":{"type":"string","nullable":true},"name":{"anyOf":[{"type":"object"},{"type":"array"},{"type":"boolean"},{"type":"integer"},{"type":"number"},{"type":"string"}],"nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"MailAccountId":{"type":"integer","format":"int32","nullable":true},"FaxAccountId":{"type":"integer","format":"int32","nullable":true},"SmsAccountId":{"type":"integer","format":"int32","nullable":true},"OpenchannelAccountId":{"type":"integer","format":"int32","nullable":true},"ChatWebsiteId":{"type":"integer","format":"int32","nullable":true},"WhatsappAccountId":{"type":"integer","format":"int32","nullable":true}},"required":["id","key","value","createdAt","updatedAt"]},"Disposition":{"title":"Disposition","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"level":{"type":"string","enum":["first","second","third"],"default":"first"},"description":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"MailAccountId":{"type":"integer","format":"int32","nullable":true},"FaxAccountId":{"type":"integer","format":"int32","nullable":true},"SmsAccountId":{"type":"integer","format":"int32","nullable":true},"OpenchannelAccountId":{"type":"integer","format":"int32","nullable":true},"ChatWebsiteId":{"type":"integer","format":"int32","nullable":true},"ParentId":{"type":"integer","format":"int32","nullable":true},"ListId":{"type":"integer","format":"int32","nullable":true},"WhatsappAccountId":{"type":"integer","format":"int32","nullable":true}},"required":["id","name","level","createdAt","updatedAt"]},"Condition":{"title":"Condition","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"anyOf":[{"type":"object"},{"type":"array"},{"type":"boolean"},{"type":"integer"},{"type":"number"},{"type":"string"}],"nullable":true},"field":{"type":"string"},"operator":{"type":"string"},"value":{"type":"string"},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"AutomationAllId":{"type":"integer","format":"int32","nullable":true},"AutomationAnyId":{"type":"integer","format":"int32","nullable":true},"TriggerAllId":{"type":"integer","format":"int32","nullable":true},"TriggerAnyId":{"type":"integer","format":"int32","nullable":true}},"required":["id","field","operator","value","createdAt","updatedAt"]},"Interval":{"title":"Interval","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"interval":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"IntervalId":{"type":"integer","format":"int32","nullable":true}},"required":["id","createdAt","updatedAt"]},"Pause":{"title":"Pause","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"description":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","name","createdAt","updatedAt"]},"Cdr":{"title":"Cdr","type":"object","properties":{"id":{"type":"integer","format":"int32"},"calldate":{"type":"string","format":"date-time","default":"1970-01-01 00:00:01"},"clid":{"type":"string","nullable":true},"src":{"type":"string","nullable":true},"dst":{"type":"string","nullable":true},"dcontext":{"type":"string","nullable":true},"channel":{"type":"string","nullable":true},"dstchannel":{"type":"string","nullable":true},"lastapp":{"type":"string","nullable":true},"lastdata":{"type":"string","nullable":true},"duration":{"type":"integer","format":"int32","default":0},"billsec":{"type":"integer","format":"int32","default":0},"disposition":{"type":"string","nullable":true},"amaflags":{"type":"integer","format":"int32","default":0},"accountcode":{"type":"string","nullable":true},"userfield":{"type":"string","nullable":true},"uniqueid":{"type":"string","nullable":true},"linkedid":{"type":"string","nullable":true},"sequence":{"type":"string","nullable":true},"peeraccount":{"type":"string","nullable":true},"type":{"type":"string","nullable":true},"tag":{"type":"string","nullable":true}},"required":["id","calldate","duration","billsec","amaflags"]},"VoiceQueuesLog":{"title":"VoiceQueuesLog","type":"object","properties":{"id":{"type":"integer","format":"int32"},"time":{"type":"string","nullable":true},"callid":{"type":"string","default":""},"queuename":{"type":"string","default":""},"agent":{"type":"string","default":""},"event":{"type":"string","default":""},"data":{"type":"string","default":""},"data1":{"type":"string","default":""},"data2":{"type":"string","default":""},"data3":{"type":"string","default":""},"data4":{"type":"string","default":""},"data5":{"type":"string","default":""},"dtm":{"type":"string","format":"date-time","default":"2020-12-04 19:45:06"}},"required":["id","callid","queuename","agent","event","data","data1","data2","data3","data4","data5","dtm"]},"Schedule":{"title":"Schedule","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"description":{"type":"string","nullable":true},"active":{"type":"boolean","default":false},"cron":{"type":"string","default":"0 0 * * *"},"startAt":{"type":"string","format":"date-time"},"endAt":{"type":"string","format":"date-time"},"subtractNumber":{"type":"integer","format":"int32","default":1},"subtractUnit":{"type":"string","enum":["years","quarters","months","weeks","days","hours","minutes"],"default":"days"},"output":{"type":"string","enum":["csv","pdf","xlsx"],"default":"csv"},"type":{"type":"string","enum":["custom","default"],"default":"custom"},"sendMail":{"type":"boolean","nullable":true,"default":false},"email":{"type":"string","nullable":true},"cc":{"type":"string","nullable":true},"bcc":{"type":"string","nullable":true},"sendIfEmpty":{"type":"boolean","nullable":true,"default":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"MailAccountId":{"type":"integer","format":"int32","nullable":true},"DefaultReportId":{"type":"integer","format":"int32","nullable":true},"CustomReportId":{"type":"integer","format":"int32","nullable":true}},"required":["id","name","active","cron","startAt","endAt","subtractNumber","subtractUnit","output","type","sendMail","sendIfEmpty","createdAt","updatedAt"]},"Sound":{"title":"Sound","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"save_name":{"type":"string","nullable":true},"display_name":{"type":"string","nullable":true,"default":""},"description":{"type":"string","nullable":true},"original_format":{"type":"string","nullable":true},"original_duration":{"type":"number","format":"float","nullable":true},"original_sampleCount":{"type":"integer","format":"int64","nullable":true},"original_channelCount":{"type":"integer","format":"int32","nullable":true},"original_bitRate":{"type":"integer","format":"int64","nullable":true},"original_sampleRate":{"type":"integer","format":"int32","nullable":true},"converted_format":{"type":"string","nullable":true},"converted_duration":{"type":"number","format":"float","nullable":true},"converted_sampleCount":{"type":"integer","format":"int64","nullable":true},"converted_channelCount":{"type":"integer","format":"int32","nullable":true},"converted_bitRate":{"type":"integer","format":"int64","nullable":true},"converted_sampleRate":{"type":"integer","format":"int32","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","name","display_name","createdAt","updatedAt"]},"Tag":{"title":"Tag","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"color":{"type":"string","nullable":true,"default":"#0091EA"},"description":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","name","color","createdAt","updatedAt"]},"Template":{"title":"Template","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"html":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","createdAt","updatedAt"]},"Trigger":{"title":"Trigger","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"channel":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"status":{"type":"boolean","nullable":true,"default":false},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","status","createdAt","updatedAt"]},"Variable":{"title":"Variable","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"description":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","name","createdAt","updatedAt"]},"Integration":{"title":"Integration","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"version":{"type":"string"},"main":{"type":"string","nullable":true,"default":"app.js"},"filename":{"type":"string","nullable":true},"path":{"type":"string","nullable":true},"type":{"type":"string","nullable":true},"size":{"type":"integer","format":"int32","nullable":true},"active":{"type":"boolean","nullable":true,"default":false},"author":{"type":"string","nullable":true},"logo":{"type":"string","nullable":true},"state":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"title":{"type":"string","nullable":true},"remoteUri":{"type":"string","nullable":true},"link":{"type":"string","nullable":true,"default":"#"},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","name","version","main","active","link","createdAt","updatedAt"]},"IntegrationReport":{"title":"IntegrationReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"integration":{"type":"string","nullable":true},"eventChannel":{"type":"string","nullable":true},"exitStatus":{"type":"string","nullable":true},"ticketId":{"type":"string","nullable":true},"integrationId":{"type":"integer","format":"int32","nullable":true},"contacts":{"type":"string","nullable":true},"uniqueid":{"type":"string","nullable":true},"calleridnum":{"type":"string","nullable":true},"calleridname":{"type":"string","nullable":true},"queue":{"type":"string","nullable":true},"interface":{"type":"string","nullable":true},"membername":{"type":"string","nullable":true},"agentcalledAt":{"type":"string","format":"date-time","nullable":true},"agentconnectAt":{"type":"string","format":"date-time","nullable":true},"holdtime":{"type":"integer","format":"int32","nullable":true},"agentcomplete":{"type":"boolean","nullable":true,"default":false},"agentcompleteAt":{"type":"string","format":"date-time","nullable":true},"talktime":{"type":"integer","format":"int32","nullable":true},"agentacw":{"type":"boolean","nullable":true,"default":false},"acwtime":{"type":"integer","format":"int32","nullable":true},"reason":{"type":"string","nullable":true},"agentringnoanswer":{"type":"boolean","nullable":true,"default":false},"agentringnoanswerAt":{"type":"string","format":"date-time","nullable":true},"agentdump":{"type":"boolean","nullable":true,"default":false},"agentdumpAt":{"type":"string","format":"date-time","nullable":true},"lastevent":{"type":"string","nullable":true},"channel":{"type":"string","nullable":true},"channelstate":{"type":"integer","format":"int32","nullable":true},"channelstatedesc":{"type":"string","nullable":true},"connectedlinenum":{"type":"string","nullable":true},"connectedlinename":{"type":"string","nullable":true},"language":{"type":"string","nullable":true},"accountcode":{"type":"string","nullable":true},"context":{"type":"string","nullable":true},"exten":{"type":"string","nullable":true},"priority":{"type":"string","nullable":true},"destchannel":{"type":"string","nullable":true},"destchannelstate":{"type":"integer","format":"int32","nullable":true},"destchannelstatedesc":{"type":"string","nullable":true},"destcalleridnum":{"type":"string","nullable":true},"destcalleridname":{"type":"string","nullable":true},"destconnectedlinenum":{"type":"string","nullable":true},"destconnectedlinename":{"type":"string","nullable":true},"destlanguage":{"type":"string","nullable":true},"destaccountcode":{"type":"string","nullable":true},"destcontext":{"type":"string","nullable":true},"destexten":{"type":"string","nullable":true},"destpriority":{"type":"string","nullable":true},"destuniqueid":{"type":"string","nullable":true},"messageId":{"type":"string","nullable":true},"inReplyTo":{"type":"string","nullable":true},"subject":{"type":"string","nullable":true},"from":{"type":"string","nullable":true},"to":{"type":"string","nullable":true},"cc":{"type":"string","nullable":true},"attachment":{"type":"string","nullable":true},"html":{"type":"string","nullable":true},"text":{"type":"string","nullable":true},"status":{"type":"string","enum":["SENT","SENDING","RECEIVED","FAILED"],"nullable":true},"url":{"type":"string","nullable":true},"app":{"type":"string","nullable":true},"appdata":{"type":"string","nullable":true},"projectId":{"type":"integer","format":"int32","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","agentcomplete","agentacw","agentringnoanswer","agentdump","createdAt","updatedAt"]},"AnalyticCustomReport":{"title":"AnalyticCustomReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"description":{"type":"string","nullable":true},"parent":{"type":"string","nullable":true},"table":{"type":"string","nullable":true},"conditions":{"type":"string","nullable":true},"joins":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"deletedAt":{"type":"string","format":"date-time","nullable":true}},"required":["id","name","createdAt","updatedAt"]},"AnalyticDefaultReport":{"title":"AnalyticDefaultReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"description":{"type":"string","nullable":true},"parent":{"type":"string","nullable":true},"table":{"type":"string","nullable":true},"conditions":{"type":"string","nullable":true},"joins":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","name","createdAt","updatedAt"]},"AnalyticExtractedReport":{"title":"AnalyticExtractedReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"basename":{"type":"string"},"output":{"type":"string","enum":["csv","pdf","xlsx"],"default":"csv"},"savename":{"type":"string"},"startDate":{"type":"string","format":"date-time","nullable":true},"endDate":{"type":"string","format":"date-time","nullable":true},"status":{"type":"string","nullable":true,"default":"Loading"},"type":{"type":"string","enum":["manual","scheduled"],"default":"manual"},"reportId":{"type":"integer","format":"int32","nullable":true},"reportType":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","name","basename","output","savename","status","type","createdAt","updatedAt"]},"AnalyticMetric":{"title":"AnalyticMetric","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"table":{"type":"string","nullable":true},"metric":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","name","createdAt","updatedAt"]},"AnalyticFieldReport":{"title":"AnalyticFieldReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"field":{"type":"string","nullable":true},"alias":{"type":"string","nullable":true},"function":{"type":"string","nullable":true},"format":{"type":"string","nullable":true},"groupBy":{"type":"boolean","nullable":true,"default":false},"orderBy":{"type":"string","nullable":true},"custom":{"type":"boolean","nullable":true,"default":false},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"CustomReportId":{"type":"integer","format":"int32","nullable":true},"DefaultReportId":{"type":"integer","format":"int32","nullable":true},"MetricId":{"type":"integer","format":"int32","nullable":true}},"required":["id","groupBy","custom","createdAt","updatedAt"]},"AnalyticTreeReport":{"title":"AnalyticTreeReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"tree":{"type":"string"},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","tree","createdAt","updatedAt"]},"SalesforceAccount":{"title":"SalesforceAccount","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"username":{"type":"string","nullable":true},"remoteUri":{"type":"string","nullable":true},"password":{"type":"string","nullable":true},"clientId":{"type":"string","nullable":true},"clientSecret":{"type":"string","nullable":true},"securityToken":{"type":"string","nullable":true},"serverUrl":{"type":"string","nullable":true},"type":{"type":"string","enum":["integrationTab","newTab"],"nullable":true,"default":"integrationTab"},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","type","createdAt","updatedAt"]},"SalesforceConfiguration":{"title":"SalesforceConfiguration","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"ticketType":{"type":"string","enum":["Task","Case"],"nullable":true,"default":"Task"},"moduleSearch":{"type":"string","enum":["contact_lead","contact","lead"],"nullable":true,"default":"contact_lead"},"moduleCreate":{"type":"string","enum":["nothing","contact","lead"],"nullable":true,"default":"lead"},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"AccountId":{"type":"integer","format":"int32","nullable":true}},"required":["id","ticketType","moduleSearch","moduleCreate","createdAt","updatedAt"]},"SalesforceField":{"title":"SalesforceField","type":"object","properties":{"id":{"type":"integer","format":"int32"},"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"nullable":true,"default":"string"},"content":{"type":"string","nullable":true},"key":{"type":"string","nullable":true},"keyType":{"type":"string","enum":["string","variable","customVariable"],"nullable":true},"keyContent":{"type":"string","nullable":true},"idField":{"type":"string","nullable":true},"variableName":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"SubjectId":{"type":"integer","format":"int32","nullable":true},"DescriptionId":{"type":"integer","format":"int32","nullable":true},"FieldId":{"type":"integer","format":"int32","nullable":true},"VariableId":{"type":"integer","format":"int32","nullable":true}},"required":["id","type","createdAt","updatedAt"]},"SugarcrmAccount":{"title":"SugarcrmAccount","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"username":{"type":"string","nullable":true},"password":{"type":"string","nullable":true},"remoteUri":{"type":"string","nullable":true},"serverUrl":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","createdAt","updatedAt"]},"SugarcrmConfiguration":{"title":"SugarcrmConfiguration","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"AccountId":{"type":"integer","format":"int32","nullable":true}},"required":["id","createdAt","updatedAt"]},"SugarcrmField":{"title":"SugarcrmField","type":"object","properties":{"id":{"type":"integer","format":"int32"},"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"nullable":true,"default":"string"},"content":{"type":"string","nullable":true},"key":{"type":"string","nullable":true},"keyType":{"type":"string","enum":["string","variable","customVariable"],"nullable":true},"keyContent":{"type":"string","nullable":true},"idField":{"type":"string","nullable":true},"nameField":{"type":"string","nullable":true},"customField":{"type":"boolean","nullable":true,"default":true},"variableName":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"SubjectId":{"type":"integer","format":"int32","nullable":true},"DescriptionId":{"type":"integer","format":"int32","nullable":true},"FieldId":{"type":"integer","format":"int32","nullable":true},"VariableId":{"type":"integer","format":"int32","nullable":true}},"required":["id","type","customField","createdAt","updatedAt"]},"DeskAccount":{"title":"DeskAccount","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"username":{"type":"string","nullable":true},"remoteUri":{"type":"string","nullable":true},"authType":{"type":"string","enum":["basic"],"nullable":true,"default":"basic"},"password":{"type":"string","nullable":true},"consumerKey":{"type":"string","nullable":true},"consumerSecret":{"type":"string","nullable":true},"token":{"type":"string","nullable":true},"tokenSecret":{"type":"string","nullable":true},"serverUrl":{"type":"string","nullable":true},"type":{"type":"string","enum":["integrationTab","newTab"],"nullable":true,"default":"integrationTab"},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","authType","type","createdAt","updatedAt"]},"DeskConfiguration":{"title":"DeskConfiguration","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"AccountId":{"type":"integer","format":"int32","nullable":true}},"required":["id","createdAt","updatedAt"]},"DeskField":{"title":"DeskField","type":"object","properties":{"id":{"type":"integer","format":"int32"},"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"nullable":true,"default":"string"},"content":{"type":"string","nullable":true},"key":{"type":"string","nullable":true},"keyType":{"type":"string","enum":["string","variable","customVariable"],"nullable":true},"keyContent":{"type":"string","nullable":true},"idField":{"type":"string","nullable":true},"nameField":{"type":"string","nullable":true},"customField":{"type":"boolean","nullable":true,"default":true},"variableName":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"SubjectId":{"type":"integer","format":"int32","nullable":true},"DescriptionId":{"type":"integer","format":"int32","nullable":true},"FieldId":{"type":"integer","format":"int32","nullable":true},"VariableId":{"type":"integer","format":"int32","nullable":true}},"required":["id","type","customField","createdAt","updatedAt"]},"ZohoAccount":{"title":"ZohoAccount","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"host":{"type":"string","nullable":true},"zone":{"type":"string","nullable":true},"clientId":{"type":"string","nullable":true},"clientSecret":{"type":"string","nullable":true},"serverUrl":{"type":"string","nullable":true},"code":{"type":"string","nullable":true},"refreshToken":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","createdAt","updatedAt"]},"ZohoConfiguration":{"title":"ZohoConfiguration","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"moduleCreate":{"type":"string","enum":["lead","contact","nothing"],"nullable":true,"default":"lead"},"moduleSearch":{"type":"string","enum":["contact_lead","contact","lead"],"nullable":true,"default":"contact_lead"},"description":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"AccountId":{"type":"integer","format":"int32","nullable":true}},"required":["id","moduleCreate","moduleSearch","createdAt","updatedAt"]},"ZohoField":{"title":"ZohoField","type":"object","properties":{"id":{"type":"integer","format":"int32"},"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"nullable":true,"default":"string"},"content":{"type":"string","nullable":true},"key":{"type":"string","nullable":true},"keyType":{"type":"string","enum":["string","variable","customVariable"],"nullable":true},"keyContent":{"type":"string","nullable":true},"idField":{"type":"string","nullable":true},"nameField":{"type":"string","nullable":true},"customField":{"type":"boolean","nullable":true,"default":true},"variableName":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"SubjectId":{"type":"integer","format":"int32","nullable":true},"DescriptionId":{"type":"integer","format":"int32","nullable":true},"FieldId":{"type":"integer","format":"int32","nullable":true},"VariableId":{"type":"integer","format":"int32","nullable":true}},"required":["id","type","customField","createdAt","updatedAt"]},"ZendeskAccount":{"title":"ZendeskAccount","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"username":{"type":"string","nullable":true},"password":{"type":"string","nullable":true},"token":{"type":"string","nullable":true},"remoteUri":{"type":"string","nullable":true},"authType":{"type":"string","enum":["password","token"],"nullable":true,"default":"password"},"serverUrl":{"type":"string","nullable":true},"type":{"type":"string","enum":["integrationTab","newTab"],"nullable":true,"default":"integrationTab"},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","authType","type","createdAt","updatedAt"]},"ZendeskConfiguration":{"title":"ZendeskConfiguration","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"AccountId":{"type":"integer","format":"int32","nullable":true}},"required":["id","createdAt","updatedAt"]},"ZendeskField":{"title":"ZendeskField","type":"object","properties":{"id":{"type":"integer","format":"int32"},"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"nullable":true,"default":"string"},"content":{"type":"string","nullable":true},"key":{"type":"string","nullable":true},"keyType":{"type":"string","enum":["string","variable","customVariable"],"nullable":true},"keyContent":{"type":"string","nullable":true},"idField":{"type":"string","nullable":true},"nameField":{"type":"string","nullable":true},"customField":{"type":"boolean","nullable":true,"default":true},"variableName":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"SubjectId":{"type":"integer","format":"int32","nullable":true},"DescriptionId":{"type":"integer","format":"int32","nullable":true},"FieldId":{"type":"integer","format":"int32","nullable":true},"VariableId":{"type":"integer","format":"int32","nullable":true}},"required":["id","type","customField","createdAt","updatedAt"]},"FreshdeskAccount":{"title":"FreshdeskAccount","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"username":{"type":"string","nullable":true},"apiKey":{"type":"string","nullable":true},"remoteUri":{"type":"string","nullable":true},"serverUrl":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","createdAt","updatedAt"]},"FreshdeskConfiguration":{"title":"FreshdeskConfiguration","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"AccountId":{"type":"integer","format":"int32","nullable":true}},"required":["id","createdAt","updatedAt"]},"FreshdeskField":{"title":"FreshdeskField","type":"object","properties":{"id":{"type":"integer","format":"int32"},"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"nullable":true,"default":"string"},"content":{"type":"string","nullable":true},"key":{"type":"string","nullable":true},"keyType":{"type":"string","enum":["string","variable","customVariable"],"nullable":true},"keyContent":{"type":"string","nullable":true},"idField":{"type":"string","nullable":true},"nameField":{"type":"string","nullable":true},"customField":{"type":"boolean","nullable":true,"default":true},"variableName":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"SubjectId":{"type":"integer","format":"int32","nullable":true},"DescriptionId":{"type":"integer","format":"int32","nullable":true},"FieldId":{"type":"integer","format":"int32","nullable":true},"VariableId":{"type":"integer","format":"int32","nullable":true}},"required":["id","type","customField","createdAt","updatedAt"]},"VtigerAccount":{"title":"VtigerAccount","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"description":{"type":"string","nullable":true},"username":{"type":"string"},"moduleName":{"type":"string","default":"HelpDesk"},"remoteUri":{"type":"string"},"serverUrl":{"type":"string","nullable":true},"accessKey":{"type":"string"},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","name","username","moduleName","remoteUri","accessKey","createdAt","updatedAt"]},"VtigerConfiguration":{"title":"VtigerConfiguration","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"AccountId":{"type":"integer","format":"int32","nullable":true}},"required":["id","createdAt","updatedAt"]},"VtigerField":{"title":"VtigerField","type":"object","properties":{"id":{"type":"integer","format":"int32"},"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"nullable":true,"default":"string"},"content":{"type":"string","nullable":true},"key":{"type":"string","nullable":true},"keyType":{"type":"string","enum":["string","variable","customVariable"],"nullable":true},"keyContent":{"type":"string","nullable":true},"idField":{"type":"string","nullable":true},"nameField":{"type":"string","nullable":true},"customField":{"type":"boolean","nullable":true,"default":true},"variableName":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"SubjectId":{"type":"integer","format":"int32","nullable":true},"DescriptionId":{"type":"integer","format":"int32","nullable":true},"FieldId":{"type":"integer","format":"int32","nullable":true},"VariableId":{"type":"integer","format":"int32","nullable":true}},"required":["id","type","customField","createdAt","updatedAt"]},"ServicenowAccount":{"title":"ServicenowAccount","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"username":{"type":"string","nullable":true},"password":{"type":"string","nullable":true},"email":{"type":"string","nullable":true},"remoteUri":{"type":"string","nullable":true},"serverUrl":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","createdAt","updatedAt"]},"ServicenowConfiguration":{"title":"ServicenowConfiguration","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"AccountId":{"type":"integer","format":"int32","nullable":true}},"required":["id","createdAt","updatedAt"]},"ServicenowField":{"title":"ServicenowField","type":"object","properties":{"id":{"type":"integer","format":"int32"},"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"nullable":true,"default":"string"},"content":{"type":"string","nullable":true},"key":{"type":"string","nullable":true},"keyType":{"type":"string","enum":["string","variable","customVariable"],"nullable":true},"keyContent":{"type":"string","nullable":true},"idField":{"type":"string","nullable":true},"nameField":{"type":"string","nullable":true},"customField":{"type":"boolean","nullable":true,"default":true},"variableName":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"SubjectId":{"type":"integer","format":"int32","nullable":true},"DescriptionId":{"type":"integer","format":"int32","nullable":true},"FieldId":{"type":"integer","format":"int32","nullable":true},"VariableId":{"type":"integer","format":"int32","nullable":true}},"required":["id","type","customField","createdAt","updatedAt"]},"Dynamics365Account":{"title":"Dynamics365Account","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"username":{"type":"string","nullable":true},"password":{"type":"string","nullable":true},"remoteUri":{"type":"string","nullable":true},"tenantId":{"type":"string","nullable":true},"clientId":{"type":"string","nullable":true},"clientSecret":{"type":"string","nullable":true},"serverUrl":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","createdAt","updatedAt"]},"Dynamics365Configuration":{"title":"Dynamics365Configuration","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"ticketType":{"type":"string","enum":["incident","phonecall"],"nullable":true,"default":"incident"},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"AccountId":{"type":"integer","format":"int32","nullable":true}},"required":["id","ticketType","createdAt","updatedAt"]},"Dynamics365Field":{"title":"Dynamics365Field","type":"object","properties":{"id":{"type":"integer","format":"int32"},"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"nullable":true,"default":"string"},"content":{"type":"string","nullable":true},"key":{"type":"string","nullable":true},"keyType":{"type":"string","enum":["string","variable","customVariable"],"nullable":true},"keyContent":{"type":"string","nullable":true},"idField":{"type":"string","nullable":true},"nameField":{"type":"string","nullable":true},"customField":{"type":"boolean","nullable":true,"default":true},"variableName":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"SubjectId":{"type":"integer","format":"int32","nullable":true},"DescriptionId":{"type":"integer","format":"int32","nullable":true},"FieldId":{"type":"integer","format":"int32","nullable":true},"VariableId":{"type":"integer","format":"int32","nullable":true}},"required":["id","type","customField","createdAt","updatedAt"]},"FreshsalesAccount":{"title":"FreshsalesAccount","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"username":{"type":"string","nullable":true},"apiKey":{"type":"string","nullable":true},"remoteUri":{"type":"string","nullable":true},"serverUrl":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","createdAt","updatedAt"]},"FreshsalesConfiguration":{"title":"FreshsalesConfiguration","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"AccountId":{"type":"integer","format":"int32","nullable":true}},"required":["id","createdAt","updatedAt"]},"FreshsalesField":{"title":"FreshsalesField","type":"object","properties":{"id":{"type":"integer","format":"int32"},"type":{"type":"string","enum":["string","variable","customVariable","keyValue"],"nullable":true,"default":"string"},"content":{"type":"string","nullable":true},"key":{"type":"string","nullable":true},"keyType":{"type":"string","enum":["string","variable","customVariable"],"nullable":true},"keyContent":{"type":"string","nullable":true},"idField":{"type":"string","nullable":true},"nameField":{"type":"string","nullable":true},"customField":{"type":"boolean","nullable":true,"default":true},"variableName":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"SubjectId":{"type":"integer","format":"int32","nullable":true},"DescriptionId":{"type":"integer","format":"int32","nullable":true},"FieldId":{"type":"integer","format":"int32","nullable":true},"VariableId":{"type":"integer","format":"int32","nullable":true}},"required":["id","type","customField","createdAt","updatedAt"]},"Network":{"title":"Network","type":"object","properties":{"id":{"type":"integer","format":"int32"},"type":{"type":"string","enum":["localnet","externip","stun","turn"],"nullable":true},"value":{"type":"string","nullable":true},"username":{"type":"string","nullable":true},"password":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","createdAt","updatedAt"]},"ChatQueueReport":{"title":"ChatQueueReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"uniqueid":{"type":"string"},"from":{"type":"string","nullable":true},"joinAt":{"type":"string","format":"date-time","nullable":true},"leaveAt":{"type":"string","format":"date-time","nullable":true},"acceptAt":{"type":"string","format":"date-time","nullable":true},"exitAt":{"type":"string","format":"date-time","nullable":true},"reason":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"ChatWebsiteId":{"type":"integer","format":"int32","nullable":true},"ListId":{"type":"integer","format":"int32","nullable":true},"ContactId":{"type":"integer","format":"int32","nullable":true},"ChatInteractionId":{"type":"integer","format":"int32","nullable":true},"ChatMessageId":{"type":"integer","format":"int32","nullable":true},"UserId":{"type":"integer","format":"int32","nullable":true},"ChatQueueId":{"type":"integer","format":"int32","nullable":true}},"required":["id","uniqueid","createdAt","updatedAt"]},"ChatInternalMessage":{"title":"ChatInternalMessage","type":"object","properties":{"id":{"type":"integer","format":"int32"},"body":{"type":"string"},"read":{"type":"boolean","nullable":true,"default":false},"ChatInternalMessageId":{"type":"integer","format":"int32","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"ChatGroupId":{"type":"integer","format":"int32","nullable":true},"FromId":{"type":"integer","format":"int32","nullable":true},"ToId":{"type":"integer","format":"int32","nullable":true}},"required":["id","body","read","createdAt","updatedAt"]},"JscriptyAnswerReport":{"title":"JscriptyAnswerReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"question":{"type":"string","nullable":true},"answer":{"type":"string","nullable":true},"membername":{"type":"string","nullable":true},"projectname":{"type":"string","nullable":true},"queue":{"type":"string","nullable":true},"uniqueid":{"type":"string","nullable":true},"calleridname":{"type":"string","nullable":true},"calleridnum":{"type":"string","nullable":true},"questionId":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"SessionId":{"type":"integer","format":"int32","nullable":true},"ProjectId":{"type":"integer","format":"int32","nullable":true}},"required":["id","createdAt","updatedAt"]},"JscriptyQuestionReport":{"title":"JscriptyQuestionReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"question":{"type":"string","nullable":true},"answer":{"type":"string","nullable":true},"membername":{"type":"string","nullable":true},"projectname":{"type":"string","nullable":true},"queue":{"type":"string","nullable":true},"uniqueid":{"type":"string","nullable":true},"calleridname":{"type":"string","nullable":true},"calleridnum":{"type":"string","nullable":true},"questionId":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"SessionId":{"type":"integer","format":"int32","nullable":true},"ProjectId":{"type":"integer","format":"int32","nullable":true}},"required":["id","createdAt","updatedAt"]},"JscriptySessionReport":{"title":"JscriptySessionReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"starttime":{"type":"string","format":"date-time","nullable":true},"endtime":{"type":"string","format":"date-time","nullable":true},"membername":{"type":"string","nullable":true},"projectname":{"type":"string","nullable":true},"queue":{"type":"string","nullable":true},"uniqueid":{"type":"string","nullable":true},"calleridname":{"type":"string","nullable":true},"calleridnum":{"type":"string","nullable":true},"completed":{"type":"boolean","nullable":true,"default":false},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"VoiceQueueId":{"type":"integer","format":"int32","nullable":true},"ContactId":{"type":"integer","format":"int32","nullable":true},"ProjectId":{"type":"integer","format":"int32","nullable":true}},"required":["id","completed","createdAt","updatedAt"]},"SmsQueueReport":{"title":"SmsQueueReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"uniqueid":{"type":"string"},"from":{"type":"string","nullable":true},"joinAt":{"type":"string","format":"date-time","nullable":true},"leaveAt":{"type":"string","format":"date-time","nullable":true},"acceptAt":{"type":"string","format":"date-time","nullable":true},"exitAt":{"type":"string","format":"date-time","nullable":true},"reason":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"SmsAccountId":{"type":"integer","format":"int32","nullable":true},"ListId":{"type":"integer","format":"int32","nullable":true},"ContactId":{"type":"integer","format":"int32","nullable":true},"SmsInteractionId":{"type":"integer","format":"int32","nullable":true},"SmsMessageId":{"type":"integer","format":"int32","nullable":true},"UserId":{"type":"integer","format":"int32","nullable":true},"SmsQueueId":{"type":"integer","format":"int32","nullable":true}},"required":["id","uniqueid","createdAt","updatedAt"]},"SquareReport":{"title":"SquareReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"network":{"type":"string","nullable":true},"network_script":{"type":"string","nullable":true},"request":{"type":"string","nullable":true},"channel":{"type":"string","nullable":true},"language":{"type":"string","nullable":true},"type":{"type":"string","nullable":true},"uniqueid":{"type":"string","nullable":true},"version":{"type":"string","nullable":true},"callerid":{"type":"string","nullable":true},"calleridname":{"type":"string","nullable":true},"callingpres":{"type":"string","nullable":true},"callingani2":{"type":"string","nullable":true},"callington":{"type":"string","nullable":true},"callingtns":{"type":"string","nullable":true},"dnid":{"type":"string","nullable":true},"rdnis":{"type":"string","nullable":true},"context":{"type":"string","nullable":true},"extension":{"type":"string","nullable":true},"priority":{"type":"string","nullable":true},"enhanced":{"type":"string","nullable":true},"accountcode":{"type":"string","nullable":true},"threadid":{"type":"string","nullable":true},"project_name":{"type":"string","nullable":true},"joinAt":{"type":"string","format":"date-time","nullable":true},"leaveAt":{"type":"string","format":"date-time","nullable":true},"bot":{"type":"boolean","nullable":true,"default":false},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","bot","createdAt","updatedAt"]},"SquareDetailsReport":{"title":"SquareDetailsReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"uniqueid":{"type":"string","nullable":true},"node":{"type":"string","nullable":true},"application":{"type":"string","nullable":true},"data":{"type":"string","nullable":true},"project_name":{"type":"string","nullable":true},"callerid":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","createdAt","updatedAt"]},"JscriptyProject":{"title":"JscriptyProject","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"formData":{"type":"string","nullable":true},"enableUncompleteSave":{"type":"boolean","nullable":true,"default":true},"sendUnpauseOnSubmit":{"type":"boolean","nullable":true,"default":false},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","enableUncompleteSave","sendUnpauseOnSubmit","createdAt","updatedAt"]},"Setting":{"title":"Setting","type":"object","properties":{"id":{"type":"integer","format":"int32"},"min_internal":{"type":"integer","format":"int32","nullable":true,"default":1000},"min_mailbox":{"type":"integer","format":"int32","nullable":true,"default":1000},"agi_port":{"type":"integer","format":"int32","nullable":true,"default":4573},"soundPath":{"type":"string","nullable":true,"default":"/var/opt/motion2/server/files/sounds/converted"},"logo":{"type":"string","nullable":true},"defaultLogo":{"type":"boolean","nullable":true,"default":true},"loginLogo":{"type":"string","nullable":true},"defaultLoginLogo":{"type":"boolean","nullable":true,"default":true},"headerWhiteLabel":{"type":"string","nullable":true},"defaultHeaderWhiteLabel":{"type":"boolean","nullable":true,"default":true},"securePassword":{"type":"boolean","nullable":true,"default":true},"firstSetup":{"type":"boolean","nullable":true,"default":true},"stunaddr":{"type":"string","nullable":true},"turnaddr":{"type":"string","nullable":true},"turnusername":{"type":"string","nullable":true},"turnpassword":{"type":"string","nullable":true},"pageTitle":{"type":"string","nullable":true},"defaultPageTitle":{"type":"boolean","nullable":true,"default":true},"callRecordingEncryption":{"type":"boolean","nullable":true,"default":false},"passwordExpiresDays":{"type":"integer","format":"int32","nullable":true,"default":90},"phoneBarAutoUpdater":{"type":"boolean","nullable":true,"default":true},"phoneBarAutoUpdaterUrl":{"type":"string","nullable":true,"default":"https://www.xcally.com/bar/phonebar/autoupdater.xml"},"enableEmailPreview":{"type":"boolean","nullable":true,"default":false},"split":{"type":"boolean","nullable":true,"default":true},"splitSizeCsv":{"type":"integer","format":"int32","nullable":true,"default":5000},"splitSizePdf":{"type":"integer","format":"int32","nullable":true,"default":5000},"splitSizeXlsx":{"type":"integer","format":"int32","nullable":true,"default":5000},"messagesAlignment":{"type":"string","nullable":true,"default":"alternate"},"chatTimeout":{"type":"integer","format":"int32","nullable":true,"default":30},"phoneBarRememberMeEnabled":{"type":"boolean","nullable":true,"default":true},"preferred":{"type":"string","nullable":true},"defaultPreferred":{"type":"boolean","nullable":true,"default":true},"rtlSupport":{"type":"boolean","nullable":true,"default":false},"defaultScreenRecordingPath":{"type":"string","nullable":true},"allowedLoginAttempts":{"type":"integer","format":"int32","nullable":true,"default":5},"blockDuration":{"type":"integer","format":"int32","nullable":true,"default":10},"favicon":{"type":"string","nullable":true},"defaultFavicon":{"type":"boolean","nullable":true,"default":true},"loginMailFrequency":{"type":"integer","format":"int32","nullable":true,"default":10},"transcribe":{"type":"boolean","nullable":true,"default":false},"automaticTranscribe":{"type":"boolean","nullable":true,"default":false},"transcribeAccountId":{"type":"integer","format":"int32","nullable":true},"transcribeRegion":{"type":"string","nullable":true},"sentiment":{"type":"boolean","nullable":true,"default":false},"automaticSentiment":{"type":"boolean","nullable":true,"default":false},"sentimentAccountId":{"type":"integer","format":"int32","nullable":true},"sentimentRegion":{"type":"string","nullable":true},"language":{"type":"string","nullable":true},"bucket":{"type":"string","nullable":true},"googleSsoEnabled":{"type":"boolean","nullable":true,"default":false},"enforcePasswordHistory":{"type":"boolean","nullable":true,"default":true},"passwordHistoryLimit":{"type":"integer","format":"int32","nullable":true,"default":3},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","min_internal","min_mailbox","agi_port","soundPath","defaultLogo","defaultLoginLogo","defaultHeaderWhiteLabel","securePassword","firstSetup","defaultPageTitle","callRecordingEncryption","passwordExpiresDays","phoneBarAutoUpdater","phoneBarAutoUpdaterUrl","enableEmailPreview","split","splitSizeCsv","splitSizePdf","splitSizeXlsx","messagesAlignment","chatTimeout","phoneBarRememberMeEnabled","defaultPreferred","rtlSupport","allowedLoginAttempts","blockDuration","defaultFavicon","loginMailFrequency","transcribe","automaticTranscribe","sentiment","automaticSentiment","googleSsoEnabled","enforcePasswordHistory","passwordHistoryLimit","createdAt","updatedAt"]},"SquareOdbc":{"title":"SquareOdbc","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"dsn":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","name","createdAt","updatedAt"]},"SquareProject":{"title":"SquareProject","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"description":{"type":"string","nullable":true},"notes":{"type":"string","nullable":true},"preproduction":{"type":"string","format":"byte","nullable":true},"production":{"type":"string","format":"byte","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","name","createdAt","updatedAt"]},"SquareRecording":{"title":"SquareRecording","type":"object","properties":{"id":{"type":"integer","format":"int32"},"uniqueid":{"type":"string","nullable":true},"callerid":{"type":"string","nullable":true},"calleridname":{"type":"string","nullable":true},"context":{"type":"string","nullable":true},"extension":{"type":"string","nullable":true},"priority":{"type":"string","nullable":true},"accountcode":{"type":"string","nullable":true},"dnid":{"type":"string","nullable":true},"projectName":{"type":"string","nullable":true},"saveName":{"type":"string","nullable":true},"filename":{"type":"string","nullable":true},"savePath":{"type":"string","nullable":true},"format":{"anyOf":[{"type":"object"},{"type":"array"},{"type":"boolean"},{"type":"integer"},{"type":"number"},{"type":"string"}],"nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","createdAt","updatedAt"]},"SquareMessage":{"title":"SquareMessage","type":"object","properties":{"id":{"type":"integer","format":"int32"},"uniqueid":{"type":"string","nullable":true},"body":{"type":"string"},"direction":{"type":"string","enum":["in","out"],"default":"out"},"providerName":{"type":"string","nullable":true},"providerResponse":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","body","direction","createdAt","updatedAt"]},"Team":{"title":"Team","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"description":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","name","createdAt","updatedAt"]},"License":{"title":"License","type":"object","properties":{"id":{"type":"integer","format":"int32"},"license":{"type":"string","nullable":true},"data1":{"type":"string","nullable":true},"data2":{"type":"string","nullable":true},"chatLicenseExceeded":{"type":"integer","format":"int32","nullable":true,"default":0},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","chatLicenseExceeded","createdAt","updatedAt"]},"Campaign":{"title":"Campaign","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"type":{"type":"string","enum":["ivr"],"default":"ivr"},"description":{"type":"string","nullable":true},"active":{"type":"boolean","nullable":true,"default":0},"limitCalls":{"type":"integer","format":"int32","nullable":true,"default":30},"dialOriginateCallerIdName":{"type":"string","nullable":true},"dialOriginateCallerIdNumber":{"type":"string","nullable":true},"dialOriginateTimeout":{"type":"integer","format":"int32","nullable":true,"default":30},"dialCongestionMaxRetry":{"type":"integer","format":"int32","nullable":true,"default":3},"dialCongestionRetryFrequency":{"type":"integer","format":"int32","nullable":true,"default":150},"dialBusyMaxRetry":{"type":"integer","format":"int32","nullable":true,"default":3},"dialBusyRetryFrequency":{"type":"integer","format":"int32","nullable":true,"default":150},"dialNoAnswerMaxRetry":{"type":"integer","format":"int32","nullable":true,"default":3},"dialNoAnswerRetryFrequency":{"type":"integer","format":"int32","nullable":true,"default":150},"dialGlobalMaxRetry":{"type":"integer","format":"int32","nullable":true,"default":4},"dialTimezone":{"type":"string","nullable":true,"default":null},"dialGlobalInterval":{"type":"string","nullable":true,"default":"07:00-22:00,*,*,*"},"dialCheckDuplicateType":{"type":"string","enum":["always","never","onlyIfOpen"],"nullable":true,"default":"always"},"dialAMDActive":{"type":"boolean","nullable":true,"default":0},"dialAMDInitialSilence":{"type":"integer","format":"int32","nullable":true,"default":2500},"dialAMDGreeting":{"type":"integer","format":"int32","nullable":true,"default":1500},"dialAMDAfterGreetingSilence":{"type":"integer","format":"int32","nullable":true,"default":800},"dialAMDTotalAnalysisTime":{"type":"integer","format":"int32","nullable":true,"default":5000},"dialAMDMinWordLength":{"type":"integer","format":"int32","nullable":true,"default":100},"dialAMDBetweenWordsSilence":{"type":"integer","format":"int32","nullable":true,"default":50},"dialAMDMaximumNumberOfWords":{"type":"integer","format":"int32","nullable":true,"default":3},"dialAMDSilenceThreshold":{"type":"integer","format":"int32","nullable":true,"default":256},"dialAMDMaximumWordLength":{"type":"integer","format":"int32","nullable":true,"default":5000},"dialOrderByScheduledAt":{"type":"string","enum":["DESC","ASC"],"nullable":true,"default":"DESC"},"dialPrefix":{"type":"string","nullable":true,"default":null},"dialRandomLastDigitCallerIdNumber":{"type":"integer","format":"int32","nullable":true,"default":0},"dialCutDigit":{"type":"integer","format":"int32","nullable":true,"default":0},"dialNoSuchNumberMaxRetry":{"type":"integer","format":"int32","nullable":true,"default":3},"dialNoSuchNumberRetryFrequency":{"type":"integer","format":"int32","nullable":true,"default":150},"dialDropMaxRetry":{"type":"integer","format":"int32","nullable":true,"default":3},"dialDropRetryFrequency":{"type":"integer","format":"int32","nullable":true,"default":150},"dialAbandonedMaxRetry":{"type":"integer","format":"int32","nullable":true,"default":3},"dialAbandonedRetryFrequency":{"type":"integer","format":"int32","nullable":true,"default":150},"dialMachineMaxRetry":{"type":"integer","format":"int32","nullable":true,"default":3},"dialMachineRetryFrequency":{"type":"integer","format":"int32","nullable":true,"default":150},"dialAgentRejectMaxRetry":{"type":"integer","format":"int32","nullable":true,"default":3},"dialAgentRejectRetryFrequency":{"type":"integer","format":"int32","nullable":true,"default":150},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"TrunkId":{"type":"integer","format":"int32","nullable":true},"TrunkBackupId":{"type":"integer","format":"int32","nullable":true},"IntervalId":{"type":"integer","format":"int32","nullable":true},"SquareProjectId":{"type":"integer","format":"int32","nullable":true}},"required":["id","name","type","active","limitCalls","dialOriginateTimeout","dialCongestionMaxRetry","dialCongestionRetryFrequency","dialBusyMaxRetry","dialBusyRetryFrequency","dialNoAnswerMaxRetry","dialNoAnswerRetryFrequency","dialGlobalMaxRetry","dialTimezone","dialGlobalInterval","dialCheckDuplicateType","dialAMDActive","dialAMDInitialSilence","dialAMDGreeting","dialAMDAfterGreetingSilence","dialAMDTotalAnalysisTime","dialAMDMinWordLength","dialAMDBetweenWordsSilence","dialAMDMaximumNumberOfWords","dialAMDSilenceThreshold","dialAMDMaximumWordLength","dialOrderByScheduledAt","dialPrefix","dialRandomLastDigitCallerIdNumber","dialCutDigit","dialNoSuchNumberMaxRetry","dialNoSuchNumberRetryFrequency","dialDropMaxRetry","dialDropRetryFrequency","dialAbandonedMaxRetry","dialAbandonedRetryFrequency","dialMachineMaxRetry","dialMachineRetryFrequency","dialAgentRejectMaxRetry","dialAgentRejectRetryFrequency","createdAt","updatedAt"]},"Migration":{"title":"Migration","type":"object","properties":{"id":{"type":"integer","format":"int32"},"version":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","createdAt","updatedAt"]},"Attachment":{"title":"Attachment","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"basename":{"type":"string","nullable":true},"type":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"MailMessageId":{"type":"integer","format":"int32","nullable":true}},"required":["id","createdAt","updatedAt"]},"Plugin":{"title":"Plugin","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"type":{"type":"string","enum":["html","script"],"nullable":true},"description":{"type":"string","nullable":true},"version":{"type":"string","nullable":true},"path":{"type":"string","nullable":true},"active":{"type":"boolean","nullable":true,"default":0},"sidebar":{"type":"string","enum":["adminOnly","agentOnly","always","never"],"nullable":true,"default":"always"},"icon":{"type":"string","nullable":true},"adminUrl":{"type":"string","nullable":true},"agentUrl":{"type":"string","nullable":true},"scriptName":{"type":"string","nullable":true},"scriptPath":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","active","sidebar","createdAt","updatedAt"]},"MailTransferReport":{"title":"MailTransferReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"uniqueid":{"type":"string"},"type":{"type":"string","enum":["account","agent","queue"],"default":"queue"},"transferredAt":{"type":"string","format":"date-time","default":{}},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"MailMessageId":{"type":"integer","format":"int32","nullable":true},"MailInteractionId":{"type":"integer","format":"int32","nullable":true},"FromMailAccountId":{"type":"integer","format":"int32","nullable":true},"FromUserId":{"type":"integer","format":"int32","nullable":true},"ToUserId":{"type":"integer","format":"int32","nullable":true},"ToMailQueueId":{"type":"integer","format":"int32","nullable":true},"ToMailAccountId":{"type":"integer","format":"int32","nullable":true}},"required":["id","uniqueid","type","transferredAt","createdAt","updatedAt"]},"ChatTransferReport":{"title":"ChatTransferReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"uniqueid":{"type":"string"},"type":{"type":"string","enum":["account","agent","queue"],"default":"queue"},"transferredAt":{"type":"string","format":"date-time","default":{}},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"ChatMessageId":{"type":"integer","format":"int32","nullable":true},"ChatInteractionId":{"type":"integer","format":"int32","nullable":true},"FromChatWebsiteId":{"type":"integer","format":"int32","nullable":true},"FromUserId":{"type":"integer","format":"int32","nullable":true},"ToUserId":{"type":"integer","format":"int32","nullable":true},"ToChatQueueId":{"type":"integer","format":"int32","nullable":true},"ToChatWebsiteId":{"type":"integer","format":"int32","nullable":true}},"required":["id","uniqueid","type","transferredAt","createdAt","updatedAt"]},"FaxTransferReport":{"title":"FaxTransferReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"uniqueid":{"type":"string"},"type":{"type":"string","enum":["account","agent","queue"],"default":"queue"},"transferredAt":{"type":"string","format":"date-time","default":{}},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"FaxMessageId":{"type":"integer","format":"int32","nullable":true},"FaxInteractionId":{"type":"integer","format":"int32","nullable":true},"FromFaxAccountId":{"type":"integer","format":"int32","nullable":true},"FromUserId":{"type":"integer","format":"int32","nullable":true},"ToUserId":{"type":"integer","format":"int32","nullable":true},"ToFaxQueueId":{"type":"integer","format":"int32","nullable":true},"ToFaxAccountId":{"type":"integer","format":"int32","nullable":true}},"required":["id","uniqueid","type","transferredAt","createdAt","updatedAt"]},"OpenchannelTransferReport":{"title":"OpenchannelTransferReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"uniqueid":{"type":"string"},"type":{"type":"string","enum":["account","agent","queue"],"default":"queue"},"transferredAt":{"type":"string","format":"date-time","default":{}},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"OpenchannelMessageId":{"type":"integer","format":"int32","nullable":true},"OpenchannelInteractionId":{"type":"integer","format":"int32","nullable":true},"FromOpenchannelAccountId":{"type":"integer","format":"int32","nullable":true},"FromUserId":{"type":"integer","format":"int32","nullable":true},"ToUserId":{"type":"integer","format":"int32","nullable":true},"ToOpenchannelQueueId":{"type":"integer","format":"int32","nullable":true},"ToOpenchannelAccountId":{"type":"integer","format":"int32","nullable":true}},"required":["id","uniqueid","type","transferredAt","createdAt","updatedAt"]},"SmsTransferReport":{"title":"SmsTransferReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"uniqueid":{"type":"string"},"type":{"type":"string","enum":["account","agent","queue"],"default":"queue"},"transferredAt":{"type":"string","format":"date-time","default":{}},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"SmsMessageId":{"type":"integer","format":"int32","nullable":true},"SmsInteractionId":{"type":"integer","format":"int32","nullable":true},"FromSmsAccountId":{"type":"integer","format":"int32","nullable":true},"FromUserId":{"type":"integer","format":"int32","nullable":true},"ToUserId":{"type":"integer","format":"int32","nullable":true},"ToSmsQueueId":{"type":"integer","format":"int32","nullable":true},"ToSmsAccountId":{"type":"integer","format":"int32","nullable":true}},"required":["id","uniqueid","type","transferredAt","createdAt","updatedAt"]},"CmList":{"title":"CmList","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"description":{"type":"string","nullable":true},"dialPrefix":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","name","createdAt","updatedAt"]},"VoicePrefix":{"title":"VoicePrefix","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"prefix":{"anyOf":[{"type":"object"},{"type":"array"},{"type":"boolean"},{"type":"integer"},{"type":"number"},{"type":"string"}],"nullable":true},"description":{"type":"string","nullable":true},"callerIdAll":{"type":"string","nullable":true},"VoiceExtensionId":{"type":"integer","format":"int32","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","name","createdAt","updatedAt"]},"UserProfile":{"title":"UserProfile","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"crudPermissions":{"type":"string","default":"r"},"description":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","name","crudPermissions","createdAt","updatedAt"]},"UserProfileSection":{"title":"UserProfileSection","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"category":{"type":"string"},"sectionId":{"type":"integer","format":"int32"},"enabled":{"type":"boolean","nullable":true,"default":null},"autoAssociation":{"type":"boolean","nullable":true,"default":null},"crudPermissions":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"userProfileId":{"type":"integer","format":"int32","nullable":true}},"required":["id","name","category","sectionId","enabled","autoAssociation","createdAt","updatedAt"]},"UserProfileResource":{"title":"UserProfileResource","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"resourceId":{"type":"integer","format":"int32"},"type":{"type":"string"},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"sectionId":{"type":"integer","format":"int32","nullable":true}},"required":["id","name","resourceId","type","createdAt","updatedAt"]},"Notification":{"title":"Notification","type":"object","properties":{"id":{"type":"integer","format":"int32"},"body":{"type":"string","nullable":true},"senderName":{"type":"string","nullable":true},"recipientsId":{"type":"string","nullable":true},"recipientsDescription":{"type":"string","nullable":true},"beep":{"type":"boolean","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"SenderId":{"type":"integer","format":"int32","nullable":true}},"required":["id","createdAt","updatedAt"]},"WhatsappAccount":{"title":"WhatsappAccount","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"key":{"type":"string"},"remote":{"type":"string"},"token":{"type":"string","nullable":true},"phone":{"type":"string","nullable":true},"type":{"type":"string","enum":["twilio"],"nullable":true},"accountSid":{"type":"string","nullable":true},"authToken":{"type":"string","nullable":true},"notificationTemplate":{"type":"string","nullable":true},"notificationSound":{"type":"boolean","nullable":true,"default":false},"notificationShake":{"type":"boolean","nullable":true,"default":false},"waitForTheAssignedAgent":{"type":"integer","format":"int32","nullable":true,"default":10},"queueTransfer":{"type":"boolean","nullable":true,"default":false},"queueTransferTimeout":{"type":"integer","format":"int32","nullable":true,"default":300},"agentTransfer":{"type":"boolean","nullable":true,"default":false},"agentTransferTimeout":{"type":"integer","format":"int32","nullable":true,"default":300},"mandatoryDispositionPauseId":{"type":"integer","format":"int32","nullable":true},"mandatoryDisposition":{"type":"boolean","nullable":true,"default":false},"description":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"ListId":{"type":"integer","format":"int32","nullable":true}},"required":["id","name","key","remote","token","notificationTemplate","notificationSound","notificationShake","waitForTheAssignedAgent","queueTransfer","queueTransferTimeout","agentTransfer","agentTransferTimeout","mandatoryDisposition","createdAt","updatedAt"]},"WhatsappApplication":{"title":"WhatsappApplication","type":"object","properties":{"id":{"type":"integer","format":"int32"},"priority":{"type":"integer","format":"int32"},"app":{"type":"string","default":"noop"},"appdata":{"type":"string","nullable":true},"description":{"type":"string","nullable":true},"interval":{"type":"string","nullable":true,"default":"*,*,*,*"},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"WhatsappAccountId":{"type":"integer","format":"int32","nullable":true},"UserId":{"type":"integer","format":"int32","nullable":true},"WhatsappQueueId":{"type":"integer","format":"int32","nullable":true},"IntervalId":{"type":"integer","format":"int32","nullable":true},"TagId":{"type":"integer","format":"int32","nullable":true}},"required":["id","priority","app","interval","createdAt","updatedAt"]},"WhatsappInteraction":{"title":"WhatsappInteraction","type":"object","properties":{"id":{"type":"integer","format":"int32"},"closed":{"type":"boolean","nullable":true,"default":false},"closedAt":{"type":"string","format":"date-time","nullable":true},"disposition":{"type":"string","nullable":true},"secondDisposition":{"type":"string","nullable":true},"thirdDisposition":{"type":"string","nullable":true},"note":{"type":"string","nullable":true},"phone":{"type":"string","nullable":true},"read1stAt":{"type":"string","format":"date-time","nullable":true},"firstMsgDirection":{"type":"string","enum":["in","out"],"default":"in"},"lastMsgAt":{"type":"string","format":"date-time","nullable":true},"lastMsgDirection":{"type":"string","enum":["in","out"],"default":"in"},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"UserId":{"type":"integer","format":"int32","nullable":true},"WhatsappAccountId":{"type":"integer","format":"int32","nullable":true},"ContactId":{"type":"integer","format":"int32","nullable":true}},"required":["id","closed","firstMsgDirection","lastMsgDirection","createdAt","updatedAt"]},"WhatsappMessage":{"title":"WhatsappMessage","type":"object","properties":{"id":{"type":"integer","format":"int32"},"body":{"type":"string"},"read":{"type":"boolean","nullable":true,"default":false},"direction":{"type":"string","enum":["in","out"],"default":"out"},"messageId":{"type":"string","maxLength":190,"nullable":true},"phone":{"type":"string","nullable":true},"readAt":{"type":"string","format":"date-time","nullable":true},"secret":{"type":"boolean","nullable":true,"default":false},"providerName":{"type":"string","nullable":true},"providerResponse":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"WhatsappAccountId":{"type":"integer","format":"int32","nullable":true},"WhatsappInteractionId":{"type":"integer","format":"int32","nullable":true},"UserId":{"type":"integer","format":"int32","nullable":true},"ContactId":{"type":"integer","format":"int32","nullable":true}},"required":["id","body","read","direction","secret","createdAt","updatedAt"]},"WhatsappQueueReport":{"title":"WhatsappQueueReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"uniqueid":{"type":"string"},"from":{"type":"string","nullable":true},"joinAt":{"type":"string","format":"date-time","nullable":true},"leaveAt":{"type":"string","format":"date-time","nullable":true},"acceptAt":{"type":"string","format":"date-time","nullable":true},"exitAt":{"type":"string","format":"date-time","nullable":true},"reason":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"WhatsappAccountId":{"type":"integer","format":"int32","nullable":true},"ListId":{"type":"integer","format":"int32","nullable":true},"ContactId":{"type":"integer","format":"int32","nullable":true},"WhatsappInteractionId":{"type":"integer","format":"int32","nullable":true},"WhatsappQueueId":{"type":"integer","format":"int32","nullable":true},"WhatsappMessageId":{"type":"integer","format":"int32","nullable":true},"UserId":{"type":"integer","format":"int32","nullable":true}},"required":["id","uniqueid","createdAt","updatedAt"]},"WhatsappTransferReport":{"title":"WhatsappTransferReport","type":"object","properties":{"id":{"type":"integer","format":"int32"},"uniqueid":{"type":"string"},"type":{"type":"string","enum":["account","agent","queue"]},"transferredAt":{"type":"string","format":"date-time","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"},"WhatsappMessageId":{"type":"integer","format":"int32","nullable":true},"WhatsappInteractionId":{"type":"integer","format":"int32","nullable":true},"FromWhatsappAccountId":{"type":"integer","format":"int32","nullable":true},"ToWhatsappAccountId":{"type":"integer","format":"int32","nullable":true},"ToQueueId":{"type":"integer","format":"int32","nullable":true},"FromUserId":{"type":"integer","format":"int32","nullable":true},"ToUserId":{"type":"integer","format":"int32","nullable":true}},"required":["id","uniqueid","type","createdAt","updatedAt"]},"WhatsappQueue":{"title":"WhatsappQueue","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string","nullable":true},"timeout":{"type":"integer","format":"int32","nullable":true},"strategy":{"type":"string","enum":["rrmemory","beepall","roundrobin"],"nullable":true},"description":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","createdAt","updatedAt"]},"CloudProvider":{"title":"CloudProvider","type":"object","properties":{"id":{"type":"integer","format":"int32"},"name":{"type":"string"},"service":{"type":"string","enum":["AmazonAWS","Google"]},"data1":{"type":"string","nullable":true},"data2":{"type":"string","nullable":true},"createdAt":{"type":"string","format":"date-time"},"updatedAt":{"type":"string","format":"date-time"}},"required":["id","name","service","createdAt","updatedAt"]}}},"tags":[]} diff --git a/public/schemas/openapi/openapi.rpc.json b/public/schemas/openapi/openapi.rpc.json new file mode 100644 index 0000000..3b7db21 --- /dev/null +++ b/public/schemas/openapi/openapi.rpc.json @@ -0,0 +1 @@ +{"openapi":"3.0.3","info":{"title":"XCally Motion RPC API","description":"OpenAPI document for XCally Motion JSON-RPC 2.0 API (check https://en.wikipedia.org/wiki/JSON-RPC)","termsOfService":"http://xcally.com/terms/","contact":{"name":"API Support","url":"http://www.xcally.com/support","email":"support@xcally.com"},"license":{"name":"XCally EULA","url":"http://xcally.com/eula/"},"version":"3.0.0.alpha"},"servers":[{"url":"http://localhost:9001/","description":"Local RPC Motion instance"},{"url":"http://localhost:9002/","description":"Local RPC AMI instance"},{"url":"http://localhost:9003/","description":"Local RPC Email instance"},{"url":"http://localhost:9004/","description":"Local RPC Routing instance"}],"paths":{"/CreateAttachment":{"post":{"summary":"(RPC) Creates a new Attachment","operationId":"rpcCreateAttachment","tags":["Attachments"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetCampaign":{"post":{"summary":"(RPC) Gets a list of IVR Campaigns","operationId":"rpcGetCampaign","tags":["IVR Campaigns"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/UpdateChatInteraction":{"post":{"summary":"(RPC) Updates an existing Interaction","operationId":"rpcUpdateChatInteraction","tags":["Chat Interactions"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/AddTagsToChatInteraction":{"post":{"summary":"(RPC) Add Tags to Chat interaction","operationId":"rpcAddTagsToChatInteraction","tags":["Chat Interactions"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreateChatMessage":{"post":{"summary":"(RPC) Creates a new Message","operationId":"rpcCreateChatMessage","tags":["Chat Messages"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetChatQueue":{"post":{"summary":"(RPC) Gets a list of Queues","operationId":"rpcGetChatQueue","tags":["Chat Queues"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowChatQueue":{"post":{"summary":"(RPC) Gets a single Queue","operationId":"rpcShowChatQueue","tags":["Chat Queues"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreateChatQueueReport":{"post":{"summary":"(RPC) Creates a new Chat Queue Report","operationId":"rpcCreateChatQueueReport","tags":["Chat Queue Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/UpdateChatQueueReport":{"post":{"summary":"(RPC) Updates an existing Chat Queue Report","operationId":"rpcUpdateChatQueueReport","tags":["Chat Queue Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetCmContact":{"post":{"summary":"(RPC) Gets a list of Contacts","operationId":"rpcGetCmContact","tags":["Cm Contacts"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreateCmContact":{"post":{"summary":"(RPC) Creates a new Contact","operationId":"rpcCreateCmContact","tags":["Cm Contacts"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetCmHopper":{"post":{"summary":"(RPC) Gets a list of Hopper","operationId":"rpcGetCmHopper","tags":["Cm Hopper"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowCmHopper":{"post":{"summary":"(RPC) Gets a single Hopper","operationId":"rpcShowCmHopper","tags":["Cm Hopper"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreateCmHopper":{"post":{"summary":"(RPC) Creates a new Hopper","operationId":"rpcCreateCmHopper","tags":["Cm Hopper"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/UpdateCmHopper":{"post":{"summary":"(RPC) Updates an existing Hopper","operationId":"rpcUpdateCmHopper","tags":["Cm Hopper"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/DestroyCmHopper":{"post":{"summary":"(RPC) Destroy an existing Hopper","operationId":"rpcDestroyCmHopper","tags":["Cm Hopper"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetContactDialer":{"post":{"summary":"(RPC) Get a contact for dialer","operationId":"rpcGetGetContactDialer","tags":["Cm Hopper"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/MoveContactManagedDialer":{"post":{"summary":"(RPC) move a contact for dialer","operationId":"rpcMoveContactManagedDialer","tags":["Cm Hopper"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetCmHopperHistory":{"post":{"summary":"(RPC) Count rescheduled contacts","operationId":"rpcGetCmHopperHistory","tags":["Cm Hopper History"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetContactRecallMe":{"post":{"summary":"(RPC) Get a contact for preview recallme","operationId":"rpcGetContactRecallMe","tags":["Cm Hopper"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetCmHopperPreview":{"post":{"summary":"(RPC) Get Cm Hopper Preview","operationId":"rpcGetCmHopperPreview","tags":["Cm Hopper"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetCmHopperBlack":{"post":{"summary":"(RPC) Gets a list of Hopper Black","operationId":"rpcGetCmHopperBlack","tags":["Cm Hopper Black"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/UpdateCmHopperBlack":{"post":{"summary":"(RPC) Updates an existing Hopper Black","operationId":"rpcUpdateCmHopperBlack","tags":["Cm Hopper Black"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/DestroyCmHopperBlack":{"post":{"summary":"(RPC) Destroy an existing Hopper Black","operationId":"rpcDestroyCmHopperBlack","tags":["Cm Hopper Black"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreateCmHopperFinal":{"post":{"summary":"(RPC) Creates a new HopperFinal","operationId":"rpcCreateCmHopperFinal","tags":["Cm Hopper Final"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreateCmHopperHistory":{"post":{"summary":"(RPC) Creates a new HopperHistory","operationId":"rpcCreateCmHopperHistory","tags":["Cm Hopper History"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/AvgHandleTime":{"post":{"summary":"(RPC) Gets a avg of talktime and holdtime","operationId":"rpcAvgHandleTime","tags":["Cm Hopper History"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/getVoiceQueueHopperHistory":{"post":{"summary":"(RPC) Counts no succes calls","operationId":"rpcGetVoiceQueueHopperHistory","tags":["Cm Hopper History"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/getCampaignHopperHistory":{"post":{"summary":"(RPC) Counts no succes calls","operationId":"rpcGetCampaignHopperHistory","tags":["Cm Hopper History"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetFaxAccount":{"post":{"summary":"(RPC) Gets a list of Accounts","operationId":"rpcGetFaxAccount","tags":["Fax Accounts"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/UpdateFaxApplication":{"post":{"summary":"(RPC) Updates an existing Application","operationId":"rpcUpdateFaxApplication","tags":["Fax Applications"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/UpdateFaxInteraction":{"post":{"summary":"(RPC) Updates an existing Interaction","operationId":"rpcUpdateFaxInteraction","tags":["Fax Interactions"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/AddTagsToFaxInteraction":{"post":{"summary":"(RPC) Add Tags to Fax interaction","operationId":"rpcAddTagsToFaxInteraction","tags":["Fax Interactions"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreateFaxMessage":{"post":{"summary":"(RPC) Creates a new Message","operationId":"rpcCreateFaxMessage","tags":["Fax Messages"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/UpdateFaxMessage":{"post":{"summary":"(RPC) Updates an existing Message","operationId":"rpcUpdateFaxMessage","tags":["Fax Messages"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetFaxQueue":{"post":{"summary":"(RPC) Gets a list of Queues","operationId":"rpcGetFaxQueue","tags":["Fax Queues"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowFaxQueue":{"post":{"summary":"(RPC) Gets a single Queue","operationId":"rpcShowFaxQueue","tags":["Fax Queues"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreateFaxQueueReport":{"post":{"summary":"(RPC) Creates a new Fax Queue Report","operationId":"rpcCreateFaxQueueReport","tags":["Fax Queue Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/UpdateFaxQueueReport":{"post":{"summary":"(RPC) Updates an existing Fax Queue Report","operationId":"rpcUpdateFaxQueueReport","tags":["Fax Queue Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetDeskAccount":{"post":{"summary":"(RPC) Gets a list of Desk Accounts","operationId":"rpcGetDeskAccount","tags":["Desk Accounts"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowDeskAccount":{"post":{"summary":"(RPC) Gets a single Desk Account","operationId":"rpcShowDeskAccount","tags":["Desk Accounts"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetDynamics365Account":{"post":{"summary":"(RPC) Gets a list of Dynamics365 Accounts","operationId":"rpcGetDynamics365Account","tags":["Dynamics365 Accounts"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowDynamics365Account":{"post":{"summary":"(RPC) Gets a single Dynamics365 Account","operationId":"rpcShowDynamics365Account","tags":["Dynamics365 Accounts"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetInterval":{"post":{"summary":"(RPC) Gets a list of Intervals","operationId":"rpcGetInterval","tags":["Intervals"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowInterval":{"post":{"summary":"(RPC) Gets a single Interval","operationId":"rpcShowInterval","tags":["Intervals"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetFreshdeskAccount":{"post":{"summary":"(RPC) Gets a list of Freshdesk Accounts","operationId":"rpcGetFreshdeskAccount","tags":["Freshdesk Accounts"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowFreshdeskAccount":{"post":{"summary":"(RPC) Gets a single Freshdesk Account","operationId":"rpcShowFreshdeskAccount","tags":["Freshdesk Accounts"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetFreshsalesAccount":{"post":{"summary":"(RPC) Gets a list of Freshsales Accounts","operationId":"rpcGetFreshsalesAccount","tags":["Freshsales Accounts"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowFreshsalesAccount":{"post":{"summary":"(RPC) Gets a single Freshsales Account","operationId":"rpcShowFreshsalesAccount","tags":["Freshsales Accounts"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetSalesforceAccount":{"post":{"summary":"(RPC) Gets a list of Salesforce Accounts","operationId":"rpcGetSalesforceAccount","tags":["Salesforce Accounts"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowSalesforceAccount":{"post":{"summary":"(RPC) Gets a single Salesforce Account","operationId":"rpcShowSalesforceAccount","tags":["Salesforce Accounts"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetServicenowAccount":{"post":{"summary":"(RPC) Gets a list of Servicenow Accounts","operationId":"rpcGetServicenowAccount","tags":["Servicenow Accounts"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowServicenowAccount":{"post":{"summary":"(RPC) Gets a single Servicenow Account","operationId":"rpcShowServicenowAccount","tags":["Servicenow Accounts"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetSugarcrmAccount":{"post":{"summary":"(RPC) Gets a list of Sugarcrm Accounts","operationId":"rpcGetSugarcrmAccount","tags":["Sugarcrm Accounts"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowSugarcrmAccount":{"post":{"summary":"(RPC) Gets a single Sugarcrm Account","operationId":"rpcShowSugarcrmAccount","tags":["Sugarcrm Accounts"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetVtigerAccount":{"post":{"summary":"(RPC) Gets a list of Vtiger Accounts","operationId":"rpcGetVtigerAccount","tags":["Vtiger Accounts"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowVtigerAccount":{"post":{"summary":"(RPC) Gets a single Vtiger Account","operationId":"rpcShowVtigerAccount","tags":["Vtiger Accounts"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetZendeskAccount":{"post":{"summary":"(RPC) Gets a list of Zendesk Accounts","operationId":"rpcGetZendeskAccount","tags":["Zendesk Accounts"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowZendeskAccount":{"post":{"summary":"(RPC) Gets a single Zendesk Account","operationId":"rpcShowZendeskAccount","tags":["Zendesk Accounts"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetZohoAccount":{"post":{"summary":"(RPC) Gets a list of Zoho Accounts","operationId":"rpcGetZohoAccount","tags":["Zoho Accounts"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowZohoAccount":{"post":{"summary":"(RPC) Gets a single Zoho Account","operationId":"rpcShowZohoAccount","tags":["Zoho Accounts"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetMailAccount":{"post":{"summary":"(RPC) Gets a list of Accounts","operationId":"rpcGetMailAccount","tags":["Mail Accounts"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowMailAccount":{"post":{"summary":"(RPC) Gets a single Account","operationId":"rpcShowMailAccount","tags":["Mail Accounts"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/NotifyMailAccount":{"post":{"summary":"(RPC) notify","operationId":"rpcNotifyMailAccount","tags":["Mail Accounts"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/UpdateMailApplication":{"post":{"summary":"(RPC) Updates an existing Application","operationId":"rpcUpdateMailApplication","tags":["Mail Applications"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/UpdateMailInteraction":{"post":{"summary":"(RPC) Updates an existing Interaction","operationId":"rpcUpdateMailInteraction","tags":["Mail Interactions"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/AddTagsToMailInteraction":{"post":{"summary":"(RPC) Add Tags to Mail interaction","operationId":"rpcAddTagsToMailInteraction","tags":["Mail Interactions"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreateMailMessage":{"post":{"summary":"(RPC) Creates a new Message","operationId":"rpcCreateMailMessage","tags":["Mail Messages"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetMailQueue":{"post":{"summary":"(RPC) Gets a list of Queues","operationId":"rpcGetMailQueue","tags":["Mail Queues"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowMailQueue":{"post":{"summary":"(RPC) Gets a single Queue","operationId":"rpcShowMailQueue","tags":["Mail Queues"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreateMailQueueReport":{"post":{"summary":"(RPC) Creates a new Mail Queue Report","operationId":"rpcCreateMailQueueReport","tags":["Mail Queue Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/UpdateMailQueueReport":{"post":{"summary":"(RPC) Updates an existing Mail Queue Report","operationId":"rpcUpdateMailQueueReport","tags":["Mail Queue Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetMailServerIn":{"post":{"summary":"(RPC) Gets a list of IMAPs","operationId":"rpcGetMailServerIn","tags":["Mail IMAP"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetMemberReport":{"post":{"summary":"(RPC) Gets a list of Member Reports","operationId":"rpcGetMemberReport","tags":["Member Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreateMemberReport":{"post":{"summary":"(RPC) Creates a new Member Report","operationId":"rpcCreateMemberReport","tags":["Member Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/UpdateMemberReport":{"post":{"summary":"(RPC) Updates an existing Member Report","operationId":"rpcUpdateMemberReport","tags":["Member Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/UpdateOpenchannelApplication":{"post":{"summary":"(RPC) Updates an existing Application","operationId":"rpcUpdateOpenchannelApplication","tags":["Openchannel Applications"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/UpdateOpenchannelInteraction":{"post":{"summary":"(RPC) Updates an existing Interaction","operationId":"rpcUpdateOpenchannelInteraction","tags":["Openchannel Interactions"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/AddTagsToOpenchannelInteraction":{"post":{"summary":"(RPC) Add Tags to Openchannel interaction","operationId":"rpcAddTagsToOpenchannelInteraction","tags":["Openchannel Interactions"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreateOpenchannelMessage":{"post":{"summary":"(RPC) Creates a new Message","operationId":"rpcCreateOpenchannelMessage","tags":["Openchannel Messages"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetOpenchannelQueue":{"post":{"summary":"(RPC) Gets a list of Queues","operationId":"rpcGetOpenchannelQueue","tags":["Openchannel Queues"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowOpenchannelQueue":{"post":{"summary":"(RPC) Gets a single Queue","operationId":"rpcShowOpenchannelQueue","tags":["Openchannel Queues"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreateOpenchannelQueueReport":{"post":{"summary":"(RPC) Creates a new Openchannel Queue Report","operationId":"rpcCreateOpenchannelQueueReport","tags":["Openchannel Queue Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/UpdateOpenchannelQueueReport":{"post":{"summary":"(RPC) Updates an existing Openchannel Queue Report","operationId":"rpcUpdateOpenchannelQueueReport","tags":["Openchannel Queue Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowPause":{"post":{"summary":"(RPC) Gets a single Pause","operationId":"rpcShowPause","tags":["Pauses"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreatePlugin":{"post":{"summary":"(RPC) Creates a new Plugin","operationId":"rpcCreatePlugin","tags":["Plugins"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreateReportAgentPreview":{"post":{"summary":"(RPC) Creates a new ReportAgentPreview","operationId":"rpcCreateReportAgentPreview","tags":["Report Agent Preview"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowSmsAccount":{"post":{"summary":"(RPC) Gets a single Account","operationId":"rpcShowSmsAccount","tags":["Sms Accounts"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/UpdateSmsInteraction":{"post":{"summary":"(RPC) Updates an existing Interaction","operationId":"rpcUpdateSmsInteraction","tags":["Sms Interactions"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/AddTagsToSmsInteraction":{"post":{"summary":"(RPC) Add Tags to Sms interaction","operationId":"rpcAddTagsToSmsInteraction","tags":["Sms Interactions"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreateSmsMessage":{"post":{"summary":"(RPC) Creates a new Message","operationId":"rpcCreateSmsMessage","tags":["Sms Messages"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetSmsQueue":{"post":{"summary":"(RPC) Gets a list of Queues","operationId":"rpcGetSmsQueue","tags":["Sms Queues"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowSmsQueue":{"post":{"summary":"(RPC) Gets a single Queue","operationId":"rpcShowSmsQueue","tags":["Sms Queues"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreateSmsQueueReport":{"post":{"summary":"(RPC) Creates a new Sms Queue Report","operationId":"rpcCreateSmsQueueReport","tags":["Sms Queue Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/UpdateSmsQueueReport":{"post":{"summary":"(RPC) Updates an existing Sms Queue Report","operationId":"rpcUpdateSmsQueueReport","tags":["Sms Queue Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowSound":{"post":{"summary":"(RPC) Gets a single Sound","operationId":"rpcShowSound","tags":["Sounds"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreateSquareMessage":{"post":{"summary":"(RPC) Creates a new Message","operationId":"rpcCreateSquareMessage","tags":["Square Messages"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowSquareOdbc":{"post":{"summary":"(RPC) Gets a single ODBC","operationId":"rpcShowSquareOdbc","tags":["Square ODBC"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowSquareProject":{"post":{"summary":"(RPC) Gets a single Project","operationId":"rpcShowSquareProject","tags":["Square Projects"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreateSquareRecording":{"post":{"summary":"(RPC) Creates a new Recording","operationId":"rpcCreateSquareRecording","tags":["Square Recordings"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreateSquareReport":{"post":{"summary":"(RPC) Creates a new Square Report","operationId":"rpcCreateSquareReport","tags":["Square Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/UpdateSquareReport":{"post":{"summary":"(RPC) Updates an existing Square Report","operationId":"rpcUpdateSquareReport","tags":["Square Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreateSquareDetailsReport":{"post":{"summary":"(RPC) Creates a new Square Detail Report","operationId":"rpcCreateSquareDetailsReport","tags":["Square Details Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetTemplate":{"post":{"summary":"(RPC) Gets a list of Templates","operationId":"rpcGetTemplate","tags":["Templates"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetTrigger":{"post":{"summary":"(RPC) Gets a list of Triggers","operationId":"rpcGetTrigger","tags":["Triggers"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowTrigger":{"post":{"summary":"(RPC) Gets a single Trigger","operationId":"rpcShowTrigger","tags":["Triggers"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetTrunk":{"post":{"summary":"(RPC) Gets a list of Trunks","operationId":"rpcGetTrunk","tags":["Trunks"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowTrunk":{"post":{"summary":"(RPC) Gets a single Trunk","operationId":"rpcShowTrunk","tags":["Trunks"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/getVoiceQueueRtPauses":{"post":{"summary":"(RPC) Counts queue pauses","operationId":"rpcGetVoiceQueueRtPauses","tags":["UserVoiceQueueRt"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowVariable":{"post":{"summary":"(RPC) Gets a single Variable","operationId":"rpcShowVariable","tags":["Variables"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreateVoiceAgentReport":{"post":{"summary":"(RPC) Creates a new Agent Report","operationId":"rpcCreateVoiceAgentReport","tags":["Voice Agent Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/UpdateVoiceAgentReport":{"post":{"summary":"(RPC) Updates an existing Agent Report","operationId":"rpcUpdateVoiceAgentReport","tags":["Voice Agent Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetVoiceCallReport":{"post":{"summary":"(RPC) Gets a list of Call Reports","operationId":"rpcGetVoiceCallReport","tags":["Voice Call Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreateVoiceCallReport":{"post":{"summary":"(RPC) Creates a new Call Report","operationId":"rpcCreateVoiceCallReport","tags":["Voice Call Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/UpdateVoiceCallReport":{"post":{"summary":"(RPC) Updates an existing Call Report","operationId":"rpcUpdateVoiceCallReport","tags":["Voice Call Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreateVoiceDialReport":{"post":{"summary":"(RPC) Creates a new Dial Report","operationId":"rpcCreateVoiceDialReport","tags":["Voice Dial Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/UpdateVoiceDialReport":{"post":{"summary":"(RPC) Updates an existing Dial Report","operationId":"rpcUpdateVoiceDialReport","tags":["Voice Dial Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetVoiceExtension":{"post":{"summary":"(RPC) Gets a list of Extensions","operationId":"rpcGetVoiceExtension","tags":["Voice Extensions"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetVoiceQueue":{"post":{"summary":"(RPC) Gets a list of Queues","operationId":"rpcGetVoiceQueue","tags":["Voice Queues"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowVoiceQueue":{"post":{"summary":"(RPC) Gets a single Queue","operationId":"rpcShowVoiceQueue","tags":["Voice Queues"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetVoiceQueueReport":{"post":{"summary":"(RPC) Gets a list of Queue Reports","operationId":"rpcGetVoiceQueueReport","tags":["Voice Queue Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreateVoiceQueueReport":{"post":{"summary":"(RPC) Creates a new Queue Report","operationId":"rpcCreateVoiceQueueReport","tags":["Voice Queue Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/UpdateVoiceQueueReport":{"post":{"summary":"(RPC) Updates an existing Queue Report","operationId":"rpcUpdateVoiceQueueReport","tags":["Voice Queue Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/UpdateVoiceRecording":{"post":{"summary":"(RPC) Updates an existing Recording","operationId":"rpcUpdateVoiceRecording","tags":["Voice Recordings"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreateVoiceRecording":{"post":{"summary":"(RPC) Creates a new Recording","operationId":"rpcCreateVoiceRecording","tags":["Voice Recordings"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreateVoiceTransferReport":{"post":{"summary":"(RPC) Creates a new Transfer Report","operationId":"rpcCreateVoiceTransferReport","tags":["Voice Transfer Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowWhatsappAccount":{"post":{"summary":"(RPC) Gets a single Account","operationId":"rpcShowWhatsappAccount","tags":["Whatsapp Accounts"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/UpdateWhatsappInteraction":{"post":{"summary":"(RPC) Updates an existing Interaction","operationId":"rpcUpdateWhatsappInteraction","tags":["Whatsapp Interactions"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/AddTagsToWhatsappInteraction":{"post":{"summary":"(RPC) Add Tags to Whatsapp interaction","operationId":"rpcAddTagsToWhatsappInteraction","tags":["Whatsapp Interactions"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreateWhatsappMessage":{"post":{"summary":"(RPC) Creates a new Message","operationId":"rpcCreateWhatsappMessage","tags":["Whatsapp Messages"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/GetWhatsappQueue":{"post":{"summary":"(RPC) Gets a list of Queues","operationId":"rpcGetWhatsappQueue","tags":["Whatsapp Queues"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/ShowWhatsappQueue":{"post":{"summary":"(RPC) Gets a single Queue","operationId":"rpcShowWhatsappQueue","tags":["Whatsapp Queues"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["get"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/CreateWhatsappQueueReport":{"post":{"summary":"(RPC) Creates a new Whatsapp Queue Report","operationId":"rpcCreateWhatsappQueueReport","tags":["Whatsapp Queue Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}},"/UpdateWhatsappQueueReport":{"post":{"summary":"(RPC) Updates an existing Whatsapp Queue Report","operationId":"rpcUpdateWhatsappQueueReport","tags":["Whatsapp Queue Reports"],"requestBody":{"required":true,"description":"JSON-RPC Payload","content":{"application/json":{"schema":{"allOf":[{"$ref":"#/components/schemas/MotionJSONRPCRequest"},{"type":"object","properties":{"method":{"type":"string","enum":["post"]}}}]}}}},"responses":{"200":{"description":"JSON-RPC Response (always 200)","content":{"application/json":{"schema":{"$ref":"#/components/schemas/JSONRPCResponse"}}}}}}}},"components":{"schemas":{"RPCError":{"title":"JSON RPC Error data","type":"object","properties":{"data":{"type":"object","description":"Optional data"},"code":{"type":"string","description":"Error code"},"message":{"type":"string","description":"Error message"}},"maxProperties":2,"minProperties":2,"required":["code","message"]},"JSONRPCError":{"title":"JSON RPC Error Result","type":"object","description":"Error response","properties":{"error":{"$ref":"#/components/schemas/RPCError"},"id":{"type":"string"}},"maxProperties":2,"minProperties":2,"required":["error"]},"JSONRPCResult":{"title":"JSON RPC Result","type":"object","description":"Successful operation","properties":{"result":{"type":"object","description":"the result data if the operation is successfull"},"id":{"type":"string"}},"maxProperties":2,"minProperties":2,"required":["result"]},"JSONRPCResponse":{"title":"JSON RPC Response","description":"Response can be either error or result","oneOf":[{"$ref":"#/components/schemas/JSONRPCResult"},{"$ref":"#/components/schemas/JSONRPCError"}]},"MotionJSONRPCRequestPayload":{"type":"object","description":"RPC Payload","properties":{"attributes":{"type":"object"},"where":{"type":"object"}}},"MotionJSONRPCRequest":{"type":"object","description":"A typical Motion request with attributes and where as parameters","properties":{"method":{"type":"string","enum":["get","post"]},"params":{"$ref":"#/components/schemas/MotionJSONRPCRequestPayload"},"id":{"type":"string"}}}}},"tags":[]} diff --git a/public/schemas/openapi/openapi.rpc.yml b/public/schemas/openapi/openapi.rpc.yml new file mode 100644 index 0000000..21b7d94 --- /dev/null +++ b/public/schemas/openapi/openapi.rpc.yml @@ -0,0 +1,3717 @@ +openapi: 3.0.3 +info: + title: XCally Motion RPC API + description: OpenAPI document for XCally Motion JSON-RPC 2.0 API (check + https://en.wikipedia.org/wiki/JSON-RPC) + termsOfService: http://xcally.com/terms/ + contact: + name: API Support + url: http://www.xcally.com/support + email: support@xcally.com + license: + name: XCally EULA + url: http://xcally.com/eula/ + version: 3.0.0.alpha +servers: + - url: http://localhost:9001/ + description: Local RPC Motion instance + - url: http://localhost:9002/ + description: Local RPC AMI instance + - url: http://localhost:9003/ + description: Local RPC Email instance + - url: http://localhost:9004/ + description: Local RPC Routing instance +paths: + /CreateAttachment: + post: + summary: (RPC) Creates a new Attachment + operationId: rpcCreateAttachment + tags: + - Attachments + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetCampaign: + post: + summary: (RPC) Gets a list of IVR Campaigns + operationId: rpcGetCampaign + tags: + - IVR Campaigns + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /UpdateChatInteraction: + post: + summary: (RPC) Updates an existing Interaction + operationId: rpcUpdateChatInteraction + tags: + - Chat Interactions + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /AddTagsToChatInteraction: + post: + summary: (RPC) Add Tags to Chat interaction + operationId: rpcAddTagsToChatInteraction + tags: + - Chat Interactions + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreateChatMessage: + post: + summary: (RPC) Creates a new Message + operationId: rpcCreateChatMessage + tags: + - Chat Messages + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetChatQueue: + post: + summary: (RPC) Gets a list of Queues + operationId: rpcGetChatQueue + tags: + - Chat Queues + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowChatQueue: + post: + summary: (RPC) Gets a single Queue + operationId: rpcShowChatQueue + tags: + - Chat Queues + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreateChatQueueReport: + post: + summary: (RPC) Creates a new Chat Queue Report + operationId: rpcCreateChatQueueReport + tags: + - Chat Queue Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /UpdateChatQueueReport: + post: + summary: (RPC) Updates an existing Chat Queue Report + operationId: rpcUpdateChatQueueReport + tags: + - Chat Queue Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetCmContact: + post: + summary: (RPC) Gets a list of Contacts + operationId: rpcGetCmContact + tags: + - Cm Contacts + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreateCmContact: + post: + summary: (RPC) Creates a new Contact + operationId: rpcCreateCmContact + tags: + - Cm Contacts + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetCmHopper: + post: + summary: (RPC) Gets a list of Hopper + operationId: rpcGetCmHopper + tags: + - Cm Hopper + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowCmHopper: + post: + summary: (RPC) Gets a single Hopper + operationId: rpcShowCmHopper + tags: + - Cm Hopper + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreateCmHopper: + post: + summary: (RPC) Creates a new Hopper + operationId: rpcCreateCmHopper + tags: + - Cm Hopper + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /UpdateCmHopper: + post: + summary: (RPC) Updates an existing Hopper + operationId: rpcUpdateCmHopper + tags: + - Cm Hopper + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /DestroyCmHopper: + post: + summary: (RPC) Destroy an existing Hopper + operationId: rpcDestroyCmHopper + tags: + - Cm Hopper + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetContactDialer: + post: + summary: (RPC) Get a contact for dialer + operationId: rpcGetGetContactDialer + tags: + - Cm Hopper + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /MoveContactManagedDialer: + post: + summary: (RPC) move a contact for dialer + operationId: rpcMoveContactManagedDialer + tags: + - Cm Hopper + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetCmHopperHistory: + post: + summary: (RPC) Count rescheduled contacts + operationId: rpcGetCmHopperHistory + tags: + - Cm Hopper History + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetContactRecallMe: + post: + summary: (RPC) Get a contact for preview recallme + operationId: rpcGetContactRecallMe + tags: + - Cm Hopper + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetCmHopperPreview: + post: + summary: (RPC) Get Cm Hopper Preview + operationId: rpcGetCmHopperPreview + tags: + - Cm Hopper + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetCmHopperBlack: + post: + summary: (RPC) Gets a list of Hopper Black + operationId: rpcGetCmHopperBlack + tags: + - Cm Hopper Black + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /UpdateCmHopperBlack: + post: + summary: (RPC) Updates an existing Hopper Black + operationId: rpcUpdateCmHopperBlack + tags: + - Cm Hopper Black + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /DestroyCmHopperBlack: + post: + summary: (RPC) Destroy an existing Hopper Black + operationId: rpcDestroyCmHopperBlack + tags: + - Cm Hopper Black + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreateCmHopperFinal: + post: + summary: (RPC) Creates a new HopperFinal + operationId: rpcCreateCmHopperFinal + tags: + - Cm Hopper Final + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreateCmHopperHistory: + post: + summary: (RPC) Creates a new HopperHistory + operationId: rpcCreateCmHopperHistory + tags: + - Cm Hopper History + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /AvgHandleTime: + post: + summary: (RPC) Gets a avg of talktime and holdtime + operationId: rpcAvgHandleTime + tags: + - Cm Hopper History + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /getVoiceQueueHopperHistory: + post: + summary: (RPC) Counts no succes calls + operationId: rpcGetVoiceQueueHopperHistory + tags: + - Cm Hopper History + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /getCampaignHopperHistory: + post: + summary: (RPC) Counts no succes calls + operationId: rpcGetCampaignHopperHistory + tags: + - Cm Hopper History + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetFaxAccount: + post: + summary: (RPC) Gets a list of Accounts + operationId: rpcGetFaxAccount + tags: + - Fax Accounts + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /UpdateFaxApplication: + post: + summary: (RPC) Updates an existing Application + operationId: rpcUpdateFaxApplication + tags: + - Fax Applications + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /UpdateFaxInteraction: + post: + summary: (RPC) Updates an existing Interaction + operationId: rpcUpdateFaxInteraction + tags: + - Fax Interactions + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /AddTagsToFaxInteraction: + post: + summary: (RPC) Add Tags to Fax interaction + operationId: rpcAddTagsToFaxInteraction + tags: + - Fax Interactions + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreateFaxMessage: + post: + summary: (RPC) Creates a new Message + operationId: rpcCreateFaxMessage + tags: + - Fax Messages + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /UpdateFaxMessage: + post: + summary: (RPC) Updates an existing Message + operationId: rpcUpdateFaxMessage + tags: + - Fax Messages + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetFaxQueue: + post: + summary: (RPC) Gets a list of Queues + operationId: rpcGetFaxQueue + tags: + - Fax Queues + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowFaxQueue: + post: + summary: (RPC) Gets a single Queue + operationId: rpcShowFaxQueue + tags: + - Fax Queues + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreateFaxQueueReport: + post: + summary: (RPC) Creates a new Fax Queue Report + operationId: rpcCreateFaxQueueReport + tags: + - Fax Queue Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /UpdateFaxQueueReport: + post: + summary: (RPC) Updates an existing Fax Queue Report + operationId: rpcUpdateFaxQueueReport + tags: + - Fax Queue Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetDeskAccount: + post: + summary: (RPC) Gets a list of Desk Accounts + operationId: rpcGetDeskAccount + tags: + - Desk Accounts + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowDeskAccount: + post: + summary: (RPC) Gets a single Desk Account + operationId: rpcShowDeskAccount + tags: + - Desk Accounts + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetDynamics365Account: + post: + summary: (RPC) Gets a list of Dynamics365 Accounts + operationId: rpcGetDynamics365Account + tags: + - Dynamics365 Accounts + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowDynamics365Account: + post: + summary: (RPC) Gets a single Dynamics365 Account + operationId: rpcShowDynamics365Account + tags: + - Dynamics365 Accounts + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetInterval: + post: + summary: (RPC) Gets a list of Intervals + operationId: rpcGetInterval + tags: + - Intervals + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowInterval: + post: + summary: (RPC) Gets a single Interval + operationId: rpcShowInterval + tags: + - Intervals + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetFreshdeskAccount: + post: + summary: (RPC) Gets a list of Freshdesk Accounts + operationId: rpcGetFreshdeskAccount + tags: + - Freshdesk Accounts + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowFreshdeskAccount: + post: + summary: (RPC) Gets a single Freshdesk Account + operationId: rpcShowFreshdeskAccount + tags: + - Freshdesk Accounts + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetFreshsalesAccount: + post: + summary: (RPC) Gets a list of Freshsales Accounts + operationId: rpcGetFreshsalesAccount + tags: + - Freshsales Accounts + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowFreshsalesAccount: + post: + summary: (RPC) Gets a single Freshsales Account + operationId: rpcShowFreshsalesAccount + tags: + - Freshsales Accounts + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetSalesforceAccount: + post: + summary: (RPC) Gets a list of Salesforce Accounts + operationId: rpcGetSalesforceAccount + tags: + - Salesforce Accounts + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowSalesforceAccount: + post: + summary: (RPC) Gets a single Salesforce Account + operationId: rpcShowSalesforceAccount + tags: + - Salesforce Accounts + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetServicenowAccount: + post: + summary: (RPC) Gets a list of Servicenow Accounts + operationId: rpcGetServicenowAccount + tags: + - Servicenow Accounts + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowServicenowAccount: + post: + summary: (RPC) Gets a single Servicenow Account + operationId: rpcShowServicenowAccount + tags: + - Servicenow Accounts + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetSugarcrmAccount: + post: + summary: (RPC) Gets a list of Sugarcrm Accounts + operationId: rpcGetSugarcrmAccount + tags: + - Sugarcrm Accounts + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowSugarcrmAccount: + post: + summary: (RPC) Gets a single Sugarcrm Account + operationId: rpcShowSugarcrmAccount + tags: + - Sugarcrm Accounts + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetVtigerAccount: + post: + summary: (RPC) Gets a list of Vtiger Accounts + operationId: rpcGetVtigerAccount + tags: + - Vtiger Accounts + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowVtigerAccount: + post: + summary: (RPC) Gets a single Vtiger Account + operationId: rpcShowVtigerAccount + tags: + - Vtiger Accounts + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetZendeskAccount: + post: + summary: (RPC) Gets a list of Zendesk Accounts + operationId: rpcGetZendeskAccount + tags: + - Zendesk Accounts + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowZendeskAccount: + post: + summary: (RPC) Gets a single Zendesk Account + operationId: rpcShowZendeskAccount + tags: + - Zendesk Accounts + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetZohoAccount: + post: + summary: (RPC) Gets a list of Zoho Accounts + operationId: rpcGetZohoAccount + tags: + - Zoho Accounts + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowZohoAccount: + post: + summary: (RPC) Gets a single Zoho Account + operationId: rpcShowZohoAccount + tags: + - Zoho Accounts + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetMailAccount: + post: + summary: (RPC) Gets a list of Accounts + operationId: rpcGetMailAccount + tags: + - Mail Accounts + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowMailAccount: + post: + summary: (RPC) Gets a single Account + operationId: rpcShowMailAccount + tags: + - Mail Accounts + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /NotifyMailAccount: + post: + summary: (RPC) notify + operationId: rpcNotifyMailAccount + tags: + - Mail Accounts + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /UpdateMailApplication: + post: + summary: (RPC) Updates an existing Application + operationId: rpcUpdateMailApplication + tags: + - Mail Applications + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /UpdateMailInteraction: + post: + summary: (RPC) Updates an existing Interaction + operationId: rpcUpdateMailInteraction + tags: + - Mail Interactions + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /AddTagsToMailInteraction: + post: + summary: (RPC) Add Tags to Mail interaction + operationId: rpcAddTagsToMailInteraction + tags: + - Mail Interactions + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreateMailMessage: + post: + summary: (RPC) Creates a new Message + operationId: rpcCreateMailMessage + tags: + - Mail Messages + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetMailQueue: + post: + summary: (RPC) Gets a list of Queues + operationId: rpcGetMailQueue + tags: + - Mail Queues + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowMailQueue: + post: + summary: (RPC) Gets a single Queue + operationId: rpcShowMailQueue + tags: + - Mail Queues + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreateMailQueueReport: + post: + summary: (RPC) Creates a new Mail Queue Report + operationId: rpcCreateMailQueueReport + tags: + - Mail Queue Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /UpdateMailQueueReport: + post: + summary: (RPC) Updates an existing Mail Queue Report + operationId: rpcUpdateMailQueueReport + tags: + - Mail Queue Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetMailServerIn: + post: + summary: (RPC) Gets a list of IMAPs + operationId: rpcGetMailServerIn + tags: + - Mail IMAP + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetMemberReport: + post: + summary: (RPC) Gets a list of Member Reports + operationId: rpcGetMemberReport + tags: + - Member Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreateMemberReport: + post: + summary: (RPC) Creates a new Member Report + operationId: rpcCreateMemberReport + tags: + - Member Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /UpdateMemberReport: + post: + summary: (RPC) Updates an existing Member Report + operationId: rpcUpdateMemberReport + tags: + - Member Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /UpdateOpenchannelApplication: + post: + summary: (RPC) Updates an existing Application + operationId: rpcUpdateOpenchannelApplication + tags: + - Openchannel Applications + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /UpdateOpenchannelInteraction: + post: + summary: (RPC) Updates an existing Interaction + operationId: rpcUpdateOpenchannelInteraction + tags: + - Openchannel Interactions + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /AddTagsToOpenchannelInteraction: + post: + summary: (RPC) Add Tags to Openchannel interaction + operationId: rpcAddTagsToOpenchannelInteraction + tags: + - Openchannel Interactions + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreateOpenchannelMessage: + post: + summary: (RPC) Creates a new Message + operationId: rpcCreateOpenchannelMessage + tags: + - Openchannel Messages + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetOpenchannelQueue: + post: + summary: (RPC) Gets a list of Queues + operationId: rpcGetOpenchannelQueue + tags: + - Openchannel Queues + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowOpenchannelQueue: + post: + summary: (RPC) Gets a single Queue + operationId: rpcShowOpenchannelQueue + tags: + - Openchannel Queues + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreateOpenchannelQueueReport: + post: + summary: (RPC) Creates a new Openchannel Queue Report + operationId: rpcCreateOpenchannelQueueReport + tags: + - Openchannel Queue Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /UpdateOpenchannelQueueReport: + post: + summary: (RPC) Updates an existing Openchannel Queue Report + operationId: rpcUpdateOpenchannelQueueReport + tags: + - Openchannel Queue Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowPause: + post: + summary: (RPC) Gets a single Pause + operationId: rpcShowPause + tags: + - Pauses + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreatePlugin: + post: + summary: (RPC) Creates a new Plugin + operationId: rpcCreatePlugin + tags: + - Plugins + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreateReportAgentPreview: + post: + summary: (RPC) Creates a new ReportAgentPreview + operationId: rpcCreateReportAgentPreview + tags: + - Report Agent Preview + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowSmsAccount: + post: + summary: (RPC) Gets a single Account + operationId: rpcShowSmsAccount + tags: + - Sms Accounts + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /UpdateSmsInteraction: + post: + summary: (RPC) Updates an existing Interaction + operationId: rpcUpdateSmsInteraction + tags: + - Sms Interactions + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /AddTagsToSmsInteraction: + post: + summary: (RPC) Add Tags to Sms interaction + operationId: rpcAddTagsToSmsInteraction + tags: + - Sms Interactions + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreateSmsMessage: + post: + summary: (RPC) Creates a new Message + operationId: rpcCreateSmsMessage + tags: + - Sms Messages + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetSmsQueue: + post: + summary: (RPC) Gets a list of Queues + operationId: rpcGetSmsQueue + tags: + - Sms Queues + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowSmsQueue: + post: + summary: (RPC) Gets a single Queue + operationId: rpcShowSmsQueue + tags: + - Sms Queues + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreateSmsQueueReport: + post: + summary: (RPC) Creates a new Sms Queue Report + operationId: rpcCreateSmsQueueReport + tags: + - Sms Queue Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /UpdateSmsQueueReport: + post: + summary: (RPC) Updates an existing Sms Queue Report + operationId: rpcUpdateSmsQueueReport + tags: + - Sms Queue Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowSound: + post: + summary: (RPC) Gets a single Sound + operationId: rpcShowSound + tags: + - Sounds + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreateSquareMessage: + post: + summary: (RPC) Creates a new Message + operationId: rpcCreateSquareMessage + tags: + - Square Messages + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowSquareOdbc: + post: + summary: (RPC) Gets a single ODBC + operationId: rpcShowSquareOdbc + tags: + - Square ODBC + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowSquareProject: + post: + summary: (RPC) Gets a single Project + operationId: rpcShowSquareProject + tags: + - Square Projects + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreateSquareRecording: + post: + summary: (RPC) Creates a new Recording + operationId: rpcCreateSquareRecording + tags: + - Square Recordings + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreateSquareReport: + post: + summary: (RPC) Creates a new Square Report + operationId: rpcCreateSquareReport + tags: + - Square Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /UpdateSquareReport: + post: + summary: (RPC) Updates an existing Square Report + operationId: rpcUpdateSquareReport + tags: + - Square Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreateSquareDetailsReport: + post: + summary: (RPC) Creates a new Square Detail Report + operationId: rpcCreateSquareDetailsReport + tags: + - Square Details Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetTemplate: + post: + summary: (RPC) Gets a list of Templates + operationId: rpcGetTemplate + tags: + - Templates + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetTrigger: + post: + summary: (RPC) Gets a list of Triggers + operationId: rpcGetTrigger + tags: + - Triggers + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowTrigger: + post: + summary: (RPC) Gets a single Trigger + operationId: rpcShowTrigger + tags: + - Triggers + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetTrunk: + post: + summary: (RPC) Gets a list of Trunks + operationId: rpcGetTrunk + tags: + - Trunks + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowTrunk: + post: + summary: (RPC) Gets a single Trunk + operationId: rpcShowTrunk + tags: + - Trunks + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /getVoiceQueueRtPauses: + post: + summary: (RPC) Counts queue pauses + operationId: rpcGetVoiceQueueRtPauses + tags: + - UserVoiceQueueRt + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowVariable: + post: + summary: (RPC) Gets a single Variable + operationId: rpcShowVariable + tags: + - Variables + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreateVoiceAgentReport: + post: + summary: (RPC) Creates a new Agent Report + operationId: rpcCreateVoiceAgentReport + tags: + - Voice Agent Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /UpdateVoiceAgentReport: + post: + summary: (RPC) Updates an existing Agent Report + operationId: rpcUpdateVoiceAgentReport + tags: + - Voice Agent Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetVoiceCallReport: + post: + summary: (RPC) Gets a list of Call Reports + operationId: rpcGetVoiceCallReport + tags: + - Voice Call Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreateVoiceCallReport: + post: + summary: (RPC) Creates a new Call Report + operationId: rpcCreateVoiceCallReport + tags: + - Voice Call Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /UpdateVoiceCallReport: + post: + summary: (RPC) Updates an existing Call Report + operationId: rpcUpdateVoiceCallReport + tags: + - Voice Call Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreateVoiceDialReport: + post: + summary: (RPC) Creates a new Dial Report + operationId: rpcCreateVoiceDialReport + tags: + - Voice Dial Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /UpdateVoiceDialReport: + post: + summary: (RPC) Updates an existing Dial Report + operationId: rpcUpdateVoiceDialReport + tags: + - Voice Dial Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetVoiceExtension: + post: + summary: (RPC) Gets a list of Extensions + operationId: rpcGetVoiceExtension + tags: + - Voice Extensions + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetVoiceQueue: + post: + summary: (RPC) Gets a list of Queues + operationId: rpcGetVoiceQueue + tags: + - Voice Queues + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowVoiceQueue: + post: + summary: (RPC) Gets a single Queue + operationId: rpcShowVoiceQueue + tags: + - Voice Queues + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetVoiceQueueReport: + post: + summary: (RPC) Gets a list of Queue Reports + operationId: rpcGetVoiceQueueReport + tags: + - Voice Queue Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreateVoiceQueueReport: + post: + summary: (RPC) Creates a new Queue Report + operationId: rpcCreateVoiceQueueReport + tags: + - Voice Queue Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /UpdateVoiceQueueReport: + post: + summary: (RPC) Updates an existing Queue Report + operationId: rpcUpdateVoiceQueueReport + tags: + - Voice Queue Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /UpdateVoiceRecording: + post: + summary: (RPC) Updates an existing Recording + operationId: rpcUpdateVoiceRecording + tags: + - Voice Recordings + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreateVoiceRecording: + post: + summary: (RPC) Creates a new Recording + operationId: rpcCreateVoiceRecording + tags: + - Voice Recordings + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreateVoiceTransferReport: + post: + summary: (RPC) Creates a new Transfer Report + operationId: rpcCreateVoiceTransferReport + tags: + - Voice Transfer Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowWhatsappAccount: + post: + summary: (RPC) Gets a single Account + operationId: rpcShowWhatsappAccount + tags: + - Whatsapp Accounts + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /UpdateWhatsappInteraction: + post: + summary: (RPC) Updates an existing Interaction + operationId: rpcUpdateWhatsappInteraction + tags: + - Whatsapp Interactions + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /AddTagsToWhatsappInteraction: + post: + summary: (RPC) Add Tags to Whatsapp interaction + operationId: rpcAddTagsToWhatsappInteraction + tags: + - Whatsapp Interactions + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreateWhatsappMessage: + post: + summary: (RPC) Creates a new Message + operationId: rpcCreateWhatsappMessage + tags: + - Whatsapp Messages + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /GetWhatsappQueue: + post: + summary: (RPC) Gets a list of Queues + operationId: rpcGetWhatsappQueue + tags: + - Whatsapp Queues + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /ShowWhatsappQueue: + post: + summary: (RPC) Gets a single Queue + operationId: rpcShowWhatsappQueue + tags: + - Whatsapp Queues + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - get + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /CreateWhatsappQueueReport: + post: + summary: (RPC) Creates a new Whatsapp Queue Report + operationId: rpcCreateWhatsappQueueReport + tags: + - Whatsapp Queue Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" + /UpdateWhatsappQueueReport: + post: + summary: (RPC) Updates an existing Whatsapp Queue Report + operationId: rpcUpdateWhatsappQueueReport + tags: + - Whatsapp Queue Reports + requestBody: + required: true + description: JSON-RPC Payload + content: + application/json: + schema: + allOf: + - $ref: "#/components/schemas/MotionJSONRPCRequest" + - type: object + properties: + method: + type: string + enum: + - post + responses: + "200": + description: JSON-RPC Response (always 200) + content: + application/json: + schema: + $ref: "#/components/schemas/JSONRPCResponse" +components: + schemas: + RPCError: + title: JSON RPC Error data + type: object + properties: + data: + type: object + description: Optional data + code: + type: string + description: Error code + message: + type: string + description: Error message + maxProperties: 2 + minProperties: 2 + required: + - code + - message + JSONRPCError: + title: JSON RPC Error Result + type: object + description: Error response + properties: + error: + $ref: "#/components/schemas/RPCError" + id: + type: string + maxProperties: 2 + minProperties: 2 + required: + - error + JSONRPCResult: + title: JSON RPC Result + type: object + description: Successful operation + properties: + result: + type: object + description: the result data if the operation is successfull + id: + type: string + maxProperties: 2 + minProperties: 2 + required: + - result + JSONRPCResponse: + title: JSON RPC Response + description: Response can be either error or result + oneOf: + - $ref: "#/components/schemas/JSONRPCResult" + - $ref: "#/components/schemas/JSONRPCError" + MotionJSONRPCRequestPayload: + type: object + description: RPC Payload + properties: + attributes: + type: object + where: + type: object + MotionJSONRPCRequest: + type: object + description: A typical Motion request with attributes and where as parameters + properties: + method: + type: string + enum: + - get + - post + params: + $ref: "#/components/schemas/MotionJSONRPCRequestPayload" + id: + type: string +tags: [] diff --git a/public/schemas/openapi/openapi.yml b/public/schemas/openapi/openapi.yml new file mode 100644 index 0000000..ee3aa92 --- /dev/null +++ b/public/schemas/openapi/openapi.yml @@ -0,0 +1,69016 @@ +openapi: 3.0.3 +info: + title: XCally Motion API + description: OpenAPI document for XCally Motion API + termsOfService: http://xcally.com/terms/ + contact: + name: API Support + url: http://www.xcally.com/support + email: support@xcally.com + license: + name: XCally EULA + url: http://xcally.com/eula/ + version: 3.0.0.alpha +servers: + - url: http://localhost:9000/api + description: Localhost instance +paths: + "/actions/{id}": + put: + summary: Update an existing Action + security: + - BearerAuth: [] + operationId: updateActionById + tags: + - Actions + requestBody: + required: true + description: data for updating a new Action + content: + application/json: + schema: + type: object + properties: + name: + type: string + action: + type: string + description: "Allowed values: contactManager, integration, motionbar, jscripty, + urlForward, browser, bot, script" + data1: + type: string + description: contactManager[ListId], integration[intName(zendesk)], + motionbar[Popup(0),URL(1),WinApp(2)], urlForward[GET,POST], + browser[TemplateId(0),URL(1)] + data2: + type: string + description: integration[AccountId], motionbar[TemplateId,URL,WinAppPath], + urlForward[URL] + data3: + type: string + description: motionbar[NULL,NULL,WinAppArguments] + data4: + type: string + data5: + type: string + data6: + type: string + data7: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Action to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Action not found + "/actions/{id}/destroy_many": + delete: + summary: Destroy an existing Action + security: + - BearerAuth: [] + operationId: deleteActionById + tags: + - Actions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Action to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Action not found + /analytics/custom_reports: + get: + summary: Gets a list of Analytic Custom Reports + security: + - BearerAuth: [] + operationId: listAllAnalyticCustomReport + tags: + - Analytic Custom Reports + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each AnalyticCustomReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Analytic Custom Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/AnalyticCustomReport" + "206": + description: Partial (paged) collection of Analytic Custom Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/AnalyticCustomReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Analytic Custom Report + security: + - BearerAuth: [] + operationId: createAnalyticCustomReport + tags: + - Analytic Custom Reports + requestBody: + required: true + description: data for creating a new Analytic Custom Report + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + parent: + type: string + table: + type: string + conditions: + type: string + joins: + type: string + required: + - name + responses: + "201": + description: AnalyticCustomReport successfully created. Returns the created + object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/AnalyticCustomReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/analytics/custom_reports/{id}": + get: + summary: Gets a single Analytic Custom Report + security: + - BearerAuth: [] + operationId: getAnalyticCustomReportById + tags: + - Analytic Custom Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the AnalyticCustomReport to get + responses: + "200": + description: AnalyticCustomReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/AnalyticCustomReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: AnalyticCustomReport not found + put: + summary: Update an existing Analytic Custom Report + security: + - BearerAuth: [] + operationId: updateAnalyticCustomReportById + tags: + - Analytic Custom Reports + requestBody: + required: true + description: data for updating a new Analytic Custom Report + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + parent: + type: string + table: + type: string + conditions: + type: string + joins: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the AnalyticCustomReport to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: AnalyticCustomReport not found + "/analytics/custom_reports/{id}/preview": + get: + summary: Report Preview + security: + - BearerAuth: [] + operationId: preview + tags: + - Analytic Custom Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the AnalyticCustomReport + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/analytics/custom_reports/{id}/run": + get: + summary: Report Run + security: + - BearerAuth: [] + operationId: run + tags: + - Analytic Custom Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the AnalyticCustomReport + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/analytics/custom_reports/{id}/query": + get: + summary: Report Query SQL + security: + - BearerAuth: [] + operationId: query + tags: + - Analytic Custom Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the AnalyticCustomReport + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/analytics/custom_reports/{id}/destroy_many": + delete: + summary: Destroy an existing Analytic Custom Report + security: + - BearerAuth: [] + operationId: deleteAnalyticCustomReportById + tags: + - Analytic Custom Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the AnalyticCustomReport to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: AnalyticCustomReport not found + /analytics/default_reports: + get: + summary: Gets a list of Analytic Default Reports + security: + - BearerAuth: [] + operationId: listAllAnalyticDefaultReport + tags: + - Analytic Default Reports + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each AnalyticDefaultReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Analytic Default Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/AnalyticDefaultReport" + "206": + description: Partial (paged) collection of Analytic Default Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/AnalyticDefaultReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Analytic Default Report + security: + - BearerAuth: [] + operationId: createAnalyticDefaultReport + tags: + - Analytic Default Reports + requestBody: + required: true + description: data for creating a new Analytic Default Report + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + parent: + type: string + table: + type: string + conditions: + type: string + joins: + type: string + required: + - name + responses: + "201": + description: AnalyticDefaultReport successfully created. Returns the created + object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/AnalyticDefaultReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/analytics/default_reports/{id}": + get: + summary: Gets a single Analytic Default Report + security: + - BearerAuth: [] + operationId: getAnalyticDefaultReportById + tags: + - Analytic Default Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the AnalyticDefaultReport to get + responses: + "200": + description: AnalyticDefaultReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/AnalyticDefaultReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: AnalyticDefaultReport not found + put: + summary: Update an existing Analytic Default Report + security: + - BearerAuth: [] + operationId: updateAnalyticDefaultReportById + tags: + - Analytic Default Reports + requestBody: + required: true + description: data for updating a new Analytic Default Report + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + parent: + type: string + table: + type: string + conditions: + type: string + joins: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the AnalyticDefaultReport to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: AnalyticDefaultReport not found + "/analytics/default_reports/{id}/preview": + get: + summary: Report Preview + security: + - BearerAuth: [] + operationId: preview + tags: + - Analytic Default Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the AnalyticDefaultReport + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/analytics/default_reports/{id}/run": + get: + summary: Report Run + security: + - BearerAuth: [] + operationId: run + tags: + - Analytic Default Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the AnalyticDefaultReport + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/analytics/default_reports/{id}/query": + get: + summary: Report Query SQL + security: + - BearerAuth: [] + operationId: query + tags: + - Analytic Default Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the AnalyticDefaultReport + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/analytics/default_reports/{id}/destroy_many": + delete: + summary: Destroy an existing Analytic Default Report + security: + - BearerAuth: [] + operationId: deleteAnalyticDefaultReportById + tags: + - Analytic Default Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the AnalyticDefaultReport to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: AnalyticDefaultReport not found + /analytics/extracted_reports: + get: + summary: Gets a list of Analytic Extacted Reports + security: + - BearerAuth: [] + operationId: listAllAnalyticExtractedReport + tags: + - Analytic Extracted Reports + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each AnalyticExtractedReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Analytic Extacted Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/AnalyticExtractedReport" + "206": + description: Partial (paged) collection of Analytic Extacted Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/AnalyticExtractedReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Analytic Extracted Report + security: + - BearerAuth: [] + operationId: createAnalyticExtractedReport + tags: + - Analytic Extracted Reports + requestBody: + required: true + description: data for creating a new Analytic Extracted Report + content: + application/json: + schema: + type: object + properties: + name: + type: string + basename: + type: string + output: + type: string + enum: + - csv + - pdf + - xlsx + default: csv + savename: + type: string + startDate: + type: string + endDate: + type: string + status: + type: string + default: Loading + type: + type: string + enum: + - manual + - scheduled + default: manual + reportId: + type: integer + reportType: + type: string + required: + - name + - basename + - output + - savename + - type + responses: + "201": + description: AnalyticExtractedReport successfully created. Returns the created + object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/AnalyticExtractedReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/analytics/extracted_reports/{id}": + get: + summary: Gets a single Analytic Extracted Report + security: + - BearerAuth: [] + operationId: getAnalyticExtractedReportById + tags: + - Analytic Extracted Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the AnalyticExtractedReport to get + responses: + "200": + description: AnalyticExtractedReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/AnalyticExtractedReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: AnalyticExtractedReport not found + put: + summary: Update an existing Analytic Extracted Report + security: + - BearerAuth: [] + operationId: updateAnalyticExtractedReportById + tags: + - Analytic Extracted Reports + requestBody: + required: true + description: data for updating a new Analytic Extracted Report + content: + application/json: + schema: + type: object + properties: + name: + type: string + basename: + type: string + output: + type: string + enum: + - csv + - pdf + - xlsx + default: csv + savename: + type: string + startDate: + type: string + endDate: + type: string + status: + type: string + default: Loading + type: + type: string + enum: + - manual + - scheduled + default: manual + reportId: + type: integer + reportType: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the AnalyticExtractedReport to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: AnalyticExtractedReport not found + "/analytics/extracted_reports/{id}/download": + get: + summary: Download Extracted Report + security: + - BearerAuth: [] + operationId: download + tags: + - Analytic Extracted Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the AnalyticExtractedReport + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/analytics/extracted_reports/{id}/destroy_many": + delete: + summary: Destroy an existing Analytic Extracted Report + security: + - BearerAuth: [] + operationId: deleteAnalyticExtractedReportById + tags: + - Analytic Extracted Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the AnalyticExtractedReport to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: AnalyticExtractedReport not found + /analytics/field_reports: + get: + summary: Gets a list of Analytic Field Reports + security: + - BearerAuth: [] + operationId: listAllAnalyticFieldReport + tags: + - Analytic Field Reports + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each AnalyticFieldReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Analytic Field Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/AnalyticFieldReport" + "206": + description: Partial (paged) collection of Analytic Field Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/AnalyticFieldReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Analytic Field Report + security: + - BearerAuth: [] + operationId: createAnalyticFieldReport + tags: + - Analytic Field Reports + requestBody: + required: true + description: data for creating a new Analytic Field Report + content: + application/json: + schema: + type: object + properties: + field: + type: string + alias: + type: string + function: + type: string + format: + type: string + groupBy: + type: boolean + default: false + orderBy: + type: string + custom: + type: boolean + default: false + responses: + "201": + description: AnalyticFieldReport successfully created. Returns the created + object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/AnalyticFieldReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/analytics/field_reports/{id}": + get: + summary: Gets a single Analytic Field Report + security: + - BearerAuth: [] + operationId: getAnalyticFieldReportById + tags: + - Analytic Field Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the AnalyticFieldReport to get + responses: + "200": + description: AnalyticFieldReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/AnalyticFieldReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: AnalyticFieldReport not found + put: + summary: Update an existing Analytic Field Report + security: + - BearerAuth: [] + operationId: updateAnalyticFieldReportById + tags: + - Analytic Field Reports + requestBody: + required: true + description: data for updating a new Analytic Field Report + content: + application/json: + schema: + type: object + properties: + field: + type: string + alias: + type: string + function: + type: string + format: + type: string + groupBy: + type: boolean + default: false + orderBy: + type: string + custom: + type: boolean + default: false + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the AnalyticFieldReport to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: AnalyticFieldReport not found + delete: + summary: Deletes many Analytic Field Reports + security: + - BearerAuth: [] + operationId: deleteAnalyticFieldReport + tags: + - Analytic Field Reports + parameters: + - in: query + name: ids + style: form + explode: false + schema: + type: integer + required: true + description: Numeric ids of the AnalyticFieldReport to be deleted, as a + comma-separated list. E.g. `ids=1,2,3` deletes elements with id = 1, + 2 and 3 + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: AnalyticFieldReport not found + /analytics/field_reports/create_many: + post: + summary: Creates many Analytic Field Reports + security: + - BearerAuth: [] + operationId: createManyAnalyticFieldReport + tags: + - Analytic Field Reports + requestBody: + required: true + description: data for the creation of many Analytic Field Reports + content: + application/json: + schema: + type: array + items: + type: object + properties: + field: + type: string + alias: + type: string + function: + type: string + format: + type: string + groupBy: + type: boolean + default: false + orderBy: + type: string + custom: + type: boolean + default: false + responses: + "201": + description: Successfully created. + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/analytics/field_reports/{id}/create_many": + post: + summary: Rewrite fields set + security: + - BearerAuth: [] + operationId: addFields + tags: + - Analytic Field Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the AnalyticFieldReport + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/analytics/field_reports/{id}/destroy_many": + delete: + summary: Destroy an existing Analytic Field Report + security: + - BearerAuth: [] + operationId: deleteAnalyticFieldReportById + tags: + - Analytic Field Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the AnalyticFieldReport to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: AnalyticFieldReport not found + /analytics/metrics: + get: + summary: Gets a list of Analytic Metric + security: + - BearerAuth: [] + operationId: listAllAnalyticMetric + tags: + - Analytic Metrics + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each AnalyticMetric + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Analytic Metric + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/AnalyticMetric" + "206": + description: Partial (paged) collection of Analytic Metric + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/AnalyticMetric" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Analytic Metrics + security: + - BearerAuth: [] + operationId: createAnalyticMetric + tags: + - Analytic Metrics + requestBody: + required: true + description: data for creating a new Analytic Metrics + content: + application/json: + schema: + type: object + properties: + name: + type: string + table: + type: string + metric: + type: string + description: + type: string + required: + - name + responses: + "201": + description: AnalyticMetric successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/AnalyticMetric" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/analytics/metrics/{id}": + get: + summary: Gets a single Analytic Metrics + security: + - BearerAuth: [] + operationId: getAnalyticMetricById + tags: + - Analytic Metrics + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the AnalyticMetric to get + responses: + "200": + description: AnalyticMetric with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/AnalyticMetric" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: AnalyticMetric not found + put: + summary: Update an existing Analytic Metrics + security: + - BearerAuth: [] + operationId: updateAnalyticMetricById + tags: + - Analytic Metrics + requestBody: + required: true + description: data for updating a new Analytic Metrics + content: + application/json: + schema: + type: object + properties: + name: + type: string + table: + type: string + metric: + type: string + description: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the AnalyticMetric to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: AnalyticMetric not found + "/analytics/metrics/{id}/destroy_many": + delete: + summary: Destroy an existing Analytic Metrics + security: + - BearerAuth: [] + operationId: deleteAnalyticMetricById + tags: + - Analytic Metrics + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the AnalyticMetric to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: AnalyticMetric not found + /analytics/tree_reports: + get: + summary: Gets a list of Analytic Tree Reports + security: + - BearerAuth: [] + operationId: listAllAnalyticTreeReport + tags: + - Analytic Tree Reports + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each AnalyticTreeReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Analytic Tree Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/AnalyticTreeReport" + "206": + description: Partial (paged) collection of Analytic Tree Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/AnalyticTreeReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Analytic Tree Report + security: + - BearerAuth: [] + operationId: createAnalyticTreeReport + tags: + - Analytic Tree Reports + requestBody: + required: true + description: data for creating a new Analytic Tree Report + content: + application/json: + schema: + type: object + properties: + tree: + type: string + required: + - tree + responses: + "201": + description: AnalyticTreeReport successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/AnalyticTreeReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/analytics/tree_reports/{id}": + get: + summary: Gets a single Analytic Tree Report + security: + - BearerAuth: [] + operationId: getAnalyticTreeReportById + tags: + - Analytic Tree Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the AnalyticTreeReport to get + responses: + "200": + description: AnalyticTreeReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/AnalyticTreeReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: AnalyticTreeReport not found + put: + summary: Update an existing Analytic Tree Report + security: + - BearerAuth: [] + operationId: updateAnalyticTreeReportById + tags: + - Analytic Tree Reports + requestBody: + required: true + description: data for updating a new Analytic Tree Report + content: + application/json: + schema: + type: object + properties: + tree: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the AnalyticTreeReport to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: AnalyticTreeReport not found + "/analytics/tree_reports/{id}/destroy_many": + delete: + summary: Destroy an existing Analytic Tree Report + security: + - BearerAuth: [] + operationId: deleteAnalyticTreeReportById + tags: + - Analytic Tree Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the AnalyticTreeReport to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: AnalyticTreeReport not found + /attachments: + get: + summary: Gets a list of Attachments + security: + - BearerAuth: [] + operationId: listAllAttachment + tags: + - Attachments + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each Attachment + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Attachments + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Attachment" + "206": + description: Partial (paged) collection of Attachments + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Attachment" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/attachments/{id}": + get: + summary: Gets a single Attachment + security: + - BearerAuth: [] + operationId: getAttachmentById + tags: + - Attachments + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Attachment to get + responses: + "200": + description: Attachment with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/Attachment" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Attachment not found + put: + summary: Update an existing Attachment + security: + - BearerAuth: [] + operationId: updateAttachmentById + tags: + - Attachments + requestBody: + required: true + description: data for updating a new Attachment + content: + application/json: + schema: + type: object + properties: + name: + type: string + basename: + type: string + type: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Attachment to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Attachment not found + "/attachments/{id}/download": + get: + summary: Download attachment + security: + - BearerAuth: [] + operationId: download + tags: + - Attachments + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Attachment + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /attachments/clone: + post: + summary: Clone an existing Attachment + security: + - BearerAuth: [] + operationId: cloneAttachment + tags: + - Attachments + requestBody: + required: true + description: data for creating a new Attachment + content: + application/json: + schema: + type: object + properties: + id: + type: integer + description: id of the Attachment to clone + name: + type: string + basename: + type: string + type: + type: string + required: + - id + responses: + "201": + description: Attachment successfully cloned. Returns the cloned object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/Attachment" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /attachments/: + post: + summary: Add attachment + security: + - BearerAuth: [] + operationId: postcreate + tags: + - Attachments + requestBody: + required: true + description: data for uploading a file + content: + multipart/form-data: + schema: + type: object + properties: + file: + type: string + format: binary + responses: + "201": + description: success. + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/attachments/{id}/destroy_many": + delete: + summary: Destroy an existing Attachment + security: + - BearerAuth: [] + operationId: deleteAttachmentById + tags: + - Attachments + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Attachment to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Attachment not found + /auth/google: + post: + summary: Creates a new User token using Google login + operationId: googleLogin + tags: + - Authentication + requestBody: + required: true + description: Google user credentials + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: the username + password: + type: string + format: password + description: the user password + required: + - name + - password + responses: + "201": + description: login success + content: + application/json: + schema: + type: object + properties: + id: + type: integer + description: user id + statusCall: + type: string + description: user call status + token: + type: string + description: jwt for authenticated calls + "401": + description: Not authenticated + content: + application/json: + schema: + type: object + properties: + message: + type: string + description: the authentication error + /auth/local: + post: + summary: Creates a new User token + operationId: localLogin + tags: + - Authentication + requestBody: + required: true + description: user credentials + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: the username + password: + type: string + format: password + description: the user password + required: + - name + - password + responses: + "201": + description: login success + content: + application/json: + schema: + type: object + properties: + id: + type: integer + description: user id + statusCall: + type: string + description: user call status + token: + type: string + description: jwt for authenticated calls + "401": + description: Not authenticated + content: + application/json: + schema: + type: object + properties: + message: + type: string + description: the authentication error + /auth/local/forgot: + post: + summary: Creates a new password reset token + operationId: localForgotPassword + tags: + - Authentication + requestBody: + required: true + description: the email to which the reset token will be sent + content: + application/json: + schema: + type: object + properties: + email: + type: string + format: email + description: the user email used during registration + required: + - email + responses: + "201": + description: password reset token successfully issued + "/auth/local/reset/{token}": + post: + summary: Reset user password + operationId: localResetPassword + tags: + - Authentication + parameters: + - in: path + name: token + schema: + type: string + required: true + description: token issued by `/auth/local/forgot` + requestBody: + required: true + description: the new password for the user + content: + application/json: + schema: + type: object + properties: + password: + type: string + format: password + description: the new user password + required: + - password + responses: + "201": + description: password reset success + /automations: + get: + summary: Gets a list of Automations + security: + - BearerAuth: [] + operationId: listAllAutomation + tags: + - Automations + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each Automation + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Automations + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Automation" + "206": + description: Partial (paged) collection of Automations + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Automation" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Automation + security: + - BearerAuth: [] + operationId: createAutomation + tags: + - Automations + requestBody: + required: true + description: data for creating a new Automation + content: + application/json: + schema: + type: object + properties: + name: + type: string + channel: + type: string + description: + type: string + status: + type: boolean + default: false + timeout: + type: integer + default: 10 + required: + - name + responses: + "201": + description: Automation successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/Automation" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/automations/{id}": + get: + summary: Gets a single Automation + security: + - BearerAuth: [] + operationId: getAutomationById + tags: + - Automations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Automation to get + responses: + "200": + description: Automation with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/Automation" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Automation not found + put: + summary: Update an existing Automation + security: + - BearerAuth: [] + operationId: updateAutomationById + tags: + - Automations + requestBody: + required: true + description: data for updating a new Automation + content: + application/json: + schema: + type: object + properties: + name: + type: string + channel: + type: string + description: + type: string + status: + type: boolean + default: false + timeout: + type: integer + default: 10 + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Automation to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Automation not found + "/automations/{id}/conditions": + get: + summary: Gets Automation Conditions + security: + - BearerAuth: [] + operationId: getConditions + tags: + - Automations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Automation + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new conditions + security: + - BearerAuth: [] + operationId: addConditions + tags: + - Automations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Automation + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/automations/{id}/actions": + get: + summary: Gets Automation Actions + security: + - BearerAuth: [] + operationId: getActions + tags: + - Automations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Automation + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new actions + security: + - BearerAuth: [] + operationId: addActions + tags: + - Automations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Automation + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/automations/{id}/destroy_many": + delete: + summary: Destroy an existing Automation + security: + - BearerAuth: [] + operationId: deleteAutomationById + tags: + - Automations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Automation to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Automation not found + /campaigns: + get: + summary: Gets a list of IVR Campaigns + security: + - BearerAuth: [] + operationId: listAllCampaign + tags: + - IVR Campaigns + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each Campaign + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of IVR Campaigns + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Campaign" + "206": + description: Partial (paged) collection of IVR Campaigns + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Campaign" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new IVR Campaign + security: + - BearerAuth: [] + operationId: createCampaign + tags: + - IVR Campaigns + requestBody: + required: true + description: data for creating a new IVR Campaign + content: + application/json: + schema: + type: object + properties: + name: + type: string + type: + type: string + enum: + - ivr + default: ivr + description: + type: string + active: + type: boolean + description: Active/Disactive Campaign + default: 0 + limitCalls: + type: integer + description: Max 200 calls. + default: 30 + dialOriginateCallerIdName: + type: string + dialOriginateCallerIdNumber: + type: string + dialOriginateTimeout: + type: integer + description: Originate Timeout Seconds (min:1, max:999) + default: 30 + dialCongestionMaxRetry: + type: integer + description: "#Congestion Retry (min:1, max:999)" + default: 3 + dialCongestionRetryFrequency: + type: integer + description: Congestion Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialBusyMaxRetry: + type: integer + description: "#Busy Retry (min:1, max:999)" + default: 3 + dialBusyRetryFrequency: + type: integer + description: Busy Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialNoAnswerMaxRetry: + type: integer + description: "#NoAnswer Retry (min:1, max:999)" + default: 3 + dialNoAnswerRetryFrequency: + type: integer + description: NoAnswer Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialGlobalMaxRetry: + type: integer + description: "#Global Max Retry (min:1, max:999)" + default: 4 + dialTimezone: + type: string + default: null + dialGlobalInterval: + type: string + default: 07:00-22:00,*,*,* + dialCheckDuplicateType: + type: string + enum: + - always + - never + - onlyIfOpen + default: always + dialAMDActive: + type: boolean + description: Active/Disactive AMD + default: 0 + dialAMDInitialSilence: + type: integer + description: "#AMD Initial Silence" + default: 2500 + dialAMDGreeting: + type: integer + description: "#AMD Greeting" + default: 1500 + dialAMDAfterGreetingSilence: + type: integer + description: "#AMD After Greeting Silence" + default: 800 + dialAMDTotalAnalysisTime: + type: integer + description: "#AMD Total Analysis Time" + default: 5000 + dialAMDMinWordLength: + type: integer + description: "#AMD Min Word Length" + default: 100 + dialAMDBetweenWordsSilence: + type: integer + description: "#AMD Between Words Silence" + default: 50 + dialAMDMaximumNumberOfWords: + type: integer + description: "#AMD Maximum Number Of Words" + default: 3 + dialAMDSilenceThreshold: + type: integer + description: "#AMD Silence Threshold (min:0, max:32767)" + default: 256 + dialAMDMaximumWordLength: + type: integer + description: "#AMD Maximum Word Length" + default: 5000 + dialOrderByScheduledAt: + type: string + enum: + - DESC + - ASC + default: DESC + dialPrefix: + type: string + default: null + dialRandomLastDigitCallerIdNumber: + type: integer + description: Random Last Digit (min:1, max:15) + default: 0 + dialCutDigit: + type: integer + description: Cut Digit (min:1, max:15) + default: 0 + dialNoSuchNumberMaxRetry: + type: integer + description: "#NoSuchNumber Retry (min:1, max:999)" + default: 3 + dialNoSuchNumberRetryFrequency: + type: integer + description: NoSuchNumber Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialDropMaxRetry: + type: integer + description: "#Drop Retry (min:1, max:999)" + default: 3 + dialDropRetryFrequency: + type: integer + description: Drop Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialAbandonedMaxRetry: + type: integer + description: "#Abandoned Retry (min:1, max:999)" + default: 3 + dialAbandonedRetryFrequency: + type: integer + description: Abandoned Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialMachineMaxRetry: + type: integer + description: "#Machine Retry (min:1, max:999)" + default: 3 + dialMachineRetryFrequency: + type: integer + description: Machine Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialAgentRejectMaxRetry: + type: integer + description: "#AgentReject Retry (min:1, max:999)" + default: 3 + dialAgentRejectRetryFrequency: + type: integer + description: AgentReject Retry Frequency Minutes (min:1, max:99999) + default: 150 + required: + - name + - type + responses: + "201": + description: Campaign successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/Campaign" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/campaigns/{id}": + get: + summary: Gets a single IVR Campaign + security: + - BearerAuth: [] + operationId: getCampaignById + tags: + - IVR Campaigns + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Campaign to get + responses: + "200": + description: Campaign with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/Campaign" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Campaign not found + put: + summary: Update an existing IVR Campaign + security: + - BearerAuth: [] + operationId: updateCampaignById + tags: + - IVR Campaigns + requestBody: + required: true + description: data for updating a new IVR Campaign + content: + application/json: + schema: + type: object + properties: + name: + type: string + type: + type: string + enum: + - ivr + default: ivr + description: + type: string + active: + type: boolean + description: Active/Disactive Campaign + default: 0 + limitCalls: + type: integer + description: Max 200 calls. + default: 30 + dialOriginateCallerIdName: + type: string + dialOriginateCallerIdNumber: + type: string + dialOriginateTimeout: + type: integer + description: Originate Timeout Seconds (min:1, max:999) + default: 30 + dialCongestionMaxRetry: + type: integer + description: "#Congestion Retry (min:1, max:999)" + default: 3 + dialCongestionRetryFrequency: + type: integer + description: Congestion Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialBusyMaxRetry: + type: integer + description: "#Busy Retry (min:1, max:999)" + default: 3 + dialBusyRetryFrequency: + type: integer + description: Busy Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialNoAnswerMaxRetry: + type: integer + description: "#NoAnswer Retry (min:1, max:999)" + default: 3 + dialNoAnswerRetryFrequency: + type: integer + description: NoAnswer Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialGlobalMaxRetry: + type: integer + description: "#Global Max Retry (min:1, max:999)" + default: 4 + dialTimezone: + type: string + default: null + dialGlobalInterval: + type: string + default: 07:00-22:00,*,*,* + dialCheckDuplicateType: + type: string + enum: + - always + - never + - onlyIfOpen + default: always + dialAMDActive: + type: boolean + description: Active/Disactive AMD + default: 0 + dialAMDInitialSilence: + type: integer + description: "#AMD Initial Silence" + default: 2500 + dialAMDGreeting: + type: integer + description: "#AMD Greeting" + default: 1500 + dialAMDAfterGreetingSilence: + type: integer + description: "#AMD After Greeting Silence" + default: 800 + dialAMDTotalAnalysisTime: + type: integer + description: "#AMD Total Analysis Time" + default: 5000 + dialAMDMinWordLength: + type: integer + description: "#AMD Min Word Length" + default: 100 + dialAMDBetweenWordsSilence: + type: integer + description: "#AMD Between Words Silence" + default: 50 + dialAMDMaximumNumberOfWords: + type: integer + description: "#AMD Maximum Number Of Words" + default: 3 + dialAMDSilenceThreshold: + type: integer + description: "#AMD Silence Threshold (min:0, max:32767)" + default: 256 + dialAMDMaximumWordLength: + type: integer + description: "#AMD Maximum Word Length" + default: 5000 + dialOrderByScheduledAt: + type: string + enum: + - DESC + - ASC + default: DESC + dialPrefix: + type: string + default: null + dialRandomLastDigitCallerIdNumber: + type: integer + description: Random Last Digit (min:1, max:15) + default: 0 + dialCutDigit: + type: integer + description: Cut Digit (min:1, max:15) + default: 0 + dialNoSuchNumberMaxRetry: + type: integer + description: "#NoSuchNumber Retry (min:1, max:999)" + default: 3 + dialNoSuchNumberRetryFrequency: + type: integer + description: NoSuchNumber Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialDropMaxRetry: + type: integer + description: "#Drop Retry (min:1, max:999)" + default: 3 + dialDropRetryFrequency: + type: integer + description: Drop Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialAbandonedMaxRetry: + type: integer + description: "#Abandoned Retry (min:1, max:999)" + default: 3 + dialAbandonedRetryFrequency: + type: integer + description: Abandoned Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialMachineMaxRetry: + type: integer + description: "#Machine Retry (min:1, max:999)" + default: 3 + dialMachineRetryFrequency: + type: integer + description: Machine Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialAgentRejectMaxRetry: + type: integer + description: "#AgentReject Retry (min:1, max:999)" + default: 3 + dialAgentRejectRetryFrequency: + type: integer + description: AgentReject Retry Frequency Minutes (min:1, max:99999) + default: 150 + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Campaign to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Campaign not found + "/campaigns/{id}/hoppers": + get: + summary: Gets IVR campaign hoppers + security: + - BearerAuth: [] + operationId: getHoppers + tags: + - IVR Campaigns + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Campaign + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/campaigns/{id}/hopper_histories": + get: + summary: Gets IVR campaign hopper histories + security: + - BearerAuth: [] + operationId: getHopperHistories + tags: + - IVR Campaigns + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Campaign + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/campaigns/{id}/hopper_finals": + get: + summary: Gets IVR campaign hopper finals + security: + - BearerAuth: [] + operationId: getHopperFinals + tags: + - IVR Campaigns + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Campaign + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/campaigns/{id}/hopper_black": + get: + summary: Gets IVR campaign hopper blacks + security: + - BearerAuth: [] + operationId: getHopperBlacks + tags: + - IVR Campaigns + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Campaign + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/campaigns/{id}/lists": + get: + summary: Get IVR campaign lists + security: + - BearerAuth: [] + operationId: getLists + tags: + - IVR Campaigns + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Campaign + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add lists to an IVR campaign + security: + - BearerAuth: [] + operationId: addLists + tags: + - IVR Campaigns + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Campaign + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove lists from an IVR Campaign + security: + - BearerAuth: [] + operationId: removeLists + tags: + - IVR Campaigns + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Campaign + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/campaigns/{id}/blacklists": + get: + summary: Get IVR campaign blacklists + security: + - BearerAuth: [] + operationId: getBlackLists + tags: + - IVR Campaigns + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Campaign + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add blacklists to an IVR campaign + security: + - BearerAuth: [] + operationId: addBlackLists + tags: + - IVR Campaigns + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Campaign + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove blacklists from an IVR Campaign + security: + - BearerAuth: [] + operationId: removeBlackLists + tags: + - IVR Campaigns + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Campaign + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /campaigns/clone: + post: + summary: Clone an existing IVR Campaign + security: + - BearerAuth: [] + operationId: cloneCampaign + tags: + - IVR Campaigns + requestBody: + required: true + description: data for creating a new IVR Campaign + content: + application/json: + schema: + type: object + properties: + id: + type: integer + description: id of the IVR Campaign to clone + name: + type: string + type: + type: string + enum: + - ivr + default: ivr + description: + type: string + active: + type: boolean + description: Active/Disactive Campaign + default: 0 + limitCalls: + type: integer + description: Max 200 calls. + default: 30 + dialOriginateCallerIdName: + type: string + dialOriginateCallerIdNumber: + type: string + dialOriginateTimeout: + type: integer + description: Originate Timeout Seconds (min:1, max:999) + default: 30 + dialCongestionMaxRetry: + type: integer + description: "#Congestion Retry (min:1, max:999)" + default: 3 + dialCongestionRetryFrequency: + type: integer + description: Congestion Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialBusyMaxRetry: + type: integer + description: "#Busy Retry (min:1, max:999)" + default: 3 + dialBusyRetryFrequency: + type: integer + description: Busy Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialNoAnswerMaxRetry: + type: integer + description: "#NoAnswer Retry (min:1, max:999)" + default: 3 + dialNoAnswerRetryFrequency: + type: integer + description: NoAnswer Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialGlobalMaxRetry: + type: integer + description: "#Global Max Retry (min:1, max:999)" + default: 4 + dialTimezone: + type: string + default: null + dialGlobalInterval: + type: string + default: 07:00-22:00,*,*,* + dialCheckDuplicateType: + type: string + enum: + - always + - never + - onlyIfOpen + default: always + dialAMDActive: + type: boolean + description: Active/Disactive AMD + default: 0 + dialAMDInitialSilence: + type: integer + description: "#AMD Initial Silence" + default: 2500 + dialAMDGreeting: + type: integer + description: "#AMD Greeting" + default: 1500 + dialAMDAfterGreetingSilence: + type: integer + description: "#AMD After Greeting Silence" + default: 800 + dialAMDTotalAnalysisTime: + type: integer + description: "#AMD Total Analysis Time" + default: 5000 + dialAMDMinWordLength: + type: integer + description: "#AMD Min Word Length" + default: 100 + dialAMDBetweenWordsSilence: + type: integer + description: "#AMD Between Words Silence" + default: 50 + dialAMDMaximumNumberOfWords: + type: integer + description: "#AMD Maximum Number Of Words" + default: 3 + dialAMDSilenceThreshold: + type: integer + description: "#AMD Silence Threshold (min:0, max:32767)" + default: 256 + dialAMDMaximumWordLength: + type: integer + description: "#AMD Maximum Word Length" + default: 5000 + dialOrderByScheduledAt: + type: string + enum: + - DESC + - ASC + default: DESC + dialPrefix: + type: string + default: null + dialRandomLastDigitCallerIdNumber: + type: integer + description: Random Last Digit (min:1, max:15) + default: 0 + dialCutDigit: + type: integer + description: Cut Digit (min:1, max:15) + default: 0 + dialNoSuchNumberMaxRetry: + type: integer + description: "#NoSuchNumber Retry (min:1, max:999)" + default: 3 + dialNoSuchNumberRetryFrequency: + type: integer + description: NoSuchNumber Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialDropMaxRetry: + type: integer + description: "#Drop Retry (min:1, max:999)" + default: 3 + dialDropRetryFrequency: + type: integer + description: Drop Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialAbandonedMaxRetry: + type: integer + description: "#Abandoned Retry (min:1, max:999)" + default: 3 + dialAbandonedRetryFrequency: + type: integer + description: Abandoned Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialMachineMaxRetry: + type: integer + description: "#Machine Retry (min:1, max:999)" + default: 3 + dialMachineRetryFrequency: + type: integer + description: Machine Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialAgentRejectMaxRetry: + type: integer + description: "#AgentReject Retry (min:1, max:999)" + default: 3 + dialAgentRejectRetryFrequency: + type: integer + description: AgentReject Retry Frequency Minutes (min:1, max:99999) + default: 150 + required: + - id + responses: + "201": + description: Campaign successfully cloned. Returns the cloned object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/Campaign" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/campaigns/{id}/destroy_many": + delete: + summary: Destroy an existing IVR Campaign + security: + - BearerAuth: [] + operationId: deleteCampaignById + tags: + - IVR Campaigns + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Campaign to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Campaign not found + /canned_answers: + get: + summary: Gets a list of Canned Answers + security: + - BearerAuth: [] + operationId: listAllCannedAnswer + tags: + - Canned Answers + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each CannedAnswer + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Canned Answers + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/CannedAnswer" + "206": + description: Partial (paged) collection of Canned Answers + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/CannedAnswer" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/canned_answers/{id}": + get: + summary: Gets a single Canned Answer + security: + - BearerAuth: [] + operationId: getCannedAnswerById + tags: + - Canned Answers + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CannedAnswer to get + responses: + "200": + description: CannedAnswer with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/CannedAnswer" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: CannedAnswer not found + put: + summary: Update an existing Canned Answer + security: + - BearerAuth: [] + operationId: updateCannedAnswerById + tags: + - Canned Answers + requestBody: + required: true + description: data for updating a new Canned Answer + content: + application/json: + schema: + type: object + properties: + key: + type: string + value: + type: string + description: + type: string + name: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CannedAnswer to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: CannedAnswer not found + /canned_answers/: + post: + summary: Create a new canned answer + security: + - BearerAuth: [] + operationId: create + tags: + - Canned Answers + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/canned_answers/{id}/destroy_many": + delete: + summary: Destroy an existing Canned Answer + security: + - BearerAuth: [] + operationId: deleteCannedAnswerById + tags: + - Canned Answers + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CannedAnswer to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: CannedAnswer not found + /cdr: + get: + summary: Gets a list of Cdrs + security: + - BearerAuth: [] + operationId: listAllCdr + tags: + - cdr + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each Cdr + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Cdrs + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Cdr" + "206": + description: Partial (paged) collection of Cdrs + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Cdr" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Cdr + security: + - BearerAuth: [] + operationId: createCdr + tags: + - cdr + requestBody: + required: true + description: data for creating a new Cdr + content: + application/json: + schema: + type: object + properties: + calldate: + type: string + default: 1970-01-01 00:00:01 + clid: + type: string + src: + type: string + dst: + type: string + dcontext: + type: string + channel: + type: string + dstchannel: + type: string + lastapp: + type: string + lastdata: + type: string + duration: + type: integer + default: 0 + billsec: + type: integer + default: 0 + disposition: + type: string + amaflags: + type: integer + default: 0 + accountcode: + type: string + userfield: + type: string + uniqueid: + type: string + linkedid: + type: string + sequence: + type: string + peeraccount: + type: string + type: + type: string + tag: + type: string + required: + - calldate + - duration + - billsec + - amaflags + responses: + "201": + description: Cdr successfully created. Returns the created object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/Cdr" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /cdr/describe: + get: + summary: Gets table info about Cdrs + security: + - BearerAuth: [] + operationId: describeCdr + tags: + - cdr + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/cdr/{id}": + get: + summary: Gets a single Cdr + security: + - BearerAuth: [] + operationId: getCdrById + tags: + - cdr + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Cdr to get + responses: + "200": + description: Cdr with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/Cdr" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Cdr not found + put: + summary: Update an existing Cdr + security: + - BearerAuth: [] + operationId: updateCdrById + tags: + - cdr + requestBody: + required: true + description: data for updating a new Cdr + content: + application/json: + schema: + type: object + properties: + calldate: + type: string + default: 1970-01-01 00:00:01 + clid: + type: string + src: + type: string + dst: + type: string + dcontext: + type: string + channel: + type: string + dstchannel: + type: string + lastapp: + type: string + lastdata: + type: string + duration: + type: integer + default: 0 + billsec: + type: integer + default: 0 + disposition: + type: string + amaflags: + type: integer + default: 0 + accountcode: + type: string + userfield: + type: string + uniqueid: + type: string + linkedid: + type: string + sequence: + type: string + peeraccount: + type: string + type: + type: string + tag: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Cdr to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Cdr not found + "/cdr/{id}/destroy_many": + delete: + summary: Destroy an existing Cdr + security: + - BearerAuth: [] + operationId: deleteCdrById + tags: + - cdr + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Cdr to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Cdr not found + "/chat/applications/{id}": + get: + summary: Gets a single Application + security: + - BearerAuth: [] + operationId: getChatApplicationById + tags: + - Chat Applications + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatApplication to get + responses: + "200": + description: ChatApplication with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/ChatApplication" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatApplication not found + put: + summary: Update an existing Application + security: + - BearerAuth: [] + operationId: updateChatApplicationById + tags: + - Chat Applications + requestBody: + required: true + description: data for updating a new Application + content: + application/json: + schema: + type: object + properties: + priority: + type: integer + app: + type: string + default: noop + appdata: + type: string + description: + type: string + interval: + type: string + default: "*,*,*,*" + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatApplication to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatApplication not found + "/chat/applications/{id}/destroy_many": + delete: + summary: Destroy an existing Application + security: + - BearerAuth: [] + operationId: deleteChatApplicationById + tags: + - Chat Applications + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatApplication to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatApplication not found + /chat/groups: + get: + summary: Gets a list of Groups + security: + - BearerAuth: [] + operationId: listAllChatGroup + tags: + - Chat Groups + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each ChatGroup + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Groups + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ChatGroup" + "206": + description: Partial (paged) collection of Groups + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ChatGroup" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Group + security: + - BearerAuth: [] + operationId: createChatGroup + tags: + - Chat Groups + requestBody: + required: true + description: data for creating a new Group + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + write: + type: boolean + default: true + required: + - name + responses: + "201": + description: ChatGroup successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/ChatGroup" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /chat/groups/describe: + get: + summary: Gets table info about Groups + security: + - BearerAuth: [] + operationId: describeChatGroup + tags: + - Chat Groups + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/groups/{id}": + get: + summary: Gets a single Group + security: + - BearerAuth: [] + operationId: getChatGroupById + tags: + - Chat Groups + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatGroup to get + responses: + "200": + description: ChatGroup with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/ChatGroup" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatGroup not found + put: + summary: Update an existing Group + security: + - BearerAuth: [] + operationId: updateChatGroupById + tags: + - Chat Groups + requestBody: + required: true + description: data for updating a new Group + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + write: + type: boolean + default: true + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatGroup to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatGroup not found + "/chat/groups/{id}/unread": + get: + summary: Get unread chat group messages + security: + - BearerAuth: [] + operationId: getUnread + tags: + - Chat Groups + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatGroup + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/groups/{id}/members": + get: + summary: Gets Members + security: + - BearerAuth: [] + operationId: getMembers + tags: + - Chat Groups + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatGroup + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add members to chat group + security: + - BearerAuth: [] + operationId: addMembers + tags: + - Chat Groups + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatGroup + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes members from a group + security: + - BearerAuth: [] + operationId: removeMembers + tags: + - Chat Groups + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatGroup + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/groups/{id}/messages": + get: + summary: Gets Messages + security: + - BearerAuth: [] + operationId: getMessages + tags: + - Chat Groups + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatGroup + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new group message + security: + - BearerAuth: [] + operationId: addMessage + tags: + - Chat Groups + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatGroup + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/groups/{id}/destroy_many": + delete: + summary: Destroy an existing Group + security: + - BearerAuth: [] + operationId: deleteChatGroupById + tags: + - Chat Groups + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatGroup to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatGroup not found + /chat/interactions: + get: + summary: Gets a list of Interactions + security: + - BearerAuth: [] + operationId: listAllChatInteraction + tags: + - Chat Interactions + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each ChatInteraction + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Interactions + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ChatInteraction" + "206": + description: Partial (paged) collection of Interactions + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ChatInteraction" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Interaction + security: + - BearerAuth: [] + operationId: createChatInteraction + tags: + - Chat Interactions + requestBody: + required: true + description: data for creating a new Interaction + content: + application/json: + schema: + type: object + properties: + closed: + type: boolean + default: false + ratingValue: + type: integer + ratingType: + type: string + enum: + - star + - thumb + default: star + ratingMessage: + type: string + pathTranscript: + type: string + mailTranscript: + type: string + closedAt: + type: string + disposition: + type: string + secondDisposition: + type: string + thirdDisposition: + type: string + note: + type: string + browserName: + type: string + browserVersion: + type: string + osName: + type: string + osVersion: + type: string + deviceModel: + type: string + deviceVendor: + type: string + deviceType: + type: string + referer: + type: string + customerIp: + type: string + formData: + type: string + read1stAt: + type: string + lastMsgAt: + type: string + lastMsgDirection: + type: string + enum: + - in + - out + default: in + closeReason: + type: string + customerPort: + type: string + vidaooSessionId: + type: string + required: + - lastMsgDirection + responses: + "201": + description: ChatInteraction successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/ChatInteraction" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /chat/interactions/describe: + get: + summary: Gets table info about Interactions + security: + - BearerAuth: [] + operationId: describeChatInteraction + tags: + - Chat Interactions + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/interactions/{id}": + get: + summary: Gets a single Interaction + operationId: getChatInteractionById + tags: + - Chat Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatInteraction to get + responses: + "200": + description: ChatInteraction with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/ChatInteraction" + "404": + description: ChatInteraction not found + put: + summary: Update an existing Interaction + security: + - BearerAuth: [] + operationId: updateChatInteractionById + tags: + - Chat Interactions + requestBody: + required: true + description: data for updating a new Interaction + content: + application/json: + schema: + type: object + properties: + closed: + type: boolean + default: false + ratingValue: + type: integer + ratingType: + type: string + enum: + - star + - thumb + default: star + ratingMessage: + type: string + pathTranscript: + type: string + mailTranscript: + type: string + closedAt: + type: string + disposition: + type: string + secondDisposition: + type: string + thirdDisposition: + type: string + note: + type: string + browserName: + type: string + browserVersion: + type: string + osName: + type: string + osVersion: + type: string + deviceModel: + type: string + deviceVendor: + type: string + deviceType: + type: string + referer: + type: string + customerIp: + type: string + formData: + type: string + read1stAt: + type: string + lastMsgAt: + type: string + lastMsgDirection: + type: string + enum: + - in + - out + default: in + closeReason: + type: string + customerPort: + type: string + vidaooSessionId: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatInteraction to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatInteraction not found + "/chat/interactions/{id}/attachment_download": + get: + summary: Download attachment + operationId: attachmentDownload + tags: + - Attachments + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatInteraction + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "/chat/interactions/{id}/messages": + get: + summary: Gets interaction messages + security: + - BearerAuth: [] + operationId: getMessages + tags: + - Chat Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatInteraction + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new messages + operationId: addMessage + tags: + - Chat Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatInteraction + responses: + "201": + description: successfully created + "/chat/interactions/{id}/my_messages": + get: + summary: Gets interaction messages + operationId: getMyMessages + tags: + - Chat Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatInteraction + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "/chat/interactions/{id}/download": + get: + summary: Gets interaction + security: + - BearerAuth: [] + operationId: download + tags: + - Chat Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatInteraction + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/interactions/{id}/vidaoo": + post: + summary: Create Vidaoo Session + security: + - BearerAuth: [] + operationId: createVidaooSession + tags: + - Chat Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatInteraction + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/interactions/{id}/attachment_upload": + post: + summary: Add attachment + operationId: postattachmentUpload + tags: + - Chat Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatInteraction + requestBody: + required: true + description: data for uploading a file + content: + multipart/form-data: + schema: + type: object + properties: + file: + type: string + format: binary + responses: + "201": + description: success. + "/chat/interactions/{id}/tags": + post: + summary: Add tags to the interaction + security: + - BearerAuth: [] + operationId: addTags + tags: + - Chat Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatInteraction + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes tags from interaction + security: + - BearerAuth: [] + operationId: removeTags + tags: + - Chat Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatInteraction + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/interactions/{id}/custom_update": + put: + summary: Update interaction + operationId: customUpdate + tags: + - Chat Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatInteraction + responses: + "200": + description: successfully updated + "/chat/interactions/{id}/abandon": + put: + summary: Abandon interaction + operationId: abandon + tags: + - Chat Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatInteraction + responses: + "200": + description: successfully updated + "/chat/interactions/{id}/close": + put: + summary: Close Interaction + operationId: close + tags: + - Chat Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatInteraction + responses: + "200": + description: successfully updated + "/chat/interactions/{id}/destroy_many": + delete: + summary: Destroy an existing Interaction + security: + - BearerAuth: [] + operationId: deleteChatInteractionById + tags: + - Chat Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatInteraction to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatInteraction not found + /chat/internal/messages: + get: + summary: Gets a list of Messages + security: + - BearerAuth: [] + operationId: listAllChatInternalMessage + tags: + - Chat Internal Messages + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each ChatInternalMessage + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Messages + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ChatInternalMessage" + "206": + description: Partial (paged) collection of Messages + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ChatInternalMessage" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Message + security: + - BearerAuth: [] + operationId: createChatInternalMessage + tags: + - Chat Internal Messages + requestBody: + required: true + description: data for creating a new Message + content: + application/json: + schema: + type: object + properties: + body: + type: string + read: + type: boolean + default: false + ChatInternalMessageId: + type: integer + required: + - body + responses: + "201": + description: ChatInternalMessage successfully created. Returns the created + object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/ChatInternalMessage" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /chat/internal/messages/describe: + get: + summary: Gets table info about Messages + security: + - BearerAuth: [] + operationId: describeChatInternalMessage + tags: + - Chat Internal Messages + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /chat/internal/messages/users: + get: + summary: Gets Users Last Messages + security: + - BearerAuth: [] + operationId: getUsers + tags: + - ChatInternalMessage + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/internal/messages/{id}": + get: + summary: Gets a single Message + security: + - BearerAuth: [] + operationId: getChatInternalMessageById + tags: + - Chat Internal Messages + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatInternalMessage to get + responses: + "200": + description: ChatInternalMessage with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/ChatInternalMessage" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatInternalMessage not found + put: + summary: Update an existing Message + operationId: updateChatInternalMessageById + tags: + - Chat Internal Messages + requestBody: + required: true + description: data for updating a new Message + content: + application/json: + schema: + type: object + properties: + body: + type: string + read: + type: boolean + default: false + ChatInternalMessageId: + type: integer + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatInternalMessage to be updated + responses: + "200": + description: successful update + "404": + description: ChatInternalMessage not found + "/chat/internal/messages/{id}/destroy_many": + delete: + summary: Destroy an existing Message + security: + - BearerAuth: [] + operationId: deleteChatInternalMessageById + tags: + - Chat Internal Messages + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatInternalMessage to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatInternalMessage not found + /chat/messages: + get: + summary: Gets a list of Messages + security: + - BearerAuth: [] + operationId: listAllChatMessage + tags: + - Chat Messages + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each ChatMessage + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Messages + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ChatMessage" + "206": + description: Partial (paged) collection of Messages + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ChatMessage" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Message + security: + - BearerAuth: [] + operationId: createChatMessage + tags: + - Chat Messages + requestBody: + required: true + description: data for creating a new Message + content: + application/json: + schema: + type: object + properties: + body: + type: string + read: + type: boolean + default: false + secret: + type: boolean + default: false + direction: + type: string + enum: + - in + - out + default: out + readAt: + type: string + providerName: + type: string + providerResponse: + type: string + required: + - body + - direction + responses: + "201": + description: ChatMessage successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/ChatMessage" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /chat/messages/describe: + get: + summary: Gets table info about Messages + security: + - BearerAuth: [] + operationId: describeChatMessage + tags: + - Chat Messages + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/messages/{id}": + get: + summary: Gets a single Message + security: + - BearerAuth: [] + operationId: getChatMessageById + tags: + - Chat Messages + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatMessage to get + responses: + "200": + description: ChatMessage with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/ChatMessage" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatMessage not found + put: + summary: Update an existing Message + operationId: updateChatMessageById + tags: + - Chat Messages + requestBody: + required: true + description: data for updating a new Message + content: + application/json: + schema: + type: object + properties: + body: + type: string + read: + type: boolean + default: false + secret: + type: boolean + default: false + direction: + type: string + enum: + - in + - out + default: out + readAt: + type: string + providerName: + type: string + providerResponse: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatMessage to be updated + responses: + "200": + description: successful update + "404": + description: ChatMessage not found + "/chat/messages/{id}/accept": + put: + summary: Accepts message + security: + - BearerAuth: [] + operationId: accept + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatMessage + responses: + "200": + description: successfully updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/messages/{id}/reject": + put: + summary: Rejects message + security: + - BearerAuth: [] + operationId: reject + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatMessage + responses: + "200": + description: successfully updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/messages/{id}/destroy_many": + delete: + summary: Destroy an existing Message + security: + - BearerAuth: [] + operationId: deleteChatMessageById + tags: + - Chat Messages + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatMessage to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatMessage not found + /chat/offline_messages: + get: + summary: Gets a list of OfflineMessages + security: + - BearerAuth: [] + operationId: listAllChatOfflineMessage + tags: + - Chat Offline Messages + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each ChatOfflineMessage + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of OfflineMessages + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ChatOfflineMessage" + "206": + description: Partial (paged) collection of OfflineMessages + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ChatOfflineMessage" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new OfflineMessage + security: + - BearerAuth: [] + operationId: createChatOfflineMessage + tags: + - Chat Offline Messages + requestBody: + required: true + description: data for creating a new OfflineMessage + content: + application/json: + schema: + type: object + properties: + body: + type: string + required: + - body + responses: + "201": + description: ChatOfflineMessage successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/ChatOfflineMessage" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /chat/offline_messages/describe: + get: + summary: Gets table info about OfflineMessages + security: + - BearerAuth: [] + operationId: describeChatOfflineMessage + tags: + - Chat Offline Messages + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/offline_messages/{id}": + get: + summary: Gets a single OfflineMessage + security: + - BearerAuth: [] + operationId: getChatOfflineMessageById + tags: + - Chat Offline Messages + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatOfflineMessage to get + responses: + "200": + description: ChatOfflineMessage with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/ChatOfflineMessage" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatOfflineMessage not found + put: + summary: Update an existing OfflineMessage + security: + - BearerAuth: [] + operationId: updateChatOfflineMessageById + tags: + - Chat Offline Messages + requestBody: + required: true + description: data for updating a new OfflineMessage + content: + application/json: + schema: + type: object + properties: + body: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatOfflineMessage to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatOfflineMessage not found + "/chat/offline_messages/{id}/destroy_many": + delete: + summary: Destroy an existing OfflineMessage + security: + - BearerAuth: [] + operationId: deleteChatOfflineMessageById + tags: + - Chat Offline Messages + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatOfflineMessage to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatOfflineMessage not found + "/chat/proactive_actions/{id}": + get: + summary: Gets a single Proactive Action + security: + - BearerAuth: [] + operationId: getChatProactiveActionById + tags: + - Chat Proactive Actions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatProactiveAction to get + responses: + "200": + description: ChatProactiveAction with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/ChatProactiveAction" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatProactiveAction not found + put: + summary: Update an existing Proactive Action + security: + - BearerAuth: [] + operationId: updateChatProactiveActionById + tags: + - Chat Proactive Actions + requestBody: + required: true + description: data for updating a new Proactive Action + content: + application/json: + schema: + type: object + properties: + name: + type: string + type: + type: string + enum: + - mouseOver + - timeout + default: mouseOver + selector: + type: string + timeout: + type: integer + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatProactiveAction to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatProactiveAction not found + /chat/proactive_actions: + post: + summary: Creates a new Proactive Action + security: + - BearerAuth: [] + operationId: createChatProactiveAction + tags: + - Chat Proactive Actions + requestBody: + required: true + description: data for creating a new Proactive Action + content: + application/json: + schema: + type: object + properties: + name: + type: string + type: + type: string + enum: + - mouseOver + - timeout + default: mouseOver + selector: + type: string + timeout: + type: integer + required: + - name + responses: + "201": + description: ChatProactiveAction successfully created. Returns the created + object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/ChatProactiveAction" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/proactive_actions/{id}/destroy_many": + delete: + summary: Destroy an existing Proactive Action + security: + - BearerAuth: [] + operationId: deleteChatProactiveActionById + tags: + - Chat Proactive Actions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatProactiveAction to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatProactiveAction not found + /chat/queues: + get: + summary: Gets a list of Queues + security: + - BearerAuth: [] + operationId: listAllChatQueue + tags: + - Chat Queues + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each ChatQueue + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Queues + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ChatQueue" + "206": + description: Partial (paged) collection of Queues + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ChatQueue" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Queue + security: + - BearerAuth: [] + operationId: createChatQueue + tags: + - Chat Queues + requestBody: + required: true + description: data for creating a new Queue + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + timeout: + type: integer + strategy: + type: string + enum: + - rrmemory + - beepall + - roundrobin + required: + - name + responses: + "201": + description: ChatQueue successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/ChatQueue" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /chat/queues/describe: + get: + summary: Gets table info about Queues + security: + - BearerAuth: [] + operationId: describeChatQueue + tags: + - Chat Queues + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/queues/{id}": + get: + summary: Gets a single Queue + security: + - BearerAuth: [] + operationId: getChatQueueById + tags: + - Chat Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatQueue to get + responses: + "200": + description: ChatQueue with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/ChatQueue" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatQueue not found + put: + summary: Update an existing Queue + security: + - BearerAuth: [] + operationId: updateChatQueueById + tags: + - Chat Queues + requestBody: + required: true + description: data for updating a new Queue + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + timeout: + type: integer + strategy: + type: string + enum: + - rrmemory + - beepall + - roundrobin + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatQueue to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatQueue not found + "/chat/queues/{id}/members": + get: + summary: GetMembers + security: + - BearerAuth: [] + operationId: getMembers + tags: + - Chat Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatQueue + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/queues/{id}/teams": + get: + summary: Gets queues list + security: + - BearerAuth: [] + operationId: getTeams + tags: + - Chat Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatQueue + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add teams to a queue + security: + - BearerAuth: [] + operationId: addTeams + tags: + - Chat Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatQueue + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove teams from a queue + security: + - BearerAuth: [] + operationId: removeTeams + tags: + - Teams + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatQueue + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/queues/{id}/users": + get: + summary: Gets queue agents + security: + - BearerAuth: [] + operationId: getAgents + tags: + - Chat Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatQueue + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add agents to a queue + security: + - BearerAuth: [] + operationId: addAgents + tags: + - Chat Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatQueue + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes agents from a queue + security: + - BearerAuth: [] + operationId: removeAgents + tags: + - Chat Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatQueue + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/queues/{id}/destroy_many": + delete: + summary: Destroy an existing Queue + security: + - BearerAuth: [] + operationId: deleteChatQueueById + tags: + - Chat Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatQueue to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatQueue not found + /chat/reports/queue: + get: + summary: Gets a list of Chat Queue Reports + security: + - BearerAuth: [] + operationId: listAllChatQueueReport + tags: + - Chat Queue Reports + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each ChatQueueReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Chat Queue Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ChatQueueReport" + "206": + description: Partial (paged) collection of Chat Queue Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ChatQueueReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Chat Queue Report + security: + - BearerAuth: [] + operationId: createChatQueueReport + tags: + - Chat Queue Reports + requestBody: + required: true + description: data for creating a new Chat Queue Report + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + from: + type: string + joinAt: + type: string + leaveAt: + type: string + acceptAt: + type: string + exitAt: + type: string + reason: + type: string + required: + - uniqueid + responses: + "201": + description: ChatQueueReport successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/ChatQueueReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /chat/reports/queue/describe: + get: + summary: Gets table info about Chat Queue Reports + security: + - BearerAuth: [] + operationId: describeChatQueueReport + tags: + - Chat Queue Reports + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/reports/queue/{id}": + get: + summary: Gets a single Chat Queue Report + security: + - BearerAuth: [] + operationId: getChatQueueReportById + tags: + - Chat Queue Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatQueueReport to get + responses: + "200": + description: ChatQueueReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/ChatQueueReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatQueueReport not found + put: + summary: Update an existing Chat Queue Report + security: + - BearerAuth: [] + operationId: updateChatQueueReportById + tags: + - Chat Queue Reports + requestBody: + required: true + description: data for updating a new Chat Queue Report + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + from: + type: string + joinAt: + type: string + leaveAt: + type: string + acceptAt: + type: string + exitAt: + type: string + reason: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatQueueReport to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatQueueReport not found + "/chat/reports/queue/{id}/destroy_many": + delete: + summary: Destroy an existing Chat Queue Report + security: + - BearerAuth: [] + operationId: deleteChatQueueReportById + tags: + - Chat Queue Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatQueueReport to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatQueueReport not found + /chat/reports/transfer: + get: + summary: Gets a list of Chat Transfer Reports + security: + - BearerAuth: [] + operationId: listAllChatTransferReport + tags: + - Chat Transfer Reports + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each ChatTransferReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Chat Transfer Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ChatTransferReport" + "206": + description: Partial (paged) collection of Chat Transfer Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ChatTransferReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Chat Transfer Report + security: + - BearerAuth: [] + operationId: createChatTransferReport + tags: + - Chat Transfer Reports + requestBody: + required: true + description: data for creating a new Chat Transfer Report + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + type: + type: string + enum: + - account + - agent + - queue + default: queue + transferredAt: + type: string + default: NOW + required: + - uniqueid + - type + - transferredAt + responses: + "201": + description: ChatTransferReport successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/ChatTransferReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /chat/reports/transfer/describe: + get: + summary: Gets table info about Chat Transfer Reports + security: + - BearerAuth: [] + operationId: describeChatTransferReport + tags: + - Chat Transfer Reports + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/reports/transfer/{id}": + get: + summary: Gets a single Chat Transfer Report + security: + - BearerAuth: [] + operationId: getChatTransferReportById + tags: + - Chat Transfer Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatTransferReport to get + responses: + "200": + description: ChatTransferReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/ChatTransferReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatTransferReport not found + put: + summary: Update an existing Chat Transfer Report + security: + - BearerAuth: [] + operationId: updateChatTransferReportById + tags: + - Chat Transfer Reports + requestBody: + required: true + description: data for updating a new Chat Transfer Report + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + type: + type: string + enum: + - account + - agent + - queue + default: queue + transferredAt: + type: string + default: NOW + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatTransferReport to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatTransferReport not found + "/chat/reports/transfer/{id}/destroy_many": + delete: + summary: Destroy an existing Chat Transfer Report + security: + - BearerAuth: [] + operationId: deleteChatTransferReportById + tags: + - Chat Transfer Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatTransferReport to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatTransferReport not found + /chat/websites: + get: + summary: Gets a list of Websites + security: + - BearerAuth: [] + operationId: listAllChatWebsite + tags: + - Chat Websites + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each ChatWebsite + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Websites + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ChatWebsite" + "206": + description: Partial (paged) collection of Websites + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ChatWebsite" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Website + security: + - BearerAuth: [] + operationId: createChatWebsite + tags: + - Chat Websites + requestBody: + required: true + description: data for creating a new Website + content: + application/json: + schema: + type: object + properties: + name: + type: string + address: + type: string + description: + type: string + mapKey: + type: string + default: email + mapKeyOffline: + type: string + default: email + key: + type: string + agentAlias: + type: string + default: Agent + customerAlias: + type: string + default: Me + color: + type: string + default: "#011F6A" + color_button: + type: string + default: "#011F6A" + textColor: + type: string + default: "#ffffff" + fontSize: + type: integer + default: 15 + remote: + type: string + animation: + type: boolean + default: true + header_shape: + type: string + enum: + - rounded + - squared + default: rounded + header_online: + type: string + default: We are here! + start_chat_button: + type: string + default: Chat + offline_chat_button: + type: string + default: Send + header_offline: + type: string + default: Contact us + download_transcript: + type: boolean + default: true + timeout: + type: integer + default: 0 + whiteLabel: + type: string + default: Powered by xCALLY + defaultWhiteLabel: + type: boolean + default: true + sitepic: + type: string + closingQuestion: + type: string + default: Do you want to close the interaction? + formSubmitSuccessMessage: + type: string + default: Form properly submitted + formSubmitFailureMessage: + type: string + default: Form submission error + noteTitle: + type: string + default: Note + placeholderMessage: + type: string + default: Type a message + closingMessage: + type: string + default: Thanks you for your time! + closingMessageButton: + type: string + default: Send + skipMessageButton: + type: string + default: Skip + conditionAgreement: + type: boolean + default: false + enableRating: + type: boolean + default: false + enableFeedback: + type: boolean + default: false + enableSendButton: + type: boolean + default: false + feedbackTitle: + type: string + default: Feedback + ratingType: + type: string + enum: + - star + - thumb + default: star + ratingStarsNumber: + type: integer + default: 5 + onlineForm: + type: string + default: '{"items":[{"type":"input","variable":null,"config":{"placeholder":"name","type":"text","required":true},"props":{"title":"name","helpText":""}},{"type":"input","props":{"title":"email","helpText":""},"config":{"required":true,"placeholder":"email","type":"email"},"variable":null}],"fromKey":1}' + offlineForm: + type: string + default: '{"items":[{"type":"input","props":{"title":"email","helpText":""},"config":{"required":true,"placeholder":"email","type":"email"},"variable":null},{"type":"textarea","config":{"placeholder":"Comments"},"props":{"title":"Comments","helpText":"Leave + your comments"}}],"fromKey":0}' + token: + type: string + default: 6NYikaKczHDsD4EV1s72ZpdP7g2lv37W + autoclose: + type: boolean + default: true + enableCustomerWriting: + type: boolean + default: false + forwardTranscript: + type: boolean + default: false + forwardTranscriptMessage: + type: string + forwardOffline: + type: boolean + default: false + forwardOfflineAddress: + type: string + waitingTitle: + type: string + default: All of our agents are busy at this time. Your chat is very important to + us. + waitingMessage: + type: string + default: Please hold and we will answer your request as soon as possible. + offlineMessageSubject: + type: string + default: New offline message from websiteName + offlineMessageBody: + type: string + default: "You received a new offline message from websiteName. Here you can find + the details of the request:" + enableUnmanagedNote: + type: boolean + default: true + unmanagedMessage: + type: string + default: Your request has not been processed. + skipUnmanaged: + type: string + default: Skip + sendUnmanaged: + type: string + default: Send + enableCustomerAttachment: + type: boolean + default: false + enableCustomerCheckmarks: + type: boolean + default: false + agentAvatar: + type: string + showAgentAvatar: + type: boolean + default: false + timezone: + type: string + notificationTemplate: + type: string + default: "Account: {{account.name}}
{{#queue}}Queue: + {{queue.name}}
{{/queue}}From : {{from}}" + notificationSound: + type: boolean + default: true + notificationShake: + type: boolean + default: false + hideWhenOffline: + type: boolean + default: false + agentIdentifier: + type: string + default: website_alias + waitForTheAssignedAgent: + type: integer + default: 10 + alignment: + type: string + default: bottom_right + verticalAlignment: + type: integer + default: 30 + messagesAlignment: + type: string + default: alternate + defaultTitle: + type: string + customerAvatar: + type: string + showCustomerAvatar: + type: boolean + default: false + messageFontSize: + type: integer + default: 12 + backgroundColor: + type: string + default: "#fafafa" + queueTransfer: + type: boolean + default: false + queueTransferTimeout: + type: integer + default: 300 + agentTransfer: + type: boolean + default: false + agentTransferTimeout: + type: integer + default: 300 + systemAlias: + type: string + default: System + systemAvatar: + type: string + mandatoryDispositionPauseId: + type: integer + description: Status to put when mandatory disposition is enabled + mandatoryDisposition: + type: boolean + description: Enabled/disables mandatory dispo on a queue + default: false + vidaooEscalation: + type: boolean + default: false + vidaooApiKey: + type: string + default: false + required: + - name + - address + - key + - color + - color_button + - textColor + - backgroundColor + responses: + "201": + description: ChatWebsite successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/ChatWebsite" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /chat/websites/describe: + get: + summary: Gets table info about Websites + security: + - BearerAuth: [] + operationId: describeChatWebsite + tags: + - Chat Websites + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/websites/{id}": + get: + summary: Gets a single Website + security: + - BearerAuth: [] + operationId: getChatWebsiteById + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite to get + responses: + "200": + description: ChatWebsite with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/ChatWebsite" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatWebsite not found + put: + summary: Update an existing Website + security: + - BearerAuth: [] + operationId: updateChatWebsiteById + tags: + - Chat Websites + requestBody: + required: true + description: data for updating a new Website + content: + application/json: + schema: + type: object + properties: + name: + type: string + address: + type: string + description: + type: string + mapKey: + type: string + default: email + mapKeyOffline: + type: string + default: email + key: + type: string + agentAlias: + type: string + default: Agent + customerAlias: + type: string + default: Me + color: + type: string + default: "#011F6A" + color_button: + type: string + default: "#011F6A" + textColor: + type: string + default: "#ffffff" + fontSize: + type: integer + default: 15 + remote: + type: string + animation: + type: boolean + default: true + header_shape: + type: string + enum: + - rounded + - squared + default: rounded + header_online: + type: string + default: We are here! + start_chat_button: + type: string + default: Chat + offline_chat_button: + type: string + default: Send + header_offline: + type: string + default: Contact us + download_transcript: + type: boolean + default: true + timeout: + type: integer + default: 0 + whiteLabel: + type: string + default: Powered by xCALLY + defaultWhiteLabel: + type: boolean + default: true + sitepic: + type: string + closingQuestion: + type: string + default: Do you want to close the interaction? + formSubmitSuccessMessage: + type: string + default: Form properly submitted + formSubmitFailureMessage: + type: string + default: Form submission error + noteTitle: + type: string + default: Note + placeholderMessage: + type: string + default: Type a message + closingMessage: + type: string + default: Thanks you for your time! + closingMessageButton: + type: string + default: Send + skipMessageButton: + type: string + default: Skip + conditionAgreement: + type: boolean + default: false + enableRating: + type: boolean + default: false + enableFeedback: + type: boolean + default: false + enableSendButton: + type: boolean + default: false + feedbackTitle: + type: string + default: Feedback + ratingType: + type: string + enum: + - star + - thumb + default: star + ratingStarsNumber: + type: integer + default: 5 + onlineForm: + type: string + default: '{"items":[{"type":"input","variable":null,"config":{"placeholder":"name","type":"text","required":true},"props":{"title":"name","helpText":""}},{"type":"input","props":{"title":"email","helpText":""},"config":{"required":true,"placeholder":"email","type":"email"},"variable":null}],"fromKey":1}' + offlineForm: + type: string + default: '{"items":[{"type":"input","props":{"title":"email","helpText":""},"config":{"required":true,"placeholder":"email","type":"email"},"variable":null},{"type":"textarea","config":{"placeholder":"Comments"},"props":{"title":"Comments","helpText":"Leave + your comments"}}],"fromKey":0}' + token: + type: string + default: sUS2aAfQTKplrp7C7e7Y0msoJi1Tvt11 + autoclose: + type: boolean + default: true + enableCustomerWriting: + type: boolean + default: false + forwardTranscript: + type: boolean + default: false + forwardTranscriptMessage: + type: string + forwardOffline: + type: boolean + default: false + forwardOfflineAddress: + type: string + waitingTitle: + type: string + default: All of our agents are busy at this time. Your chat is very important to + us. + waitingMessage: + type: string + default: Please hold and we will answer your request as soon as possible. + offlineMessageSubject: + type: string + default: New offline message from websiteName + offlineMessageBody: + type: string + default: "You received a new offline message from websiteName. Here you can find + the details of the request:" + enableUnmanagedNote: + type: boolean + default: true + unmanagedMessage: + type: string + default: Your request has not been processed. + skipUnmanaged: + type: string + default: Skip + sendUnmanaged: + type: string + default: Send + enableCustomerAttachment: + type: boolean + default: false + enableCustomerCheckmarks: + type: boolean + default: false + agentAvatar: + type: string + showAgentAvatar: + type: boolean + default: false + timezone: + type: string + notificationTemplate: + type: string + default: "Account: {{account.name}}
{{#queue}}Queue: + {{queue.name}}
{{/queue}}From : {{from}}" + notificationSound: + type: boolean + default: true + notificationShake: + type: boolean + default: false + hideWhenOffline: + type: boolean + default: false + agentIdentifier: + type: string + default: website_alias + waitForTheAssignedAgent: + type: integer + default: 10 + alignment: + type: string + default: bottom_right + verticalAlignment: + type: integer + default: 30 + messagesAlignment: + type: string + default: alternate + defaultTitle: + type: string + customerAvatar: + type: string + showCustomerAvatar: + type: boolean + default: false + messageFontSize: + type: integer + default: 12 + backgroundColor: + type: string + default: "#fafafa" + queueTransfer: + type: boolean + default: false + queueTransferTimeout: + type: integer + default: 300 + agentTransfer: + type: boolean + default: false + agentTransferTimeout: + type: integer + default: 300 + systemAlias: + type: string + default: System + systemAvatar: + type: string + mandatoryDispositionPauseId: + type: integer + description: Status to put when mandatory disposition is enabled + mandatoryDisposition: + type: boolean + description: Enabled/disables mandatory dispo on a queue + default: false + vidaooEscalation: + type: boolean + default: false + vidaooApiKey: + type: string + default: false + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatWebsite not found + "/chat/websites/{id}/dispositions": + get: + summary: Gets account dispositions + security: + - BearerAuth: [] + operationId: getDispositions + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new disposition + security: + - BearerAuth: [] + operationId: addDisposition + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes canned answers from account + security: + - BearerAuth: [] + operationId: removeDispositions + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/websites/{id}/canned_answers": + get: + summary: Gets account canned answers + security: + - BearerAuth: [] + operationId: getAnswers + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new canned answer + security: + - BearerAuth: [] + operationId: addAnswer + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes canned answers from account + security: + - BearerAuth: [] + operationId: removeAnswers + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/websites/{id}/logo": + get: + summary: Get logo + operationId: getLogo + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite + responses: + "200": + description: success + content: + application/json: + schema: + type: object + post: + summary: Add logo + security: + - BearerAuth: [] + operationId: postaddLogo + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite + requestBody: + required: true + description: data for uploading a file + content: + multipart/form-data: + schema: + type: object + properties: + file: + type: string + format: binary + responses: + "201": + description: success. + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/websites/{id}/avatar": + get: + summary: Get avatar + operationId: getAvatar + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite + responses: + "200": + description: success + content: + application/json: + schema: + type: object + post: + summary: Add avatar + security: + - BearerAuth: [] + operationId: postaddAvatar + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite + requestBody: + required: true + description: data for uploading a file + content: + multipart/form-data: + schema: + type: object + properties: + file: + type: string + format: binary + responses: + "201": + description: success. + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/websites/{id}/customer_avatar": + get: + summary: Get Customer Avatar + operationId: getCustomerAvatar + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite + responses: + "200": + description: success + content: + application/json: + schema: + type: object + post: + summary: Add customer avatar + security: + - BearerAuth: [] + operationId: postaddCustomerAvatar + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite + requestBody: + required: true + description: data for uploading a file + content: + multipart/form-data: + schema: + type: object + properties: + file: + type: string + format: binary + responses: + "201": + description: success. + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/websites/{id}/system_avatar": + get: + summary: Get System Avatar + operationId: getSystemAvatar + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite + responses: + "200": + description: success + content: + application/json: + schema: + type: object + post: + summary: Add system avatar + security: + - BearerAuth: [] + operationId: postaddSystemAvatar + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite + requestBody: + required: true + description: data for uploading a file + content: + multipart/form-data: + schema: + type: object + properties: + file: + type: string + format: binary + responses: + "201": + description: success. + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/websites/{id}/interactions": + get: + summary: Gets Website Interactions + security: + - BearerAuth: [] + operationId: getInteractions + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new interactions + security: + - BearerAuth: [] + operationId: addInteraction + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/websites/{id}/snippet": + get: + summary: Gets Website Snippet + operationId: getSnippet + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "/chat/websites/{id}/applications": + get: + summary: Gets Website Applications + security: + - BearerAuth: [] + operationId: getApplications + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new applications + security: + - BearerAuth: [] + operationId: addApplications + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/websites/{id}/proactive_actions": + get: + summary: Gets Website Proactive Actions + security: + - BearerAuth: [] + operationId: getProactiveActions + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new Proactive Actions + security: + - BearerAuth: [] + operationId: addProactiveActions + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/websites/{id}/fields": + get: + summary: Gets Website Fields + operationId: getFields + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "/chat/websites/{id}/offline_messages": + get: + summary: Gets Website Offline Messages + security: + - BearerAuth: [] + operationId: getOfflineMessages + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/websites/{id}/users": + get: + summary: Gets agents from website + security: + - BearerAuth: [] + operationId: getAgents + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add agents to a website + security: + - BearerAuth: [] + operationId: addAgents + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes agents from a website + security: + - BearerAuth: [] + operationId: removeAgents + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/chat/websites/{id}/notify": + post: + summary: Notify new message + operationId: notify + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite + responses: + "201": + description: successfully created + "/chat/websites/{id}/offline": + post: + summary: Offline message + operationId: offline + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite + responses: + "201": + description: successfully created + "/chat/websites/{id}/destroy_many": + delete: + summary: Destroy an existing Website + security: + - BearerAuth: [] + operationId: deleteChatWebsiteById + tags: + - Chat Websites + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChatWebsite to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ChatWebsite not found + /cloudProviders: + get: + summary: Gets a list of CloudProviders + security: + - BearerAuth: [] + operationId: listAllCloudProvider + tags: + - CloudProviders + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each CloudProvider + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of CloudProviders + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/CloudProvider" + "206": + description: Partial (paged) collection of CloudProviders + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/CloudProvider" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new CloudProvider + security: + - BearerAuth: [] + operationId: createCloudProvider + tags: + - CloudProviders + requestBody: + required: true + description: data for creating a new CloudProvider + content: + application/json: + schema: + type: object + properties: + name: + type: string + service: + type: string + enum: + - AmazonAWS + - Google + data1: + type: string + data2: + type: string + required: + - name + - service + responses: + "201": + description: CloudProvider successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/CloudProvider" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/cloudProviders/{id}": + get: + summary: Gets a single CloudProvider + security: + - BearerAuth: [] + operationId: getCloudProviderById + tags: + - CloudProviders + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CloudProvider to get + responses: + "200": + description: CloudProvider with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/CloudProvider" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: CloudProvider not found + put: + summary: Update an existing CloudProvider + security: + - BearerAuth: [] + operationId: updateCloudProviderById + tags: + - CloudProviders + requestBody: + required: true + description: data for updating a new CloudProvider + content: + application/json: + schema: + type: object + properties: + name: + type: string + service: + type: string + enum: + - AmazonAWS + - Google + data1: + type: string + data2: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CloudProvider to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: CloudProvider not found + "/cloudProviders/{id}/destroy_many": + delete: + summary: Destroy an existing CloudProvider + security: + - BearerAuth: [] + operationId: deleteCloudProviderById + tags: + - CloudProviders + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CloudProvider to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: CloudProvider not found + /cm/companies: + get: + summary: Gets a list of Companies + security: + - BearerAuth: [] + operationId: listAllCmCompany + tags: + - Cm Companies + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each CmCompany + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Companies + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/CmCompany" + "206": + description: Partial (paged) collection of Companies + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/CmCompany" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Company + security: + - BearerAuth: [] + operationId: createCmCompany + tags: + - Cm Companies + requestBody: + required: true + description: data for creating a new Company + content: + application/json: + schema: + type: object + properties: + name: + type: string + vat: + type: string + companyId: + type: string + website: + type: string + phone: + type: string + fax: + type: string + type: + type: string + street: + type: string + postalCode: + type: string + city: + type: string + country: + type: string + email: + type: string + emailDomain: + type: string + sStreet: + type: string + sPostalCode: + type: string + sCity: + type: string + sCountry: + type: string + description: + type: string + required: + - name + responses: + "201": + description: CmCompany successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/CmCompany" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /cm/companies/describe: + get: + summary: Gets table info about Companies + security: + - BearerAuth: [] + operationId: describeCmCompany + tags: + - Cm Companies + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/cm/companies/{id}": + get: + summary: Gets a single Company + security: + - BearerAuth: [] + operationId: getCmCompanyById + tags: + - Cm Companies + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmCompany to get + responses: + "200": + description: CmCompany with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/CmCompany" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: CmCompany not found + put: + summary: Update an existing Company + security: + - BearerAuth: [] + operationId: updateCmCompanyById + tags: + - Cm Companies + requestBody: + required: true + description: data for updating a new Company + content: + application/json: + schema: + type: object + properties: + name: + type: string + vat: + type: string + companyId: + type: string + website: + type: string + phone: + type: string + fax: + type: string + type: + type: string + street: + type: string + postalCode: + type: string + city: + type: string + country: + type: string + email: + type: string + emailDomain: + type: string + sStreet: + type: string + sPostalCode: + type: string + sCity: + type: string + sCountry: + type: string + description: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmCompany to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: CmCompany not found + "/cm/companies/{id}/contacts": + get: + summary: Gets List Contacts + security: + - BearerAuth: [] + operationId: getContacts + tags: + - Cm Companies + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmCompany + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new contacts + security: + - BearerAuth: [] + operationId: addContacts + tags: + - Cm Companies + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmCompany + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/cm/companies/{id}/destroy_many": + delete: + summary: Destroy an existing Company + security: + - BearerAuth: [] + operationId: deleteCmCompanyById + tags: + - Cm Companies + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmCompany to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: CmCompany not found + /cm/contacts: + get: + summary: Gets a list of Contacts + security: + - BearerAuth: [] + operationId: listAllCmContact + tags: + - Cm Contacts + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each CmContact + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Contacts + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/CmContact" + "206": + description: Partial (paged) collection of Contacts + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/CmContact" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /cm/contacts/describe: + get: + summary: Gets table info about Contacts + security: + - BearerAuth: [] + operationId: describeCmContact + tags: + - Cm Contacts + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/cm/contacts/{id}": + get: + summary: Gets a single Contact + security: + - BearerAuth: [] + operationId: show + tags: + - Cm Contacts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmContact + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + put: + summary: Update a single Contact + security: + - BearerAuth: [] + operationId: update + tags: + - Cm Contacts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmContact + responses: + "200": + description: successfully updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/cm/contacts/{id}/tags": + get: + summary: Gets configurations tags + security: + - BearerAuth: [] + operationId: getTags + tags: + - Cm Contacts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmContact + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Sets new tags + security: + - BearerAuth: [] + operationId: setTags + tags: + - Cm Contacts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmContact + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/cm/contacts/{id}/hoppers": + get: + summary: Gets contact hoppers + security: + - BearerAuth: [] + operationId: getHoppers + tags: + - Cm Contacts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmContact + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/cm/contacts/{id}/hopper_histories": + get: + summary: Gets contact hopper histories + security: + - BearerAuth: [] + operationId: getHopperHistories + tags: + - Cm Contacts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmContact + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/cm/contacts/{id}/hopper_finals": + get: + summary: Gets contact hopper finals + security: + - BearerAuth: [] + operationId: getHopperFinals + tags: + - Cm Contacts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmContact + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/cm/contacts/{id}/jscripty_sessions": + get: + summary: Gets contact hopper blacks + security: + - BearerAuth: [] + operationId: getJscriptySessions + tags: + - Cm Contacts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmContact + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /cm/contacts/merge: + post: + summary: Merge Contact + security: + - BearerAuth: [] + operationId: merge + tags: + - Cm Contacts + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /cm/contacts/: + post: + summary: Create Contact + security: + - BearerAuth: [] + operationId: create + tags: + - Cm Contacts + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /cm/contacts/create_many: + post: + summary: Create Contacts + security: + - BearerAuth: [] + operationId: bulkCreate + tags: + - Cm Contacts + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /cm/contacts/upload: + post: + summary: Upload csv + security: + - BearerAuth: [] + operationId: postupload + tags: + - Cm contacts + requestBody: + required: true + description: data for uploading a file + content: + multipart/form-data: + schema: + type: object + properties: + file: + type: string + format: binary + responses: + "201": + description: success. + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/cm/contacts/upload/{id}": + post: + summary: Import new contacts by csv + security: + - BearerAuth: [] + operationId: import + tags: + - Cm contacts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmContact + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/cm/contacts/{id}/destroy_many": + delete: + summary: Destroy an existing Contact + security: + - BearerAuth: [] + operationId: deleteCmContactById + tags: + - Cm Contacts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmContact to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: CmContact not found + /cm/custom_fields: + get: + summary: Gets a list of Custom Fields + security: + - BearerAuth: [] + operationId: listAllCmCustomField + tags: + - Cm Custom Fields + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each CmCustomField + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Custom Fields + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/CmCustomField" + "206": + description: Partial (paged) collection of Custom Fields + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/CmCustomField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/cm/custom_fields/{id}": + get: + summary: Gets a single Custom Field + security: + - BearerAuth: [] + operationId: getCmCustomFieldById + tags: + - Cm Custom Fields + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmCustomField to get + responses: + "200": + description: CmCustomField with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/CmCustomField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: CmCustomField not found + put: + summary: Update an existing Custom Field + security: + - BearerAuth: [] + operationId: updateCmCustomFieldById + tags: + - Cm Custom Fields + requestBody: + required: true + description: data for updating a new Custom Field + content: + application/json: + schema: + type: object + properties: + name: + type: string + alias: + type: string + type: + type: string + values: + type: string + required: + type: boolean + default: false + clickToAction: + type: boolean + default: false + actionType: + type: string + enum: + - voice + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmCustomField to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: CmCustomField not found + /cm/custom_fields/: + post: + summary: Create a new custom field + security: + - BearerAuth: [] + operationId: create + tags: + - Custom Fields + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/cm/custom_fields/{id}/destroy_many": + delete: + summary: Destroy an existing Custom Field + security: + - BearerAuth: [] + operationId: deleteCmCustomFieldById + tags: + - Cm Custom Fields + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmCustomField to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: CmCustomField not found + /cm/hopper: + get: + summary: Gets a list of Hopper + security: + - BearerAuth: [] + operationId: listAllCmHopper + tags: + - Cm Hopper + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each CmHopper + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Hopper + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/CmHopper" + "206": + description: Partial (paged) collection of Hopper + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/CmHopper" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Hopper + security: + - BearerAuth: [] + operationId: createCmHopper + tags: + - Cm Hopper + requestBody: + required: true + description: data for creating a new Hopper + content: + application/json: + schema: + type: object + properties: + phone: + type: string + active: + type: boolean + default: false + scheduledat: + type: string + default: 2020-11-27 10:41:25 + countbusyretry: + type: integer + default: 0 + countcongestionretry: + type: integer + default: 0 + countnoanswerretry: + type: integer + default: 0 + callback: + type: boolean + default: false + callbackuniqueid: + type: string + default: null + callbackat: + type: string + priority: + type: integer + default: 2 + recallme: + type: boolean + default: false + ContactId: + type: integer + ListId: + type: integer + UserId: + type: integer + VoiceQueueId: + type: integer + CampaignId: + type: integer + countnosuchnumberretry: + type: integer + default: 0 + countdropretry: + type: integer + default: 0 + countabandonedretry: + type: integer + default: 0 + countmachineretry: + type: integer + default: 0 + countagentrejectretry: + type: integer + default: 0 + required: + - phone + responses: + "201": + description: CmHopper successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/CmHopper" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /cm/hopper/describe: + get: + summary: Gets table info about Hopper + security: + - BearerAuth: [] + operationId: describeCmHopper + tags: + - Cm Hopper + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /cm/hopper/opencontacts: + get: + summary: Gets Open Contacts + security: + - BearerAuth: [] + operationId: getOpenContacts + tags: + - Cm Hopper + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/cm/hopper/{id}": + get: + summary: Gets a single Hopper + security: + - BearerAuth: [] + operationId: getCmHopperById + tags: + - Cm Hopper + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmHopper to get + responses: + "200": + description: CmHopper with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/CmHopper" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: CmHopper not found + put: + summary: Update an existing Hopper + security: + - BearerAuth: [] + operationId: updateCmHopperById + tags: + - Cm Hopper + requestBody: + required: true + description: data for updating a new Hopper + content: + application/json: + schema: + type: object + properties: + phone: + type: string + active: + type: boolean + default: false + scheduledat: + type: string + default: 2020-11-27 10:41:25 + countbusyretry: + type: integer + default: 0 + countcongestionretry: + type: integer + default: 0 + countnoanswerretry: + type: integer + default: 0 + callback: + type: boolean + default: false + callbackuniqueid: + type: string + default: null + callbackat: + type: string + priority: + type: integer + default: 2 + recallme: + type: boolean + default: false + ContactId: + type: integer + ListId: + type: integer + UserId: + type: integer + VoiceQueueId: + type: integer + CampaignId: + type: integer + countnosuchnumberretry: + type: integer + default: 0 + countdropretry: + type: integer + default: 0 + countabandonedretry: + type: integer + default: 0 + countmachineretry: + type: integer + default: 0 + countagentrejectretry: + type: integer + default: 0 + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmHopper to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: CmHopper not found + delete: + summary: Delete Hopper + security: + - BearerAuth: [] + operationId: destroy + tags: + - Cm Hopper + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmHopper + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /cm/hopper/preview: + post: + summary: Gets Preview Dialer Contacts + security: + - BearerAuth: [] + operationId: getPreview + tags: + - Cm Hopper + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /cm/hopper_black: + get: + summary: Gets a list of Hopper Black + security: + - BearerAuth: [] + operationId: listAllCmHopperBlack + tags: + - Cm Hopper Black + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each CmHopperBlack + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Hopper Black + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/CmHopperBlack" + "206": + description: Partial (paged) collection of Hopper Black + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/CmHopperBlack" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /cm/hopper_black/describe: + get: + summary: Gets table info about Hopper Black + security: + - BearerAuth: [] + operationId: describeCmHopperBlack + tags: + - Cm Hopper Black + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/cm/hopper_black/{id}": + get: + summary: Gets a single Hopper Black + security: + - BearerAuth: [] + operationId: getCmHopperBlackById + tags: + - Cm Hopper Black + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmHopperBlack to get + responses: + "200": + description: CmHopperBlack with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/CmHopperBlack" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: CmHopperBlack not found + put: + summary: Update an existing Hopper Black + security: + - BearerAuth: [] + operationId: updateCmHopperBlackById + tags: + - Cm Hopper Black + requestBody: + required: true + description: data for updating a new Hopper Black + content: + application/json: + schema: + type: object + properties: + phone: + type: string + ContactId: + type: integer + ListId: + type: integer + VoiceQueueId: + type: integer + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmHopperBlack to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: CmHopperBlack not found + "/cm/hopper_black/{id}/destroy_many": + delete: + summary: Destroy an existing Hopper Black + security: + - BearerAuth: [] + operationId: deleteCmHopperBlackById + tags: + - Cm Hopper Black + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmHopperBlack to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: CmHopperBlack not found + /cm/hopper_final: + get: + summary: Gets a list of HopperFinal + security: + - BearerAuth: [] + operationId: listAllCmHopperFinal + tags: + - Cm Hopper Final + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each CmHopperFinal + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of HopperFinal + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/CmHopperFinal" + "206": + description: Partial (paged) collection of HopperFinal + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/CmHopperFinal" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /cm/hopper_final/describe: + get: + summary: Gets table info about HopperFinal + security: + - BearerAuth: [] + operationId: describeCmHopperFinal + tags: + - Cm Hopper Final + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/cm/hopper_final/{id}": + get: + summary: Gets a single HopperFinal + security: + - BearerAuth: [] + operationId: getCmHopperFinalById + tags: + - Cm Hopper Final + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmHopperFinal to get + responses: + "200": + description: CmHopperFinal with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/CmHopperFinal" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: CmHopperFinal not found + put: + summary: Update a single hopper final + security: + - BearerAuth: [] + operationId: update + tags: + - Cm Hopper Final + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmHopperFinal + responses: + "200": + description: successfully updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/cm/hopper_final/voice/queue/countAttributes/{id}": + get: + summary: Return number contacts for attributes + security: + - BearerAuth: [] + operationId: countContactsQueueCampaignHopperFinal + tags: + - Cm Hopper Final + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmHopperFinal + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/cm/hopper_final/campaign/countAttributes/{id}": + get: + summary: Return number contacts for attributes + security: + - BearerAuth: [] + operationId: countContactsIvrCampaignHopperFinal + tags: + - Cm Hopper Final + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmHopperFinal + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/cm/hopper_final/voice/queue/moveContacts/{id}": + post: + summary: Move contacts in hopper + security: + - BearerAuth: [] + operationId: moveContactsQueueCampaignHopperFinal + tags: + - Cm Hopper Final + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmHopperFinal + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/cm/hopper_final/voice/campaign/moveContacts/{id}": + post: + summary: Move contacts in hopper + security: + - BearerAuth: [] + operationId: moveContactsIvrCampaignHopperFinal + tags: + - Cm Hopper Final + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmHopperFinal + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /cm/hopper_final/checkContactHopper: + post: + summary: Check if contact is in hopper + security: + - BearerAuth: [] + operationId: checkContactHopper + tags: + - Cm Hopper Final + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /cm/hopper_history: + get: + summary: Gets a list of HopperHistory + security: + - BearerAuth: [] + operationId: listAllCmHopperHistory + tags: + - Cm Hopper History + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each CmHopperHistory + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of HopperHistory + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/CmHopperHistory" + "206": + description: Partial (paged) collection of HopperHistory + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/CmHopperHistory" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new HopperHistory + security: + - BearerAuth: [] + operationId: createCmHopperHistory + tags: + - Cm Hopper History + requestBody: + required: true + description: data for creating a new HopperHistory + content: + application/json: + schema: + type: object + properties: + state: + type: integer + statedesc: + type: string + scheduledat: + type: string + countbusyretry: + type: integer + default: 0 + countcongestionretry: + type: integer + default: 0 + countnoanswerretry: + type: integer + default: 0 + countglobal: + type: integer + default: 0 + uniqueid: + type: string + originatecalleridnum: + type: string + originatecalleridname: + type: string + calleridnum: + type: string + calleridname: + type: string + starttime: + type: string + responsetime: + type: string + answertime: + type: string + droptime: + type: string + endtime: + type: string + ringtime: + type: integer + default: 0 + holdtime: + type: integer + default: 0 + talktime: + type: integer + default: 0 + followuptime: + type: integer + default: 0 + dropreason: + type: string + campaign: + type: string + campaigntype: + type: string + membername: + type: string + reason: + type: string + amd: + type: boolean + default: false + fax: + type: boolean + default: false + callback: + type: boolean + default: false + callbackuniqueid: + type: string + default: null + callbackat: + type: string + recallme: + type: boolean + default: false + editedat: + type: string + edited: + type: boolean + default: false + countnosuchnumberretry: + type: integer + default: 0 + countdropretry: + type: integer + default: 0 + countabandonedretry: + type: integer + default: 0 + countmachineretry: + type: integer + default: 0 + countagentrejectretry: + type: integer + default: 0 + responses: + "201": + description: CmHopperHistory successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/CmHopperHistory" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /cm/hopper_history/describe: + get: + summary: Gets table info about HopperHistory + security: + - BearerAuth: [] + operationId: describeCmHopperHistory + tags: + - Cm Hopper History + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/cm/hopper_history/{id}": + get: + summary: Gets a single HopperHistory + security: + - BearerAuth: [] + operationId: getCmHopperHistoryById + tags: + - Cm Hopper History + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmHopperHistory to get + responses: + "200": + description: CmHopperHistory with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/CmHopperHistory" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: CmHopperHistory not found + put: + summary: Update a single hopper history + security: + - BearerAuth: [] + operationId: update + tags: + - Cm Hopper History + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmHopperHistory + responses: + "200": + description: successfully updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /cm/lists: + get: + summary: Gets a list of Lists + security: + - BearerAuth: [] + operationId: listAllCmList + tags: + - Cm Lists + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each CmList + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Lists + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/CmList" + "206": + description: Partial (paged) collection of Lists + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/CmList" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new List + security: + - BearerAuth: [] + operationId: createCmList + tags: + - Cm Lists + requestBody: + required: true + description: data for creating a new List + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + dialPrefix: + type: string + required: + - name + responses: + "201": + description: CmList successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/CmList" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /cm/lists/describe: + get: + summary: Gets table info about Lists + security: + - BearerAuth: [] + operationId: describeCmList + tags: + - Cm Lists + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/cm/lists/{id}": + get: + summary: Gets a single List + security: + - BearerAuth: [] + operationId: getCmListById + tags: + - Cm Lists + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmList to get + responses: + "200": + description: CmList with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/CmList" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: CmList not found + put: + summary: Update an existing List + security: + - BearerAuth: [] + operationId: updateCmListById + tags: + - Cm Lists + requestBody: + required: true + description: data for updating a new List + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + dialPrefix: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmList to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: CmList not found + "/cm/lists/{id}/dispositions": + get: + summary: Gets list dispositions + security: + - BearerAuth: [] + operationId: getDispositions + tags: + - Cm Lists + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmList + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new disposition + security: + - BearerAuth: [] + operationId: addDisposition + tags: + - Cm Lists + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmList + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes dispositions from account + security: + - BearerAuth: [] + operationId: removeDispositions + tags: + - Cm Lists + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmList + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/cm/lists/{id}/contacts": + get: + summary: Gets List Contacts + security: + - BearerAuth: [] + operationId: getContacts + tags: + - Cm Lists + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmList + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new contacts + security: + - BearerAuth: [] + operationId: addContacts + tags: + - Cm Lists + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmList + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/cm/lists/{id}/contacts/csv": + get: + summary: Gets CSV List Contacts + security: + - BearerAuth: [] + operationId: getContactsCsv + tags: + - Cm Lists + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmList + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/cm/lists/{id}/fields": + get: + summary: Gets Custom Fields + security: + - BearerAuth: [] + operationId: getCustomFields + tags: + - Cm Lists + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmList + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new custom field + security: + - BearerAuth: [] + operationId: addCustomField + tags: + - Cm Lists + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmList + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/cm/lists/{id}/users": + get: + summary: Gets agents from list + security: + - BearerAuth: [] + operationId: getAgents + tags: + - Cm Lists + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmList + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Adds agents to a list + security: + - BearerAuth: [] + operationId: addAgents + tags: + - Cm Lists + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmList + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes agents from a list + security: + - BearerAuth: [] + operationId: removeAgents + tags: + - Cm Lists + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmList + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/cm/lists/{id}/destroy_many": + delete: + summary: Destroy an existing List + security: + - BearerAuth: [] + operationId: deleteCmListById + tags: + - Cm Lists + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the CmList to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: CmList not found + "/conditions/{id}": + put: + summary: Update an existing Condition + security: + - BearerAuth: [] + operationId: updateConditionById + tags: + - Conditions + requestBody: + required: true + description: data for updating a new Condition + content: + application/json: + schema: + type: object + properties: + name: + type: string + field: + type: string + operator: + type: string + value: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Condition to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Condition not found + "/conditions/{id}/destroy_many": + delete: + summary: Destroy an existing Condition + security: + - BearerAuth: [] + operationId: deleteConditionById + tags: + - Conditions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Condition to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Condition not found + /dashboards: + get: + summary: Gets a list of Dashboards + security: + - BearerAuth: [] + operationId: listAllDashboard + tags: + - Dashboards + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each Dashboard + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Dashboards + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Dashboard" + "206": + description: Partial (paged) collection of Dashboards + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Dashboard" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Dashboard + security: + - BearerAuth: [] + operationId: createDashboard + tags: + - Dashboards + requestBody: + required: true + description: data for creating a new Dashboard + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + required: + - name + responses: + "201": + description: Dashboard successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/Dashboard" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/dashboards/{id}": + get: + summary: Gets a single Dashboard + security: + - BearerAuth: [] + operationId: getDashboardById + tags: + - Dashboards + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Dashboard to get + responses: + "200": + description: Dashboard with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/Dashboard" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Dashboard not found + put: + summary: Update an existing Dashboard + security: + - BearerAuth: [] + operationId: updateDashboardById + tags: + - Dashboards + requestBody: + required: true + description: data for updating a new Dashboard + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Dashboard to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Dashboard not found + "/dashboards/{id}/items": + get: + summary: Gets items + security: + - BearerAuth: [] + operationId: getItems + tags: + - Dashboards + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Dashboard + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new item + security: + - BearerAuth: [] + operationId: addItem + tags: + - Dashboards + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Dashboard + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /dashboards/clone: + post: + summary: Clone an existing Dashboard + security: + - BearerAuth: [] + operationId: cloneDashboard + tags: + - Dashboards + requestBody: + required: true + description: data for creating a new Dashboard + content: + application/json: + schema: + type: object + properties: + id: + type: integer + description: id of the Dashboard to clone + name: + type: string + description: + type: string + required: + - id + responses: + "201": + description: Dashboard successfully cloned. Returns the cloned object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/Dashboard" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/dashboards/{id}/destroy_many": + delete: + summary: Destroy an existing Dashboard + security: + - BearerAuth: [] + operationId: deleteDashboardById + tags: + - Dashboards + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Dashboard to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Dashboard not found + "/dashboards/items/{id}": + get: + summary: Gets a single Dashboard Item + security: + - BearerAuth: [] + operationId: getDashboardItemById + tags: + - Dashboard Items + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the DashboardItem to get + responses: + "200": + description: DashboardItem with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/DashboardItem" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: DashboardItem not found + put: + summary: Update an existing item + security: + - BearerAuth: [] + operationId: update + tags: + - Dashboard Items + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the DashboardItem + responses: + "200": + description: successfully updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /dashboards/items/: + post: + summary: Create dasboard item + security: + - BearerAuth: [] + operationId: create + tags: + - Dashboard Items + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/dashboards/items/{id}/destroy_many": + delete: + summary: Destroy an existing Dashboard Item + security: + - BearerAuth: [] + operationId: deleteDashboardItemById + tags: + - Dashboard Items + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the DashboardItem to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: DashboardItem not found + /dispositions: + get: + summary: Gets a list of Dispositions + security: + - BearerAuth: [] + operationId: listAllDisposition + tags: + - Dispositions + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each Disposition + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Dispositions + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Disposition" + "206": + description: Partial (paged) collection of Dispositions + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Disposition" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Disposition + security: + - BearerAuth: [] + operationId: createDisposition + tags: + - Dispositions + requestBody: + required: true + description: data for creating a new Disposition + content: + application/json: + schema: + type: object + properties: + name: + type: string + level: + type: string + enum: + - first + - second + - third + default: first + description: + type: string + required: + - name + - level + responses: + "201": + description: Disposition successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/Disposition" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/dispositions/{id}": + get: + summary: Gets a single Disposition + security: + - BearerAuth: [] + operationId: getDispositionById + tags: + - Dispositions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Disposition to get + responses: + "200": + description: Disposition with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/Disposition" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Disposition not found + put: + summary: Update an existing Disposition + security: + - BearerAuth: [] + operationId: updateDispositionById + tags: + - Dispositions + requestBody: + required: true + description: data for updating a new Disposition + content: + application/json: + schema: + type: object + properties: + name: + type: string + level: + type: string + enum: + - first + - second + - third + default: first + description: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Disposition to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Disposition not found + "/dispositions/{id}/destroy_many": + delete: + summary: Destroy an existing Disposition + security: + - BearerAuth: [] + operationId: deleteDispositionById + tags: + - Dispositions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Disposition to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Disposition not found + /fax/accounts: + get: + summary: Gets a list of Accounts + security: + - BearerAuth: [] + operationId: listAllFaxAccount + tags: + - Fax Accounts + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each FaxAccount + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Accounts + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/FaxAccount" + "206": + description: Partial (paged) collection of Accounts + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/FaxAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Account + security: + - BearerAuth: [] + operationId: createFaxAccount + tags: + - Fax Accounts + requestBody: + required: true + description: data for creating a new Account + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + ecm: + type: string + enum: + - yes + - no + default: yes + headerinfo: + type: string + default: xCALLY Motion Fax + localstationid: + type: string + minrate: + type: string + enum: + - "2400" + - "4800" + - "7200" + - "9600" + - "12000" + - "14400" + default: "4800" + maxrate: + type: string + enum: + - "2400" + - "4800" + - "7200" + - "9600" + - "12000" + - "14400" + default: "14400" + modem: + type: string + default: v17,v27,v29 + gateway: + type: string + default: no + faxdetect: + type: string + default: no + t38timeout: + type: integer + default: 5000 + tech: + type: string + enum: + - SIP + - IAX + - DADHI + - KHOMP + default: SIP + key: + type: string + notificationTemplate: + type: string + default: "Account: {{account.name}}
{{#queue}}Queue: + {{queue.name}}
{{/queue}}From : {{from}}" + notificationSound: + type: boolean + default: true + notificationShake: + type: boolean + default: false + waitForTheAssignedAgent: + type: integer + default: 10 + queueTransfer: + type: boolean + default: false + queueTransferTimeout: + type: integer + default: 300 + agentTransfer: + type: boolean + default: false + agentTransferTimeout: + type: integer + default: 300 + mandatoryDispositionPauseId: + type: integer + description: Status to put when mandatory disposition is enabled + default: null + mandatoryDisposition: + type: boolean + description: Enabled/disables mandatory dispo on a queue + default: false + required: + - name + - key + responses: + "201": + description: FaxAccount successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/FaxAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /fax/accounts/describe: + get: + summary: Gets table info about Accounts + security: + - BearerAuth: [] + operationId: describeFaxAccount + tags: + - Fax Accounts + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/fax/accounts/{id}": + get: + summary: Gets a single Account + security: + - BearerAuth: [] + operationId: getFaxAccountById + tags: + - Fax Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxAccount to get + responses: + "200": + description: FaxAccount with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/FaxAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FaxAccount not found + put: + summary: Update an existing Account + security: + - BearerAuth: [] + operationId: updateFaxAccountById + tags: + - Fax Accounts + requestBody: + required: true + description: data for updating a new Account + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + ecm: + type: string + enum: + - yes + - no + default: yes + headerinfo: + type: string + default: xCALLY Motion Fax + localstationid: + type: string + minrate: + type: string + enum: + - "2400" + - "4800" + - "7200" + - "9600" + - "12000" + - "14400" + default: "4800" + maxrate: + type: string + enum: + - "2400" + - "4800" + - "7200" + - "9600" + - "12000" + - "14400" + default: "14400" + modem: + type: string + default: v17,v27,v29 + gateway: + type: string + default: no + faxdetect: + type: string + default: no + t38timeout: + type: integer + default: 5000 + tech: + type: string + enum: + - SIP + - IAX + - DADHI + - KHOMP + default: SIP + key: + type: string + notificationTemplate: + type: string + default: "Account: {{account.name}}
{{#queue}}Queue: + {{queue.name}}
{{/queue}}From : {{from}}" + notificationSound: + type: boolean + default: true + notificationShake: + type: boolean + default: false + waitForTheAssignedAgent: + type: integer + default: 10 + queueTransfer: + type: boolean + default: false + queueTransferTimeout: + type: integer + default: 300 + agentTransfer: + type: boolean + default: false + agentTransferTimeout: + type: integer + default: 300 + mandatoryDispositionPauseId: + type: integer + description: Status to put when mandatory disposition is enabled + default: null + mandatoryDisposition: + type: boolean + description: Enabled/disables mandatory dispo on a queue + default: false + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxAccount to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FaxAccount not found + "/fax/accounts/{id}/dispositions": + get: + summary: Gets account dispositions + security: + - BearerAuth: [] + operationId: getDispositions + tags: + - Fax Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new disposition + security: + - BearerAuth: [] + operationId: addDisposition + tags: + - Fax Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes dispositions from account + security: + - BearerAuth: [] + operationId: removeDispositions + tags: + - Fax Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxAccount + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/fax/accounts/{id}/canned_answers": + get: + summary: Gets account canned answers + security: + - BearerAuth: [] + operationId: getAnswers + tags: + - Fax Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new canned answer + security: + - BearerAuth: [] + operationId: addAnswer + tags: + - Fax Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes canned answers from account + security: + - BearerAuth: [] + operationId: removeAnswers + tags: + - Fax Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxAccount + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/fax/accounts/{id}/interactions": + get: + summary: Gets account interactions + security: + - BearerAuth: [] + operationId: getInteractions + tags: + - Fax Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new interactions + security: + - BearerAuth: [] + operationId: addInteraction + tags: + - Fax Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/fax/accounts/{id}/applications": + get: + summary: Gets account pplications + security: + - BearerAuth: [] + operationId: getApplications + tags: + - Fax Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new applications + security: + - BearerAuth: [] + operationId: addApplications + tags: + - Fax Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/fax/accounts/{id}/messages": + get: + summary: Gets account messages + security: + - BearerAuth: [] + operationId: getMessages + tags: + - Fax Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/fax/accounts/{id}/users": + get: + summary: Gets agents from fax account + security: + - BearerAuth: [] + operationId: getAgents + tags: + - Fax Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add agents to a fax account + security: + - BearerAuth: [] + operationId: addAgents + tags: + - Fax Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes agents from a fax account + security: + - BearerAuth: [] + operationId: removeAgents + tags: + - Fax Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxAccount + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /fax/accounts/addaccountapplications: + post: + summary: Creates new account and applications + security: + - BearerAuth: [] + operationId: addAccountApplications + tags: + - Fax Accounts + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /fax/accounts/updateaccountapplications: + post: + summary: Update account and applications + security: + - BearerAuth: [] + operationId: updateAccountApplications + tags: + - Fax Accounts + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/fax/accounts/{id}/send": + post: + summary: Send new fax + security: + - BearerAuth: [] + operationId: send + tags: + - Fax Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/fax/accounts/{id}/destroy_many": + delete: + summary: Destroy an existing Account + security: + - BearerAuth: [] + operationId: deleteFaxAccountById + tags: + - Fax Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxAccount to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FaxAccount not found + /fax/applications: + get: + summary: Gets a list of Applications + security: + - BearerAuth: [] + operationId: listAllFaxApplication + tags: + - Fax Applications + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each FaxApplication + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Applications + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/FaxApplication" + "206": + description: Partial (paged) collection of Applications + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/FaxApplication" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Application + security: + - BearerAuth: [] + operationId: createFaxApplication + tags: + - Fax Applications + requestBody: + required: true + description: data for creating a new Application + content: + application/json: + schema: + type: object + properties: + priority: + type: integer + app: + type: string + default: noop + appdata: + type: string + description: + type: string + interval: + type: string + default: "*,*,*,*" + required: + - priority + - app + responses: + "201": + description: FaxApplication successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/FaxApplication" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/fax/applications/{id}": + get: + summary: Gets a single Application + security: + - BearerAuth: [] + operationId: getFaxApplicationById + tags: + - Fax Applications + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxApplication to get + responses: + "200": + description: FaxApplication with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/FaxApplication" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FaxApplication not found + put: + summary: Update an existing Application + security: + - BearerAuth: [] + operationId: updateFaxApplicationById + tags: + - Fax Applications + requestBody: + required: true + description: data for updating a new Application + content: + application/json: + schema: + type: object + properties: + priority: + type: integer + app: + type: string + default: noop + appdata: + type: string + description: + type: string + interval: + type: string + default: "*,*,*,*" + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxApplication to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FaxApplication not found + "/fax/applications/{id}/destroy_many": + delete: + summary: Destroy an existing Application + security: + - BearerAuth: [] + operationId: deleteFaxApplicationById + tags: + - Fax Applications + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxApplication to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FaxApplication not found + /fax/interactions: + get: + summary: Gets a list of Interactions + security: + - BearerAuth: [] + operationId: listAllFaxInteraction + tags: + - Fax Interactions + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each FaxInteraction + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Interactions + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/FaxInteraction" + "206": + description: Partial (paged) collection of Interactions + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/FaxInteraction" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Interaction + security: + - BearerAuth: [] + operationId: createFaxInteraction + tags: + - Fax Interactions + requestBody: + required: true + description: data for creating a new Interaction + content: + application/json: + schema: + type: object + properties: + closed: + type: boolean + default: false + closedAt: + type: string + disposition: + type: string + secondDisposition: + type: string + thirdDisposition: + type: string + note: + type: string + read1stAt: + type: string + fax: + type: string + firstMsgDirection: + type: string + enum: + - in + - out + default: in + lastMsgAt: + type: string + lastMsgDirection: + type: string + enum: + - in + - out + default: in + required: + - firstMsgDirection + - lastMsgDirection + responses: + "201": + description: FaxInteraction successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/FaxInteraction" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /fax/interactions/describe: + get: + summary: Gets table info about Interactions + security: + - BearerAuth: [] + operationId: describeFaxInteraction + tags: + - Fax Interactions + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/fax/interactions/{id}": + get: + summary: Gets a single Interaction + security: + - BearerAuth: [] + operationId: getFaxInteractionById + tags: + - Fax Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxInteraction to get + responses: + "200": + description: FaxInteraction with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/FaxInteraction" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FaxInteraction not found + put: + summary: Update an existing Interaction + security: + - BearerAuth: [] + operationId: updateFaxInteractionById + tags: + - Fax Interactions + requestBody: + required: true + description: data for updating a new Interaction + content: + application/json: + schema: + type: object + properties: + closed: + type: boolean + default: false + closedAt: + type: string + disposition: + type: string + secondDisposition: + type: string + thirdDisposition: + type: string + note: + type: string + read1stAt: + type: string + fax: + type: string + firstMsgDirection: + type: string + enum: + - in + - out + default: in + lastMsgAt: + type: string + lastMsgDirection: + type: string + enum: + - in + - out + default: in + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxInteraction to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FaxInteraction not found + "/fax/interactions/{id}/messages": + get: + summary: Gets interaction messages + security: + - BearerAuth: [] + operationId: getMessages + tags: + - Fax Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxInteraction + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new messages + security: + - BearerAuth: [] + operationId: addMessage + tags: + - Fax Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxInteraction + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/fax/interactions/{id}/download": + get: + summary: Get interactions + security: + - BearerAuth: [] + operationId: download + tags: + - Fax Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxInteraction + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/fax/interactions/{id}/tags": + post: + summary: Add tags to the interaction + security: + - BearerAuth: [] + operationId: addTags + tags: + - Fax Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxInteraction + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes tags from interaction + security: + - BearerAuth: [] + operationId: removeTags + tags: + - Fax Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxInteraction + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/fax/interactions/{id}/destroy_many": + delete: + summary: Destroy an existing Interaction + security: + - BearerAuth: [] + operationId: deleteFaxInteractionById + tags: + - Fax Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxInteraction to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FaxInteraction not found + /fax/messages: + get: + summary: Gets a list of Messages + security: + - BearerAuth: [] + operationId: listAllFaxMessage + tags: + - Fax Messages + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each FaxMessage + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Messages + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/FaxMessage" + "206": + description: Partial (paged) collection of Messages + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/FaxMessage" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /fax/messages/describe: + get: + summary: Gets table info about Messages + security: + - BearerAuth: [] + operationId: describeFaxMessage + tags: + - Fax Messages + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/fax/messages/{id}": + get: + summary: Gets a single Message + security: + - BearerAuth: [] + operationId: getFaxMessageById + tags: + - Fax Messages + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxMessage to get + responses: + "200": + description: FaxMessage with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/FaxMessage" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FaxMessage not found + put: + summary: Update an existing Message + security: + - BearerAuth: [] + operationId: updateFaxMessageById + tags: + - Fax Messages + requestBody: + required: true + description: data for updating a new Message + content: + application/json: + schema: + type: object + properties: + body: + type: string + read: + type: boolean + default: false + direction: + type: string + enum: + - in + - out + default: out + failMessage: + type: string + default: null + readAt: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxMessage to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FaxMessage not found + "/fax/messages/{id}/download": + get: + summary: Get message + security: + - BearerAuth: [] + operationId: download + tags: + - Fax Message + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxMessage + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /fax/messages/: + post: + summary: Create message and send Fax + security: + - BearerAuth: [] + operationId: create + tags: + - Fax Messages + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/fax/messages/{id}/accept": + put: + summary: Accepts message + security: + - BearerAuth: [] + operationId: accept + tags: + - Fax Messages + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxMessage + responses: + "200": + description: successfully updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/fax/messages/{id}/reject": + put: + summary: Rejects message + security: + - BearerAuth: [] + operationId: reject + tags: + - Fax Messages + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxMessage + responses: + "200": + description: successfully updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/fax/messages/{id}/destroy_many": + delete: + summary: Destroy an existing Message + security: + - BearerAuth: [] + operationId: deleteFaxMessageById + tags: + - Fax Messages + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxMessage to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FaxMessage not found + /fax/queues: + get: + summary: Gets a list of Queues + security: + - BearerAuth: [] + operationId: listAllFaxQueue + tags: + - Fax Queues + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each FaxQueue + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Queues + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/FaxQueue" + "206": + description: Partial (paged) collection of Queues + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/FaxQueue" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Queue + security: + - BearerAuth: [] + operationId: createFaxQueue + tags: + - Fax Queues + requestBody: + required: true + description: data for creating a new Queue + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + timeout: + type: integer + strategy: + type: string + enum: + - rrmemory + - beepall + - roundrobin + lastAgent: + type: integer + default: 0 + required: + - name + - timeout + - strategy + responses: + "201": + description: FaxQueue successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/FaxQueue" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /fax/queues/describe: + get: + summary: Gets table info about Queues + security: + - BearerAuth: [] + operationId: describeFaxQueue + tags: + - Fax Queues + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/fax/queues/{id}": + get: + summary: Gets a single Queue + security: + - BearerAuth: [] + operationId: getFaxQueueById + tags: + - Fax Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxQueue to get + responses: + "200": + description: FaxQueue with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/FaxQueue" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FaxQueue not found + put: + summary: Update an existing Queue + security: + - BearerAuth: [] + operationId: updateFaxQueueById + tags: + - Fax Queues + requestBody: + required: true + description: data for updating a new Queue + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + timeout: + type: integer + strategy: + type: string + enum: + - rrmemory + - beepall + - roundrobin + lastAgent: + type: integer + default: 0 + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxQueue to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FaxQueue not found + "/fax/queues/{id}/members": + get: + summary: GetMembers + security: + - BearerAuth: [] + operationId: getMembers + tags: + - Fax Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxQueue + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/fax/queues/{id}/teams": + get: + summary: Gets queues list + security: + - BearerAuth: [] + operationId: getTeams + tags: + - Fax Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxQueue + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add teams to a queue + security: + - BearerAuth: [] + operationId: addTeams + tags: + - Fax Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxQueue + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove teams from a queue + security: + - BearerAuth: [] + operationId: removeTeams + tags: + - Teams + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxQueue + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/fax/queues/{id}/users": + get: + summary: Gets queue agents + security: + - BearerAuth: [] + operationId: getAgents + tags: + - Fax Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxQueue + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add agents to a queue + security: + - BearerAuth: [] + operationId: addAgents + tags: + - Fax Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxQueue + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes agents from a queue + security: + - BearerAuth: [] + operationId: removeAgents + tags: + - Fax Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxQueue + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/fax/queues/{id}/destroy_many": + delete: + summary: Destroy an existing Queue + security: + - BearerAuth: [] + operationId: deleteFaxQueueById + tags: + - Fax Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxQueue to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FaxQueue not found + /fax/reports/queue: + get: + summary: Gets a list of Fax Queue Reports + security: + - BearerAuth: [] + operationId: listAllFaxQueueReport + tags: + - Fax Queue Reports + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each FaxQueueReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Fax Queue Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/FaxQueueReport" + "206": + description: Partial (paged) collection of Fax Queue Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/FaxQueueReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Fax Queue Report + security: + - BearerAuth: [] + operationId: createFaxQueueReport + tags: + - Fax Queue Reports + requestBody: + required: true + description: data for creating a new Fax Queue Report + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + from: + type: string + joinAt: + type: string + leaveAt: + type: string + acceptAt: + type: string + exitAt: + type: string + reason: + type: string + required: + - uniqueid + responses: + "201": + description: FaxQueueReport successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/FaxQueueReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /fax/reports/queue/describe: + get: + summary: Gets table info about Fax Queue Reports + security: + - BearerAuth: [] + operationId: describeFaxQueueReport + tags: + - Fax Queue Reports + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/fax/reports/queue/{id}": + get: + summary: Gets a single Fax Queue Report + security: + - BearerAuth: [] + operationId: getFaxQueueReportById + tags: + - Fax Queue Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxQueueReport to get + responses: + "200": + description: FaxQueueReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/FaxQueueReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FaxQueueReport not found + put: + summary: Update an existing Fax Queue Report + security: + - BearerAuth: [] + operationId: updateFaxQueueReportById + tags: + - Fax Queue Reports + requestBody: + required: true + description: data for updating a new Fax Queue Report + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + from: + type: string + joinAt: + type: string + leaveAt: + type: string + acceptAt: + type: string + exitAt: + type: string + reason: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxQueueReport to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FaxQueueReport not found + "/fax/reports/queue/{id}/destroy_many": + delete: + summary: Destroy an existing Fax Queue Report + security: + - BearerAuth: [] + operationId: deleteFaxQueueReportById + tags: + - Fax Queue Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxQueueReport to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FaxQueueReport not found + /fax/reports/transfer: + get: + summary: Gets a list of Fax Transfer Reports + security: + - BearerAuth: [] + operationId: listAllFaxTransferReport + tags: + - Fax Transfer Reports + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each FaxTransferReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Fax Transfer Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/FaxTransferReport" + "206": + description: Partial (paged) collection of Fax Transfer Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/FaxTransferReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Fax Transfer Report + security: + - BearerAuth: [] + operationId: createFaxTransferReport + tags: + - Fax Transfer Reports + requestBody: + required: true + description: data for creating a new Fax Transfer Report + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + type: + type: string + enum: + - account + - agent + - queue + default: queue + transferredAt: + type: string + default: NOW + required: + - uniqueid + - type + - transferredAt + responses: + "201": + description: FaxTransferReport successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/FaxTransferReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /fax/reports/transfer/describe: + get: + summary: Gets table info about Fax Transfer Reports + security: + - BearerAuth: [] + operationId: describeFaxTransferReport + tags: + - Fax Transfer Reports + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/fax/reports/transfer/{id}": + get: + summary: Gets a single Fax Transfer Report + security: + - BearerAuth: [] + operationId: getFaxTransferReportById + tags: + - Fax Transfer Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxTransferReport to get + responses: + "200": + description: FaxTransferReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/FaxTransferReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FaxTransferReport not found + put: + summary: Update an existing Fax Transfer Report + security: + - BearerAuth: [] + operationId: updateFaxTransferReportById + tags: + - Fax Transfer Reports + requestBody: + required: true + description: data for updating a new Fax Transfer Report + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + type: + type: string + enum: + - account + - agent + - queue + default: queue + transferredAt: + type: string + default: NOW + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxTransferReport to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FaxTransferReport not found + "/fax/reports/transfer/{id}/destroy_many": + delete: + summary: Destroy an existing Fax Transfer Report + security: + - BearerAuth: [] + operationId: deleteFaxTransferReportById + tags: + - Fax Transfer Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FaxTransferReport to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FaxTransferReport not found + /integrations/desk/accounts: + get: + summary: Gets a list of Desk Accounts + security: + - BearerAuth: [] + operationId: listAllDeskAccount + tags: + - Desk Accounts + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each DeskAccount + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Desk Accounts + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/DeskAccount" + "206": + description: Partial (paged) collection of Desk Accounts + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/DeskAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Desk Account + security: + - BearerAuth: [] + operationId: createDeskAccount + tags: + - Desk Accounts + requestBody: + required: true + description: data for creating a new Desk Account + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + username: + type: string + remoteUri: + type: string + authType: + type: string + enum: + - basic + default: basic + password: + type: string + consumerKey: + type: string + consumerSecret: + type: string + token: + type: string + tokenSecret: + type: string + serverUrl: + type: string + type: + type: string + enum: + - integrationTab + - newTab + default: integrationTab + responses: + "201": + description: DeskAccount successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/DeskAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/desk/accounts/{id}": + get: + summary: Gets a single Desk Account + security: + - BearerAuth: [] + operationId: getDeskAccountById + tags: + - Desk Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the DeskAccount to get + responses: + "200": + description: DeskAccount with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/DeskAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: DeskAccount not found + put: + summary: Update an existing Desk Account + security: + - BearerAuth: [] + operationId: updateDeskAccountById + tags: + - Desk Accounts + requestBody: + required: true + description: data for updating a new Desk Account + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + username: + type: string + remoteUri: + type: string + authType: + type: string + enum: + - basic + default: basic + password: + type: string + consumerKey: + type: string + consumerSecret: + type: string + token: + type: string + tokenSecret: + type: string + serverUrl: + type: string + type: + type: string + enum: + - integrationTab + - newTab + default: integrationTab + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the DeskAccount to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: DeskAccount not found + "/integrations/desk/accounts/{id}/configurations": + get: + summary: Gets account configurations + security: + - BearerAuth: [] + operationId: getConfigurations + tags: + - Desk Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the DeskAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new configuration + security: + - BearerAuth: [] + operationId: addConfiguration + tags: + - Desk Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the DeskAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/desk/accounts/{id}/fields": + get: + summary: Gets account fields + security: + - BearerAuth: [] + operationId: getFields + tags: + - Desk Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the DeskAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/desk/accounts/{id}/destroy_many": + delete: + summary: Destroy an existing Desk Account + security: + - BearerAuth: [] + operationId: deleteDeskAccountById + tags: + - Desk Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the DeskAccount to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: DeskAccount not found + /integrations/desk/configurations: + get: + summary: Gets a list of Desk Configurations + security: + - BearerAuth: [] + operationId: listAllDeskConfiguration + tags: + - Desk Configurations + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each DeskConfiguration + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Desk Configurations + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/DeskConfiguration" + "206": + description: Partial (paged) collection of Desk Configurations + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/DeskConfiguration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Desk Configuration + security: + - BearerAuth: [] + operationId: createDeskConfiguration + tags: + - Desk Configurations + requestBody: + required: true + description: data for creating a new Desk Configuration + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + responses: + "201": + description: DeskConfiguration successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/DeskConfiguration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/desk/configurations/{id}": + get: + summary: Gets a single Desk Configuration + security: + - BearerAuth: [] + operationId: getDeskConfigurationById + tags: + - Desk Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the DeskConfiguration to get + responses: + "200": + description: DeskConfiguration with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/DeskConfiguration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: DeskConfiguration not found + put: + summary: Update an existing Desk Configuration + security: + - BearerAuth: [] + operationId: updateDeskConfigurationById + tags: + - Desk Configurations + requestBody: + required: true + description: data for updating a new Desk Configuration + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the DeskConfiguration to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: DeskConfiguration not found + "/integrations/desk/configurations/{id}/fields": + get: + summary: Gets configurations fields + security: + - BearerAuth: [] + operationId: getFields + tags: + - Desk Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the DeskConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/desk/configurations/{id}/subjects": + get: + summary: Gets configurations subjects + security: + - BearerAuth: [] + operationId: getSubjects + tags: + - Desk Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the DeskConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/desk/configurations/{id}/descriptions": + get: + summary: Gets configurations descriptions + security: + - BearerAuth: [] + operationId: getDescriptions + tags: + - Desk Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the DeskConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/desk/configurations/{id}/tags": + get: + summary: Gets configurations tags + security: + - BearerAuth: [] + operationId: getTags + tags: + - Desk Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the DeskConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Sets new tags + security: + - BearerAuth: [] + operationId: setTags + tags: + - Desk Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the DeskConfiguration + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/desk/configurations/{id}/destroy_many": + delete: + summary: Destroy an existing Desk Configuration + security: + - BearerAuth: [] + operationId: deleteDeskConfigurationById + tags: + - Desk Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the DeskConfiguration to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: DeskConfiguration not found + /integrations/desk/fields: + get: + summary: Gets a list of Desk Fields + security: + - BearerAuth: [] + operationId: listAllDeskField + tags: + - Desk Fields + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each DeskField + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Desk Fields + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/DeskField" + "206": + description: Partial (paged) collection of Desk Fields + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/DeskField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Desk Field + security: + - BearerAuth: [] + operationId: createDeskField + tags: + - Desk Fields + requestBody: + required: true + description: data for creating a new Desk Field + content: + application/json: + schema: + type: object + properties: + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + default: string + content: + type: string + key: + type: string + keyType: + type: string + enum: + - string + - variable + - customVariable + keyContent: + type: string + idField: + type: string + nameField: + type: string + customField: + type: boolean + default: true + variableName: + type: string + responses: + "201": + description: DeskField successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/DeskField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/desk/fields/{id}": + get: + summary: Gets a single Desk Field + security: + - BearerAuth: [] + operationId: getDeskFieldById + tags: + - Desk Fields + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the DeskField to get + responses: + "200": + description: DeskField with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/DeskField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: DeskField not found + put: + summary: Update an existing Desk Field + security: + - BearerAuth: [] + operationId: updateDeskFieldById + tags: + - Desk Fields + requestBody: + required: true + description: data for updating a new Desk Field + content: + application/json: + schema: + type: object + properties: + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + default: string + content: + type: string + key: + type: string + keyType: + type: string + enum: + - string + - variable + - customVariable + keyContent: + type: string + idField: + type: string + nameField: + type: string + customField: + type: boolean + default: true + variableName: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the DeskField to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: DeskField not found + "/integrations/desk/fields/{id}/destroy_many": + delete: + summary: Destroy an existing Desk Field + security: + - BearerAuth: [] + operationId: deleteDeskFieldById + tags: + - Desk Fields + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the DeskField to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: DeskField not found + /integrations/dynamics365/accounts: + get: + summary: Gets a list of Dynamics365 Accounts + security: + - BearerAuth: [] + operationId: listAllDynamics365Account + tags: + - Dynamics365 Accounts + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each Dynamics365Account + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Dynamics365 Accounts + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Dynamics365Account" + "206": + description: Partial (paged) collection of Dynamics365 Accounts + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Dynamics365Account" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Dynamics365 Account + security: + - BearerAuth: [] + operationId: createDynamics365Account + tags: + - Dynamics365 Accounts + requestBody: + required: true + description: data for creating a new Dynamics365 Account + content: + application/json: + schema: + type: object + properties: + name: + type: string + username: + type: string + password: + type: string + remoteUri: + type: string + tenantId: + type: string + clientId: + type: string + clientSecret: + type: string + serverUrl: + type: string + description: + type: string + responses: + "201": + description: Dynamics365Account successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/Dynamics365Account" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/dynamics365/accounts/{id}": + get: + summary: Gets a single Dynamics365 Account + security: + - BearerAuth: [] + operationId: getDynamics365AccountById + tags: + - Dynamics365 Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Dynamics365Account to get + responses: + "200": + description: Dynamics365Account with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/Dynamics365Account" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Dynamics365Account not found + put: + summary: Update an existing Dynamics365 Account + security: + - BearerAuth: [] + operationId: updateDynamics365AccountById + tags: + - Dynamics365 Accounts + requestBody: + required: true + description: data for updating a new Dynamics365 Account + content: + application/json: + schema: + type: object + properties: + name: + type: string + username: + type: string + password: + type: string + remoteUri: + type: string + tenantId: + type: string + clientId: + type: string + clientSecret: + type: string + serverUrl: + type: string + description: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Dynamics365Account to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Dynamics365Account not found + "/integrations/dynamics365/accounts/{id}/configurations": + get: + summary: Gets account configurations + security: + - BearerAuth: [] + operationId: getConfigurations + tags: + - Dynamics365 Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Dynamics365Account + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new configuration + security: + - BearerAuth: [] + operationId: addConfiguration + tags: + - Dynamics365 Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Dynamics365Account + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/dynamics365/accounts/{id}/fields": + get: + summary: Gets account fields + security: + - BearerAuth: [] + operationId: getFields + tags: + - Dynamics365 Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Dynamics365Account + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/dynamics365/accounts/{id}/destroy_many": + delete: + summary: Destroy an existing Dynamics365 Account + security: + - BearerAuth: [] + operationId: deleteDynamics365AccountById + tags: + - Dynamics365 Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Dynamics365Account to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Dynamics365Account not found + /integrations/dynamics365/configurations: + get: + summary: Gets a list of Dynamics365 Configurations + security: + - BearerAuth: [] + operationId: listAllDynamics365Configuration + tags: + - Dynamics365 Configurations + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each Dynamics365Configuration + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Dynamics365 Configurations + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Dynamics365Configuration" + "206": + description: Partial (paged) collection of Dynamics365 Configurations + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Dynamics365Configuration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Dynamics365 Configuration + security: + - BearerAuth: [] + operationId: createDynamics365Configuration + tags: + - Dynamics365 Configurations + requestBody: + required: true + description: data for creating a new Dynamics365 Configuration + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + ticketType: + type: string + enum: + - incident + - phonecall + default: incident + responses: + "201": + description: Dynamics365Configuration successfully created. Returns the created + object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/Dynamics365Configuration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/dynamics365/configurations/{id}": + get: + summary: Gets a single Dynamics365 Configuration + security: + - BearerAuth: [] + operationId: getDynamics365ConfigurationById + tags: + - Dynamics365 Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Dynamics365Configuration to get + responses: + "200": + description: Dynamics365Configuration with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/Dynamics365Configuration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Dynamics365Configuration not found + put: + summary: Update an existing Dynamics365 Configuration + security: + - BearerAuth: [] + operationId: updateDynamics365ConfigurationById + tags: + - Dynamics365 Configurations + requestBody: + required: true + description: data for updating a new Dynamics365 Configuration + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + ticketType: + type: string + enum: + - incident + - phonecall + default: incident + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Dynamics365Configuration to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Dynamics365Configuration not found + "/integrations/dynamics365/configurations/{id}/fields": + get: + summary: Gets configurations fields + security: + - BearerAuth: [] + operationId: getFields + tags: + - Dynamics365 Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Dynamics365Configuration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/dynamics365/configurations/{id}/subjects": + get: + summary: Gets configurations subjects + security: + - BearerAuth: [] + operationId: getSubjects + tags: + - Dynamics365 Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Dynamics365Configuration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/dynamics365/configurations/{id}/descriptions": + get: + summary: Gets configurations descriptions + security: + - BearerAuth: [] + operationId: getDescriptions + tags: + - Dynamics365 Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Dynamics365Configuration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/dynamics365/configurations/{id}/destroy_many": + delete: + summary: Destroy an existing Dynamics365 Configuration + security: + - BearerAuth: [] + operationId: deleteDynamics365ConfigurationById + tags: + - Dynamics365 Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Dynamics365Configuration to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Dynamics365Configuration not found + /integrations/dynamics365/fields: + get: + summary: Gets a list of Dynamics365 Fields + security: + - BearerAuth: [] + operationId: listAllDynamics365Field + tags: + - Dynamics365 Fields + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each Dynamics365Field + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Dynamics365 Fields + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Dynamics365Field" + "206": + description: Partial (paged) collection of Dynamics365 Fields + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Dynamics365Field" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Dynamics365 Field + security: + - BearerAuth: [] + operationId: createDynamics365Field + tags: + - Dynamics365 Fields + requestBody: + required: true + description: data for creating a new Dynamics365 Field + content: + application/json: + schema: + type: object + properties: + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + default: string + content: + type: string + key: + type: string + keyType: + type: string + enum: + - string + - variable + - customVariable + keyContent: + type: string + idField: + type: string + nameField: + type: string + customField: + type: boolean + default: true + variableName: + type: string + responses: + "201": + description: Dynamics365Field successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/Dynamics365Field" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/dynamics365/fields/{id}": + get: + summary: Gets a single Dynamics365 Field + security: + - BearerAuth: [] + operationId: getDynamics365FieldById + tags: + - Dynamics365 Fields + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Dynamics365Field to get + responses: + "200": + description: Dynamics365Field with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/Dynamics365Field" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Dynamics365Field not found + put: + summary: Update an existing Dynamics365 Field + security: + - BearerAuth: [] + operationId: updateDynamics365FieldById + tags: + - Dynamics365 Fields + requestBody: + required: true + description: data for updating a new Dynamics365 Field + content: + application/json: + schema: + type: object + properties: + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + default: string + content: + type: string + key: + type: string + keyType: + type: string + enum: + - string + - variable + - customVariable + keyContent: + type: string + idField: + type: string + nameField: + type: string + customField: + type: boolean + default: true + variableName: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Dynamics365Field to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Dynamics365Field not found + "/integrations/dynamics365/fields/{id}/destroy_many": + delete: + summary: Destroy an existing Dynamics365 Field + security: + - BearerAuth: [] + operationId: deleteDynamics365FieldById + tags: + - Dynamics365 Fields + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Dynamics365Field to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Dynamics365Field not found + /integrations: + get: + summary: Gets a list of Integrations + security: + - BearerAuth: [] + operationId: listAllIntegration + tags: + - Integrations + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each Integration + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Integrations + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Integration" + "206": + description: Partial (paged) collection of Integrations + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Integration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Integration + security: + - BearerAuth: [] + operationId: createIntegration + tags: + - Integrations + requestBody: + required: true + description: data for creating a new Integration + content: + application/json: + schema: + type: object + properties: + name: + type: string + version: + type: string + main: + type: string + default: app.js + filename: + type: string + path: + type: string + type: + type: string + size: + type: integer + active: + type: boolean + default: false + author: + type: string + logo: + type: string + state: + type: string + description: + type: string + title: + type: string + remoteUri: + type: string + link: + type: string + default: "#" + required: + - name + - version + responses: + "201": + description: Integration successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/Integration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/{id}": + get: + summary: Gets a single Integration + security: + - BearerAuth: [] + operationId: getIntegrationById + tags: + - Integrations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Integration to get + responses: + "200": + description: Integration with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/Integration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Integration not found + put: + summary: Update an existing Integration + security: + - BearerAuth: [] + operationId: updateIntegrationById + tags: + - Integrations + requestBody: + required: true + description: data for updating a new Integration + content: + application/json: + schema: + type: object + properties: + name: + type: string + version: + type: string + main: + type: string + default: app.js + filename: + type: string + path: + type: string + type: + type: string + size: + type: integer + active: + type: boolean + default: false + author: + type: string + logo: + type: string + state: + type: string + description: + type: string + title: + type: string + remoteUri: + type: string + link: + type: string + default: "#" + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Integration to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Integration not found + "/integrations/{id}/destroy_many": + delete: + summary: Destroy an existing Integration + security: + - BearerAuth: [] + operationId: deleteIntegrationById + tags: + - Integrations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Integration to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Integration not found + /integrations/reports: + get: + summary: Gets a list of Integration Reports + security: + - BearerAuth: [] + operationId: listAllIntegrationReport + tags: + - Integration Reports + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each IntegrationReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Integration Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/IntegrationReport" + "206": + description: Partial (paged) collection of Integration Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/IntegrationReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Integration Report + security: + - BearerAuth: [] + operationId: createIntegrationReport + tags: + - Integration Reports + requestBody: + required: true + description: data for creating a new Integration Report + content: + application/json: + schema: + type: object + properties: + integration: + type: string + eventChannel: + type: string + exitStatus: + type: string + ticketId: + type: string + integrationId: + type: integer + contacts: + type: string + uniqueid: + type: string + calleridnum: + type: string + calleridname: + type: string + queue: + type: string + interface: + type: string + membername: + type: string + agentcalledAt: + type: string + agentconnectAt: + type: string + holdtime: + type: integer + agentcomplete: + type: boolean + default: false + agentcompleteAt: + type: string + talktime: + type: integer + agentacw: + type: boolean + default: false + acwtime: + type: integer + reason: + type: string + agentringnoanswer: + type: boolean + default: false + agentringnoanswerAt: + type: string + agentdump: + type: boolean + default: false + agentdumpAt: + type: string + lastevent: + type: string + channel: + type: string + channelstate: + type: integer + channelstatedesc: + type: string + connectedlinenum: + type: string + connectedlinename: + type: string + language: + type: string + accountcode: + type: string + context: + type: string + exten: + type: string + priority: + type: string + destchannel: + type: string + destchannelstate: + type: integer + destchannelstatedesc: + type: string + destcalleridnum: + type: string + destcalleridname: + type: string + destconnectedlinenum: + type: string + destconnectedlinename: + type: string + destlanguage: + type: string + destaccountcode: + type: string + destcontext: + type: string + destexten: + type: string + destpriority: + type: string + destuniqueid: + type: string + messageId: + type: string + inReplyTo: + type: string + subject: + type: string + from: + type: string + to: + type: string + cc: + type: string + attachment: + type: string + html: + type: string + text: + type: string + status: + type: string + enum: + - SENT + - SENDING + - RECEIVED + - FAILED + url: + type: string + app: + type: string + appdata: + type: string + projectId: + type: integer + responses: + "201": + description: IntegrationReport successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/IntegrationReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /integrations/reports/describe: + get: + summary: Gets table info about Integration Reports + security: + - BearerAuth: [] + operationId: describeIntegrationReport + tags: + - Integration Reports + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/reports/{id}": + get: + summary: Gets a single Integration Report + security: + - BearerAuth: [] + operationId: getIntegrationReportById + tags: + - Integration Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the IntegrationReport to get + responses: + "200": + description: IntegrationReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/IntegrationReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: IntegrationReport not found + put: + summary: Update an existing Integration Report + security: + - BearerAuth: [] + operationId: updateIntegrationReportById + tags: + - Integration Reports + requestBody: + required: true + description: data for updating a new Integration Report + content: + application/json: + schema: + type: object + properties: + integration: + type: string + eventChannel: + type: string + exitStatus: + type: string + ticketId: + type: string + integrationId: + type: integer + contacts: + type: string + uniqueid: + type: string + calleridnum: + type: string + calleridname: + type: string + queue: + type: string + interface: + type: string + membername: + type: string + agentcalledAt: + type: string + agentconnectAt: + type: string + holdtime: + type: integer + agentcomplete: + type: boolean + default: false + agentcompleteAt: + type: string + talktime: + type: integer + agentacw: + type: boolean + default: false + acwtime: + type: integer + reason: + type: string + agentringnoanswer: + type: boolean + default: false + agentringnoanswerAt: + type: string + agentdump: + type: boolean + default: false + agentdumpAt: + type: string + lastevent: + type: string + channel: + type: string + channelstate: + type: integer + channelstatedesc: + type: string + connectedlinenum: + type: string + connectedlinename: + type: string + language: + type: string + accountcode: + type: string + context: + type: string + exten: + type: string + priority: + type: string + destchannel: + type: string + destchannelstate: + type: integer + destchannelstatedesc: + type: string + destcalleridnum: + type: string + destcalleridname: + type: string + destconnectedlinenum: + type: string + destconnectedlinename: + type: string + destlanguage: + type: string + destaccountcode: + type: string + destcontext: + type: string + destexten: + type: string + destpriority: + type: string + destuniqueid: + type: string + messageId: + type: string + inReplyTo: + type: string + subject: + type: string + from: + type: string + to: + type: string + cc: + type: string + attachment: + type: string + html: + type: string + text: + type: string + status: + type: string + enum: + - SENT + - SENDING + - RECEIVED + - FAILED + url: + type: string + app: + type: string + appdata: + type: string + projectId: + type: integer + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the IntegrationReport to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: IntegrationReport not found + "/integrations/reports/{id}/destroy_many": + delete: + summary: Destroy an existing Integration Report + security: + - BearerAuth: [] + operationId: deleteIntegrationReportById + tags: + - Integration Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the IntegrationReport to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: IntegrationReport not found + /intervals: + get: + summary: Gets a list of Intervals + security: + - BearerAuth: [] + operationId: listAllInterval + tags: + - Intervals + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each Interval + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Intervals + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Interval" + "206": + description: Partial (paged) collection of Intervals + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Interval" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Interval + security: + - BearerAuth: [] + operationId: createInterval + tags: + - Intervals + requestBody: + required: true + description: data for creating a new Interval + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + interval: + type: string + responses: + "201": + description: Interval successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/Interval" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/intervals/{id}": + get: + summary: Gets a single Interval + security: + - BearerAuth: [] + operationId: getIntervalById + tags: + - Intervals + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Interval to get + responses: + "200": + description: Interval with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/Interval" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Interval not found + put: + summary: Update an existing Interval + security: + - BearerAuth: [] + operationId: updateIntervalById + tags: + - Intervals + requestBody: + required: true + description: data for updating a new Interval + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + interval: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Interval to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Interval not found + "/intervals/{id}/sub_intervals": + get: + summary: Get sub intervals set + security: + - BearerAuth: [] + operationId: getIntervals + tags: + - Intervals + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Interval + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new sub interval + security: + - BearerAuth: [] + operationId: addInterval + tags: + - Intervals + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Interval + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/intervals/{id}/sub_intervals/create_many": + post: + summary: Create new sub intervals set + security: + - BearerAuth: [] + operationId: addIntervals + tags: + - Intervals + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Interval + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/intervals/{id}/destroy_many": + delete: + summary: Destroy an existing Interval + security: + - BearerAuth: [] + operationId: deleteIntervalById + tags: + - Intervals + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Interval to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Interval not found + /integrations/freshdesk/accounts: + get: + summary: Gets a list of Freshdesk Accounts + security: + - BearerAuth: [] + operationId: listAllFreshdeskAccount + tags: + - Freshdesk Accounts + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each FreshdeskAccount + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Freshdesk Accounts + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/FreshdeskAccount" + "206": + description: Partial (paged) collection of Freshdesk Accounts + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/FreshdeskAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Freshdesk Account + security: + - BearerAuth: [] + operationId: createFreshdeskAccount + tags: + - Freshdesk Accounts + requestBody: + required: true + description: data for creating a new Freshdesk Account + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + username: + type: string + apiKey: + type: string + remoteUri: + type: string + serverUrl: + type: string + responses: + "201": + description: FreshdeskAccount successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/FreshdeskAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/freshdesk/accounts/{id}": + get: + summary: Gets a single Freshdesk Account + security: + - BearerAuth: [] + operationId: getFreshdeskAccountById + tags: + - Freshdesk Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshdeskAccount to get + responses: + "200": + description: FreshdeskAccount with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/FreshdeskAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FreshdeskAccount not found + put: + summary: Update an existing Freshdesk Account + security: + - BearerAuth: [] + operationId: updateFreshdeskAccountById + tags: + - Freshdesk Accounts + requestBody: + required: true + description: data for updating a new Freshdesk Account + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + username: + type: string + apiKey: + type: string + remoteUri: + type: string + serverUrl: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshdeskAccount to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FreshdeskAccount not found + "/integrations/freshdesk/accounts/{id}/configurations": + get: + summary: Gets account configurations + security: + - BearerAuth: [] + operationId: getConfigurations + tags: + - Freshdesk Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshdeskAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new configuration + security: + - BearerAuth: [] + operationId: addConfiguration + tags: + - Freshdesk Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshdeskAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/freshdesk/accounts/{id}/fields": + get: + summary: Gets account fields + security: + - BearerAuth: [] + operationId: getFields + tags: + - Freshdesk Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshdeskAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/freshdesk/accounts/{id}/destroy_many": + delete: + summary: Destroy an existing Freshdesk Account + security: + - BearerAuth: [] + operationId: deleteFreshdeskAccountById + tags: + - Freshdesk Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshdeskAccount to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FreshdeskAccount not found + /integrations/freshdesk/configurations: + get: + summary: Gets a list of Freshdesk Configurations + security: + - BearerAuth: [] + operationId: listAllFreshdeskConfiguration + tags: + - Freshdesk Configurations + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each FreshdeskConfiguration + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Freshdesk Configurations + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/FreshdeskConfiguration" + "206": + description: Partial (paged) collection of Freshdesk Configurations + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/FreshdeskConfiguration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Freshdesk Configuration + security: + - BearerAuth: [] + operationId: createFreshdeskConfiguration + tags: + - Freshdesk Configurations + requestBody: + required: true + description: data for creating a new Freshdesk Configuration + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + responses: + "201": + description: FreshdeskConfiguration successfully created. Returns the created + object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/FreshdeskConfiguration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/freshdesk/configurations/{id}": + get: + summary: Gets a single Freshdesk Configuration + security: + - BearerAuth: [] + operationId: getFreshdeskConfigurationById + tags: + - Freshdesk Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshdeskConfiguration to get + responses: + "200": + description: FreshdeskConfiguration with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/FreshdeskConfiguration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FreshdeskConfiguration not found + put: + summary: Update an existing Freshdesk Configuration + security: + - BearerAuth: [] + operationId: updateFreshdeskConfigurationById + tags: + - Freshdesk Configurations + requestBody: + required: true + description: data for updating a new Freshdesk Configuration + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshdeskConfiguration to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FreshdeskConfiguration not found + "/integrations/freshdesk/configurations/{id}/fields": + get: + summary: Gets configurations fields + security: + - BearerAuth: [] + operationId: getFields + tags: + - Freshdesk Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshdeskConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/freshdesk/configurations/{id}/subjects": + get: + summary: Gets configurations subjects + security: + - BearerAuth: [] + operationId: getSubjects + tags: + - Freshdesk Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshdeskConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/freshdesk/configurations/{id}/descriptions": + get: + summary: Gets configurations descriptions + security: + - BearerAuth: [] + operationId: getDescriptions + tags: + - Freshdesk Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshdeskConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/freshdesk/configurations/{id}/tags": + get: + summary: Gets configurations tags + security: + - BearerAuth: [] + operationId: getTags + tags: + - Freshdesk Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshdeskConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Sets new tags + security: + - BearerAuth: [] + operationId: setTags + tags: + - Freshdesk Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshdeskConfiguration + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/freshdesk/configurations/{id}/destroy_many": + delete: + summary: Destroy an existing Freshdesk Configuration + security: + - BearerAuth: [] + operationId: deleteFreshdeskConfigurationById + tags: + - Freshdesk Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshdeskConfiguration to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FreshdeskConfiguration not found + /integrations/freshdesk/fields: + get: + summary: Gets a list of Freshdesk Fields + security: + - BearerAuth: [] + operationId: listAllFreshdeskField + tags: + - Freshdesk Fields + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each FreshdeskField + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Freshdesk Fields + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/FreshdeskField" + "206": + description: Partial (paged) collection of Freshdesk Fields + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/FreshdeskField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Freshdesk Field + security: + - BearerAuth: [] + operationId: createFreshdeskField + tags: + - Freshdesk Fields + requestBody: + required: true + description: data for creating a new Freshdesk Field + content: + application/json: + schema: + type: object + properties: + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + default: string + content: + type: string + key: + type: string + keyType: + type: string + enum: + - string + - variable + - customVariable + keyContent: + type: string + idField: + type: string + nameField: + type: string + customField: + type: boolean + default: true + variableName: + type: string + responses: + "201": + description: FreshdeskField successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/FreshdeskField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/freshdesk/fields/{id}": + get: + summary: Gets a single Freshdesk Field + security: + - BearerAuth: [] + operationId: getFreshdeskFieldById + tags: + - Freshdesk Fields + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshdeskField to get + responses: + "200": + description: FreshdeskField with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/FreshdeskField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FreshdeskField not found + put: + summary: Update an existing Freshdesk Field + security: + - BearerAuth: [] + operationId: updateFreshdeskFieldById + tags: + - Freshdesk Fields + requestBody: + required: true + description: data for updating a new Freshdesk Field + content: + application/json: + schema: + type: object + properties: + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + default: string + content: + type: string + key: + type: string + keyType: + type: string + enum: + - string + - variable + - customVariable + keyContent: + type: string + idField: + type: string + nameField: + type: string + customField: + type: boolean + default: true + variableName: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshdeskField to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FreshdeskField not found + "/integrations/freshdesk/fields/{id}/destroy_many": + delete: + summary: Destroy an existing Freshdesk Field + security: + - BearerAuth: [] + operationId: deleteFreshdeskFieldById + tags: + - Freshdesk Fields + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshdeskField to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FreshdeskField not found + /integrations/freshsales/accounts: + get: + summary: Gets a list of Freshsales Accounts + security: + - BearerAuth: [] + operationId: listAllFreshsalesAccount + tags: + - Freshsales Accounts + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each FreshsalesAccount + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Freshsales Accounts + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/FreshsalesAccount" + "206": + description: Partial (paged) collection of Freshsales Accounts + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/FreshsalesAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Freshsales Account + security: + - BearerAuth: [] + operationId: createFreshsalesAccount + tags: + - Freshsales Accounts + requestBody: + required: true + description: data for creating a new Freshsales Account + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + username: + type: string + apiKey: + type: string + remoteUri: + type: string + serverUrl: + type: string + responses: + "201": + description: FreshsalesAccount successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/FreshsalesAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/freshsales/accounts/{id}": + get: + summary: Gets a single Freshsales Account + security: + - BearerAuth: [] + operationId: getFreshsalesAccountById + tags: + - Freshsales Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshsalesAccount to get + responses: + "200": + description: FreshsalesAccount with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/FreshsalesAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FreshsalesAccount not found + put: + summary: Update an existing Freshsales Account + security: + - BearerAuth: [] + operationId: updateFreshsalesAccountById + tags: + - Freshsales Accounts + requestBody: + required: true + description: data for updating a new Freshsales Account + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + username: + type: string + apiKey: + type: string + remoteUri: + type: string + serverUrl: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshsalesAccount to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FreshsalesAccount not found + "/integrations/freshsales/accounts/{id}/configurations": + get: + summary: Gets account configurations + security: + - BearerAuth: [] + operationId: getConfigurations + tags: + - Freshsales Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshsalesAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new configuration + security: + - BearerAuth: [] + operationId: addConfiguration + tags: + - Freshsales Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshsalesAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/freshsales/accounts/{id}/fields": + get: + summary: Gets account fields + security: + - BearerAuth: [] + operationId: getFields + tags: + - Freshsales Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshsalesAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/freshsales/accounts/{id}/destroy_many": + delete: + summary: Destroy an existing Freshsales Account + security: + - BearerAuth: [] + operationId: deleteFreshsalesAccountById + tags: + - Freshsales Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshsalesAccount to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FreshsalesAccount not found + /integrations/freshsales/configurations: + get: + summary: Gets a list of Freshsales Configurations + security: + - BearerAuth: [] + operationId: listAllFreshsalesConfiguration + tags: + - Freshsales Configurations + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each FreshsalesConfiguration + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Freshsales Configurations + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/FreshsalesConfiguration" + "206": + description: Partial (paged) collection of Freshsales Configurations + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/FreshsalesConfiguration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Freshsales Configuration + security: + - BearerAuth: [] + operationId: createFreshsalesConfiguration + tags: + - Freshsales Configurations + requestBody: + required: true + description: data for creating a new Freshsales Configuration + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + responses: + "201": + description: FreshsalesConfiguration successfully created. Returns the created + object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/FreshsalesConfiguration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/freshsales/configurations/{id}": + get: + summary: Gets a single Freshsales Configuration + security: + - BearerAuth: [] + operationId: getFreshsalesConfigurationById + tags: + - Freshsales Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshsalesConfiguration to get + responses: + "200": + description: FreshsalesConfiguration with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/FreshsalesConfiguration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FreshsalesConfiguration not found + put: + summary: Update an existing Freshsales Configuration + security: + - BearerAuth: [] + operationId: updateFreshsalesConfigurationById + tags: + - Freshsales Configurations + requestBody: + required: true + description: data for updating a new Freshsales Configuration + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshsalesConfiguration to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FreshsalesConfiguration not found + "/integrations/freshsales/configurations/{id}/subjects": + get: + summary: Gets configurations subjects + security: + - BearerAuth: [] + operationId: getSubjects + tags: + - Freshsales Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshsalesConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/freshsales/configurations/{id}/descriptions": + get: + summary: Gets configurations descriptions + security: + - BearerAuth: [] + operationId: getDescriptions + tags: + - Freshsales Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshsalesConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/freshsales/configurations/{id}/fields": + get: + summary: Gets configurations fields + security: + - BearerAuth: [] + operationId: getFields + tags: + - Freshsales Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshsalesConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/freshsales/configurations/{id}/destroy_many": + delete: + summary: Destroy an existing Freshsales Configuration + security: + - BearerAuth: [] + operationId: deleteFreshsalesConfigurationById + tags: + - Freshsales Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshsalesConfiguration to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FreshsalesConfiguration not found + /integrations/freshsales/fields: + get: + summary: Gets a list of Freshsales Fields + security: + - BearerAuth: [] + operationId: listAllFreshsalesField + tags: + - Freshsales Fields + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each FreshsalesField + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Freshsales Fields + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/FreshsalesField" + "206": + description: Partial (paged) collection of Freshsales Fields + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/FreshsalesField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Freshsales Field + security: + - BearerAuth: [] + operationId: createFreshsalesField + tags: + - Freshsales Fields + requestBody: + required: true + description: data for creating a new Freshsales Field + content: + application/json: + schema: + type: object + properties: + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + default: string + content: + type: string + key: + type: string + keyType: + type: string + enum: + - string + - variable + - customVariable + keyContent: + type: string + idField: + type: string + nameField: + type: string + customField: + type: boolean + default: true + variableName: + type: string + responses: + "201": + description: FreshsalesField successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/FreshsalesField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/freshsales/fields/{id}": + get: + summary: Gets a single Freshsales Field + security: + - BearerAuth: [] + operationId: getFreshsalesFieldById + tags: + - Freshsales Fields + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshsalesField to get + responses: + "200": + description: FreshsalesField with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/FreshsalesField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FreshsalesField not found + put: + summary: Update an existing Freshsales Field + security: + - BearerAuth: [] + operationId: updateFreshsalesFieldById + tags: + - Freshsales Fields + requestBody: + required: true + description: data for updating a new Freshsales Field + content: + application/json: + schema: + type: object + properties: + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + default: string + content: + type: string + key: + type: string + keyType: + type: string + enum: + - string + - variable + - customVariable + keyContent: + type: string + idField: + type: string + nameField: + type: string + customField: + type: boolean + default: true + variableName: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshsalesField to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FreshsalesField not found + "/integrations/freshsales/fields/{id}/destroy_many": + delete: + summary: Destroy an existing Freshsales Field + security: + - BearerAuth: [] + operationId: deleteFreshsalesFieldById + tags: + - Freshsales Fields + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the FreshsalesField to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: FreshsalesField not found + /integrations/salesforce/accounts: + get: + summary: Gets a list of Salesforce Accounts + security: + - BearerAuth: [] + operationId: listAllSalesforceAccount + tags: + - Salesforce Accounts + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each SalesforceAccount + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Salesforce Accounts + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SalesforceAccount" + "206": + description: Partial (paged) collection of Salesforce Accounts + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SalesforceAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Salesforce Account + security: + - BearerAuth: [] + operationId: createSalesforceAccount + tags: + - Salesforce Accounts + requestBody: + required: true + description: data for creating a new Salesforce Account + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + username: + type: string + remoteUri: + type: string + password: + type: string + clientId: + type: string + clientSecret: + type: string + securityToken: + type: string + serverUrl: + type: string + type: + type: string + enum: + - integrationTab + - newTab + default: integrationTab + responses: + "201": + description: SalesforceAccount successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/SalesforceAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/salesforce/accounts/{id}": + get: + summary: Gets a single Salesforce Account + security: + - BearerAuth: [] + operationId: getSalesforceAccountById + tags: + - Salesforce Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SalesforceAccount to get + responses: + "200": + description: SalesforceAccount with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/SalesforceAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SalesforceAccount not found + put: + summary: Update an existing Salesforce Account + security: + - BearerAuth: [] + operationId: updateSalesforceAccountById + tags: + - Salesforce Accounts + requestBody: + required: true + description: data for updating a new Salesforce Account + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + username: + type: string + remoteUri: + type: string + password: + type: string + clientId: + type: string + clientSecret: + type: string + securityToken: + type: string + serverUrl: + type: string + type: + type: string + enum: + - integrationTab + - newTab + default: integrationTab + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SalesforceAccount to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SalesforceAccount not found + "/integrations/salesforce/accounts/{id}/configurations": + get: + summary: Gets account configurations + security: + - BearerAuth: [] + operationId: getConfigurations + tags: + - Salesforce Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SalesforceAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new configuration + security: + - BearerAuth: [] + operationId: addConfiguration + tags: + - Salesforce Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SalesforceAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/salesforce/accounts/{id}/fields": + get: + summary: Gets account fields + security: + - BearerAuth: [] + operationId: getFields + tags: + - Salesforce Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SalesforceAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/salesforce/accounts/{id}/destroy_many": + delete: + summary: Destroy an existing Salesforce Account + security: + - BearerAuth: [] + operationId: deleteSalesforceAccountById + tags: + - Salesforce Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SalesforceAccount to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SalesforceAccount not found + /integrations/salesforce/configurations: + get: + summary: Gets a list of Salesforce Configurations + security: + - BearerAuth: [] + operationId: listAllSalesforceConfiguration + tags: + - Salesforce Configurations + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each SalesforceConfiguration + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Salesforce Configurations + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SalesforceConfiguration" + "206": + description: Partial (paged) collection of Salesforce Configurations + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SalesforceConfiguration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Salesforce Configuration + security: + - BearerAuth: [] + operationId: createSalesforceConfiguration + tags: + - Salesforce Configurations + requestBody: + required: true + description: data for creating a new Salesforce Configuration + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + ticketType: + type: string + enum: + - Task + - Case + default: Task + moduleSearch: + type: string + enum: + - contact_lead + - contact + - lead + default: contact_lead + moduleCreate: + type: string + enum: + - nothing + - contact + - lead + default: lead + responses: + "201": + description: SalesforceConfiguration successfully created. Returns the created + object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/SalesforceConfiguration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/salesforce/configurations/{id}": + get: + summary: Gets a single Salesforce Configuration + security: + - BearerAuth: [] + operationId: getSalesforceConfigurationById + tags: + - Salesforce Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SalesforceConfiguration to get + responses: + "200": + description: SalesforceConfiguration with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/SalesforceConfiguration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SalesforceConfiguration not found + put: + summary: Update an existing Salesforce Configuration + security: + - BearerAuth: [] + operationId: updateSalesforceConfigurationById + tags: + - Salesforce Configurations + requestBody: + required: true + description: data for updating a new Salesforce Configuration + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + ticketType: + type: string + enum: + - Task + - Case + default: Task + moduleSearch: + type: string + enum: + - contact_lead + - contact + - lead + default: contact_lead + moduleCreate: + type: string + enum: + - nothing + - contact + - lead + default: lead + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SalesforceConfiguration to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SalesforceConfiguration not found + "/integrations/salesforce/configurations/{id}/fields": + get: + summary: Gets configurations fields + security: + - BearerAuth: [] + operationId: getFields + tags: + - Salesforce Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SalesforceConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/salesforce/configurations/{id}/subjects": + get: + summary: Gets configurations subjects + security: + - BearerAuth: [] + operationId: getSubjects + tags: + - Salesforce Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SalesforceConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/salesforce/configurations/{id}/descriptions": + get: + summary: Gets configurations descriptions + security: + - BearerAuth: [] + operationId: getDescriptions + tags: + - Salesforce Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SalesforceConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/salesforce/configurations/{id}/destroy_many": + delete: + summary: Destroy an existing Salesforce Configuration + security: + - BearerAuth: [] + operationId: deleteSalesforceConfigurationById + tags: + - Salesforce Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SalesforceConfiguration to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SalesforceConfiguration not found + /integrations/salesforce/fields: + get: + summary: Gets a list of Salesforce Fields + security: + - BearerAuth: [] + operationId: listAllSalesforceField + tags: + - Salesforce Fields + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each SalesforceField + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Salesforce Fields + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SalesforceField" + "206": + description: Partial (paged) collection of Salesforce Fields + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SalesforceField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Salesforce Field + security: + - BearerAuth: [] + operationId: createSalesforceField + tags: + - Salesforce Fields + requestBody: + required: true + description: data for creating a new Salesforce Field + content: + application/json: + schema: + type: object + properties: + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + default: string + content: + type: string + key: + type: string + keyType: + type: string + enum: + - string + - variable + - customVariable + keyContent: + type: string + idField: + type: string + variableName: + type: string + responses: + "201": + description: SalesforceField successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/SalesforceField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/salesforce/fields/{id}": + get: + summary: Gets a single Salesforce Field + security: + - BearerAuth: [] + operationId: getSalesforceFieldById + tags: + - Salesforce Fields + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SalesforceField to get + responses: + "200": + description: SalesforceField with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/SalesforceField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SalesforceField not found + put: + summary: Update an existing Salesforce Field + security: + - BearerAuth: [] + operationId: updateSalesforceFieldById + tags: + - Salesforce Fields + requestBody: + required: true + description: data for updating a new Salesforce Field + content: + application/json: + schema: + type: object + properties: + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + default: string + content: + type: string + key: + type: string + keyType: + type: string + enum: + - string + - variable + - customVariable + keyContent: + type: string + idField: + type: string + variableName: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SalesforceField to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SalesforceField not found + "/integrations/salesforce/fields/{id}/destroy_many": + delete: + summary: Destroy an existing Salesforce Field + security: + - BearerAuth: [] + operationId: deleteSalesforceFieldById + tags: + - Salesforce Fields + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SalesforceField to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SalesforceField not found + /integrations/servicenow/accounts: + get: + summary: Gets a list of Servicenow Accounts + security: + - BearerAuth: [] + operationId: listAllServicenowAccount + tags: + - Servicenow Accounts + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each ServicenowAccount + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Servicenow Accounts + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ServicenowAccount" + "206": + description: Partial (paged) collection of Servicenow Accounts + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ServicenowAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Servicenow Account + security: + - BearerAuth: [] + operationId: createServicenowAccount + tags: + - Servicenow Accounts + requestBody: + required: true + description: data for creating a new Servicenow Account + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + username: + type: string + password: + type: string + email: + type: string + remoteUri: + type: string + serverUrl: + type: string + responses: + "201": + description: ServicenowAccount successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/ServicenowAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/servicenow/accounts/{id}": + get: + summary: Gets a single Servicenow Account + security: + - BearerAuth: [] + operationId: getServicenowAccountById + tags: + - Servicenow Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ServicenowAccount to get + responses: + "200": + description: ServicenowAccount with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/ServicenowAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ServicenowAccount not found + put: + summary: Update an existing Servicenow Account + security: + - BearerAuth: [] + operationId: updateServicenowAccountById + tags: + - Servicenow Accounts + requestBody: + required: true + description: data for updating a new Servicenow Account + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + username: + type: string + password: + type: string + email: + type: string + remoteUri: + type: string + serverUrl: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ServicenowAccount to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ServicenowAccount not found + "/integrations/servicenow/accounts/{id}/configurations": + get: + summary: Gets account configurations + security: + - BearerAuth: [] + operationId: getConfigurations + tags: + - Servicenow Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ServicenowAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new configuration + security: + - BearerAuth: [] + operationId: addConfiguration + tags: + - Servicenow Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ServicenowAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/servicenow/accounts/{id}/fields": + get: + summary: Gets account fields + security: + - BearerAuth: [] + operationId: getFields + tags: + - Servicenow Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ServicenowAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/servicenow/accounts/{id}/destroy_many": + delete: + summary: Destroy an existing Servicenow Account + security: + - BearerAuth: [] + operationId: deleteServicenowAccountById + tags: + - Servicenow Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ServicenowAccount to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ServicenowAccount not found + /integrations/servicenow/configurations: + get: + summary: Gets a list of Servicenow Configurations + security: + - BearerAuth: [] + operationId: listAllServicenowConfiguration + tags: + - Servicenow Configurations + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each ServicenowConfiguration + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Servicenow Configurations + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ServicenowConfiguration" + "206": + description: Partial (paged) collection of Servicenow Configurations + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ServicenowConfiguration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Servicenow Configuration + security: + - BearerAuth: [] + operationId: createServicenowConfiguration + tags: + - Servicenow Configurations + requestBody: + required: true + description: data for creating a new Servicenow Configuration + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + responses: + "201": + description: ServicenowConfiguration successfully created. Returns the created + object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/ServicenowConfiguration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/servicenow/configurations/{id}": + get: + summary: Gets a single Servicenow Configuration + security: + - BearerAuth: [] + operationId: getServicenowConfigurationById + tags: + - Servicenow Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ServicenowConfiguration to get + responses: + "200": + description: ServicenowConfiguration with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/ServicenowConfiguration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ServicenowConfiguration not found + put: + summary: Update an existing Servicenow Configuration + security: + - BearerAuth: [] + operationId: updateServicenowConfigurationById + tags: + - Servicenow Configurations + requestBody: + required: true + description: data for updating a new Servicenow Configuration + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ServicenowConfiguration to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ServicenowConfiguration not found + "/integrations/servicenow/configurations/{id}/fields": + get: + summary: Gets configurations fields + security: + - BearerAuth: [] + operationId: getFields + tags: + - Servicenow Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ServicenowConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/servicenow/configurations/{id}/subjects": + get: + summary: Gets configurations subjects + security: + - BearerAuth: [] + operationId: getSubjects + tags: + - Servicenow Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ServicenowConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/servicenow/configurations/{id}/descriptions": + get: + summary: Gets configurations descriptions + security: + - BearerAuth: [] + operationId: getDescriptions + tags: + - Servicenow Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ServicenowConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/servicenow/configurations/{id}/destroy_many": + delete: + summary: Destroy an existing Servicenow Configuration + security: + - BearerAuth: [] + operationId: deleteServicenowConfigurationById + tags: + - Servicenow Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ServicenowConfiguration to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ServicenowConfiguration not found + /integrations/servicenow/fields: + get: + summary: Gets a list of Servicenow Fields + security: + - BearerAuth: [] + operationId: listAllServicenowField + tags: + - Servicenow Fields + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each ServicenowField + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Servicenow Fields + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ServicenowField" + "206": + description: Partial (paged) collection of Servicenow Fields + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ServicenowField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Servicenow Field + security: + - BearerAuth: [] + operationId: createServicenowField + tags: + - Servicenow Fields + requestBody: + required: true + description: data for creating a new Servicenow Field + content: + application/json: + schema: + type: object + properties: + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + default: string + content: + type: string + key: + type: string + keyType: + type: string + enum: + - string + - variable + - customVariable + keyContent: + type: string + idField: + type: string + nameField: + type: string + customField: + type: boolean + default: true + variableName: + type: string + responses: + "201": + description: ServicenowField successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/ServicenowField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/servicenow/fields/{id}": + get: + summary: Gets a single Servicenow Field + security: + - BearerAuth: [] + operationId: getServicenowFieldById + tags: + - Servicenow Fields + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ServicenowField to get + responses: + "200": + description: ServicenowField with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/ServicenowField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ServicenowField not found + put: + summary: Update an existing Servicenow Field + security: + - BearerAuth: [] + operationId: updateServicenowFieldById + tags: + - Servicenow Fields + requestBody: + required: true + description: data for updating a new Servicenow Field + content: + application/json: + schema: + type: object + properties: + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + default: string + content: + type: string + key: + type: string + keyType: + type: string + enum: + - string + - variable + - customVariable + keyContent: + type: string + idField: + type: string + nameField: + type: string + customField: + type: boolean + default: true + variableName: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ServicenowField to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ServicenowField not found + "/integrations/servicenow/fields/{id}/destroy_many": + delete: + summary: Destroy an existing Servicenow Field + security: + - BearerAuth: [] + operationId: deleteServicenowFieldById + tags: + - Servicenow Fields + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ServicenowField to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ServicenowField not found + /integrations/sugarcrm/accounts: + get: + summary: Gets a list of Sugarcrm Accounts + security: + - BearerAuth: [] + operationId: listAllSugarcrmAccount + tags: + - Sugarcrm Accounts + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each SugarcrmAccount + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Sugarcrm Accounts + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SugarcrmAccount" + "206": + description: Partial (paged) collection of Sugarcrm Accounts + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SugarcrmAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Sugarcrm Account + security: + - BearerAuth: [] + operationId: createSugarcrmAccount + tags: + - Sugarcrm Accounts + requestBody: + required: true + description: data for creating a new Sugarcrm Account + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + username: + type: string + password: + type: string + remoteUri: + type: string + serverUrl: + type: string + responses: + "201": + description: SugarcrmAccount successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/SugarcrmAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/sugarcrm/accounts/{id}": + get: + summary: Gets a single Sugarcrm Account + security: + - BearerAuth: [] + operationId: getSugarcrmAccountById + tags: + - Sugarcrm Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SugarcrmAccount to get + responses: + "200": + description: SugarcrmAccount with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/SugarcrmAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SugarcrmAccount not found + put: + summary: Update an existing Sugarcrm Account + security: + - BearerAuth: [] + operationId: updateSugarcrmAccountById + tags: + - Sugarcrm Accounts + requestBody: + required: true + description: data for updating a new Sugarcrm Account + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + username: + type: string + password: + type: string + remoteUri: + type: string + serverUrl: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SugarcrmAccount to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SugarcrmAccount not found + "/integrations/sugarcrm/accounts/{id}/configurations": + get: + summary: Gets account configurations + security: + - BearerAuth: [] + operationId: getConfigurations + tags: + - Sugarcrm Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SugarcrmAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new configuration + security: + - BearerAuth: [] + operationId: addConfiguration + tags: + - Sugarcrm Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SugarcrmAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/sugarcrm/accounts/{id}/fields": + get: + summary: Gets account fields + security: + - BearerAuth: [] + operationId: getFields + tags: + - Sugarcrm Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SugarcrmAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/sugarcrm/accounts/{id}/destroy_many": + delete: + summary: Destroy an existing Sugarcrm Account + security: + - BearerAuth: [] + operationId: deleteSugarcrmAccountById + tags: + - Sugarcrm Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SugarcrmAccount to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SugarcrmAccount not found + /integrations/sugarcrm/configurations: + get: + summary: Gets a list of SugarCRM Configurations + security: + - BearerAuth: [] + operationId: listAllSugarcrmConfiguration + tags: + - SugarCRM Configurations + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each SugarcrmConfiguration + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of SugarCRM Configurations + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SugarcrmConfiguration" + "206": + description: Partial (paged) collection of SugarCRM Configurations + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SugarcrmConfiguration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new SugarCRM Configuration + security: + - BearerAuth: [] + operationId: createSugarcrmConfiguration + tags: + - SugarCRM Configurations + requestBody: + required: true + description: data for creating a new SugarCRM Configuration + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + responses: + "201": + description: SugarcrmConfiguration successfully created. Returns the created + object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/SugarcrmConfiguration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/sugarcrm/configurations/{id}": + get: + summary: Gets a single SugarCRM Configuration + security: + - BearerAuth: [] + operationId: getSugarcrmConfigurationById + tags: + - SugarCRM Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SugarcrmConfiguration to get + responses: + "200": + description: SugarcrmConfiguration with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/SugarcrmConfiguration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SugarcrmConfiguration not found + put: + summary: Update an existing SugarCRM Configuration + security: + - BearerAuth: [] + operationId: updateSugarcrmConfigurationById + tags: + - SugarCRM Configurations + requestBody: + required: true + description: data for updating a new SugarCRM Configuration + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SugarcrmConfiguration to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SugarcrmConfiguration not found + "/integrations/sugarcrm/configurations/{id}/fields": + get: + summary: Gets configurations fields + security: + - BearerAuth: [] + operationId: getFields + tags: + - Sugarcrm Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SugarcrmConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/sugarcrm/configurations/{id}/subjects": + get: + summary: Gets configurations subjects + security: + - BearerAuth: [] + operationId: getSubjects + tags: + - Sugarcrm Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SugarcrmConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/sugarcrm/configurations/{id}/descriptions": + get: + summary: Gets configurations descriptions + security: + - BearerAuth: [] + operationId: getDescriptions + tags: + - Sugarcrm Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SugarcrmConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/sugarcrm/configurations/{id}/destroy_many": + delete: + summary: Destroy an existing SugarCRM Configuration + security: + - BearerAuth: [] + operationId: deleteSugarcrmConfigurationById + tags: + - SugarCRM Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SugarcrmConfiguration to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SugarcrmConfiguration not found + /integrations/sugarcrm/fields: + get: + summary: Gets a list of Sugarcrm Fields + security: + - BearerAuth: [] + operationId: listAllSugarcrmField + tags: + - Sugarcrm Fields + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each SugarcrmField + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Sugarcrm Fields + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SugarcrmField" + "206": + description: Partial (paged) collection of Sugarcrm Fields + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SugarcrmField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Sugarcrm Field + security: + - BearerAuth: [] + operationId: createSugarcrmField + tags: + - Sugarcrm Fields + requestBody: + required: true + description: data for creating a new Sugarcrm Field + content: + application/json: + schema: + type: object + properties: + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + default: string + content: + type: string + key: + type: string + keyType: + type: string + enum: + - string + - variable + - customVariable + keyContent: + type: string + idField: + type: string + nameField: + type: string + customField: + type: boolean + default: true + variableName: + type: string + responses: + "201": + description: SugarcrmField successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/SugarcrmField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/sugarcrm/fields/{id}": + get: + summary: Gets a single Sugarcrm Field + security: + - BearerAuth: [] + operationId: getSugarcrmFieldById + tags: + - Sugarcrm Fields + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SugarcrmField to get + responses: + "200": + description: SugarcrmField with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/SugarcrmField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SugarcrmField not found + put: + summary: Update an existing Sugarcrm Field + security: + - BearerAuth: [] + operationId: updateSugarcrmFieldById + tags: + - Sugarcrm Fields + requestBody: + required: true + description: data for updating a new Sugarcrm Field + content: + application/json: + schema: + type: object + properties: + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + default: string + content: + type: string + key: + type: string + keyType: + type: string + enum: + - string + - variable + - customVariable + keyContent: + type: string + idField: + type: string + nameField: + type: string + customField: + type: boolean + default: true + variableName: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SugarcrmField to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SugarcrmField not found + "/integrations/sugarcrm/fields/{id}/destroy_many": + delete: + summary: Destroy an existing Sugarcrm Field + security: + - BearerAuth: [] + operationId: deleteSugarcrmFieldById + tags: + - Sugarcrm Fields + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SugarcrmField to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SugarcrmField not found + /integrations/vtiger/accounts: + get: + summary: Gets a list of Vtiger Accounts + security: + - BearerAuth: [] + operationId: listAllVtigerAccount + tags: + - Vtiger Accounts + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each VtigerAccount + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Vtiger Accounts + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VtigerAccount" + "206": + description: Partial (paged) collection of Vtiger Accounts + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VtigerAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Vtiger Account + security: + - BearerAuth: [] + operationId: createVtigerAccount + tags: + - Vtiger Accounts + requestBody: + required: true + description: data for creating a new Vtiger Account + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + username: + type: string + moduleName: + type: string + default: HelpDesk + remoteUri: + type: string + serverUrl: + type: string + accessKey: + type: string + required: + - name + - username + - moduleName + - remoteUri + - accessKey + responses: + "201": + description: VtigerAccount successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/VtigerAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/vtiger/accounts/{id}": + get: + summary: Gets a single Vtiger Account + security: + - BearerAuth: [] + operationId: getVtigerAccountById + tags: + - Vtiger Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VtigerAccount to get + responses: + "200": + description: VtigerAccount with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/VtigerAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VtigerAccount not found + put: + summary: Update an existing Vtiger Account + security: + - BearerAuth: [] + operationId: updateVtigerAccountById + tags: + - Vtiger Accounts + requestBody: + required: true + description: data for updating a new Vtiger Account + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + username: + type: string + moduleName: + type: string + default: HelpDesk + remoteUri: + type: string + serverUrl: + type: string + accessKey: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VtigerAccount to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VtigerAccount not found + "/integrations/vtiger/accounts/{id}/configurations": + get: + summary: Gets account configurations + security: + - BearerAuth: [] + operationId: getConfigurations + tags: + - Vtiger Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VtigerAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new configuration + security: + - BearerAuth: [] + operationId: addConfiguration + tags: + - Vtiger Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VtigerAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/vtiger/accounts/{id}/fields": + get: + summary: Gets account fields + security: + - BearerAuth: [] + operationId: getFields + tags: + - Vtiger Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VtigerAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/vtiger/accounts/{id}/destroy_many": + delete: + summary: Destroy an existing Vtiger Account + security: + - BearerAuth: [] + operationId: deleteVtigerAccountById + tags: + - Vtiger Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VtigerAccount to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VtigerAccount not found + /integrations/vtiger/configurations: + get: + summary: Gets a list of Vtiger Configurations + security: + - BearerAuth: [] + operationId: listAllVtigerConfiguration + tags: + - Vtiger Configurations + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each VtigerConfiguration + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Vtiger Configurations + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VtigerConfiguration" + "206": + description: Partial (paged) collection of Vtiger Configurations + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VtigerConfiguration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Vtiger Configuration + security: + - BearerAuth: [] + operationId: createVtigerConfiguration + tags: + - Vtiger Configurations + requestBody: + required: true + description: data for creating a new Vtiger Configuration + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + responses: + "201": + description: VtigerConfiguration successfully created. Returns the created + object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/VtigerConfiguration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/vtiger/configurations/{id}": + get: + summary: Gets a single Vtiger Configuration + security: + - BearerAuth: [] + operationId: getVtigerConfigurationById + tags: + - Vtiger Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VtigerConfiguration to get + responses: + "200": + description: VtigerConfiguration with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/VtigerConfiguration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VtigerConfiguration not found + put: + summary: Update an existing Vtiger Configuration + security: + - BearerAuth: [] + operationId: updateVtigerConfigurationById + tags: + - Vtiger Configurations + requestBody: + required: true + description: data for updating a new Vtiger Configuration + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VtigerConfiguration to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VtigerConfiguration not found + "/integrations/vtiger/configurations/{id}/fields": + get: + summary: Gets configurations fields + security: + - BearerAuth: [] + operationId: getFields + tags: + - Vtiger Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VtigerConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/vtiger/configurations/{id}/subjects": + get: + summary: Gets configurations subjects + security: + - BearerAuth: [] + operationId: getSubjects + tags: + - Vtiger Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VtigerConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/vtiger/configurations/{id}/descriptions": + get: + summary: Gets configurations descriptions + security: + - BearerAuth: [] + operationId: getDescriptions + tags: + - Vtiger Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VtigerConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/vtiger/configurations/{id}/destroy_many": + delete: + summary: Destroy an existing Vtiger Configuration + security: + - BearerAuth: [] + operationId: deleteVtigerConfigurationById + tags: + - Vtiger Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VtigerConfiguration to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VtigerConfiguration not found + /integrations/vtiger/fields: + get: + summary: Gets a list of Vtiger Fields + security: + - BearerAuth: [] + operationId: listAllVtigerField + tags: + - Vtiger Fields + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each VtigerField + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Vtiger Fields + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VtigerField" + "206": + description: Partial (paged) collection of Vtiger Fields + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VtigerField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Vtiger Field + security: + - BearerAuth: [] + operationId: createVtigerField + tags: + - Vtiger Fields + requestBody: + required: true + description: data for creating a new Vtiger Field + content: + application/json: + schema: + type: object + properties: + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + default: string + content: + type: string + key: + type: string + keyType: + type: string + enum: + - string + - variable + - customVariable + keyContent: + type: string + idField: + type: string + nameField: + type: string + customField: + type: boolean + default: true + variableName: + type: string + responses: + "201": + description: VtigerField successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/VtigerField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/vtiger/fields/{id}": + get: + summary: Gets a single Vtiger Field + security: + - BearerAuth: [] + operationId: getVtigerFieldById + tags: + - Vtiger Fields + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VtigerField to get + responses: + "200": + description: VtigerField with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/VtigerField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VtigerField not found + put: + summary: Update an existing Vtiger Field + security: + - BearerAuth: [] + operationId: updateVtigerFieldById + tags: + - Vtiger Fields + requestBody: + required: true + description: data for updating a new Vtiger Field + content: + application/json: + schema: + type: object + properties: + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + default: string + content: + type: string + key: + type: string + keyType: + type: string + enum: + - string + - variable + - customVariable + keyContent: + type: string + idField: + type: string + nameField: + type: string + customField: + type: boolean + default: true + variableName: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VtigerField to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VtigerField not found + "/integrations/vtiger/fields/{id}/destroy_many": + delete: + summary: Destroy an existing Vtiger Field + security: + - BearerAuth: [] + operationId: deleteVtigerFieldById + tags: + - Vtiger Fields + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VtigerField to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VtigerField not found + /integrations/zendesk/accounts: + get: + summary: Gets a list of Zendesk Accounts + security: + - BearerAuth: [] + operationId: listAllZendeskAccount + tags: + - Zendesk Accounts + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each ZendeskAccount + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Zendesk Accounts + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ZendeskAccount" + "206": + description: Partial (paged) collection of Zendesk Accounts + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ZendeskAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Zendesk Account + security: + - BearerAuth: [] + operationId: createZendeskAccount + tags: + - Zendesk Accounts + requestBody: + required: true + description: data for creating a new Zendesk Account + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + username: + type: string + password: + type: string + token: + type: string + remoteUri: + type: string + authType: + type: string + enum: + - password + - token + default: password + serverUrl: + type: string + type: + type: string + enum: + - integrationTab + - newTab + default: integrationTab + responses: + "201": + description: ZendeskAccount successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/ZendeskAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/zendesk/accounts/{id}": + get: + summary: Gets a single Zendesk Account + security: + - BearerAuth: [] + operationId: getZendeskAccountById + tags: + - Zendesk Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZendeskAccount to get + responses: + "200": + description: ZendeskAccount with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/ZendeskAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ZendeskAccount not found + put: + summary: Update an existing Zendesk Account + security: + - BearerAuth: [] + operationId: updateZendeskAccountById + tags: + - Zendesk Accounts + requestBody: + required: true + description: data for updating a new Zendesk Account + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + username: + type: string + password: + type: string + token: + type: string + remoteUri: + type: string + authType: + type: string + enum: + - password + - token + default: password + serverUrl: + type: string + type: + type: string + enum: + - integrationTab + - newTab + default: integrationTab + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZendeskAccount to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ZendeskAccount not found + "/integrations/zendesk/accounts/{id}/configurations": + get: + summary: Gets account configurations + security: + - BearerAuth: [] + operationId: getConfigurations + tags: + - Zendesk Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZendeskAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new configuration + security: + - BearerAuth: [] + operationId: addConfiguration + tags: + - Zendesk Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZendeskAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/zendesk/accounts/{id}/fields": + get: + summary: Gets account fields + security: + - BearerAuth: [] + operationId: getFields + tags: + - Zendesk Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZendeskAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/zendesk/accounts/{id}/destroy_many": + delete: + summary: Destroy an existing Zendesk Account + security: + - BearerAuth: [] + operationId: deleteZendeskAccountById + tags: + - Zendesk Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZendeskAccount to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ZendeskAccount not found + /integrations/zendesk/configurations: + get: + summary: Gets a list of Zendesk Configurations + security: + - BearerAuth: [] + operationId: listAllZendeskConfiguration + tags: + - Zendesk Configurations + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each ZendeskConfiguration + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Zendesk Configurations + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ZendeskConfiguration" + "206": + description: Partial (paged) collection of Zendesk Configurations + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ZendeskConfiguration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Zendesk Configuration + security: + - BearerAuth: [] + operationId: createZendeskConfiguration + tags: + - Zendesk Configurations + requestBody: + required: true + description: data for creating a new Zendesk Configuration + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + responses: + "201": + description: ZendeskConfiguration successfully created. Returns the created + object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/ZendeskConfiguration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/zendesk/configurations/{id}": + get: + summary: Gets a single Zendesk Configuration + security: + - BearerAuth: [] + operationId: getZendeskConfigurationById + tags: + - Zendesk Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZendeskConfiguration to get + responses: + "200": + description: ZendeskConfiguration with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/ZendeskConfiguration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ZendeskConfiguration not found + put: + summary: Update an existing Zendesk Configuration + security: + - BearerAuth: [] + operationId: updateZendeskConfigurationById + tags: + - Zendesk Configurations + requestBody: + required: true + description: data for updating a new Zendesk Configuration + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZendeskConfiguration to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ZendeskConfiguration not found + "/integrations/zendesk/configurations/{id}/fields": + get: + summary: Gets configurations fields + security: + - BearerAuth: [] + operationId: getFields + tags: + - Zendesk Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZendeskConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/zendesk/configurations/{id}/subjects": + get: + summary: Gets configurations subjects + security: + - BearerAuth: [] + operationId: getSubjects + tags: + - Zendesk Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZendeskConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/zendesk/configurations/{id}/descriptions": + get: + summary: Gets configurations descriptions + security: + - BearerAuth: [] + operationId: getDescriptions + tags: + - Zendesk Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZendeskConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/zendesk/configurations/{id}/tags": + get: + summary: Gets configurations tags + security: + - BearerAuth: [] + operationId: getTags + tags: + - Zendesk Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZendeskConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Sets new tags + security: + - BearerAuth: [] + operationId: setTags + tags: + - Zendesk Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZendeskConfiguration + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/zendesk/configurations/{id}/destroy_many": + delete: + summary: Destroy an existing Zendesk Configuration + security: + - BearerAuth: [] + operationId: deleteZendeskConfigurationById + tags: + - Zendesk Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZendeskConfiguration to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ZendeskConfiguration not found + /integrations/zendesk/fields: + get: + summary: Gets a list of Zendesk Fields + security: + - BearerAuth: [] + operationId: listAllZendeskField + tags: + - Zendesk Fields + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each ZendeskField + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Zendesk Fields + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ZendeskField" + "206": + description: Partial (paged) collection of Zendesk Fields + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ZendeskField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Zendesk Field + security: + - BearerAuth: [] + operationId: createZendeskField + tags: + - Zendesk Fields + requestBody: + required: true + description: data for creating a new Zendesk Field + content: + application/json: + schema: + type: object + properties: + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + default: string + content: + type: string + key: + type: string + keyType: + type: string + enum: + - string + - variable + - customVariable + keyContent: + type: string + idField: + type: string + nameField: + type: string + customField: + type: boolean + default: true + variableName: + type: string + responses: + "201": + description: ZendeskField successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/ZendeskField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/zendesk/fields/{id}": + get: + summary: Gets a single Zendesk Field + security: + - BearerAuth: [] + operationId: getZendeskFieldById + tags: + - Zendesk Fields + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZendeskField to get + responses: + "200": + description: ZendeskField with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/ZendeskField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ZendeskField not found + put: + summary: Update an existing Zendesk Field + security: + - BearerAuth: [] + operationId: updateZendeskFieldById + tags: + - Zendesk Fields + requestBody: + required: true + description: data for updating a new Zendesk Field + content: + application/json: + schema: + type: object + properties: + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + default: string + content: + type: string + key: + type: string + keyType: + type: string + enum: + - string + - variable + - customVariable + keyContent: + type: string + idField: + type: string + nameField: + type: string + customField: + type: boolean + default: true + variableName: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZendeskField to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ZendeskField not found + "/integrations/zendesk/fields/{id}/destroy_many": + delete: + summary: Destroy an existing Zendesk Field + security: + - BearerAuth: [] + operationId: deleteZendeskFieldById + tags: + - Zendesk Fields + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZendeskField to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ZendeskField not found + /integrations/zoho/accounts: + get: + summary: Gets a list of Zoho Accounts + security: + - BearerAuth: [] + operationId: listAllZohoAccount + tags: + - Zoho Accounts + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each ZohoAccount + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Zoho Accounts + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ZohoAccount" + "206": + description: Partial (paged) collection of Zoho Accounts + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ZohoAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Zoho Account + security: + - BearerAuth: [] + operationId: createZohoAccount + tags: + - Zoho Accounts + requestBody: + required: true + description: data for creating a new Zoho Account + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + host: + type: string + zone: + type: string + clientId: + type: string + clientSecret: + type: string + serverUrl: + type: string + code: + type: string + refreshToken: + type: string + responses: + "201": + description: ZohoAccount successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/ZohoAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/zoho/accounts/{id}": + get: + summary: Gets a single Zoho Account + security: + - BearerAuth: [] + operationId: getZohoAccountById + tags: + - Zoho Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZohoAccount to get + responses: + "200": + description: ZohoAccount with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/ZohoAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ZohoAccount not found + put: + summary: Update an existing Zoho Account + security: + - BearerAuth: [] + operationId: updateZohoAccountById + tags: + - Zoho Accounts + requestBody: + required: true + description: data for updating a new Zoho Account + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + host: + type: string + zone: + type: string + clientId: + type: string + clientSecret: + type: string + serverUrl: + type: string + code: + type: string + refreshToken: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZohoAccount to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ZohoAccount not found + "/integrations/zoho/accounts/{id}/configurations": + get: + summary: Gets account configurations + security: + - BearerAuth: [] + operationId: getConfigurations + tags: + - Zoho Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZohoAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new configuration + security: + - BearerAuth: [] + operationId: addConfiguration + tags: + - Zoho Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZohoAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/zoho/accounts/{id}/fields": + get: + summary: Gets account fields + security: + - BearerAuth: [] + operationId: getFields + tags: + - Zoho Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZohoAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/zoho/accounts/{id}/destroy_many": + delete: + summary: Destroy an existing Zoho Account + security: + - BearerAuth: [] + operationId: deleteZohoAccountById + tags: + - Zoho Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZohoAccount to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ZohoAccount not found + /integrations/zoho/configurations: + get: + summary: Gets a list of Zoho Configurations + security: + - BearerAuth: [] + operationId: listAllZohoConfiguration + tags: + - Zoho Configurations + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each ZohoConfiguration + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Zoho Configurations + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ZohoConfiguration" + "206": + description: Partial (paged) collection of Zoho Configurations + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ZohoConfiguration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Zoho Configuration + security: + - BearerAuth: [] + operationId: createZohoConfiguration + tags: + - Zoho Configurations + requestBody: + required: true + description: data for creating a new Zoho Configuration + content: + application/json: + schema: + type: object + properties: + name: + type: string + moduleCreate: + type: string + enum: + - lead + - contact + - nothing + default: lead + moduleSearch: + type: string + enum: + - contact_lead + - contact + - lead + default: contact_lead + description: + type: string + responses: + "201": + description: ZohoConfiguration successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/ZohoConfiguration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/zoho/configurations/{id}": + get: + summary: Gets a single Zoho Configuration + security: + - BearerAuth: [] + operationId: getZohoConfigurationById + tags: + - Zoho Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZohoConfiguration to get + responses: + "200": + description: ZohoConfiguration with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/ZohoConfiguration" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ZohoConfiguration not found + put: + summary: Update an existing Zoho Configuration + security: + - BearerAuth: [] + operationId: updateZohoConfigurationById + tags: + - Zoho Configurations + requestBody: + required: true + description: data for updating a new Zoho Configuration + content: + application/json: + schema: + type: object + properties: + name: + type: string + moduleCreate: + type: string + enum: + - lead + - contact + - nothing + default: lead + moduleSearch: + type: string + enum: + - contact_lead + - contact + - lead + default: contact_lead + description: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZohoConfiguration to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ZohoConfiguration not found + "/integrations/zoho/configurations/{id}/fields": + get: + summary: Gets configurations fields + security: + - BearerAuth: [] + operationId: getFields + tags: + - Zoho Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZohoConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/zoho/configurations/{id}/subjects": + get: + summary: Gets configurations subjects + security: + - BearerAuth: [] + operationId: getSubjects + tags: + - Zoho Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZohoConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/zoho/configurations/{id}/descriptions": + get: + summary: Gets configurations descriptions + security: + - BearerAuth: [] + operationId: getDescriptions + tags: + - Zoho Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZohoConfiguration + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/zoho/configurations/{id}/destroy_many": + delete: + summary: Destroy an existing Zoho Configuration + security: + - BearerAuth: [] + operationId: deleteZohoConfigurationById + tags: + - Zoho Configurations + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZohoConfiguration to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ZohoConfiguration not found + /integrations/zoho/fields: + get: + summary: Gets a list of Zoho Fields + security: + - BearerAuth: [] + operationId: listAllZohoField + tags: + - Zoho Fields + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each ZohoField + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Zoho Fields + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ZohoField" + "206": + description: Partial (paged) collection of Zoho Fields + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ZohoField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Zoho Field + security: + - BearerAuth: [] + operationId: createZohoField + tags: + - Zoho Fields + requestBody: + required: true + description: data for creating a new Zoho Field + content: + application/json: + schema: + type: object + properties: + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + default: string + content: + type: string + key: + type: string + keyType: + type: string + enum: + - string + - variable + - customVariable + keyContent: + type: string + idField: + type: string + nameField: + type: string + customField: + type: boolean + default: true + variableName: + type: string + responses: + "201": + description: ZohoField successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/ZohoField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/integrations/zoho/fields/{id}": + get: + summary: Gets a single Zoho Field + security: + - BearerAuth: [] + operationId: getZohoFieldById + tags: + - Zoho Fields + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZohoField to get + responses: + "200": + description: ZohoField with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/ZohoField" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ZohoField not found + put: + summary: Update an existing Zoho Field + security: + - BearerAuth: [] + operationId: updateZohoFieldById + tags: + - Zoho Fields + requestBody: + required: true + description: data for updating a new Zoho Field + content: + application/json: + schema: + type: object + properties: + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + default: string + content: + type: string + key: + type: string + keyType: + type: string + enum: + - string + - variable + - customVariable + keyContent: + type: string + idField: + type: string + nameField: + type: string + customField: + type: boolean + default: true + variableName: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZohoField to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ZohoField not found + "/integrations/zoho/fields/{id}/destroy_many": + delete: + summary: Destroy an existing Zoho Field + security: + - BearerAuth: [] + operationId: deleteZohoFieldById + tags: + - Zoho Fields + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ZohoField to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ZohoField not found + /jira: + post: + summary: Creates a new issue + security: + - BearerAuth: [] + operationId: createJiraIssue + tags: + - Issue + requestBody: + required: true + description: Issue content + content: + application/json: + schema: + type: object + properties: + issuetype: + type: string + description: the issue type + default: Bug + summary: + type: string + description: short description of the issue + description: + type: string + description: the issue full description + required: + - summary + - description + responses: + "201": + description: issue submitted successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /jscripty/answers/reports: + get: + summary: Gets a list of Reports + security: + - BearerAuth: [] + operationId: listAllJscriptyAnswerReport + tags: + - JscriptyAnswerReport + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each JscriptyAnswerReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/JscriptyAnswerReport" + "206": + description: Partial (paged) collection of Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/JscriptyAnswerReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Report + security: + - BearerAuth: [] + operationId: createJscriptyAnswerReport + tags: + - JscriptyAnswerReport + requestBody: + required: true + description: data for creating a new Report + content: + application/json: + schema: + type: object + properties: + question: + type: string + answer: + type: string + membername: + type: string + projectname: + type: string + queue: + type: string + uniqueid: + type: string + calleridname: + type: string + calleridnum: + type: string + questionId: + type: string + responses: + "201": + description: JscriptyAnswerReport successfully created. Returns the created + object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/JscriptyAnswerReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /jscripty/answers/reports/describe: + get: + summary: Gets table info about Reports + security: + - BearerAuth: [] + operationId: describeJscriptyAnswerReport + tags: + - JscriptyAnswerReport + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/jscripty/answers/reports/{id}": + get: + summary: Gets a single Report + security: + - BearerAuth: [] + operationId: getJscriptyAnswerReportById + tags: + - JscriptyAnswerReport + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the JscriptyAnswerReport to get + responses: + "200": + description: JscriptyAnswerReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/JscriptyAnswerReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: JscriptyAnswerReport not found + put: + summary: Update an existing Report + security: + - BearerAuth: [] + operationId: updateJscriptyAnswerReportById + tags: + - JscriptyAnswerReport + requestBody: + required: true + description: data for updating a new Report + content: + application/json: + schema: + type: object + properties: + question: + type: string + answer: + type: string + membername: + type: string + projectname: + type: string + queue: + type: string + uniqueid: + type: string + calleridname: + type: string + calleridnum: + type: string + questionId: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the JscriptyAnswerReport to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: JscriptyAnswerReport not found + "/jscripty/answers/reports/{id}/destroy_many": + delete: + summary: Destroy an existing Report + security: + - BearerAuth: [] + operationId: deleteJscriptyAnswerReportById + tags: + - JscriptyAnswerReport + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the JscriptyAnswerReport to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: JscriptyAnswerReport not found + /jscripty/projects: + get: + summary: Gets a list of Projects + security: + - BearerAuth: [] + operationId: listAllJscriptyProject + tags: + - Jscripty Projects + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each JscriptyProject + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Projects + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/JscriptyProject" + "206": + description: Partial (paged) collection of Projects + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/JscriptyProject" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Project + security: + - BearerAuth: [] + operationId: createJscriptyProject + tags: + - Jscripty Projects + requestBody: + required: true + description: data for creating a new Project + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + formData: + type: string + enableUncompleteSave: + type: boolean + default: true + sendUnpauseOnSubmit: + type: boolean + default: false + responses: + "201": + description: JscriptyProject successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/JscriptyProject" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/jscripty/projects/{id}": + get: + summary: Gets a single Project + security: + - BearerAuth: [] + operationId: getJscriptyProjectById + tags: + - Jscripty Projects + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the JscriptyProject to get + responses: + "200": + description: JscriptyProject with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/JscriptyProject" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: JscriptyProject not found + put: + summary: Update an existing Project + security: + - BearerAuth: [] + operationId: updateJscriptyProjectById + tags: + - Jscripty Projects + requestBody: + required: true + description: data for updating a new Project + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + formData: + type: string + enableUncompleteSave: + type: boolean + default: true + sendUnpauseOnSubmit: + type: boolean + default: false + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the JscriptyProject to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: JscriptyProject not found + "/jscripty/projects/{id}/sessions": + get: + summary: Gets jscripty project sessions + security: + - BearerAuth: [] + operationId: getSessions + tags: + - Jscripty Projects + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the JscriptyProject + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/jscripty/projects/{id}/answers": + get: + summary: Gets jscripty project answers + security: + - BearerAuth: [] + operationId: getAnswers + tags: + - Jscripty Projects + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the JscriptyProject + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/jscripty/projects/{id}/summary": + get: + summary: Gets jscripty project summary + security: + - BearerAuth: [] + operationId: getSummary + tags: + - Jscripty Projects + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the JscriptyProject + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /jscripty/projects/clone: + post: + summary: Clone an existing Project + security: + - BearerAuth: [] + operationId: cloneJscriptyProject + tags: + - Jscripty Projects + requestBody: + required: true + description: data for creating a new Project + content: + application/json: + schema: + type: object + properties: + id: + type: integer + description: id of the Project to clone + name: + type: string + description: + type: string + formData: + type: string + enableUncompleteSave: + type: boolean + default: true + sendUnpauseOnSubmit: + type: boolean + default: false + required: + - id + responses: + "201": + description: JscriptyProject successfully cloned. Returns the cloned object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/JscriptyProject" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/jscripty/projects/{id}/destroy_many": + delete: + summary: Destroy an existing Project + security: + - BearerAuth: [] + operationId: deleteJscriptyProjectById + tags: + - Jscripty Projects + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the JscriptyProject to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: JscriptyProject not found + /jscripty/questions/reports: + get: + summary: Gets a list of Reports + security: + - BearerAuth: [] + operationId: listAllJscriptyQuestionReport + tags: + - JscriptyQuestionReport + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each JscriptyQuestionReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/JscriptyQuestionReport" + "206": + description: Partial (paged) collection of Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/JscriptyQuestionReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Report + security: + - BearerAuth: [] + operationId: createJscriptyQuestionReport + tags: + - JscriptyQuestionReport + requestBody: + required: true + description: data for creating a new Report + content: + application/json: + schema: + type: object + properties: + question: + type: string + answer: + type: string + membername: + type: string + projectname: + type: string + queue: + type: string + uniqueid: + type: string + calleridname: + type: string + calleridnum: + type: string + questionId: + type: string + responses: + "201": + description: JscriptyQuestionReport successfully created. Returns the created + object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/JscriptyQuestionReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /jscripty/questions/reports/describe: + get: + summary: Gets table info about Reports + security: + - BearerAuth: [] + operationId: describeJscriptyQuestionReport + tags: + - JscriptyQuestionReport + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/jscripty/questions/reports/{id}": + get: + summary: Gets a single Report + security: + - BearerAuth: [] + operationId: getJscriptyQuestionReportById + tags: + - JscriptyQuestionReport + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the JscriptyQuestionReport to get + responses: + "200": + description: JscriptyQuestionReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/JscriptyQuestionReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: JscriptyQuestionReport not found + put: + summary: Update an existing Report + security: + - BearerAuth: [] + operationId: updateJscriptyQuestionReportById + tags: + - JscriptyQuestionReport + requestBody: + required: true + description: data for updating a new Report + content: + application/json: + schema: + type: object + properties: + question: + type: string + answer: + type: string + membername: + type: string + projectname: + type: string + queue: + type: string + uniqueid: + type: string + calleridname: + type: string + calleridnum: + type: string + questionId: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the JscriptyQuestionReport to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: JscriptyQuestionReport not found + "/jscripty/questions/reports/{id}/destroy_many": + delete: + summary: Destroy an existing Report + security: + - BearerAuth: [] + operationId: deleteJscriptyQuestionReportById + tags: + - JscriptyQuestionReport + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the JscriptyQuestionReport to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: JscriptyQuestionReport not found + /jscripty/sessions/reports: + get: + summary: Gets a list of Reports + security: + - BearerAuth: [] + operationId: listAllJscriptySessionReport + tags: + - JscriptySessionReport + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each JscriptySessionReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/JscriptySessionReport" + "206": + description: Partial (paged) collection of Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/JscriptySessionReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Report + security: + - BearerAuth: [] + operationId: createJscriptySessionReport + tags: + - JscriptySessionReport + requestBody: + required: true + description: data for creating a new Report + content: + application/json: + schema: + type: object + properties: + starttime: + type: string + endtime: + type: string + membername: + type: string + projectname: + type: string + queue: + type: string + uniqueid: + type: string + calleridname: + type: string + calleridnum: + type: string + completed: + type: boolean + default: false + responses: + "201": + description: JscriptySessionReport successfully created. Returns the created + object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/JscriptySessionReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /jscripty/sessions/reports/describe: + get: + summary: Gets table info about Reports + security: + - BearerAuth: [] + operationId: describeJscriptySessionReport + tags: + - JscriptySessionReport + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/jscripty/sessions/reports/{id}": + get: + summary: Gets a single Report + security: + - BearerAuth: [] + operationId: getJscriptySessionReportById + tags: + - JscriptySessionReport + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the JscriptySessionReport to get + responses: + "200": + description: JscriptySessionReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/JscriptySessionReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: JscriptySessionReport not found + put: + summary: Update an existing Report + security: + - BearerAuth: [] + operationId: updateJscriptySessionReportById + tags: + - JscriptySessionReport + requestBody: + required: true + description: data for updating a new Report + content: + application/json: + schema: + type: object + properties: + starttime: + type: string + endtime: + type: string + membername: + type: string + projectname: + type: string + queue: + type: string + uniqueid: + type: string + calleridname: + type: string + calleridnum: + type: string + completed: + type: boolean + default: false + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the JscriptySessionReport to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: JscriptySessionReport not found + "/jscripty/sessions/reports/{id}/questions": + get: + summary: Gets Jscripty Session questions + security: + - BearerAuth: [] + operationId: getQuestions + tags: + - JscriptySessionReport + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the JscriptySessionReport + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/jscripty/sessions/reports/{id}/destroy_many": + delete: + summary: Destroy an existing Report + security: + - BearerAuth: [] + operationId: deleteJscriptySessionReportById + tags: + - JscriptySessionReport + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the JscriptySessionReport to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: JscriptySessionReport not found + /license/: + get: + summary: Gets License Info + operationId: index + tags: + - License + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "/license/{id}": + put: + summary: Update License Info + security: + - BearerAuth: [] + operationId: update + tags: + - License + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the License + responses: + "200": + description: successfully updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /mail/accounts: + get: + summary: Gets a list of Accounts + security: + - BearerAuth: [] + operationId: listAllMailAccount + tags: + - Mail Accounts + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each MailAccount + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Accounts + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/MailAccount" + "206": + description: Partial (paged) collection of Accounts + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/MailAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /mail/accounts/describe: + get: + summary: Gets table info about Accounts + security: + - BearerAuth: [] + operationId: describeMailAccount + tags: + - Mail Accounts + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/mail/accounts/{id}": + get: + summary: Gets a single Account + security: + - BearerAuth: [] + operationId: getMailAccountById + tags: + - Mail Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailAccount to get + responses: + "200": + description: MailAccount with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/MailAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: MailAccount not found + put: + summary: Update an existing Account + security: + - BearerAuth: [] + operationId: updateMailAccountById + tags: + - Mail Accounts + requestBody: + required: true + description: data for updating a new Account + content: + application/json: + schema: + type: object + properties: + description: + type: string + name: + type: string + service: + type: boolean + default: false + email: + type: string + active: + type: boolean + default: true + key: + type: string + template: + type: string + markAsUnread: + type: boolean + default: false + fontFamily: + type: string + default: Arial,Helvetica,sans-serif + fontSize: + type: integer + default: 13 + notificationTemplate: + type: string + default: "Account: {{account.name}}
{{#queue}}Queue: + {{queue.name}}
{{/queue}}From : {{from}}
Subject : + {{message.subject}}" + notificationSound: + type: boolean + default: true + notificationShake: + type: boolean + default: false + waitForTheAssignedAgent: + type: integer + default: 10 + queueTransfer: + type: boolean + default: false + queueTransferTimeout: + type: integer + default: 300 + agentTransfer: + type: boolean + default: false + agentTransferTimeout: + type: integer + default: 300 + mandatoryDispositionPauseId: + type: integer + description: Status to put when mandatory disposition is enabled + default: null + mandatoryDisposition: + type: boolean + description: Enabled/disables mandatory dispo on a queue + default: false + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailAccount to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: MailAccount not found + delete: + summary: Deletes a mail account + security: + - BearerAuth: [] + operationId: destroy + tags: + - Mail Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailAccount + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/mail/accounts/{id}/dispositions": + get: + summary: Gets account dispositions + security: + - BearerAuth: [] + operationId: getDispositions + tags: + - Mail Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new disposition + security: + - BearerAuth: [] + operationId: addDisposition + tags: + - Mail Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes dispositions from account + security: + - BearerAuth: [] + operationId: removeDispositions + tags: + - Mail Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailAccount + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/mail/accounts/{id}/canned_answers": + get: + summary: Gets account canned answers + security: + - BearerAuth: [] + operationId: getAnswers + tags: + - Mail Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new canned answer + security: + - BearerAuth: [] + operationId: addAnswer + tags: + - Mail Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes canned answers from account + security: + - BearerAuth: [] + operationId: removeAnswers + tags: + - Mail Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailAccount + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/mail/accounts/{id}/in_servers": + get: + summary: Gets account IMAP server + security: + - BearerAuth: [] + operationId: getImap + tags: + - Mail Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new IMAP server + security: + - BearerAuth: [] + operationId: addImap + tags: + - Mail Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes IMAP server from an account + security: + - BearerAuth: [] + operationId: removeImap + tags: + - Mail Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailAccount + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/mail/accounts/{id}/out_servers": + get: + summary: Gets account SMTP server + security: + - BearerAuth: [] + operationId: getSmtp + tags: + - Mail Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new SMTP server + security: + - BearerAuth: [] + operationId: addSmtp + tags: + - Mail Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes SMTP server from an account + security: + - BearerAuth: [] + operationId: removeSmtp + tags: + - Mail Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailAccount + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/mail/accounts/{id}/interactions": + get: + summary: Gets account interactions + security: + - BearerAuth: [] + operationId: getInteractions + tags: + - Mail Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new interactions + security: + - BearerAuth: [] + operationId: addInteraction + tags: + - Mail Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/mail/accounts/{id}/applications": + get: + summary: Gets account applications + security: + - BearerAuth: [] + operationId: getApplications + tags: + - Mail Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new applications + security: + - BearerAuth: [] + operationId: addApplications + tags: + - Mail Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/mail/accounts/{id}/messages": + get: + summary: Gets account messages + security: + - BearerAuth: [] + operationId: getMessages + tags: + - Mail Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/mail/accounts/{id}/verify": + get: + summary: Verify mail account + security: + - BearerAuth: [] + operationId: verifySmtp + tags: + - Mail Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/mail/accounts/{id}/users": + get: + summary: Gets agents from mail account + security: + - BearerAuth: [] + operationId: getAgents + tags: + - Mail Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add agents to a mail account + security: + - BearerAuth: [] + operationId: addAgents + tags: + - Mail Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes agents from a mail account + security: + - BearerAuth: [] + operationId: removeAgents + tags: + - Mail Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailAccount + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /mail/accounts/: + post: + summary: Create a mail account + security: + - BearerAuth: [] + operationId: create + tags: + - Mail Accounts + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/mail/accounts/{id}/send": + post: + summary: Send new mail + security: + - BearerAuth: [] + operationId: send + tags: + - Mail Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/mail/applications/{id}": + get: + summary: Gets a single Application + security: + - BearerAuth: [] + operationId: getMailApplicationById + tags: + - Mail Applications + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailApplication to get + responses: + "200": + description: MailApplication with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/MailApplication" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: MailApplication not found + put: + summary: Update an existing Application + security: + - BearerAuth: [] + operationId: updateMailApplicationById + tags: + - Mail Applications + requestBody: + required: true + description: data for updating a new Application + content: + application/json: + schema: + type: object + properties: + priority: + type: integer + app: + type: string + default: noop + appdata: + type: string + description: + type: string + interval: + type: string + default: "*,*,*,*" + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailApplication to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: MailApplication not found + "/mail/applications/{id}/destroy_many": + delete: + summary: Destroy an existing Application + security: + - BearerAuth: [] + operationId: deleteMailApplicationById + tags: + - Mail Applications + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailApplication to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: MailApplication not found + /mail/interactions: + get: + summary: Gets a list of Interactions + security: + - BearerAuth: [] + operationId: listAllMailInteraction + tags: + - Mail Interactions + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each MailInteraction + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Interactions + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/MailInteraction" + "206": + description: Partial (paged) collection of Interactions + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/MailInteraction" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Interaction + security: + - BearerAuth: [] + operationId: createMailInteraction + tags: + - Mail Interactions + requestBody: + required: true + description: data for creating a new Interaction + content: + application/json: + schema: + type: object + properties: + closed: + type: boolean + default: false + closedAt: + type: string + disposition: + type: string + secondDisposition: + type: string + thirdDisposition: + type: string + note: + type: string + inReplyTo: + type: string + to: + type: string + cc: + type: string + subject: + type: string + attach: + type: boolean + default: false + read1stAt: + type: string + substatus: + type: string + substatusAt: + type: string + firstMsgDirection: + type: string + enum: + - in + - out + default: in + lastMsgAt: + type: string + lastMsgDirection: + type: string + enum: + - in + - out + default: in + lastMsgBody: + type: string + lastMsgText: + type: string + required: + - firstMsgDirection + - lastMsgDirection + responses: + "201": + description: MailInteraction successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/MailInteraction" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /mail/interactions/describe: + get: + summary: Gets table info about Interactions + security: + - BearerAuth: [] + operationId: describeMailInteraction + tags: + - Mail Interactions + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/mail/interactions/{id}": + get: + summary: Gets a single Interaction + security: + - BearerAuth: [] + operationId: getMailInteractionById + tags: + - Mail Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailInteraction to get + responses: + "200": + description: MailInteraction with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/MailInteraction" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: MailInteraction not found + put: + summary: Update an existing Interaction + security: + - BearerAuth: [] + operationId: updateMailInteractionById + tags: + - Mail Interactions + requestBody: + required: true + description: data for updating a new Interaction + content: + application/json: + schema: + type: object + properties: + closed: + type: boolean + default: false + closedAt: + type: string + disposition: + type: string + secondDisposition: + type: string + thirdDisposition: + type: string + note: + type: string + inReplyTo: + type: string + to: + type: string + cc: + type: string + subject: + type: string + attach: + type: boolean + default: false + read1stAt: + type: string + substatus: + type: string + substatusAt: + type: string + firstMsgDirection: + type: string + enum: + - in + - out + default: in + lastMsgAt: + type: string + lastMsgDirection: + type: string + enum: + - in + - out + default: in + lastMsgBody: + type: string + lastMsgText: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailInteraction to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: MailInteraction not found + "/mail/interactions/{id}/messages": + get: + summary: Gets interaction messages + security: + - BearerAuth: [] + operationId: getMessages + tags: + - Mail Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailInteraction + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new message + security: + - BearerAuth: [] + operationId: addMessage + tags: + - Mail Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailInteraction + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/mail/interactions/{id}/download": + get: + summary: Gets interaction + security: + - BearerAuth: [] + operationId: download + tags: + - Mail Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailInteraction + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/mail/interactions/{id}/tags": + post: + summary: Add tags to the interaction + security: + - BearerAuth: [] + operationId: addTags + tags: + - Mail Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailInteraction + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes tags from interaction + security: + - BearerAuth: [] + operationId: removeTags + tags: + - Mail Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailInteraction + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/mail/interactions/{id}/destroy_many": + delete: + summary: Destroy an existing Interaction + security: + - BearerAuth: [] + operationId: deleteMailInteractionById + tags: + - Mail Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailInteraction to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: MailInteraction not found + /mail/messages: + get: + summary: Gets a list of Messages + security: + - BearerAuth: [] + operationId: listAllMailMessage + tags: + - Mail Messages + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each MailMessage + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Messages + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/MailMessage" + "206": + description: Partial (paged) collection of Messages + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/MailMessage" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /mail/messages/describe: + get: + summary: Gets table info about Messages + security: + - BearerAuth: [] + operationId: describeMailMessage + tags: + - Mail Messages + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/mail/messages/{id}": + get: + summary: Gets a single Message + security: + - BearerAuth: [] + operationId: getMailMessageById + tags: + - Mail Messages + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailMessage to get + responses: + "200": + description: MailMessage with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/MailMessage" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: MailMessage not found + put: + summary: Update an existing Message + security: + - BearerAuth: [] + operationId: updateMailMessageById + tags: + - Mail Messages + requestBody: + required: true + description: data for updating a new Message + content: + application/json: + schema: + type: object + properties: + body: + type: string + plainBody: + type: string + read: + type: boolean + default: false + direction: + type: string + enum: + - in + - out + default: out + messageId: + type: string + from: + type: string + to: + type: string + cc: + type: string + bcc: + type: string + subject: + type: string + sentAt: + type: string + attach: + type: integer + default: 0 + secret: + type: boolean + default: false + readAt: + type: string + originTo: + type: string + originCc: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailMessage to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: MailMessage not found + "/mail/messages/{id}/download": + get: + summary: Gets message + security: + - BearerAuth: [] + operationId: download + tags: + - Mail Messages + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailMessage + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /mail/messages/: + post: + summary: Create a message + security: + - BearerAuth: [] + operationId: create + tags: + - Mail Messages + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/mail/messages/{id}/accept": + put: + summary: Accepts message + security: + - BearerAuth: [] + operationId: accept + tags: + - Mail Messages + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailMessage + responses: + "200": + description: successfully updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/mail/messages/{id}/reject": + put: + summary: Rejects message + security: + - BearerAuth: [] + operationId: reject + tags: + - Mail Messages + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailMessage + responses: + "200": + description: successfully updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/mail/messages/{id}/destroy_many": + delete: + summary: Destroy an existing Message + security: + - BearerAuth: [] + operationId: deleteMailMessageById + tags: + - Mail Messages + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailMessage to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: MailMessage not found + /mail/queues: + get: + summary: Gets a list of Queues + security: + - BearerAuth: [] + operationId: listAllMailQueue + tags: + - Mail Queues + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each MailQueue + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Queues + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/MailQueue" + "206": + description: Partial (paged) collection of Queues + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/MailQueue" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Queue + security: + - BearerAuth: [] + operationId: createMailQueue + tags: + - Mail Queues + requestBody: + required: true + description: data for creating a new Queue + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + timeout: + type: integer + strategy: + type: string + enum: + - rrmemory + - beepall + - roundrobin + responses: + "201": + description: MailQueue successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/MailQueue" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /mail/queues/describe: + get: + summary: Gets table info about Queues + security: + - BearerAuth: [] + operationId: describeMailQueue + tags: + - Mail Queues + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/mail/queues/{id}": + get: + summary: Gets a single Queue + security: + - BearerAuth: [] + operationId: getMailQueueById + tags: + - Mail Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailQueue to get + responses: + "200": + description: MailQueue with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/MailQueue" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: MailQueue not found + put: + summary: Update an existing Queue + security: + - BearerAuth: [] + operationId: updateMailQueueById + tags: + - Mail Queues + requestBody: + required: true + description: data for updating a new Queue + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + timeout: + type: integer + strategy: + type: string + enum: + - rrmemory + - beepall + - roundrobin + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailQueue to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: MailQueue not found + "/mail/queues/{id}/members": + get: + summary: GetMembers + security: + - BearerAuth: [] + operationId: getMembers + tags: + - Mail Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailQueue + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/mail/queues/{id}/teams": + get: + summary: Gets queues list + security: + - BearerAuth: [] + operationId: getTeams + tags: + - Mail Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailQueue + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add teams to a queue + security: + - BearerAuth: [] + operationId: addTeams + tags: + - Mail Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailQueue + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove teams from a queue + security: + - BearerAuth: [] + operationId: removeTeams + tags: + - Teams + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailQueue + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/mail/queues/{id}/users": + get: + summary: Gets queue agents + security: + - BearerAuth: [] + operationId: getAgents + tags: + - Mail Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailQueue + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add agents to a queue + security: + - BearerAuth: [] + operationId: addAgents + tags: + - Mail Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailQueue + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes agents from a queue + security: + - BearerAuth: [] + operationId: removeAgents + tags: + - Mail Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailQueue + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/mail/queues/{id}/destroy_many": + delete: + summary: Destroy an existing Queue + security: + - BearerAuth: [] + operationId: deleteMailQueueById + tags: + - Mail Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailQueue to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: MailQueue not found + /mail/reports/queue: + get: + summary: Gets a list of Mail Queue Reports + security: + - BearerAuth: [] + operationId: listAllMailQueueReport + tags: + - Mail Queue Reports + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each MailQueueReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Mail Queue Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/MailQueueReport" + "206": + description: Partial (paged) collection of Mail Queue Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/MailQueueReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Mail Queue Report + security: + - BearerAuth: [] + operationId: createMailQueueReport + tags: + - Mail Queue Reports + requestBody: + required: true + description: data for creating a new Mail Queue Report + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + from: + type: string + joinAt: + type: string + leaveAt: + type: string + acceptAt: + type: string + exitAt: + type: string + reason: + type: string + required: + - uniqueid + responses: + "201": + description: MailQueueReport successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/MailQueueReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /mail/reports/queue/describe: + get: + summary: Gets table info about Mail Queue Reports + security: + - BearerAuth: [] + operationId: describeMailQueueReport + tags: + - Mail Queue Reports + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/mail/reports/queue/{id}": + get: + summary: Gets a single Mail Queue Report + security: + - BearerAuth: [] + operationId: getMailQueueReportById + tags: + - Mail Queue Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailQueueReport to get + responses: + "200": + description: MailQueueReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/MailQueueReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: MailQueueReport not found + put: + summary: Update an existing Mail Queue Report + security: + - BearerAuth: [] + operationId: updateMailQueueReportById + tags: + - Mail Queue Reports + requestBody: + required: true + description: data for updating a new Mail Queue Report + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + from: + type: string + joinAt: + type: string + leaveAt: + type: string + acceptAt: + type: string + exitAt: + type: string + reason: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailQueueReport to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: MailQueueReport not found + "/mail/reports/queue/{id}/destroy_many": + delete: + summary: Destroy an existing Mail Queue Report + security: + - BearerAuth: [] + operationId: deleteMailQueueReportById + tags: + - Mail Queue Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailQueueReport to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: MailQueueReport not found + /mail/out_servers: + get: + summary: Gets a list of SMTPs + security: + - BearerAuth: [] + operationId: listAllMailServerOut + tags: + - Mail SMTP + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each MailServerOut + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of SMTPs + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/MailServerOut" + "206": + description: Partial (paged) collection of SMTPs + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/MailServerOut" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new SMTP + security: + - BearerAuth: [] + operationId: createMailServerOut + tags: + - Mail SMTP + requestBody: + required: true + description: data for creating a new SMTP + content: + application/json: + schema: + type: object + properties: + description: + type: string + host: + type: string + user: + type: string + pass: + type: string + port: + type: integer + secure: + type: boolean + default: false + service: + type: string + authentication: + type: boolean + default: true + responses: + "201": + description: MailServerOut successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/MailServerOut" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/mail/out_servers/{id}": + get: + summary: Gets a single SMTP + security: + - BearerAuth: [] + operationId: getMailServerOutById + tags: + - Mail SMTP + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailServerOut to get + responses: + "200": + description: MailServerOut with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/MailServerOut" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: MailServerOut not found + put: + summary: Update an existing SMTP + security: + - BearerAuth: [] + operationId: updateMailServerOutById + tags: + - Mail SMTP + requestBody: + required: true + description: data for updating a new SMTP + content: + application/json: + schema: + type: object + properties: + description: + type: string + host: + type: string + user: + type: string + pass: + type: string + port: + type: integer + secure: + type: boolean + default: false + service: + type: string + authentication: + type: boolean + default: true + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailServerOut to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: MailServerOut not found + "/mail/out_servers/{id}/destroy_many": + delete: + summary: Destroy an existing SMTP + security: + - BearerAuth: [] + operationId: deleteMailServerOutById + tags: + - Mail SMTP + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailServerOut to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: MailServerOut not found + /mail/substatuses: + get: + summary: Gets a list of Substatuses + security: + - BearerAuth: [] + operationId: listAllMailSubstatus + tags: + - Mail Substatuses + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each MailSubstatus + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Substatuses + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/MailSubstatus" + "206": + description: Partial (paged) collection of Substatuses + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/MailSubstatus" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Queue + security: + - BearerAuth: [] + operationId: createMailSubstatus + tags: + - Mail Substatuses + requestBody: + required: true + description: data for creating a new Queue + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + required: + - name + responses: + "201": + description: MailSubstatus successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/MailSubstatus" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /mail/substatuses/describe: + get: + summary: Gets table info about Substatuses + security: + - BearerAuth: [] + operationId: describeMailSubstatus + tags: + - Mail Substatuses + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/mail/substatuses/{id}": + get: + summary: Gets a single Queue + security: + - BearerAuth: [] + operationId: getMailSubstatusById + tags: + - Mail Substatuses + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailSubstatus to get + responses: + "200": + description: MailSubstatus with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/MailSubstatus" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: MailSubstatus not found + put: + summary: Update an existing Queue + security: + - BearerAuth: [] + operationId: updateMailSubstatusById + tags: + - Mail Substatuses + requestBody: + required: true + description: data for updating a new Queue + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailSubstatus to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: MailSubstatus not found + "/mail/substatuses/{id}/destroy_many": + delete: + summary: Destroy an existing Queue + security: + - BearerAuth: [] + operationId: deleteMailSubstatusById + tags: + - Mail Substatuses + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailSubstatus to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: MailSubstatus not found + /mail/reports/transfer: + get: + summary: Gets a list of Mail Transfer Reports + security: + - BearerAuth: [] + operationId: listAllMailTransferReport + tags: + - Mail Transfer Reports + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each MailTransferReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Mail Transfer Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/MailTransferReport" + "206": + description: Partial (paged) collection of Mail Transfer Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/MailTransferReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Mail Transfer Report + security: + - BearerAuth: [] + operationId: createMailTransferReport + tags: + - Mail Transfer Reports + requestBody: + required: true + description: data for creating a new Mail Transfer Report + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + type: + type: string + enum: + - account + - agent + - queue + default: queue + transferredAt: + type: string + default: NOW + required: + - uniqueid + - type + - transferredAt + responses: + "201": + description: MailTransferReport successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/MailTransferReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /mail/reports/transfer/describe: + get: + summary: Gets table info about Mail Transfer Reports + security: + - BearerAuth: [] + operationId: describeMailTransferReport + tags: + - Mail Transfer Reports + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/mail/reports/transfer/{id}": + get: + summary: Gets a single Mail Transfer Report + security: + - BearerAuth: [] + operationId: getMailTransferReportById + tags: + - Mail Transfer Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailTransferReport to get + responses: + "200": + description: MailTransferReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/MailTransferReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: MailTransferReport not found + put: + summary: Update an existing Mail Transfer Report + security: + - BearerAuth: [] + operationId: updateMailTransferReportById + tags: + - Mail Transfer Reports + requestBody: + required: true + description: data for updating a new Mail Transfer Report + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + type: + type: string + enum: + - account + - agent + - queue + default: queue + transferredAt: + type: string + default: NOW + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailTransferReport to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: MailTransferReport not found + "/mail/reports/transfer/{id}/destroy_many": + delete: + summary: Destroy an existing Mail Transfer Report + security: + - BearerAuth: [] + operationId: deleteMailTransferReportById + tags: + - Mail Transfer Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MailTransferReport to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: MailTransferReport not found + /members/reports: + get: + summary: Gets a list of Member Reports + security: + - BearerAuth: [] + operationId: listAllMemberReport + tags: + - Member Reports + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each MemberReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Member Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/MemberReport" + "206": + description: Partial (paged) collection of Member Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/MemberReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /members/reports/describe: + get: + summary: Gets table info about Member Reports + security: + - BearerAuth: [] + operationId: describeMemberReport + tags: + - Member Reports + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/members/reports/{id}": + get: + summary: Gets a single Member Report + security: + - BearerAuth: [] + operationId: getMemberReportById + tags: + - Member Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the MemberReport to get + responses: + "200": + description: MemberReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/MemberReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: MemberReport not found + /migrations: + get: + summary: Gets a list of Migrations + operationId: listAllMigration + tags: + - Migrations + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each Migration + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Migrations + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Migration" + "206": + description: Partial (paged) collection of Migrations + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Migration" + /networks: + get: + summary: Gets a list of Networks + security: + - BearerAuth: [] + operationId: listAllNetwork + tags: + - Networks + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each Network + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Networks + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Network" + "206": + description: Partial (paged) collection of Networks + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Network" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/networks/{id}": + get: + summary: Gets a single Network + security: + - BearerAuth: [] + operationId: getNetworkById + tags: + - Networks + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Network to get + responses: + "200": + description: Network with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/Network" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Network not found + put: + summary: Update an existing network + security: + - BearerAuth: [] + operationId: update + tags: + - Networks + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Network + responses: + "200": + description: successfully updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Deletes a network + security: + - BearerAuth: [] + operationId: destroy + tags: + - Networks + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Network + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /networks/: + post: + summary: Create a new network + security: + - BearerAuth: [] + operationId: create + tags: + - Networks + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /notifications/: + post: + summary: Send notification to user + security: + - BearerAuth: [] + operationId: sendNotification + tags: + - Notifications + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /openchannel/accounts: + get: + summary: Gets a list of Accounts + security: + - BearerAuth: [] + operationId: listAllOpenchannelAccount + tags: + - Openchannel Accounts + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each OpenchannelAccount + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Accounts + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/OpenchannelAccount" + "206": + description: Partial (paged) collection of Accounts + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/OpenchannelAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Account + security: + - BearerAuth: [] + operationId: createOpenchannelAccount + tags: + - Openchannel Accounts + requestBody: + required: true + description: data for creating a new Account + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + token: + type: string + default: opoXzKt8ZC9vMwf35tCZb0Y8Ci6w5NXM + replyUri: + type: string + key: + type: string + notificationTemplate: + type: string + default: "Account: {{account.name}}
{{#queue}}Queue: + {{queue.name}}
{{/queue}}From : {{from}}" + notificationSound: + type: boolean + default: true + notificationShake: + type: boolean + default: false + waitForTheAssignedAgent: + type: integer + default: 10 + mapKey: + type: string + queueTransfer: + type: boolean + default: false + queueTransferTimeout: + type: integer + default: 300 + agentTransfer: + type: boolean + default: false + agentTransferTimeout: + type: integer + default: 300 + mandatoryDispositionPauseId: + type: integer + description: Status to put when mandatory disposition is enabled + default: null + mandatoryDisposition: + type: boolean + description: Enabled/disables mandatory dispo on a queue + default: false + required: + - name + - key + responses: + "201": + description: OpenchannelAccount successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/OpenchannelAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /openchannel/accounts/describe: + get: + summary: Gets table info about Accounts + security: + - BearerAuth: [] + operationId: describeOpenchannelAccount + tags: + - Openchannel Accounts + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/openchannel/accounts/{id}": + get: + summary: Gets a single Account + security: + - BearerAuth: [] + operationId: getOpenchannelAccountById + tags: + - Openchannel Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelAccount to get + responses: + "200": + description: OpenchannelAccount with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/OpenchannelAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: OpenchannelAccount not found + put: + summary: Update an existing Account + security: + - BearerAuth: [] + operationId: updateOpenchannelAccountById + tags: + - Openchannel Accounts + requestBody: + required: true + description: data for updating a new Account + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + token: + type: string + default: HgITaNXHR7se5sobT0VIEUDhnuXiOOoL + replyUri: + type: string + key: + type: string + notificationTemplate: + type: string + default: "Account: {{account.name}}
{{#queue}}Queue: + {{queue.name}}
{{/queue}}From : {{from}}" + notificationSound: + type: boolean + default: true + notificationShake: + type: boolean + default: false + waitForTheAssignedAgent: + type: integer + default: 10 + mapKey: + type: string + queueTransfer: + type: boolean + default: false + queueTransferTimeout: + type: integer + default: 300 + agentTransfer: + type: boolean + default: false + agentTransferTimeout: + type: integer + default: 300 + mandatoryDispositionPauseId: + type: integer + description: Status to put when mandatory disposition is enabled + default: null + mandatoryDisposition: + type: boolean + description: Enabled/disables mandatory dispo on a queue + default: false + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelAccount to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: OpenchannelAccount not found + "/openchannel/accounts/{id}/dispositions": + get: + summary: Gets account dispositions + security: + - BearerAuth: [] + operationId: getDispositions + tags: + - Openchannel Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new disposition + security: + - BearerAuth: [] + operationId: addDisposition + tags: + - Openchannel Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes dispositions from account + security: + - BearerAuth: [] + operationId: removeDispositions + tags: + - Openchannel Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelAccount + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/openchannel/accounts/{id}/canned_answers": + get: + summary: Gets account canned answers + security: + - BearerAuth: [] + operationId: getAnswers + tags: + - Openchannel Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new canned answer + security: + - BearerAuth: [] + operationId: addAnswer + tags: + - Openchannel Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes canned answers from account + security: + - BearerAuth: [] + operationId: removeAnswers + tags: + - Openchannel Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelAccount + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/openchannel/accounts/{id}/applications": + get: + summary: Gets account applications + security: + - BearerAuth: [] + operationId: getApplications + tags: + - Openchannel Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new applications + security: + - BearerAuth: [] + operationId: addApplications + tags: + - Openchannel Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/openchannel/accounts/{id}/interactions": + get: + summary: Gets Openchannel Account Interactions + security: + - BearerAuth: [] + operationId: getInteractions + tags: + - Openchannel Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/openchannel/accounts/{id}/users": + get: + summary: Gets agents from openchannel account + security: + - BearerAuth: [] + operationId: getAgents + tags: + - Openchannel Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add agents to a openchannel account + security: + - BearerAuth: [] + operationId: addAgents + tags: + - Openchannel Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes agents from a openchannel account + security: + - BearerAuth: [] + operationId: removeAgents + tags: + - Openchannel Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelAccount + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/openchannel/accounts/{id}/notify": + post: + summary: Notify new message + operationId: notify + tags: + - Openchannel Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelAccount + responses: + "201": + description: successfully created + "/openchannel/accounts/{id}/send": + post: + summary: Send new openchannel message + security: + - BearerAuth: [] + operationId: send + tags: + - Openchannel Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/openchannel/accounts/{id}/destroy_many": + delete: + summary: Destroy an existing Account + security: + - BearerAuth: [] + operationId: deleteOpenchannelAccountById + tags: + - Openchannel Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelAccount to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: OpenchannelAccount not found + /openchannel/applications: + get: + summary: Gets a list of Applications + security: + - BearerAuth: [] + operationId: listAllOpenchannelApplication + tags: + - Openchannel Applications + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each OpenchannelApplication + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Applications + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/OpenchannelApplication" + "206": + description: Partial (paged) collection of Applications + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/OpenchannelApplication" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Application + security: + - BearerAuth: [] + operationId: createOpenchannelApplication + tags: + - Openchannel Applications + requestBody: + required: true + description: data for creating a new Application + content: + application/json: + schema: + type: object + properties: + priority: + type: integer + app: + type: string + default: noop + appdata: + type: string + description: + type: string + interval: + type: string + default: "*,*,*,*" + required: + - priority + - app + responses: + "201": + description: OpenchannelApplication successfully created. Returns the created + object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/OpenchannelApplication" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/openchannel/applications/{id}": + get: + summary: Gets a single Application + security: + - BearerAuth: [] + operationId: getOpenchannelApplicationById + tags: + - Openchannel Applications + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelApplication to get + responses: + "200": + description: OpenchannelApplication with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/OpenchannelApplication" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: OpenchannelApplication not found + put: + summary: Update an existing Application + security: + - BearerAuth: [] + operationId: updateOpenchannelApplicationById + tags: + - Openchannel Applications + requestBody: + required: true + description: data for updating a new Application + content: + application/json: + schema: + type: object + properties: + priority: + type: integer + app: + type: string + default: noop + appdata: + type: string + description: + type: string + interval: + type: string + default: "*,*,*,*" + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelApplication to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: OpenchannelApplication not found + "/openchannel/applications/{id}/destroy_many": + delete: + summary: Destroy an existing Application + security: + - BearerAuth: [] + operationId: deleteOpenchannelApplicationById + tags: + - Openchannel Applications + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelApplication to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: OpenchannelApplication not found + /openchannel/interactions: + get: + summary: Gets a list of Interactions + security: + - BearerAuth: [] + operationId: listAllOpenchannelInteraction + tags: + - Openchannel Interactions + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each OpenchannelInteraction + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Interactions + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/OpenchannelInteraction" + "206": + description: Partial (paged) collection of Interactions + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/OpenchannelInteraction" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Interaction + security: + - BearerAuth: [] + operationId: createOpenchannelInteraction + tags: + - Openchannel Interactions + requestBody: + required: true + description: data for creating a new Interaction + content: + application/json: + schema: + type: object + properties: + closed: + type: boolean + default: false + closedAt: + type: string + disposition: + type: string + secondDisposition: + type: string + thirdDisposition: + type: string + note: + type: string + read1stAt: + type: string + threadId: + type: string + externalUrl: + type: string + lastMsgAt: + type: string + lastMsgDirection: + type: string + enum: + - in + - out + default: in + from: + type: string + required: + - lastMsgDirection + responses: + "201": + description: OpenchannelInteraction successfully created. Returns the created + object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/OpenchannelInteraction" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /openchannel/interactions/describe: + get: + summary: Gets table info about Interactions + security: + - BearerAuth: [] + operationId: describeOpenchannelInteraction + tags: + - Openchannel Interactions + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/openchannel/interactions/{id}": + get: + summary: Gets a single Interaction + security: + - BearerAuth: [] + operationId: getOpenchannelInteractionById + tags: + - Openchannel Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelInteraction to get + responses: + "200": + description: OpenchannelInteraction with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/OpenchannelInteraction" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: OpenchannelInteraction not found + put: + summary: Update an existing Interaction + security: + - BearerAuth: [] + operationId: updateOpenchannelInteractionById + tags: + - Openchannel Interactions + requestBody: + required: true + description: data for updating a new Interaction + content: + application/json: + schema: + type: object + properties: + closed: + type: boolean + default: false + closedAt: + type: string + disposition: + type: string + secondDisposition: + type: string + thirdDisposition: + type: string + note: + type: string + read1stAt: + type: string + threadId: + type: string + externalUrl: + type: string + lastMsgAt: + type: string + lastMsgDirection: + type: string + enum: + - in + - out + default: in + from: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelInteraction to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: OpenchannelInteraction not found + "/openchannel/interactions/{id}/messages": + get: + summary: Gets interaction messages + security: + - BearerAuth: [] + operationId: getMessages + tags: + - Openchannel Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelInteraction + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new messages + security: + - BearerAuth: [] + operationId: addMessage + tags: + - Openchannel Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelInteraction + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/openchannel/interactions/{id}/download": + get: + summary: Gets interaction + security: + - BearerAuth: [] + operationId: download + tags: + - Openchannel Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelInteraction + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/openchannel/interactions/{id}/tags": + post: + summary: Add tags to the interaction + security: + - BearerAuth: [] + operationId: addTags + tags: + - Openchannel Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelInteraction + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes tags from interaction + security: + - BearerAuth: [] + operationId: removeTags + tags: + - Openchannel Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelInteraction + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/openchannel/interactions/{id}/destroy_many": + delete: + summary: Destroy an existing Interaction + security: + - BearerAuth: [] + operationId: deleteOpenchannelInteractionById + tags: + - Openchannel Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelInteraction to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: OpenchannelInteraction not found + /openchannel/messages: + get: + summary: Gets a list of Messages + security: + - BearerAuth: [] + operationId: listAllOpenchannelMessage + tags: + - Openchannel Messages + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each OpenchannelMessage + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Messages + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/OpenchannelMessage" + "206": + description: Partial (paged) collection of Messages + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/OpenchannelMessage" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Message + security: + - BearerAuth: [] + operationId: createOpenchannelMessage + tags: + - Openchannel Messages + requestBody: + required: true + description: data for creating a new Message + content: + application/json: + schema: + type: object + properties: + body: + type: string + read: + type: boolean + default: false + secret: + type: boolean + default: false + direction: + type: string + enum: + - in + - out + default: out + readAt: + type: string + providerName: + type: string + providerResponse: + type: string + required: + - body + - direction + responses: + "201": + description: OpenchannelMessage successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/OpenchannelMessage" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /openchannel/messages/describe: + get: + summary: Gets table info about Messages + security: + - BearerAuth: [] + operationId: describeOpenchannelMessage + tags: + - Openchannel Messages + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/openchannel/messages/{id}": + get: + summary: Gets a single Message + security: + - BearerAuth: [] + operationId: getOpenchannelMessageById + tags: + - Openchannel Messages + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelMessage to get + responses: + "200": + description: OpenchannelMessage with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/OpenchannelMessage" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: OpenchannelMessage not found + put: + summary: Update an existing Message + security: + - BearerAuth: [] + operationId: updateOpenchannelMessageById + tags: + - Openchannel Messages + requestBody: + required: true + description: data for updating a new Message + content: + application/json: + schema: + type: object + properties: + body: + type: string + read: + type: boolean + default: false + secret: + type: boolean + default: false + direction: + type: string + enum: + - in + - out + default: out + readAt: + type: string + providerName: + type: string + providerResponse: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelMessage to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: OpenchannelMessage not found + "/openchannel/messages/{id}/accept": + put: + summary: Accepts message + security: + - BearerAuth: [] + operationId: accept + tags: + - Openchannel Messages + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelMessage + responses: + "200": + description: successfully updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/openchannel/messages/{id}/reject": + put: + summary: Rejects message + security: + - BearerAuth: [] + operationId: reject + tags: + - Openchannel Messages + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelMessage + responses: + "200": + description: successfully updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/openchannel/messages/{id}/destroy_many": + delete: + summary: Destroy an existing Message + security: + - BearerAuth: [] + operationId: deleteOpenchannelMessageById + tags: + - Openchannel Messages + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelMessage to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: OpenchannelMessage not found + /openchannel/queues: + get: + summary: Gets a list of Queues + security: + - BearerAuth: [] + operationId: listAllOpenchannelQueue + tags: + - Openchannel Queues + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each OpenchannelQueue + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Queues + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/OpenchannelQueue" + "206": + description: Partial (paged) collection of Queues + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/OpenchannelQueue" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Queue + security: + - BearerAuth: [] + operationId: createOpenchannelQueue + tags: + - Openchannel Queues + requestBody: + required: true + description: data for creating a new Queue + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + timeout: + type: integer + strategy: + type: string + enum: + - rrmemory + - beepall + - roundrobin + responses: + "201": + description: OpenchannelQueue successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/OpenchannelQueue" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /openchannel/queues/describe: + get: + summary: Gets table info about Queues + security: + - BearerAuth: [] + operationId: describeOpenchannelQueue + tags: + - Openchannel Queues + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/openchannel/queues/{id}": + get: + summary: Gets a single Queue + security: + - BearerAuth: [] + operationId: getOpenchannelQueueById + tags: + - Openchannel Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelQueue to get + responses: + "200": + description: OpenchannelQueue with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/OpenchannelQueue" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: OpenchannelQueue not found + put: + summary: Update an existing Queue + security: + - BearerAuth: [] + operationId: updateOpenchannelQueueById + tags: + - Openchannel Queues + requestBody: + required: true + description: data for updating a new Queue + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + timeout: + type: integer + strategy: + type: string + enum: + - rrmemory + - beepall + - roundrobin + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelQueue to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: OpenchannelQueue not found + "/openchannel/queues/{id}/members": + get: + summary: GetMembers + security: + - BearerAuth: [] + operationId: getMembers + tags: + - Openchannel Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelQueue + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/openchannel/queues/{id}/teams": + get: + summary: Gets queues list + security: + - BearerAuth: [] + operationId: getTeams + tags: + - Openchannel Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelQueue + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add teams to a queue + security: + - BearerAuth: [] + operationId: addTeams + tags: + - Openchannel Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelQueue + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove teams from a queue + security: + - BearerAuth: [] + operationId: removeTeams + tags: + - Teams + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelQueue + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/openchannel/queues/{id}/users": + get: + summary: Gets queue agents + security: + - BearerAuth: [] + operationId: getAgents + tags: + - Openchannel Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelQueue + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add agents to a queue + security: + - BearerAuth: [] + operationId: addAgents + tags: + - Openchannel Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelQueue + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes agents from a queue + security: + - BearerAuth: [] + operationId: removeAgents + tags: + - Openchannel Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelQueue + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/openchannel/queues/{id}/destroy_many": + delete: + summary: Destroy an existing Queue + security: + - BearerAuth: [] + operationId: deleteOpenchannelQueueById + tags: + - Openchannel Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelQueue to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: OpenchannelQueue not found + /openchannel/reports/queue: + get: + summary: Gets a list of Openchannel Queue Reports + security: + - BearerAuth: [] + operationId: listAllOpenchannelQueueReport + tags: + - Openchannel Queue Reports + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each OpenchannelQueueReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Openchannel Queue Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/OpenchannelQueueReport" + "206": + description: Partial (paged) collection of Openchannel Queue Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/OpenchannelQueueReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Openchannel Queue Report + security: + - BearerAuth: [] + operationId: createOpenchannelQueueReport + tags: + - Openchannel Queue Reports + requestBody: + required: true + description: data for creating a new Openchannel Queue Report + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + from: + type: string + joinAt: + type: string + leaveAt: + type: string + acceptAt: + type: string + exitAt: + type: string + reason: + type: string + required: + - uniqueid + responses: + "201": + description: OpenchannelQueueReport successfully created. Returns the created + object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/OpenchannelQueueReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /openchannel/reports/queue/describe: + get: + summary: Gets table info about Openchannel Queue Reports + security: + - BearerAuth: [] + operationId: describeOpenchannelQueueReport + tags: + - Openchannel Queue Reports + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/openchannel/reports/queue/{id}": + get: + summary: Gets a single Openchannel Queue Report + security: + - BearerAuth: [] + operationId: getOpenchannelQueueReportById + tags: + - Openchannel Queue Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelQueueReport to get + responses: + "200": + description: OpenchannelQueueReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/OpenchannelQueueReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: OpenchannelQueueReport not found + put: + summary: Update an existing Openchannel Queue Report + security: + - BearerAuth: [] + operationId: updateOpenchannelQueueReportById + tags: + - Openchannel Queue Reports + requestBody: + required: true + description: data for updating a new Openchannel Queue Report + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + from: + type: string + joinAt: + type: string + leaveAt: + type: string + acceptAt: + type: string + exitAt: + type: string + reason: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelQueueReport to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: OpenchannelQueueReport not found + "/openchannel/reports/queue/{id}/destroy_many": + delete: + summary: Destroy an existing Openchannel Queue Report + security: + - BearerAuth: [] + operationId: deleteOpenchannelQueueReportById + tags: + - Openchannel Queue Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelQueueReport to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: OpenchannelQueueReport not found + /openchannel/reports/transfer: + get: + summary: Gets a list of Openchannel Transfer Reports + security: + - BearerAuth: [] + operationId: listAllOpenchannelTransferReport + tags: + - Openchannel Transfer Reports + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each OpenchannelTransferReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Openchannel Transfer Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/OpenchannelTransferReport" + "206": + description: Partial (paged) collection of Openchannel Transfer Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/OpenchannelTransferReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Openchannel Transfer Report + security: + - BearerAuth: [] + operationId: createOpenchannelTransferReport + tags: + - Openchannel Transfer Reports + requestBody: + required: true + description: data for creating a new Openchannel Transfer Report + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + type: + type: string + enum: + - account + - agent + - queue + default: queue + transferredAt: + type: string + default: NOW + required: + - uniqueid + - type + - transferredAt + responses: + "201": + description: OpenchannelTransferReport successfully created. Returns the created + object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/OpenchannelTransferReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /openchannel/reports/transfer/describe: + get: + summary: Gets table info about Openchannel Transfer Reports + security: + - BearerAuth: [] + operationId: describeOpenchannelTransferReport + tags: + - Openchannel Transfer Reports + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/openchannel/reports/transfer/{id}": + get: + summary: Gets a single Openchannel Transfer Report + security: + - BearerAuth: [] + operationId: getOpenchannelTransferReportById + tags: + - Openchannel Transfer Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelTransferReport to get + responses: + "200": + description: OpenchannelTransferReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/OpenchannelTransferReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: OpenchannelTransferReport not found + put: + summary: Update an existing Openchannel Transfer Report + security: + - BearerAuth: [] + operationId: updateOpenchannelTransferReportById + tags: + - Openchannel Transfer Reports + requestBody: + required: true + description: data for updating a new Openchannel Transfer Report + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + type: + type: string + enum: + - account + - agent + - queue + default: queue + transferredAt: + type: string + default: NOW + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelTransferReport to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: OpenchannelTransferReport not found + "/openchannel/reports/transfer/{id}/destroy_many": + delete: + summary: Destroy an existing Openchannel Transfer Report + security: + - BearerAuth: [] + operationId: deleteOpenchannelTransferReportById + tags: + - Openchannel Transfer Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the OpenchannelTransferReport to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: OpenchannelTransferReport not found + /pauses: + get: + summary: Gets a list of Pauses + security: + - BearerAuth: [] + operationId: listAllPause + tags: + - Pauses + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each Pause + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Pauses + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Pause" + "206": + description: Partial (paged) collection of Pauses + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Pause" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Pause + security: + - BearerAuth: [] + operationId: createPause + tags: + - Pauses + requestBody: + required: true + description: data for creating a new Pause + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + required: + - name + responses: + "201": + description: Pause successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/Pause" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/pauses/{id}": + get: + summary: Gets a single Pause + security: + - BearerAuth: [] + operationId: getPauseById + tags: + - Pauses + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Pause to get + responses: + "200": + description: Pause with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/Pause" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Pause not found + put: + summary: Update an existing Pause + security: + - BearerAuth: [] + operationId: updatePauseById + tags: + - Pauses + requestBody: + required: true + description: data for updating a new Pause + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Pause to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Pause not found + "/pauses/{id}/destroy_many": + delete: + summary: Destroy an existing Pause + security: + - BearerAuth: [] + operationId: deletePauseById + tags: + - Pauses + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Pause to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Pause not found + /plugins: + get: + summary: Gets a list of Plugins + security: + - BearerAuth: [] + operationId: listAllPlugin + tags: + - Plugins + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each Plugin + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Plugins + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Plugin" + "206": + description: Partial (paged) collection of Plugins + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Plugin" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /plugins/webhook: + get: + summary: Redirect a plugin request to the specified path + operationId: webhookGet + tags: + - Plugins + responses: + "200": + description: success + content: + application/json: + schema: + type: object + post: + summary: Redirect a plugin request to the specified path + operationId: webhookPost + tags: + - Plugins + responses: + "201": + description: successfully created + "/plugins/{id}": + get: + summary: Gets a single Plugin + security: + - BearerAuth: [] + operationId: getPluginById + tags: + - Plugins + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Plugin to get + responses: + "200": + description: Plugin with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/Plugin" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Plugin not found + put: + summary: Update an existing plugin + security: + - BearerAuth: [] + operationId: update + tags: + - Plugins + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Plugin + responses: + "200": + description: successfully updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Delete a plugin + security: + - BearerAuth: [] + operationId: delete + tags: + - Plugins + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Plugin + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/plugins/{id}/download": + get: + summary: Download plugin source code + security: + - BearerAuth: [] + operationId: download + tags: + - Plugins + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Plugin + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /plugins/: + post: + summary: Upload new plugin + security: + - BearerAuth: [] + operationId: postupload + tags: + - Plugins + requestBody: + required: true + description: data for uploading a file + content: + multipart/form-data: + schema: + type: object + properties: + file: + type: string + format: binary + responses: + "201": + description: success. + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /pm2: + get: + summary: Gets pm2 processes + security: + - BearerAuth: [] + operationId: getPm2Processes + tags: + - Pm2 + responses: + "200": + description: list of processes + content: + application/json: + schema: + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Start a single pm2 process + security: + - BearerAuth: [] + operationId: startPm2Process + tags: + - Pm2 + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the process + requestBody: + required: true + description: process data + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: process name + script: + type: string + description: script name + required: + - name + - script + responses: + "201": + description: successfully started + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Process not found + "/pm2/{id}": + get: + summary: Gets a single pm2 process by id + security: + - BearerAuth: [] + operationId: getPm2Process + tags: + - Pm2 + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the process + responses: + "200": + description: process information + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Process not found + put: + summary: Update an existing process + security: + - BearerAuth: [] + operationId: updatePm2Process + tags: + - Pm2 + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the process + requestBody: + required: true + description: process data + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: process name + script: + type: string + description: script name + required: + - name + - script + responses: + "200": + description: successfully updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Process not found + delete: + summary: Delete an existing process by id + security: + - BearerAuth: [] + operationId: deletePm2Process + tags: + - Pm2 + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the process + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Process not found + /rpc/voice/channels: + get: + summary: Gets a list of RTVoiceChannels + security: + - BearerAuth: [] + operationId: getRTVoiceChannels + tags: + - RPC Realtime + responses: + "200": + description: list of RTVoiceChannels + content: + application/json: + schema: + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/rpc/voice/channels/{uniqueid}/mixmonitor": + get: + summary: Gets a list of RTVoiceChannelMixMonitor + security: + - BearerAuth: [] + operationId: getRTVoiceChannelMixMonitor + tags: + - RPC Realtime + parameters: + - in: path + name: uniqueid + schema: + type: integer + required: true + description: unique id of the channel + responses: + "200": + description: list of RTVoiceChannelMixMonitor + content: + application/json: + schema: + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/rpc/voice/channels/{uniqueid}/stopmixmonitor": + get: + summary: Gets a list of RTVoiceChannelStopMixMonitor + security: + - BearerAuth: [] + operationId: getRTVoiceChannelStopMixMonitor + tags: + - RPC Realtime + parameters: + - in: path + name: uniqueid + schema: + type: integer + required: true + description: unique id of the channel + responses: + "200": + description: list of RTVoiceChannelStopMixMonitor + content: + application/json: + schema: + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /rpc/voice/queues: + get: + summary: Gets a list of RTVoiceQueues + security: + - BearerAuth: [] + operationId: getRTVoiceQueues + tags: + - RPC Realtime + responses: + "200": + description: list of RTVoiceQueues + content: + application/json: + schema: + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /rpc/chat/queues: + get: + summary: Gets a list of RTChatQueues + security: + - BearerAuth: [] + operationId: getRTChatQueues + tags: + - RPC Realtime + responses: + "200": + description: list of RTChatQueues + content: + application/json: + schema: + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /rpc/mail/queues: + get: + summary: Gets a list of RTMailQueues + security: + - BearerAuth: [] + operationId: getRTMailQueues + tags: + - RPC Realtime + responses: + "200": + description: list of RTMailQueues + content: + application/json: + schema: + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /rpc/mail/accounts: + get: + summary: Gets a list of RTMailAccounts + security: + - BearerAuth: [] + operationId: getRTMailAccounts + tags: + - RPC Realtime + responses: + "200": + description: list of RTMailAccounts + content: + application/json: + schema: + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /rpc/openchannel/queues: + get: + summary: Gets a list of RTOpenchannelQueues + security: + - BearerAuth: [] + operationId: getRTOpenchannelQueues + tags: + - RPC Realtime + responses: + "200": + description: list of RTOpenchannelQueues + content: + application/json: + schema: + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /rpc/sms/queues: + get: + summary: Gets a list of RTSmsQueues + security: + - BearerAuth: [] + operationId: getRTSmsQueues + tags: + - RPC Realtime + responses: + "200": + description: list of RTSmsQueues + content: + application/json: + schema: + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /rpc/whatsapp/queues: + get: + summary: Gets a list of RTWhatsappQueues + security: + - BearerAuth: [] + operationId: getRTWhatsappQueues + tags: + - RPC Realtime + responses: + "200": + description: list of RTWhatsappQueues + content: + application/json: + schema: + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /rpc/fax/queues: + get: + summary: Gets a list of RTFaxQueues + security: + - BearerAuth: [] + operationId: getRTFaxQueues + tags: + - RPC Realtime + responses: + "200": + description: list of RTFaxQueues + content: + application/json: + schema: + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/rpc/voice/queues/preview/{id}": + get: + summary: Gets a single preview contact + security: + - BearerAuth: [] + operationId: getRTVoiceQueuePreview + tags: + - RPC Realtime + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the preview + responses: + "200": + description: preview contact + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: preview contact not found + /rpc/voice/queues/channels: + get: + summary: Gets a list of RTVoiceQueuesChannels + security: + - BearerAuth: [] + operationId: getRTVoiceQueuesChannels + tags: + - RPC Realtime + responses: + "200": + description: list of RTVoiceQueuesChannels + content: + application/json: + schema: + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/rpc/voice/queues/channels/{uniqueid}": + get: + summary: Gets a single RTVoiceQueueChannel + security: + - BearerAuth: [] + operationId: getRTVoiceQueueChannel + tags: + - RPC Realtime + parameters: + - in: path + name: uniqueid + schema: + type: integer + required: true + description: unique id of the channel + responses: + "200": + description: RT Voice Queue Channel + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Channel not found + "/rpc/voice/queues/channels/{uniqueid}/hangup": + get: + summary: Hangup a single RTVoiceQueueChannel + security: + - BearerAuth: [] + operationId: hangupRTVoiceQueueChannel + tags: + - RPC Realtime + parameters: + - in: path + name: uniqueid + schema: + type: integer + required: true + description: unique id of the channel + responses: + "200": + description: Hangup ok + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Channel not found + "/rpc/voice/queues/channels/{uniqueid}/redirect/{exten}": + get: + summary: Redirect a single RTVoiceQueueChannel to a specific extension. + security: + - BearerAuth: [] + operationId: redirectRTVoiceQueueChannel + tags: + - RPC Realtime + parameters: + - in: path + name: uniqueid + schema: + type: integer + required: true + description: unique id of the channel + - in: path + name: exten + schema: + type: string + required: true + description: extension name + responses: + "200": + description: Redirect ok + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Channel or extension not found + "/rpc/voice/queues/{id}": + get: + summary: Gets a single RTVoiceQueue + security: + - BearerAuth: [] + operationId: getRTVoiceQueue + tags: + - RPC Realtime + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the queue + responses: + "200": + description: RT Voice Queue + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: RT Voice Queue not found + /rpc/outbound: + get: + summary: Gets a list of RTOutbound + security: + - BearerAuth: [] + operationId: getRTOutbound + tags: + - RPC Realtime + responses: + "200": + description: list of RTOutbound + content: + application/json: + schema: + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /rpc/outbound/channels: + get: + summary: Gets a list of RTOutboundChannels + security: + - BearerAuth: [] + operationId: getRTOutboundChannels + tags: + - RPC Realtime + responses: + "200": + description: list of RTOutboundChannels + content: + application/json: + schema: + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /rpc/agents: + get: + summary: Gets a list of RTAgents + security: + - BearerAuth: [] + operationId: getRTAgents + tags: + - RPC Realtime + responses: + "200": + description: list of RTAgents + content: + application/json: + schema: + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/rpc/agents/{id}/capacity": + put: + summary: Sets agent capacity + security: + - BearerAuth: [] + operationId: putRTAgentCapacity + tags: + - RPC Realtime + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the agent + requestBody: + required: true + description: Desired capacity payload + content: + application/json: + schema: + type: object + properties: + capacity: + type: integer + description: the desired capacity + interaction: + type: object + description: interaction + required: + - capacity + - interaction + responses: + "200": + description: Capacity updated + content: + application/json: + schema: + type: object + properties: + id: + type: integer + description: agent id + capacity: + type: integer + description: agent capacity + required: + - id + - capacity + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Agent not found + /rpc/telephones: + get: + summary: Gets a list of RTTelephones + security: + - BearerAuth: [] + operationId: getRTTelephones + tags: + - RPC Realtime + responses: + "200": + description: list of RTTelephones + content: + application/json: + schema: + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /rpc/trunks: + get: + summary: Gets a list of RTTrunks + security: + - BearerAuth: [] + operationId: getRTTrunks + tags: + - RPC Realtime + responses: + "200": + description: list of RTTrunks + content: + application/json: + schema: + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /rpc/campaigns: + get: + summary: Gets a list of campaigns + security: + - BearerAuth: [] + operationId: getCampaigns + tags: + - RPC Realtime + responses: + "200": + description: list of campaigns + content: + application/json: + schema: + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /rpc/fax/accounts: + get: + summary: Gets a list of FaxAccounts + security: + - BearerAuth: [] + operationId: getFaxAccounts + tags: + - RPC Realtime + responses: + "200": + description: list of FaxAccounts + content: + application/json: + schema: + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /rpc/chat/queues/waitinginteractions: + get: + summary: Gets a list of chatQueuesWaitingInteractions + security: + - BearerAuth: [] + operationId: getChatQueuesWaitingInteractions + tags: + - RPC Realtime + responses: + "200": + description: list of chatQueuesWaitingInteractions + content: + application/json: + schema: + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /rpc/mail/queues/waitinginteractions: + get: + summary: Gets a list of mailQueuesWaitingInteractions + security: + - BearerAuth: [] + operationId: getMailQueuesWaitingInteractions + tags: + - RPC Realtime + responses: + "200": + description: list of mailQueuesWaitingInteractions + content: + application/json: + schema: + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /rpc/openchannel/queues/waitinginteractions: + get: + summary: Gets a list of openchannelQueuesWaitingInteractions + security: + - BearerAuth: [] + operationId: getOpenchannelQueuesWaitingInteractions + tags: + - RPC Realtime + responses: + "200": + description: list of openchannelQueuesWaitingInteractions + content: + application/json: + schema: + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/rpc/openchannel/queues/{id}/waitinginteractions": + get: + summary: Gets a list of openchannelQueuesIdWaitingInteractions for the queue + security: + - BearerAuth: [] + operationId: getOpenchannelQueuesWaitingInteractionsForId + tags: + - RPC Realtime + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the queue + responses: + "200": + description: list of openchannelQueuesIdWaitingInteractions + content: + application/json: + schema: + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /rpc/sms/queues/waitinginteractions: + get: + summary: Gets a list of smsQueuesWaitingInteractions + security: + - BearerAuth: [] + operationId: getSmsQueuesWaitingInteractions + tags: + - RPC Realtime + responses: + "200": + description: list of getSmsQueuesWaitingInteractions + content: + application/json: + schema: + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /rpc/whatsapp/queues/waitinginteractions: + get: + summary: Gets a list of whatsappQueuesWaitingInteractions + security: + - BearerAuth: [] + operationId: getWhatsappQueuesWaitingInteractions + tags: + - RPC Realtime + responses: + "200": + description: list of whatsappQueuesWaitingInteractions + content: + application/json: + schema: + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /rpc/fax/queues/waitinginteractions: + get: + summary: Gets a list of faxQueuesWaitingInteractions + security: + - BearerAuth: [] + operationId: getFaxQueuesWaitingInteractions + tags: + - RPC Realtime + responses: + "200": + description: list of faxQueuesWaitingInteractions + content: + application/json: + schema: + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/rpc/mail/queues/{id}/notify": + post: + summary: Notify message to a specific mail queue + security: + - BearerAuth: [] + operationId: postMailQueueNotify + tags: + - RPC Realtime + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the agent + requestBody: + required: true + description: message to be notified + content: + application/json: + schema: + type: object + responses: + "200": + description: Notification + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Agent not found + "/rpc/mail/queues/{id}": + get: + summary: Gets a single RTMailQueue + security: + - BearerAuth: [] + operationId: getRTMailQueue + tags: + - RPC Realtime + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the queue + responses: + "200": + description: Queue + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Queue not found + "/rpc/chat/queues/{id}/notify": + post: + summary: Notify message to a specific chat queue + security: + - BearerAuth: [] + operationId: postChatQueueNotify + tags: + - RPC Realtime + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the agent + responses: + "200": + description: Notification + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Agent not found + "/rpc/chat/queues/{id}": + get: + summary: Gets a single RTChatQueue + security: + - BearerAuth: [] + operationId: getRTChatQueue + tags: + - RPC Realtime + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the queue + responses: + "200": + description: Queue + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Queue not found + "/rpc/fax/queues/{id}/notify": + post: + summary: Notify message to a specific fax queue + security: + - BearerAuth: [] + operationId: postFaxQueueNotify + tags: + - RPC Realtime + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the queue + responses: + "200": + description: Notification + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Queue not found + "/rpc/fax/queues/{id}": + get: + summary: Gets a single RTFaxQueue + security: + - BearerAuth: [] + operationId: getRTFaxQueue + tags: + - RPC Realtimen + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the queue + responses: + "200": + description: Queue + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Queue not found + "/rpc/openchannel/queues/{id}/notify": + post: + summary: Notify message to a specific openchannel queue + security: + - BearerAuth: [] + operationId: postOpenchannelQueueNotify + tags: + - RPC Realtime + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the queue + responses: + "200": + description: Notification + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Process not found + "/rpc/openchannel/queues/{id}": + get: + summary: Gets a single RTOpenchannelQueue + security: + - BearerAuth: [] + operationId: getRTOpenchannelQueue + tags: + - RPC Realtime + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the queue + responses: + "200": + description: Queue + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Queue not found + "/rpc/sms/queues/{id}/notify": + post: + summary: Notify message to a specific sms queue + security: + - BearerAuth: [] + operationId: postSmsQueueNotify + tags: + - RPC Realtime + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the queue + responses: + "200": + description: Notification + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Process not found + "/rpc/whatsapp/queues/{id}/notify": + post: + summary: Notify message to a specific agent + security: + - BearerAuth: [] + operationId: postAgentNotify + tags: + - RPC Realtime + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the agent + responses: + "200": + description: Notification + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Agent not found + "/rpc/sms/queues/{id}": + get: + summary: Gets a single RTSmsQueue + security: + - BearerAuth: [] + operationId: getRTSmsQueue + tags: + - RPC Realtime + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the queue + responses: + "200": + description: Queue + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Queue not found + "/rpc/whatsapp/queues/{id}": + get: + summary: Gets a single RTWhatsappQueue + security: + - BearerAuth: [] + operationId: getRTWhatsappQueue + tags: + - RPC Realtime + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the queue + responses: + "200": + description: Queue + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Queue not found + /schedules: + get: + summary: Gets a list of Schedules + security: + - BearerAuth: [] + operationId: listAllSchedule + tags: + - Schedules + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each Schedule + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Schedules + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Schedule" + "206": + description: Partial (paged) collection of Schedules + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Schedule" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Schedule + security: + - BearerAuth: [] + operationId: createSchedule + tags: + - Schedules + requestBody: + required: true + description: data for creating a new Schedule + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + active: + type: boolean + default: false + cron: + type: string + default: 0 0 * * * + startAt: + type: string + endAt: + type: string + subtractNumber: + type: integer + default: 1 + subtractUnit: + type: string + enum: + - years + - quarters + - months + - weeks + - days + - hours + - minutes + default: days + output: + type: string + enum: + - csv + - pdf + - xlsx + default: csv + type: + type: string + enum: + - custom + - default + default: custom + sendMail: + type: boolean + default: false + email: + type: string + cc: + type: string + bcc: + type: string + sendIfEmpty: + type: boolean + default: true + required: + - name + - active + - cron + - startAt + - endAt + - subtractNumber + - subtractUnit + - output + - type + responses: + "201": + description: Schedule successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/Schedule" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/schedules/{id}": + get: + summary: Gets a single Schedule + security: + - BearerAuth: [] + operationId: getScheduleById + tags: + - Schedules + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Schedule to get + responses: + "200": + description: Schedule with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/Schedule" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Schedule not found + put: + summary: Update an existing Schedule + security: + - BearerAuth: [] + operationId: updateScheduleById + tags: + - Schedules + requestBody: + required: true + description: data for updating a new Schedule + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + active: + type: boolean + default: false + cron: + type: string + default: 0 0 * * * + startAt: + type: string + endAt: + type: string + subtractNumber: + type: integer + default: 1 + subtractUnit: + type: string + enum: + - years + - quarters + - months + - weeks + - days + - hours + - minutes + default: days + output: + type: string + enum: + - csv + - pdf + - xlsx + default: csv + type: + type: string + enum: + - custom + - default + default: custom + sendMail: + type: boolean + default: false + email: + type: string + cc: + type: string + bcc: + type: string + sendIfEmpty: + type: boolean + default: true + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Schedule to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Schedule not found + "/schedules/{id}/run": + get: + summary: Run Scheduler + security: + - BearerAuth: [] + operationId: run + tags: + - Schedules + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Schedule + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/schedules/{id}/destroy_many": + delete: + summary: Destroy an existing Schedule + security: + - BearerAuth: [] + operationId: deleteScheduleById + tags: + - Schedules + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Schedule to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Schedule not found + /screen/recordings: + get: + summary: Gets a list of Recordings + security: + - BearerAuth: [] + operationId: listAllScreenRecording + tags: + - Screen Recordings + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each ScreenRecording + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Recordings + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ScreenRecording" + "206": + description: Partial (paged) collection of Recordings + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/ScreenRecording" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Recording + security: + - BearerAuth: [] + operationId: createScreenRecording + tags: + - Screen Recordings + requestBody: + required: true + description: data for creating a new Recording + content: + application/json: + schema: + type: object + properties: + format: + type: string + interactionid: + type: string + channel: + type: string + value: + type: string + rating: + type: integer + duration: + type: integer + startedAt: + type: string + closedAt: + type: string + createdAt: + type: string + updatedAt: + type: string + responses: + "201": + description: ScreenRecording successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/ScreenRecording" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /screen/recordings/describe: + get: + summary: Gets table info about Recordings + security: + - BearerAuth: [] + operationId: describeScreenRecording + tags: + - Screen Recordings + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/screen/recordings/{id}": + get: + summary: Gets a single Recording + security: + - BearerAuth: [] + operationId: getScreenRecordingById + tags: + - Screen Recordings + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ScreenRecording to get + responses: + "200": + description: ScreenRecording with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/ScreenRecording" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ScreenRecording not found + put: + summary: Update an existing Recording + security: + - BearerAuth: [] + operationId: updateScreenRecordingById + tags: + - Screen Recordings + requestBody: + required: true + description: data for updating a new Recording + content: + application/json: + schema: + type: object + properties: + format: + type: string + interactionid: + type: string + channel: + type: string + value: + type: string + rating: + type: integer + duration: + type: integer + startedAt: + type: string + closedAt: + type: string + createdAt: + type: string + updatedAt: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ScreenRecording to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: ScreenRecording not found + delete: + summary: Delete screen recording + security: + - BearerAuth: [] + operationId: destroy + tags: + - Screen Recordings + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ScreenRecording + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/screen/recordings/{id}/download": + get: + summary: Download Recording + security: + - BearerAuth: [] + operationId: download + tags: + - Screen Recordings + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ScreenRecording + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /settings: + get: + summary: Gets a list of Settings + security: + - BearerAuth: [] + operationId: listAllSetting + tags: + - Settings + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each Setting + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Settings + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Setting" + "206": + description: Partial (paged) collection of Settings + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Setting" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /settings/now: + get: + summary: Get Server Current Date + operationId: getDate + tags: + - Settings + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "/settings/{id}": + get: + summary: Gets a single Setting + operationId: getSettingById + tags: + - Settings + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Setting to get + responses: + "200": + description: Setting with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/Setting" + "404": + description: Setting not found + put: + summary: Update an existing Setting + security: + - BearerAuth: [] + operationId: updateSettingById + tags: + - Settings + requestBody: + required: true + description: data for updating a new Setting + content: + application/json: + schema: + type: object + properties: + min_internal: + type: integer + default: 1000 + min_mailbox: + type: integer + default: 1000 + agi_port: + type: integer + default: 4573 + soundPath: + type: string + default: /var/opt/motion2/server/files/sounds/converted + logo: + type: string + defaultLogo: + type: boolean + default: true + loginLogo: + type: string + defaultLoginLogo: + type: boolean + default: true + headerWhiteLabel: + type: string + defaultHeaderWhiteLabel: + type: boolean + default: true + securePassword: + type: boolean + default: true + firstSetup: + type: boolean + default: true + stunaddr: + type: string + turnaddr: + type: string + turnusername: + type: string + turnpassword: + type: string + pageTitle: + type: string + defaultPageTitle: + type: boolean + default: true + callRecordingEncryption: + type: boolean + default: false + passwordExpiresDays: + type: integer + default: 90 + phoneBarAutoUpdater: + type: boolean + default: true + phoneBarAutoUpdaterUrl: + type: string + default: https://www.xcally.com/bar/phonebar/autoupdater.xml + enableEmailPreview: + type: boolean + default: false + split: + type: boolean + default: true + splitSizeCsv: + type: integer + default: 5000 + splitSizePdf: + type: integer + default: 5000 + splitSizeXlsx: + type: integer + default: 5000 + messagesAlignment: + type: string + default: alternate + chatTimeout: + type: integer + default: 30 + phoneBarRememberMeEnabled: + type: boolean + default: true + preferred: + type: string + defaultPreferred: + type: boolean + default: true + rtlSupport: + type: boolean + default: false + defaultScreenRecordingPath: + type: string + allowedLoginAttempts: + type: integer + default: 5 + blockDuration: + type: integer + default: 10 + favicon: + type: string + defaultFavicon: + type: boolean + default: true + loginMailFrequency: + type: integer + default: 10 + transcribe: + type: boolean + default: false + automaticTranscribe: + type: boolean + default: false + transcribeAccountId: + type: integer + transcribeRegion: + type: string + sentiment: + type: boolean + default: false + automaticSentiment: + type: boolean + default: false + sentimentAccountId: + type: integer + sentimentRegion: + type: string + language: + type: string + bucket: + type: string + googleSsoEnabled: + type: boolean + default: false + enforcePasswordHistory: + type: boolean + default: true + passwordHistoryLimit: + type: integer + default: 3 + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Setting to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Setting not found + "/settings/{id}/logo": + get: + summary: Get logo + operationId: getLogo + tags: + - Settings + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Setting + responses: + "200": + description: success + content: + application/json: + schema: + type: object + post: + summary: Add logo + security: + - BearerAuth: [] + operationId: postaddLogo + tags: + - Settings + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Setting + requestBody: + required: true + description: data for uploading a file + content: + multipart/form-data: + schema: + type: object + properties: + file: + type: string + format: binary + responses: + "201": + description: success. + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/settings/{id}/logo_login": + get: + summary: Get logo login + operationId: getLogoLogin + tags: + - Settings + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Setting + responses: + "200": + description: success + content: + application/json: + schema: + type: object + post: + summary: Add logo login + security: + - BearerAuth: [] + operationId: postaddLogoLogin + tags: + - Settings + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Setting + requestBody: + required: true + description: data for uploading a file + content: + multipart/form-data: + schema: + type: object + properties: + file: + type: string + format: binary + responses: + "201": + description: success. + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/settings/{id}/gdpr": + get: + summary: Get gdpr settings + operationId: gdpr + tags: + - Settings + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Setting + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "/settings/{id}/preferred": + get: + summary: Get Preferred + operationId: getPreferred + tags: + - Settings + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Setting + responses: + "200": + description: success + content: + application/json: + schema: + type: object + post: + summary: Add Preferred + security: + - BearerAuth: [] + operationId: postaddPreferred + tags: + - Settings + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Setting + requestBody: + required: true + description: data for uploading a file + content: + multipart/form-data: + schema: + type: object + properties: + file: + type: string + format: binary + responses: + "201": + description: success. + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/settings/{id}/favicon": + get: + summary: Get Favicon + operationId: getFavicon + tags: + - Settings + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Setting + responses: + "200": + description: success + content: + application/json: + schema: + type: object + post: + summary: Add Favicon + security: + - BearerAuth: [] + operationId: postaddFavicon + tags: + - Settings + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Setting + requestBody: + required: true + description: data for uploading a file + content: + multipart/form-data: + schema: + type: object + properties: + file: + type: string + format: binary + responses: + "201": + description: success. + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /sms/accounts: + get: + summary: Gets a list of Accounts + security: + - BearerAuth: [] + operationId: listAllSmsAccount + tags: + - Sms Accounts + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each SmsAccount + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Accounts + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SmsAccount" + "206": + description: Partial (paged) collection of Accounts + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SmsAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Account + security: + - BearerAuth: [] + operationId: createSmsAccount + tags: + - Sms Accounts + requestBody: + required: true + description: data for creating a new Account + content: + application/json: + schema: + type: object + properties: + name: + type: string + key: + type: string + remote: + type: string + token: + type: string + default: XiWeIvQm9jR44uKXXbaTAMRW3cKSKIDM + phone: + type: string + type: + type: string + enum: + - twilio + - skebby + - connectel + - clicksend + - plivo + - clickatell + - csc + - infobip + - intelepeer + accountSid: + type: string + authId: + type: string + authToken: + type: string + smsMethod: + type: string + enum: + - SI + - TI + - GP + username: + type: string + password: + type: string + apiKey: + type: string + senderString: + type: string + deliveryReport: + type: boolean + default: false + description: + type: string + notificationTemplate: + type: string + default: "Account: {{account.name}}
{{#queue}}Queue: + {{queue.name}}
{{/queue}}From : {{from}}" + notificationSound: + type: boolean + default: true + notificationShake: + type: boolean + default: false + waitForTheAssignedAgent: + type: integer + default: 10 + queueTransfer: + type: boolean + default: false + queueTransferTimeout: + type: integer + default: 300 + agentTransfer: + type: boolean + default: false + agentTransferTimeout: + type: integer + default: 300 + baseUrl: + type: string + mandatoryDispositionPauseId: + type: integer + description: Status to put when mandatory disposition is enabled + mandatoryDisposition: + type: boolean + description: Enabled/disables mandatory dispo on a queue + default: false + required: + - name + - key + - remote + responses: + "201": + description: SmsAccount successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/SmsAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /sms/accounts/describe: + get: + summary: Gets table info about Accounts + security: + - BearerAuth: [] + operationId: describeSmsAccount + tags: + - Sms Accounts + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/sms/accounts/{id}": + get: + summary: Gets a single Account + security: + - BearerAuth: [] + operationId: getSmsAccountById + tags: + - Sms Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsAccount to get + responses: + "200": + description: SmsAccount with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/SmsAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SmsAccount not found + put: + summary: Update an existing Account + security: + - BearerAuth: [] + operationId: updateSmsAccountById + tags: + - Sms Accounts + requestBody: + required: true + description: data for updating a new Account + content: + application/json: + schema: + type: object + properties: + name: + type: string + key: + type: string + remote: + type: string + token: + type: string + default: 5sbjWGiIAFCaMsOZLSTaVBFZQrZ6BXaO + phone: + type: string + type: + type: string + enum: + - twilio + - skebby + - connectel + - clicksend + - plivo + - clickatell + - csc + - infobip + - intelepeer + accountSid: + type: string + authId: + type: string + authToken: + type: string + smsMethod: + type: string + enum: + - SI + - TI + - GP + username: + type: string + password: + type: string + apiKey: + type: string + senderString: + type: string + deliveryReport: + type: boolean + default: false + description: + type: string + notificationTemplate: + type: string + default: "Account: {{account.name}}
{{#queue}}Queue: + {{queue.name}}
{{/queue}}From : {{from}}" + notificationSound: + type: boolean + default: true + notificationShake: + type: boolean + default: false + waitForTheAssignedAgent: + type: integer + default: 10 + queueTransfer: + type: boolean + default: false + queueTransferTimeout: + type: integer + default: 300 + agentTransfer: + type: boolean + default: false + agentTransferTimeout: + type: integer + default: 300 + baseUrl: + type: string + mandatoryDispositionPauseId: + type: integer + description: Status to put when mandatory disposition is enabled + mandatoryDisposition: + type: boolean + description: Enabled/disables mandatory dispo on a queue + default: false + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsAccount to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SmsAccount not found + "/sms/accounts/{id}/dispositions": + get: + summary: Gets account dispositions + security: + - BearerAuth: [] + operationId: getDispositions + tags: + - Sms Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new disposition + security: + - BearerAuth: [] + operationId: addDisposition + tags: + - Sms Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes dispositions from account + security: + - BearerAuth: [] + operationId: removeDispositions + tags: + - Sms Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsAccount + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/sms/accounts/{id}/canned_answers": + get: + summary: Gets account canned answers + security: + - BearerAuth: [] + operationId: getAnswers + tags: + - Sms Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new canned answer + security: + - BearerAuth: [] + operationId: addAnswer + tags: + - Sms Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes canned answers from account + security: + - BearerAuth: [] + operationId: removeAnswers + tags: + - Sms Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsAccount + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/sms/accounts/{id}/applications": + get: + summary: Gets account applications + security: + - BearerAuth: [] + operationId: getApplications + tags: + - Sms Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new applications + security: + - BearerAuth: [] + operationId: addApplications + tags: + - Sms Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/sms/accounts/{id}/interactions": + get: + summary: Gets Sms Account interactions + security: + - BearerAuth: [] + operationId: getInteractions + tags: + - Sms Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/sms/accounts/{id}/status": + get: + summary: Receive message status as get request + operationId: statusGet + tags: + - Sms Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + post: + summary: Receive message status + operationId: status + tags: + - Sms Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsAccount + responses: + "201": + description: successfully created + "/sms/accounts/{id}/users": + get: + summary: Gets agents from sms account + security: + - BearerAuth: [] + operationId: getAgents + tags: + - Sms Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add agents to a sms account + security: + - BearerAuth: [] + operationId: addAgents + tags: + - Sms Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes agents from a sms account + security: + - BearerAuth: [] + operationId: removeAgents + tags: + - Sms Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsAccount + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/sms/accounts/{id}/notify": + post: + summary: Notify new message + operationId: notify + tags: + - Sms Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsAccount + responses: + "201": + description: successfully created + "/sms/accounts/{id}/send": + post: + summary: Send new sms message + security: + - BearerAuth: [] + operationId: send + tags: + - Sms Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/sms/accounts/{id}/destroy_many": + delete: + summary: Destroy an existing Account + security: + - BearerAuth: [] + operationId: deleteSmsAccountById + tags: + - Sms Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsAccount to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SmsAccount not found + /sms/applications: + get: + summary: Gets a list of Applications + security: + - BearerAuth: [] + operationId: listAllSmsApplication + tags: + - Sms Applications + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each SmsApplication + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Applications + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SmsApplication" + "206": + description: Partial (paged) collection of Applications + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SmsApplication" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Application + security: + - BearerAuth: [] + operationId: createSmsApplication + tags: + - Sms Applications + requestBody: + required: true + description: data for creating a new Application + content: + application/json: + schema: + type: object + properties: + priority: + type: integer + app: + type: string + default: noop + appdata: + type: string + description: + type: string + interval: + type: string + default: "*,*,*,*" + required: + - priority + - app + responses: + "201": + description: SmsApplication successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/SmsApplication" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/sms/applications/{id}": + get: + summary: Gets a single Application + security: + - BearerAuth: [] + operationId: getSmsApplicationById + tags: + - Sms Applications + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsApplication to get + responses: + "200": + description: SmsApplication with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/SmsApplication" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SmsApplication not found + put: + summary: Update an existing Application + security: + - BearerAuth: [] + operationId: updateSmsApplicationById + tags: + - Sms Applications + requestBody: + required: true + description: data for updating a new Application + content: + application/json: + schema: + type: object + properties: + priority: + type: integer + app: + type: string + default: noop + appdata: + type: string + description: + type: string + interval: + type: string + default: "*,*,*,*" + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsApplication to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SmsApplication not found + "/sms/applications/{id}/destroy_many": + delete: + summary: Destroy an existing Application + security: + - BearerAuth: [] + operationId: deleteSmsApplicationById + tags: + - Sms Applications + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsApplication to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SmsApplication not found + /sms/interactions: + get: + summary: Gets a list of Interactions + security: + - BearerAuth: [] + operationId: listAllSmsInteraction + tags: + - Sms Interactions + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each SmsInteraction + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Interactions + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SmsInteraction" + "206": + description: Partial (paged) collection of Interactions + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SmsInteraction" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Interaction + security: + - BearerAuth: [] + operationId: createSmsInteraction + tags: + - Sms Interactions + requestBody: + required: true + description: data for creating a new Interaction + content: + application/json: + schema: + type: object + properties: + closed: + type: boolean + default: false + closedAt: + type: string + disposition: + type: string + secondDisposition: + type: string + thirdDisposition: + type: string + note: + type: string + phone: + type: string + read1stAt: + type: string + firstMsgDirection: + type: string + enum: + - in + - out + default: in + lastMsgAt: + type: string + lastMsgDirection: + type: string + enum: + - in + - out + default: in + required: + - firstMsgDirection + - lastMsgDirection + responses: + "201": + description: SmsInteraction successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/SmsInteraction" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /sms/interactions/describe: + get: + summary: Gets table info about Interactions + security: + - BearerAuth: [] + operationId: describeSmsInteraction + tags: + - Sms Interactions + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/sms/interactions/{id}": + get: + summary: Gets a single Interaction + security: + - BearerAuth: [] + operationId: getSmsInteractionById + tags: + - Sms Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsInteraction to get + responses: + "200": + description: SmsInteraction with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/SmsInteraction" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SmsInteraction not found + put: + summary: Update an existing Interaction + security: + - BearerAuth: [] + operationId: updateSmsInteractionById + tags: + - Sms Interactions + requestBody: + required: true + description: data for updating a new Interaction + content: + application/json: + schema: + type: object + properties: + closed: + type: boolean + default: false + closedAt: + type: string + disposition: + type: string + secondDisposition: + type: string + thirdDisposition: + type: string + note: + type: string + phone: + type: string + read1stAt: + type: string + firstMsgDirection: + type: string + enum: + - in + - out + default: in + lastMsgAt: + type: string + lastMsgDirection: + type: string + enum: + - in + - out + default: in + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsInteraction to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SmsInteraction not found + "/sms/interactions/{id}/messages": + get: + summary: Gets interaction messages + security: + - BearerAuth: [] + operationId: getMessages + tags: + - Sms Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsInteraction + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new messages + security: + - BearerAuth: [] + operationId: addMessage + tags: + - Sms Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsInteraction + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/sms/interactions/{id}/download": + get: + summary: Gets interaction + security: + - BearerAuth: [] + operationId: download + tags: + - Sms Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsInteraction + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/sms/interactions/{id}/tags": + post: + summary: Add tags to the interaction + security: + - BearerAuth: [] + operationId: addTags + tags: + - Sms Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsInteraction + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes tags from interaction + security: + - BearerAuth: [] + operationId: removeTags + tags: + - Sms Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsInteraction + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/sms/interactions/{id}/destroy_many": + delete: + summary: Destroy an existing Interaction + security: + - BearerAuth: [] + operationId: deleteSmsInteractionById + tags: + - Sms Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsInteraction to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SmsInteraction not found + /sms/messages: + get: + summary: Gets a list of Messages + security: + - BearerAuth: [] + operationId: listAllSmsMessage + tags: + - Sms Messages + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each SmsMessage + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Messages + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SmsMessage" + "206": + description: Partial (paged) collection of Messages + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SmsMessage" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Message + security: + - BearerAuth: [] + operationId: createSmsMessage + tags: + - Sms Messages + requestBody: + required: true + description: data for creating a new Message + content: + application/json: + schema: + type: object + properties: + body: + type: string + read: + type: boolean + default: false + direction: + type: string + enum: + - in + - out + default: out + messageId: + type: string + phone: + type: string + readAt: + type: string + secret: + type: boolean + default: false + providerName: + type: string + providerResponse: + type: string + required: + - body + - direction + responses: + "201": + description: SmsMessage successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/SmsMessage" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /sms/messages/describe: + get: + summary: Gets table info about Messages + security: + - BearerAuth: [] + operationId: describeSmsMessage + tags: + - Sms Messages + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/sms/messages/{id}": + get: + summary: Gets a single Message + security: + - BearerAuth: [] + operationId: getSmsMessageById + tags: + - Sms Messages + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsMessage to get + responses: + "200": + description: SmsMessage with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/SmsMessage" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SmsMessage not found + put: + summary: Update an existing Message + security: + - BearerAuth: [] + operationId: updateSmsMessageById + tags: + - Sms Messages + requestBody: + required: true + description: data for updating a new Message + content: + application/json: + schema: + type: object + properties: + body: + type: string + read: + type: boolean + default: false + direction: + type: string + enum: + - in + - out + default: out + messageId: + type: string + phone: + type: string + readAt: + type: string + secret: + type: boolean + default: false + providerName: + type: string + providerResponse: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsMessage to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SmsMessage not found + "/sms/messages/{id}/status": + post: + summary: Receive message status + operationId: status + tags: + - Sms Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsMessage + responses: + "201": + description: successfully created + "/sms/messages/{id}/accept": + put: + summary: Accepts message + security: + - BearerAuth: [] + operationId: accept + tags: + - Sms Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsMessage + responses: + "200": + description: successfully updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/sms/messages/{id}/reject": + put: + summary: Rejects message + security: + - BearerAuth: [] + operationId: reject + tags: + - Sms Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsMessage + responses: + "200": + description: successfully updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/sms/messages/{id}/destroy_many": + delete: + summary: Destroy an existing Message + security: + - BearerAuth: [] + operationId: deleteSmsMessageById + tags: + - Sms Messages + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsMessage to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SmsMessage not found + /sms/queues: + get: + summary: Gets a list of Queues + security: + - BearerAuth: [] + operationId: listAllSmsQueue + tags: + - Sms Queues + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each SmsQueue + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Queues + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SmsQueue" + "206": + description: Partial (paged) collection of Queues + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SmsQueue" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Queue + security: + - BearerAuth: [] + operationId: createSmsQueue + tags: + - Sms Queues + requestBody: + required: true + description: data for creating a new Queue + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + timeout: + type: integer + strategy: + type: string + enum: + - rrmemory + - beepall + - roundrobin + responses: + "201": + description: SmsQueue successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/SmsQueue" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /sms/queues/describe: + get: + summary: Gets table info about Queues + security: + - BearerAuth: [] + operationId: describeSmsQueue + tags: + - Sms Queues + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/sms/queues/{id}": + get: + summary: Gets a single Queue + security: + - BearerAuth: [] + operationId: getSmsQueueById + tags: + - Sms Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsQueue to get + responses: + "200": + description: SmsQueue with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/SmsQueue" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SmsQueue not found + put: + summary: Update an existing Queue + security: + - BearerAuth: [] + operationId: updateSmsQueueById + tags: + - Sms Queues + requestBody: + required: true + description: data for updating a new Queue + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + timeout: + type: integer + strategy: + type: string + enum: + - rrmemory + - beepall + - roundrobin + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsQueue to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SmsQueue not found + "/sms/queues/{id}/members": + get: + summary: GetMembers + security: + - BearerAuth: [] + operationId: getMembers + tags: + - Sms Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsQueue + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/sms/queues/{id}/teams": + get: + summary: Gets queues list + security: + - BearerAuth: [] + operationId: getTeams + tags: + - Sms Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsQueue + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add teams to a queue + security: + - BearerAuth: [] + operationId: addTeams + tags: + - Sms Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsQueue + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove teams from a queue + security: + - BearerAuth: [] + operationId: removeTeams + tags: + - Teams + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsQueue + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/sms/queues/{id}/users": + get: + summary: Gets queue agents + security: + - BearerAuth: [] + operationId: getAgents + tags: + - Sms Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsQueue + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add agents to a queue + security: + - BearerAuth: [] + operationId: addAgents + tags: + - Sms Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsQueue + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes agents from a queue + security: + - BearerAuth: [] + operationId: removeAgents + tags: + - Sms Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsQueue + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/sms/queues/{id}/destroy_many": + delete: + summary: Destroy an existing Queue + security: + - BearerAuth: [] + operationId: deleteSmsQueueById + tags: + - Sms Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsQueue to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SmsQueue not found + /sms/reports/queue: + get: + summary: Gets a list of Sms Queue Reports + security: + - BearerAuth: [] + operationId: listAllSmsQueueReport + tags: + - Sms Queue Reports + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each SmsQueueReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Sms Queue Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SmsQueueReport" + "206": + description: Partial (paged) collection of Sms Queue Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SmsQueueReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Sms Queue Report + security: + - BearerAuth: [] + operationId: createSmsQueueReport + tags: + - Sms Queue Reports + requestBody: + required: true + description: data for creating a new Sms Queue Report + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + from: + type: string + joinAt: + type: string + leaveAt: + type: string + acceptAt: + type: string + exitAt: + type: string + reason: + type: string + required: + - uniqueid + responses: + "201": + description: SmsQueueReport successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/SmsQueueReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /sms/reports/queue/describe: + get: + summary: Gets table info about Sms Queue Reports + security: + - BearerAuth: [] + operationId: describeSmsQueueReport + tags: + - Sms Queue Reports + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/sms/reports/queue/{id}": + get: + summary: Gets a single Sms Queue Report + security: + - BearerAuth: [] + operationId: getSmsQueueReportById + tags: + - Sms Queue Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsQueueReport to get + responses: + "200": + description: SmsQueueReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/SmsQueueReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SmsQueueReport not found + put: + summary: Update an existing Sms Queue Report + security: + - BearerAuth: [] + operationId: updateSmsQueueReportById + tags: + - Sms Queue Reports + requestBody: + required: true + description: data for updating a new Sms Queue Report + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + from: + type: string + joinAt: + type: string + leaveAt: + type: string + acceptAt: + type: string + exitAt: + type: string + reason: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsQueueReport to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SmsQueueReport not found + "/sms/reports/queue/{id}/destroy_many": + delete: + summary: Destroy an existing Sms Queue Report + security: + - BearerAuth: [] + operationId: deleteSmsQueueReportById + tags: + - Sms Queue Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsQueueReport to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SmsQueueReport not found + /sms/reports/transfer: + get: + summary: Gets a list of Sms Transfer Reports + security: + - BearerAuth: [] + operationId: listAllSmsTransferReport + tags: + - Sms Transfer Reports + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each SmsTransferReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Sms Transfer Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SmsTransferReport" + "206": + description: Partial (paged) collection of Sms Transfer Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SmsTransferReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Sms Transfer Report + security: + - BearerAuth: [] + operationId: createSmsTransferReport + tags: + - Sms Transfer Reports + requestBody: + required: true + description: data for creating a new Sms Transfer Report + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + type: + type: string + enum: + - account + - agent + - queue + default: queue + transferredAt: + type: string + default: NOW + required: + - uniqueid + - type + - transferredAt + responses: + "201": + description: SmsTransferReport successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/SmsTransferReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /sms/reports/transfer/describe: + get: + summary: Gets table info about Sms Transfer Reports + security: + - BearerAuth: [] + operationId: describeSmsTransferReport + tags: + - Sms Transfer Reports + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/sms/reports/transfer/{id}": + get: + summary: Gets a single Sms Transfer Report + security: + - BearerAuth: [] + operationId: getSmsTransferReportById + tags: + - Sms Transfer Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsTransferReport to get + responses: + "200": + description: SmsTransferReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/SmsTransferReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SmsTransferReport not found + put: + summary: Update an existing Sms Transfer Report + security: + - BearerAuth: [] + operationId: updateSmsTransferReportById + tags: + - Sms Transfer Reports + requestBody: + required: true + description: data for updating a new Sms Transfer Report + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + type: + type: string + enum: + - account + - agent + - queue + default: queue + transferredAt: + type: string + default: NOW + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsTransferReport to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SmsTransferReport not found + "/sms/reports/transfer/{id}/destroy_many": + delete: + summary: Destroy an existing Sms Transfer Report + security: + - BearerAuth: [] + operationId: deleteSmsTransferReportById + tags: + - Sms Transfer Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SmsTransferReport to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SmsTransferReport not found + /sounds: + get: + summary: Gets a list of Sounds + security: + - BearerAuth: [] + operationId: listAllSound + tags: + - Sounds + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each Sound + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Sounds + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Sound" + "206": + description: Partial (paged) collection of Sounds + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Sound" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/sounds/{id}": + get: + summary: Gets a single Sound + security: + - BearerAuth: [] + operationId: getSoundById + tags: + - Sounds + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Sound to get + responses: + "200": + description: Sound with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/Sound" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Sound not found + put: + summary: Update an existing new sound + security: + - BearerAuth: [] + operationId: update + tags: + - Sounds + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Sound + responses: + "200": + description: successfully updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Deletes a sound + security: + - BearerAuth: [] + operationId: delete + tags: + - Sounds + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Sound + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/sounds/{id}/download": + get: + summary: Download Sound + security: + - BearerAuth: [] + operationId: download + tags: + - Sounds + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Sound + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /sounds/: + post: + summary: Create a new sound + security: + - BearerAuth: [] + operationId: postcreate + tags: + - Sounds + requestBody: + required: true + description: data for uploading a file + content: + multipart/form-data: + schema: + type: object + properties: + file: + type: string + format: binary + responses: + "201": + description: success. + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /square/messages: + get: + summary: Gets a list of Messages + security: + - BearerAuth: [] + operationId: listAllSquareMessage + tags: + - Square Messages + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each SquareMessage + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Messages + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SquareMessage" + "206": + description: Partial (paged) collection of Messages + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SquareMessage" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Message + security: + - BearerAuth: [] + operationId: createSquareMessage + tags: + - Square Messages + requestBody: + required: true + description: data for creating a new Message + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + body: + type: string + direction: + type: string + enum: + - in + - out + default: out + providerName: + type: string + providerResponse: + type: string + required: + - body + - direction + responses: + "201": + description: SquareMessage successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/SquareMessage" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/square/messages/{id}": + get: + summary: Gets a single Message + security: + - BearerAuth: [] + operationId: getSquareMessageById + tags: + - Square Messages + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SquareMessage to get + responses: + "200": + description: SquareMessage with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/SquareMessage" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SquareMessage not found + put: + summary: Update an existing Message + security: + - BearerAuth: [] + operationId: updateSquareMessageById + tags: + - Square Messages + requestBody: + required: true + description: data for updating a new Message + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + body: + type: string + direction: + type: string + enum: + - in + - out + default: out + providerName: + type: string + providerResponse: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SquareMessage to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SquareMessage not found + "/square/messages/{id}/destroy_many": + delete: + summary: Destroy an existing Message + security: + - BearerAuth: [] + operationId: deleteSquareMessageById + tags: + - Square Messages + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SquareMessage to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SquareMessage not found + /square/odbc: + get: + summary: Gets a list of ODBCs + security: + - BearerAuth: [] + operationId: listAllSquareOdbc + tags: + - Square ODBC + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each SquareOdbc + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of ODBCs + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SquareOdbc" + "206": + description: Partial (paged) collection of ODBCs + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SquareOdbc" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new ODBC + security: + - BearerAuth: [] + operationId: createSquareOdbc + tags: + - Square ODBC + requestBody: + required: true + description: data for creating a new ODBC + content: + application/json: + schema: + type: object + properties: + name: + type: string + dsn: + type: string + description: + type: string + required: + - name + responses: + "201": + description: SquareOdbc successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/SquareOdbc" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/square/odbc/{id}": + get: + summary: Gets a single ODBC + security: + - BearerAuth: [] + operationId: getSquareOdbcById + tags: + - Square ODBC + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SquareOdbc to get + responses: + "200": + description: SquareOdbc with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/SquareOdbc" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SquareOdbc not found + put: + summary: Update an existing ODBC + security: + - BearerAuth: [] + operationId: updateSquareOdbcById + tags: + - Square ODBC + requestBody: + required: true + description: data for updating a new ODBC + content: + application/json: + schema: + type: object + properties: + name: + type: string + dsn: + type: string + description: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SquareOdbc to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SquareOdbc not found + "/square/odbc/{id}/test": + get: + summary: Test Odbc + security: + - BearerAuth: [] + operationId: test + tags: + - Square ODBC + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SquareOdbc + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/square/odbc/{id}/destroy_many": + delete: + summary: Destroy an existing ODBC + security: + - BearerAuth: [] + operationId: deleteSquareOdbcById + tags: + - Square ODBC + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SquareOdbc to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SquareOdbc not found + /square/projects: + get: + summary: Gets a list of Projects + security: + - BearerAuth: [] + operationId: listAllSquareProject + tags: + - Square Projects + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each SquareProject + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Projects + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SquareProject" + "206": + description: Partial (paged) collection of Projects + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SquareProject" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Project + security: + - BearerAuth: [] + operationId: createSquareProject + tags: + - Square Projects + requestBody: + required: true + description: data for creating a new Project + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + notes: + type: string + preproduction: + type: object + production: + type: object + required: + - name + responses: + "201": + description: SquareProject successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/SquareProject" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/square/projects/{id}": + get: + summary: Gets a single Project + security: + - BearerAuth: [] + operationId: getSquareProjectById + tags: + - Square Projects + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SquareProject to get + responses: + "200": + description: SquareProject with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/SquareProject" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SquareProject not found + put: + summary: Update an existing Project + security: + - BearerAuth: [] + operationId: updateSquareProjectById + tags: + - Square Projects + requestBody: + required: true + description: data for updating a new Project + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + notes: + type: string + preproduction: + type: object + production: + type: object + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SquareProject to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SquareProject not found + "/square/projects/{id}/users": + get: + summary: Gets users permissions from Project + security: + - BearerAuth: [] + operationId: getUsers + tags: + - Square Projects + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SquareProject + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Adds user permissions to a Project + security: + - BearerAuth: [] + operationId: addUsers + tags: + - Square Projects + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SquareProject + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes user permissions from a Project + security: + - BearerAuth: [] + operationId: removeUsers + tags: + - Square Projects + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SquareProject + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/square/projects/{id}/destroy_many": + delete: + summary: Destroy an existing Project + security: + - BearerAuth: [] + operationId: deleteSquareProjectById + tags: + - Square Projects + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SquareProject to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SquareProject not found + /square/recordings: + get: + summary: Gets a list of Recordings + security: + - BearerAuth: [] + operationId: listAllSquareRecording + tags: + - Square Recordings + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each SquareRecording + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Recordings + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SquareRecording" + "206": + description: Partial (paged) collection of Recordings + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SquareRecording" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Recording + security: + - BearerAuth: [] + operationId: createSquareRecording + tags: + - Square Recordings + requestBody: + required: true + description: data for creating a new Recording + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + callerid: + type: string + calleridname: + type: string + context: + type: string + extension: + type: string + priority: + type: string + accountcode: + type: string + dnid: + type: string + projectName: + type: string + saveName: + type: string + filename: + type: string + savePath: + type: string + format: + type: string + responses: + "201": + description: SquareRecording successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/SquareRecording" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/square/recordings/{id}": + get: + summary: Gets a single Recording + security: + - BearerAuth: [] + operationId: getSquareRecordingById + tags: + - Square Recordings + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SquareRecording to get + responses: + "200": + description: SquareRecording with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/SquareRecording" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SquareRecording not found + put: + summary: Update an existing Recording + security: + - BearerAuth: [] + operationId: updateSquareRecordingById + tags: + - Square Recordings + requestBody: + required: true + description: data for updating a new Recording + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + callerid: + type: string + calleridname: + type: string + context: + type: string + extension: + type: string + priority: + type: string + accountcode: + type: string + dnid: + type: string + projectName: + type: string + saveName: + type: string + filename: + type: string + savePath: + type: string + format: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SquareRecording to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SquareRecording not found + "/square/recordings/{id}/download": + get: + summary: Download Recording + security: + - BearerAuth: [] + operationId: download + tags: + - Square Recordings + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SquareRecording + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/square/recordings/{id}/delete": + delete: + summary: Delete recording + security: + - BearerAuth: [] + operationId: destroy + tags: + - Square Recordings + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SquareRecording + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /square/reports: + get: + summary: Gets a list of Square Reports + security: + - BearerAuth: [] + operationId: listAllSquareReport + tags: + - Square Reports + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each SquareReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Square Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SquareReport" + "206": + description: Partial (paged) collection of Square Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SquareReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Square Report + security: + - BearerAuth: [] + operationId: createSquareReport + tags: + - Square Reports + requestBody: + required: true + description: data for creating a new Square Report + content: + application/json: + schema: + type: object + properties: + network: + type: string + network_script: + type: string + request: + type: string + channel: + type: string + language: + type: string + type: + type: string + uniqueid: + type: string + version: + type: string + callerid: + type: string + calleridname: + type: string + callingpres: + type: string + callingani2: + type: string + callington: + type: string + callingtns: + type: string + dnid: + type: string + rdnis: + type: string + context: + type: string + extension: + type: string + priority: + type: string + enhanced: + type: string + accountcode: + type: string + threadid: + type: string + project_name: + type: string + joinAt: + type: string + leaveAt: + type: string + bot: + type: boolean + default: false + responses: + "201": + description: SquareReport successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/SquareReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /square/reports/describe: + get: + summary: Gets table info about Square Reports + security: + - BearerAuth: [] + operationId: describeSquareReport + tags: + - Square Reports + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/square/reports/{id}": + get: + summary: Gets a single Square Report + security: + - BearerAuth: [] + operationId: getSquareReportById + tags: + - Square Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SquareReport to get + responses: + "200": + description: SquareReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/SquareReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SquareReport not found + put: + summary: Update an existing Square Report + security: + - BearerAuth: [] + operationId: updateSquareReportById + tags: + - Square Reports + requestBody: + required: true + description: data for updating a new Square Report + content: + application/json: + schema: + type: object + properties: + network: + type: string + network_script: + type: string + request: + type: string + channel: + type: string + language: + type: string + type: + type: string + uniqueid: + type: string + version: + type: string + callerid: + type: string + calleridname: + type: string + callingpres: + type: string + callingani2: + type: string + callington: + type: string + callingtns: + type: string + dnid: + type: string + rdnis: + type: string + context: + type: string + extension: + type: string + priority: + type: string + enhanced: + type: string + accountcode: + type: string + threadid: + type: string + project_name: + type: string + joinAt: + type: string + leaveAt: + type: string + bot: + type: boolean + default: false + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SquareReport to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SquareReport not found + "/square/reports/{id}/destroy_many": + delete: + summary: Destroy an existing Square Report + security: + - BearerAuth: [] + operationId: deleteSquareReportById + tags: + - Square Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SquareReport to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SquareReport not found + /square/details/reports: + get: + summary: Gets a list of Square Detail Reports + security: + - BearerAuth: [] + operationId: listAllSquareDetailsReport + tags: + - Square Details Reports + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each SquareDetailsReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Square Detail Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SquareDetailsReport" + "206": + description: Partial (paged) collection of Square Detail Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/SquareDetailsReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Square Detail Report + security: + - BearerAuth: [] + operationId: createSquareDetailsReport + tags: + - Square Details Reports + requestBody: + required: true + description: data for creating a new Square Detail Report + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + node: + type: string + application: + type: string + data: + type: string + project_name: + type: string + callerid: + type: string + responses: + "201": + description: SquareDetailsReport successfully created. Returns the created + object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/SquareDetailsReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /square/details/reports/describe: + get: + summary: Gets table info about Square Detail Reports + security: + - BearerAuth: [] + operationId: describeSquareDetailsReport + tags: + - Square Details Reports + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/square/details/reports/{id}": + get: + summary: Gets a single Square Detail Report + security: + - BearerAuth: [] + operationId: getSquareDetailsReportById + tags: + - Square Details Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SquareDetailsReport to get + responses: + "200": + description: SquareDetailsReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/SquareDetailsReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SquareDetailsReport not found + put: + summary: Update an existing Square Detail Report + security: + - BearerAuth: [] + operationId: updateSquareDetailsReportById + tags: + - Square Details Reports + requestBody: + required: true + description: data for updating a new Square Detail Report + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + node: + type: string + application: + type: string + data: + type: string + project_name: + type: string + callerid: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SquareDetailsReport to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SquareDetailsReport not found + "/square/details/reports/{id}/destroy_many": + delete: + summary: Destroy an existing Square Detail Report + security: + - BearerAuth: [] + operationId: deleteSquareDetailsReportById + tags: + - Square Details Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the SquareDetailsReport to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: SquareDetailsReport not found + /system: + get: + summary: Gets system information + security: + - BearerAuth: [] + operationId: getSystemInformation + tags: + - System Information + responses: + "200": + description: system information + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /system/process: + get: + summary: Gets process information + security: + - BearerAuth: [] + operationId: getSystemProcessInformation + tags: + - System Information + responses: + "200": + description: process information + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /tags: + get: + summary: Gets a list of Tags + security: + - BearerAuth: [] + operationId: listAllTag + tags: + - Tags + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each Tag + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Tags + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Tag" + "206": + description: Partial (paged) collection of Tags + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Tag" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Tag + security: + - BearerAuth: [] + operationId: createTag + tags: + - Tags + requestBody: + required: true + description: data for creating a new Tag + content: + application/json: + schema: + type: object + properties: + name: + type: string + color: + type: string + default: "#0091EA" + description: + type: string + required: + - name + responses: + "201": + description: Tag successfully created. Returns the created object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/Tag" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/tags/{id}": + get: + summary: Gets a single Tag + security: + - BearerAuth: [] + operationId: getTagById + tags: + - Tags + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Tag to get + responses: + "200": + description: Tag with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/Tag" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Tag not found + put: + summary: Update an existing Tag + security: + - BearerAuth: [] + operationId: updateTagById + tags: + - Tags + requestBody: + required: true + description: data for updating a new Tag + content: + application/json: + schema: + type: object + properties: + name: + type: string + color: + type: string + default: "#0091EA" + description: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Tag to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Tag not found + "/tags/{id}/destroy_many": + delete: + summary: Destroy an existing Tag + security: + - BearerAuth: [] + operationId: deleteTagById + tags: + - Tags + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Tag to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Tag not found + /teams: + get: + summary: Gets a list of Teams + security: + - BearerAuth: [] + operationId: listAllTeam + tags: + - Teams + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each Team + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Teams + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Team" + "206": + description: Partial (paged) collection of Teams + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Team" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Team + security: + - BearerAuth: [] + operationId: createTeam + tags: + - Teams + requestBody: + required: true + description: data for creating a new Team + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + required: + - name + responses: + "201": + description: Team successfully created. Returns the created object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/Team" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/teams/{id}": + get: + summary: Gets a single Team + security: + - BearerAuth: [] + operationId: getTeamById + tags: + - Teams + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Team to get + responses: + "200": + description: Team with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/Team" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Team not found + put: + summary: Update an existing Team + security: + - BearerAuth: [] + operationId: updateTeamById + tags: + - Teams + requestBody: + required: true + description: data for updating a new Team + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Team to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Team not found + "/teams/{id}/queues": + get: + summary: Gets Queues list + security: + - BearerAuth: [] + operationId: getQueues + tags: + - Teams + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Team + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add queues to a team + security: + - BearerAuth: [] + operationId: addQueues + tags: + - Teams + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Team + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove queues to a team + security: + - BearerAuth: [] + operationId: removeQueues + tags: + - Teams + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Team + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/teams/{id}/users": + get: + summary: Gets agents from team + security: + - BearerAuth: [] + operationId: getAgents + tags: + - Teams + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Team + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Adds agents to a team + security: + - BearerAuth: [] + operationId: addAgents + tags: + - Teams + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Team + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes agents from a team + security: + - BearerAuth: [] + operationId: removeAgents + tags: + - Teams + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Team + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/teams/{id}/destroy_many": + delete: + summary: Destroy an existing Team + security: + - BearerAuth: [] + operationId: deleteTeamById + tags: + - Teams + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Team to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Team not found + /templates: + get: + summary: Gets a list of Templates + security: + - BearerAuth: [] + operationId: listAllTemplate + tags: + - Templates + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each Template + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Templates + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Template" + "206": + description: Partial (paged) collection of Templates + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Template" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Template + security: + - BearerAuth: [] + operationId: createTemplate + tags: + - Templates + requestBody: + required: true + description: data for creating a new Template + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + html: + type: string + responses: + "201": + description: Template successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/Template" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/templates/{id}": + get: + summary: Gets a single Template + security: + - BearerAuth: [] + operationId: getTemplateById + tags: + - Templates + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Template to get + responses: + "200": + description: Template with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/Template" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Template not found + put: + summary: Update an existing Template + security: + - BearerAuth: [] + operationId: updateTemplateById + tags: + - Templates + requestBody: + required: true + description: data for updating a new Template + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + html: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Template to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Template not found + "/templates/{id}/destroy_many": + delete: + summary: Destroy an existing Template + security: + - BearerAuth: [] + operationId: deleteTemplateById + tags: + - Templates + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Template to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Template not found + /triggers: + get: + summary: Gets a list of Triggers + security: + - BearerAuth: [] + operationId: listAllTrigger + tags: + - Triggers + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each Trigger + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Triggers + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Trigger" + "206": + description: Partial (paged) collection of Triggers + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Trigger" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Trigger + security: + - BearerAuth: [] + operationId: createTrigger + tags: + - Triggers + requestBody: + required: true + description: data for creating a new Trigger + content: + application/json: + schema: + type: object + properties: + name: + type: string + channel: + type: string + description: + type: string + status: + type: boolean + default: false + responses: + "201": + description: Trigger successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/Trigger" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/triggers/{id}": + get: + summary: Gets a single Trigger + security: + - BearerAuth: [] + operationId: getTriggerById + tags: + - Triggers + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Trigger to get + responses: + "200": + description: Trigger with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/Trigger" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Trigger not found + put: + summary: Update an existing Trigger + security: + - BearerAuth: [] + operationId: updateTriggerById + tags: + - Triggers + requestBody: + required: true + description: data for updating a new Trigger + content: + application/json: + schema: + type: object + properties: + name: + type: string + channel: + type: string + description: + type: string + status: + type: boolean + default: false + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Trigger to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Trigger not found + "/triggers/{id}/all_conditions": + get: + summary: Gets "AND" Trigger Conditions + security: + - BearerAuth: [] + operationId: getAllConditions + tags: + - Triggers + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Trigger + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new "AND"condition + security: + - BearerAuth: [] + operationId: addAllCondition + tags: + - Triggers + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Trigger + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/triggers/{id}/any_conditions": + get: + summary: Gets "OR" Trigger Conditions + security: + - BearerAuth: [] + operationId: getAnyConditions + tags: + - Triggers + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Trigger + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new "OR"condition + security: + - BearerAuth: [] + operationId: addAnyCondition + tags: + - Triggers + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Trigger + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/triggers/{id}/actions": + get: + summary: Gets Trigger Actions + security: + - BearerAuth: [] + operationId: getActions + tags: + - Triggers + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Trigger + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new actions + security: + - BearerAuth: [] + operationId: addAction + tags: + - Triggers + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Trigger + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/triggers/{id}/destroy_many": + delete: + summary: Destroy an existing Trigger + security: + - BearerAuth: [] + operationId: deleteTriggerById + tags: + - Triggers + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Trigger to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Trigger not found + /trunks: + get: + summary: Gets a list of Trunks + security: + - BearerAuth: [] + operationId: listAllTrunk + tags: + - Trunks + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each Trunk + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Trunks + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Trunk" + "206": + description: Partial (paged) collection of Trunks + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Trunk" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/trunks/{id}": + get: + summary: Gets a single Trunk + security: + - BearerAuth: [] + operationId: getTrunkById + tags: + - Trunks + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Trunk to get + responses: + "200": + description: Trunk with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/Trunk" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Trunk not found + put: + summary: Update an existing trunk + security: + - BearerAuth: [] + operationId: update + tags: + - Trunks + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Trunk + responses: + "200": + description: successfully updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Deletes a trunk + security: + - BearerAuth: [] + operationId: destroy + tags: + - Trunks + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Trunk + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /trunks/clone: + post: + summary: Clone an existing Trunk + security: + - BearerAuth: [] + operationId: cloneTrunk + tags: + - Trunks + requestBody: + required: true + description: data for creating a new Trunk + content: + application/json: + schema: + type: object + properties: + id: + type: integer + description: id of the Trunk to clone + name: + type: string + type: + type: string + enum: + - friend + - user + - peer + default: friend + context: + type: string + default: from-voip-provider + callingpres: + type: string + enum: + - ALLOWED_NOT_SCREENED + - ALLOWED_PASSED_SCREEN + - ALLOWED_FAILED_SCREEN + - ALLOWED + - PROHIB_NOT_SCREENED + - PROHIB_PASSED_SCREEN + - PROHIB_FAILED_SCREEN + - PROHIB + deny: + type: string + permit: + type: string + secret: + type: string + md5secret: + type: string + remotesecret: + type: string + transport: + type: string + description: String is deprecated. Please use an Array as ["udp", "tcp"] + default: udp + dtmfmode: + type: string + enum: + - rfc2833 + - info + - shortinfo + - inband + - auto + default: rfc2833 + directmedia: + type: string + enum: + - yes + - no + - nonat + - update + - outgoing + default: no + directrtpsetup: + type: string + enum: + - yes + - no + default: no + directmediapermit: + type: string + directmediadeny: + type: string + nat: + type: string + description: String is deprecated. Please use an Array as ["force_rport", + "comedia"] + default: force_rport,comedia + callgroup: + type: string + namedcallgroup: + type: string + pickupgroup: + type: string + namedpickupgroup: + type: string + language: + type: string + default: en + tonezone: + type: string + disallow: + type: string + default: all + allow: + type: string + description: String is deprecated. Please use an Array as ["ulaw", "alaw", + "alaw"] + default: ulaw;alaw;gsm + autoframing: + type: string + enum: + - yes + - no + insecure: + type: string + description: String is deprecated. Please use an Array as ["port", "invite"] + default: port,invite + trustrpid: + type: string + enum: + - yes + - no + default: no + trust_id_outbound: + type: string + enum: + - yes + - no + default: no + progressinband: + type: string + enum: + - yes + - no + - never + promiscredir: + type: string + enum: + - yes + - no + useclientcode: + type: string + enum: + - yes + - no + accountcode: + type: integer + setvar: + type: string + callerid: + type: string + default: '"" <>' + amaflags: + type: string + callcounter: + type: string + enum: + - yes + - no + default: yes + busylevel: + type: integer + allowoverlap: + type: string + enum: + - yes + - no + allowsubscribe: + type: string + enum: + - yes + - no + allowtransfer: + type: string + enum: + - yes + - no + ignoresdpversion: + type: string + enum: + - yes + - no + subscribecontext: + type: string + template: + type: string + videosupport: + type: string + enum: + - yes + - no + - always + default: no + maxcallbitrate: + type: integer + rfc2833compensate: + type: string + enum: + - yes + - no + mailbox: + type: string + session_timers: + type: string + enum: + - accept + - refuse + - originate + session_expires: + type: integer + session_minse: + type: integer + session_refresher: + type: string + enum: + - uac + - uas + default: uas + t38pt_usertpsource: + type: string + regexten: + type: string + fromdomain: + type: string + fromuser: + type: string + port: + type: integer + qualify: + type: string + enum: + - yes + - no + default: yes + keepalive: + type: integer + defaultip: + type: string + defaultuser: + type: string + rtptimeout: + type: integer + rtpholdtimeout: + type: integer + rtpkeepalive: + type: integer + sendrpid: + type: string + enum: + - yes + - no + - pai + default: no + outboundproxy: + type: string + callbackextension: + type: string + timert1: + type: integer + timerb: + type: integer + qualifyfreq: + type: integer + contactpermit: + type: string + contactdeny: + type: string + contactacl: + type: string + unsolicited_mailbox: + type: string + use_q850_reason: + type: string + maxforwards: + type: integer + encryption: + type: string + enum: + - yes + - no + default: no + avpf: + type: string + enum: + - yes + - no + force_avp: + type: string + enum: + - yes + - no + icesupport: + type: string + enum: + - yes + - no + dtlsenable: + type: string + enum: + - yes + - no + dtlsverify: + type: string + enum: + - yes + - no + - fingerprint + - certificate + dtlsrekey: + type: integer + dtlscertfile: + type: string + dtlsprivatekey: + type: string + dtlscipher: + type: string + dtlscafile: + type: string + dtlscapath: + type: string + dtlssetup: + type: string + enum: + - active + - passive + - actpass + dtlsfingerprint: + type: string + usereqphone: + type: string + enum: + - yes + - no + default: no + recordonfeature: + type: string + recordofffeature: + type: string + call_limit: + type: integer + default: 1000 + registertrying: + type: string + enum: + - yes + - no + subscribemwi: + type: string + enum: + - yes + - no + vmexten: + type: string + mohinterpret: + type: string + mohsuggest: + type: string + parkinglot: + type: string + description: + type: string + host: + type: string + default: dynamic + canreinvite: + type: string + enum: + - yes + - no + - nonat + - update + - update,nonat + default: no + registry: + type: string + otherFields: + type: string + active: + type: boolean + default: true + t38pt_udptl: + type: string + default: no + required: + - id + responses: + "201": + description: Trunk successfully cloned. Returns the cloned object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/Trunk" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /trunks/: + post: + summary: Create a new trunk + security: + - BearerAuth: [] + operationId: create + tags: + - Trunks + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /users: + get: + summary: Gets a list of Users + security: + - BearerAuth: [] + operationId: listUsers + tags: + - Users + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each User + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Users + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/User" + "206": + description: Partial (paged) collection of Users + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/User" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Create a new User + security: + - BearerAuth: [] + operationId: postCreateUser + tags: + - Users + requestBody: + required: true + description: user to be created + content: + application/json: + schema: + $ref: "#/components/schemas/User" + responses: + "201": + description: user created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /users/describe: + get: + summary: Gets table info about Users + security: + - BearerAuth: [] + operationId: describeUsers + tags: + - Users + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /users/whoami: + get: + summary: Gets current user + security: + - BearerAuth: [] + operationId: getWhoAmI + tags: + - Users + responses: + "200": + description: current user information + content: + application/json: + schema: + $ref: "#/components/schemas/User" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/users/{id}": + get: + summary: Gets a user by id + security: + - BearerAuth: [] + operationId: getUserById + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user to get + responses: + "200": + description: user information + content: + application/json: + schema: + $ref: "#/components/schemas/User" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + put: + summary: Update an existing User + security: + - BearerAuth: [] + operationId: putUpdateUser + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + requestBody: + required: true + description: user properties to be updated + content: + application/json: + schema: + $ref: "#/components/schemas/User" + responses: + "200": + description: user updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove a user + security: + - BearerAuth: [] + operationId: deleteUser + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + responses: + "204": + description: user deleted successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: user not found + "/users/{id}/avatar": + get: + summary: Gets a user avatar by id + security: + - BearerAuth: [] + operationId: getUserAvatarById + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + responses: + "200": + description: user avatar + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add avatar + security: + - BearerAuth: [] + operationId: postAddAvatar + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + requestBody: + required: true + description: Add avatar + content: + application/json: + schema: + type: object + responses: + "201": + description: avatar added + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/users/{id}/contacts": + get: + summary: Gets a user contacts by id + security: + - BearerAuth: [] + operationId: getUserContactsById + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + responses: + "200": + description: user contacts list result + content: + application/json: + schema: + type: object + properties: + count: + type: integer + description: results count + default: 0 + rows: + description: user contacts list + type: array + items: + $ref: "#/components/schemas/CmContact" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add contacts to a user + security: + - BearerAuth: [] + operationId: postAddUserContact + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + requestBody: + required: true + description: user contacts to be added + content: + application/json: + schema: + type: object + responses: + "201": + description: contacts added + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/users/{id}/queues": + get: + summary: Gets a user queues list by user id + security: + - BearerAuth: [] + operationId: getUserQueues + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + - in: query + name: channel + allowReserved: true + style: form + explode: false + schema: + type: string + enum: + - voice + - mail + - chat + - fax + - sms + - whatsapp + - openchannel + description: channel to filter for (e.g. mail, voice...) + examples: + fields: + value: mail + summary: A call returning only queues for channel = mail + responses: + "200": + description: user queues list result + content: + application/json: + schema: + type: object + properties: + count: + type: integer + description: results count + default: 0 + rows: + description: user queues list + type: array + items: + oneOf: + - $ref: "#/components/schemas/VoiceQueue" + - $ref: "#/components/schemas/MailQueue" + - $ref: "#/components/schemas/ChatQueue" + - $ref: "#/components/schemas/FaxQueue" + - $ref: "#/components/schemas/SmsQueue" + - $ref: "#/components/schemas/OpenchannelQueue" + - $ref: "#/components/schemas/WhatsappQueue" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add queues to an agent + security: + - BearerAuth: [] + operationId: postAddQueuesToAgent + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + requestBody: + required: true + description: Add queues to an agent + content: + application/json: + schema: + type: object + responses: + "201": + description: queues added + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove one or more queues from an agent + security: + - BearerAuth: [] + operationId: deleteUserQueues + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + - in: query + name: ids + allowReserved: true + style: form + explode: true + schema: + type: string + description: ids of the voice queues to be removed + examples: + fields: + value: 1,2,3 + summary: remove voice queues with ids=1, ids=2 and ids=3 + responses: + "204": + description: queues deleted successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: one or more records not found + "/users/{id}/queues_rt": + get: + summary: Gets a user UserVoiceQueuesRt list by user id + security: + - BearerAuth: [] + operationId: getUserVoiceQueuesRt + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + responses: + "200": + description: user UserVoiceQueuesRt list result + content: + application/json: + schema: + type: object + properties: + count: + type: integer + description: results count + default: 0 + rows: + description: user UserVoiceQueueRt list + type: array + items: + $ref: "#/components/schemas/UserVoiceQueueRt" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/users/{id}/groups": + get: + summary: Gets a user ChatGroups list by user id + security: + - BearerAuth: [] + operationId: getChatGroups + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + responses: + "200": + description: user ChatGroups list result + content: + application/json: + schema: + type: object + properties: + count: + type: integer + description: results count + default: 0 + rows: + description: user ChatGroups list + type: array + items: + $ref: "#/components/schemas/ChatGroup" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/users/{id}/recordings": + get: + summary: Gets a user VoiceRecording list by user id + security: + - BearerAuth: [] + operationId: getVoiceRecordings + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + responses: + "200": + description: user VoiceRecording list result + content: + application/json: + schema: + type: object + properties: + count: + type: integer + description: results count + default: 0 + rows: + description: user VoiceRecording list + type: array + items: + $ref: "#/components/schemas/VoiceRecording" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/users/{id}/screen_recordings": + get: + summary: Gets a user ScreenRecording list by user id + security: + - BearerAuth: [] + operationId: getScreenRecordings + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + responses: + "200": + description: user ScreenRecording list result + content: + application/json: + schema: + type: object + properties: + count: + type: integer + description: results count + default: 0 + rows: + description: user ScreenRecording list + type: array + items: + $ref: "#/components/schemas/ScreenRecording" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/users/{id}/chat/interactions": + get: + summary: Gets a user ChatInteraction list by user id + security: + - BearerAuth: [] + operationId: getChatInteractions + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + responses: + "200": + description: user ChatInteraction list result + content: + application/json: + schema: + type: object + properties: + count: + type: integer + description: results count + default: 0 + rows: + description: user ChatInteraction list + type: array + items: + $ref: "#/components/schemas/ChatInteraction" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/users/{id}/openchannel/interactions": + get: + summary: Gets a user OpenchannelInteraction list by user id + security: + - BearerAuth: [] + operationId: getOpenchannelInteractions + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + responses: + "200": + description: user OpenchannelInteraction list result + content: + application/json: + schema: + type: object + properties: + count: + type: integer + description: results count + default: 0 + rows: + description: user OpenchannelInteraction list + type: array + items: + $ref: "#/components/schemas/OpenchannelInteraction" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/users/{id}/mail/interactions": + get: + summary: Gets a user MailInteraction list by user id + security: + - BearerAuth: [] + operationId: getMailInteractions + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + responses: + "200": + description: user MailInteraction list result + content: + application/json: + schema: + type: object + properties: + count: + type: integer + description: results count + default: 0 + rows: + description: user MailInteraction list + type: array + items: + $ref: "#/components/schemas/MailInteraction" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/users/{id}/sms/interactions": + get: + summary: Gets a user SmsInteraction list by user id + security: + - BearerAuth: [] + operationId: getSmsInteractions + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + responses: + "200": + description: user SmsInteraction list result + content: + application/json: + schema: + type: object + properties: + count: + type: integer + description: results count + default: 0 + rows: + description: user SmsInteraction list + type: array + items: + $ref: "#/components/schemas/SmsInteraction" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/users/{id}/fax/interactions": + get: + summary: Gets a user FaxInteraction list by user id + security: + - BearerAuth: [] + operationId: getFaxInteractions + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + responses: + "200": + description: user FaxInteraction list result + content: + application/json: + schema: + type: object + properties: + count: + type: integer + description: results count + default: 0 + rows: + description: user FaxInteraction list + type: array + items: + $ref: "#/components/schemas/FaxInteraction" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/users/{id}/whatsapp/interactions": + get: + summary: Gets a user WhatsappInteraction list by user id + security: + - BearerAuth: [] + operationId: getWhatsappInteractions + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + responses: + "200": + description: user WhatsappInteraction list result + content: + application/json: + schema: + type: object + properties: + count: + type: integer + description: results count + default: 0 + rows: + description: user WhatsappInteraction list + type: array + items: + $ref: "#/components/schemas/WhatsappInteraction" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/users/{id}/teams": + get: + summary: Gets a user Teams list by user id + security: + - BearerAuth: [] + operationId: getTeamss + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + responses: + "200": + description: user Teams list result + content: + application/json: + schema: + type: object + properties: + count: + type: integer + description: results count + default: 0 + rows: + description: user Teams list + type: array + items: + $ref: "#/components/schemas/Team" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add teams to an agent + security: + - BearerAuth: [] + operationId: postPause + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + requestBody: + required: true + description: teams to be added + content: + application/json: + schema: + type: array + items: + type: object + responses: + "201": + description: teams added successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove one or more teams from an agent + security: + - BearerAuth: [] + operationId: deleteUserTeams + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + - in: query + name: ids + allowReserved: true + style: form + explode: true + schema: + type: string + description: ids of the teams to be removed + examples: + fields: + value: 1,2,3 + summary: remove teams with ids=1, ids=2 and ids=3 + responses: + "204": + description: teams deleted successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: one or more records not found + "/users/{id}/lists": + get: + summary: Gets a user CmList list by user id + security: + - BearerAuth: [] + operationId: getCmLists + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + responses: + "200": + description: user CmList list result + content: + application/json: + schema: + type: object + properties: + count: + type: integer + description: results count + default: 0 + rows: + description: user CmList list + type: array + items: + $ref: "#/components/schemas/CmList" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/users/{id}/agents": + get: + summary: Gets a user Agents list by user id + security: + - BearerAuth: [] + operationId: getAgents + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + responses: + "200": + description: user Agents list result + content: + application/json: + schema: + type: object + properties: + count: + type: integer + description: results count + default: 0 + rows: + description: user Agents list + type: array + items: + $ref: "#/components/schemas/User" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/users/{id}/prefixes": + get: + summary: Gets a user VoicePrefix list by user id + security: + - BearerAuth: [] + operationId: getVoicePrefixes + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + responses: + "200": + description: user VoicePrefix list result + content: + application/json: + schema: + type: object + properties: + count: + type: integer + description: results count + default: 0 + rows: + description: user VoicePrefix list + type: array + items: + $ref: "#/components/schemas/VoicePrefix" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/users/{id}/fax_accounts": + get: + summary: Gets a user FaxAccount list by user id + security: + - BearerAuth: [] + operationId: getFaxAccounts + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + responses: + "200": + description: user FaxAccount list result + content: + application/json: + schema: + type: object + properties: + count: + type: integer + description: results count + default: 0 + rows: + description: user FaxAccount list + type: array + items: + $ref: "#/components/schemas/FaxAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add a Fax Account to a user + security: + - BearerAuth: [] + operationId: postUserFaxAccounts + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + requestBody: + required: true + description: fax accounts to be added + content: + application/json: + schema: + type: object + properties: + ids: + description: fax accounts identifiers + type: array + items: + type: integer + required: + - ids + responses: + "201": + description: fax accounts added successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove fax accounts from an agent + security: + - BearerAuth: [] + operationId: deleteUserFaxAccounts + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + - in: query + name: ids + allowReserved: true + style: form + explode: true + schema: + type: string + description: ids of the fax accounts to be removed + examples: + fields: + value: 1,2,3 + summary: remove fax accounts with ids=1, ids=2 and ids=3 + responses: + "204": + description: fax accounts deleted successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: one or more records not found + "/users/{id}/mail_accounts": + get: + summary: Gets a user MailAccount list by user id + security: + - BearerAuth: [] + operationId: getMailAccounts + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + responses: + "200": + description: user MailAccount list result + content: + application/json: + schema: + type: object + properties: + count: + type: integer + description: results count + default: 0 + rows: + description: user MailAccount list + type: array + items: + $ref: "#/components/schemas/MailAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add a mail Account to a user + security: + - BearerAuth: [] + operationId: postUserMailAccounts + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + requestBody: + required: true + description: mail accounts to be added + content: + application/json: + schema: + type: object + properties: + ids: + description: mail accounts identifiers + type: array + items: + type: integer + required: + - ids + responses: + "201": + description: mail accounts added successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove mail accounts from an agent + security: + - BearerAuth: [] + operationId: deleteUserMailAccounts + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + - in: query + name: ids + allowReserved: true + style: form + explode: true + schema: + type: string + description: ids of the mail accounts to be removed + examples: + fields: + value: 1,2,3 + summary: remove mail accounts with ids=1, ids=2 and ids=3 + responses: + "204": + description: mail accounts deleted successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: one or more records not found + "/users/{id}/openchannel_accounts": + get: + summary: Gets a user OpenchannelAccount list by user id + security: + - BearerAuth: [] + operationId: getOpenchannelAccounts + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + responses: + "200": + description: user OpenchannelAccount list result + content: + application/json: + schema: + type: object + properties: + count: + type: integer + description: results count + default: 0 + rows: + description: user OpenchannelAccount list + type: array + items: + $ref: "#/components/schemas/OpenchannelAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add a openchannel Account to a user + security: + - BearerAuth: [] + operationId: postUserOpenchannelAccounts + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + requestBody: + required: true + description: openchannel accounts to be added + content: + application/json: + schema: + type: object + properties: + ids: + description: openchannel accounts identifiers + type: array + items: + type: integer + required: + - ids + responses: + "201": + description: openchannel accounts added successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove openchannel accounts from an agent + security: + - BearerAuth: [] + operationId: deleteUserOpenchannelAccounts + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + - in: query + name: ids + allowReserved: true + style: form + explode: true + schema: + type: string + description: ids of the openchannel accounts to be removed + examples: + fields: + value: 1,2,3 + summary: remove openchannel accounts with ids=1, ids=2 and ids=3 + responses: + "204": + description: openchannel accounts deleted successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: one or more records not found + "/users/{id}/sms_accounts": + get: + summary: Gets a user SmsAccount list by user id + security: + - BearerAuth: [] + operationId: getSmsAccounts + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + responses: + "200": + description: user SmsAccount list result + content: + application/json: + schema: + type: object + properties: + count: + type: integer + description: results count + default: 0 + rows: + description: user SmsAccount list + type: array + items: + $ref: "#/components/schemas/SmsAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add a sms Account to a user + security: + - BearerAuth: [] + operationId: postUserSmsAccounts + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + requestBody: + required: true + description: sms accounts to be added + content: + application/json: + schema: + type: object + properties: + ids: + description: sms accounts identifiers + type: array + items: + type: integer + required: + - ids + responses: + "201": + description: sms accounts added successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove sms accounts from an agent + security: + - BearerAuth: [] + operationId: deleteUserSmsAccounts + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + - in: query + name: ids + allowReserved: true + style: form + explode: true + schema: + type: string + description: ids of the sms accounts to be removed + examples: + fields: + value: 1,2,3 + summary: remove sms accounts with ids=1, ids=2 and ids=3 + responses: + "204": + description: sms accounts deleted successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: one or more records not found + "/users/{id}/chat_websites": + get: + summary: Gets a user ChatWebsite list by user id + security: + - BearerAuth: [] + operationId: getChatWebsites + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + responses: + "200": + description: user ChatWebsite list result + content: + application/json: + schema: + type: object + properties: + count: + type: integer + description: results count + default: 0 + rows: + description: user ChatWebsite list + type: array + items: + $ref: "#/components/schemas/ChatWebsite" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add a Chat Website to a user + security: + - BearerAuth: [] + operationId: postUserChatWebsites + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + requestBody: + required: true + description: chat websites to be added + content: + application/json: + schema: + type: object + properties: + ids: + description: chat websites identifiers + type: array + items: + type: integer + required: + - ids + responses: + "201": + description: chat websites added successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove chat websites from an agent + security: + - BearerAuth: [] + operationId: deleteUserChatWebsites + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + - in: query + name: ids + allowReserved: true + style: form + explode: true + schema: + type: string + description: ids of the chat websites to be removed + examples: + fields: + value: 1,2,3 + summary: remove chat websites with ids=1, ids=2 and ids=3 + responses: + "204": + description: chat websites deleted successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: one or more records not found + "/users/{id}/whatsapp_accounts": + get: + summary: Gets a user WhatsappAccount list by user id + security: + - BearerAuth: [] + operationId: getWhatsappAccounts + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + responses: + "200": + description: user WhatsappAccount list result + content: + application/json: + schema: + type: object + properties: + count: + type: integer + description: results count + default: 0 + rows: + description: user WhatsappAccount list + type: array + items: + $ref: "#/components/schemas/WhatsappAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add a whatsapp Account to a user + security: + - BearerAuth: [] + operationId: postUserWhatsappAccounts + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + requestBody: + required: true + description: whatsapp accounts to be added + content: + application/json: + schema: + type: object + properties: + ids: + description: whatsapp accounts identifiers + type: array + items: + type: integer + required: + - ids + responses: + "201": + description: whatsapp accounts added successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove whatsapp accounts from an agent + security: + - BearerAuth: [] + operationId: deleteUserWhatsappAccounts + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + - in: query + name: ids + allowReserved: true + style: form + explode: true + schema: + type: string + description: ids of the whatsapp accounts to be removed + examples: + fields: + value: 1,2,3 + summary: remove whatsapp accounts with ids=1, ids=2 and ids=3 + responses: + "204": + description: whatsapp accounts deleted successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: one or more records not found + "/users/{id}/square_projects": + get: + summary: Gets a user SquareProject list by user id + security: + - BearerAuth: [] + operationId: getSquareProjects + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + responses: + "200": + description: user SquareProject list result + content: + application/json: + schema: + type: object + properties: + count: + type: integer + description: results count + default: 0 + rows: + description: user SquareProject list + type: array + items: + $ref: "#/components/schemas/SquareProject" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add a Square Project to a user + security: + - BearerAuth: [] + operationId: postUserSquareProject + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + requestBody: + required: true + description: square project to be added + content: + application/json: + schema: + type: object + properties: + ids: + description: square project identifiers + type: array + items: + type: integer + required: + - ids + responses: + "201": + description: square project added successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove one or more Square Project from a user + security: + - BearerAuth: [] + operationId: deleteSquareProjects + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + - in: query + name: ids + allowReserved: true + style: form + explode: true + schema: + type: string + description: ids of the square project to be removed + examples: + fields: + value: 1,2,3 + summary: remove square project with ids=1, ids=2 and ids=3 + responses: + "204": + description: square project deleted successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: one or more records not found + "/users/{id}/scheduled_calls": + get: + summary: Gets a user scheduled calls list by user id + security: + - BearerAuth: [] + operationId: getScheduledCalls + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + responses: + "200": + description: user scheduled calls list result + content: + application/json: + schema: + type: object + properties: + count: + type: integer + description: results count + default: 0 + rows: + description: user scheduled calls list + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/users/{id}/api_key": + get: + summary: Gets a user api key by user id + security: + - BearerAuth: [] + operationId: getApiKey + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + responses: + "200": + description: user api keys list result + content: + application/json: + schema: + type: object + properties: + api_key: + type: string + description: api key token + "204": + description: No API access key found! + content: + application/json: + schema: + type: object + properties: + message: + type: string + description: error message + default: No API access key found! + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Create a new API access key for the user + security: + - BearerAuth: [] + operationId: postCreateApiKey + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + tags: + - Users + responses: + "201": + description: the api key that has been created + content: + application/json: + schema: + type: object + properties: + api_key: + type: string + description: api key token + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove API access key for the user + security: + - BearerAuth: [] + operationId: deleteUserApiKey + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + responses: + "204": + description: user apikey deleted successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: user not found + /users/create_many: + post: + summary: Create several Users + security: + - BearerAuth: [] + operationId: postCreateUsers + tags: + - Users + requestBody: + required: true + description: users to be created + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/User" + responses: + "201": + description: users created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/users/{id}/login": + post: + summary: perform SIP Login + security: + - BearerAuth: [] + operationId: postSipLogin + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + requestBody: + required: true + description: Login + content: + application/json: + schema: + type: object + responses: + "201": + description: SIP Login success + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/users/{id}/logout": + post: + summary: perform SIP logout + security: + - BearerAuth: [] + operationId: postSipLogout + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + responses: + "201": + description: SIP logout success + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/users/{id}/pause": + post: + summary: set pause status + security: + - BearerAuth: [] + operationId: postPause + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + requestBody: + required: true + description: pause payload + content: + application/json: + schema: + type: object + responses: + "201": + description: status updated successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/users/{id}/unpause": + post: + summary: unset pause status + security: + - BearerAuth: [] + operationId: postUnpause + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + requestBody: + required: true + description: unpause payload + content: + application/json: + schema: + type: object + responses: + "201": + description: status updated successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/users/{id}/chat_interactions": + post: + summary: Add chat interaction tabs to an agent + security: + - BearerAuth: [] + operationId: postUserChatInteraction + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + requestBody: + required: true + description: chat interaction tabs + content: + application/json: + schema: + type: object + properties: + ids: + description: chat interactions identifiers + type: array + items: + type: integer + required: + - ids + responses: + "201": + description: chat interaction tabs added successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove chat interactions from an agent + security: + - BearerAuth: [] + operationId: deleteUserChatInteractions + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + - in: query + name: ids + allowReserved: true + style: form + explode: true + schema: + type: string + description: ids of the chat interactions to be removed + examples: + fields: + value: 1,2,3 + summary: remove chat interactions with ids=1, ids=2 and ids=3 + responses: + "204": + description: chat interactions deleted successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: one or more records not found + "/users/{id}/mail_interactions": + post: + summary: Add mail interaction tabs to an agent + security: + - BearerAuth: [] + operationId: postUserMailInteraction + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + requestBody: + required: true + description: mail interaction tabs + content: + application/json: + schema: + type: object + properties: + ids: + description: mail interactions identifiers + type: array + items: + type: integer + required: + - ids + responses: + "201": + description: mail interaction tabs added successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove mail interactions from an agent + security: + - BearerAuth: [] + operationId: deleteUserMailInteractions + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + - in: query + name: ids + allowReserved: true + style: form + explode: true + schema: + type: string + description: ids of the mail interactions to be removed + examples: + fields: + value: 1,2,3 + summary: remove mail interactions with ids=1, ids=2 and ids=3 + responses: + "204": + description: mail interactions deleted successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: one or more records not found + "/users/{id}/fax_interactions": + post: + summary: Add fax interaction tabs to an agent + security: + - BearerAuth: [] + operationId: postUserFaxInteraction + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + requestBody: + required: true + description: fax interaction tabs + content: + application/json: + schema: + type: object + properties: + ids: + description: fax interactions identifiers + type: array + items: + type: integer + required: + - ids + responses: + "201": + description: fax interaction tabs added successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove fax interactions from an agent + security: + - BearerAuth: [] + operationId: deleteUserFaxInteractions + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + - in: query + name: ids + allowReserved: true + style: form + explode: true + schema: + type: string + description: ids of the fax interactions to be removed + examples: + fields: + value: 1,2,3 + summary: remove fax interactions with ids=1, ids=2 and ids=3 + responses: + "204": + description: fax interactions deleted successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: one or more records not found + "/users/{id}/sms_interactions": + post: + summary: Add sms interaction tabs to an agent + security: + - BearerAuth: [] + operationId: postUserSmsInteraction + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + requestBody: + required: true + description: sms interaction tabs + content: + application/json: + schema: + type: object + properties: + ids: + description: sms interactions identifiers + type: array + items: + type: integer + required: + - ids + responses: + "201": + description: sms interaction tabs added successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove sms_interactions from an agent + security: + - BearerAuth: [] + operationId: deleteUserSmsInteractions + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + - in: query + name: ids + allowReserved: true + style: form + explode: true + schema: + type: string + description: ids of the sms interactions to be removed + examples: + fields: + value: 1,2,3 + summary: remove sms interactions with ids=1, ids=2 and ids=3 + responses: + "204": + description: sms interactions deleted successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: one or more records not found + "/users/{id}/openchannel_interactions": + post: + summary: Add openchannel interaction tabs to an agent + security: + - BearerAuth: [] + operationId: postUserOpenchannelInteraction + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + requestBody: + required: true + description: openchannel interaction tabs + content: + application/json: + schema: + type: object + properties: + ids: + description: openchannel interactions identifiers + type: array + items: + type: integer + required: + - ids + responses: + "201": + description: openchannel interaction tabs added successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove openchannel interactions from an agent + security: + - BearerAuth: [] + operationId: deleteUserOpenchannelInteractions + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + - in: query + name: ids + allowReserved: true + style: form + explode: true + schema: + type: string + description: ids of the openchannel interactions to be removed + examples: + fields: + value: 1,2,3 + summary: remove openchannel interactions with ids=1, ids=2 and ids=3 + responses: + "204": + description: openchannel interactions deleted successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: one or more records not found + "/users/{id}/whatsapp_interactions": + post: + summary: Add whatsapp interaction tabs to an agent + security: + - BearerAuth: [] + operationId: postUserWhatsappInteraction + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + requestBody: + required: true + description: whatsapp interaction tabs + content: + application/json: + schema: + type: object + properties: + ids: + description: whatsapp interactions identifiers + type: array + items: + type: integer + required: + - ids + responses: + "201": + description: whatsapp interaction tabs added successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove whatsapp_interactions from an agent + security: + - BearerAuth: [] + operationId: deleteUserWhatsappInteractions + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + - in: query + name: ids + allowReserved: true + style: form + explode: true + schema: + type: string + description: ids of the whatsapp interactions to be removed + examples: + fields: + value: 1,2,3 + summary: remove whatsapp interactions with ids=1, ids=2 and ids=3 + responses: + "204": + description: whatsapp interactions deleted successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: one or more records not found + "/users/{id}/password": + put: + summary: Update an existing User + security: + - BearerAuth: [] + operationId: putUpdateUser + tags: + - Users + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the user + requestBody: + required: true + description: old password and new password + content: + application/json: + schema: + type: object + properties: + oldPassword: + type: string + format: password + description: old password + newPassword: + type: string + format: password + description: new password + required: + - oldPassword + - newPassword + responses: + "200": + description: user password updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /userProfiles: + get: + summary: Gets a list of User Profiles + security: + - BearerAuth: [] + operationId: listAllUserProfile + tags: + - User Profiles + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each UserProfile + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of User Profiles + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/UserProfile" + "206": + description: Partial (paged) collection of User Profiles + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/UserProfile" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new User Profile + security: + - BearerAuth: [] + operationId: createUserProfile + tags: + - User Profiles + requestBody: + required: true + description: data for creating a new User Profile + content: + application/json: + schema: + type: object + properties: + name: + type: string + crudPermissions: + type: string + default: r + description: + type: string + required: + - name + - crudPermissions + responses: + "201": + description: UserProfile successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/UserProfile" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /userProfiles/describe: + get: + summary: Gets table info about User Profiles + security: + - BearerAuth: [] + operationId: describeUserProfile + tags: + - User Profiles + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/userProfiles/{id}": + get: + summary: Gets a single User Profile + security: + - BearerAuth: [] + operationId: getUserProfileById + tags: + - User Profiles + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the UserProfile to get + responses: + "200": + description: UserProfile with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/UserProfile" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: UserProfile not found + put: + summary: Update an existing User Profile + security: + - BearerAuth: [] + operationId: updateUserProfileById + tags: + - User Profiles + requestBody: + required: true + description: data for updating a new User Profile + content: + application/json: + schema: + type: object + properties: + name: + type: string + crudPermissions: + type: string + default: r + description: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the UserProfile to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: UserProfile not found + "/userProfiles/{id}/sections": + get: + summary: Get sections associated to a User Profile + security: + - BearerAuth: [] + operationId: getSections + tags: + - User Profiles + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the UserProfile + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add sections' permissions to User Profile + security: + - BearerAuth: [] + operationId: addSections + tags: + - User Profiles + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the UserProfile + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes sections' permissions from User Profile + security: + - BearerAuth: [] + operationId: removeSections + tags: + - User Profiles + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the UserProfile + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/userProfiles/{id}/resources": + get: + summary: Get Resources assigned to a Section + security: + - BearerAuth: [] + operationId: getResources + tags: + - User Profiles + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the UserProfile + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add resources' permissions to User Profile + security: + - BearerAuth: [] + operationId: addResources + tags: + - User Profiles + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the UserProfile + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes resources' permissions from User Profile + security: + - BearerAuth: [] + operationId: removeResources + tags: + - User Profiles + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the UserProfile + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /userProfiles/clone: + post: + summary: Clone an existing User Profile + security: + - BearerAuth: [] + operationId: cloneUserProfile + tags: + - User Profiles + requestBody: + required: true + description: data for creating a new User Profile + content: + application/json: + schema: + type: object + properties: + id: + type: integer + description: id of the User Profile to clone + name: + type: string + crudPermissions: + type: string + default: r + description: + type: string + required: + - id + responses: + "201": + description: UserProfile successfully cloned. Returns the cloned object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/UserProfile" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/userProfiles/{id}/destroy_many": + delete: + summary: Destroy an existing User Profile + security: + - BearerAuth: [] + operationId: deleteUserProfileById + tags: + - User Profiles + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the UserProfile to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: UserProfile not found + /userProfile/resources: + get: + summary: Gets a list of User Profile Resources + security: + - BearerAuth: [] + operationId: listAllUserProfileResource + tags: + - User Profile Resources + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each UserProfileResource + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of User Profile Resources + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/UserProfileResource" + "206": + description: Partial (paged) collection of User Profile Resources + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/UserProfileResource" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new User Profile Resource + security: + - BearerAuth: [] + operationId: createUserProfileResource + tags: + - User Profile Resources + requestBody: + required: true + description: data for creating a new User Profile Resource + content: + application/json: + schema: + type: object + properties: + name: + type: string + resourceId: + type: integer + type: + type: string + required: + - name + - resourceId + - type + responses: + "201": + description: UserProfileResource successfully created. Returns the created + object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/UserProfileResource" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /userProfile/resources/describe: + get: + summary: Gets table info about User Profile Resources + security: + - BearerAuth: [] + operationId: describeUserProfileResource + tags: + - User Profile Resources + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/userProfile/resources/{id}": + get: + summary: Gets a single User Profile Resource + security: + - BearerAuth: [] + operationId: getUserProfileResourceById + tags: + - User Profile Resources + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the UserProfileResource to get + responses: + "200": + description: UserProfileResource with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/UserProfileResource" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: UserProfileResource not found + put: + summary: Update an existing User Profile Resource + security: + - BearerAuth: [] + operationId: updateUserProfileResourceById + tags: + - User Profile Resources + requestBody: + required: true + description: data for updating a new User Profile Resource + content: + application/json: + schema: + type: object + properties: + name: + type: string + resourceId: + type: integer + type: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the UserProfileResource to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: UserProfileResource not found + "/userProfile/resources/{id}/destroy_many": + delete: + summary: Destroy an existing User Profile Resource + security: + - BearerAuth: [] + operationId: deleteUserProfileResourceById + tags: + - User Profile Resources + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the UserProfileResource to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: UserProfileResource not found + /userProfile/sections: + get: + summary: Gets a list of User Profile Sections + security: + - BearerAuth: [] + operationId: listAllUserProfileSection + tags: + - User Profile Sections + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each UserProfileSection + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of User Profile Sections + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/UserProfileSection" + "206": + description: Partial (paged) collection of User Profile Sections + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/UserProfileSection" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new User Profile Section + security: + - BearerAuth: [] + operationId: createUserProfileSection + tags: + - User Profile Sections + requestBody: + required: true + description: data for creating a new User Profile Section + content: + application/json: + schema: + type: object + properties: + name: + type: string + category: + type: string + sectionId: + type: integer + enabled: + type: boolean + default: null + autoAssociation: + type: boolean + default: null + crudPermissions: + type: string + required: + - name + - category + - sectionId + responses: + "201": + description: UserProfileSection successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/UserProfileSection" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /userProfile/sections/describe: + get: + summary: Gets table info about User Profile Sections + security: + - BearerAuth: [] + operationId: describeUserProfileSection + tags: + - User Profile Sections + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/userProfile/sections/{id}": + get: + summary: Gets a single User Profile Section + security: + - BearerAuth: [] + operationId: getUserProfileSectionById + tags: + - User Profile Sections + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the UserProfileSection to get + responses: + "200": + description: UserProfileSection with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/UserProfileSection" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: UserProfileSection not found + put: + summary: Update an existing User Profile Section + security: + - BearerAuth: [] + operationId: updateUserProfileSectionById + tags: + - User Profile Sections + requestBody: + required: true + description: data for updating a new User Profile Section + content: + application/json: + schema: + type: object + properties: + name: + type: string + category: + type: string + sectionId: + type: integer + enabled: + type: boolean + default: null + autoAssociation: + type: boolean + default: null + crudPermissions: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the UserProfileSection to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: UserProfileSection not found + "/userProfile/sections/{id}/destroy_many": + delete: + summary: Destroy an existing User Profile Section + security: + - BearerAuth: [] + operationId: deleteUserProfileSectionById + tags: + - User Profile Sections + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the UserProfileSection to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: UserProfileSection not found + /variables: + get: + summary: Gets a list of Variables + security: + - BearerAuth: [] + operationId: listAllVariable + tags: + - Variables + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each Variable + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Variables + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Variable" + "206": + description: Partial (paged) collection of Variables + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/Variable" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Variable + security: + - BearerAuth: [] + operationId: createVariable + tags: + - Variables + requestBody: + required: true + description: data for creating a new Variable + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + required: + - name + responses: + "201": + description: Variable successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/Variable" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/variables/{id}": + get: + summary: Gets a single Variable + security: + - BearerAuth: [] + operationId: getVariableById + tags: + - Variables + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Variable to get + responses: + "200": + description: Variable with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/Variable" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Variable not found + put: + summary: Update an existing Variable + security: + - BearerAuth: [] + operationId: updateVariableById + tags: + - Variables + requestBody: + required: true + description: data for updating a new Variable + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Variable to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Variable not found + "/variables/{id}/destroy_many": + delete: + summary: Destroy an existing Variable + security: + - BearerAuth: [] + operationId: deleteVariableById + tags: + - Variables + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the Variable to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: Variable not found + /version: + get: + summary: Gets version + operationId: getVersion + tags: + - Version + responses: + "200": + description: full server version infomation + content: + application/json: + schema: + type: object + properties: + current: + type: string + description: current product version (semver) + phonebar: + type: string + description: current phonebar version (semver) + shortHash: + type: string + description: latest server git sha hash + branch: + type: string + description: server git branch + tag: + type: string + description: server git tag (s) + committedOn: + type: string + format: date + description: last commit date + buildDate: + type: string + format: date + description: buildDate date + required: + - current + - phonebar + - shortHash + - branch + - tag + - committedOn + - buildDate + /version/fetch: + get: + summary: Fetch git version + security: + - BearerAuth: [] + operationId: fetchVersion + tags: + - Version + responses: + "200": + description: operation successfull + content: + application/json: + schema: + type: object + properties: + output: + type: string + default: git fetch origin master + description: current output + required: + - output + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /version/reset: + get: + summary: Reset git version + security: + - BearerAuth: [] + operationId: resetVersion + tags: + - Version + responses: + "200": + description: operation successfull + content: + application/json: + schema: + type: object + properties: + output: + type: string + default: git reset --hard + description: current output + required: + - output + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /version/pull: + get: + summary: Pull git version + security: + - BearerAuth: [] + operationId: pullVersion + tags: + - Version + responses: + "200": + description: operation successfull + content: + application/json: + schema: + type: object + properties: + output: + type: string + description: current output + required: + - output + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /version/restart: + get: + summary: Restart motion2 after update + security: + - BearerAuth: [] + operationId: restartVersion + tags: + - Version + responses: + "200": + description: operation successfull + content: + application/json: + schema: + type: object + properties: + output: + type: string + default: Your system has been restarted successfully + description: current output + required: + - output + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /version/migrations: + get: + summary: Launch database migrations + security: + - BearerAuth: [] + operationId: migrateVersion + tags: + - Version + responses: + "200": + description: operation successfull + content: + application/json: + schema: + type: object + properties: + output: + type: string + default: Database already up-to-date + description: current output + migrations: + type: array + items: + type: string + description: migrations that have been executed (version numbers) + required: + - output + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /voice/agents/reports: + get: + summary: Gets a list of Agent Reports + security: + - BearerAuth: [] + operationId: listAllVoiceAgentReport + tags: + - Voice Agent Reports + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each VoiceAgentReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Agent Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoiceAgentReport" + "206": + description: Partial (paged) collection of Agent Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoiceAgentReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /voice/agents/reports/describe: + get: + summary: Gets table info about Agent Reports + security: + - BearerAuth: [] + operationId: describeVoiceAgentReport + tags: + - Voice Agent Reports + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/agents/reports/{id}": + get: + summary: Gets a single Agent Report + security: + - BearerAuth: [] + operationId: getVoiceAgentReportById + tags: + - Voice Agent Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceAgentReport to get + responses: + "200": + description: VoiceAgentReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/VoiceAgentReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VoiceAgentReport not found + /voice/calls/reports: + get: + summary: Gets a list of Call Reports + security: + - BearerAuth: [] + operationId: listAllVoiceCallReport + tags: + - Voice Call Reports + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each VoiceCallReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Call Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoiceCallReport" + "206": + description: Partial (paged) collection of Call Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoiceCallReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /voice/calls/reports/describe: + get: + summary: Gets table info about Call Reports + security: + - BearerAuth: [] + operationId: describeVoiceCallReport + tags: + - Voice Call Reports + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/calls/reports/{id}": + get: + summary: Gets a single Call Report + security: + - BearerAuth: [] + operationId: getVoiceCallReportById + tags: + - Voice Call Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceCallReport to get + responses: + "200": + description: VoiceCallReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/VoiceCallReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VoiceCallReport not found + put: + summary: Update a single cdr + security: + - BearerAuth: [] + operationId: update + tags: + - Voice Call Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceCallReport + responses: + "200": + description: successfully updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /voice/chanspy: + get: + summary: Gets a list of Voice ChanSpy + security: + - BearerAuth: [] + operationId: listVoiceChanSpy + tags: + - Voice ChanSpy + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each voice chanspy + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Voice ChanSpy + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoiceChanSpy" + "206": + description: Partial (paged) collection of Voice ChanSpy + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoiceChanSpy" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/chanspy/{id}": + get: + summary: Get a ChanSpy by Id + security: + - BearerAuth: [] + operationId: getChanSpyById + tags: + - Voice ChanSpy + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChanSpy + responses: + "200": + description: the desired chanspy + content: + application/json: + schema: + $ref: "#/components/schemas/VoiceChanSpy" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: chanspy not found + post: + summary: create a ChanSpy + security: + - BearerAuth: [] + operationId: createChanSpy + tags: + - Voice ChanSpy + requestBody: + required: true + description: chanspy data + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: chanspy name + prefix: + type: string + description: chanspy prefix + options: + type: string + description: chanspy preoptionsfix + auth: + type: boolean + description: chanspy auth + password: + type: string + format: password + description: chanspy password + record: + type: boolean + description: chanspy record + recordingFormat: + type: string + description: chanspy recordingFormat + description: + type: string + description: chanspy description + required: + - prefix + responses: + "201": + description: successfully created + content: + application/json: + schema: + $ref: "#/components/schemas/VoiceChanSpy" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: chanspy not found + put: + summary: Update a ChanSpy + security: + - BearerAuth: [] + operationId: updateChanSpyById + tags: + - Voice ChanSpy + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChanSpy + requestBody: + required: true + description: chanspy data + content: + application/json: + schema: + type: object + properties: + name: + type: string + description: chanspy name + prefix: + type: string + description: chanspy prefix + options: + type: string + description: chanspy preoptionsfix + auth: + type: boolean + description: chanspy auth + password: + type: string + format: password + description: chanspy password + record: + type: boolean + description: chanspy record + recordingFormat: + type: string + description: chanspy recordingFormat + description: + type: string + description: chanspy description + required: + - prefix + responses: + "200": + description: successfully updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: chanspy not found + delete: + summary: Delete a ChanSpy + security: + - BearerAuth: [] + operationId: deleteChanSpyById + tags: + - Voice ChanSpy + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the ChanSpy + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: chanspy not found + /voice/contexts: + get: + summary: Gets a list of Contexts + security: + - BearerAuth: [] + operationId: listAllVoiceContext + tags: + - Voice Contexts + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each VoiceContext + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Contexts + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoiceContext" + "206": + description: Partial (paged) collection of Contexts + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoiceContext" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/contexts/{id}": + get: + summary: Gets a single Context + security: + - BearerAuth: [] + operationId: getVoiceContextById + tags: + - Voice Contexts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceContext to get + responses: + "200": + description: VoiceContext with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/VoiceContext" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VoiceContext not found + put: + summary: Update an existing context + security: + - BearerAuth: [] + operationId: update + tags: + - Voice Contexts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceContext + responses: + "200": + description: successfully updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Deletes a context + security: + - BearerAuth: [] + operationId: destroy + tags: + - Voice Contexts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceContext + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /voice/contexts/: + post: + summary: Create a new context + security: + - BearerAuth: [] + operationId: create + tags: + - Voice Contexts + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /voice/dials/reports: + get: + summary: Gets a list of Dial Reports + security: + - BearerAuth: [] + operationId: listAllVoiceDialReport + tags: + - Voice Dial Reports + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each VoiceDialReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Dial Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoiceDialReport" + "206": + description: Partial (paged) collection of Dial Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoiceDialReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /voice/dials/reports/describe: + get: + summary: Gets table info about Dial Reports + security: + - BearerAuth: [] + operationId: describeVoiceDialReport + tags: + - Voice Dial Reports + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/dials/reports/{id}": + get: + summary: Gets a single Dial Report + security: + - BearerAuth: [] + operationId: getVoiceDialReportById + tags: + - Voice Dial Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceDialReport to get + responses: + "200": + description: VoiceDialReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/VoiceDialReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VoiceDialReport not found + /voice/extensions: + get: + summary: Gets a list of Extensions + security: + - BearerAuth: [] + operationId: listAllVoiceExtension + tags: + - Voice Extensions + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each VoiceExtension + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Extensions + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoiceExtension" + "206": + description: Partial (paged) collection of Extensions + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoiceExtension" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/extensions/{id}": + get: + summary: Gets a single Extension + security: + - BearerAuth: [] + operationId: getVoiceExtensionById + tags: + - Voice Extensions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceExtension to get + responses: + "200": + description: VoiceExtension with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/VoiceExtension" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VoiceExtension not found + put: + summary: Update an extension + security: + - BearerAuth: [] + operationId: update + tags: + - Voice Extensions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceExtension + responses: + "200": + description: successfully updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /voice/extensions/: + post: + summary: Create an extension + security: + - BearerAuth: [] + operationId: create + tags: + - Voice Extensions + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/extensions/{id}/applications": + post: + summary: Create new applications + security: + - BearerAuth: [] + operationId: addApplications + tags: + - Voice Extensions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceExtension + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/extensions/{id}/destroy_many": + delete: + summary: Destroy an existing Extension + security: + - BearerAuth: [] + operationId: deleteVoiceExtensionById + tags: + - Voice Extensions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceExtension to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VoiceExtension not found + /voice/mails: + get: + summary: Gets a list of Mails + security: + - BearerAuth: [] + operationId: listAllVoiceMail + tags: + - Voice Mails + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each VoiceMail + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Mails + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoiceMail" + "206": + description: Partial (paged) collection of Mails + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoiceMail" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Mail + security: + - BearerAuth: [] + operationId: createVoiceMail + tags: + - Voice Mails + requestBody: + required: true + description: data for creating a new Mail + content: + application/json: + schema: + type: object + properties: + customer_id: + type: string + context: + type: string + default: from-voicemail + mailbox: + type: string + default: "" + password: + type: string + fullname: + type: string + email: + type: string + pager: + type: string + tz: + type: string + default: central + attach: + type: string + enum: + - yes + - no + default: yes + saycid: + type: string + enum: + - yes + - no + default: yes + dialout: + type: string + callback: + type: string + review: + type: string + enum: + - yes + - no + default: no + operator: + type: string + enum: + - yes + - no + default: no + envelope: + type: string + enum: + - yes + - no + default: no + sayduration: + type: string + enum: + - yes + - no + default: no + saydurationm: + type: string + default: 1 + sendvoicemail: + type: string + enum: + - yes + - no + default: no + delete: + type: string + enum: + - yes + - no + default: no + nextaftercmd: + type: string + enum: + - yes + - no + default: yes + forcename: + type: string + enum: + - yes + - no + default: no + forcegreetings: + type: string + enum: + - yes + - no + default: no + hidefromdir: + type: string + enum: + - yes + - no + default: yes + stamp: + type: string + emailsubject: + type: string + emailbody: + type: string + maxsecs: + type: integer + default: 180 + maxmsg: + type: integer + default: 100 + name: + type: string + required: + - mailbox + - attach + - saycid + - review + - operator + - envelope + - sayduration + - saydurationm + - sendvoicemail + - delete + - nextaftercmd + - forcename + - forcegreetings + - hidefromdir + - maxsecs + - maxmsg + responses: + "201": + description: VoiceMail successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/VoiceMail" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/mails/{id}": + get: + summary: Gets a single Mail + security: + - BearerAuth: [] + operationId: getVoiceMailById + tags: + - Voice Mails + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceMail to get + responses: + "200": + description: VoiceMail with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/VoiceMail" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VoiceMail not found + put: + summary: Update an existing Mail + security: + - BearerAuth: [] + operationId: updateVoiceMailById + tags: + - Voice Mails + requestBody: + required: true + description: data for updating a new Mail + content: + application/json: + schema: + type: object + properties: + customer_id: + type: string + context: + type: string + default: from-voicemail + mailbox: + type: string + default: "" + password: + type: string + fullname: + type: string + email: + type: string + pager: + type: string + tz: + type: string + default: central + attach: + type: string + enum: + - yes + - no + default: yes + saycid: + type: string + enum: + - yes + - no + default: yes + dialout: + type: string + callback: + type: string + review: + type: string + enum: + - yes + - no + default: no + operator: + type: string + enum: + - yes + - no + default: no + envelope: + type: string + enum: + - yes + - no + default: no + sayduration: + type: string + enum: + - yes + - no + default: no + saydurationm: + type: string + default: 1 + sendvoicemail: + type: string + enum: + - yes + - no + default: no + delete: + type: string + enum: + - yes + - no + default: no + nextaftercmd: + type: string + enum: + - yes + - no + default: yes + forcename: + type: string + enum: + - yes + - no + default: no + forcegreetings: + type: string + enum: + - yes + - no + default: no + hidefromdir: + type: string + enum: + - yes + - no + default: yes + stamp: + type: string + emailsubject: + type: string + emailbody: + type: string + maxsecs: + type: integer + default: 180 + maxmsg: + type: integer + default: 100 + name: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceMail to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VoiceMail not found + "/voice/mails/{id}/messages": + get: + summary: Gets voice mail messages + security: + - BearerAuth: [] + operationId: getMessages + tags: + - Voice Mails + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceMail + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/mails/{id}/destroy_many": + delete: + summary: Destroy an existing Mail + security: + - BearerAuth: [] + operationId: deleteVoiceMailById + tags: + - Voice Mails + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceMail to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VoiceMail not found + /voice/mails/messages: + get: + summary: Gets a list of Messages + security: + - BearerAuth: [] + operationId: listAllVoiceMailMessage + tags: + - Voice Messages + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each VoiceMailMessage + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Messages + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoiceMailMessage" + "206": + description: Partial (paged) collection of Messages + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoiceMailMessage" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Message + security: + - BearerAuth: [] + operationId: createVoiceMailMessage + tags: + - Voice Messages + requestBody: + required: true + description: data for creating a new Message + content: + application/json: + schema: + type: object + properties: + name: + type: string + msgnum: + type: integer + default: 0 + dir: + type: string + default: "" + context: + type: string + default: "" + macrocontext: + type: string + default: "" + callerid: + type: string + default: "" + origtime: + type: string + default: "" + duration: + type: string + default: "" + mailboxuser: + type: string + default: "" + mailboxcontext: + type: string + default: "" + recording: + type: object + default: null + flag: + type: string + default: "" + msg_id: + type: string + default: "" + stamp: + type: string + required: + - msgnum + - stamp + responses: + "201": + description: VoiceMailMessage successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/VoiceMailMessage" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/mails/messages/{id}": + get: + summary: Gets a single Message + security: + - BearerAuth: [] + operationId: getVoiceMailMessageById + tags: + - Voice Messages + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceMailMessage to get + responses: + "200": + description: VoiceMailMessage with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/VoiceMailMessage" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VoiceMailMessage not found + put: + summary: Update an existing Message + security: + - BearerAuth: [] + operationId: updateVoiceMailMessageById + tags: + - Voice Messages + requestBody: + required: true + description: data for updating a new Message + content: + application/json: + schema: + type: object + properties: + name: + type: string + msgnum: + type: integer + default: 0 + dir: + type: string + default: "" + context: + type: string + default: "" + macrocontext: + type: string + default: "" + callerid: + type: string + default: "" + origtime: + type: string + default: "" + duration: + type: string + default: "" + mailboxuser: + type: string + default: "" + mailboxcontext: + type: string + default: "" + recording: + type: object + default: null + flag: + type: string + default: "" + msg_id: + type: string + default: "" + stamp: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceMailMessage to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VoiceMailMessage not found + "/voice/mails/messages/{id}/download": + get: + summary: Download Voice Message + security: + - BearerAuth: [] + operationId: download + tags: + - Voice Messages + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceMailMessage + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/mails/messages/{id}/destroy_many": + delete: + summary: Destroy an existing Message + security: + - BearerAuth: [] + operationId: deleteVoiceMailMessageById + tags: + - Voice Messages + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceMailMessage to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VoiceMailMessage not found + /voice/mohs: + get: + summary: Gets a list of Music On Holds + security: + - BearerAuth: [] + operationId: listAllVoiceMusicOnHold + tags: + - Voice MOHs + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each VoiceMusicOnHold + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Music On Holds + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoiceMusicOnHold" + "206": + description: Partial (paged) collection of Music On Holds + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoiceMusicOnHold" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/mohs/{id}": + get: + summary: Gets a single Music On Hold + security: + - BearerAuth: [] + operationId: getVoiceMusicOnHoldById + tags: + - Voice MOHs + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceMusicOnHold to get + responses: + "200": + description: VoiceMusicOnHold with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/VoiceMusicOnHold" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VoiceMusicOnHold not found + put: + summary: Update an existing Music On Hold + security: + - BearerAuth: [] + operationId: updateVoiceMusicOnHoldById + tags: + - Voice MOHs + requestBody: + required: true + description: data for updating a new Music On Hold + content: + application/json: + schema: + type: object + properties: + name: + type: string + mode: + type: string + enum: + - custom + - files + - mp3nb + - quietmp3nb + - quietmp3 + - mp3 + default: files + directory: + type: string + application: + type: string + digit: + type: string + sort: + type: string + default: alpha + format: + type: string + stamp: + type: string + defaultEntry: + type: boolean + default: 0 + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceMusicOnHold to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VoiceMusicOnHold not found + delete: + summary: Deletes an MOH + security: + - BearerAuth: [] + operationId: destroy + tags: + - Voice MOHs + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceMusicOnHold + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/mohs/{id}/sounds": + get: + summary: Gets sounds from MOH + security: + - BearerAuth: [] + operationId: getSounds + tags: + - Voice MOHs + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceMusicOnHold + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add sound to MOH + security: + - BearerAuth: [] + operationId: addSound + tags: + - Voice MOHs + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceMusicOnHold + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /voice/mohs/: + post: + summary: Create a new a new MOH + security: + - BearerAuth: [] + operationId: create + tags: + - Voice MOHs + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/mohs/{id}/sounds/:id2": + delete: + summary: Remove sound from MOH + security: + - BearerAuth: [] + operationId: removeSound + tags: + - Voice MOHs + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceMusicOnHold + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /voice/prefixes: + get: + summary: Gets a list of Prefixes + security: + - BearerAuth: [] + operationId: listAllVoicePrefix + tags: + - Voice Prefixes + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each VoicePrefix + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Prefixes + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoicePrefix" + "206": + description: Partial (paged) collection of Prefixes + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoicePrefix" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /voice/prefixes/describe: + get: + summary: Gets table info about Prefixes + security: + - BearerAuth: [] + operationId: describeVoicePrefix + tags: + - Voice Prefixes + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/prefixes/{id}": + get: + summary: Gets a single Prefix + security: + - BearerAuth: [] + operationId: getVoicePrefixById + tags: + - Voice Prefixes + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoicePrefix to get + responses: + "200": + description: VoicePrefix with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/VoicePrefix" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VoicePrefix not found + put: + summary: Update an existing prefix + security: + - BearerAuth: [] + operationId: update + tags: + - Voice Prefixes + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoicePrefix + responses: + "200": + description: successfully updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/prefixes/{id}/users": + get: + summary: Gets agents from prefix + security: + - BearerAuth: [] + operationId: getAgents + tags: + - Voice Prefixes + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoicePrefix + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add agents to a prefix + security: + - BearerAuth: [] + operationId: addAgents + tags: + - Voice Prefixes + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoicePrefix + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes agents from a prefix + security: + - BearerAuth: [] + operationId: removeAgents + tags: + - Voice Prefixes + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoicePrefix + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /voice/prefixes/: + post: + summary: Create a prefix + security: + - BearerAuth: [] + operationId: create + tags: + - Voice Prefixes + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/prefixes/{id}/destroy_many": + delete: + summary: Destroy an existing Prefix + security: + - BearerAuth: [] + operationId: deleteVoicePrefixById + tags: + - Voice Prefixes + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoicePrefix to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VoicePrefix not found + /voice/queues: + get: + summary: Gets a list of Queues + security: + - BearerAuth: [] + operationId: listAllVoiceQueue + tags: + - Voice Queues + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each VoiceQueue + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Queues + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoiceQueue" + "206": + description: Partial (paged) collection of Queues + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoiceQueue" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Queue + security: + - BearerAuth: [] + operationId: createVoiceQueue + tags: + - Voice Queues + requestBody: + required: true + description: data for creating a new Queue + content: + application/json: + schema: + type: object + properties: + name: + type: string + type: + type: string + enum: + - inbound + - outbound + default: inbound + musiconhold: + type: string + default: default + announce: + type: string + default: "" + strategy: + type: string + enum: + - rr + - ringall + - leastrecent + - fewestcalls + - random + - rrmemory + - linear + - wrandom + - rrordered + default: ringall + servicelevel: + type: integer + default: 0 + context: + type: string + default: null + penaltymemberslimit: + type: integer + timeout: + type: integer + default: 15 + retry: + type: integer + default: 2 + timeoutpriority: + type: string + weight: + type: integer + default: 0 + wrapuptime: + type: integer + default: 0 + autofill: + type: string + enum: + - yes + - no + default: yes + autopause: + type: string + enum: + - yes + - no + - all + default: no + autopausedelay: + type: integer + autopausebusy: + type: string + enum: + - yes + - no + default: no + autopauseunavail: + type: string + enum: + - yes + - no + default: no + maxlen: + type: integer + default: 0 + setinterfacevar: + type: string + enum: + - yes + - no + default: no + setqueueentryvar: + type: string + enum: + - yes + - no + setqueuevar: + type: string + enum: + - yes + - no + default: no + eventmemberstatus: + type: string + enum: + - yes + - no + default: no + membermacro: + type: string + membergosub: + type: string + announce_frequency: + type: integer + default: 0 + min_announce_frequency: + type: integer + default: 0 + periodic_announce_frequency: + type: integer + default: 0 + random_periodic_announce: + type: string + enum: + - yes + - no + default: no + relative_periodic_announce: + type: string + enum: + - yes + - no + default: yes + announce_holdtime: + type: string + enum: + - yes + - no + - once + default: no + announce_position: + type: string + default: no + announce_to_first_user: + type: string + enum: + - yes + - no + announce_position_limit: + type: integer + announce_round_seconds: + type: string + enum: + - "0" + - "5" + - "10" + - "15" + - "20" + - "30" + default: "0" + monitor_format: + type: string + default: "" + monitor_type: + type: string + queue_youarenext: + type: string + default: null + queue_thereare: + type: string + default: null + queue_callswaiting: + type: string + default: null + queue_holdtime: + type: string + default: null + queue_minute: + type: string + default: null + queue_minutes: + type: string + default: null + queue_seconds: + type: string + default: null + queue_thankyou: + type: string + default: null + queue_reporthold: + type: string + queue_quantity1: + type: string + queue_quantity2: + type: string + queue_periodic_announce: + type: string + queue_less_than: + type: string + periodic_announce: + type: string + joinempty: + type: string + default: yes + leavewhenempty: + type: string + default: no + reportholdtime: + type: string + enum: + - yes + - no + default: no + ringinuse: + type: string + enum: + - yes + - no + default: no + memberdelay: + type: integer + default: 0 + timeoutrestart: + type: string + enum: + - yes + - no + default: no + defaultrule: + type: string + description: + type: string + acw: + type: boolean + default: 0 + acwTimeout: + type: integer + default: 10 + dialActive: + type: boolean + description: Active/Disactive Campaign + default: 0 + dialMethod: + type: string + enum: + - preview + - progressive + - power + - predictive + - booked + description: Dial Method. + default: progressive + dialLimitChannel: + type: integer + description: Max 9999 channels, 0 means unlimited. + default: 0 + dialLimitQueue: + type: integer + description: Max 9999 member in queue(min:1, max:9999), 0 means unlimited. + default: 0 + dialPowerLevel: + type: number + description: "Power Level: Calls for agents (min:1, max:10)." + default: 1 + dialPredictiveOptimization: + type: string + enum: + - agentBusyFactor + - dropRate + description: Only for predictive method. + default: dropRate + dialPredictiveOptimizationPercentage: + type: number + description: "Predictive Optimization Percentage (min: 1, max: 95)" + default: 3 + dialPredictiveInterval: + type: integer + description: Interval Predictive Minutes (min:5 max:30) + default: 10 + dialOriginateCallerIdName: + type: string + dialOriginateCallerIdNumber: + type: string + dialOriginateTimeout: + type: integer + description: Originate Timeout Seconds (min:1, max:999) + default: 30 + dialQueueOptions: + type: string + description: https://wiki.asterisk.org/wiki/display/AST/Asterisk+13+Application_Queue + default: tTxX + dialQueueTimeout: + type: integer + description: Queue Timeout Seconds (min:1, max:999) + default: 3 + dialQueueProject: + type: string + description: "AGI queue option (use: agi://127.0.0.1/square,)" + dialCongestionMaxRetry: + type: integer + description: "#Congestion Retry (min:1, max:999)" + default: 3 + dialCongestionRetryFrequency: + type: integer + description: Congestion Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialBusyMaxRetry: + type: integer + description: "#Busy Retry (min:1, max:999)" + default: 3 + dialBusyRetryFrequency: + type: integer + description: Busy Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialNoAnswerMaxRetry: + type: integer + description: "#NoAnswer Retry (min:1, max:999)" + default: 3 + dialNoAnswerRetryFrequency: + type: integer + description: NoAnswer Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialGlobalMaxRetry: + type: integer + description: "#Global Max Retry (min:1, max:999)" + default: 4 + dialTimezone: + type: string + default: null + dialGlobalInterval: + type: string + default: 07:00-22:00,*,*,* + dialPrefix: + type: string + default: null + dialCheckDuplicateType: + type: string + enum: + - always + - never + - onlyIfOpen + default: always + dialAMDActive: + type: boolean + description: Active/Disactive AMD + default: 0 + dialAMDInitialSilence: + type: integer + description: "#AMD Initial Silence" + default: 2500 + dialAMDGreeting: + type: integer + description: "#AMD Greeting" + default: 1500 + dialAMDAfterGreetingSilence: + type: integer + description: "#AMD After Greeting Silence" + default: 800 + dialAMDTotalAnalysisTime: + type: integer + description: "#AMD Total Analysis Time" + default: 5000 + dialAMDMinWordLength: + type: integer + description: "#AMD Min Word Length" + default: 100 + dialAMDBetweenWordsSilence: + type: integer + description: "#AMD Between Words Silence" + default: 50 + dialAMDMaximumNumberOfWords: + type: integer + description: "#AMD Maximum Number Of Words" + default: 3 + dialAMDSilenceThreshold: + type: integer + description: "#AMD Silence Threshold (min:0, max:32767)" + default: 256 + dialAMDMaximumWordLength: + type: integer + description: "#AMD Maximum Word Length" + default: 5000 + dialRecallMeTimeout: + type: integer + description: "#RecallMe Timeout (min:1)" + default: 30 + dialRecallInQueue: + type: boolean + description: Active/Disactive Recall In Queue + default: 0 + dialOrderByScheduledAt: + type: string + enum: + - DESC + - ASC + default: DESC + dialQueueProject2: + type: string + description: "AGI queue option (use: agi://127.0.0.1/square,)" + dialAgiAfterHangupClient: + type: boolean + default: false + dialAgiAfterHangupAgent: + type: boolean + default: false + dialRandomLastDigitCallerIdNumber: + type: integer + description: Random Last Digit (min:1, max:15) + default: 0 + dialCutDigit: + type: integer + description: Cut Digit (min:1, max:15) + default: 0 + dialNoSuchNumberMaxRetry: + type: integer + description: "#NoSuchNumber Retry (min:1, max:999)" + default: 3 + dialNoSuchNumberRetryFrequency: + type: integer + description: NoSuchNumber Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialDropMaxRetry: + type: integer + description: "#Drop Retry (min:1, max:999)" + default: 3 + dialDropRetryFrequency: + type: integer + description: Drop Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialAbandonedMaxRetry: + type: integer + description: "#Abandoned Retry (min:1, max:999)" + default: 3 + dialAbandonedRetryFrequency: + type: integer + description: Abandoned Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialMachineMaxRetry: + type: integer + description: "#Machine Retry (min:1, max:999)" + default: 3 + dialMachineRetryFrequency: + type: integer + description: Machine Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialAgentRejectMaxRetry: + type: integer + description: "#AgentReject Retry (min:1, max:999)" + default: 3 + dialAgentRejectRetryFrequency: + type: integer + description: AgentReject Retry Frequency Minutes (min:1, max:99999) + default: 150 + mandatoryDispositionPauseId: + type: integer + description: Status to put when mandatory disposition is enabled + mandatoryDisposition: + type: boolean + description: Enabled/disables mandatory dispo on a queue + default: false + dialPredictiveIntervalMaxThreshold: + type: integer + default: 20 + dialPredictiveIntervalMinThreshold: + type: integer + default: 10 + required: + - name + - type + - strategy + responses: + "201": + description: VoiceQueue successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/VoiceQueue" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/queues/{id}": + get: + summary: Gets a single Queue + security: + - BearerAuth: [] + operationId: getVoiceQueueById + tags: + - Voice Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceQueue to get + responses: + "200": + description: VoiceQueue with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/VoiceQueue" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VoiceQueue not found + put: + summary: Update an existing Queue + security: + - BearerAuth: [] + operationId: updateVoiceQueueById + tags: + - Voice Queues + requestBody: + required: true + description: data for updating a new Queue + content: + application/json: + schema: + type: object + properties: + name: + type: string + type: + type: string + enum: + - inbound + - outbound + default: inbound + musiconhold: + type: string + default: default + announce: + type: string + default: "" + strategy: + type: string + enum: + - rr + - ringall + - leastrecent + - fewestcalls + - random + - rrmemory + - linear + - wrandom + - rrordered + default: ringall + servicelevel: + type: integer + default: 0 + context: + type: string + default: null + penaltymemberslimit: + type: integer + timeout: + type: integer + default: 15 + retry: + type: integer + default: 2 + timeoutpriority: + type: string + weight: + type: integer + default: 0 + wrapuptime: + type: integer + default: 0 + autofill: + type: string + enum: + - yes + - no + default: yes + autopause: + type: string + enum: + - yes + - no + - all + default: no + autopausedelay: + type: integer + autopausebusy: + type: string + enum: + - yes + - no + default: no + autopauseunavail: + type: string + enum: + - yes + - no + default: no + maxlen: + type: integer + default: 0 + setinterfacevar: + type: string + enum: + - yes + - no + default: no + setqueueentryvar: + type: string + enum: + - yes + - no + setqueuevar: + type: string + enum: + - yes + - no + default: no + eventmemberstatus: + type: string + enum: + - yes + - no + default: no + membermacro: + type: string + membergosub: + type: string + announce_frequency: + type: integer + default: 0 + min_announce_frequency: + type: integer + default: 0 + periodic_announce_frequency: + type: integer + default: 0 + random_periodic_announce: + type: string + enum: + - yes + - no + default: no + relative_periodic_announce: + type: string + enum: + - yes + - no + default: yes + announce_holdtime: + type: string + enum: + - yes + - no + - once + default: no + announce_position: + type: string + default: no + announce_to_first_user: + type: string + enum: + - yes + - no + announce_position_limit: + type: integer + announce_round_seconds: + type: string + enum: + - "0" + - "5" + - "10" + - "15" + - "20" + - "30" + default: "0" + monitor_format: + type: string + default: "" + monitor_type: + type: string + queue_youarenext: + type: string + default: null + queue_thereare: + type: string + default: null + queue_callswaiting: + type: string + default: null + queue_holdtime: + type: string + default: null + queue_minute: + type: string + default: null + queue_minutes: + type: string + default: null + queue_seconds: + type: string + default: null + queue_thankyou: + type: string + default: null + queue_reporthold: + type: string + queue_quantity1: + type: string + queue_quantity2: + type: string + queue_periodic_announce: + type: string + queue_less_than: + type: string + periodic_announce: + type: string + joinempty: + type: string + default: yes + leavewhenempty: + type: string + default: no + reportholdtime: + type: string + enum: + - yes + - no + default: no + ringinuse: + type: string + enum: + - yes + - no + default: no + memberdelay: + type: integer + default: 0 + timeoutrestart: + type: string + enum: + - yes + - no + default: no + defaultrule: + type: string + description: + type: string + acw: + type: boolean + default: 0 + acwTimeout: + type: integer + default: 10 + dialActive: + type: boolean + description: Active/Disactive Campaign + default: 0 + dialMethod: + type: string + enum: + - preview + - progressive + - power + - predictive + - booked + description: Dial Method. + default: progressive + dialLimitChannel: + type: integer + description: Max 9999 channels, 0 means unlimited. + default: 0 + dialLimitQueue: + type: integer + description: Max 9999 member in queue(min:1, max:9999), 0 means unlimited. + default: 0 + dialPowerLevel: + type: number + description: "Power Level: Calls for agents (min:1, max:10)." + default: 1 + dialPredictiveOptimization: + type: string + enum: + - agentBusyFactor + - dropRate + description: Only for predictive method. + default: dropRate + dialPredictiveOptimizationPercentage: + type: number + description: "Predictive Optimization Percentage (min: 1, max: 95)" + default: 3 + dialPredictiveInterval: + type: integer + description: Interval Predictive Minutes (min:5 max:30) + default: 10 + dialOriginateCallerIdName: + type: string + dialOriginateCallerIdNumber: + type: string + dialOriginateTimeout: + type: integer + description: Originate Timeout Seconds (min:1, max:999) + default: 30 + dialQueueOptions: + type: string + description: https://wiki.asterisk.org/wiki/display/AST/Asterisk+13+Application_Queue + default: tTxX + dialQueueTimeout: + type: integer + description: Queue Timeout Seconds (min:1, max:999) + default: 3 + dialQueueProject: + type: string + description: "AGI queue option (use: agi://127.0.0.1/square,)" + dialCongestionMaxRetry: + type: integer + description: "#Congestion Retry (min:1, max:999)" + default: 3 + dialCongestionRetryFrequency: + type: integer + description: Congestion Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialBusyMaxRetry: + type: integer + description: "#Busy Retry (min:1, max:999)" + default: 3 + dialBusyRetryFrequency: + type: integer + description: Busy Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialNoAnswerMaxRetry: + type: integer + description: "#NoAnswer Retry (min:1, max:999)" + default: 3 + dialNoAnswerRetryFrequency: + type: integer + description: NoAnswer Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialGlobalMaxRetry: + type: integer + description: "#Global Max Retry (min:1, max:999)" + default: 4 + dialTimezone: + type: string + default: null + dialGlobalInterval: + type: string + default: 07:00-22:00,*,*,* + dialPrefix: + type: string + default: null + dialCheckDuplicateType: + type: string + enum: + - always + - never + - onlyIfOpen + default: always + dialAMDActive: + type: boolean + description: Active/Disactive AMD + default: 0 + dialAMDInitialSilence: + type: integer + description: "#AMD Initial Silence" + default: 2500 + dialAMDGreeting: + type: integer + description: "#AMD Greeting" + default: 1500 + dialAMDAfterGreetingSilence: + type: integer + description: "#AMD After Greeting Silence" + default: 800 + dialAMDTotalAnalysisTime: + type: integer + description: "#AMD Total Analysis Time" + default: 5000 + dialAMDMinWordLength: + type: integer + description: "#AMD Min Word Length" + default: 100 + dialAMDBetweenWordsSilence: + type: integer + description: "#AMD Between Words Silence" + default: 50 + dialAMDMaximumNumberOfWords: + type: integer + description: "#AMD Maximum Number Of Words" + default: 3 + dialAMDSilenceThreshold: + type: integer + description: "#AMD Silence Threshold (min:0, max:32767)" + default: 256 + dialAMDMaximumWordLength: + type: integer + description: "#AMD Maximum Word Length" + default: 5000 + dialRecallMeTimeout: + type: integer + description: "#RecallMe Timeout (min:1)" + default: 30 + dialRecallInQueue: + type: boolean + description: Active/Disactive Recall In Queue + default: 0 + dialOrderByScheduledAt: + type: string + enum: + - DESC + - ASC + default: DESC + dialQueueProject2: + type: string + description: "AGI queue option (use: agi://127.0.0.1/square,)" + dialAgiAfterHangupClient: + type: boolean + default: false + dialAgiAfterHangupAgent: + type: boolean + default: false + dialRandomLastDigitCallerIdNumber: + type: integer + description: Random Last Digit (min:1, max:15) + default: 0 + dialCutDigit: + type: integer + description: Cut Digit (min:1, max:15) + default: 0 + dialNoSuchNumberMaxRetry: + type: integer + description: "#NoSuchNumber Retry (min:1, max:999)" + default: 3 + dialNoSuchNumberRetryFrequency: + type: integer + description: NoSuchNumber Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialDropMaxRetry: + type: integer + description: "#Drop Retry (min:1, max:999)" + default: 3 + dialDropRetryFrequency: + type: integer + description: Drop Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialAbandonedMaxRetry: + type: integer + description: "#Abandoned Retry (min:1, max:999)" + default: 3 + dialAbandonedRetryFrequency: + type: integer + description: Abandoned Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialMachineMaxRetry: + type: integer + description: "#Machine Retry (min:1, max:999)" + default: 3 + dialMachineRetryFrequency: + type: integer + description: Machine Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialAgentRejectMaxRetry: + type: integer + description: "#AgentReject Retry (min:1, max:999)" + default: 3 + dialAgentRejectRetryFrequency: + type: integer + description: AgentReject Retry Frequency Minutes (min:1, max:99999) + default: 150 + mandatoryDispositionPauseId: + type: integer + description: Status to put when mandatory disposition is enabled + mandatoryDisposition: + type: boolean + description: Enabled/disables mandatory dispo on a queue + default: false + dialPredictiveIntervalMaxThreshold: + type: integer + default: 20 + dialPredictiveIntervalMinThreshold: + type: integer + default: 10 + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceQueue to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VoiceQueue not found + "/voice/queues/{id}/hoppers": + get: + summary: Gets queue hoppers + security: + - BearerAuth: [] + operationId: getHoppers + tags: + - Voice Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceQueue + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/queues/{id}/hopper_histories": + get: + summary: Gets queue hopper histories + security: + - BearerAuth: [] + operationId: getHopperHistories + tags: + - Voice Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceQueue + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/queues/{id}/hopper_finals": + get: + summary: Gets queue hopper finals + security: + - BearerAuth: [] + operationId: getHopperFinals + tags: + - Voice Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceQueue + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/queues/{id}/hopper_black": + get: + summary: Gets queue hopper blacks + security: + - BearerAuth: [] + operationId: getHopperBlacks + tags: + - Voice Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceQueue + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/queues/{id}/teams": + get: + summary: Gets queue team + security: + - BearerAuth: [] + operationId: getTeams + tags: + - Voice Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceQueue + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add teams to queue + security: + - BearerAuth: [] + operationId: addTeams + tags: + - Voice Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceQueue + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove teams from a queue + security: + - BearerAuth: [] + operationId: removeTeams + tags: + - Teams + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceQueue + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/queues/{id}/users": + get: + summary: Gets queue agents + security: + - BearerAuth: [] + operationId: getAgents + tags: + - Voice Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceQueue + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add agents to queue + security: + - BearerAuth: [] + operationId: addAgents + tags: + - Voice Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceQueue + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes agents from a queue + security: + - BearerAuth: [] + operationId: removeAgents + tags: + - Voice Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceQueue + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/queues/{id}/members": + get: + summary: Gets queue members + security: + - BearerAuth: [] + operationId: getMembers + tags: + - Voice Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceQueue + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/queues/{id}/lists": + get: + summary: Get queue lists + security: + - BearerAuth: [] + operationId: getLists + tags: + - Voice Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceQueue + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add lists to a queue + security: + - BearerAuth: [] + operationId: addLists + tags: + - Voice Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceQueue + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove lists from a queue + security: + - BearerAuth: [] + operationId: removeLists + tags: + - Voice Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceQueue + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/queues/{id}/blacklists": + get: + summary: Get queue blacklists + security: + - BearerAuth: [] + operationId: getBlackLists + tags: + - Voice Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceQueue + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add blacklists to a queue + security: + - BearerAuth: [] + operationId: addBlackLists + tags: + - Voice Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceQueue + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove blacklists from a queue + security: + - BearerAuth: [] + operationId: removeBlackLists + tags: + - Voice Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceQueue + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /voice/queues/clone: + post: + summary: Clone an existing Queue + security: + - BearerAuth: [] + operationId: cloneVoiceQueue + tags: + - Voice Queues + requestBody: + required: true + description: data for creating a new Queue + content: + application/json: + schema: + type: object + properties: + id: + type: integer + description: id of the Queue to clone + name: + type: string + type: + type: string + enum: + - inbound + - outbound + default: inbound + musiconhold: + type: string + default: default + announce: + type: string + default: "" + strategy: + type: string + enum: + - rr + - ringall + - leastrecent + - fewestcalls + - random + - rrmemory + - linear + - wrandom + - rrordered + default: ringall + servicelevel: + type: integer + default: 0 + context: + type: string + default: null + penaltymemberslimit: + type: integer + timeout: + type: integer + default: 15 + retry: + type: integer + default: 2 + timeoutpriority: + type: string + weight: + type: integer + default: 0 + wrapuptime: + type: integer + default: 0 + autofill: + type: string + enum: + - yes + - no + default: yes + autopause: + type: string + enum: + - yes + - no + - all + default: no + autopausedelay: + type: integer + autopausebusy: + type: string + enum: + - yes + - no + default: no + autopauseunavail: + type: string + enum: + - yes + - no + default: no + maxlen: + type: integer + default: 0 + setinterfacevar: + type: string + enum: + - yes + - no + default: no + setqueueentryvar: + type: string + enum: + - yes + - no + setqueuevar: + type: string + enum: + - yes + - no + default: no + eventmemberstatus: + type: string + enum: + - yes + - no + default: no + membermacro: + type: string + membergosub: + type: string + announce_frequency: + type: integer + default: 0 + min_announce_frequency: + type: integer + default: 0 + periodic_announce_frequency: + type: integer + default: 0 + random_periodic_announce: + type: string + enum: + - yes + - no + default: no + relative_periodic_announce: + type: string + enum: + - yes + - no + default: yes + announce_holdtime: + type: string + enum: + - yes + - no + - once + default: no + announce_position: + type: string + default: no + announce_to_first_user: + type: string + enum: + - yes + - no + announce_position_limit: + type: integer + announce_round_seconds: + type: string + enum: + - "0" + - "5" + - "10" + - "15" + - "20" + - "30" + default: "0" + monitor_format: + type: string + default: "" + monitor_type: + type: string + queue_youarenext: + type: string + default: null + queue_thereare: + type: string + default: null + queue_callswaiting: + type: string + default: null + queue_holdtime: + type: string + default: null + queue_minute: + type: string + default: null + queue_minutes: + type: string + default: null + queue_seconds: + type: string + default: null + queue_thankyou: + type: string + default: null + queue_reporthold: + type: string + queue_quantity1: + type: string + queue_quantity2: + type: string + queue_periodic_announce: + type: string + queue_less_than: + type: string + periodic_announce: + type: string + joinempty: + type: string + default: yes + leavewhenempty: + type: string + default: no + reportholdtime: + type: string + enum: + - yes + - no + default: no + ringinuse: + type: string + enum: + - yes + - no + default: no + memberdelay: + type: integer + default: 0 + timeoutrestart: + type: string + enum: + - yes + - no + default: no + defaultrule: + type: string + description: + type: string + acw: + type: boolean + default: 0 + acwTimeout: + type: integer + default: 10 + dialActive: + type: boolean + description: Active/Disactive Campaign + default: 0 + dialMethod: + type: string + enum: + - preview + - progressive + - power + - predictive + - booked + description: Dial Method. + default: progressive + dialLimitChannel: + type: integer + description: Max 9999 channels, 0 means unlimited. + default: 0 + dialLimitQueue: + type: integer + description: Max 9999 member in queue(min:1, max:9999), 0 means unlimited. + default: 0 + dialPowerLevel: + type: number + description: "Power Level: Calls for agents (min:1, max:10)." + default: 1 + dialPredictiveOptimization: + type: string + enum: + - agentBusyFactor + - dropRate + description: Only for predictive method. + default: dropRate + dialPredictiveOptimizationPercentage: + type: number + description: "Predictive Optimization Percentage (min: 1, max: 95)" + default: 3 + dialPredictiveInterval: + type: integer + description: Interval Predictive Minutes (min:5 max:30) + default: 10 + dialOriginateCallerIdName: + type: string + dialOriginateCallerIdNumber: + type: string + dialOriginateTimeout: + type: integer + description: Originate Timeout Seconds (min:1, max:999) + default: 30 + dialQueueOptions: + type: string + description: https://wiki.asterisk.org/wiki/display/AST/Asterisk+13+Application_Queue + default: tTxX + dialQueueTimeout: + type: integer + description: Queue Timeout Seconds (min:1, max:999) + default: 3 + dialQueueProject: + type: string + description: "AGI queue option (use: agi://127.0.0.1/square,)" + dialCongestionMaxRetry: + type: integer + description: "#Congestion Retry (min:1, max:999)" + default: 3 + dialCongestionRetryFrequency: + type: integer + description: Congestion Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialBusyMaxRetry: + type: integer + description: "#Busy Retry (min:1, max:999)" + default: 3 + dialBusyRetryFrequency: + type: integer + description: Busy Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialNoAnswerMaxRetry: + type: integer + description: "#NoAnswer Retry (min:1, max:999)" + default: 3 + dialNoAnswerRetryFrequency: + type: integer + description: NoAnswer Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialGlobalMaxRetry: + type: integer + description: "#Global Max Retry (min:1, max:999)" + default: 4 + dialTimezone: + type: string + default: null + dialGlobalInterval: + type: string + default: 07:00-22:00,*,*,* + dialPrefix: + type: string + default: null + dialCheckDuplicateType: + type: string + enum: + - always + - never + - onlyIfOpen + default: always + dialAMDActive: + type: boolean + description: Active/Disactive AMD + default: 0 + dialAMDInitialSilence: + type: integer + description: "#AMD Initial Silence" + default: 2500 + dialAMDGreeting: + type: integer + description: "#AMD Greeting" + default: 1500 + dialAMDAfterGreetingSilence: + type: integer + description: "#AMD After Greeting Silence" + default: 800 + dialAMDTotalAnalysisTime: + type: integer + description: "#AMD Total Analysis Time" + default: 5000 + dialAMDMinWordLength: + type: integer + description: "#AMD Min Word Length" + default: 100 + dialAMDBetweenWordsSilence: + type: integer + description: "#AMD Between Words Silence" + default: 50 + dialAMDMaximumNumberOfWords: + type: integer + description: "#AMD Maximum Number Of Words" + default: 3 + dialAMDSilenceThreshold: + type: integer + description: "#AMD Silence Threshold (min:0, max:32767)" + default: 256 + dialAMDMaximumWordLength: + type: integer + description: "#AMD Maximum Word Length" + default: 5000 + dialRecallMeTimeout: + type: integer + description: "#RecallMe Timeout (min:1)" + default: 30 + dialRecallInQueue: + type: boolean + description: Active/Disactive Recall In Queue + default: 0 + dialOrderByScheduledAt: + type: string + enum: + - DESC + - ASC + default: DESC + dialQueueProject2: + type: string + description: "AGI queue option (use: agi://127.0.0.1/square,)" + dialAgiAfterHangupClient: + type: boolean + default: false + dialAgiAfterHangupAgent: + type: boolean + default: false + dialRandomLastDigitCallerIdNumber: + type: integer + description: Random Last Digit (min:1, max:15) + default: 0 + dialCutDigit: + type: integer + description: Cut Digit (min:1, max:15) + default: 0 + dialNoSuchNumberMaxRetry: + type: integer + description: "#NoSuchNumber Retry (min:1, max:999)" + default: 3 + dialNoSuchNumberRetryFrequency: + type: integer + description: NoSuchNumber Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialDropMaxRetry: + type: integer + description: "#Drop Retry (min:1, max:999)" + default: 3 + dialDropRetryFrequency: + type: integer + description: Drop Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialAbandonedMaxRetry: + type: integer + description: "#Abandoned Retry (min:1, max:999)" + default: 3 + dialAbandonedRetryFrequency: + type: integer + description: Abandoned Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialMachineMaxRetry: + type: integer + description: "#Machine Retry (min:1, max:999)" + default: 3 + dialMachineRetryFrequency: + type: integer + description: Machine Retry Frequency Minutes (min:1, max:99999) + default: 150 + dialAgentRejectMaxRetry: + type: integer + description: "#AgentReject Retry (min:1, max:999)" + default: 3 + dialAgentRejectRetryFrequency: + type: integer + description: AgentReject Retry Frequency Minutes (min:1, max:99999) + default: 150 + mandatoryDispositionPauseId: + type: integer + description: Status to put when mandatory disposition is enabled + mandatoryDisposition: + type: boolean + description: Enabled/disables mandatory dispo on a queue + default: false + dialPredictiveIntervalMaxThreshold: + type: integer + default: 20 + dialPredictiveIntervalMinThreshold: + type: integer + default: 10 + required: + - id + responses: + "201": + description: VoiceQueue successfully cloned. Returns the cloned object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/VoiceQueue" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/queues/{id}/destroy_many": + delete: + summary: Destroy an existing Queue + security: + - BearerAuth: [] + operationId: deleteVoiceQueueById + tags: + - Voice Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceQueue to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VoiceQueue not found + /voice/queues/reports: + get: + summary: Gets a list of Queue Reports + security: + - BearerAuth: [] + operationId: listAllVoiceQueueReport + tags: + - Voice Queue Reports + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each VoiceQueueReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Queue Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoiceQueueReport" + "206": + description: Partial (paged) collection of Queue Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoiceQueueReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /voice/queues/reports/describe: + get: + summary: Gets table info about Queue Reports + security: + - BearerAuth: [] + operationId: describeVoiceQueueReport + tags: + - Voice Queue Reports + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /voice/queues/reports/index: + get: + summary: Get Voice Queues Report + security: + - BearerAuth: [] + operationId: getVoiceQueuesReport + tags: + - Voice Queue Reports + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/queues/reports/{id}": + get: + summary: Gets a single Queue Report + security: + - BearerAuth: [] + operationId: getVoiceQueueReportById + tags: + - Voice Queue Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceQueueReport to get + responses: + "200": + description: VoiceQueueReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/VoiceQueueReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VoiceQueueReport not found + /voiceQueuesLog: + get: + summary: Gets a list of VoiceQueuesLogs + security: + - BearerAuth: [] + operationId: listAllVoiceQueuesLog + tags: + - voiceQueuesLog + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each VoiceQueuesLog + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of VoiceQueuesLogs + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoiceQueuesLog" + "206": + description: Partial (paged) collection of VoiceQueuesLogs + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoiceQueuesLog" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new VoiceQueuesLog + security: + - BearerAuth: [] + operationId: createVoiceQueuesLog + tags: + - voiceQueuesLog + requestBody: + required: true + description: data for creating a new VoiceQueuesLog + content: + application/json: + schema: + type: object + properties: + time: + type: string + callid: + type: string + default: "" + queuename: + type: string + default: "" + agent: + type: string + default: "" + event: + type: string + default: "" + data: + type: string + default: "" + data1: + type: string + default: "" + data2: + type: string + default: "" + data3: + type: string + default: "" + data4: + type: string + default: "" + data5: + type: string + default: "" + dtm: + type: string + default: 2020-11-27 10:41:25 + required: + - callid + - queuename + - agent + - event + - data + - data1 + - data2 + - data3 + - data4 + - data5 + - dtm + responses: + "201": + description: VoiceQueuesLog successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/VoiceQueuesLog" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voiceQueuesLog/{id}": + get: + summary: Gets a single VoiceQueuesLog + security: + - BearerAuth: [] + operationId: getVoiceQueuesLogById + tags: + - voiceQueuesLog + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceQueuesLog to get + responses: + "200": + description: VoiceQueuesLog with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/VoiceQueuesLog" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VoiceQueuesLog not found + put: + summary: Update an existing VoiceQueuesLog + security: + - BearerAuth: [] + operationId: updateVoiceQueuesLogById + tags: + - voiceQueuesLog + requestBody: + required: true + description: data for updating a new VoiceQueuesLog + content: + application/json: + schema: + type: object + properties: + time: + type: string + callid: + type: string + default: "" + queuename: + type: string + default: "" + agent: + type: string + default: "" + event: + type: string + default: "" + data: + type: string + default: "" + data1: + type: string + default: "" + data2: + type: string + default: "" + data3: + type: string + default: "" + data4: + type: string + default: "" + data5: + type: string + default: "" + dtm: + type: string + default: 2020-11-27 10:41:25 + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceQueuesLog to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VoiceQueuesLog not found + "/voiceQueuesLog/{id}/destroy_many": + delete: + summary: Destroy an existing VoiceQueuesLog + security: + - BearerAuth: [] + operationId: deleteVoiceQueuesLogById + tags: + - voiceQueuesLog + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceQueuesLog to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VoiceQueuesLog not found + /voice/recordings: + get: + summary: Gets a list of Recordings + security: + - BearerAuth: [] + operationId: listAllVoiceRecording + tags: + - Voice Recordings + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each VoiceRecording + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Recordings + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoiceRecording" + "206": + description: Partial (paged) collection of Recordings + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoiceRecording" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Recording + security: + - BearerAuth: [] + operationId: createVoiceRecording + tags: + - Voice Recordings + requestBody: + required: true + description: data for creating a new Recording + content: + application/json: + schema: + type: object + properties: + format: + type: string + uniqueid: + type: string + channel: + type: string + membername: + type: string + calleridnum: + type: string + calleridname: + type: string + connectedlinenum: + type: string + connectedlinename: + type: string + accountcode: + type: string + context: + type: string + exten: + type: string + value: + type: string + type: + type: string + rating: + type: integer + queue: + type: string + userDisposition: + type: string + userSecondDisposition: + type: string + userThirdDisposition: + type: string + location: + type: string + transcribeName: + type: string + transcribeStatus: + type: string + default: NEW + fileUri: + type: string + fileText: + type: string + failureReason: + type: string + sentiment: + type: string + sPositive: + type: number + sNegative: + type: number + sNeutral: + type: number + sMixed: + type: number + tempSentiment: + type: boolean + default: false + createdAt: + type: string + updatedAt: + type: string + responses: + "201": + description: VoiceRecording successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/VoiceRecording" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /voice/recordings/describe: + get: + summary: Gets table info about Recordings + security: + - BearerAuth: [] + operationId: describeVoiceRecording + tags: + - Voice Recordings + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/recordings/{id}": + get: + summary: Gets a single Recording + security: + - BearerAuth: [] + operationId: getVoiceRecordingById + tags: + - Voice Recordings + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceRecording to get + responses: + "200": + description: VoiceRecording with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/VoiceRecording" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VoiceRecording not found + put: + summary: Update an existing Recording + security: + - BearerAuth: [] + operationId: updateVoiceRecordingById + tags: + - Voice Recordings + requestBody: + required: true + description: data for updating a new Recording + content: + application/json: + schema: + type: object + properties: + format: + type: string + uniqueid: + type: string + channel: + type: string + membername: + type: string + calleridnum: + type: string + calleridname: + type: string + connectedlinenum: + type: string + connectedlinename: + type: string + accountcode: + type: string + context: + type: string + exten: + type: string + value: + type: string + type: + type: string + rating: + type: integer + queue: + type: string + userDisposition: + type: string + userSecondDisposition: + type: string + userThirdDisposition: + type: string + location: + type: string + transcribeName: + type: string + transcribeStatus: + type: string + default: NEW + fileUri: + type: string + fileText: + type: string + failureReason: + type: string + sentiment: + type: string + sPositive: + type: number + sNegative: + type: number + sNeutral: + type: number + sMixed: + type: number + tempSentiment: + type: boolean + default: false + createdAt: + type: string + updatedAt: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceRecording to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VoiceRecording not found + delete: + summary: Delete voice recording + security: + - BearerAuth: [] + operationId: destroy + tags: + - Voice Recordings + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceRecording + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/recordings/{id}/download": + get: + summary: Download Recording + security: + - BearerAuth: [] + operationId: download + tags: + - Voice Recordings + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceRecording + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/recordings/{id}/downloads": + get: + summary: Download Recording + operationId: downloads + tags: + - Voice Recordings + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceRecording + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "/voice/recordings/{id}/transcribe": + get: + summary: Run Transcribe Recording + security: + - BearerAuth: [] + operationId: downloadTranscribe + tags: + - Voice Recordings + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceRecording + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Run Transcribe Recording + security: + - BearerAuth: [] + operationId: runTranscribe + tags: + - Voice Recordings + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceRecording + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /voice/transfers/reports: + get: + summary: Gets a list of Transfer Reports + security: + - BearerAuth: [] + operationId: listAllVoiceTransferReport + tags: + - Voice Transfer Reports + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each VoiceTransferReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Transfer Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoiceTransferReport" + "206": + description: Partial (paged) collection of Transfer Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/VoiceTransferReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Transfer Report + security: + - BearerAuth: [] + operationId: createVoiceTransferReport + tags: + - Voice Transfer Reports + requestBody: + required: true + description: data for creating a new Transfer Report + content: + application/json: + schema: + type: object + properties: + type: + type: string + enum: + - blind + - attended + result: + type: string + transfererchannel: + type: string + transferercalleridnum: + type: string + transferercalleridname: + type: string + transfererconnectedlinenum: + type: string + transfererconnectedlinename: + type: string + transfereraccountcode: + type: string + transferercontext: + type: string + transfererexten: + type: string + transfererlinkedid: + type: string + transfereechannel: + type: string + transfereecalleridnum: + type: string + transfereecalleridname: + type: string + transfereeconnectedlinenum: + type: string + transfereeconnectedlinename: + type: string + transfereeaccountcode: + type: string + transfereecontext: + type: string + transfereeexten: + type: string + transfereelinkedid: + type: string + isexternal: + type: string + enum: + - Yes + - No + context: + type: string + extension: + type: string + responses: + "201": + description: VoiceTransferReport successfully created. Returns the created + object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/VoiceTransferReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/voice/transfers/reports/{id}": + get: + summary: Gets a single Transfer Report + security: + - BearerAuth: [] + operationId: getVoiceTransferReportById + tags: + - Voice Transfer Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceTransferReport to get + responses: + "200": + description: VoiceTransferReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/VoiceTransferReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VoiceTransferReport not found + put: + summary: Update an existing Transfer Report + security: + - BearerAuth: [] + operationId: updateVoiceTransferReportById + tags: + - Voice Transfer Reports + requestBody: + required: true + description: data for updating a new Transfer Report + content: + application/json: + schema: + type: object + properties: + type: + type: string + enum: + - blind + - attended + result: + type: string + transfererchannel: + type: string + transferercalleridnum: + type: string + transferercalleridname: + type: string + transfererconnectedlinenum: + type: string + transfererconnectedlinename: + type: string + transfereraccountcode: + type: string + transferercontext: + type: string + transfererexten: + type: string + transfererlinkedid: + type: string + transfereechannel: + type: string + transfereecalleridnum: + type: string + transfereecalleridname: + type: string + transfereeconnectedlinenum: + type: string + transfereeconnectedlinename: + type: string + transfereeaccountcode: + type: string + transfereecontext: + type: string + transfereeexten: + type: string + transfereelinkedid: + type: string + isexternal: + type: string + enum: + - Yes + - No + context: + type: string + extension: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceTransferReport to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VoiceTransferReport not found + "/voice/transfers/reports/{id}/destroy_many": + delete: + summary: Destroy an existing Transfer Report + security: + - BearerAuth: [] + operationId: deleteVoiceTransferReportById + tags: + - Voice Transfer Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the VoiceTransferReport to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: VoiceTransferReport not found + /webbar/originate: + post: + summary: Originate new webrtc call + security: + - BearerAuth: [] + operationId: webBarOriginate + tags: + - WebBar + requestBody: + required: true + description: Request payload + content: + application/json: + schema: + type: object + properties: + callNumber: + type: string + description: call number + userId: + type: integer + description: user id + callerId: + type: string + description: caller id + callbackUrl: + type: string + format: uri + description: callback uri + required: + - callNumber + - userId + - callerId + - callbackUrl + responses: + "200": + description: web call originated successfully + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /webbar/hangup: + post: + summary: hangup call + security: + - BearerAuth: [] + operationId: webBarHangup + tags: + - WebBar + requestBody: + required: true + description: Request payload + content: + application/json: + schema: + type: object + properties: + sessionId: + type: string + description: session id + userId: + type: integer + description: user id + required: + - sessionId + - userId + responses: + "200": + description: Hangup success + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /webbar/answer: + post: + summary: answer new webrtc call + security: + - BearerAuth: [] + operationId: webBarAnswer + tags: + - WebBar + requestBody: + required: true + description: Request payload + content: + application/json: + schema: + type: object + properties: + sessionId: + type: string + description: session id + userId: + type: integer + description: user id + required: + - sessionId + - userId + responses: + "200": + description: Answer success + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /webbar/hold: + post: + summary: hold webrtc call + security: + - BearerAuth: [] + operationId: webBarHold + tags: + - WebBar + requestBody: + required: true + description: Request payload + content: + application/json: + schema: + type: object + properties: + sessionId: + type: string + description: session id + userId: + type: integer + description: user id + required: + - userId + responses: + "200": + description: Hold success + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /webbar/unhold: + post: + summary: unhold webrtc call + security: + - BearerAuth: [] + operationId: webBarUnhold + tags: + - WebBar + requestBody: + required: true + description: Request payload + content: + application/json: + schema: + type: object + properties: + sessionId: + type: string + description: session id + userId: + type: integer + description: user id + required: + - sessionId + - userId + responses: + "200": + description: Unhold success + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /webbar/calls: + post: + summary: retrieve webrtc call list for a user + security: + - BearerAuth: [] + operationId: webBarCalls + tags: + - WebBar + requestBody: + required: true + description: Request payload containing the user + content: + application/json: + schema: + type: object + properties: + userId: + type: integer + description: user id + required: + - userId + responses: + "200": + description: list of calls + content: + application/json: + schema: + type: array + items: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /webbar/transfer: + post: + summary: blind transfer webrtc call + security: + - BearerAuth: [] + operationId: webBarTransfer + tags: + - WebBar + requestBody: + required: true + description: Request payload + content: + application/json: + schema: + type: object + properties: + userId: + type: integer + description: user id + sessionId: + type: string + description: session id + transferNumber: + type: string + description: transfer number + required: + - userId + - sessionId + - transferNumber + responses: + "200": + description: Transfer success + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /whatsapp/accounts: + get: + summary: Gets a list of Accounts + security: + - BearerAuth: [] + operationId: listAllWhatsappAccount + tags: + - Whatsapp Accounts + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each WhatsappAccount + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Accounts + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/WhatsappAccount" + "206": + description: Partial (paged) collection of Accounts + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/WhatsappAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Account + security: + - BearerAuth: [] + operationId: createWhatsappAccount + tags: + - Whatsapp Accounts + requestBody: + required: true + description: data for creating a new Account + content: + application/json: + schema: + type: object + properties: + name: + type: string + key: + type: string + remote: + type: string + token: + type: string + default: RR1qO3WWSMLNOqQM8V3jP6ZqLmuIDDnf + phone: + type: string + type: + type: string + enum: + - twilio + accountSid: + type: string + authToken: + type: string + notificationTemplate: + type: string + default: "Account: {{account.name}}
{{#queue}}Queue: + {{queue.name}}
{{/queue}}From : {{from}}" + notificationSound: + type: boolean + default: false + notificationShake: + type: boolean + default: false + waitForTheAssignedAgent: + type: integer + default: 10 + queueTransfer: + type: boolean + default: false + queueTransferTimeout: + type: integer + default: 300 + agentTransfer: + type: boolean + default: false + agentTransferTimeout: + type: integer + default: 300 + mandatoryDispositionPauseId: + type: integer + description: Status to put when mandatory disposition is enabled + mandatoryDisposition: + type: boolean + description: Enabled/disables mandatory dispo on a queue + default: false + description: + type: string + required: + - name + - key + - remote + responses: + "201": + description: WhatsappAccount successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/WhatsappAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /whatsapp/accounts/describe: + get: + summary: Gets table info about Accounts + security: + - BearerAuth: [] + operationId: describeWhatsappAccount + tags: + - Whatsapp Accounts + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/whatsapp/accounts/{id}": + get: + summary: Gets a single Account + security: + - BearerAuth: [] + operationId: getWhatsappAccountById + tags: + - Whatsapp Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappAccount to get + responses: + "200": + description: WhatsappAccount with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/WhatsappAccount" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: WhatsappAccount not found + put: + summary: Update an existing Account + security: + - BearerAuth: [] + operationId: updateWhatsappAccountById + tags: + - Whatsapp Accounts + requestBody: + required: true + description: data for updating a new Account + content: + application/json: + schema: + type: object + properties: + name: + type: string + key: + type: string + remote: + type: string + token: + type: string + default: FMf1MjzZO3wZH7rudjIGZiO6b2Q6vmOz + phone: + type: string + type: + type: string + enum: + - twilio + accountSid: + type: string + authToken: + type: string + notificationTemplate: + type: string + default: "Account: {{account.name}}
{{#queue}}Queue: + {{queue.name}}
{{/queue}}From : {{from}}" + notificationSound: + type: boolean + default: false + notificationShake: + type: boolean + default: false + waitForTheAssignedAgent: + type: integer + default: 10 + queueTransfer: + type: boolean + default: false + queueTransferTimeout: + type: integer + default: 300 + agentTransfer: + type: boolean + default: false + agentTransferTimeout: + type: integer + default: 300 + mandatoryDispositionPauseId: + type: integer + description: Status to put when mandatory disposition is enabled + mandatoryDisposition: + type: boolean + description: Enabled/disables mandatory dispo on a queue + default: false + description: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappAccount to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: WhatsappAccount not found + "/whatsapp/accounts/{id}/dispositions": + get: + summary: Gets account dispositions + security: + - BearerAuth: [] + operationId: getDispositions + tags: + - Whatsapp Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new disposition + security: + - BearerAuth: [] + operationId: addDisposition + tags: + - Whatsapp Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes dispositions from account + security: + - BearerAuth: [] + operationId: removeDispositions + tags: + - Whatsapp Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappAccount + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/whatsapp/accounts/{id}/canned_answers": + get: + summary: Gets account canned answers + security: + - BearerAuth: [] + operationId: getAnswers + tags: + - Whatsapp Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new canned answer + security: + - BearerAuth: [] + operationId: addAnswer + tags: + - Whatsapp Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes canned answers from account + security: + - BearerAuth: [] + operationId: removeAnswers + tags: + - Whatsapp Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappAccount + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/whatsapp/accounts/{id}/users": + get: + summary: Gets agents from whatsapp account + security: + - BearerAuth: [] + operationId: getAgents + tags: + - Whatsapp Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add agents to a whatsapp account + security: + - BearerAuth: [] + operationId: addAgents + tags: + - Whatsapp Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes agents from a whatsapp account + security: + - BearerAuth: [] + operationId: removeAgents + tags: + - Whatsapp Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappAccount + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/whatsapp/accounts/{id}/applications": + get: + summary: Gets account applications + security: + - BearerAuth: [] + operationId: getApplications + tags: + - Whatsapp Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappAccount + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new applications + security: + - BearerAuth: [] + operationId: addApplications + tags: + - Whatsapp Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/whatsapp/accounts/{id}/notify": + post: + summary: Notify new message + operationId: notify + tags: + - Whatsapp Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappAccount + responses: + "201": + description: successfully created + "/whatsapp/accounts/{id}/send": + post: + summary: Send new whatsapp message + security: + - BearerAuth: [] + operationId: send + tags: + - Whatsapp Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappAccount + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/whatsapp/accounts/{id}/destroy_many": + delete: + summary: Destroy an existing Account + security: + - BearerAuth: [] + operationId: deleteWhatsappAccountById + tags: + - Whatsapp Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappAccount to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: WhatsappAccount not found + /whatsapp/applications: + get: + summary: Gets a list of Applications + security: + - BearerAuth: [] + operationId: listAllWhatsappApplication + tags: + - Whatsapp Applications + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each WhatsappApplication + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Applications + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/WhatsappApplication" + "206": + description: Partial (paged) collection of Applications + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/WhatsappApplication" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Application + security: + - BearerAuth: [] + operationId: createWhatsappApplication + tags: + - Whatsapp Applications + requestBody: + required: true + description: data for creating a new Application + content: + application/json: + schema: + type: object + properties: + priority: + type: integer + app: + type: string + default: noop + appdata: + type: string + description: + type: string + interval: + type: string + default: "*,*,*,*" + required: + - priority + - app + responses: + "201": + description: WhatsappApplication successfully created. Returns the created + object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/WhatsappApplication" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/whatsapp/applications/{id}": + get: + summary: Gets a single Application + security: + - BearerAuth: [] + operationId: getWhatsappApplicationById + tags: + - Whatsapp Applications + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappApplication to get + responses: + "200": + description: WhatsappApplication with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/WhatsappApplication" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: WhatsappApplication not found + put: + summary: Update an existing Application + security: + - BearerAuth: [] + operationId: updateWhatsappApplicationById + tags: + - Whatsapp Applications + requestBody: + required: true + description: data for updating a new Application + content: + application/json: + schema: + type: object + properties: + priority: + type: integer + app: + type: string + default: noop + appdata: + type: string + description: + type: string + interval: + type: string + default: "*,*,*,*" + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappApplication to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: WhatsappApplication not found + "/whatsapp/applications/{id}/destroy_many": + delete: + summary: Destroy an existing Application + security: + - BearerAuth: [] + operationId: deleteWhatsappApplicationById + tags: + - Whatsapp Applications + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappApplication to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: WhatsappApplication not found + /whatsapp/interactions: + get: + summary: Gets a list of Interactions + security: + - BearerAuth: [] + operationId: listAllWhatsappInteraction + tags: + - Whatsapp Interactions + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each WhatsappInteraction + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Interactions + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/WhatsappInteraction" + "206": + description: Partial (paged) collection of Interactions + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/WhatsappInteraction" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Interaction + security: + - BearerAuth: [] + operationId: createWhatsappInteraction + tags: + - Whatsapp Interactions + requestBody: + required: true + description: data for creating a new Interaction + content: + application/json: + schema: + type: object + properties: + closed: + type: boolean + default: false + closedAt: + type: string + disposition: + type: string + secondDisposition: + type: string + thirdDisposition: + type: string + note: + type: string + phone: + type: string + read1stAt: + type: string + firstMsgDirection: + type: string + enum: + - in + - out + default: in + lastMsgAt: + type: string + lastMsgDirection: + type: string + enum: + - in + - out + default: in + required: + - firstMsgDirection + - lastMsgDirection + responses: + "201": + description: WhatsappInteraction successfully created. Returns the created + object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/WhatsappInteraction" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /whatsapp/interactions/describe: + get: + summary: Gets table info about Interactions + security: + - BearerAuth: [] + operationId: describeWhatsappInteraction + tags: + - Whatsapp Interactions + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/whatsapp/interactions/{id}": + get: + summary: Gets a single Interaction + security: + - BearerAuth: [] + operationId: getWhatsappInteractionById + tags: + - Whatsapp Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappInteraction to get + responses: + "200": + description: WhatsappInteraction with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/WhatsappInteraction" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: WhatsappInteraction not found + put: + summary: Update an existing Interaction + security: + - BearerAuth: [] + operationId: updateWhatsappInteractionById + tags: + - Whatsapp Interactions + requestBody: + required: true + description: data for updating a new Interaction + content: + application/json: + schema: + type: object + properties: + closed: + type: boolean + default: false + closedAt: + type: string + disposition: + type: string + secondDisposition: + type: string + thirdDisposition: + type: string + note: + type: string + phone: + type: string + read1stAt: + type: string + firstMsgDirection: + type: string + enum: + - in + - out + default: in + lastMsgAt: + type: string + lastMsgDirection: + type: string + enum: + - in + - out + default: in + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappInteraction to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: WhatsappInteraction not found + "/whatsapp/interactions/{id}/messages": + get: + summary: Gets interaction messages + security: + - BearerAuth: [] + operationId: getMessages + tags: + - Whatsapp Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappInteraction + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates new messages + security: + - BearerAuth: [] + operationId: addMessage + tags: + - Whatsapp Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappInteraction + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/whatsapp/interactions/{id}/download": + get: + summary: Gets interaction + security: + - BearerAuth: [] + operationId: download + tags: + - Whatsapp Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappInteraction + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/whatsapp/interactions/{id}/tags": + post: + summary: Add tags to the interaction + security: + - BearerAuth: [] + operationId: addTags + tags: + - Whatsapp Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappInteraction + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes tags from interaction + security: + - BearerAuth: [] + operationId: removeTags + tags: + - Whatsapp Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappInteraction + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/whatsapp/interactions/{id}/destroy_many": + delete: + summary: Destroy an existing Interaction + security: + - BearerAuth: [] + operationId: deleteWhatsappInteractionById + tags: + - Whatsapp Interactions + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappInteraction to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: WhatsappInteraction not found + /whatsapp/messages: + get: + summary: Gets a list of Messages + security: + - BearerAuth: [] + operationId: listAllWhatsappMessage + tags: + - Whatsapp Messages + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each WhatsappMessage + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Messages + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/WhatsappMessage" + "206": + description: Partial (paged) collection of Messages + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/WhatsappMessage" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Message + security: + - BearerAuth: [] + operationId: createWhatsappMessage + tags: + - Whatsapp Messages + requestBody: + required: true + description: data for creating a new Message + content: + application/json: + schema: + type: object + properties: + body: + type: string + read: + type: boolean + default: false + direction: + type: string + enum: + - in + - out + default: out + messageId: + type: string + phone: + type: string + readAt: + type: string + secret: + type: boolean + default: false + providerName: + type: string + providerResponse: + type: string + required: + - body + - direction + responses: + "201": + description: WhatsappMessage successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/WhatsappMessage" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /whatsapp/messages/describe: + get: + summary: Gets table info about Messages + security: + - BearerAuth: [] + operationId: describeWhatsappMessage + tags: + - Whatsapp Messages + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/whatsapp/messages/{id}": + get: + summary: Gets a single Message + security: + - BearerAuth: [] + operationId: getWhatsappMessageById + tags: + - Whatsapp Messages + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappMessage to get + responses: + "200": + description: WhatsappMessage with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/WhatsappMessage" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: WhatsappMessage not found + put: + summary: Update an existing Message + security: + - BearerAuth: [] + operationId: updateWhatsappMessageById + tags: + - Whatsapp Messages + requestBody: + required: true + description: data for updating a new Message + content: + application/json: + schema: + type: object + properties: + body: + type: string + read: + type: boolean + default: false + direction: + type: string + enum: + - in + - out + default: out + messageId: + type: string + phone: + type: string + readAt: + type: string + secret: + type: boolean + default: false + providerName: + type: string + providerResponse: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappMessage to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: WhatsappMessage not found + "/whatsapp/messages/{id}/status": + post: + summary: Receive message status + operationId: status + tags: + - Whatsapp Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappMessage + responses: + "201": + description: successfully created + "/whatsapp/messages/{id}/accept": + put: + summary: Accepts message + security: + - BearerAuth: [] + operationId: accept + tags: + - Whatsapp Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappMessage + responses: + "200": + description: successfully updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/whatsapp/messages/{id}/reject": + put: + summary: Rejects message + security: + - BearerAuth: [] + operationId: reject + tags: + - Whatsapp Accounts + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappMessage + responses: + "200": + description: successfully updated + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/whatsapp/messages/{id}/destroy_many": + delete: + summary: Destroy an existing Message + security: + - BearerAuth: [] + operationId: deleteWhatsappMessageById + tags: + - Whatsapp Messages + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappMessage to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: WhatsappMessage not found + /whatsapp/queues: + get: + summary: Gets a list of Queues + security: + - BearerAuth: [] + operationId: listAllWhatsappQueue + tags: + - Whatsapp Queues + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each WhatsappQueue + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Queues + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/WhatsappQueue" + "206": + description: Partial (paged) collection of Queues + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/WhatsappQueue" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Queue + security: + - BearerAuth: [] + operationId: createWhatsappQueue + tags: + - Whatsapp Queues + requestBody: + required: true + description: data for creating a new Queue + content: + application/json: + schema: + type: object + properties: + name: + type: string + timeout: + type: integer + strategy: + type: string + enum: + - rrmemory + - beepall + - roundrobin + description: + type: string + responses: + "201": + description: WhatsappQueue successfully created. Returns the created object + representation. + content: + application/json: + schema: + $ref: "#/components/schemas/WhatsappQueue" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /whatsapp/queues/describe: + get: + summary: Gets table info about Queues + security: + - BearerAuth: [] + operationId: describeWhatsappQueue + tags: + - Whatsapp Queues + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/whatsapp/queues/{id}": + get: + summary: Gets a single Queue + security: + - BearerAuth: [] + operationId: getWhatsappQueueById + tags: + - Whatsapp Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappQueue to get + responses: + "200": + description: WhatsappQueue with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/WhatsappQueue" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: WhatsappQueue not found + put: + summary: Update an existing Queue + security: + - BearerAuth: [] + operationId: updateWhatsappQueueById + tags: + - Whatsapp Queues + requestBody: + required: true + description: data for updating a new Queue + content: + application/json: + schema: + type: object + properties: + name: + type: string + timeout: + type: integer + strategy: + type: string + enum: + - rrmemory + - beepall + - roundrobin + description: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappQueue to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: WhatsappQueue not found + "/whatsapp/queues/{id}/members": + get: + summary: GetMembers + security: + - BearerAuth: [] + operationId: getMembers + tags: + - Whatsapp Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappQueue + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/whatsapp/queues/{id}/teams": + get: + summary: Gets queues list + security: + - BearerAuth: [] + operationId: getTeams + tags: + - Whatsapp Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappQueue + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add teams to a queue + security: + - BearerAuth: [] + operationId: addTeams + tags: + - Whatsapp Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappQueue + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Remove teams from a queue + security: + - BearerAuth: [] + operationId: removeTeams + tags: + - Teams + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappQueue + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/whatsapp/queues/{id}/users": + get: + summary: Gets queue agents + security: + - BearerAuth: [] + operationId: getAgents + tags: + - Whatsapp Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappQueue + responses: + "200": + description: success + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Add agents to a queue + security: + - BearerAuth: [] + operationId: addAgents + tags: + - Whatsapp Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappQueue + responses: + "201": + description: successfully created + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + delete: + summary: Removes agents from a queue + security: + - BearerAuth: [] + operationId: removeAgents + tags: + - Whatsapp Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappQueue + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/whatsapp/queues/{id}/destroy_many": + delete: + summary: Destroy an existing Queue + security: + - BearerAuth: [] + operationId: deleteWhatsappQueueById + tags: + - Whatsapp Queues + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappQueue to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: WhatsappQueue not found + /whatsapp/reports/queue: + get: + summary: Gets a list of Whatsapp Queue Reports + security: + - BearerAuth: [] + operationId: listAllWhatsappQueueReport + tags: + - Whatsapp Queue Reports + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each WhatsappQueueReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Whatsapp Queue Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/WhatsappQueueReport" + "206": + description: Partial (paged) collection of Whatsapp Queue Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/WhatsappQueueReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Whatsapp Queue Report + security: + - BearerAuth: [] + operationId: createWhatsappQueueReport + tags: + - Whatsapp Queue Reports + requestBody: + required: true + description: data for creating a new Whatsapp Queue Report + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + from: + type: string + joinAt: + type: string + leaveAt: + type: string + acceptAt: + type: string + exitAt: + type: string + reason: + type: string + required: + - uniqueid + responses: + "201": + description: WhatsappQueueReport successfully created. Returns the created + object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/WhatsappQueueReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /whatsapp/reports/queue/describe: + get: + summary: Gets table info about Whatsapp Queue Reports + security: + - BearerAuth: [] + operationId: describeWhatsappQueueReport + tags: + - Whatsapp Queue Reports + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/whatsapp/reports/queue/{id}": + get: + summary: Gets a single Whatsapp Queue Report + security: + - BearerAuth: [] + operationId: getWhatsappQueueReportById + tags: + - Whatsapp Queue Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappQueueReport to get + responses: + "200": + description: WhatsappQueueReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/WhatsappQueueReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: WhatsappQueueReport not found + put: + summary: Update an existing Whatsapp Queue Report + security: + - BearerAuth: [] + operationId: updateWhatsappQueueReportById + tags: + - Whatsapp Queue Reports + requestBody: + required: true + description: data for updating a new Whatsapp Queue Report + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + from: + type: string + joinAt: + type: string + leaveAt: + type: string + acceptAt: + type: string + exitAt: + type: string + reason: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappQueueReport to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: WhatsappQueueReport not found + "/whatsapp/reports/queue/{id}/destroy_many": + delete: + summary: Destroy an existing Whatsapp Queue Report + security: + - BearerAuth: [] + operationId: deleteWhatsappQueueReportById + tags: + - Whatsapp Queue Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappQueueReport to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: WhatsappQueueReport not found + /whatsapp/reports/transfer: + get: + summary: Gets a list of Whatsapp Transfer Reports + security: + - BearerAuth: [] + operationId: listAllWhatsappTransferReport + tags: + - Whatsapp Transfer Reports + parameters: + - in: query + name: fields + allowReserved: true + style: form + explode: false + schema: + type: string + description: return only specific fields for a result set. This parameter + accepts a comma-separated list. E.g. `fields=id,name` will return + only id and name of each object. + examples: + fields: + value: id,name + summary: A call returning only id and name of each WhatsappTransferReport + - in: query + name: filter + allowReserved: true + style: form + explode: false + schema: + type: string + description: the result will be filtered by the value you specify. This + parameter accepts a comma-separated list. E.g. + `filter=john.doe,jane.miller` will return only records with name + equal to `john.doe` or `jane.miller` + examples: + name: + value: john.doe,jane.miller + summary: A call returning a result set for records with name of john.doe or + jane.miller + filter: + value: john + summary: the result will be filtered by the value you specify + - in: query + name: sort + allowReserved: true + style: form + explode: false + schema: + type: string + description: sort a result set based on one or several fields. This parameter + accepts a comma-separated list. Results will be sorted in the order + of the fields provided. The default sorting order for fields is + ascending. Fields can be sorted in descending order by prefixing + them with a dash (-). E.g. `sort=id,-name` will sort results by `id` + ascending and then `name` descending + examples: + sort: + value: id,-name + summary: sorting results by id ascending and then name descending + - in: query + name: offset + style: form + explode: false + schema: + type: number + description: a number indicating the start position in the result set you want + to return. E.g. `offset=5` will return a result set starting at 5th + element. + examples: + offset: + value: 5 + summary: a result set starting at 5 + - in: query + name: limit + style: form + explode: false + schema: + type: string + description: a number indicating how many records past the start position you + want returned (default = `100`). E.g. `limit=25` will return a + maximum of `25` elements. + examples: + sort: + value: 25 + summary: returning no more than 25 records + responses: + "200": + description: Entire collection of Whatsapp Transfer Reports + headers: + Content-Range: + description: paging data in the form start - end / total. If there are 50 + records in total, with a offset of 5 and a limit of 25, the + returned Content-Range header would be '5-30/50' + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/WhatsappTransferReport" + "206": + description: Partial (paged) collection of Whatsapp Transfer Reports + headers: + Content-Range: + description: paging data in the form start - end / total + schema: + type: string + content: + application/json: + schema: + type: array + items: + $ref: "#/components/schemas/WhatsappTransferReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + post: + summary: Creates a new Whatsapp Transfer Report + security: + - BearerAuth: [] + operationId: createWhatsappTransferReport + tags: + - Whatsapp Transfer Reports + requestBody: + required: true + description: data for creating a new Whatsapp Transfer Report + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + type: + type: string + enum: + - account + - agent + - queue + transferredAt: + type: string + required: + - uniqueid + - type + responses: + "201": + description: WhatsappTransferReport successfully created. Returns the created + object representation. + content: + application/json: + schema: + $ref: "#/components/schemas/WhatsappTransferReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + /whatsapp/reports/transfer/describe: + get: + summary: Gets table info about Whatsapp Transfer Reports + security: + - BearerAuth: [] + operationId: describeWhatsappTransferReport + tags: + - Whatsapp Transfer Reports + responses: + "200": + description: succesfully retrieve the table info + content: + application/json: + schema: + type: object + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "/whatsapp/reports/transfer/{id}": + get: + summary: Gets a single Whatsapp Transfer Report + security: + - BearerAuth: [] + operationId: getWhatsappTransferReportById + tags: + - Whatsapp Transfer Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappTransferReport to get + responses: + "200": + description: WhatsappTransferReport with matching id + content: + application/json: + schema: + $ref: "#/components/schemas/WhatsappTransferReport" + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: WhatsappTransferReport not found + put: + summary: Update an existing Whatsapp Transfer Report + security: + - BearerAuth: [] + operationId: updateWhatsappTransferReportById + tags: + - Whatsapp Transfer Reports + requestBody: + required: true + description: data for updating a new Whatsapp Transfer Report + content: + application/json: + schema: + type: object + properties: + uniqueid: + type: string + type: + type: string + enum: + - account + - agent + - queue + transferredAt: + type: string + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappTransferReport to be updated + responses: + "200": + description: successful update + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: WhatsappTransferReport not found + "/whatsapp/reports/transfer/{id}/destroy_many": + delete: + summary: Destroy an existing Whatsapp Transfer Report + security: + - BearerAuth: [] + operationId: deleteWhatsappTransferReportById + tags: + - Whatsapp Transfer Reports + parameters: + - in: path + name: id + schema: + type: integer + required: true + description: Numeric id of the WhatsappTransferReport to be deleted + responses: + "204": + description: successfully deleted + "401": + description: Not authenticated + "403": + description: Access token does not have the required scope + "404": + description: WhatsappTransferReport not found +components: + securitySchemes: + BearerAuth: + type: http + scheme: bearer + schemas: + User: + title: User + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + fullname: + type: string + alias: + type: string + nullable: true + email: + type: string + role: + type: string + enum: + - admin + - user + - agent + - telephone + password: + type: string + provider: + type: string + nullable: true + default: local + internal: + type: integer + format: int32 + salt: + type: string + nullable: true + phone: + type: string + nullable: true + mobile: + type: string + nullable: true + address: + type: string + nullable: true + zipcode: + type: string + nullable: true + userpic: + type: string + nullable: true + city: + type: string + nullable: true + country: + type: string + nullable: true + online: + type: boolean + nullable: true + default: false + lastLoginAt: + type: string + format: date-time + nullable: true + voicePause: + type: boolean + nullable: true + default: false + chatPause: + type: boolean + nullable: true + default: false + mailPause: + type: boolean + nullable: true + default: false + faxPause: + type: boolean + nullable: true + default: false + smsPause: + type: boolean + nullable: true + default: false + whatsappPause: + type: boolean + nullable: true + default: false + openchannelPause: + type: boolean + nullable: true + default: false + pauseType: + type: string + nullable: true + default: DEFAULT PAUSE + lastPauseAt: + type: string + format: date-time + nullable: true + chatCapacity: + type: integer + format: int32 + nullable: true + default: 0 + mailCapacity: + type: integer + format: int32 + nullable: true + default: 0 + faxCapacity: + type: integer + format: int32 + nullable: true + default: 0 + smsCapacity: + type: integer + format: int32 + nullable: true + default: 0 + whatsappCapacity: + type: integer + format: int32 + nullable: true + default: 0 + openchannelCapacity: + type: integer + format: int32 + nullable: true + default: 0 + phoneBarAutoAnswer: + type: boolean + nullable: true + default: false + phoneBarEnableSettings: + type: boolean + nullable: true + default: true + phoneBarListenPort: + type: integer + format: int32 + nullable: true + default: 5160 + phoneBarExpires: + type: integer + format: int32 + nullable: true + default: 120 + phoneBarRemoteControl: + type: boolean + nullable: true + default: false + phoneBarRemoteControlPort: + type: integer + format: int32 + nullable: true + default: 9888 + phoneBarEnableRecording: + type: boolean + nullable: true + default: false + phoneBarRingInUse: + type: boolean + nullable: true + default: false + chanspy: + type: boolean + nullable: true + default: false + description: + type: string + nullable: true + host: + type: string + nullable: true + default: dynamic + ipaddr: + type: string + nullable: true + port: + type: integer + format: int32 + nullable: true + regseconds: + type: integer + format: int32 + nullable: true + fullcontact: + type: string + nullable: true + regserver: + type: string + nullable: true + useragent: + type: string + nullable: true + lastms: + type: integer + format: int32 + nullable: true + type: + type: string + enum: + - friend + - user + - peer + nullable: true + default: friend + context: + type: string + nullable: true + default: from-sip + callingpres: + type: string + enum: + - ALLOWED_NOT_SCREENED + - ALLOWED_PASSED_SCREEN + - ALLOWED_FAILED_SCREEN + - ALLOWED + - PROHIB_NOT_SCREENED + - PROHIB_PASSED_SCREEN + - PROHIB_FAILED_SCREEN + - PROHIB + nullable: true + deny: + type: string + nullable: true + permit: + type: string + nullable: true + secret: + type: string + nullable: true + md5secret: + type: string + nullable: true + remotesecret: + type: string + nullable: true + transport: + type: string + nullable: true + default: udp + dtmfmode: + type: string + enum: + - rfc2833 + - info + - shortinfo + - inband + - auto + nullable: true + default: rfc2833 + directmedia: + type: string + enum: + - yes + - no + - nonat + - update + - outgoing + nullable: true + default: no + directrtpsetup: + type: string + enum: + - yes + - no + nullable: true + default: no + directmediapermit: + type: string + nullable: true + directmediadeny: + type: string + nullable: true + nat: + type: string + nullable: true + default: force_rport,comedia + callgroup: + type: string + nullable: true + namedcallgroup: + type: string + nullable: true + pickupgroup: + type: string + nullable: true + namedpickupgroup: + type: string + nullable: true + language: + type: string + nullable: true + default: en + tonezone: + type: string + nullable: true + disallow: + type: string + default: all + allow: + type: string + default: ulaw;alaw;gsm + autoframing: + type: string + enum: + - yes + - no + nullable: true + insecure: + type: string + nullable: true + trustrpid: + type: string + enum: + - yes + - no + nullable: true + default: no + trust_id_outbound: + type: string + enum: + - yes + - no + nullable: true + default: no + progressinband: + type: string + enum: + - yes + - no + - never + nullable: true + promiscredir: + type: string + enum: + - yes + - no + nullable: true + useclientcode: + type: string + enum: + - yes + - no + nullable: true + accountcode: + type: integer + format: int32 + nullable: true + setvar: + type: string + nullable: true + callerid: + type: string + nullable: true + default: '"" <>' + amaflags: + type: string + nullable: true + callcounter: + type: string + enum: + - yes + - no + nullable: true + default: yes + busylevel: + type: integer + format: int32 + nullable: true + allowoverlap: + type: string + enum: + - yes + - no + nullable: true + allowsubscribe: + type: string + enum: + - yes + - no + nullable: true + allowtransfer: + type: string + enum: + - yes + - no + nullable: true + ignoresdpversion: + type: string + enum: + - yes + - no + nullable: true + subscribecontext: + type: string + nullable: true + template: + type: string + nullable: true + videosupport: + type: string + enum: + - yes + - no + - always + nullable: true + default: no + maxcallbitrate: + type: integer + format: int32 + nullable: true + rfc2833compensate: + type: string + enum: + - yes + - no + nullable: true + mailbox: + type: string + nullable: true + session_timers: + type: string + enum: + - accept + - refuse + - originate + nullable: true + session_expires: + type: integer + format: int32 + nullable: true + session_minse: + type: integer + format: int32 + nullable: true + session_refresher: + type: string + enum: + - uac + - uas + nullable: true + default: uas + t38pt_usertpsource: + type: string + nullable: true + regexten: + type: string + nullable: true + fromdomain: + type: string + nullable: true + fromuser: + type: string + nullable: true + qualify: + type: string + enum: + - yes + - no + nullable: true + default: yes + keepalive: + type: integer + format: int32 + nullable: true + defaultip: + type: string + nullable: true + defaultuser: + type: string + nullable: true + rtptimeout: + type: integer + format: int32 + nullable: true + rtpholdtimeout: + type: integer + format: int32 + nullable: true + rtpkeepalive: + type: integer + format: int32 + nullable: true + sendrpid: + type: string + enum: + - yes + - no + nullable: true + default: no + outboundproxy: + type: string + nullable: true + callbackextension: + type: string + nullable: true + timert1: + type: integer + format: int32 + nullable: true + timerb: + type: integer + format: int32 + nullable: true + qualifyfreq: + type: integer + format: int32 + nullable: true + contactpermit: + type: string + nullable: true + contactdeny: + type: string + nullable: true + contactacl: + type: string + nullable: true + unsolicited_mailbox: + type: string + nullable: true + use_q850_reason: + type: string + nullable: true + maxforwards: + type: integer + format: int32 + nullable: true + encryption: + type: string + enum: + - yes + - no + nullable: true + default: no + avpf: + type: string + enum: + - yes + - no + nullable: true + force_avp: + type: string + enum: + - yes + - no + nullable: true + icesupport: + type: string + enum: + - yes + - no + nullable: true + dtlsenable: + type: string + enum: + - yes + - no + nullable: true + dtlsverify: + type: string + enum: + - yes + - no + - fingerprint + - certificate + nullable: true + dtlsrekey: + type: integer + format: int32 + nullable: true + dtlscertfile: + type: string + nullable: true + dtlsprivatekey: + type: string + nullable: true + dtlscipher: + type: string + nullable: true + dtlscafile: + type: string + nullable: true + dtlscapath: + type: string + nullable: true + dtlssetup: + type: string + enum: + - active + - passive + - actpass + nullable: true + dtlsfingerprint: + type: string + nullable: true + usereqphone: + type: string + enum: + - yes + - no + nullable: true + default: no + recordonfeature: + type: string + nullable: true + recordofffeature: + type: string + nullable: true + call_limit: + type: integer + format: int32 + nullable: true + default: 10 + registertrying: + type: string + enum: + - yes + - no + nullable: true + subscribemwi: + type: string + enum: + - yes + - no + nullable: true + vmexten: + type: string + nullable: true + mohinterpret: + type: string + nullable: true + mohsuggest: + type: string + nullable: true + parkinglot: + type: string + nullable: true + canreinvite: + type: string + enum: + - yes + - no + - nonat + - update + - update,nonat + nullable: true + default: no + loginInPause: + type: boolean + nullable: true + default: false + resetPasswordToken: + type: string + nullable: true + resetPasswordExpires: + type: string + format: date-time + nullable: true + passwordResetAt: + type: string + format: date-time + nullable: true + default: null + previousPasswords: + type: string + nullable: true + showWebBar: + type: integer + format: int32 + nullable: true + default: 0 + permissions: + type: string + nullable: true + phoneBarUnconditionalNumber: + type: string + nullable: true + phoneBarNoReplyNumber: + type: string + nullable: true + phoneBarBusyNumber: + type: string + nullable: true + phoneBarUnconditional: + type: boolean + nullable: true + default: false + phoneBarNoReply: + type: boolean + nullable: true + default: false + phoneBarBusy: + type: boolean + nullable: true + default: false + phoneBarDnd: + type: boolean + nullable: true + default: true + phoneBarUnansweredCallBadge: + type: boolean + nullable: true + default: true + phoneBarEnableDtmfTone: + type: boolean + nullable: true + default: false + phoneBarAutoAnswerDelay: + type: integer + format: int32 + nullable: true + default: 0 + extensionMonitor: + type: string + nullable: true + default: "" + crudPermissions: + type: integer + format: int32 + nullable: true + default: 0 + rtcp_mux: + type: string + enum: + - yes + - no + nullable: true + default: no + allowmessenger: + type: boolean + nullable: true + default: true + phoneBarOutboundProxy: + type: string + nullable: true + phoneBarEnableJaws: + type: boolean + nullable: true + default: false + phoneBarEnableScreenRecordingByAgent: + type: boolean + nullable: true + default: false + phoneBarEnableVideoRecording: + anyOf: + - type: object + - type: array + - type: boolean + - type: integer + - type: number + - type: string + nullable: true + phoneBarEnableAutomaticScreenRecording: + type: boolean + nullable: true + default: false + phoneBarPrefixRequired: + type: boolean + nullable: true + default: false + hotdesk: + type: boolean + nullable: true + default: false + interface: + type: string + nullable: true + default: null + privacyEnabled: + type: boolean + nullable: true + default: false + apiKeyNonce: + type: string + nullable: true + apiKeyIat: + type: string + nullable: true + screenrecording: + type: boolean + nullable: true + default: false + blocked: + type: boolean + nullable: true + default: false + blockedAt: + type: string + format: date-time + nullable: true + default: null + loginAttempts: + type: integer + format: int32 + nullable: true + default: 0 + disabled: + type: boolean + nullable: true + default: false + settingsEnabled: + type: boolean + nullable: true + default: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + userProfileId: + type: integer + format: int32 + nullable: true + required: + - id + - name + - fullname + - email + - role + - password + - provider + - internal + - online + - voicePause + - chatPause + - mailPause + - faxPause + - smsPause + - whatsappPause + - openchannelPause + - pauseType + - chatCapacity + - mailCapacity + - faxCapacity + - smsCapacity + - whatsappCapacity + - openchannelCapacity + - phoneBarAutoAnswer + - phoneBarEnableSettings + - phoneBarListenPort + - phoneBarExpires + - phoneBarRemoteControl + - phoneBarRemoteControlPort + - phoneBarEnableRecording + - phoneBarRingInUse + - chanspy + - host + - type + - context + - transport + - dtmfmode + - directmedia + - directrtpsetup + - nat + - language + - disallow + - allow + - trustrpid + - trust_id_outbound + - callerid + - callcounter + - videosupport + - session_refresher + - qualify + - sendrpid + - encryption + - usereqphone + - call_limit + - canreinvite + - loginInPause + - passwordResetAt + - showWebBar + - phoneBarUnconditional + - phoneBarNoReply + - phoneBarBusy + - phoneBarDnd + - phoneBarUnansweredCallBadge + - phoneBarEnableDtmfTone + - phoneBarAutoAnswerDelay + - extensionMonitor + - crudPermissions + - rtcp_mux + - allowmessenger + - phoneBarEnableJaws + - phoneBarEnableScreenRecordingByAgent + - phoneBarEnableAutomaticScreenRecording + - phoneBarPrefixRequired + - hotdesk + - interface + - privacyEnabled + - screenrecording + - blocked + - blockedAt + - loginAttempts + - disabled + - settingsEnabled + - createdAt + - updatedAt + VoiceChanSpy: + title: VoiceChanSpy + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + prefix: + type: string + options: + type: string + nullable: true + auth: + type: boolean + nullable: true + default: false + password: + type: string + nullable: true + record: + type: boolean + nullable: true + default: false + recordingFormat: + type: string + nullable: true + description: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - prefix + - auth + - record + - createdAt + - updatedAt + VoiceContext: + title: VoiceContext + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + description: + type: string + nullable: true + defaultEntry: + type: boolean + nullable: true + default: 0 + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - defaultEntry + - createdAt + - updatedAt + VoiceExtension: + title: VoiceExtension + type: object + properties: + id: + type: integer + format: int32 + context: + type: string + exten: + type: string + priority: + type: string + default: "1" + tag: + type: string + default: -- + app: + type: string + default: NoOp + appdata: + type: string + maxLength: 4096 + nullable: true + default: "" + type: + type: string + enum: + - inbound + - outbound + - internal + - inbound-fax + - outbound-fax + - system + - any + description: + type: string + nullable: true + interval: + type: string + default: "*,*,*,*" + IntervalId: + type: integer + format: int32 + nullable: true + isApp: + type: boolean + default: false + appType: + type: string + nullable: true + default: null + callerID: + type: string + nullable: true + record: + type: boolean + nullable: true + default: false + cutdigits: + type: integer + format: int32 + nullable: true + recordingFormat: + type: string + nullable: true + default: wav + answer: + type: boolean + nullable: true + default: true + name: + anyOf: + - type: object + - type: array + - type: boolean + - type: integer + - type: number + - type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + UserId: + type: integer + format: int32 + nullable: true + ChanSpyId: + type: integer + format: int32 + nullable: true + VoiceContextId: + type: integer + format: int32 + nullable: true + VoiceExtensionId: + type: integer + format: int32 + nullable: true + FaxAccountId: + type: integer + format: int32 + nullable: true + VoicePrefixId: + type: integer + format: int32 + nullable: true + required: + - id + - context + - exten + - priority + - tag + - app + - appdata + - type + - interval + - isApp + - appType + - record + - recordingFormat + - answer + - createdAt + - updatedAt + VoiceMusicOnHold: + title: VoiceMusicOnHold + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + mode: + type: string + enum: + - custom + - files + - mp3nb + - quietmp3nb + - quietmp3 + - mp3 + nullable: true + default: files + directory: + type: string + nullable: true + application: + type: string + nullable: true + digit: + type: string + nullable: true + sort: + type: string + nullable: true + default: alpha + format: + type: string + nullable: true + stamp: + anyOf: + - type: object + - type: array + - type: boolean + - type: integer + - type: number + - type: string + nullable: true + defaultEntry: + type: boolean + nullable: true + default: 0 + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - name + - mode + - sort + - defaultEntry + - createdAt + - updatedAt + VoiceQueue: + title: VoiceQueue + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + type: + type: string + enum: + - inbound + - outbound + default: inbound + musiconhold: + type: string + nullable: true + default: default + announce: + type: string + nullable: true + default: "" + strategy: + type: string + enum: + - rr + - ringall + - leastrecent + - fewestcalls + - random + - rrmemory + - linear + - wrandom + - rrordered + default: ringall + servicelevel: + type: integer + format: int32 + nullable: true + default: 0 + context: + type: string + nullable: true + default: null + penaltymemberslimit: + type: integer + format: int32 + nullable: true + timeout: + type: integer + format: int32 + nullable: true + default: 15 + retry: + type: integer + format: int32 + nullable: true + default: 2 + timeoutpriority: + type: string + nullable: true + weight: + type: integer + format: int32 + nullable: true + default: 0 + wrapuptime: + type: integer + format: int32 + nullable: true + default: 0 + autofill: + type: string + enum: + - yes + - no + nullable: true + default: yes + autopause: + type: string + enum: + - yes + - no + - all + nullable: true + default: no + autopausedelay: + type: integer + format: int32 + nullable: true + autopausebusy: + type: string + enum: + - yes + - no + nullable: true + default: no + autopauseunavail: + type: string + enum: + - yes + - no + nullable: true + default: no + maxlen: + type: integer + format: int32 + nullable: true + default: 0 + setinterfacevar: + type: string + enum: + - yes + - no + nullable: true + default: no + setqueueentryvar: + type: string + enum: + - yes + - no + nullable: true + setqueuevar: + type: string + enum: + - yes + - no + nullable: true + default: no + eventmemberstatus: + type: string + enum: + - yes + - no + nullable: true + default: no + membermacro: + type: string + nullable: true + membergosub: + type: string + nullable: true + announce_frequency: + type: integer + format: int32 + nullable: true + default: 0 + min_announce_frequency: + type: integer + format: int32 + nullable: true + default: 0 + periodic_announce_frequency: + type: integer + format: int32 + nullable: true + default: 0 + random_periodic_announce: + type: string + enum: + - yes + - no + nullable: true + default: no + relative_periodic_announce: + type: string + enum: + - yes + - no + nullable: true + default: yes + announce_holdtime: + type: string + enum: + - yes + - no + - once + nullable: true + default: no + announce_position: + type: string + nullable: true + default: no + announce_to_first_user: + type: string + enum: + - yes + - no + nullable: true + announce_position_limit: + type: integer + format: int32 + nullable: true + announce_round_seconds: + type: string + enum: + - "0" + - "5" + - "10" + - "15" + - "20" + - "30" + nullable: true + default: "0" + monitor_format: + type: string + nullable: true + default: "" + monitor_type: + type: string + nullable: true + queue_youarenext: + type: string + nullable: true + default: null + queue_thereare: + type: string + nullable: true + default: null + queue_callswaiting: + type: string + nullable: true + default: null + queue_holdtime: + type: string + nullable: true + default: null + queue_minute: + type: string + nullable: true + default: null + queue_minutes: + type: string + nullable: true + default: null + queue_seconds: + type: string + nullable: true + default: null + queue_thankyou: + type: string + nullable: true + default: null + queue_reporthold: + type: string + nullable: true + queue_quantity1: + type: string + nullable: true + queue_quantity2: + type: string + nullable: true + queue_periodic_announce: + type: string + nullable: true + queue_less_than: + type: string + nullable: true + periodic_announce: + type: string + nullable: true + joinempty: + type: string + nullable: true + default: yes + leavewhenempty: + type: string + nullable: true + default: no + reportholdtime: + type: string + enum: + - yes + - no + nullable: true + default: no + ringinuse: + type: string + enum: + - yes + - no + nullable: true + default: no + memberdelay: + type: integer + format: int32 + nullable: true + default: 0 + timeoutrestart: + type: string + enum: + - yes + - no + nullable: true + default: no + defaultrule: + type: string + nullable: true + description: + type: string + nullable: true + acw: + type: boolean + nullable: true + default: 0 + acwTimeout: + type: integer + format: int32 + nullable: true + default: 10 + dialActive: + type: boolean + nullable: true + default: 0 + dialMethod: + type: string + enum: + - preview + - progressive + - power + - predictive + - booked + nullable: true + default: progressive + dialLimitChannel: + type: integer + format: int32 + nullable: true + default: 0 + dialLimitQueue: + type: integer + format: int32 + nullable: true + default: 0 + dialPowerLevel: + type: number + format: float + nullable: true + default: 1 + dialPredictiveOptimization: + type: string + enum: + - agentBusyFactor + - dropRate + nullable: true + default: dropRate + dialPredictiveOptimizationPercentage: + type: number + format: float + nullable: true + default: 3 + dialPredictiveInterval: + type: integer + format: int32 + nullable: true + default: 10 + dialOriginateCallerIdName: + type: string + nullable: true + dialOriginateCallerIdNumber: + type: string + nullable: true + dialOriginateTimeout: + type: integer + format: int32 + nullable: true + default: 30 + dialQueueOptions: + type: string + nullable: true + default: tTxX + dialQueueTimeout: + type: integer + format: int32 + nullable: true + default: 3 + dialQueueProject: + type: string + nullable: true + dialCongestionMaxRetry: + type: integer + format: int32 + nullable: true + default: 3 + dialCongestionRetryFrequency: + type: integer + format: int32 + nullable: true + default: 150 + dialBusyMaxRetry: + type: integer + format: int32 + nullable: true + default: 3 + dialBusyRetryFrequency: + type: integer + format: int32 + nullable: true + default: 150 + dialNoAnswerMaxRetry: + type: integer + format: int32 + nullable: true + default: 3 + dialNoAnswerRetryFrequency: + type: integer + format: int32 + nullable: true + default: 150 + dialGlobalMaxRetry: + type: integer + format: int32 + nullable: true + default: 4 + dialTimezone: + type: string + nullable: true + default: null + dialGlobalInterval: + type: string + nullable: true + default: 07:00-22:00,*,*,* + dialPrefix: + type: string + nullable: true + default: null + dialCheckDuplicateType: + type: string + enum: + - always + - never + - onlyIfOpen + nullable: true + default: always + dialAMDActive: + type: boolean + nullable: true + default: 0 + dialAMDInitialSilence: + type: integer + format: int32 + nullable: true + default: 2500 + dialAMDGreeting: + type: integer + format: int32 + nullable: true + default: 1500 + dialAMDAfterGreetingSilence: + type: integer + format: int32 + nullable: true + default: 800 + dialAMDTotalAnalysisTime: + type: integer + format: int32 + nullable: true + default: 5000 + dialAMDMinWordLength: + type: integer + format: int32 + nullable: true + default: 100 + dialAMDBetweenWordsSilence: + type: integer + format: int32 + nullable: true + default: 50 + dialAMDMaximumNumberOfWords: + type: integer + format: int32 + nullable: true + default: 3 + dialAMDSilenceThreshold: + type: integer + format: int32 + nullable: true + default: 256 + dialAMDMaximumWordLength: + type: integer + format: int32 + nullable: true + default: 5000 + dialRecallMeTimeout: + type: integer + format: int32 + nullable: true + default: 30 + dialRecallInQueue: + type: boolean + nullable: true + default: 0 + dialOrderByScheduledAt: + type: string + enum: + - DESC + - ASC + nullable: true + default: DESC + dialQueueProject2: + type: string + nullable: true + dialAgiAfterHangupClient: + type: boolean + nullable: true + default: false + dialAgiAfterHangupAgent: + type: boolean + nullable: true + default: false + dialRandomLastDigitCallerIdNumber: + type: integer + format: int32 + nullable: true + default: 0 + dialCutDigit: + type: integer + format: int32 + nullable: true + default: 0 + dialNoSuchNumberMaxRetry: + type: integer + format: int32 + nullable: true + default: 3 + dialNoSuchNumberRetryFrequency: + type: integer + format: int32 + nullable: true + default: 150 + dialDropMaxRetry: + type: integer + format: int32 + nullable: true + default: 3 + dialDropRetryFrequency: + type: integer + format: int32 + nullable: true + default: 150 + dialAbandonedMaxRetry: + type: integer + format: int32 + nullable: true + default: 3 + dialAbandonedRetryFrequency: + type: integer + format: int32 + nullable: true + default: 150 + dialMachineMaxRetry: + type: integer + format: int32 + nullable: true + default: 3 + dialMachineRetryFrequency: + type: integer + format: int32 + nullable: true + default: 150 + dialAgentRejectMaxRetry: + type: integer + format: int32 + nullable: true + default: 3 + dialAgentRejectRetryFrequency: + type: integer + format: int32 + nullable: true + default: 150 + mandatoryDispositionPauseId: + type: integer + format: int32 + nullable: true + mandatoryDisposition: + type: boolean + nullable: true + default: false + dialPredictiveIntervalMaxThreshold: + type: integer + format: int32 + nullable: true + default: 20 + dialPredictiveIntervalMinThreshold: + type: integer + format: int32 + nullable: true + default: 10 + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + TrunkId: + type: integer + format: int32 + nullable: true + TrunkBackupId: + type: integer + format: int32 + nullable: true + IntervalId: + type: integer + format: int32 + nullable: true + required: + - id + - name + - type + - musiconhold + - announce + - strategy + - servicelevel + - context + - timeout + - retry + - weight + - wrapuptime + - autofill + - autopause + - autopausebusy + - autopauseunavail + - maxlen + - setinterfacevar + - setqueuevar + - eventmemberstatus + - announce_frequency + - min_announce_frequency + - periodic_announce_frequency + - random_periodic_announce + - relative_periodic_announce + - announce_holdtime + - announce_position + - announce_round_seconds + - monitor_format + - queue_youarenext + - queue_thereare + - queue_callswaiting + - queue_holdtime + - queue_minute + - queue_minutes + - queue_seconds + - queue_thankyou + - joinempty + - leavewhenempty + - reportholdtime + - ringinuse + - memberdelay + - timeoutrestart + - acw + - acwTimeout + - dialActive + - dialMethod + - dialLimitChannel + - dialLimitQueue + - dialPowerLevel + - dialPredictiveOptimization + - dialPredictiveOptimizationPercentage + - dialPredictiveInterval + - dialOriginateTimeout + - dialQueueOptions + - dialQueueTimeout + - dialCongestionMaxRetry + - dialCongestionRetryFrequency + - dialBusyMaxRetry + - dialBusyRetryFrequency + - dialNoAnswerMaxRetry + - dialNoAnswerRetryFrequency + - dialGlobalMaxRetry + - dialTimezone + - dialGlobalInterval + - dialPrefix + - dialCheckDuplicateType + - dialAMDActive + - dialAMDInitialSilence + - dialAMDGreeting + - dialAMDAfterGreetingSilence + - dialAMDTotalAnalysisTime + - dialAMDMinWordLength + - dialAMDBetweenWordsSilence + - dialAMDMaximumNumberOfWords + - dialAMDSilenceThreshold + - dialAMDMaximumWordLength + - dialRecallMeTimeout + - dialRecallInQueue + - dialOrderByScheduledAt + - dialAgiAfterHangupClient + - dialAgiAfterHangupAgent + - dialRandomLastDigitCallerIdNumber + - dialCutDigit + - dialNoSuchNumberMaxRetry + - dialNoSuchNumberRetryFrequency + - dialDropMaxRetry + - dialDropRetryFrequency + - dialAbandonedMaxRetry + - dialAbandonedRetryFrequency + - dialMachineMaxRetry + - dialMachineRetryFrequency + - dialAgentRejectMaxRetry + - dialAgentRejectRetryFrequency + - mandatoryDisposition + - dialPredictiveIntervalMaxThreshold + - dialPredictiveIntervalMinThreshold + - createdAt + - updatedAt + VoiceRecording: + title: VoiceRecording + type: object + properties: + id: + type: integer + format: int32 + format: + anyOf: + - type: object + - type: array + - type: boolean + - type: integer + - type: number + - type: string + nullable: true + uniqueid: + type: string + nullable: true + channel: + type: string + nullable: true + membername: + type: string + nullable: true + calleridnum: + type: string + nullable: true + calleridname: + type: string + nullable: true + connectedlinenum: + type: string + nullable: true + connectedlinename: + type: string + nullable: true + accountcode: + type: string + nullable: true + context: + type: string + nullable: true + exten: + type: string + nullable: true + value: + type: string + nullable: true + type: + type: string + nullable: true + rating: + type: integer + format: int32 + nullable: true + queue: + type: string + nullable: true + userDisposition: + type: string + nullable: true + userSecondDisposition: + type: string + nullable: true + userThirdDisposition: + type: string + nullable: true + location: + type: string + nullable: true + transcribeName: + type: string + nullable: true + transcribeStatus: + type: string + nullable: true + default: NEW + fileUri: + type: string + nullable: true + fileText: + type: string + nullable: true + failureReason: + type: string + nullable: true + sentiment: + type: string + nullable: true + sPositive: + type: number + format: float + nullable: true + sNegative: + type: number + format: float + nullable: true + sNeutral: + type: number + format: float + nullable: true + sMixed: + type: number + format: float + nullable: true + tempSentiment: + type: boolean + nullable: true + default: false + createdAt: + type: string + format: date-time + nullable: true + updatedAt: + type: string + format: date-time + nullable: true + UserId: + type: integer + format: int32 + nullable: true + required: + - id + - transcribeStatus + - tempSentiment + ScreenRecording: + title: ScreenRecording + type: object + properties: + id: + type: integer + format: int32 + format: + anyOf: + - type: object + - type: array + - type: boolean + - type: integer + - type: number + - type: string + nullable: true + interactionid: + type: string + nullable: true + channel: + type: string + nullable: true + value: + type: string + nullable: true + rating: + type: integer + format: int32 + nullable: true + duration: + type: integer + format: int32 + nullable: true + startedAt: + type: string + format: date-time + nullable: true + closedAt: + type: string + format: date-time + nullable: true + createdAt: + type: string + format: date-time + nullable: true + updatedAt: + type: string + format: date-time + nullable: true + UserId: + type: integer + format: int32 + nullable: true + required: + - id + VoiceMail: + title: VoiceMail + type: object + properties: + id: + type: integer + format: int32 + customer_id: + type: string + nullable: true + context: + type: string + nullable: true + default: from-voicemail + mailbox: + type: string + default: "" + password: + type: string + nullable: true + fullname: + type: string + nullable: true + email: + type: string + nullable: true + pager: + type: string + nullable: true + tz: + type: string + nullable: true + default: central + attach: + type: string + enum: + - yes + - no + default: yes + saycid: + type: string + enum: + - yes + - no + default: yes + dialout: + type: string + nullable: true + callback: + type: string + nullable: true + review: + type: string + enum: + - yes + - no + default: no + operator: + type: string + enum: + - yes + - no + default: no + envelope: + type: string + enum: + - yes + - no + default: no + sayduration: + type: string + enum: + - yes + - no + default: no + saydurationm: + anyOf: + - type: object + - type: array + - type: boolean + - type: integer + - type: number + - type: string + default: 1 + sendvoicemail: + type: string + enum: + - yes + - no + default: no + delete: + type: string + enum: + - yes + - no + default: no + nextaftercmd: + type: string + enum: + - yes + - no + default: yes + forcename: + type: string + enum: + - yes + - no + default: no + forcegreetings: + type: string + enum: + - yes + - no + default: no + hidefromdir: + type: string + enum: + - yes + - no + default: yes + stamp: + anyOf: + - type: object + - type: array + - type: boolean + - type: integer + - type: number + - type: string + nullable: true + emailsubject: + type: string + nullable: true + emailbody: + type: string + nullable: true + maxsecs: + type: integer + format: int32 + default: 180 + maxmsg: + type: integer + format: int32 + default: 100 + name: + anyOf: + - type: object + - type: array + - type: boolean + - type: integer + - type: number + - type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + UserId: + type: integer + format: int32 + nullable: true + required: + - id + - context + - mailbox + - tz + - attach + - saycid + - review + - operator + - envelope + - sayduration + - saydurationm + - sendvoicemail + - delete + - nextaftercmd + - forcename + - forcegreetings + - hidefromdir + - maxsecs + - maxmsg + - createdAt + - updatedAt + VoiceMailMessage: + title: VoiceMailMessage + type: object + properties: + id: + type: integer + format: int32 + name: + anyOf: + - type: object + - type: array + - type: boolean + - type: integer + - type: number + - type: string + nullable: true + msgnum: + type: integer + format: int32 + default: 0 + dir: + type: string + nullable: true + default: "" + context: + type: string + nullable: true + default: "" + macrocontext: + type: string + nullable: true + default: "" + callerid: + type: string + nullable: true + default: "" + origtime: + type: string + nullable: true + default: "" + duration: + type: string + nullable: true + default: "" + mailboxuser: + type: string + nullable: true + default: "" + mailboxcontext: + type: string + nullable: true + default: "" + recording: + type: string + format: byte + nullable: true + default: null + flag: + type: string + nullable: true + default: "" + msg_id: + type: string + nullable: true + default: "" + stamp: + anyOf: + - type: object + - type: array + - type: boolean + - type: integer + - type: number + - type: string + required: + - id + - msgnum + - dir + - context + - macrocontext + - callerid + - origtime + - duration + - mailboxuser + - mailboxcontext + - recording + - flag + - msg_id + - stamp + VoiceCallReport: + title: VoiceCallReport + type: object + properties: + id: + type: integer + format: int32 + uniqueid: + type: string + nullable: true + type: + type: string + enum: + - inbound + - internal + - outbound + - inbound-fax + - outbound-fax + - dialer + nullable: true + tag: + type: string + nullable: true + accountcode: + type: string + nullable: true + source: + type: string + nullable: true + destination: + type: string + nullable: true + destinationcontext: + type: string + nullable: true + callerid: + type: string + nullable: true + channel: + type: string + nullable: true + destinationchannel: + type: string + nullable: true + lastapplication: + type: string + nullable: true + lastdata: + type: string + nullable: true + starttime: + type: string + format: date-time + nullable: true + systemanswertime: + type: string + format: date-time + nullable: true + answertime: + type: string + format: date-time + nullable: true + endtime: + type: string + format: date-time + nullable: true + duration: + type: integer + format: int32 + nullable: true + billableseconds: + type: integer + format: int32 + nullable: true + mohtime: + type: integer + format: int32 + nullable: true + default: 0 + disposition: + type: string + nullable: true + amaflags: + type: string + nullable: true + userfield: + type: string + nullable: true + userDisposition: + type: string + nullable: true + userSecondDisposition: + type: string + nullable: true + userThirdDisposition: + type: string + nullable: true + note: + type: string + nullable: true + prefix: + type: string + nullable: true + routeid: + type: integer + format: int32 + nullable: true + sipcallid: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + UserId: + type: integer + format: int32 + nullable: true + ContactId: + type: integer + format: int32 + nullable: true + required: + - id + - mohtime + - createdAt + - updatedAt + VoiceDialReport: + title: VoiceDialReport + type: object + properties: + id: + type: integer + format: int32 + privilege: + type: string + nullable: true + channel: + type: string + nullable: true + channelstate: + type: integer + format: int32 + nullable: true + channelstatedesc: + type: string + nullable: true + calleridnum: + type: string + nullable: true + calleridname: + type: string + nullable: true + connectedlinenum: + type: string + nullable: true + connectedlinename: + type: string + nullable: true + language: + type: string + nullable: true + accountcode: + type: string + nullable: true + context: + type: string + nullable: true + exten: + type: string + nullable: true + priority: + type: integer + format: int32 + nullable: true + uniqueid: + type: string + nullable: true + linkedid: + type: string + nullable: true + destchannel: + type: string + nullable: true + destchannelstate: + type: integer + format: int32 + nullable: true + destchannelstatedesc: + type: string + nullable: true + destcalleridnum: + type: string + nullable: true + destcalleridname: + type: string + nullable: true + destconnectedlinenum: + type: string + nullable: true + destconnectedlinename: + type: string + nullable: true + destlanguage: + type: string + nullable: true + destaccountcode: + type: string + nullable: true + destcontext: + type: string + nullable: true + destexten: + type: string + nullable: true + destpriority: + type: integer + format: int32 + nullable: true + destuniqueid: + type: string + nullable: true + destlinkedid: + type: string + nullable: true + dialstring: + type: string + nullable: true + dialstatus: + type: string + nullable: true + starttime: + type: string + format: date-time + nullable: true + answertime: + type: string + format: date-time + nullable: true + endtime: + type: string + format: date-time + nullable: true + lastevent: + type: string + nullable: true + routeId: + type: integer + format: int32 + nullable: true + duration: + type: integer + format: int32 + nullable: true + holdtime: + type: integer + format: int32 + nullable: true + billableseconds: + type: integer + format: int32 + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - createdAt + - updatedAt + VoiceAgentReport: + title: VoiceAgentReport + type: object + properties: + id: + type: integer + format: int32 + type: + type: string + nullable: true + uniqueid: + type: string + nullable: true + calleridnum: + type: string + nullable: true + calleridname: + type: string + nullable: true + queue: + type: string + nullable: true + interface: + type: string + nullable: true + membername: + type: string + nullable: true + agentcalledAt: + type: string + format: date-time + nullable: true + agentconnectAt: + type: string + format: date-time + nullable: true + agentcompleteAt: + type: string + format: date-time + nullable: true + agentringnoanswerAt: + type: string + format: date-time + nullable: true + agentdumpAt: + type: string + format: date-time + nullable: true + holdtime: + type: integer + format: int32 + nullable: true + agentcomplete: + type: boolean + nullable: true + default: false + agentringnoanswer: + type: boolean + nullable: true + default: false + agentdump: + type: boolean + nullable: true + default: false + talktime: + type: integer + format: int32 + nullable: true + agentacw: + type: boolean + nullable: true + default: false + acwtime: + type: integer + format: int32 + nullable: true + reason: + type: string + nullable: true + lastevent: + type: string + nullable: true + channel: + type: string + nullable: true + channelstate: + type: integer + format: int32 + nullable: true + channelstatedesc: + type: string + nullable: true + connectedlinenum: + type: string + nullable: true + connectedlinename: + type: string + nullable: true + language: + type: string + nullable: true + accountcode: + type: string + nullable: true + context: + type: string + nullable: true + exten: + type: string + nullable: true + priority: + type: string + nullable: true + destchannel: + type: string + nullable: true + destchannelstate: + type: integer + format: int32 + nullable: true + destchannelstatedesc: + type: string + nullable: true + destcalleridnum: + type: string + nullable: true + destcalleridname: + type: string + nullable: true + destconnectedlinenum: + type: string + nullable: true + destconnectedlinename: + type: string + nullable: true + destlanguage: + type: string + nullable: true + destaccountcode: + type: string + nullable: true + destcontext: + type: string + nullable: true + destexten: + type: string + nullable: true + destpriority: + type: string + nullable: true + destuniqueid: + type: string + nullable: true + answeredelsewheredestinationuniqueid: + type: string + nullable: true + answeredelsewheremembername: + type: string + nullable: true + transfer: + type: boolean + nullable: true + default: false + transfertype: + type: string + nullable: true + transferexten: + type: string + nullable: true + transferuniqueid: + type: string + nullable: true + agententerreason: + type: integer + format: int32 + nullable: true + default: 0 + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - agentcomplete + - agentringnoanswer + - agentdump + - agentacw + - transfer + - agententerreason + - createdAt + - updatedAt + VoiceQueueReport: + title: VoiceQueueReport + type: object + properties: + id: + type: integer + format: int32 + type: + type: string + nullable: true + uniqueid: + type: string + nullable: true + calleridnum: + type: string + nullable: true + calleridname: + type: string + nullable: true + queue: + type: string + nullable: true + queuecallerjoinAt: + type: string + format: date-time + nullable: true + queuecallerleaveAt: + type: string + format: date-time + nullable: true + position: + type: integer + format: int32 + nullable: true + count: + type: integer + format: int32 + nullable: true + queuecallerabandon: + type: boolean + nullable: true + default: false + queuecallerabandonAt: + type: string + format: date-time + nullable: true + queuecallercomplete: + type: boolean + nullable: true + default: false + queuecallercompleteAt: + type: string + format: date-time + nullable: true + queuecallerexit: + type: boolean + nullable: true + default: false + queuecallerexitAt: + type: string + format: date-time + nullable: true + queuecallerexitreason: + type: string + nullable: true + originalposition: + type: integer + format: int32 + nullable: true + channel: + type: string + nullable: true + connectedlinenum: + type: string + nullable: true + connectedlinename: + type: string + nullable: true + accountcode: + type: string + nullable: true + context: + type: string + nullable: true + exten: + type: string + nullable: true + priority: + type: string + nullable: true + holdtime: + type: integer + format: int32 + nullable: true + mohtime: + type: integer + format: int32 + nullable: true + default: 0 + assigned: + type: boolean + nullable: true + default: false + lastAssignedTo: + type: string + nullable: true + transfer: + type: boolean + nullable: true + default: false + transfertype: + type: string + nullable: true + transferexten: + type: string + nullable: true + transferuniqueid: + type: string + nullable: true + disposition: + type: string + nullable: true + secondDisposition: + type: string + nullable: true + thirdDisposition: + type: string + nullable: true + queuecallerenterreason: + type: integer + format: int32 + nullable: true + default: 0 + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - queuecallerabandon + - queuecallercomplete + - queuecallerexit + - mohtime + - assigned + - transfer + - queuecallerenterreason + - createdAt + - updatedAt + MemberReport: + title: MemberReport + type: object + properties: + id: + type: integer + format: int32 + channel: + type: string + nullable: true + membername: + type: string + nullable: true + interface: + type: string + nullable: true + type: + type: string + nullable: true + default: DEFAULT PAUSE + duration: + type: integer + format: int32 + nullable: true + enterAt: + type: string + format: date-time + nullable: true + exitAt: + type: string + format: date-time + nullable: true + data1: + type: string + nullable: true + data2: + type: string + nullable: true + data3: + type: string + nullable: true + data4: + type: string + nullable: true + data5: + type: string + nullable: true + role: + type: string + nullable: true + internal: + type: integer + format: int32 + nullable: true + uniqueid: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - type + - createdAt + - updatedAt + Trunk: + title: Trunk + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + type: + type: string + enum: + - friend + - user + - peer + default: friend + context: + type: string + default: from-voip-provider + callingpres: + type: string + enum: + - ALLOWED_NOT_SCREENED + - ALLOWED_PASSED_SCREEN + - ALLOWED_FAILED_SCREEN + - ALLOWED + - PROHIB_NOT_SCREENED + - PROHIB_PASSED_SCREEN + - PROHIB_FAILED_SCREEN + - PROHIB + nullable: true + deny: + type: string + nullable: true + permit: + type: string + nullable: true + secret: + type: string + nullable: true + md5secret: + type: string + nullable: true + remotesecret: + type: string + nullable: true + transport: + type: string + nullable: true + default: udp + dtmfmode: + type: string + enum: + - rfc2833 + - info + - shortinfo + - inband + - auto + nullable: true + default: rfc2833 + directmedia: + type: string + enum: + - yes + - no + - nonat + - update + - outgoing + nullable: true + default: no + directrtpsetup: + type: string + enum: + - yes + - no + nullable: true + default: no + directmediapermit: + type: string + nullable: true + directmediadeny: + type: string + nullable: true + nat: + type: string + nullable: true + default: force_rport,comedia + callgroup: + type: string + nullable: true + namedcallgroup: + type: string + nullable: true + pickupgroup: + type: string + nullable: true + namedpickupgroup: + type: string + nullable: true + language: + type: string + nullable: true + default: en + tonezone: + type: string + nullable: true + disallow: + type: string + nullable: true + default: all + allow: + type: string + default: ulaw;alaw;gsm + autoframing: + type: string + enum: + - yes + - no + nullable: true + insecure: + type: string + nullable: true + default: port,invite + trustrpid: + type: string + enum: + - yes + - no + nullable: true + default: no + trust_id_outbound: + type: string + enum: + - yes + - no + nullable: true + default: no + progressinband: + type: string + enum: + - yes + - no + - never + nullable: true + promiscredir: + type: string + enum: + - yes + - no + nullable: true + useclientcode: + type: string + enum: + - yes + - no + nullable: true + accountcode: + type: integer + format: int32 + nullable: true + setvar: + type: string + nullable: true + callerid: + type: string + nullable: true + default: '"" <>' + amaflags: + type: string + nullable: true + callcounter: + type: string + enum: + - yes + - no + nullable: true + default: yes + busylevel: + type: integer + format: int32 + nullable: true + allowoverlap: + type: string + enum: + - yes + - no + nullable: true + allowsubscribe: + type: string + enum: + - yes + - no + nullable: true + allowtransfer: + type: string + enum: + - yes + - no + nullable: true + ignoresdpversion: + type: string + enum: + - yes + - no + nullable: true + subscribecontext: + type: string + nullable: true + template: + type: string + nullable: true + videosupport: + type: string + enum: + - yes + - no + - always + nullable: true + default: no + maxcallbitrate: + type: integer + format: int32 + nullable: true + rfc2833compensate: + type: string + enum: + - yes + - no + nullable: true + mailbox: + type: string + nullable: true + session_timers: + type: string + enum: + - accept + - refuse + - originate + nullable: true + session_expires: + type: integer + format: int32 + nullable: true + session_minse: + type: integer + format: int32 + nullable: true + session_refresher: + type: string + enum: + - uac + - uas + nullable: true + default: uas + t38pt_usertpsource: + type: string + nullable: true + regexten: + type: string + nullable: true + fromdomain: + type: string + nullable: true + fromuser: + type: string + nullable: true + port: + type: integer + format: int32 + nullable: true + qualify: + type: string + enum: + - yes + - no + nullable: true + default: yes + keepalive: + type: integer + format: int32 + nullable: true + defaultip: + type: string + nullable: true + defaultuser: + type: string + nullable: true + rtptimeout: + type: integer + format: int32 + nullable: true + rtpholdtimeout: + type: integer + format: int32 + nullable: true + rtpkeepalive: + type: integer + format: int32 + nullable: true + sendrpid: + type: string + enum: + - yes + - no + - pai + nullable: true + default: no + outboundproxy: + type: string + nullable: true + callbackextension: + type: string + nullable: true + timert1: + type: integer + format: int32 + nullable: true + timerb: + type: integer + format: int32 + nullable: true + qualifyfreq: + type: integer + format: int32 + nullable: true + contactpermit: + type: string + nullable: true + contactdeny: + type: string + nullable: true + contactacl: + type: string + nullable: true + unsolicited_mailbox: + type: string + nullable: true + use_q850_reason: + type: string + nullable: true + maxforwards: + type: integer + format: int32 + nullable: true + encryption: + type: string + enum: + - yes + - no + nullable: true + default: no + avpf: + type: string + enum: + - yes + - no + nullable: true + force_avp: + type: string + enum: + - yes + - no + nullable: true + icesupport: + type: string + enum: + - yes + - no + nullable: true + dtlsenable: + type: string + enum: + - yes + - no + nullable: true + dtlsverify: + type: string + enum: + - yes + - no + - fingerprint + - certificate + nullable: true + dtlsrekey: + type: integer + format: int32 + nullable: true + dtlscertfile: + type: string + nullable: true + dtlsprivatekey: + type: string + nullable: true + dtlscipher: + type: string + nullable: true + dtlscafile: + type: string + nullable: true + dtlscapath: + type: string + nullable: true + dtlssetup: + type: string + enum: + - active + - passive + - actpass + nullable: true + dtlsfingerprint: + type: string + nullable: true + usereqphone: + type: string + enum: + - yes + - no + nullable: true + default: no + recordonfeature: + type: string + nullable: true + recordofffeature: + type: string + nullable: true + call_limit: + type: integer + format: int32 + nullable: true + default: 1000 + registertrying: + type: string + enum: + - yes + - no + nullable: true + subscribemwi: + type: string + enum: + - yes + - no + nullable: true + vmexten: + type: string + nullable: true + mohinterpret: + type: string + nullable: true + mohsuggest: + type: string + nullable: true + parkinglot: + type: string + nullable: true + description: + type: string + nullable: true + host: + type: string + nullable: true + default: dynamic + canreinvite: + type: string + enum: + - yes + - no + - nonat + - update + - update,nonat + nullable: true + default: no + registry: + type: string + nullable: true + otherFields: + type: string + nullable: true + active: + type: boolean + default: true + t38pt_udptl: + type: string + nullable: true + default: no + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - name + - type + - context + - transport + - dtmfmode + - directmedia + - directrtpsetup + - nat + - language + - disallow + - allow + - insecure + - trustrpid + - trust_id_outbound + - callerid + - callcounter + - videosupport + - session_refresher + - qualify + - sendrpid + - encryption + - usereqphone + - call_limit + - host + - canreinvite + - active + - t38pt_udptl + - createdAt + - updatedAt + VoiceTransferReport: + title: VoiceTransferReport + type: object + properties: + id: + type: integer + format: int32 + type: + type: string + enum: + - blind + - attended + nullable: true + result: + type: string + nullable: true + transfererchannel: + type: string + nullable: true + transferercalleridnum: + type: string + nullable: true + transferercalleridname: + type: string + nullable: true + transfererconnectedlinenum: + type: string + nullable: true + transfererconnectedlinename: + type: string + nullable: true + transfereraccountcode: + type: string + nullable: true + transferercontext: + type: string + nullable: true + transfererexten: + type: string + nullable: true + transfererlinkedid: + type: string + nullable: true + transfereechannel: + type: string + nullable: true + transfereecalleridnum: + type: string + nullable: true + transfereecalleridname: + type: string + nullable: true + transfereeconnectedlinenum: + type: string + nullable: true + transfereeconnectedlinename: + type: string + nullable: true + transfereeaccountcode: + type: string + nullable: true + transfereecontext: + type: string + nullable: true + transfereeexten: + type: string + nullable: true + transfereelinkedid: + type: string + nullable: true + isexternal: + type: string + enum: + - Yes + - No + nullable: true + context: + type: string + nullable: true + extension: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - createdAt + - updatedAt + UserVoiceQueueRt: + title: UserVoiceQueueRt + type: object + properties: + uniqueid: + type: integer + format: int32 + nullable: true + membername: + type: string + UserId: + type: integer + format: int32 + queue_name: + type: string + VoiceQueueId: + type: integer + format: int32 + interface: + type: string + penalty: + type: integer + format: int32 + nullable: true + default: 0 + paused: + type: integer + format: int32 + nullable: true + default: 0 + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - membername + - UserId + - queue_name + - VoiceQueueId + - interface + - penalty + - paused + - createdAt + - updatedAt + UserVoiceQueue: + title: UserVoiceQueue + type: object + properties: + penalty: + type: integer + format: int32 + nullable: true + default: 0 + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + UserId: + type: integer + format: int32 + nullable: true + VoiceQueueId: + type: integer + format: int32 + nullable: true + required: + - penalty + - createdAt + - updatedAt + UserChatQueue: + title: UserChatQueue + type: object + properties: + penalty: + type: integer + format: int32 + nullable: true + default: 0 + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + UserId: + type: integer + format: int32 + nullable: true + ChatQueueId: + type: integer + format: int32 + nullable: true + required: + - penalty + - createdAt + - updatedAt + UserMailQueue: + title: UserMailQueue + type: object + properties: + penalty: + type: integer + format: int32 + nullable: true + default: 0 + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + UserId: + type: integer + format: int32 + nullable: true + MailQueueId: + type: integer + format: int32 + nullable: true + required: + - penalty + - createdAt + - updatedAt + UserFaxQueue: + title: UserFaxQueue + type: object + properties: + penalty: + type: integer + format: int32 + nullable: true + default: 0 + assigned: + type: integer + format: int32 + nullable: true + default: 0 + queue: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + UserId: + type: integer + format: int32 + nullable: true + FaxQueueId: + type: integer + format: int32 + nullable: true + required: + - penalty + - assigned + - createdAt + - updatedAt + UserSmsQueue: + title: UserSmsQueue + type: object + properties: + penalty: + type: integer + format: int32 + nullable: true + default: 0 + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + UserId: + type: integer + format: int32 + nullable: true + SmsQueueId: + type: integer + format: int32 + nullable: true + required: + - penalty + - createdAt + - updatedAt + UserOpenchannelQueue: + title: UserOpenchannelQueue + type: object + properties: + penalty: + type: integer + format: int32 + nullable: true + default: 0 + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + UserId: + type: integer + format: int32 + nullable: true + OpenchannelQueueId: + type: integer + format: int32 + nullable: true + required: + - penalty + - createdAt + - updatedAt + UserWhatsappQueue: + title: UserWhatsappQueue + type: object + properties: + penalty: + type: integer + format: int32 + nullable: true + default: 0 + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + UserId: + type: integer + format: int32 + nullable: true + WhatsappQueueId: + type: integer + format: int32 + nullable: true + required: + - penalty + - createdAt + - updatedAt + MailServerIn: + title: MailServerIn + type: object + properties: + id: + type: integer + format: int32 + description: + type: string + nullable: true + host: + type: string + nullable: true + authentication: + type: boolean + nullable: true + default: true + user: + type: string + nullable: true + password: + type: string + nullable: true + port: + type: integer + format: int32 + nullable: true + tls: + type: boolean + nullable: true + default: true + mailbox: + type: string + nullable: true + default: INBOX + connTimeout: + type: integer + format: int32 + nullable: true + default: 10 + authTimeout: + type: integer + format: int32 + nullable: true + default: 5 + service: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + MailAccountId: + type: integer + format: int32 + nullable: true + required: + - id + - authentication + - tls + - mailbox + - connTimeout + - authTimeout + - createdAt + - updatedAt + MailServerOut: + title: MailServerOut + type: object + properties: + id: + type: integer + format: int32 + description: + type: string + nullable: true + host: + type: string + nullable: true + user: + type: string + nullable: true + pass: + type: string + nullable: true + port: + type: integer + format: int32 + nullable: true + secure: + type: boolean + nullable: true + default: false + service: + type: string + nullable: true + authentication: + type: boolean + nullable: true + default: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + MailAccountId: + type: integer + format: int32 + nullable: true + required: + - id + - secure + - authentication + - createdAt + - updatedAt + MailAccount: + title: MailAccount + type: object + properties: + id: + type: integer + format: int32 + description: + type: string + nullable: true + name: + type: string + service: + type: boolean + nullable: true + default: false + email: + type: string + nullable: true + active: + type: boolean + nullable: true + default: true + key: + type: string + template: + type: string + nullable: true + markAsUnread: + type: boolean + nullable: true + default: false + fontFamily: + type: string + default: Arial,Helvetica,sans-serif + fontSize: + type: integer + format: int32 + nullable: true + default: 13 + notificationTemplate: + type: string + nullable: true + notificationSound: + type: boolean + nullable: true + default: true + notificationShake: + type: boolean + nullable: true + default: false + waitForTheAssignedAgent: + type: integer + format: int32 + nullable: true + default: 10 + queueTransfer: + type: boolean + nullable: true + default: false + queueTransferTimeout: + type: integer + format: int32 + nullable: true + default: 300 + agentTransfer: + type: boolean + nullable: true + default: false + agentTransferTimeout: + type: integer + format: int32 + nullable: true + default: 300 + mandatoryDispositionPauseId: + type: integer + format: int32 + nullable: true + default: null + mandatoryDisposition: + type: boolean + nullable: true + default: false + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + ListId: + type: integer + format: int32 + nullable: true + TemplateId: + type: integer + format: int32 + nullable: true + required: + - id + - name + - service + - active + - key + - markAsUnread + - fontFamily + - fontSize + - notificationTemplate + - notificationSound + - notificationShake + - waitForTheAssignedAgent + - queueTransfer + - queueTransferTimeout + - agentTransfer + - agentTransferTimeout + - mandatoryDispositionPauseId + - mandatoryDisposition + - createdAt + - updatedAt + MailQueue: + title: MailQueue + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + description: + type: string + nullable: true + timeout: + type: integer + format: int32 + nullable: true + strategy: + type: string + enum: + - rrmemory + - beepall + - roundrobin + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - createdAt + - updatedAt + MailSubstatus: + title: MailSubstatus + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + description: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - name + - createdAt + - updatedAt + MailInteraction: + title: MailInteraction + type: object + properties: + id: + type: integer + format: int32 + closed: + type: boolean + nullable: true + default: false + closedAt: + type: string + format: date-time + nullable: true + disposition: + type: string + nullable: true + secondDisposition: + type: string + nullable: true + thirdDisposition: + type: string + nullable: true + note: + type: string + nullable: true + inReplyTo: + type: string + maxLength: 510 + nullable: true + to: + type: string + nullable: true + cc: + type: string + nullable: true + subject: + type: string + nullable: true + attach: + type: boolean + nullable: true + default: false + read1stAt: + type: string + format: date-time + nullable: true + substatus: + type: string + maxLength: 60 + nullable: true + substatusAt: + type: string + format: date-time + nullable: true + firstMsgDirection: + type: string + enum: + - in + - out + default: in + lastMsgAt: + type: string + format: date-time + nullable: true + lastMsgDirection: + type: string + enum: + - in + - out + default: in + lastMsgBody: + type: string + nullable: true + lastMsgText: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + UserId: + type: integer + format: int32 + nullable: true + MailAccountId: + type: integer + format: int32 + nullable: true + ContactId: + type: integer + format: int32 + nullable: true + required: + - id + - closed + - attach + - firstMsgDirection + - lastMsgDirection + - createdAt + - updatedAt + MailMessage: + title: MailMessage + type: object + properties: + id: + type: integer + format: int32 + body: + type: string + plainBody: + type: string + nullable: true + read: + type: boolean + nullable: true + default: false + direction: + type: string + enum: + - in + - out + default: out + messageId: + type: string + maxLength: 510 + nullable: true + from: + type: string + nullable: true + to: + type: string + nullable: true + cc: + type: string + nullable: true + bcc: + type: string + nullable: true + subject: + type: string + nullable: true + sentAt: + type: string + format: date-time + nullable: true + attach: + type: integer + format: int32 + nullable: true + default: 0 + secret: + type: boolean + nullable: true + default: false + readAt: + type: string + format: date-time + nullable: true + originTo: + type: string + nullable: true + originCc: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + MailAccountId: + type: integer + format: int32 + nullable: true + MailInteractionId: + type: integer + format: int32 + nullable: true + UserId: + type: integer + format: int32 + nullable: true + ContactId: + type: integer + format: int32 + nullable: true + required: + - id + - body + - read + - direction + - attach + - secret + - createdAt + - updatedAt + MailApplication: + title: MailApplication + type: object + properties: + id: + type: integer + format: int32 + priority: + type: integer + format: int32 + app: + type: string + default: noop + appdata: + type: string + nullable: true + description: + type: string + nullable: true + interval: + type: string + nullable: true + default: "*,*,*,*" + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + MailAccountId: + type: integer + format: int32 + nullable: true + UserId: + type: integer + format: int32 + nullable: true + MailQueueId: + type: integer + format: int32 + nullable: true + IntervalId: + type: integer + format: int32 + nullable: true + TagId: + type: integer + format: int32 + nullable: true + required: + - id + - priority + - app + - interval + - createdAt + - updatedAt + MailQueueReport: + title: MailQueueReport + type: object + properties: + id: + type: integer + format: int32 + uniqueid: + type: string + from: + type: string + nullable: true + joinAt: + type: string + format: date-time + nullable: true + leaveAt: + type: string + format: date-time + nullable: true + acceptAt: + type: string + format: date-time + nullable: true + exitAt: + type: string + format: date-time + nullable: true + reason: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + MailAccountId: + type: integer + format: int32 + nullable: true + ListId: + type: integer + format: int32 + nullable: true + ContactId: + type: integer + format: int32 + nullable: true + MailInteractionId: + type: integer + format: int32 + nullable: true + MailMessageId: + type: integer + format: int32 + nullable: true + UserId: + type: integer + format: int32 + nullable: true + MailQueueId: + type: integer + format: int32 + nullable: true + required: + - id + - uniqueid + - createdAt + - updatedAt + Dashboard: + title: Dashboard + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + description: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - name + - createdAt + - updatedAt + DashboardItem: + title: DashboardItem + type: object + properties: + id: + type: integer + format: int32 + title: + type: string + type: + type: string + maxLength: 20 + attrs: + type: string + nullable: true + sizeX: + type: integer + format: int32 + default: 1 + sizeY: + type: integer + format: int32 + default: 1 + row: + type: integer + format: int32 + nullable: true + col: + type: integer + format: int32 + nullable: true + background: + type: string + default: "#ffffff" + foreground: + type: string + default: "#2196f3" + link: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + DashboardId: + type: integer + format: int32 + nullable: true + required: + - id + - title + - type + - sizeX + - sizeY + - background + - foreground + - createdAt + - updatedAt + FaxAccount: + title: FaxAccount + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + description: + type: string + nullable: true + ecm: + type: string + enum: + - yes + - no + nullable: true + default: yes + headerinfo: + type: string + nullable: true + default: xCALLY Motion Fax + localstationid: + type: string + nullable: true + minrate: + type: string + enum: + - "2400" + - "4800" + - "7200" + - "9600" + - "12000" + - "14400" + nullable: true + default: "4800" + maxrate: + type: string + enum: + - "2400" + - "4800" + - "7200" + - "9600" + - "12000" + - "14400" + nullable: true + default: "14400" + modem: + type: string + nullable: true + default: v17,v27,v29 + gateway: + type: string + nullable: true + default: no + faxdetect: + type: string + nullable: true + default: no + t38timeout: + type: integer + format: int32 + nullable: true + default: 5000 + tech: + type: string + enum: + - SIP + - IAX + - DADHI + - KHOMP + nullable: true + default: SIP + key: + type: string + notificationTemplate: + type: string + nullable: true + notificationSound: + type: boolean + nullable: true + default: true + notificationShake: + type: boolean + nullable: true + default: false + waitForTheAssignedAgent: + type: integer + format: int32 + nullable: true + default: 10 + queueTransfer: + type: boolean + nullable: true + default: false + queueTransferTimeout: + type: integer + format: int32 + nullable: true + default: 300 + agentTransfer: + type: boolean + nullable: true + default: false + agentTransferTimeout: + type: integer + format: int32 + nullable: true + default: 300 + mandatoryDispositionPauseId: + type: integer + format: int32 + nullable: true + default: null + mandatoryDisposition: + type: boolean + nullable: true + default: false + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + TrunkId: + type: integer + format: int32 + nullable: true + ListId: + type: integer + format: int32 + nullable: true + required: + - id + - name + - ecm + - headerinfo + - minrate + - maxrate + - modem + - gateway + - faxdetect + - t38timeout + - tech + - key + - notificationTemplate + - notificationSound + - notificationShake + - waitForTheAssignedAgent + - queueTransfer + - queueTransferTimeout + - agentTransfer + - agentTransferTimeout + - mandatoryDispositionPauseId + - mandatoryDisposition + - createdAt + - updatedAt + FaxApplication: + title: FaxApplication + type: object + properties: + id: + type: integer + format: int32 + priority: + type: integer + format: int32 + app: + type: string + default: noop + appdata: + type: string + nullable: true + description: + type: string + nullable: true + interval: + type: string + nullable: true + default: "*,*,*,*" + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + FaxAccountId: + type: integer + format: int32 + nullable: true + UserId: + type: integer + format: int32 + nullable: true + FaxQueueId: + type: integer + format: int32 + nullable: true + TagId: + type: integer + format: int32 + nullable: true + IntervalId: + type: integer + format: int32 + nullable: true + required: + - id + - priority + - app + - interval + - createdAt + - updatedAt + FaxInteraction: + title: FaxInteraction + type: object + properties: + id: + type: integer + format: int32 + closed: + type: boolean + nullable: true + default: false + closedAt: + type: string + format: date-time + nullable: true + disposition: + type: string + nullable: true + secondDisposition: + type: string + nullable: true + thirdDisposition: + type: string + nullable: true + note: + type: string + nullable: true + read1stAt: + type: string + format: date-time + nullable: true + fax: + type: string + nullable: true + firstMsgDirection: + type: string + enum: + - in + - out + default: in + lastMsgAt: + type: string + format: date-time + nullable: true + lastMsgDirection: + type: string + enum: + - in + - out + default: in + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + UserId: + type: integer + format: int32 + nullable: true + FaxAccountId: + type: integer + format: int32 + nullable: true + ContactId: + type: integer + format: int32 + nullable: true + required: + - id + - closed + - firstMsgDirection + - lastMsgDirection + - createdAt + - updatedAt + FaxMessage: + title: FaxMessage + type: object + properties: + id: + type: integer + format: int32 + body: + type: string + read: + type: boolean + nullable: true + default: false + direction: + type: string + enum: + - in + - out + default: out + failMessage: + type: string + nullable: true + default: null + readAt: + type: string + format: date-time + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + FaxAccountId: + type: integer + format: int32 + nullable: true + FaxInteractionId: + type: integer + format: int32 + nullable: true + UserId: + type: integer + format: int32 + nullable: true + ContactId: + type: integer + format: int32 + nullable: true + AttachmentId: + type: integer + format: int32 + nullable: true + required: + - id + - body + - read + - direction + - failMessage + - createdAt + - updatedAt + FaxQueue: + title: FaxQueue + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + description: + type: string + nullable: true + timeout: + type: integer + format: int32 + strategy: + type: string + enum: + - rrmemory + - beepall + - roundrobin + lastAgent: + type: integer + format: int32 + nullable: true + default: 0 + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - name + - timeout + - strategy + - lastAgent + - createdAt + - updatedAt + FaxQueueReport: + title: FaxQueueReport + type: object + properties: + id: + type: integer + format: int32 + uniqueid: + type: string + from: + type: string + nullable: true + joinAt: + type: string + format: date-time + nullable: true + leaveAt: + type: string + format: date-time + nullable: true + acceptAt: + type: string + format: date-time + nullable: true + exitAt: + type: string + format: date-time + nullable: true + reason: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + FaxAccountId: + type: integer + format: int32 + nullable: true + ListId: + type: integer + format: int32 + nullable: true + ContactId: + type: integer + format: int32 + nullable: true + FaxInteractionId: + type: integer + format: int32 + nullable: true + FaxMessageId: + type: integer + format: int32 + nullable: true + UserId: + type: integer + format: int32 + nullable: true + FaxQueueId: + type: integer + format: int32 + nullable: true + required: + - id + - uniqueid + - createdAt + - updatedAt + SmsAccount: + title: SmsAccount + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + key: + type: string + remote: + type: string + token: + type: string + nullable: true + phone: + type: string + nullable: true + type: + type: string + enum: + - twilio + - skebby + - connectel + - clicksend + - plivo + - clickatell + - csc + - infobip + - intelepeer + nullable: true + accountSid: + type: string + nullable: true + authId: + type: string + nullable: true + authToken: + type: string + nullable: true + smsMethod: + type: string + enum: + - SI + - TI + - GP + nullable: true + username: + type: string + nullable: true + password: + type: string + nullable: true + apiKey: + type: string + nullable: true + senderString: + type: string + nullable: true + deliveryReport: + type: boolean + nullable: true + default: false + description: + type: string + nullable: true + notificationTemplate: + type: string + nullable: true + notificationSound: + type: boolean + nullable: true + default: true + notificationShake: + type: boolean + nullable: true + default: false + waitForTheAssignedAgent: + type: integer + format: int32 + nullable: true + default: 10 + queueTransfer: + type: boolean + nullable: true + default: false + queueTransferTimeout: + type: integer + format: int32 + nullable: true + default: 300 + agentTransfer: + type: boolean + nullable: true + default: false + agentTransferTimeout: + type: integer + format: int32 + nullable: true + default: 300 + baseUrl: + type: string + nullable: true + mandatoryDispositionPauseId: + type: integer + format: int32 + nullable: true + mandatoryDisposition: + type: boolean + nullable: true + default: false + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + ListId: + type: integer + format: int32 + nullable: true + required: + - id + - name + - key + - remote + - token + - deliveryReport + - notificationTemplate + - notificationSound + - notificationShake + - waitForTheAssignedAgent + - queueTransfer + - queueTransferTimeout + - agentTransfer + - agentTransferTimeout + - mandatoryDisposition + - createdAt + - updatedAt + SmsApplication: + title: SmsApplication + type: object + properties: + id: + type: integer + format: int32 + priority: + type: integer + format: int32 + app: + type: string + default: noop + appdata: + type: string + nullable: true + description: + type: string + nullable: true + interval: + type: string + nullable: true + default: "*,*,*,*" + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + SmsAccountId: + type: integer + format: int32 + nullable: true + UserId: + type: integer + format: int32 + nullable: true + SmsQueueId: + type: integer + format: int32 + nullable: true + IntervalId: + type: integer + format: int32 + nullable: true + TagId: + type: integer + format: int32 + nullable: true + required: + - id + - priority + - app + - interval + - createdAt + - updatedAt + SmsInteraction: + title: SmsInteraction + type: object + properties: + id: + type: integer + format: int32 + closed: + type: boolean + nullable: true + default: false + closedAt: + type: string + format: date-time + nullable: true + disposition: + type: string + nullable: true + secondDisposition: + type: string + nullable: true + thirdDisposition: + type: string + nullable: true + note: + type: string + nullable: true + phone: + type: string + nullable: true + read1stAt: + type: string + format: date-time + nullable: true + firstMsgDirection: + type: string + enum: + - in + - out + default: in + lastMsgAt: + type: string + format: date-time + nullable: true + lastMsgDirection: + type: string + enum: + - in + - out + default: in + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + UserId: + type: integer + format: int32 + nullable: true + SmsAccountId: + type: integer + format: int32 + nullable: true + ContactId: + type: integer + format: int32 + nullable: true + required: + - id + - closed + - firstMsgDirection + - lastMsgDirection + - createdAt + - updatedAt + SmsMessage: + title: SmsMessage + type: object + properties: + id: + type: integer + format: int32 + body: + type: string + read: + type: boolean + nullable: true + default: false + direction: + type: string + enum: + - in + - out + default: out + messageId: + type: string + maxLength: 190 + nullable: true + phone: + type: string + nullable: true + readAt: + type: string + format: date-time + nullable: true + secret: + type: boolean + nullable: true + default: false + providerName: + type: string + nullable: true + providerResponse: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + SmsAccountId: + type: integer + format: int32 + nullable: true + SmsInteractionId: + type: integer + format: int32 + nullable: true + UserId: + type: integer + format: int32 + nullable: true + ContactId: + type: integer + format: int32 + nullable: true + required: + - id + - body + - read + - direction + - secret + - createdAt + - updatedAt + SmsQueue: + title: SmsQueue + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + description: + type: string + nullable: true + timeout: + type: integer + format: int32 + nullable: true + strategy: + type: string + enum: + - rrmemory + - beepall + - roundrobin + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - createdAt + - updatedAt + OpenchannelAccount: + title: OpenchannelAccount + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + description: + type: string + nullable: true + token: + type: string + nullable: true + replyUri: + type: string + nullable: true + key: + type: string + notificationTemplate: + type: string + nullable: true + notificationSound: + type: boolean + nullable: true + default: true + notificationShake: + type: boolean + nullable: true + default: false + waitForTheAssignedAgent: + type: integer + format: int32 + nullable: true + default: 10 + mapKey: + type: string + nullable: true + queueTransfer: + type: boolean + nullable: true + default: false + queueTransferTimeout: + type: integer + format: int32 + nullable: true + default: 300 + agentTransfer: + type: boolean + nullable: true + default: false + agentTransferTimeout: + type: integer + format: int32 + nullable: true + default: 300 + mandatoryDispositionPauseId: + type: integer + format: int32 + nullable: true + default: null + mandatoryDisposition: + type: boolean + nullable: true + default: false + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + ListId: + type: integer + format: int32 + nullable: true + required: + - id + - name + - token + - key + - notificationTemplate + - notificationSound + - notificationShake + - waitForTheAssignedAgent + - queueTransfer + - queueTransferTimeout + - agentTransfer + - agentTransferTimeout + - mandatoryDispositionPauseId + - mandatoryDisposition + - createdAt + - updatedAt + OpenchannelApplication: + title: OpenchannelApplication + type: object + properties: + id: + type: integer + format: int32 + priority: + type: integer + format: int32 + app: + type: string + default: noop + appdata: + type: string + nullable: true + description: + type: string + nullable: true + interval: + type: string + nullable: true + default: "*,*,*,*" + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + OpenchannelAccountId: + type: integer + format: int32 + nullable: true + UserId: + type: integer + format: int32 + nullable: true + OpenchannelQueueId: + type: integer + format: int32 + nullable: true + IntervalId: + type: integer + format: int32 + nullable: true + TagId: + type: integer + format: int32 + nullable: true + required: + - id + - priority + - app + - interval + - createdAt + - updatedAt + OpenchannelInteraction: + title: OpenchannelInteraction + type: object + properties: + id: + type: integer + format: int32 + closed: + type: boolean + nullable: true + default: false + closedAt: + type: string + format: date-time + nullable: true + disposition: + type: string + nullable: true + secondDisposition: + type: string + nullable: true + thirdDisposition: + type: string + nullable: true + note: + type: string + nullable: true + read1stAt: + type: string + format: date-time + nullable: true + threadId: + type: string + nullable: true + externalUrl: + type: string + nullable: true + lastMsgAt: + type: string + format: date-time + nullable: true + lastMsgDirection: + type: string + enum: + - in + - out + default: in + from: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + UserId: + type: integer + format: int32 + nullable: true + OpenchannelAccountId: + type: integer + format: int32 + nullable: true + ContactId: + type: integer + format: int32 + nullable: true + required: + - id + - closed + - lastMsgDirection + - createdAt + - updatedAt + OpenchannelMessage: + title: OpenchannelMessage + type: object + properties: + id: + type: integer + format: int32 + body: + type: string + read: + type: boolean + nullable: true + default: false + secret: + type: boolean + nullable: true + default: false + direction: + type: string + enum: + - in + - out + default: out + readAt: + type: string + format: date-time + nullable: true + providerName: + type: string + nullable: true + providerResponse: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + OpenchannelAccountId: + type: integer + format: int32 + nullable: true + OpenchannelInteractionId: + type: integer + format: int32 + nullable: true + UserId: + type: integer + format: int32 + nullable: true + ContactId: + type: integer + format: int32 + nullable: true + AttachmentId: + type: integer + format: int32 + nullable: true + required: + - id + - body + - read + - secret + - direction + - createdAt + - updatedAt + OpenchannelQueue: + title: OpenchannelQueue + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + description: + type: string + nullable: true + timeout: + type: integer + format: int32 + nullable: true + strategy: + type: string + enum: + - rrmemory + - beepall + - roundrobin + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - createdAt + - updatedAt + ChatWebsite: + title: ChatWebsite + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + address: + type: string + description: + type: string + nullable: true + mapKey: + type: string + nullable: true + default: email + mapKeyOffline: + type: string + nullable: true + default: email + key: + type: string + agentAlias: + type: string + nullable: true + default: Agent + customerAlias: + type: string + nullable: true + default: Me + color: + type: string + default: "#011F6A" + color_button: + type: string + default: "#011F6A" + textColor: + type: string + default: "#ffffff" + fontSize: + type: integer + format: int32 + nullable: true + default: 15 + remote: + type: string + nullable: true + animation: + type: boolean + nullable: true + default: true + header_shape: + type: string + enum: + - rounded + - squared + nullable: true + default: rounded + header_online: + type: string + nullable: true + default: We are here! + start_chat_button: + type: string + nullable: true + default: Chat + offline_chat_button: + type: string + nullable: true + default: Send + header_offline: + type: string + nullable: true + default: Contact us + download_transcript: + type: boolean + nullable: true + default: true + timeout: + type: integer + format: int32 + nullable: true + default: 0 + whiteLabel: + type: string + nullable: true + default: Powered by xCALLY + defaultWhiteLabel: + type: boolean + nullable: true + default: true + sitepic: + type: string + nullable: true + closingQuestion: + type: string + nullable: true + default: Do you want to close the interaction? + formSubmitSuccessMessage: + type: string + nullable: true + default: Form properly submitted + formSubmitFailureMessage: + type: string + nullable: true + default: Form submission error + noteTitle: + type: string + nullable: true + default: Note + placeholderMessage: + type: string + nullable: true + default: Type a message + closingMessage: + type: string + nullable: true + default: Thanks you for your time! + closingMessageButton: + type: string + nullable: true + default: Send + skipMessageButton: + type: string + nullable: true + default: Skip + conditionAgreement: + type: boolean + nullable: true + default: false + enableRating: + type: boolean + nullable: true + default: false + enableFeedback: + type: boolean + nullable: true + default: false + enableSendButton: + type: boolean + nullable: true + default: false + feedbackTitle: + type: string + nullable: true + default: Feedback + ratingType: + type: string + enum: + - star + - thumb + nullable: true + default: star + ratingStarsNumber: + type: integer + format: int32 + nullable: true + default: 5 + onlineForm: + type: string + nullable: true + offlineForm: + type: string + nullable: true + token: + type: string + nullable: true + autoclose: + type: boolean + nullable: true + default: true + enableCustomerWriting: + type: boolean + nullable: true + default: false + forwardTranscript: + type: boolean + nullable: true + default: false + forwardTranscriptMessage: + type: string + nullable: true + forwardOffline: + type: boolean + nullable: true + default: false + forwardOfflineAddress: + type: string + nullable: true + waitingTitle: + type: string + nullable: true + default: All of our agents are busy at this time. Your chat is very important to + us. + waitingMessage: + type: string + nullable: true + default: Please hold and we will answer your request as soon as possible. + offlineMessageSubject: + type: string + nullable: true + default: New offline message from websiteName + offlineMessageBody: + type: string + nullable: true + default: "You received a new offline message from websiteName. Here you can find + the details of the request:" + enableUnmanagedNote: + type: boolean + nullable: true + default: true + unmanagedMessage: + type: string + nullable: true + default: Your request has not been processed. + skipUnmanaged: + type: string + nullable: true + default: Skip + sendUnmanaged: + type: string + nullable: true + default: Send + enableCustomerAttachment: + type: boolean + nullable: true + default: false + enableCustomerCheckmarks: + type: boolean + nullable: true + default: false + agentAvatar: + type: string + nullable: true + showAgentAvatar: + type: boolean + nullable: true + default: false + timezone: + type: string + nullable: true + notificationTemplate: + type: string + nullable: true + notificationSound: + type: boolean + nullable: true + default: true + notificationShake: + type: boolean + nullable: true + default: false + hideWhenOffline: + type: boolean + nullable: true + default: false + agentIdentifier: + type: string + nullable: true + default: website_alias + waitForTheAssignedAgent: + type: integer + format: int32 + nullable: true + default: 10 + alignment: + type: string + nullable: true + default: bottom_right + verticalAlignment: + type: integer + format: int32 + nullable: true + default: 30 + messagesAlignment: + type: string + nullable: true + default: alternate + defaultTitle: + type: string + nullable: true + customerAvatar: + type: string + nullable: true + showCustomerAvatar: + type: boolean + nullable: true + default: false + messageFontSize: + type: integer + format: int32 + nullable: true + default: 12 + backgroundColor: + type: string + default: "#fafafa" + queueTransfer: + type: boolean + nullable: true + default: false + queueTransferTimeout: + type: integer + format: int32 + nullable: true + default: 300 + agentTransfer: + type: boolean + nullable: true + default: false + agentTransferTimeout: + type: integer + format: int32 + nullable: true + default: 300 + systemAlias: + type: string + nullable: true + default: System + systemAvatar: + type: string + nullable: true + mandatoryDispositionPauseId: + type: integer + format: int32 + nullable: true + mandatoryDisposition: + type: boolean + nullable: true + default: false + vidaooEscalation: + type: boolean + nullable: true + default: false + vidaooApiKey: + type: string + nullable: true + default: false + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + ListId: + type: integer + format: int32 + nullable: true + IntervalId: + type: integer + format: int32 + nullable: true + required: + - id + - name + - address + - mapKey + - mapKeyOffline + - key + - agentAlias + - customerAlias + - color + - color_button + - textColor + - fontSize + - animation + - header_shape + - header_online + - start_chat_button + - offline_chat_button + - header_offline + - download_transcript + - timeout + - whiteLabel + - defaultWhiteLabel + - closingQuestion + - formSubmitSuccessMessage + - formSubmitFailureMessage + - noteTitle + - placeholderMessage + - closingMessage + - closingMessageButton + - skipMessageButton + - conditionAgreement + - enableRating + - enableFeedback + - enableSendButton + - feedbackTitle + - ratingType + - ratingStarsNumber + - onlineForm + - offlineForm + - token + - autoclose + - enableCustomerWriting + - forwardTranscript + - forwardOffline + - waitingTitle + - waitingMessage + - offlineMessageSubject + - offlineMessageBody + - enableUnmanagedNote + - unmanagedMessage + - skipUnmanaged + - sendUnmanaged + - enableCustomerAttachment + - enableCustomerCheckmarks + - showAgentAvatar + - notificationTemplate + - notificationSound + - notificationShake + - hideWhenOffline + - agentIdentifier + - waitForTheAssignedAgent + - alignment + - verticalAlignment + - messagesAlignment + - showCustomerAvatar + - messageFontSize + - backgroundColor + - queueTransfer + - queueTransferTimeout + - agentTransfer + - agentTransferTimeout + - systemAlias + - mandatoryDisposition + - vidaooEscalation + - vidaooApiKey + - createdAt + - updatedAt + OpenchannelQueueReport: + title: OpenchannelQueueReport + type: object + properties: + id: + type: integer + format: int32 + uniqueid: + type: string + from: + type: string + nullable: true + joinAt: + type: string + format: date-time + nullable: true + leaveAt: + type: string + format: date-time + nullable: true + acceptAt: + type: string + format: date-time + nullable: true + exitAt: + type: string + format: date-time + nullable: true + reason: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + OpenchannelAccountId: + type: integer + format: int32 + nullable: true + ListId: + type: integer + format: int32 + nullable: true + ContactId: + type: integer + format: int32 + nullable: true + OpenchannelInteractionId: + type: integer + format: int32 + nullable: true + OpenchannelMessageId: + type: integer + format: int32 + nullable: true + UserId: + type: integer + format: int32 + nullable: true + OpenchannelQueueId: + type: integer + format: int32 + nullable: true + required: + - id + - uniqueid + - createdAt + - updatedAt + ChatApplication: + title: ChatApplication + type: object + properties: + id: + type: integer + format: int32 + priority: + type: integer + format: int32 + app: + type: string + default: noop + appdata: + type: string + nullable: true + description: + type: string + nullable: true + interval: + type: string + nullable: true + default: "*,*,*,*" + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + ChatWebsiteId: + type: integer + format: int32 + nullable: true + UserId: + type: integer + format: int32 + nullable: true + ChatQueueId: + type: integer + format: int32 + nullable: true + TagId: + type: integer + format: int32 + nullable: true + IntervalId: + type: integer + format: int32 + nullable: true + required: + - id + - priority + - app + - interval + - createdAt + - updatedAt + ChatInteraction: + title: ChatInteraction + type: object + properties: + id: + type: integer + format: int32 + closed: + type: boolean + nullable: true + default: false + ratingValue: + type: integer + format: int32 + nullable: true + ratingType: + type: string + enum: + - star + - thumb + nullable: true + default: star + ratingMessage: + type: string + nullable: true + pathTranscript: + type: string + nullable: true + mailTranscript: + type: string + nullable: true + closedAt: + type: string + format: date-time + nullable: true + disposition: + type: string + nullable: true + secondDisposition: + type: string + nullable: true + thirdDisposition: + type: string + nullable: true + note: + type: string + nullable: true + browserName: + type: string + nullable: true + browserVersion: + type: string + nullable: true + osName: + type: string + nullable: true + osVersion: + type: string + nullable: true + deviceModel: + type: string + nullable: true + deviceVendor: + type: string + nullable: true + deviceType: + type: string + nullable: true + referer: + type: string + nullable: true + customerIp: + type: string + nullable: true + formData: + type: string + nullable: true + read1stAt: + type: string + format: date-time + nullable: true + lastMsgAt: + type: string + format: date-time + nullable: true + lastMsgDirection: + type: string + enum: + - in + - out + default: in + closeReason: + type: string + nullable: true + customerPort: + type: string + nullable: true + vidaooSessionId: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + UserId: + type: integer + format: int32 + nullable: true + ChatWebsiteId: + type: integer + format: int32 + nullable: true + ContactId: + type: integer + format: int32 + nullable: true + required: + - id + - closed + - ratingType + - lastMsgDirection + - createdAt + - updatedAt + ChatMessage: + title: ChatMessage + type: object + properties: + id: + type: integer + format: int32 + body: + type: string + read: + type: boolean + nullable: true + default: false + secret: + type: boolean + nullable: true + default: false + direction: + type: string + enum: + - in + - out + default: out + readAt: + type: string + format: date-time + nullable: true + providerName: + type: string + nullable: true + providerResponse: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + ChatWebsiteId: + type: integer + format: int32 + nullable: true + ChatInteractionId: + type: integer + format: int32 + nullable: true + UserId: + type: integer + format: int32 + nullable: true + ContactId: + type: integer + format: int32 + nullable: true + AttachmentId: + type: integer + format: int32 + nullable: true + required: + - id + - body + - read + - secret + - direction + - createdAt + - updatedAt + ChatOfflineMessage: + title: ChatOfflineMessage + type: object + properties: + id: + type: integer + format: int32 + body: + type: string + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + ContactId: + type: integer + format: int32 + nullable: true + ChatWebsiteId: + type: integer + format: int32 + nullable: true + required: + - id + - body + - createdAt + - updatedAt + ChatQueue: + title: ChatQueue + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + description: + type: string + nullable: true + timeout: + type: integer + format: int32 + nullable: true + strategy: + type: string + enum: + - rrmemory + - beepall + - roundrobin + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - name + - createdAt + - updatedAt + ChatGroup: + title: ChatGroup + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + description: + type: string + nullable: true + write: + type: boolean + nullable: true + default: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - name + - write + - createdAt + - updatedAt + ChatProactiveAction: + title: ChatProactiveAction + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + type: + type: string + enum: + - mouseOver + - timeout + nullable: true + default: mouseOver + selector: + type: string + nullable: true + timeout: + type: integer + format: int32 + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + ChatWebsiteId: + type: integer + format: int32 + nullable: true + required: + - id + - name + - type + - createdAt + - updatedAt + CmCompany: + title: CmCompany + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + vat: + type: string + nullable: true + companyId: + type: string + nullable: true + website: + type: string + nullable: true + phone: + type: string + nullable: true + fax: + type: string + nullable: true + type: + type: string + nullable: true + street: + type: string + nullable: true + postalCode: + type: string + nullable: true + city: + type: string + nullable: true + country: + type: string + nullable: true + email: + type: string + nullable: true + emailDomain: + type: string + nullable: true + sStreet: + type: string + nullable: true + sPostalCode: + type: string + nullable: true + sCity: + type: string + nullable: true + sCountry: + type: string + nullable: true + description: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - name + - createdAt + - updatedAt + CmContact: + title: CmContact + type: object + properties: + id: + type: integer + format: int32 + firstName: + type: string + lastName: + type: string + nullable: true + street: + type: string + nullable: true + postalCode: + type: string + nullable: true + city: + type: string + nullable: true + country: + type: string + nullable: true + dateOfBirth: + type: string + nullable: true + description: + type: string + nullable: true + phone: + type: string + nullable: true + mobile: + type: string + nullable: true + fax: + type: string + nullable: true + email: + type: string + nullable: true + url: + type: string + nullable: true + facebook: + type: string + nullable: true + fb_data: + type: string + nullable: true + twitter: + type: string + nullable: true + skype: + type: string + nullable: true + teams: + type: string + nullable: true + viber: + type: string + nullable: true + line: + type: string + nullable: true + wechat: + type: string + nullable: true + telegram: + type: string + nullable: true + UserId: + type: integer + format: int32 + nullable: true + priority: + type: integer + format: int32 + nullable: true + default: 2 + scheduledat: + type: string + format: date-time + nullable: true + default: {} + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + deletedAt: + type: string + format: date-time + nullable: true + CompanyId: + type: integer + format: int32 + nullable: true + ListId: + type: integer + format: int32 + nullable: true + required: + - id + - firstName + - priority + - scheduledat + - createdAt + - updatedAt + CmHopper: + title: CmHopper + type: object + properties: + id: + type: integer + format: int32 + phone: + type: string + active: + type: boolean + nullable: true + default: false + scheduledat: + type: string + format: date-time + nullable: true + default: 2020-12-04 19:45:06 + countbusyretry: + type: integer + format: int32 + nullable: true + default: 0 + countcongestionretry: + type: integer + format: int32 + nullable: true + default: 0 + countnoanswerretry: + type: integer + format: int32 + nullable: true + default: 0 + callback: + type: boolean + nullable: true + default: false + callbackuniqueid: + type: string + nullable: true + default: null + callbackat: + type: string + format: date-time + nullable: true + priority: + type: integer + format: int32 + nullable: true + default: 2 + recallme: + type: boolean + nullable: true + default: false + ContactId: + type: integer + format: int32 + nullable: true + ListId: + type: integer + format: int32 + nullable: true + UserId: + type: integer + format: int32 + nullable: true + VoiceQueueId: + type: integer + format: int32 + nullable: true + CampaignId: + type: integer + format: int32 + nullable: true + countnosuchnumberretry: + type: integer + format: int32 + nullable: true + default: 0 + countdropretry: + type: integer + format: int32 + nullable: true + default: 0 + countabandonedretry: + type: integer + format: int32 + nullable: true + default: 0 + countmachineretry: + type: integer + format: int32 + nullable: true + default: 0 + countagentrejectretry: + type: integer + format: int32 + nullable: true + default: 0 + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + OwnerId: + type: integer + format: int32 + nullable: true + required: + - id + - phone + - active + - scheduledat + - countbusyretry + - countcongestionretry + - countnoanswerretry + - callback + - callbackuniqueid + - priority + - recallme + - countnosuchnumberretry + - countdropretry + - countabandonedretry + - countmachineretry + - countagentrejectretry + - createdAt + - updatedAt + CmHopperBlack: + title: CmHopperBlack + type: object + properties: + id: + type: integer + format: int32 + phone: + type: string + ContactId: + type: integer + format: int32 + nullable: true + ListId: + type: integer + format: int32 + nullable: true + VoiceQueueId: + type: integer + format: int32 + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + CampaignId: + type: integer + format: int32 + nullable: true + required: + - id + - phone + - createdAt + - updatedAt + CmHopperFinal: + title: CmHopperFinal + type: object + properties: + id: + type: integer + format: int32 + state: + type: integer + format: int32 + nullable: true + statedesc: + type: string + nullable: true + scheduledat: + type: string + format: date-time + nullable: true + countbusyretry: + type: integer + format: int32 + nullable: true + default: 0 + countcongestionretry: + type: integer + format: int32 + nullable: true + default: 0 + countnoanswerretry: + type: integer + format: int32 + nullable: true + default: 0 + countglobal: + type: integer + format: int32 + nullable: true + default: 0 + uniqueid: + type: string + nullable: true + originatecalleridnum: + type: string + nullable: true + originatecalleridname: + type: string + nullable: true + calleridnum: + type: string + nullable: true + calleridname: + type: string + nullable: true + starttime: + type: string + format: date-time + nullable: true + responsetime: + type: string + format: date-time + nullable: true + answertime: + type: string + format: date-time + nullable: true + droptime: + type: string + format: date-time + nullable: true + endtime: + type: string + format: date-time + nullable: true + ringtime: + type: integer + format: int32 + nullable: true + default: 0 + holdtime: + type: integer + format: int32 + nullable: true + default: 0 + talktime: + type: integer + format: int32 + nullable: true + default: 0 + followuptime: + type: integer + format: int32 + nullable: true + default: 0 + dropreason: + type: string + nullable: true + campaign: + type: string + nullable: true + campaigntype: + type: string + nullable: true + membername: + type: string + nullable: true + reason: + type: string + nullable: true + disposition: + type: string + nullable: true + secondDisposition: + type: string + nullable: true + thirdDisposition: + type: string + nullable: true + dispositionat: + type: string + format: date-time + nullable: true + amd: + type: boolean + nullable: true + default: false + fax: + type: boolean + nullable: true + default: false + blacklist: + type: boolean + nullable: true + default: false + rescheduled: + type: boolean + nullable: true + default: false + rescheduledat: + type: string + format: date-time + nullable: true + callback: + type: boolean + nullable: true + default: false + callbackuniqueid: + type: string + nullable: true + default: null + callbackat: + type: string + format: date-time + nullable: true + deleted: + type: string + nullable: true + default: null + deletedat: + type: string + format: date-time + nullable: true + recallme: + type: boolean + nullable: true + default: false + agiafterat: + type: string + format: date-time + nullable: true + countnosuchnumberretry: + type: integer + format: int32 + nullable: true + default: 0 + countdropretry: + type: integer + format: int32 + nullable: true + default: 0 + countabandonedretry: + type: integer + format: int32 + nullable: true + default: 0 + countmachineretry: + type: integer + format: int32 + nullable: true + default: 0 + countagentrejectretry: + type: integer + format: int32 + nullable: true + default: 0 + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + UserId: + type: integer + format: int32 + nullable: true + VoiceQueueId: + type: integer + format: int32 + nullable: true + ContactId: + type: integer + format: int32 + nullable: true + CampaignId: + type: integer + format: int32 + nullable: true + ListId: + type: integer + format: int32 + nullable: true + required: + - id + - countbusyretry + - countcongestionretry + - countnoanswerretry + - countglobal + - ringtime + - holdtime + - talktime + - followuptime + - amd + - fax + - blacklist + - rescheduled + - callback + - callbackuniqueid + - deleted + - recallme + - countnosuchnumberretry + - countdropretry + - countabandonedretry + - countmachineretry + - countagentrejectretry + - createdAt + - updatedAt + CmHopperHistory: + title: CmHopperHistory + type: object + properties: + id: + type: integer + format: int32 + state: + type: integer + format: int32 + nullable: true + statedesc: + type: string + nullable: true + scheduledat: + type: string + format: date-time + nullable: true + countbusyretry: + type: integer + format: int32 + nullable: true + default: 0 + countcongestionretry: + type: integer + format: int32 + nullable: true + default: 0 + countnoanswerretry: + type: integer + format: int32 + nullable: true + default: 0 + countglobal: + type: integer + format: int32 + nullable: true + default: 0 + uniqueid: + type: string + nullable: true + originatecalleridnum: + type: string + nullable: true + originatecalleridname: + type: string + nullable: true + calleridnum: + type: string + nullable: true + calleridname: + type: string + nullable: true + starttime: + type: string + format: date-time + nullable: true + responsetime: + type: string + format: date-time + nullable: true + answertime: + type: string + format: date-time + nullable: true + droptime: + type: string + format: date-time + nullable: true + endtime: + type: string + format: date-time + nullable: true + ringtime: + type: integer + format: int32 + nullable: true + default: 0 + holdtime: + type: integer + format: int32 + nullable: true + default: 0 + talktime: + type: integer + format: int32 + nullable: true + default: 0 + followuptime: + type: integer + format: int32 + nullable: true + default: 0 + dropreason: + type: string + nullable: true + campaign: + type: string + nullable: true + campaigntype: + type: string + nullable: true + membername: + type: string + nullable: true + reason: + type: string + nullable: true + amd: + type: boolean + nullable: true + default: false + fax: + type: boolean + nullable: true + default: false + callback: + type: boolean + nullable: true + default: false + callbackuniqueid: + type: string + nullable: true + default: null + callbackat: + type: string + format: date-time + nullable: true + recallme: + type: boolean + nullable: true + default: false + editedat: + type: string + format: date-time + nullable: true + edited: + type: boolean + nullable: true + default: false + countnosuchnumberretry: + type: integer + format: int32 + nullable: true + default: 0 + countdropretry: + type: integer + format: int32 + nullable: true + default: 0 + countabandonedretry: + type: integer + format: int32 + nullable: true + default: 0 + countmachineretry: + type: integer + format: int32 + nullable: true + default: 0 + countagentrejectretry: + type: integer + format: int32 + nullable: true + default: 0 + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + UserId: + type: integer + format: int32 + nullable: true + VoiceQueueId: + type: integer + format: int32 + nullable: true + ContactId: + type: integer + format: int32 + nullable: true + CampaignId: + type: integer + format: int32 + nullable: true + ListId: + type: integer + format: int32 + nullable: true + required: + - id + - countbusyretry + - countcongestionretry + - countnoanswerretry + - countglobal + - ringtime + - holdtime + - talktime + - followuptime + - amd + - fax + - callback + - callbackuniqueid + - recallme + - edited + - countnosuchnumberretry + - countdropretry + - countabandonedretry + - countmachineretry + - countagentrejectretry + - createdAt + - updatedAt + ReportAgentPreview: + title: ReportAgentPreview + type: object + properties: + id: + type: integer + format: int32 + state: + type: integer + format: int32 + nullable: true + statedesc: + type: string + nullable: true + scheduledat: + type: string + format: date-time + nullable: true + uniqueid: + type: string + nullable: true + starttime: + type: string + format: date-time + nullable: true + responsetime: + type: string + format: date-time + nullable: true + ringtime: + type: integer + format: int32 + nullable: true + default: 0 + campaign: + type: string + nullable: true + campaigntype: + type: string + nullable: true + membername: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + UserId: + type: integer + format: int32 + nullable: true + VoiceQueueId: + type: integer + format: int32 + nullable: true + ContactId: + type: integer + format: int32 + nullable: true + ListId: + type: integer + format: int32 + nullable: true + required: + - id + - ringtime + - createdAt + - updatedAt + CmCustomField: + title: CmCustomField + type: object + properties: + id: + type: integer + format: int32 + name: + anyOf: + - type: object + - type: array + - type: boolean + - type: integer + - type: number + - type: string + nullable: true + alias: + type: string + type: + type: string + values: + type: string + nullable: true + required: + type: boolean + nullable: true + default: false + clickToAction: + type: boolean + nullable: true + default: false + actionType: + type: string + enum: + - voice + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + deletedAt: + type: string + format: date-time + nullable: true + ListId: + type: integer + format: int32 + nullable: true + required: + - id + - alias + - type + - required + - clickToAction + - createdAt + - updatedAt + Action: + title: Action + type: object + properties: + id: + type: integer + format: int32 + name: + anyOf: + - type: object + - type: array + - type: boolean + - type: integer + - type: number + - type: string + nullable: true + action: + type: string + data1: + type: string + maxLength: 4096 + nullable: true + data2: + type: string + maxLength: 4096 + nullable: true + data3: + type: string + maxLength: 4096 + nullable: true + data4: + type: string + maxLength: 4096 + nullable: true + data5: + type: string + maxLength: 4096 + nullable: true + data6: + type: string + nullable: true + data7: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + AutomationId: + type: integer + format: int32 + nullable: true + TriggerId: + type: integer + format: int32 + nullable: true + required: + - id + - action + - createdAt + - updatedAt + Automation: + title: Automation + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + channel: + type: string + nullable: true + description: + type: string + nullable: true + status: + type: boolean + nullable: true + default: false + timeout: + type: integer + format: int32 + nullable: true + default: 10 + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - name + - status + - timeout + - createdAt + - updatedAt + CannedAnswer: + title: CannedAnswer + type: object + properties: + id: + type: integer + format: int32 + key: + type: string + value: + type: string + description: + type: string + nullable: true + name: + anyOf: + - type: object + - type: array + - type: boolean + - type: integer + - type: number + - type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + MailAccountId: + type: integer + format: int32 + nullable: true + FaxAccountId: + type: integer + format: int32 + nullable: true + SmsAccountId: + type: integer + format: int32 + nullable: true + OpenchannelAccountId: + type: integer + format: int32 + nullable: true + ChatWebsiteId: + type: integer + format: int32 + nullable: true + WhatsappAccountId: + type: integer + format: int32 + nullable: true + required: + - id + - key + - value + - createdAt + - updatedAt + Disposition: + title: Disposition + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + level: + type: string + enum: + - first + - second + - third + default: first + description: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + MailAccountId: + type: integer + format: int32 + nullable: true + FaxAccountId: + type: integer + format: int32 + nullable: true + SmsAccountId: + type: integer + format: int32 + nullable: true + OpenchannelAccountId: + type: integer + format: int32 + nullable: true + ChatWebsiteId: + type: integer + format: int32 + nullable: true + ParentId: + type: integer + format: int32 + nullable: true + ListId: + type: integer + format: int32 + nullable: true + WhatsappAccountId: + type: integer + format: int32 + nullable: true + required: + - id + - name + - level + - createdAt + - updatedAt + Condition: + title: Condition + type: object + properties: + id: + type: integer + format: int32 + name: + anyOf: + - type: object + - type: array + - type: boolean + - type: integer + - type: number + - type: string + nullable: true + field: + type: string + operator: + type: string + value: + type: string + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + AutomationAllId: + type: integer + format: int32 + nullable: true + AutomationAnyId: + type: integer + format: int32 + nullable: true + TriggerAllId: + type: integer + format: int32 + nullable: true + TriggerAnyId: + type: integer + format: int32 + nullable: true + required: + - id + - field + - operator + - value + - createdAt + - updatedAt + Interval: + title: Interval + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + description: + type: string + nullable: true + interval: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + IntervalId: + type: integer + format: int32 + nullable: true + required: + - id + - createdAt + - updatedAt + Pause: + title: Pause + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + description: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - name + - createdAt + - updatedAt + Cdr: + title: Cdr + type: object + properties: + id: + type: integer + format: int32 + calldate: + type: string + format: date-time + default: 1970-01-01 00:00:01 + clid: + type: string + nullable: true + src: + type: string + nullable: true + dst: + type: string + nullable: true + dcontext: + type: string + nullable: true + channel: + type: string + nullable: true + dstchannel: + type: string + nullable: true + lastapp: + type: string + nullable: true + lastdata: + type: string + nullable: true + duration: + type: integer + format: int32 + default: 0 + billsec: + type: integer + format: int32 + default: 0 + disposition: + type: string + nullable: true + amaflags: + type: integer + format: int32 + default: 0 + accountcode: + type: string + nullable: true + userfield: + type: string + nullable: true + uniqueid: + type: string + nullable: true + linkedid: + type: string + nullable: true + sequence: + type: string + nullable: true + peeraccount: + type: string + nullable: true + type: + type: string + nullable: true + tag: + type: string + nullable: true + required: + - id + - calldate + - duration + - billsec + - amaflags + VoiceQueuesLog: + title: VoiceQueuesLog + type: object + properties: + id: + type: integer + format: int32 + time: + type: string + nullable: true + callid: + type: string + default: "" + queuename: + type: string + default: "" + agent: + type: string + default: "" + event: + type: string + default: "" + data: + type: string + default: "" + data1: + type: string + default: "" + data2: + type: string + default: "" + data3: + type: string + default: "" + data4: + type: string + default: "" + data5: + type: string + default: "" + dtm: + type: string + format: date-time + default: 2020-12-04 19:45:06 + required: + - id + - callid + - queuename + - agent + - event + - data + - data1 + - data2 + - data3 + - data4 + - data5 + - dtm + Schedule: + title: Schedule + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + description: + type: string + nullable: true + active: + type: boolean + default: false + cron: + type: string + default: 0 0 * * * + startAt: + type: string + format: date-time + endAt: + type: string + format: date-time + subtractNumber: + type: integer + format: int32 + default: 1 + subtractUnit: + type: string + enum: + - years + - quarters + - months + - weeks + - days + - hours + - minutes + default: days + output: + type: string + enum: + - csv + - pdf + - xlsx + default: csv + type: + type: string + enum: + - custom + - default + default: custom + sendMail: + type: boolean + nullable: true + default: false + email: + type: string + nullable: true + cc: + type: string + nullable: true + bcc: + type: string + nullable: true + sendIfEmpty: + type: boolean + nullable: true + default: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + MailAccountId: + type: integer + format: int32 + nullable: true + DefaultReportId: + type: integer + format: int32 + nullable: true + CustomReportId: + type: integer + format: int32 + nullable: true + required: + - id + - name + - active + - cron + - startAt + - endAt + - subtractNumber + - subtractUnit + - output + - type + - sendMail + - sendIfEmpty + - createdAt + - updatedAt + Sound: + title: Sound + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + save_name: + type: string + nullable: true + display_name: + type: string + nullable: true + default: "" + description: + type: string + nullable: true + original_format: + type: string + nullable: true + original_duration: + type: number + format: float + nullable: true + original_sampleCount: + type: integer + format: int64 + nullable: true + original_channelCount: + type: integer + format: int32 + nullable: true + original_bitRate: + type: integer + format: int64 + nullable: true + original_sampleRate: + type: integer + format: int32 + nullable: true + converted_format: + type: string + nullable: true + converted_duration: + type: number + format: float + nullable: true + converted_sampleCount: + type: integer + format: int64 + nullable: true + converted_channelCount: + type: integer + format: int32 + nullable: true + converted_bitRate: + type: integer + format: int64 + nullable: true + converted_sampleRate: + type: integer + format: int32 + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - name + - display_name + - createdAt + - updatedAt + Tag: + title: Tag + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + color: + type: string + nullable: true + default: "#0091EA" + description: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - name + - color + - createdAt + - updatedAt + Template: + title: Template + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + description: + type: string + nullable: true + html: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - createdAt + - updatedAt + Trigger: + title: Trigger + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + channel: + type: string + nullable: true + description: + type: string + nullable: true + status: + type: boolean + nullable: true + default: false + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - status + - createdAt + - updatedAt + Variable: + title: Variable + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + description: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - name + - createdAt + - updatedAt + Integration: + title: Integration + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + version: + type: string + main: + type: string + nullable: true + default: app.js + filename: + type: string + nullable: true + path: + type: string + nullable: true + type: + type: string + nullable: true + size: + type: integer + format: int32 + nullable: true + active: + type: boolean + nullable: true + default: false + author: + type: string + nullable: true + logo: + type: string + nullable: true + state: + type: string + nullable: true + description: + type: string + nullable: true + title: + type: string + nullable: true + remoteUri: + type: string + nullable: true + link: + type: string + nullable: true + default: "#" + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - name + - version + - main + - active + - link + - createdAt + - updatedAt + IntegrationReport: + title: IntegrationReport + type: object + properties: + id: + type: integer + format: int32 + integration: + type: string + nullable: true + eventChannel: + type: string + nullable: true + exitStatus: + type: string + nullable: true + ticketId: + type: string + nullable: true + integrationId: + type: integer + format: int32 + nullable: true + contacts: + type: string + nullable: true + uniqueid: + type: string + nullable: true + calleridnum: + type: string + nullable: true + calleridname: + type: string + nullable: true + queue: + type: string + nullable: true + interface: + type: string + nullable: true + membername: + type: string + nullable: true + agentcalledAt: + type: string + format: date-time + nullable: true + agentconnectAt: + type: string + format: date-time + nullable: true + holdtime: + type: integer + format: int32 + nullable: true + agentcomplete: + type: boolean + nullable: true + default: false + agentcompleteAt: + type: string + format: date-time + nullable: true + talktime: + type: integer + format: int32 + nullable: true + agentacw: + type: boolean + nullable: true + default: false + acwtime: + type: integer + format: int32 + nullable: true + reason: + type: string + nullable: true + agentringnoanswer: + type: boolean + nullable: true + default: false + agentringnoanswerAt: + type: string + format: date-time + nullable: true + agentdump: + type: boolean + nullable: true + default: false + agentdumpAt: + type: string + format: date-time + nullable: true + lastevent: + type: string + nullable: true + channel: + type: string + nullable: true + channelstate: + type: integer + format: int32 + nullable: true + channelstatedesc: + type: string + nullable: true + connectedlinenum: + type: string + nullable: true + connectedlinename: + type: string + nullable: true + language: + type: string + nullable: true + accountcode: + type: string + nullable: true + context: + type: string + nullable: true + exten: + type: string + nullable: true + priority: + type: string + nullable: true + destchannel: + type: string + nullable: true + destchannelstate: + type: integer + format: int32 + nullable: true + destchannelstatedesc: + type: string + nullable: true + destcalleridnum: + type: string + nullable: true + destcalleridname: + type: string + nullable: true + destconnectedlinenum: + type: string + nullable: true + destconnectedlinename: + type: string + nullable: true + destlanguage: + type: string + nullable: true + destaccountcode: + type: string + nullable: true + destcontext: + type: string + nullable: true + destexten: + type: string + nullable: true + destpriority: + type: string + nullable: true + destuniqueid: + type: string + nullable: true + messageId: + type: string + nullable: true + inReplyTo: + type: string + nullable: true + subject: + type: string + nullable: true + from: + type: string + nullable: true + to: + type: string + nullable: true + cc: + type: string + nullable: true + attachment: + type: string + nullable: true + html: + type: string + nullable: true + text: + type: string + nullable: true + status: + type: string + enum: + - SENT + - SENDING + - RECEIVED + - FAILED + nullable: true + url: + type: string + nullable: true + app: + type: string + nullable: true + appdata: + type: string + nullable: true + projectId: + type: integer + format: int32 + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - agentcomplete + - agentacw + - agentringnoanswer + - agentdump + - createdAt + - updatedAt + AnalyticCustomReport: + title: AnalyticCustomReport + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + description: + type: string + nullable: true + parent: + type: string + nullable: true + table: + type: string + nullable: true + conditions: + type: string + nullable: true + joins: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + deletedAt: + type: string + format: date-time + nullable: true + required: + - id + - name + - createdAt + - updatedAt + AnalyticDefaultReport: + title: AnalyticDefaultReport + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + description: + type: string + nullable: true + parent: + type: string + nullable: true + table: + type: string + nullable: true + conditions: + type: string + nullable: true + joins: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - name + - createdAt + - updatedAt + AnalyticExtractedReport: + title: AnalyticExtractedReport + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + basename: + type: string + output: + type: string + enum: + - csv + - pdf + - xlsx + default: csv + savename: + type: string + startDate: + type: string + format: date-time + nullable: true + endDate: + type: string + format: date-time + nullable: true + status: + type: string + nullable: true + default: Loading + type: + type: string + enum: + - manual + - scheduled + default: manual + reportId: + type: integer + format: int32 + nullable: true + reportType: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - name + - basename + - output + - savename + - status + - type + - createdAt + - updatedAt + AnalyticMetric: + title: AnalyticMetric + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + table: + type: string + nullable: true + metric: + type: string + nullable: true + description: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - name + - createdAt + - updatedAt + AnalyticFieldReport: + title: AnalyticFieldReport + type: object + properties: + id: + type: integer + format: int32 + field: + type: string + nullable: true + alias: + type: string + nullable: true + function: + type: string + nullable: true + format: + type: string + nullable: true + groupBy: + type: boolean + nullable: true + default: false + orderBy: + type: string + nullable: true + custom: + type: boolean + nullable: true + default: false + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + CustomReportId: + type: integer + format: int32 + nullable: true + DefaultReportId: + type: integer + format: int32 + nullable: true + MetricId: + type: integer + format: int32 + nullable: true + required: + - id + - groupBy + - custom + - createdAt + - updatedAt + AnalyticTreeReport: + title: AnalyticTreeReport + type: object + properties: + id: + type: integer + format: int32 + tree: + type: string + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - tree + - createdAt + - updatedAt + SalesforceAccount: + title: SalesforceAccount + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + description: + type: string + nullable: true + username: + type: string + nullable: true + remoteUri: + type: string + nullable: true + password: + type: string + nullable: true + clientId: + type: string + nullable: true + clientSecret: + type: string + nullable: true + securityToken: + type: string + nullable: true + serverUrl: + type: string + nullable: true + type: + type: string + enum: + - integrationTab + - newTab + nullable: true + default: integrationTab + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - type + - createdAt + - updatedAt + SalesforceConfiguration: + title: SalesforceConfiguration + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + description: + type: string + nullable: true + ticketType: + type: string + enum: + - Task + - Case + nullable: true + default: Task + moduleSearch: + type: string + enum: + - contact_lead + - contact + - lead + nullable: true + default: contact_lead + moduleCreate: + type: string + enum: + - nothing + - contact + - lead + nullable: true + default: lead + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + AccountId: + type: integer + format: int32 + nullable: true + required: + - id + - ticketType + - moduleSearch + - moduleCreate + - createdAt + - updatedAt + SalesforceField: + title: SalesforceField + type: object + properties: + id: + type: integer + format: int32 + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + nullable: true + default: string + content: + type: string + nullable: true + key: + type: string + nullable: true + keyType: + type: string + enum: + - string + - variable + - customVariable + nullable: true + keyContent: + type: string + nullable: true + idField: + type: string + nullable: true + variableName: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + SubjectId: + type: integer + format: int32 + nullable: true + DescriptionId: + type: integer + format: int32 + nullable: true + FieldId: + type: integer + format: int32 + nullable: true + VariableId: + type: integer + format: int32 + nullable: true + required: + - id + - type + - createdAt + - updatedAt + SugarcrmAccount: + title: SugarcrmAccount + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + description: + type: string + nullable: true + username: + type: string + nullable: true + password: + type: string + nullable: true + remoteUri: + type: string + nullable: true + serverUrl: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - createdAt + - updatedAt + SugarcrmConfiguration: + title: SugarcrmConfiguration + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + description: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + AccountId: + type: integer + format: int32 + nullable: true + required: + - id + - createdAt + - updatedAt + SugarcrmField: + title: SugarcrmField + type: object + properties: + id: + type: integer + format: int32 + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + nullable: true + default: string + content: + type: string + nullable: true + key: + type: string + nullable: true + keyType: + type: string + enum: + - string + - variable + - customVariable + nullable: true + keyContent: + type: string + nullable: true + idField: + type: string + nullable: true + nameField: + type: string + nullable: true + customField: + type: boolean + nullable: true + default: true + variableName: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + SubjectId: + type: integer + format: int32 + nullable: true + DescriptionId: + type: integer + format: int32 + nullable: true + FieldId: + type: integer + format: int32 + nullable: true + VariableId: + type: integer + format: int32 + nullable: true + required: + - id + - type + - customField + - createdAt + - updatedAt + DeskAccount: + title: DeskAccount + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + description: + type: string + nullable: true + username: + type: string + nullable: true + remoteUri: + type: string + nullable: true + authType: + type: string + enum: + - basic + nullable: true + default: basic + password: + type: string + nullable: true + consumerKey: + type: string + nullable: true + consumerSecret: + type: string + nullable: true + token: + type: string + nullable: true + tokenSecret: + type: string + nullable: true + serverUrl: + type: string + nullable: true + type: + type: string + enum: + - integrationTab + - newTab + nullable: true + default: integrationTab + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - authType + - type + - createdAt + - updatedAt + DeskConfiguration: + title: DeskConfiguration + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + description: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + AccountId: + type: integer + format: int32 + nullable: true + required: + - id + - createdAt + - updatedAt + DeskField: + title: DeskField + type: object + properties: + id: + type: integer + format: int32 + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + nullable: true + default: string + content: + type: string + nullable: true + key: + type: string + nullable: true + keyType: + type: string + enum: + - string + - variable + - customVariable + nullable: true + keyContent: + type: string + nullable: true + idField: + type: string + nullable: true + nameField: + type: string + nullable: true + customField: + type: boolean + nullable: true + default: true + variableName: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + SubjectId: + type: integer + format: int32 + nullable: true + DescriptionId: + type: integer + format: int32 + nullable: true + FieldId: + type: integer + format: int32 + nullable: true + VariableId: + type: integer + format: int32 + nullable: true + required: + - id + - type + - customField + - createdAt + - updatedAt + ZohoAccount: + title: ZohoAccount + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + description: + type: string + nullable: true + host: + type: string + nullable: true + zone: + type: string + nullable: true + clientId: + type: string + nullable: true + clientSecret: + type: string + nullable: true + serverUrl: + type: string + nullable: true + code: + type: string + nullable: true + refreshToken: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - createdAt + - updatedAt + ZohoConfiguration: + title: ZohoConfiguration + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + moduleCreate: + type: string + enum: + - lead + - contact + - nothing + nullable: true + default: lead + moduleSearch: + type: string + enum: + - contact_lead + - contact + - lead + nullable: true + default: contact_lead + description: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + AccountId: + type: integer + format: int32 + nullable: true + required: + - id + - moduleCreate + - moduleSearch + - createdAt + - updatedAt + ZohoField: + title: ZohoField + type: object + properties: + id: + type: integer + format: int32 + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + nullable: true + default: string + content: + type: string + nullable: true + key: + type: string + nullable: true + keyType: + type: string + enum: + - string + - variable + - customVariable + nullable: true + keyContent: + type: string + nullable: true + idField: + type: string + nullable: true + nameField: + type: string + nullable: true + customField: + type: boolean + nullable: true + default: true + variableName: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + SubjectId: + type: integer + format: int32 + nullable: true + DescriptionId: + type: integer + format: int32 + nullable: true + FieldId: + type: integer + format: int32 + nullable: true + VariableId: + type: integer + format: int32 + nullable: true + required: + - id + - type + - customField + - createdAt + - updatedAt + ZendeskAccount: + title: ZendeskAccount + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + description: + type: string + nullable: true + username: + type: string + nullable: true + password: + type: string + nullable: true + token: + type: string + nullable: true + remoteUri: + type: string + nullable: true + authType: + type: string + enum: + - password + - token + nullable: true + default: password + serverUrl: + type: string + nullable: true + type: + type: string + enum: + - integrationTab + - newTab + nullable: true + default: integrationTab + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - authType + - type + - createdAt + - updatedAt + ZendeskConfiguration: + title: ZendeskConfiguration + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + description: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + AccountId: + type: integer + format: int32 + nullable: true + required: + - id + - createdAt + - updatedAt + ZendeskField: + title: ZendeskField + type: object + properties: + id: + type: integer + format: int32 + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + nullable: true + default: string + content: + type: string + nullable: true + key: + type: string + nullable: true + keyType: + type: string + enum: + - string + - variable + - customVariable + nullable: true + keyContent: + type: string + nullable: true + idField: + type: string + nullable: true + nameField: + type: string + nullable: true + customField: + type: boolean + nullable: true + default: true + variableName: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + SubjectId: + type: integer + format: int32 + nullable: true + DescriptionId: + type: integer + format: int32 + nullable: true + FieldId: + type: integer + format: int32 + nullable: true + VariableId: + type: integer + format: int32 + nullable: true + required: + - id + - type + - customField + - createdAt + - updatedAt + FreshdeskAccount: + title: FreshdeskAccount + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + description: + type: string + nullable: true + username: + type: string + nullable: true + apiKey: + type: string + nullable: true + remoteUri: + type: string + nullable: true + serverUrl: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - createdAt + - updatedAt + FreshdeskConfiguration: + title: FreshdeskConfiguration + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + description: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + AccountId: + type: integer + format: int32 + nullable: true + required: + - id + - createdAt + - updatedAt + FreshdeskField: + title: FreshdeskField + type: object + properties: + id: + type: integer + format: int32 + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + nullable: true + default: string + content: + type: string + nullable: true + key: + type: string + nullable: true + keyType: + type: string + enum: + - string + - variable + - customVariable + nullable: true + keyContent: + type: string + nullable: true + idField: + type: string + nullable: true + nameField: + type: string + nullable: true + customField: + type: boolean + nullable: true + default: true + variableName: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + SubjectId: + type: integer + format: int32 + nullable: true + DescriptionId: + type: integer + format: int32 + nullable: true + FieldId: + type: integer + format: int32 + nullable: true + VariableId: + type: integer + format: int32 + nullable: true + required: + - id + - type + - customField + - createdAt + - updatedAt + VtigerAccount: + title: VtigerAccount + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + description: + type: string + nullable: true + username: + type: string + moduleName: + type: string + default: HelpDesk + remoteUri: + type: string + serverUrl: + type: string + nullable: true + accessKey: + type: string + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - name + - username + - moduleName + - remoteUri + - accessKey + - createdAt + - updatedAt + VtigerConfiguration: + title: VtigerConfiguration + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + description: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + AccountId: + type: integer + format: int32 + nullable: true + required: + - id + - createdAt + - updatedAt + VtigerField: + title: VtigerField + type: object + properties: + id: + type: integer + format: int32 + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + nullable: true + default: string + content: + type: string + nullable: true + key: + type: string + nullable: true + keyType: + type: string + enum: + - string + - variable + - customVariable + nullable: true + keyContent: + type: string + nullable: true + idField: + type: string + nullable: true + nameField: + type: string + nullable: true + customField: + type: boolean + nullable: true + default: true + variableName: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + SubjectId: + type: integer + format: int32 + nullable: true + DescriptionId: + type: integer + format: int32 + nullable: true + FieldId: + type: integer + format: int32 + nullable: true + VariableId: + type: integer + format: int32 + nullable: true + required: + - id + - type + - customField + - createdAt + - updatedAt + ServicenowAccount: + title: ServicenowAccount + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + description: + type: string + nullable: true + username: + type: string + nullable: true + password: + type: string + nullable: true + email: + type: string + nullable: true + remoteUri: + type: string + nullable: true + serverUrl: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - createdAt + - updatedAt + ServicenowConfiguration: + title: ServicenowConfiguration + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + description: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + AccountId: + type: integer + format: int32 + nullable: true + required: + - id + - createdAt + - updatedAt + ServicenowField: + title: ServicenowField + type: object + properties: + id: + type: integer + format: int32 + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + nullable: true + default: string + content: + type: string + nullable: true + key: + type: string + nullable: true + keyType: + type: string + enum: + - string + - variable + - customVariable + nullable: true + keyContent: + type: string + nullable: true + idField: + type: string + nullable: true + nameField: + type: string + nullable: true + customField: + type: boolean + nullable: true + default: true + variableName: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + SubjectId: + type: integer + format: int32 + nullable: true + DescriptionId: + type: integer + format: int32 + nullable: true + FieldId: + type: integer + format: int32 + nullable: true + VariableId: + type: integer + format: int32 + nullable: true + required: + - id + - type + - customField + - createdAt + - updatedAt + Dynamics365Account: + title: Dynamics365Account + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + username: + type: string + nullable: true + password: + type: string + nullable: true + remoteUri: + type: string + nullable: true + tenantId: + type: string + nullable: true + clientId: + type: string + nullable: true + clientSecret: + type: string + nullable: true + serverUrl: + type: string + nullable: true + description: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - createdAt + - updatedAt + Dynamics365Configuration: + title: Dynamics365Configuration + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + description: + type: string + nullable: true + ticketType: + type: string + enum: + - incident + - phonecall + nullable: true + default: incident + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + AccountId: + type: integer + format: int32 + nullable: true + required: + - id + - ticketType + - createdAt + - updatedAt + Dynamics365Field: + title: Dynamics365Field + type: object + properties: + id: + type: integer + format: int32 + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + nullable: true + default: string + content: + type: string + nullable: true + key: + type: string + nullable: true + keyType: + type: string + enum: + - string + - variable + - customVariable + nullable: true + keyContent: + type: string + nullable: true + idField: + type: string + nullable: true + nameField: + type: string + nullable: true + customField: + type: boolean + nullable: true + default: true + variableName: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + SubjectId: + type: integer + format: int32 + nullable: true + DescriptionId: + type: integer + format: int32 + nullable: true + FieldId: + type: integer + format: int32 + nullable: true + VariableId: + type: integer + format: int32 + nullable: true + required: + - id + - type + - customField + - createdAt + - updatedAt + FreshsalesAccount: + title: FreshsalesAccount + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + description: + type: string + nullable: true + username: + type: string + nullable: true + apiKey: + type: string + nullable: true + remoteUri: + type: string + nullable: true + serverUrl: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - createdAt + - updatedAt + FreshsalesConfiguration: + title: FreshsalesConfiguration + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + description: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + AccountId: + type: integer + format: int32 + nullable: true + required: + - id + - createdAt + - updatedAt + FreshsalesField: + title: FreshsalesField + type: object + properties: + id: + type: integer + format: int32 + type: + type: string + enum: + - string + - variable + - customVariable + - keyValue + nullable: true + default: string + content: + type: string + nullable: true + key: + type: string + nullable: true + keyType: + type: string + enum: + - string + - variable + - customVariable + nullable: true + keyContent: + type: string + nullable: true + idField: + type: string + nullable: true + nameField: + type: string + nullable: true + customField: + type: boolean + nullable: true + default: true + variableName: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + SubjectId: + type: integer + format: int32 + nullable: true + DescriptionId: + type: integer + format: int32 + nullable: true + FieldId: + type: integer + format: int32 + nullable: true + VariableId: + type: integer + format: int32 + nullable: true + required: + - id + - type + - customField + - createdAt + - updatedAt + Network: + title: Network + type: object + properties: + id: + type: integer + format: int32 + type: + type: string + enum: + - localnet + - externip + - stun + - turn + nullable: true + value: + type: string + nullable: true + username: + type: string + nullable: true + password: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - createdAt + - updatedAt + ChatQueueReport: + title: ChatQueueReport + type: object + properties: + id: + type: integer + format: int32 + uniqueid: + type: string + from: + type: string + nullable: true + joinAt: + type: string + format: date-time + nullable: true + leaveAt: + type: string + format: date-time + nullable: true + acceptAt: + type: string + format: date-time + nullable: true + exitAt: + type: string + format: date-time + nullable: true + reason: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + ChatWebsiteId: + type: integer + format: int32 + nullable: true + ListId: + type: integer + format: int32 + nullable: true + ContactId: + type: integer + format: int32 + nullable: true + ChatInteractionId: + type: integer + format: int32 + nullable: true + ChatMessageId: + type: integer + format: int32 + nullable: true + UserId: + type: integer + format: int32 + nullable: true + ChatQueueId: + type: integer + format: int32 + nullable: true + required: + - id + - uniqueid + - createdAt + - updatedAt + ChatInternalMessage: + title: ChatInternalMessage + type: object + properties: + id: + type: integer + format: int32 + body: + type: string + read: + type: boolean + nullable: true + default: false + ChatInternalMessageId: + type: integer + format: int32 + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + ChatGroupId: + type: integer + format: int32 + nullable: true + FromId: + type: integer + format: int32 + nullable: true + ToId: + type: integer + format: int32 + nullable: true + required: + - id + - body + - read + - createdAt + - updatedAt + JscriptyAnswerReport: + title: JscriptyAnswerReport + type: object + properties: + id: + type: integer + format: int32 + question: + type: string + nullable: true + answer: + type: string + nullable: true + membername: + type: string + nullable: true + projectname: + type: string + nullable: true + queue: + type: string + nullable: true + uniqueid: + type: string + nullable: true + calleridname: + type: string + nullable: true + calleridnum: + type: string + nullable: true + questionId: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + SessionId: + type: integer + format: int32 + nullable: true + ProjectId: + type: integer + format: int32 + nullable: true + required: + - id + - createdAt + - updatedAt + JscriptyQuestionReport: + title: JscriptyQuestionReport + type: object + properties: + id: + type: integer + format: int32 + question: + type: string + nullable: true + answer: + type: string + nullable: true + membername: + type: string + nullable: true + projectname: + type: string + nullable: true + queue: + type: string + nullable: true + uniqueid: + type: string + nullable: true + calleridname: + type: string + nullable: true + calleridnum: + type: string + nullable: true + questionId: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + SessionId: + type: integer + format: int32 + nullable: true + ProjectId: + type: integer + format: int32 + nullable: true + required: + - id + - createdAt + - updatedAt + JscriptySessionReport: + title: JscriptySessionReport + type: object + properties: + id: + type: integer + format: int32 + starttime: + type: string + format: date-time + nullable: true + endtime: + type: string + format: date-time + nullable: true + membername: + type: string + nullable: true + projectname: + type: string + nullable: true + queue: + type: string + nullable: true + uniqueid: + type: string + nullable: true + calleridname: + type: string + nullable: true + calleridnum: + type: string + nullable: true + completed: + type: boolean + nullable: true + default: false + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + VoiceQueueId: + type: integer + format: int32 + nullable: true + ContactId: + type: integer + format: int32 + nullable: true + ProjectId: + type: integer + format: int32 + nullable: true + required: + - id + - completed + - createdAt + - updatedAt + SmsQueueReport: + title: SmsQueueReport + type: object + properties: + id: + type: integer + format: int32 + uniqueid: + type: string + from: + type: string + nullable: true + joinAt: + type: string + format: date-time + nullable: true + leaveAt: + type: string + format: date-time + nullable: true + acceptAt: + type: string + format: date-time + nullable: true + exitAt: + type: string + format: date-time + nullable: true + reason: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + SmsAccountId: + type: integer + format: int32 + nullable: true + ListId: + type: integer + format: int32 + nullable: true + ContactId: + type: integer + format: int32 + nullable: true + SmsInteractionId: + type: integer + format: int32 + nullable: true + SmsMessageId: + type: integer + format: int32 + nullable: true + UserId: + type: integer + format: int32 + nullable: true + SmsQueueId: + type: integer + format: int32 + nullable: true + required: + - id + - uniqueid + - createdAt + - updatedAt + SquareReport: + title: SquareReport + type: object + properties: + id: + type: integer + format: int32 + network: + type: string + nullable: true + network_script: + type: string + nullable: true + request: + type: string + nullable: true + channel: + type: string + nullable: true + language: + type: string + nullable: true + type: + type: string + nullable: true + uniqueid: + type: string + nullable: true + version: + type: string + nullable: true + callerid: + type: string + nullable: true + calleridname: + type: string + nullable: true + callingpres: + type: string + nullable: true + callingani2: + type: string + nullable: true + callington: + type: string + nullable: true + callingtns: + type: string + nullable: true + dnid: + type: string + nullable: true + rdnis: + type: string + nullable: true + context: + type: string + nullable: true + extension: + type: string + nullable: true + priority: + type: string + nullable: true + enhanced: + type: string + nullable: true + accountcode: + type: string + nullable: true + threadid: + type: string + nullable: true + project_name: + type: string + nullable: true + joinAt: + type: string + format: date-time + nullable: true + leaveAt: + type: string + format: date-time + nullable: true + bot: + type: boolean + nullable: true + default: false + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - bot + - createdAt + - updatedAt + SquareDetailsReport: + title: SquareDetailsReport + type: object + properties: + id: + type: integer + format: int32 + uniqueid: + type: string + nullable: true + node: + type: string + nullable: true + application: + type: string + nullable: true + data: + type: string + nullable: true + project_name: + type: string + nullable: true + callerid: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - createdAt + - updatedAt + JscriptyProject: + title: JscriptyProject + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + description: + type: string + nullable: true + formData: + type: string + nullable: true + enableUncompleteSave: + type: boolean + nullable: true + default: true + sendUnpauseOnSubmit: + type: boolean + nullable: true + default: false + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - enableUncompleteSave + - sendUnpauseOnSubmit + - createdAt + - updatedAt + Setting: + title: Setting + type: object + properties: + id: + type: integer + format: int32 + min_internal: + type: integer + format: int32 + nullable: true + default: 1000 + min_mailbox: + type: integer + format: int32 + nullable: true + default: 1000 + agi_port: + type: integer + format: int32 + nullable: true + default: 4573 + soundPath: + type: string + nullable: true + default: /var/opt/motion2/server/files/sounds/converted + logo: + type: string + nullable: true + defaultLogo: + type: boolean + nullable: true + default: true + loginLogo: + type: string + nullable: true + defaultLoginLogo: + type: boolean + nullable: true + default: true + headerWhiteLabel: + type: string + nullable: true + defaultHeaderWhiteLabel: + type: boolean + nullable: true + default: true + securePassword: + type: boolean + nullable: true + default: true + firstSetup: + type: boolean + nullable: true + default: true + stunaddr: + type: string + nullable: true + turnaddr: + type: string + nullable: true + turnusername: + type: string + nullable: true + turnpassword: + type: string + nullable: true + pageTitle: + type: string + nullable: true + defaultPageTitle: + type: boolean + nullable: true + default: true + callRecordingEncryption: + type: boolean + nullable: true + default: false + passwordExpiresDays: + type: integer + format: int32 + nullable: true + default: 90 + phoneBarAutoUpdater: + type: boolean + nullable: true + default: true + phoneBarAutoUpdaterUrl: + type: string + nullable: true + default: https://www.xcally.com/bar/phonebar/autoupdater.xml + enableEmailPreview: + type: boolean + nullable: true + default: false + split: + type: boolean + nullable: true + default: true + splitSizeCsv: + type: integer + format: int32 + nullable: true + default: 5000 + splitSizePdf: + type: integer + format: int32 + nullable: true + default: 5000 + splitSizeXlsx: + type: integer + format: int32 + nullable: true + default: 5000 + messagesAlignment: + type: string + nullable: true + default: alternate + chatTimeout: + type: integer + format: int32 + nullable: true + default: 30 + phoneBarRememberMeEnabled: + type: boolean + nullable: true + default: true + preferred: + type: string + nullable: true + defaultPreferred: + type: boolean + nullable: true + default: true + rtlSupport: + type: boolean + nullable: true + default: false + defaultScreenRecordingPath: + type: string + nullable: true + allowedLoginAttempts: + type: integer + format: int32 + nullable: true + default: 5 + blockDuration: + type: integer + format: int32 + nullable: true + default: 10 + favicon: + type: string + nullable: true + defaultFavicon: + type: boolean + nullable: true + default: true + loginMailFrequency: + type: integer + format: int32 + nullable: true + default: 10 + transcribe: + type: boolean + nullable: true + default: false + automaticTranscribe: + type: boolean + nullable: true + default: false + transcribeAccountId: + type: integer + format: int32 + nullable: true + transcribeRegion: + type: string + nullable: true + sentiment: + type: boolean + nullable: true + default: false + automaticSentiment: + type: boolean + nullable: true + default: false + sentimentAccountId: + type: integer + format: int32 + nullable: true + sentimentRegion: + type: string + nullable: true + language: + type: string + nullable: true + bucket: + type: string + nullable: true + googleSsoEnabled: + type: boolean + nullable: true + default: false + enforcePasswordHistory: + type: boolean + nullable: true + default: true + passwordHistoryLimit: + type: integer + format: int32 + nullable: true + default: 3 + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - min_internal + - min_mailbox + - agi_port + - soundPath + - defaultLogo + - defaultLoginLogo + - defaultHeaderWhiteLabel + - securePassword + - firstSetup + - defaultPageTitle + - callRecordingEncryption + - passwordExpiresDays + - phoneBarAutoUpdater + - phoneBarAutoUpdaterUrl + - enableEmailPreview + - split + - splitSizeCsv + - splitSizePdf + - splitSizeXlsx + - messagesAlignment + - chatTimeout + - phoneBarRememberMeEnabled + - defaultPreferred + - rtlSupport + - allowedLoginAttempts + - blockDuration + - defaultFavicon + - loginMailFrequency + - transcribe + - automaticTranscribe + - sentiment + - automaticSentiment + - googleSsoEnabled + - enforcePasswordHistory + - passwordHistoryLimit + - createdAt + - updatedAt + SquareOdbc: + title: SquareOdbc + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + dsn: + type: string + nullable: true + description: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - name + - createdAt + - updatedAt + SquareProject: + title: SquareProject + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + description: + type: string + nullable: true + notes: + type: string + nullable: true + preproduction: + type: string + format: byte + nullable: true + production: + type: string + format: byte + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - name + - createdAt + - updatedAt + SquareRecording: + title: SquareRecording + type: object + properties: + id: + type: integer + format: int32 + uniqueid: + type: string + nullable: true + callerid: + type: string + nullable: true + calleridname: + type: string + nullable: true + context: + type: string + nullable: true + extension: + type: string + nullable: true + priority: + type: string + nullable: true + accountcode: + type: string + nullable: true + dnid: + type: string + nullable: true + projectName: + type: string + nullable: true + saveName: + type: string + nullable: true + filename: + type: string + nullable: true + savePath: + type: string + nullable: true + format: + anyOf: + - type: object + - type: array + - type: boolean + - type: integer + - type: number + - type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - createdAt + - updatedAt + SquareMessage: + title: SquareMessage + type: object + properties: + id: + type: integer + format: int32 + uniqueid: + type: string + nullable: true + body: + type: string + direction: + type: string + enum: + - in + - out + default: out + providerName: + type: string + nullable: true + providerResponse: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - body + - direction + - createdAt + - updatedAt + Team: + title: Team + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + description: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - name + - createdAt + - updatedAt + License: + title: License + type: object + properties: + id: + type: integer + format: int32 + license: + type: string + nullable: true + data1: + type: string + nullable: true + data2: + type: string + nullable: true + chatLicenseExceeded: + type: integer + format: int32 + nullable: true + default: 0 + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - chatLicenseExceeded + - createdAt + - updatedAt + Campaign: + title: Campaign + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + type: + type: string + enum: + - ivr + default: ivr + description: + type: string + nullable: true + active: + type: boolean + nullable: true + default: 0 + limitCalls: + type: integer + format: int32 + nullable: true + default: 30 + dialOriginateCallerIdName: + type: string + nullable: true + dialOriginateCallerIdNumber: + type: string + nullable: true + dialOriginateTimeout: + type: integer + format: int32 + nullable: true + default: 30 + dialCongestionMaxRetry: + type: integer + format: int32 + nullable: true + default: 3 + dialCongestionRetryFrequency: + type: integer + format: int32 + nullable: true + default: 150 + dialBusyMaxRetry: + type: integer + format: int32 + nullable: true + default: 3 + dialBusyRetryFrequency: + type: integer + format: int32 + nullable: true + default: 150 + dialNoAnswerMaxRetry: + type: integer + format: int32 + nullable: true + default: 3 + dialNoAnswerRetryFrequency: + type: integer + format: int32 + nullable: true + default: 150 + dialGlobalMaxRetry: + type: integer + format: int32 + nullable: true + default: 4 + dialTimezone: + type: string + nullable: true + default: null + dialGlobalInterval: + type: string + nullable: true + default: 07:00-22:00,*,*,* + dialCheckDuplicateType: + type: string + enum: + - always + - never + - onlyIfOpen + nullable: true + default: always + dialAMDActive: + type: boolean + nullable: true + default: 0 + dialAMDInitialSilence: + type: integer + format: int32 + nullable: true + default: 2500 + dialAMDGreeting: + type: integer + format: int32 + nullable: true + default: 1500 + dialAMDAfterGreetingSilence: + type: integer + format: int32 + nullable: true + default: 800 + dialAMDTotalAnalysisTime: + type: integer + format: int32 + nullable: true + default: 5000 + dialAMDMinWordLength: + type: integer + format: int32 + nullable: true + default: 100 + dialAMDBetweenWordsSilence: + type: integer + format: int32 + nullable: true + default: 50 + dialAMDMaximumNumberOfWords: + type: integer + format: int32 + nullable: true + default: 3 + dialAMDSilenceThreshold: + type: integer + format: int32 + nullable: true + default: 256 + dialAMDMaximumWordLength: + type: integer + format: int32 + nullable: true + default: 5000 + dialOrderByScheduledAt: + type: string + enum: + - DESC + - ASC + nullable: true + default: DESC + dialPrefix: + type: string + nullable: true + default: null + dialRandomLastDigitCallerIdNumber: + type: integer + format: int32 + nullable: true + default: 0 + dialCutDigit: + type: integer + format: int32 + nullable: true + default: 0 + dialNoSuchNumberMaxRetry: + type: integer + format: int32 + nullable: true + default: 3 + dialNoSuchNumberRetryFrequency: + type: integer + format: int32 + nullable: true + default: 150 + dialDropMaxRetry: + type: integer + format: int32 + nullable: true + default: 3 + dialDropRetryFrequency: + type: integer + format: int32 + nullable: true + default: 150 + dialAbandonedMaxRetry: + type: integer + format: int32 + nullable: true + default: 3 + dialAbandonedRetryFrequency: + type: integer + format: int32 + nullable: true + default: 150 + dialMachineMaxRetry: + type: integer + format: int32 + nullable: true + default: 3 + dialMachineRetryFrequency: + type: integer + format: int32 + nullable: true + default: 150 + dialAgentRejectMaxRetry: + type: integer + format: int32 + nullable: true + default: 3 + dialAgentRejectRetryFrequency: + type: integer + format: int32 + nullable: true + default: 150 + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + TrunkId: + type: integer + format: int32 + nullable: true + TrunkBackupId: + type: integer + format: int32 + nullable: true + IntervalId: + type: integer + format: int32 + nullable: true + SquareProjectId: + type: integer + format: int32 + nullable: true + required: + - id + - name + - type + - active + - limitCalls + - dialOriginateTimeout + - dialCongestionMaxRetry + - dialCongestionRetryFrequency + - dialBusyMaxRetry + - dialBusyRetryFrequency + - dialNoAnswerMaxRetry + - dialNoAnswerRetryFrequency + - dialGlobalMaxRetry + - dialTimezone + - dialGlobalInterval + - dialCheckDuplicateType + - dialAMDActive + - dialAMDInitialSilence + - dialAMDGreeting + - dialAMDAfterGreetingSilence + - dialAMDTotalAnalysisTime + - dialAMDMinWordLength + - dialAMDBetweenWordsSilence + - dialAMDMaximumNumberOfWords + - dialAMDSilenceThreshold + - dialAMDMaximumWordLength + - dialOrderByScheduledAt + - dialPrefix + - dialRandomLastDigitCallerIdNumber + - dialCutDigit + - dialNoSuchNumberMaxRetry + - dialNoSuchNumberRetryFrequency + - dialDropMaxRetry + - dialDropRetryFrequency + - dialAbandonedMaxRetry + - dialAbandonedRetryFrequency + - dialMachineMaxRetry + - dialMachineRetryFrequency + - dialAgentRejectMaxRetry + - dialAgentRejectRetryFrequency + - createdAt + - updatedAt + Migration: + title: Migration + type: object + properties: + id: + type: integer + format: int32 + version: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - createdAt + - updatedAt + Attachment: + title: Attachment + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + basename: + type: string + nullable: true + type: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + MailMessageId: + type: integer + format: int32 + nullable: true + required: + - id + - createdAt + - updatedAt + Plugin: + title: Plugin + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + type: + type: string + enum: + - html + - script + nullable: true + description: + type: string + nullable: true + version: + type: string + nullable: true + path: + type: string + nullable: true + active: + type: boolean + nullable: true + default: 0 + sidebar: + type: string + enum: + - adminOnly + - agentOnly + - always + - never + nullable: true + default: always + icon: + type: string + nullable: true + adminUrl: + type: string + nullable: true + agentUrl: + type: string + nullable: true + scriptName: + type: string + nullable: true + scriptPath: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - active + - sidebar + - createdAt + - updatedAt + MailTransferReport: + title: MailTransferReport + type: object + properties: + id: + type: integer + format: int32 + uniqueid: + type: string + type: + type: string + enum: + - account + - agent + - queue + default: queue + transferredAt: + type: string + format: date-time + default: {} + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + MailMessageId: + type: integer + format: int32 + nullable: true + MailInteractionId: + type: integer + format: int32 + nullable: true + FromMailAccountId: + type: integer + format: int32 + nullable: true + FromUserId: + type: integer + format: int32 + nullable: true + ToUserId: + type: integer + format: int32 + nullable: true + ToMailQueueId: + type: integer + format: int32 + nullable: true + ToMailAccountId: + type: integer + format: int32 + nullable: true + required: + - id + - uniqueid + - type + - transferredAt + - createdAt + - updatedAt + ChatTransferReport: + title: ChatTransferReport + type: object + properties: + id: + type: integer + format: int32 + uniqueid: + type: string + type: + type: string + enum: + - account + - agent + - queue + default: queue + transferredAt: + type: string + format: date-time + default: {} + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + ChatMessageId: + type: integer + format: int32 + nullable: true + ChatInteractionId: + type: integer + format: int32 + nullable: true + FromChatWebsiteId: + type: integer + format: int32 + nullable: true + FromUserId: + type: integer + format: int32 + nullable: true + ToUserId: + type: integer + format: int32 + nullable: true + ToChatQueueId: + type: integer + format: int32 + nullable: true + ToChatWebsiteId: + type: integer + format: int32 + nullable: true + required: + - id + - uniqueid + - type + - transferredAt + - createdAt + - updatedAt + FaxTransferReport: + title: FaxTransferReport + type: object + properties: + id: + type: integer + format: int32 + uniqueid: + type: string + type: + type: string + enum: + - account + - agent + - queue + default: queue + transferredAt: + type: string + format: date-time + default: {} + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + FaxMessageId: + type: integer + format: int32 + nullable: true + FaxInteractionId: + type: integer + format: int32 + nullable: true + FromFaxAccountId: + type: integer + format: int32 + nullable: true + FromUserId: + type: integer + format: int32 + nullable: true + ToUserId: + type: integer + format: int32 + nullable: true + ToFaxQueueId: + type: integer + format: int32 + nullable: true + ToFaxAccountId: + type: integer + format: int32 + nullable: true + required: + - id + - uniqueid + - type + - transferredAt + - createdAt + - updatedAt + OpenchannelTransferReport: + title: OpenchannelTransferReport + type: object + properties: + id: + type: integer + format: int32 + uniqueid: + type: string + type: + type: string + enum: + - account + - agent + - queue + default: queue + transferredAt: + type: string + format: date-time + default: {} + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + OpenchannelMessageId: + type: integer + format: int32 + nullable: true + OpenchannelInteractionId: + type: integer + format: int32 + nullable: true + FromOpenchannelAccountId: + type: integer + format: int32 + nullable: true + FromUserId: + type: integer + format: int32 + nullable: true + ToUserId: + type: integer + format: int32 + nullable: true + ToOpenchannelQueueId: + type: integer + format: int32 + nullable: true + ToOpenchannelAccountId: + type: integer + format: int32 + nullable: true + required: + - id + - uniqueid + - type + - transferredAt + - createdAt + - updatedAt + SmsTransferReport: + title: SmsTransferReport + type: object + properties: + id: + type: integer + format: int32 + uniqueid: + type: string + type: + type: string + enum: + - account + - agent + - queue + default: queue + transferredAt: + type: string + format: date-time + default: {} + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + SmsMessageId: + type: integer + format: int32 + nullable: true + SmsInteractionId: + type: integer + format: int32 + nullable: true + FromSmsAccountId: + type: integer + format: int32 + nullable: true + FromUserId: + type: integer + format: int32 + nullable: true + ToUserId: + type: integer + format: int32 + nullable: true + ToSmsQueueId: + type: integer + format: int32 + nullable: true + ToSmsAccountId: + type: integer + format: int32 + nullable: true + required: + - id + - uniqueid + - type + - transferredAt + - createdAt + - updatedAt + CmList: + title: CmList + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + description: + type: string + nullable: true + dialPrefix: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - name + - createdAt + - updatedAt + VoicePrefix: + title: VoicePrefix + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + prefix: + anyOf: + - type: object + - type: array + - type: boolean + - type: integer + - type: number + - type: string + nullable: true + description: + type: string + nullable: true + callerIdAll: + type: string + nullable: true + VoiceExtensionId: + type: integer + format: int32 + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - name + - createdAt + - updatedAt + UserProfile: + title: UserProfile + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + crudPermissions: + type: string + default: r + description: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - name + - crudPermissions + - createdAt + - updatedAt + UserProfileSection: + title: UserProfileSection + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + category: + type: string + sectionId: + type: integer + format: int32 + enabled: + type: boolean + nullable: true + default: null + autoAssociation: + type: boolean + nullable: true + default: null + crudPermissions: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + userProfileId: + type: integer + format: int32 + nullable: true + required: + - id + - name + - category + - sectionId + - enabled + - autoAssociation + - createdAt + - updatedAt + UserProfileResource: + title: UserProfileResource + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + resourceId: + type: integer + format: int32 + type: + type: string + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + sectionId: + type: integer + format: int32 + nullable: true + required: + - id + - name + - resourceId + - type + - createdAt + - updatedAt + Notification: + title: Notification + type: object + properties: + id: + type: integer + format: int32 + body: + type: string + nullable: true + senderName: + type: string + nullable: true + recipientsId: + type: string + nullable: true + recipientsDescription: + type: string + nullable: true + beep: + type: boolean + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + SenderId: + type: integer + format: int32 + nullable: true + required: + - id + - createdAt + - updatedAt + WhatsappAccount: + title: WhatsappAccount + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + key: + type: string + remote: + type: string + token: + type: string + nullable: true + phone: + type: string + nullable: true + type: + type: string + enum: + - twilio + nullable: true + accountSid: + type: string + nullable: true + authToken: + type: string + nullable: true + notificationTemplate: + type: string + nullable: true + notificationSound: + type: boolean + nullable: true + default: false + notificationShake: + type: boolean + nullable: true + default: false + waitForTheAssignedAgent: + type: integer + format: int32 + nullable: true + default: 10 + queueTransfer: + type: boolean + nullable: true + default: false + queueTransferTimeout: + type: integer + format: int32 + nullable: true + default: 300 + agentTransfer: + type: boolean + nullable: true + default: false + agentTransferTimeout: + type: integer + format: int32 + nullable: true + default: 300 + mandatoryDispositionPauseId: + type: integer + format: int32 + nullable: true + mandatoryDisposition: + type: boolean + nullable: true + default: false + description: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + ListId: + type: integer + format: int32 + nullable: true + required: + - id + - name + - key + - remote + - token + - notificationTemplate + - notificationSound + - notificationShake + - waitForTheAssignedAgent + - queueTransfer + - queueTransferTimeout + - agentTransfer + - agentTransferTimeout + - mandatoryDisposition + - createdAt + - updatedAt + WhatsappApplication: + title: WhatsappApplication + type: object + properties: + id: + type: integer + format: int32 + priority: + type: integer + format: int32 + app: + type: string + default: noop + appdata: + type: string + nullable: true + description: + type: string + nullable: true + interval: + type: string + nullable: true + default: "*,*,*,*" + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + WhatsappAccountId: + type: integer + format: int32 + nullable: true + UserId: + type: integer + format: int32 + nullable: true + WhatsappQueueId: + type: integer + format: int32 + nullable: true + IntervalId: + type: integer + format: int32 + nullable: true + TagId: + type: integer + format: int32 + nullable: true + required: + - id + - priority + - app + - interval + - createdAt + - updatedAt + WhatsappInteraction: + title: WhatsappInteraction + type: object + properties: + id: + type: integer + format: int32 + closed: + type: boolean + nullable: true + default: false + closedAt: + type: string + format: date-time + nullable: true + disposition: + type: string + nullable: true + secondDisposition: + type: string + nullable: true + thirdDisposition: + type: string + nullable: true + note: + type: string + nullable: true + phone: + type: string + nullable: true + read1stAt: + type: string + format: date-time + nullable: true + firstMsgDirection: + type: string + enum: + - in + - out + default: in + lastMsgAt: + type: string + format: date-time + nullable: true + lastMsgDirection: + type: string + enum: + - in + - out + default: in + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + UserId: + type: integer + format: int32 + nullable: true + WhatsappAccountId: + type: integer + format: int32 + nullable: true + ContactId: + type: integer + format: int32 + nullable: true + required: + - id + - closed + - firstMsgDirection + - lastMsgDirection + - createdAt + - updatedAt + WhatsappMessage: + title: WhatsappMessage + type: object + properties: + id: + type: integer + format: int32 + body: + type: string + read: + type: boolean + nullable: true + default: false + direction: + type: string + enum: + - in + - out + default: out + messageId: + type: string + maxLength: 190 + nullable: true + phone: + type: string + nullable: true + readAt: + type: string + format: date-time + nullable: true + secret: + type: boolean + nullable: true + default: false + providerName: + type: string + nullable: true + providerResponse: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + WhatsappAccountId: + type: integer + format: int32 + nullable: true + WhatsappInteractionId: + type: integer + format: int32 + nullable: true + UserId: + type: integer + format: int32 + nullable: true + ContactId: + type: integer + format: int32 + nullable: true + required: + - id + - body + - read + - direction + - secret + - createdAt + - updatedAt + WhatsappQueueReport: + title: WhatsappQueueReport + type: object + properties: + id: + type: integer + format: int32 + uniqueid: + type: string + from: + type: string + nullable: true + joinAt: + type: string + format: date-time + nullable: true + leaveAt: + type: string + format: date-time + nullable: true + acceptAt: + type: string + format: date-time + nullable: true + exitAt: + type: string + format: date-time + nullable: true + reason: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + WhatsappAccountId: + type: integer + format: int32 + nullable: true + ListId: + type: integer + format: int32 + nullable: true + ContactId: + type: integer + format: int32 + nullable: true + WhatsappInteractionId: + type: integer + format: int32 + nullable: true + WhatsappQueueId: + type: integer + format: int32 + nullable: true + WhatsappMessageId: + type: integer + format: int32 + nullable: true + UserId: + type: integer + format: int32 + nullable: true + required: + - id + - uniqueid + - createdAt + - updatedAt + WhatsappTransferReport: + title: WhatsappTransferReport + type: object + properties: + id: + type: integer + format: int32 + uniqueid: + type: string + type: + type: string + enum: + - account + - agent + - queue + transferredAt: + type: string + format: date-time + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + WhatsappMessageId: + type: integer + format: int32 + nullable: true + WhatsappInteractionId: + type: integer + format: int32 + nullable: true + FromWhatsappAccountId: + type: integer + format: int32 + nullable: true + ToWhatsappAccountId: + type: integer + format: int32 + nullable: true + ToQueueId: + type: integer + format: int32 + nullable: true + FromUserId: + type: integer + format: int32 + nullable: true + ToUserId: + type: integer + format: int32 + nullable: true + required: + - id + - uniqueid + - type + - createdAt + - updatedAt + WhatsappQueue: + title: WhatsappQueue + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + nullable: true + timeout: + type: integer + format: int32 + nullable: true + strategy: + type: string + enum: + - rrmemory + - beepall + - roundrobin + nullable: true + description: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - createdAt + - updatedAt + CloudProvider: + title: CloudProvider + type: object + properties: + id: + type: integer + format: int32 + name: + type: string + service: + type: string + enum: + - AmazonAWS + - Google + data1: + type: string + nullable: true + data2: + type: string + nullable: true + createdAt: + type: string + format: date-time + updatedAt: + type: string + format: date-time + required: + - id + - name + - service + - createdAt + - updatedAt +tags: [] diff --git a/public/service-worker.js b/public/service-worker.js index ca39dae..a3d55fd 100644 --- a/public/service-worker.js +++ b/public/service-worker.js @@ -1,2 +1,2 @@ -(()=>{"use strict";var e={913:()=>{try{self["workbox:core:6.0.0-alpha.3"]&&_()}catch(e){}},977:()=>{try{self["workbox:precaching:6.0.0-alpha.3"]&&_()}catch(e){}},80:()=>{try{self["workbox:routing:6.0.0-alpha.3"]&&_()}catch(e){}},873:()=>{try{self["workbox:strategies:6.0.0-alpha.3"]&&_()}catch(e){}}},t={};function s(n){if(t[n])return t[n].exports;var a=t[n]={exports:{}};return e[n](a,a.exports,s),a.exports}(()=>{s(913);const e=(e,...t)=>{let s=e;return t.length>0&&(s+=" :: "+JSON.stringify(t)),s};class t extends Error{constructor(t,s){super(e(t,s)),this.name=t,this.details=s}}const n=new Set;const a={googleAnalytics:"googleAnalytics",precache:"precache-v2",prefix:"workbox",runtime:"runtime",suffix:"undefined"!=typeof registration?registration.scope:""},r=e=>[a.prefix,e,a.suffix].filter((e=>e&&e.length>0)).join("-"),i=e=>e||r(a.precache),o=e=>e||r(a.runtime);function c(e,t){const s=new URL(e);for(const e of t)s.searchParams.delete(e);return s.href}let h;class l{constructor(e,t,{onupgradeneeded:s,onversionchange:n}={}){this._db=null,this._name=e,this._version=t,this._onupgradeneeded=s,this._onversionchange=n||(()=>this.close())}get db(){return this._db}async open(){if(!this._db)return this._db=await new Promise(((e,t)=>{let s=!1;setTimeout((()=>{s=!0,t(new Error("The open request was blocked and timed out"))}),this.OPEN_TIMEOUT);const n=indexedDB.open(this._name,this._version);n.onerror=()=>t(n.error),n.onupgradeneeded=e=>{s?(n.transaction.abort(),n.result.close()):"function"==typeof this._onupgradeneeded&&this._onupgradeneeded(e)},n.onsuccess=()=>{const t=n.result;s?t.close():(t.onversionchange=this._onversionchange.bind(this),e(t))}})),this}async getKey(e,t){return(await this.getAllKeys(e,t,1))[0]}async getAll(e,t,s){return await this.getAllMatching(e,{query:t,count:s})}async getAllKeys(e,t,s){return(await this.getAllMatching(e,{query:t,count:s,includeKeys:!0})).map((e=>e.key))}async getAllMatching(e,{index:t,query:s=null,direction:n="next",count:a,includeKeys:r=!1}={}){return await this.transaction([e],"readonly",((i,o)=>{const c=i.objectStore(e),h=t?c.index(t):c,l=[],u=h.openCursor(s,n);u.onsuccess=()=>{const e=u.result;e?(l.push(r?e:e.value),a&&l.length>=a?o(l):e.continue()):o(l)}}))}async transaction(e,t,s){return await this.open(),await new Promise(((n,a)=>{const r=this._db.transaction(e,t);r.onabort=()=>a(r.error),r.oncomplete=()=>n(),s(r,(e=>n(e)))}))}async _call(e,t,s,...n){return await this.transaction([t],s,((s,a)=>{const r=s.objectStore(t),i=r[e].apply(r,n);i.onsuccess=()=>a(i.result)}))}close(){this._db&&(this._db.close(),this._db=null)}}l.prototype.OPEN_TIMEOUT=2e3;const u={readonly:["get","count","getKey","getAll","getAllKeys"],readwrite:["add","put","clear","delete"]};for(const[e,t]of Object.entries(u))for(const s of t)s in IDBObjectStore.prototype&&(l.prototype[s]=async function(t,...n){return await this._call(s,t,e,...n)});class d{constructor(){this.promise=new Promise(((e,t)=>{this.resolve=e,this.reject=t}))}}function f(e,t){const s=t();return e.waitUntil(s),s}async function p(e,s){let n=null;if(e.url){n=new URL(e.url).origin}if(n!==self.location.origin)throw new t("cross-origin-copy-response",{origin:n});const a=e.clone(),r={headers:new Headers(a.headers),status:a.status,statusText:a.statusText},i=s?s(r):r,o=function(){if(void 0===h){const e=new Response("");if("body"in e)try{new Response(e.body),h=!0}catch(e){h=!1}h=!1}return h}()?a.body:await a.blob();return new Response(o,i)}s(977);function y(e){if(!e)throw new t("add-to-cache-list-unexpected-type",{entry:e});if("string"==typeof e){const t=new URL(e,location.href);return{cacheKey:t.href,url:t.href}}const{revision:s,url:n}=e;if(!n)throw new t("add-to-cache-list-unexpected-type",{entry:e});if(!s){const e=new URL(n,location.href);return{cacheKey:e.href,url:e.href}}const a=new URL(n,location.href),r=new URL(n,location.href);return a.searchParams.set("__WB_REVISION__",s),{cacheKey:a.href,url:r.href}}class w{constructor(){this.updatedURLs=[],this.notUpdatedURLs=[],this.handlerWillStart=async({request:e,state:t})=>{t&&(t.originalRequest=e)},this.cachedResponseWillBeUsed=async({event:e,state:t,cachedResponse:s})=>{if("install"===e.type){const e=t.originalRequest.url;s?this.notUpdatedURLs.push(e):this.updatedURLs.push(e)}return s}}}class g{constructor({precacheController:e}){this.cacheKeyWillBeUsed=async({request:e,params:t})=>{const s=t&&t.cacheKey||this._precacheController.getCacheKeyForURL(e.url);return s?new Request(s):e},this._precacheController=e}}s(873);function _(e){return"string"==typeof e?new Request(e):e}class m{constructor(e,t){this._cacheKeys={},Object.assign(this,t),this.event=t.event,this._strategy=e,this._handlerDeferred=new d,this._extendLifetimePromises=[],this._plugins=[...e.plugins],this._pluginStateMap=new Map;for(const e of this._plugins)this._pluginStateMap.set(e,{});this.event.waitUntil(this._handlerDeferred.promise)}fetch(e){return this.waitUntil((async()=>{const{event:s}=this;let n=_(e);if("navigate"===n.mode&&s instanceof FetchEvent&&s.preloadResponse){const e=await s.preloadResponse;if(e)return e}const a=this.hasCallback("fetchDidFail")?n.clone():null;try{for(const e of this.iterateCallbacks("requestWillFetch"))n=await e({request:n.clone(),event:s})}catch(e){throw new t("plugin-error-request-will-fetch",{thrownError:e})}const r=n.clone();try{let e;e=await fetch(n,"navigate"===n.mode?void 0:this._strategy.fetchOptions);for(const t of this.iterateCallbacks("fetchDidSucceed"))e=await t({event:s,request:r,response:e});return e}catch(e){throw a&&await this.runCallbacks("fetchDidFail",{error:e,event:s,originalRequest:a.clone(),request:r.clone()}),e}})())}async fetchAndCachePut(e){const t=await this.fetch(e),s=t.clone();return this.waitUntil(this.cachePut(e,s)),t}cacheMatch(e){return this.waitUntil((async()=>{const t=_(e);let s;const{cacheName:n,matchOptions:a}=this._strategy,r=await this.getCacheKey(t,"read"),i={...a,cacheName:n};s=await caches.match(r,i);for(const e of this.iterateCallbacks("cachedResponseWillBeUsed"))s=await e({cacheName:n,matchOptions:a,cachedResponse:s,request:r,event:this.event})||void 0;return s})())}async cachePut(e,s){const a=_(e);var r;await(r=0,new Promise((e=>setTimeout(e,r))));const i=await this.getCacheKey(a,"write");if(!s)throw new t("cache-put-with-no-response",{url:(o=i.url,new URL(String(o),location.href).href.replace(new RegExp("^"+location.origin),""))});var o;const h=await this._ensureResponseSafeToCache(s);if(!h)return void 0;const{cacheName:l,matchOptions:u}=this._strategy,d=await self.caches.open(l),f=this.hasCallback("cacheDidUpdate"),p=f?await async function(e,t,s,n){const a=c(t.url,s);if(t.url===a)return e.match(t,n);const r={...n,ignoreSearch:!0},i=await e.keys(t,r);for(const t of i)if(a===c(t.url,s))return e.match(t,n)}(d,i.clone(),["__WB_REVISION__"],u):null;try{await d.put(i,f?h.clone():h)}catch(e){throw"QuotaExceededError"===e.name&&await async function(){for(const e of n)await e()}(),e}for(const e of this.iterateCallbacks("cacheDidUpdate"))await e({cacheName:l,oldResponse:p,newResponse:h.clone(),request:i,event:this.event})}async getCacheKey(e,t){if(!this._cacheKeys[t]){let s=e;for(const e of this.iterateCallbacks("cacheKeyWillBeUsed"))s=_(await e({mode:t,request:s,event:this.event,params:this.params}));this._cacheKeys[t]=s}return this._cacheKeys[t]}hasCallback(e){for(const t of this._strategy.plugins)if(e in t)return!0;return!1}async runCallbacks(e,t){for(const s of this.iterateCallbacks(e))await s(t)}*iterateCallbacks(e){for(const t of this._strategy.plugins)if("function"==typeof t[e]){const s=this._pluginStateMap.get(t),n=n=>{const a={...n,state:s};return t[e](a)};yield n}}waitUntil(e){return this._extendLifetimePromises.push(e),e}async doneWaiting(){let e;for(;e=this._extendLifetimePromises.shift();)await e}destroy(){this._handlerDeferred.resolve()}async _ensureResponseSafeToCache(e){let t=e,s=!1;for(const e of this.iterateCallbacks("cacheWillUpdate"))if(t=await e({request:this.request,response:t,event:this.event})||void 0,s=!0,!t)break;return s||t&&200!==t.status&&(t=void 0),t}}const v={cacheWillUpdate:async({response:e})=>e.redirected?await p(e):e};class R extends class{constructor(e={}){this.cacheName=o(e.cacheName),this.plugins=e.plugins||[],this.fetchOptions=e.fetchOptions,this.matchOptions=e.matchOptions}handle(e){const[t]=this.handleAll(e);return t}handleAll(e){e instanceof FetchEvent&&(e={event:e,request:e.request});const t=e.event,s="string"==typeof e.request?new Request(e.request):e.request,n="params"in e?e.params:void 0,a=new m(this,{event:t,request:s,params:n}),r=this._getResponse(a,s,t);return[r,this._awaitComplete(r,a,s,t)]}async _getResponse(e,s,n){await e.runCallbacks("handlerWillStart",{event:n,request:s});let a=void 0;try{if(a=await this._handle(s,e),!a||"error"===a.type)throw new t("no-response",{url:s.url})}catch(t){for(const r of e.iterateCallbacks("handlerDidError"))if(a=await r({error:t,event:n,request:s}),a)break;if(!a)throw t}for(const t of e.iterateCallbacks("handlerWillRespond"))a=await t({event:n,request:s,response:a});return a}async _awaitComplete(e,t,s,n){let a,r;try{a=await e}catch(r){}try{await t.runCallbacks("handlerDidRespond",{event:n,request:s,response:a}),await t.doneWaiting()}catch(e){r=e}if(await t.runCallbacks("handlerDidComplete",{event:n,request:s,response:a,error:r}),t.destroy(),r)throw r}}{constructor(e={}){e.cacheName=i(e.cacheName),super(e),this._fallbackToNetwork=!1!==e.fallbackToNetwork,this.plugins.push(v)}async _handle(e,t){const s=await t.cacheMatch(e);return s||(t.event&&"install"===t.event.type?await this._handleInstall(e,t):await this._handleFetch(e,t))}async _handleFetch(e,s){let n;if(!this._fallbackToNetwork)throw new t("missing-precache-entry",{cacheName:this.cacheName,url:e.url});return n=await s.fetch(e),n}async _handleInstall(e,s){const n=await s.fetchAndCachePut(e);let a=Boolean(n);if(n&&n.status>=400&&!this._usesCustomCacheableResponseLogic()&&(a=!1),!a)throw new t("bad-precaching-response",{url:e.url,status:n.status});return n}_usesCustomCacheableResponseLogic(){return this.plugins.some((e=>e.cacheWillUpdate&&e!==v))}}class b{constructor({cacheName:e,plugins:t=[],fallbackToNetwork:s=!0}={}){this._urlsToCacheKeys=new Map,this._urlsToCacheModes=new Map,this._cacheKeysToIntegrities=new Map,this._strategy=new R({cacheName:i(e),plugins:[...t,new g({precacheController:this})],fallbackToNetwork:s}),this.install=this.install.bind(this),this.activate=this.activate.bind(this)}get strategy(){return this._strategy}precache(e){this.addToCacheList(e),this._installAndActiveListenersAdded||(self.addEventListener("install",this.install),self.addEventListener("activate",this.activate),this._installAndActiveListenersAdded=!0)}addToCacheList(e){const s=[];for(const n of e){"string"==typeof n?s.push(n):n&&void 0===n.revision&&s.push(n.url);const{cacheKey:e,url:a}=y(n),r="string"!=typeof n&&n.revision?"reload":"default";if(this._urlsToCacheKeys.has(a)&&this._urlsToCacheKeys.get(a)!==e)throw new t("add-to-cache-list-conflicting-entries",{firstEntry:this._urlsToCacheKeys.get(a),secondEntry:e});if("string"!=typeof n&&n.integrity){if(this._cacheKeysToIntegrities.has(e)&&this._cacheKeysToIntegrities.get(e)!==n.integrity)throw new t("add-to-cache-list-conflicting-integrities",{url:a});this._cacheKeysToIntegrities.set(e,n.integrity)}if(this._urlsToCacheKeys.set(a,e),this._urlsToCacheModes.set(a,r),s.length>0){const e=`Workbox is precaching URLs without revision info: ${s.join(", ")}\nThis is generally NOT safe. Learn more at https://bit.ly/wb-precache`;console.warn(e)}}}install(e){return f(e,(async()=>{const t=new w;this.strategy.plugins.push(t);for(const[t,s]of this._urlsToCacheKeys){const n=this._cacheKeysToIntegrities.get(s),a=this._urlsToCacheModes.get(t),r=new Request(t,{integrity:n,cache:a,credentials:"same-origin"});await Promise.all(this.strategy.handleAll({params:{cacheKey:s},request:r,event:e}))}const{updatedURLs:s,notUpdatedURLs:n}=t;return{updatedURLs:s,notUpdatedURLs:n}}))}activate(e){return f(e,(async()=>{const e=await self.caches.open(this.strategy.cacheName),t=await e.keys(),s=new Set(this._urlsToCacheKeys.values()),n=[];for(const a of t)s.has(a.url)||(await e.delete(a),n.push(a.url));return{deletedURLs:n}}))}getURLsToCacheKeys(){return this._urlsToCacheKeys}getCachedURLs(){return[...this._urlsToCacheKeys.keys()]}getCacheKeyForURL(e){const t=new URL(e,location.href);return this._urlsToCacheKeys.get(t.href)}async matchPrecache(e){const t=e instanceof Request?e.url:e,s=this.getCacheKeyForURL(t);if(s){return(await self.caches.open(this.strategy.cacheName)).match(s)}}createHandlerBoundToURL(e){const s=this.getCacheKeyForURL(e);if(!s)throw new t("non-precached-url",{url:e});return t=>(t.request=new Request(e),t.params={cacheKey:s,...t.params},this.strategy.handle(t))}}let C;const U=()=>(C||(C=new b),C);s(80);const L=e=>e&&"object"==typeof e?e:{handle:e};class q{constructor(e,t,s="GET"){this.handler=L(t),this.match=e,this.method=s}}class k extends q{constructor(e,t,s){super((({url:t})=>{const s=e.exec(t.href);if(s&&(t.origin===location.origin||0===s.index))return s.slice(1)}),t,s)}}class K{constructor(){this._routes=new Map,this._defaultHandlerMap=new Map}get routes(){return this._routes}addFetchListener(){self.addEventListener("fetch",(e=>{const{request:t}=e,s=this.handleRequest({request:t,event:e});s&&e.respondWith(s)}))}addCacheListener(){self.addEventListener("message",(e=>{if(e.data&&"CACHE_URLS"===e.data.type){const{payload:t}=e.data;0;const s=Promise.all(t.urlsToCache.map((t=>{"string"==typeof t&&(t=[t]);const s=new Request(...t);return this.handleRequest({request:s,event:e})})));e.waitUntil(s),e.ports&&e.ports[0]&&s.then((()=>e.ports[0].postMessage(!0)))}}))}handleRequest({request:e,event:t}){const s=new URL(e.url,location.href);if(!s.protocol.startsWith("http"))return void 0;const n=s.origin===location.origin,{params:a,route:r}=this.findMatchingRoute({event:t,request:e,sameOrigin:n,url:s});let i=r&&r.handler;const o=e.method;if(!i&&this._defaultHandlerMap.has(o)&&(i=this._defaultHandlerMap.get(o)),!i)return void 0;let c;try{c=i.handle({url:s,request:e,event:t,params:a})}catch(e){c=Promise.reject(e)}return c instanceof Promise&&this._catchHandler&&(c=c.catch((n=>this._catchHandler.handle({url:s,request:e,event:t})))),c}findMatchingRoute({url:e,sameOrigin:t,request:s,event:n}){const a=this._routes.get(s.method)||[];for(const r of a){let a;const i=r.match({url:e,sameOrigin:t,request:s,event:n});if(i)return a=i,(Array.isArray(i)&&0===i.length||i.constructor===Object&&0===Object.keys(i).length||"boolean"==typeof i)&&(a=void 0),{route:r,params:a}}return{}}setDefaultHandler(e,t="GET"){this._defaultHandlerMap.set(t,L(e))}setCatchHandler(e){this._catchHandler=L(e)}registerRoute(e){this._routes.has(e.method)||this._routes.set(e.method,[]),this._routes.get(e.method).push(e)}unregisterRoute(e){if(!this._routes.has(e.method))throw new t("unregister-route-but-not-found-with-method",{method:e.method});const s=this._routes.get(e.method).indexOf(e);if(!(s>-1))throw new t("unregister-route-route-not-registered");this._routes.get(e.method).splice(s,1)}}let T;class x extends q{constructor(e,t){super((({request:s})=>{const n=e.getURLsToCacheKeys();for(const e of function*(e,{ignoreURLParametersMatching:t=[/^utm_/,/^fbclid$/],directoryIndex:s="index.html",cleanURLs:n=!0,urlManipulation:a}={}){const r=new URL(e,location.href);r.hash="",yield r.href;const i=function(e,t=[]){for(const s of[...e.searchParams.keys()])t.some((e=>e.test(s)))&&e.searchParams.delete(s);return e}(r,t);if(yield i.href,s&&i.pathname.endsWith("/")){const e=new URL(i.href);e.pathname+=s,yield e.href}if(n){const e=new URL(i.href);e.pathname+=".html",yield e.href}if(a){const e=a({url:r});for(const t of e)yield t.href}}(s.url,t)){const t=n.get(e);if(t)return{cacheKey:t}}}),e.strategy)}}function M(e){const s=U();!function(e,s,n){let a;if("string"==typeof e){const t=new URL(e,location.href);a=new q((({url:e})=>e.href===t.href),s,n)}else if(e instanceof RegExp)a=new k(e,s,n);else if("function"==typeof e)a=new q(e,s,n);else{if(!(e instanceof q))throw new t("unsupported-route-type",{moduleName:"workbox-routing",funcName:"registerRoute",paramName:"capture"});a=e}(T||(T=new K,T.addFetchListener(),T.addCacheListener()),T).registerRoute(a)}(new x(s,e))}const N=self;var E,A;N.skipWaiting(),self.addEventListener("activate",(()=>self.clients.claim())),N.addEventListener("notificationclick",(function(e){const t=e.notification,s=e.action;switch(s){case"accept":case"reject":t.close(),e.waitUntil(N.clients.matchAll({includeUncontrolled:!0,type:"window"}).then((function(e){for(let n=0;n{"use strict";var e={913:()=>{try{self["workbox:core:6.0.2"]&&_()}catch(e){}},977:()=>{try{self["workbox:precaching:6.0.2"]&&_()}catch(e){}},80:()=>{try{self["workbox:routing:6.0.2"]&&_()}catch(e){}},873:()=>{try{self["workbox:strategies:6.0.2"]&&_()}catch(e){}}},t={};function s(n){if(t[n])return t[n].exports;var a=t[n]={exports:{}};return e[n](a,a.exports,s),a.exports}(()=>{s(913);const e=(e,...t)=>{let s=e;return t.length>0&&(s+=" :: "+JSON.stringify(t)),s};class t extends Error{constructor(t,s){super(e(t,s)),this.name=t,this.details=s}}const n=new Set;const a={googleAnalytics:"googleAnalytics",precache:"precache-v2",prefix:"workbox",runtime:"runtime",suffix:"undefined"!=typeof registration?registration.scope:""},r=e=>[a.prefix,e,a.suffix].filter((e=>e&&e.length>0)).join("-"),i=e=>e||r(a.precache),o=e=>e||r(a.runtime);function c(e,t){const s=new URL(e);for(const e of t)s.searchParams.delete(e);return s.href}let h;class l{constructor(e,t,{onupgradeneeded:s,onversionchange:n}={}){this._db=null,this._name=e,this._version=t,this._onupgradeneeded=s,this._onversionchange=n||(()=>this.close())}get db(){return this._db}async open(){if(!this._db)return this._db=await new Promise(((e,t)=>{let s=!1;setTimeout((()=>{s=!0,t(new Error("The open request was blocked and timed out"))}),this.OPEN_TIMEOUT);const n=indexedDB.open(this._name,this._version);n.onerror=()=>t(n.error),n.onupgradeneeded=e=>{s?(n.transaction.abort(),n.result.close()):"function"==typeof this._onupgradeneeded&&this._onupgradeneeded(e)},n.onsuccess=()=>{const t=n.result;s?t.close():(t.onversionchange=this._onversionchange.bind(this),e(t))}})),this}async getKey(e,t){return(await this.getAllKeys(e,t,1))[0]}async getAll(e,t,s){return await this.getAllMatching(e,{query:t,count:s})}async getAllKeys(e,t,s){return(await this.getAllMatching(e,{query:t,count:s,includeKeys:!0})).map((e=>e.key))}async getAllMatching(e,{index:t,query:s=null,direction:n="next",count:a,includeKeys:r=!1}={}){return await this.transaction([e],"readonly",((i,o)=>{const c=i.objectStore(e),h=t?c.index(t):c,l=[],u=h.openCursor(s,n);u.onsuccess=()=>{const e=u.result;e?(l.push(r?e:e.value),a&&l.length>=a?o(l):e.continue()):o(l)}}))}async transaction(e,t,s){return await this.open(),await new Promise(((n,a)=>{const r=this._db.transaction(e,t);r.onabort=()=>a(r.error),r.oncomplete=()=>n(),s(r,(e=>n(e)))}))}async _call(e,t,s,...n){return await this.transaction([t],s,((s,a)=>{const r=s.objectStore(t),i=r[e].apply(r,n);i.onsuccess=()=>a(i.result)}))}close(){this._db&&(this._db.close(),this._db=null)}}l.prototype.OPEN_TIMEOUT=2e3;const u={readonly:["get","count","getKey","getAll","getAllKeys"],readwrite:["add","put","clear","delete"]};for(const[e,t]of Object.entries(u))for(const s of t)s in IDBObjectStore.prototype&&(l.prototype[s]=async function(t,...n){return await this._call(s,t,e,...n)});class d{constructor(){this.promise=new Promise(((e,t)=>{this.resolve=e,this.reject=t}))}}function f(e,t){const s=t();return e.waitUntil(s),s}async function p(e,s){let n=null;if(e.url){n=new URL(e.url).origin}if(n!==self.location.origin)throw new t("cross-origin-copy-response",{origin:n});const a=e.clone(),r={headers:new Headers(a.headers),status:a.status,statusText:a.statusText},i=s?s(r):r,o=function(){if(void 0===h){const e=new Response("");if("body"in e)try{new Response(e.body),h=!0}catch(e){h=!1}h=!1}return h}()?a.body:await a.blob();return new Response(o,i)}s(977);function y(e){if(!e)throw new t("add-to-cache-list-unexpected-type",{entry:e});if("string"==typeof e){const t=new URL(e,location.href);return{cacheKey:t.href,url:t.href}}const{revision:s,url:n}=e;if(!n)throw new t("add-to-cache-list-unexpected-type",{entry:e});if(!s){const e=new URL(n,location.href);return{cacheKey:e.href,url:e.href}}const a=new URL(n,location.href),r=new URL(n,location.href);return a.searchParams.set("__WB_REVISION__",s),{cacheKey:a.href,url:r.href}}class w{constructor(){this.updatedURLs=[],this.notUpdatedURLs=[],this.handlerWillStart=async({request:e,state:t})=>{t&&(t.originalRequest=e)},this.cachedResponseWillBeUsed=async({event:e,state:t,cachedResponse:s})=>{if("install"===e.type){const e=t.originalRequest.url;s?this.notUpdatedURLs.push(e):this.updatedURLs.push(e)}return s}}}class g{constructor({precacheController:e}){this.cacheKeyWillBeUsed=async({request:e,params:t})=>{const s=t&&t.cacheKey||this._precacheController.getCacheKeyForURL(e.url);return s?new Request(s):e},this._precacheController=e}}s(873);function _(e){return"string"==typeof e?new Request(e):e}class m{constructor(e,t){this._cacheKeys={},Object.assign(this,t),this.event=t.event,this._strategy=e,this._handlerDeferred=new d,this._extendLifetimePromises=[],this._plugins=[...e.plugins],this._pluginStateMap=new Map;for(const e of this._plugins)this._pluginStateMap.set(e,{});this.event.waitUntil(this._handlerDeferred.promise)}fetch(e){return this.waitUntil((async()=>{const{event:s}=this;let n=_(e);if("navigate"===n.mode&&s instanceof FetchEvent&&s.preloadResponse){const e=await s.preloadResponse;if(e)return e}const a=this.hasCallback("fetchDidFail")?n.clone():null;try{for(const e of this.iterateCallbacks("requestWillFetch"))n=await e({request:n.clone(),event:s})}catch(e){throw new t("plugin-error-request-will-fetch",{thrownError:e})}const r=n.clone();try{let e;e=await fetch(n,"navigate"===n.mode?void 0:this._strategy.fetchOptions);for(const t of this.iterateCallbacks("fetchDidSucceed"))e=await t({event:s,request:r,response:e});return e}catch(e){throw a&&await this.runCallbacks("fetchDidFail",{error:e,event:s,originalRequest:a.clone(),request:r.clone()}),e}})())}async fetchAndCachePut(e){const t=await this.fetch(e),s=t.clone();return this.waitUntil(this.cachePut(e,s)),t}cacheMatch(e){return this.waitUntil((async()=>{const t=_(e);let s;const{cacheName:n,matchOptions:a}=this._strategy,r=await this.getCacheKey(t,"read"),i={...a,cacheName:n};s=await caches.match(r,i);for(const e of this.iterateCallbacks("cachedResponseWillBeUsed"))s=await e({cacheName:n,matchOptions:a,cachedResponse:s,request:r,event:this.event})||void 0;return s})())}async cachePut(e,s){const a=_(e);var r;await(r=0,new Promise((e=>setTimeout(e,r))));const i=await this.getCacheKey(a,"write");if(!s)throw new t("cache-put-with-no-response",{url:(o=i.url,new URL(String(o),location.href).href.replace(new RegExp("^"+location.origin),""))});var o;const h=await this._ensureResponseSafeToCache(s);if(!h)return void 0;const{cacheName:l,matchOptions:u}=this._strategy,d=await self.caches.open(l),f=this.hasCallback("cacheDidUpdate"),p=f?await async function(e,t,s,n){const a=c(t.url,s);if(t.url===a)return e.match(t,n);const r={...n,ignoreSearch:!0},i=await e.keys(t,r);for(const t of i)if(a===c(t.url,s))return e.match(t,n)}(d,i.clone(),["__WB_REVISION__"],u):null;try{await d.put(i,f?h.clone():h)}catch(e){throw"QuotaExceededError"===e.name&&await async function(){for(const e of n)await e()}(),e}for(const e of this.iterateCallbacks("cacheDidUpdate"))await e({cacheName:l,oldResponse:p,newResponse:h.clone(),request:i,event:this.event})}async getCacheKey(e,t){if(!this._cacheKeys[t]){let s=e;for(const e of this.iterateCallbacks("cacheKeyWillBeUsed"))s=_(await e({mode:t,request:s,event:this.event,params:this.params}));this._cacheKeys[t]=s}return this._cacheKeys[t]}hasCallback(e){for(const t of this._strategy.plugins)if(e in t)return!0;return!1}async runCallbacks(e,t){for(const s of this.iterateCallbacks(e))await s(t)}*iterateCallbacks(e){for(const t of this._strategy.plugins)if("function"==typeof t[e]){const s=this._pluginStateMap.get(t),n=n=>{const a={...n,state:s};return t[e](a)};yield n}}waitUntil(e){return this._extendLifetimePromises.push(e),e}async doneWaiting(){let e;for(;e=this._extendLifetimePromises.shift();)await e}destroy(){this._handlerDeferred.resolve()}async _ensureResponseSafeToCache(e){let t=e,s=!1;for(const e of this.iterateCallbacks("cacheWillUpdate"))if(t=await e({request:this.request,response:t,event:this.event})||void 0,s=!0,!t)break;return s||t&&200!==t.status&&(t=void 0),t}}const v={cacheWillUpdate:async({response:e})=>e.redirected?await p(e):e};class R extends class{constructor(e={}){this.cacheName=o(e.cacheName),this.plugins=e.plugins||[],this.fetchOptions=e.fetchOptions,this.matchOptions=e.matchOptions}handle(e){const[t]=this.handleAll(e);return t}handleAll(e){e instanceof FetchEvent&&(e={event:e,request:e.request});const t=e.event,s="string"==typeof e.request?new Request(e.request):e.request,n="params"in e?e.params:void 0,a=new m(this,{event:t,request:s,params:n}),r=this._getResponse(a,s,t);return[r,this._awaitComplete(r,a,s,t)]}async _getResponse(e,s,n){await e.runCallbacks("handlerWillStart",{event:n,request:s});let a=void 0;try{if(a=await this._handle(s,e),!a||"error"===a.type)throw new t("no-response",{url:s.url})}catch(t){for(const r of e.iterateCallbacks("handlerDidError"))if(a=await r({error:t,event:n,request:s}),a)break;if(!a)throw t}for(const t of e.iterateCallbacks("handlerWillRespond"))a=await t({event:n,request:s,response:a});return a}async _awaitComplete(e,t,s,n){let a,r;try{a=await e}catch(r){}try{await t.runCallbacks("handlerDidRespond",{event:n,request:s,response:a}),await t.doneWaiting()}catch(e){r=e}if(await t.runCallbacks("handlerDidComplete",{event:n,request:s,response:a,error:r}),t.destroy(),r)throw r}}{constructor(e={}){e.cacheName=i(e.cacheName),super(e),this._fallbackToNetwork=!1!==e.fallbackToNetwork,this.plugins.push(v)}async _handle(e,t){const s=await t.cacheMatch(e);return s||(t.event&&"install"===t.event.type?await this._handleInstall(e,t):await this._handleFetch(e,t))}async _handleFetch(e,s){let n;if(!this._fallbackToNetwork)throw new t("missing-precache-entry",{cacheName:this.cacheName,url:e.url});return n=await s.fetch(e),n}async _handleInstall(e,s){const n=await s.fetchAndCachePut(e);let a=Boolean(n);if(n&&n.status>=400&&!this._usesCustomCacheableResponseLogic()&&(a=!1),!a)throw new t("bad-precaching-response",{url:e.url,status:n.status});return n}_usesCustomCacheableResponseLogic(){return this.plugins.some((e=>e.cacheWillUpdate&&e!==v))}}class b{constructor({cacheName:e,plugins:t=[],fallbackToNetwork:s=!0}={}){this._urlsToCacheKeys=new Map,this._urlsToCacheModes=new Map,this._cacheKeysToIntegrities=new Map,this._strategy=new R({cacheName:i(e),plugins:[...t,new g({precacheController:this})],fallbackToNetwork:s}),this.install=this.install.bind(this),this.activate=this.activate.bind(this)}get strategy(){return this._strategy}precache(e){this.addToCacheList(e),this._installAndActiveListenersAdded||(self.addEventListener("install",this.install),self.addEventListener("activate",this.activate),this._installAndActiveListenersAdded=!0)}addToCacheList(e){const s=[];for(const n of e){"string"==typeof n?s.push(n):n&&void 0===n.revision&&s.push(n.url);const{cacheKey:e,url:a}=y(n),r="string"!=typeof n&&n.revision?"reload":"default";if(this._urlsToCacheKeys.has(a)&&this._urlsToCacheKeys.get(a)!==e)throw new t("add-to-cache-list-conflicting-entries",{firstEntry:this._urlsToCacheKeys.get(a),secondEntry:e});if("string"!=typeof n&&n.integrity){if(this._cacheKeysToIntegrities.has(e)&&this._cacheKeysToIntegrities.get(e)!==n.integrity)throw new t("add-to-cache-list-conflicting-integrities",{url:a});this._cacheKeysToIntegrities.set(e,n.integrity)}if(this._urlsToCacheKeys.set(a,e),this._urlsToCacheModes.set(a,r),s.length>0){const e=`Workbox is precaching URLs without revision info: ${s.join(", ")}\nThis is generally NOT safe. Learn more at https://bit.ly/wb-precache`;console.warn(e)}}}install(e){return f(e,(async()=>{const t=new w;this.strategy.plugins.push(t);for(const[t,s]of this._urlsToCacheKeys){const n=this._cacheKeysToIntegrities.get(s),a=this._urlsToCacheModes.get(t),r=new Request(t,{integrity:n,cache:a,credentials:"same-origin"});await Promise.all(this.strategy.handleAll({params:{cacheKey:s},request:r,event:e}))}const{updatedURLs:s,notUpdatedURLs:n}=t;return{updatedURLs:s,notUpdatedURLs:n}}))}activate(e){return f(e,(async()=>{const e=await self.caches.open(this.strategy.cacheName),t=await e.keys(),s=new Set(this._urlsToCacheKeys.values()),n=[];for(const a of t)s.has(a.url)||(await e.delete(a),n.push(a.url));return{deletedURLs:n}}))}getURLsToCacheKeys(){return this._urlsToCacheKeys}getCachedURLs(){return[...this._urlsToCacheKeys.keys()]}getCacheKeyForURL(e){const t=new URL(e,location.href);return this._urlsToCacheKeys.get(t.href)}async matchPrecache(e){const t=e instanceof Request?e.url:e,s=this.getCacheKeyForURL(t);if(s){return(await self.caches.open(this.strategy.cacheName)).match(s)}}createHandlerBoundToURL(e){const s=this.getCacheKeyForURL(e);if(!s)throw new t("non-precached-url",{url:e});return t=>(t.request=new Request(e),t.params={cacheKey:s,...t.params},this.strategy.handle(t))}}let C;const U=()=>(C||(C=new b),C);s(80);const L=e=>e&&"object"==typeof e?e:{handle:e};class q{constructor(e,t,s="GET"){this.handler=L(t),this.match=e,this.method=s}}class k extends q{constructor(e,t,s){super((({url:t})=>{const s=e.exec(t.href);if(s&&(t.origin===location.origin||0===s.index))return s.slice(1)}),t,s)}}class K{constructor(){this._routes=new Map,this._defaultHandlerMap=new Map}get routes(){return this._routes}addFetchListener(){self.addEventListener("fetch",(e=>{const{request:t}=e,s=this.handleRequest({request:t,event:e});s&&e.respondWith(s)}))}addCacheListener(){self.addEventListener("message",(e=>{if(e.data&&"CACHE_URLS"===e.data.type){const{payload:t}=e.data;0;const s=Promise.all(t.urlsToCache.map((t=>{"string"==typeof t&&(t=[t]);const s=new Request(...t);return this.handleRequest({request:s,event:e})})));e.waitUntil(s),e.ports&&e.ports[0]&&s.then((()=>e.ports[0].postMessage(!0)))}}))}handleRequest({request:e,event:t}){const s=new URL(e.url,location.href);if(!s.protocol.startsWith("http"))return void 0;const n=s.origin===location.origin,{params:a,route:r}=this.findMatchingRoute({event:t,request:e,sameOrigin:n,url:s});let i=r&&r.handler;const o=e.method;if(!i&&this._defaultHandlerMap.has(o)&&(i=this._defaultHandlerMap.get(o)),!i)return void 0;let c;try{c=i.handle({url:s,request:e,event:t,params:a})}catch(e){c=Promise.reject(e)}return c instanceof Promise&&this._catchHandler&&(c=c.catch((n=>this._catchHandler.handle({url:s,request:e,event:t})))),c}findMatchingRoute({url:e,sameOrigin:t,request:s,event:n}){const a=this._routes.get(s.method)||[];for(const r of a){let a;const i=r.match({url:e,sameOrigin:t,request:s,event:n});if(i)return a=i,(Array.isArray(i)&&0===i.length||i.constructor===Object&&0===Object.keys(i).length||"boolean"==typeof i)&&(a=void 0),{route:r,params:a}}return{}}setDefaultHandler(e,t="GET"){this._defaultHandlerMap.set(t,L(e))}setCatchHandler(e){this._catchHandler=L(e)}registerRoute(e){this._routes.has(e.method)||this._routes.set(e.method,[]),this._routes.get(e.method).push(e)}unregisterRoute(e){if(!this._routes.has(e.method))throw new t("unregister-route-but-not-found-with-method",{method:e.method});const s=this._routes.get(e.method).indexOf(e);if(!(s>-1))throw new t("unregister-route-route-not-registered");this._routes.get(e.method).splice(s,1)}}let T;class x extends q{constructor(e,t){super((({request:s})=>{const n=e.getURLsToCacheKeys();for(const e of function*(e,{ignoreURLParametersMatching:t=[/^utm_/,/^fbclid$/],directoryIndex:s="index.html",cleanURLs:n=!0,urlManipulation:a}={}){const r=new URL(e,location.href);r.hash="",yield r.href;const i=function(e,t=[]){for(const s of[...e.searchParams.keys()])t.some((e=>e.test(s)))&&e.searchParams.delete(s);return e}(r,t);if(yield i.href,s&&i.pathname.endsWith("/")){const e=new URL(i.href);e.pathname+=s,yield e.href}if(n){const e=new URL(i.href);e.pathname+=".html",yield e.href}if(a){const e=a({url:r});for(const t of e)yield t.href}}(s.url,t)){const t=n.get(e);if(t)return{cacheKey:t}}}),e.strategy)}}function M(e){const s=U();!function(e,s,n){let a;if("string"==typeof e){const t=new URL(e,location.href);a=new q((({url:e})=>e.href===t.href),s,n)}else if(e instanceof RegExp)a=new k(e,s,n);else if("function"==typeof e)a=new q(e,s,n);else{if(!(e instanceof q))throw new t("unsupported-route-type",{moduleName:"workbox-routing",funcName:"registerRoute",paramName:"capture"});a=e}(T||(T=new K,T.addFetchListener(),T.addCacheListener()),T).registerRoute(a)}(new x(s,e))}const N=self;var E,A;N.skipWaiting(),self.addEventListener("activate",(()=>self.clients.claim())),N.addEventListener("notificationclick",(function(e){const t=e.notification,s=e.action;switch(s){case"accept":case"reject":t.close(),e.waitUntil(N.clients.matchAll({includeUncontrolled:!0,type:"window"}).then((function(e){for(let n=0;n +
-
+
-
+
- +
@@ -29,9 +49,16 @@ -
-
+
+
Back @@ -46,18 +73,29 @@ - + - + Select all - + Select none @@ -66,13 +104,22 @@
- - +
@@ -87,10 +134,24 @@ - - +
+ - + - + - - - - - - - - - -
{{ 'APP.ID' | translate }} {{ 'ANALYTICS.REPORTNAME' | translate }} @@ -123,50 +184,108 @@
- No Available Info + No Available Info
- {{extractedReport.name}} + {{extractedReport.name}} - {{extractedReport.name}} + + {{extractedReport.name}} + {{extractedReport.id}} + {{extractedReport.name}} + {{extractedReport.basename}} + {{extractedReport.type}} + {{extractedReport.startDate | date:'medium' }} + {{extractedReport.endDate | date:'medium' }} + {{extractedReport.createdAt | date:'medium' }} + {{extractedReport.status}} + {{extractedReport.output}} - + @@ -176,8 +295,10 @@ - + Edit ExtractedReport @@ -186,10 +307,13 @@ - + + translate="ANALYTICS.DOWNLOAD_EXTRACTEDREPORT" + > Download ExtractedReport @@ -199,8 +323,10 @@ - + Delete ExtractedReport @@ -214,8 +340,13 @@ + md-limit="vm.query.limit" + md-limit-options="[10, 15, 20, 50, 100, 250]" + md-page="vm.query.page" + md-total="{{vm.extractedReports.count}}" + md-on-paginate="vm.getExtractedReports" + md-page-select + > @@ -228,4 +359,4 @@ - \ No newline at end of file + diff --git a/public/src/js/modules/main/apps/analytics/views/metrics/metrics.html/metrics.html b/public/src/js/modules/main/apps/analytics/views/metrics/metrics.html/metrics.html index fe23dca..632dcd5 100644 --- a/public/src/js/modules/main/apps/analytics/views/metrics/metrics.html/metrics.html +++ b/public/src/js/modules/main/apps/analytics/views/metrics/metrics.html/metrics.html @@ -1,6 +1,13 @@ -
+
-
+